-- dump date 20170509_025150 -- class Genbank::CDS -- table cds_translation -- id translation I203_00001 MDYFADFRPTSIHPIPTTTISVDPPGGTHTHHHGHPSHLPLPTD TYPRPDVHLLHATNVGHTALWVIFTLFTIALVGVLFLTVRAERRNRYFYGISSLVLTI AMVSYLAMSTGLGVYFVPIHNHGVQGSLHHFYRQLYWARYIDWLFTTPLLLLSLASLA GLSPASTLAVILSDVFMIVTGLFSAITPARWAAEERATWGWFGVSSFAFLVIWGILFT NGLKAANYRPRNTKGLFTLLAAGTFLVWMAYPIVFGLSEGANKITVDAEIIAYGVLDV IAKIGFTYVLLFLHTHDETGPWTLPEWWVEAPQGHGPDGRGIYGSLGSRDRD I203_00002 MEEYLREKKFYEMSGLPWRRGYLFYGVPGGGKSSLIAALAHELQ LDIYLINLGAKGLDDDRLQGLLQACPGNCILLMEDIDCAFKKRKSKRRSRRRQSLSSS SSSSSSNSSLESESGFGSNLSLSGLFNALDGVASSEGRSLFCTTNWVDKIDEALSRPV TSEKDTKFDLDTLAEELSQAIPEGKVSVSALQGYLMRFKREPTKAIESVADWLENGCG KGPTMTLTKKGMEMRKLDSGKDGLDTVKERQVKKAKEDKKDGKDKQDKKGKKGKKETK DNEDKGIAQDTKDDDAKGGDSDRSETLKVENDKGGDGSKEGNGLNDDREE I203_00003 MNIIALIWHFFTTFFNSLQDNTMILDGLRVLLAGTFFEFSRRTA TSAWSTFTDSFFITATISDNDEAYDWVSQYLETHAPIMLPSTSSQPQHFDPARYTIKT NLMEAVYPTGRAAPRHIHLAAKRPRNNRGNGQAHRSRRMRRDMYDSESDDYESDDDEE KDAILHVEPSSTISRYMQFQNKTIQFQIKDDGLSSFAINQRKWLIMSTFLGTHDIFTT LIQTARKEYLAQLPKRAISIYSPEHGSWYKKSTRTMRPWDSIILPDGVKGWLLADATE FLAERDFYEERGVPHRRGYLLYGEPGSGKSSLISALAAQLSLDDDTLSSLMQDCPSRC ILLMEDIDCAFKKRKLPGSKRRTTPQQDEPNPNTEGNEEDNDDPGMFPPNSGATITLS GLLNALDGVASSEGRLLFCTTNWKDKIDPALCRPGRCDVWVEFKHATREQARDLFIHF FRARLRSDPHNPVDITSNSNANTEKPFNSASPHTDNGEDLLALGEKFAGAIPKSTVSV SALQGYLVRYKRDPHAAAEHVGKWVEGGCGQGPTMFIKDGRVQEREVDEVVDAGDDSG ALDETKNEDRDINGEPNGHVNGGDKAYRVFNGGIEKNVNGMPNGWGDGNSTAIAQGNI LRRARTHFRTLSRGK I203_00004 MSFANAFRLFYMANWTGISAKQQDEIDRLATNIPTRKIPTYDDL SYDDLLNDHLILNKPFLLSPLATSKWSSSAKFRISSADETVSLPNLSALRPYAHHIVP VANTLQQQFSEFERTEKPLGEVLDLWEEGKGKGLYVKDWHLMAEIESEGSSVNEVYEV PDCLRDDWLNPPYTPNPRLTQLENGRSSASTSDFRFTYLGPPLTYTPLHRDVYGSYSW SANVVGRKVWWLFPPDRLDQVKDQHGELVFDVRDLEDEGGAIKILQQEGEIIFVPSGW HHQVVNLDFCISINHNFFSSPTLPIIYDTLRTSQERVEESISDVKDMIIQRLGSEGDV WEKEWVEEVQSLLERDAGWNWKGFWQTVVKNTPPAPSHLCPPVHLRDEWIRHVIERFK LRREWVLQDDIRIIIEDIQQSIGICDDLR I203_00005 MYASALLPIQTSTEIQELVETNMRHAATARRRWRDDPAYFADHC NELCVRDFGAGQDPPDQIHVLGKVIAWRHDEFLSWRNLNEVLKDLKRMGLSNISRNTS QQVKFEGQIKDAYRDVMITLTINIARQQVAFQAAFALGRGSELTVTTNVWDSFAGRLK GLMEGFSNFKLNTDYLDLKMVSHLDYMLAGLSKMSGLTSTNAEKRFSHFLLEYEQAIK TNPLILNEISAYFQQTIVNDLWKDYDDLSLRLFGQTVSKPLRLEQALEKPKPQWVREI VIQDTSFPLTDIHLSISGHTFLKTETVTSEDKKKTRGFANVTDEVIDAQVAIPRLQIQ PNPCEGSVRFQVNNKQMKLVAKLFSKAIDHEGRGQVKWVDICKLM I203_00006 MPRLQQYDYIFAIGTLFAMLDAYNNGANDVANSWATSVSSRSVS YRQAMILGTIFEMVGAIAVGARTADTIKNGIIPNSAFRGDAGVQLLAFTCALAAASSW VMWCTRHSAHVSSTYSLVSAVAGVGVATVGASKVKWGWNHGKGLGAIFAGLGMAPAIS AAFGAIIFMLIKLVVHVRRNPVRWAVWSSPFFFLVAATICTLSIVYKGSPSLGLAKKP KWYIVSVTLGTGFGVCLLSALFFVPFVHAKVIKKDYTLRWYHVFLGPALFSRPAPPDA DKAVVPNYAVVQEDEEDEKRSYENPDTENPDTKVDIGSPAMTPATPFTLNKNGDRSSS ESQQPSYKELMAQSEARFHARLREHRGPLGWAMRTLHENPMGAGQIYELKNMKILVKR IPAMIVCGALYGVNYDIHAAQSGVHGTPEGERMAAVYAHAPKYPNEVEHTYSFIQVLT ACTASFAHGANDIGNSVGPWAVIYSAWNTGDAAGSKLPVPVWQLAVLSGMISIGLITY GYNIMKVMGNKITYHSPSRGCSMEMGAALTVLVFSQYSLPVSTSMCITGATVGVGLCN GTWRAVNWQRVGLLLLAWIMTIPIAGTLGGCLMGILLNTPHFS I203_00007 MPKHTQNQLEETKSKSLDILPENLFIWSKSGSESVSNEDDSNQI DIDALPIDQLISVVPKYLSLTQESMSQTGLRGQLMTYDNHLSLSGDKEVTDENEFLKL TYTESALFAYTKDLFNDPWNVKRLQDETFDSQKSEFDQLMNSQEDRGLRGMMKNWKRG VEMNYELRCHRSNLKSHKEFVSLLPVGTDREEKLKREKSERMMRDRSRQIRGLEDKVI NMRRSVERDIERVGNGSEAWGWPEDIDDWRDMLDRLNREATTKQDDPVLTSPCGGAND IVFDKGEEKTMVDRVSEGNTTGLSSGLDKARDSGLDTNDGKTEVHTPRDLGGTALGPQ LITRNNMTEWDHEKGGWKKPWEEKKGATLISESETAADNSDNQVFTIVSNRKEKQKKN KISNNSEQRQRCQIEPMTGRSDDLSYRVKTGKSMGSRKTRTSTASMPPTQPISTALKE HPEMNANDDKPNDLDDLVEIAHDQSDQDTASSDRADLRAVELIWKPGVEK I203_00008 MIGALAAKLNLDIYLVNLGGKSLDDDKLQELLQACPGKCLLLME DIDCAFTTKKPQDEEEVHPPQHPNSPALANAIRNGQLPKFARQSKEGITLSGLLNALD GVASGEGRLLFCTTNWKDRIDSALSRPGRCDIWIEFKHATRSQAKSLFEYFYRSDPDS QDTINEDEKPAMDITSLAEGFSSHIPDDVISVSALQGYLMRHKRQPELAVENVRSWVE KGCGQGPTPLLHDGKVDLKVIGNTVETDTEKAKVKVNGVKVNGHMEEEKGEDMVDEA I203_00009 MTSLLSTYLTPLLSTLVAISNPFLDFVQDHPIFSDWLKYLSVGA FLELCRGWIPMLIKWILQSIVATARISEDDEAYDWIYNYLSTLKPDHLDDEEDEEKYS FLRTVIRSLPFSNRSTLMDVTISTKKPRNWRYYLKGHYVEDYHEARNGHYGDNQGDRN NKKKINFKGKTVRIGMVQDDDALREGKKWIIMKAYFATPRMFTSLLTESHDKFKSLTA NKTSIYTPNLSHGATWQRTSSRPNRPWESIFLVSNIKE I203_00010 MAYRPPQAQGQPAGAGPSSGLTPSTNPGGNGRTSPMPSVPSVSA QNLGQPGSTAPHPGQNSQGGIPSQGSSGSLHPSQSSSSSSSNAVGGGDKGPDYVYFER RPSQFGDSVQGKAMAAKMKLELFYKEAVEGVVGRKERRTALEKQLAADALTPDTLKAR QLLALGRRESNFLRLRRTRIGLEDFRTVKVIGKGAFGEVRLTQKVDTGKIYAMKTLKK NEMFKKDQLAHVRAERDVLAESNSPWVVQLYYSFQDTQYLYLVMEFLPGGDLMTMLIK YDTFSEDVTKFYMAECILAIEAVHNLGFIHRDIKPDNILIDSMGHIKLSDFGLSTGFH KQHDSAYYQRLLGGEGNQTTRPQAGNASRNSVMVNAINLTMTSKQDIATWKANRRKLA YSTVGTPDYISPEIFLQQGYGKECDWWSLGAIMFECLVGYPPFCSENAHDVYRKIIDW RNHLFFPDDVHLSREAEDLIRRMLCEADKRMTVDQLKAHPFFYGVDWSTIRDIDAPFV PHLRSITDTSYFPTDELDQVPEVPSGADTGPDAKKDLAFLGYTFRRYEML I203_00011 MSSLPPRQSQPDPHNLSHAGNLQQATKNVNRFAKRDPALYPLSI IVTGILGVAGYFFMTKASEPEPTRKLMSTGMVNPWDSQSKQDLGPSQTAQFKYRYKTR DGHYEDAHPTLNHTVEQSYPPSSYRME I203_00012 MTVDTPNTSPAPYSESSSNSLHKLGHALKPSTLVSKLHHSKDTD TSQSHEQNQLEQGKAAQRTEERRREKEEKARRAEEEKEEVARRRKESDEVASKTEDQS MRERYGDLEIPGEIIPLDDVVQLPEGRSVTFRARIHTQRELSTQLDFIIFRHRGYTIQ GVLSGEIASEHMIKWTERIPSESIVQVSGKLAKPPKPIKLSVDSPLEVRLESIHLVEP SKNIPFGLYHGEPPPQRSRLGNRTLDLRHPTNQAIFKIRARLLRVFRETLDDMDFIEI NTPKLQPAATESGAEVFRVNYFGRKAFLAQSPQLMKQMAISADFGRVYEIGPVFRAEN SNTHRHLTEYTGLDIELSLKQDYHEVFYVLDQIMKNMFRALATMKSELARVREVWDSE EFVFSDETPIIPFSEAIQMLRDDGRDVEEEDLHTPDEIRLGELVKAKYHTDYYIIDRF PKSARPFYTANDGKTTNSFDMFIRGQEICTGGQRINDPFELRESMRESGINEDEMEEY LSAFDWGMPPHGGAGLGLERIITFYLDLPDIRLSSLFHRDPHSLPTKKPSLPHPEADT TKPPDPQADLPPLEDLIANYGDATNTSWLDDRFTIWRDHSTGAAVGYVEQGKFCMITG DPLCSDSQKSEITKKFLVFVNDELKLKPIWMLVSSEFQETLAEKYGWRSLSCTQEQRS NSDKVKPEVIQNNKQQRGVFSVREVNIEEEQDTKNKIDERIKEWQEHRSSGNKKGKQI HLTEIAPWRDSGHRRYFIAESDPRKRNIDHTKKTNGDKSNDKDEPRIETLVVLTRLSP KHGYQLKWALDFPSSPKGAIESTVQSALSAVPGEPVTFGASVSESFLTAHGIGEMRSK IMERTYKGIVKSLSLDKKAEFREKFGVEGERTFICYPKGGIRVTELNEIVKFFEE I203_00013 MSKIKISRPKTSISLGRLQGEARRYFGSNPILLEAGKVVLAAVM VEVTRRVTSSINNAINQGLILRATIPSSDPAYDWLIHFIRQHTSALSKSTKDSIPFWT DYLEAMYPTGRYPPKEMIIRSKLPTNAPLKTEEHGDPNDPSRANDEVELFTLPTKTIN MDIPFEGHTFHFVLETNSGQKERNLKISVSSFSRSREIFHSLIYHSRTLYLAKSHSRL SIYIPRLTTHWWVGSWNLTSFKPIRPWESVFLPQSVKDGVLRDTREFLENKQFYQDRG LPWRRGWLLYGLPGTGKTSLITALASHFKLGVYIVNVGAKGLDDEVLMKLVMDCPKKC ILLFEDIDCAFRSRKKGATTVGLPPPSVSPKKKAKRTSPSKSSVPTAVLDDSAVTEGT SANVSDSTAKQKISDQEPNSAPAMQAIDGTESAPDPSLATVTSPGRSLDTALIKLAAQ DPDDRGDTDSKDVLPPIQTRKPVNGNTTSSDVPTSSDELTSDANKPQDASSTNPEIAP TKVKKSGVTPPTETTDKKEYFTVTSATSTDPSKEDDAQIELTTSGEGEWTSFSSHYQR EEEERNRSSVTLSGLLNAIDGLAASEGRILFCTTNHVRRIDPALSRPGRCDVWVEFTH ATRDQAYDLFMYFYRPRPSDETGNTISHPLIPPNASTFGQDYSSSLPRDKNIQEVEDD GESTYEYSSSSSSSSPVISSPNSSAPSTAISRATSSTTLDTEITIPESEAANEMDTPK GEKAKDDLEILADHFADSLPGNRLTISALQGYLMGYKLNPARASEMFVEWVHVGCPQG LEMIR I203_00014 MALTHRKNHKKDPESGDADAEAKRNEEEEKKKYEGEEYDVLLRY VEEQKQKLKNKKDDDDEDDDKNTKYVRKWYAPWKKTKVESGTKKVPQEWLETDRQKGL SSSDIDERRKHSGWNELESPSENQFIKFISYFRGPILYVMELAVLLAAGLRDWIDFGV IIGILFLNAAVGWYQEKQAGDIVAQLKAGIAMKTVAVRDGKEQEIEARELVPGDIIVL EEGNTIAADAKILGDYQDKDGSKSKEILDNHEKSKKAKGQHNDSDDEENDDDDGPDKG PSVMSVDQSAITGESLAVDKFVGDIAYYTCGVKRGKCYGIVTVSAKGSFVGRTATLVS SSNEKGHFQIVLGGIGTTLLVMVVAFIFAVWIGGFFRGTKIATPSQNNLLVYALIFLI IGVPVGLPVVTTTTLAVGAAYLARRKAIVQKLTAIESLAGVDILCSDKTGTLTANKLS LNEPYVAPDVDPNWFMTVAVLASSHNVRGLDPIDKVTIVGLKDFPKAQEMLKGGWKTH KFTPFDPVSKRITAEVEKDGKHYTCAKGAPNAILKLSKFDAHTVADYRNQAQQFASRG FRSLGVAVKEDGKDWELLGMLCMFDPPRADTAKTIGEAHELGISVKMLTGDAVAIAKE TCKQLGLKTNVYDSEKLIGGGMAGSDIRDFVEAADGFAEVFPEHKYQVVNLLQERGHL TAMTGDGVNDAPSLKKADCGIAVEGASDAARTAADVVFLDEGLSTIITAIKVARQIFH RMKAYIIYRIALCVHLQVYLMLSILIKNETIRVDLIVFLAIFADVATIAIAYDRAPYA RQPVEWQLPKVWIISTIMGLLLAAGTWIIRGTLYLENGGIIQNFGSVQEVLFLEVALT ESWVIFITRLAQEPGTPNVFPSFQLIAAVLGVDLLASFFAAYGWISGPAIEHGGWVDI VTIVKIWGYSFGVTVVILLVYLILNKISWLDHIGRVSRSKRNEKLENFLTDLQRLTIV HESDHNGSYFRFASGGSGSATPKEGSGDDKDKKPKSKPKKEQDSKSSDKGKGVEGGDK TMMDHAGKGDQAEAEKQQGQKRHPSSGPGSKQKGSEGEPTEVSTKEHTQGNPDSNLNK DNSQRTDEDERSSEGTHVEP I203_00015 MIKLTTIATIFAFFGAPCTLAQTDATNVAVRVTFGPGVDYQQGF APFIRDDVGDKASWAFEEADLGKTTGKFFFLPKKKNDEGNFVDLYNATCYAQGLKLSY DTPTFNFIDHEPWLEGKRSDKGKVWCPKDEAHAKDGWKEGDAFPDISSESLPAE I203_00016 MYWPTATTRLVNTPSPLDDKPILRVKSSRKGNFFASITENGLGV WDVRPTVLQAAVIRSPGSIERFGVNVDVYWAYDGRGIIILTNTSHLLFYQLLPTSRPS YDSPGPSTPGPGEGDVVMGWDLRSLGTAFIMGRCESLILQPHNLLLVLQHPPSILSVP YPIPSQLLSPPGSHFPPPPLDGDSEAQIDCNIWDLQNAKDWMIPSGPSGMGIPLPQEI TTFRTPGLPAVYTLLNQDGRLYVMYQSAQLAQISNSESQKSQLLNGPKYLGVKIHPPN VYAPQDVVKRTEALGFMESNIMDDSGDVEDYAEQVAVNPRFGLIAVGLASGKINIISL PPYPAQPRLSHTLDLRMPANLHSSPGGVTSLAWTGDGYCLAAGYEKGWAAWSMGGRLG GWGIKDEDALDDGDHGQRAMGVVDLFWVPGNLELFLLRPGLSHGPQIEVVSFVKSATT SQQSPDNTRYAFLQMDDRVLVYRGADQPDMSVINPESDVWQSIKIPAAYIATNWPLRY ASISSDGKLIAVAGRKGLTHYSASSGRWKLFPDERQEREFTVRGGLLWFHHVLIAAVD VEKTHQIRLYSRDLNLTEILHTQTLPSPVLVMTLLDNSLLVYTADNNLYHFLILPTQT SIKLHLCGSISFRGIVQVPNRVRGMSWMIPLAQKNLGDPVDDLIVATIIFLVDGKLVL LRPRRAKTDEVRYDMQILADRIEVYWTHLHGVATLENSLWGYDGQNMRIWLDALTIEA TRVNSTSDSYEEVEESVKLRLDFYPLSILMDKAIIIGVDPDSSLRLPWPIYKISTSTH LFLPQFLRYHLSSSPPSLLNALILARHYDSLVYFAHSLEILLHSVLEDEISKPGITNS LPSTASIENNTDVDDGTKVFNRGHGGEKIISLDSLPPSPKPPSSSPEGISLLSAVIQF LDHFDSSLEVIVGCARKTEIDRWNLLFDLVGSPRDLFEICLKENKLRTAASYLLVLHG MSDEGLQQEGEKMDVEDTIRLLKQAIKSKEFHLCKELLRFLHSIDESGSSLISAIDQV GIIPTSSDDDDDHQDQQDQQLHHDNDNDNTPIIDQEERVVSQNPPTPSITLSASQDND QPAIGSALQLDSERMYKTPPSPTTLERFDDRPGDI I203_00017 MSQSPLARTARRSLPAFSHAPSRLSKSHSSRDLAEINESPAPAP IPTSSSSSRLSTLSQTQDTPVRHRTPNNNNNNNNNVSSPMTPKLLYATHALSTPPQSL SKSSSIPFDMAASARAAKRAEEDRRLSTPSIDHARSRKRFVRKKPIFQRITSIPATIY DKFASASPQSIYDILPDEHLANPISLTIHTIHYLLVYPFFAHRDEYESVLRSGREPSG VMGRWDRWENEGKSQGVGLISGWSKYTLLILLLLLSIGNAAYLFTRFRTYDMQLRSGS ETVHSPHASPVPAPKIKSQPEQDQDVFASSSSGRASKAGFDKYAKMIGKALWFILKFS FYSILSAFGKPQKDAPRLATSLGSNDKIQSLRVWDPPEFCLALFCAFPPTSPLLTHLL VPLHPLYVPLLHLSTTFLLSQLAQFYSQLVKDQMLLSAEVMREYDQRFVYKRVFANKV DKCVGTNEGEWHPARNRNKVEEVCKLM I203_00018 MKGPKSGDSTHEAVRKHVNVSNFLPVPAKRALPLPSFSQHLLTW ISNHFRDAHPEAFKKDVEALVAMRKEWVEAKAEGHPEIVRGLMRYHAQLAFLSTKFPS DISLQFTYHLPFPPTYSLSPDAPVSLASITFERASVLFNIAALYASMAAAERRAEAEG IKRALGYLTSAAGVLEYLVNNILPTLQSELSSPHAAGYDMSESFLATIKEFVLGEAQE CYWQQAVLQGTYKNGLIGKLSMKVSEYYKAAFTSMNGTDYPSSSSFPANWIAHITVKQ CHFEAAAQYRLSQEDLEKSRYGEEIARLRVAEGLAKKGLEAGKKGVADSVLSDLKNLQ SAVKSSLERAVRDNDLVYVCPIPPANQLPPISGVGMIKISIPTEVSDPIAWLMGGGAG MQALFSALVPYGVHLALSIYDDRKDTLVRELDGKREELDGVAASTLQSLNLPGSIQAL ERPVGLPPSLLKKAEEVDSAGGVDRIRNLLTEVARLSKANAQSLNEAMDILDQEATEN ETLLSRQPELQSGRPPSHIANQPLIATAQQYDATIKQASASDGTVRQKWEEWGQLIDI LAGGEDAINDYVPSTSSSHNGYSSLPPSVRPIRASLEGLDDRIAHRARLVNEARDIAA ADDIRPAVLTEATRLAHGGTGDVKTEWFEDLFGRNLEKYDRIREEMEAEGSEQNALLE QIRGQNESFISERKEDPIVKERERRLQDMDLAYWKWREIVDNAEEGIKFYNSFADMLN QFKGTCTQFLNSRRVDIGQITQQFHNVSFEDHSPSHSQSPTPPQRFVPQPSQQRPPSP PRTLSLAHPSSGQWQSSSDFLPPPPPPPILRSGGIQTQPRSAPPPPAGSTPRRVTRAS AAAIRGPIGDAEKNPYSQGTRRGGGGVV I203_00019 MFSLRSTIAFTLLSSLLVTKVALAGDSIKVKINLPPTGEYRDWE NSSDYVAGGGSKVHTFNRQQIQDDFAIKFHYTVWEPMEDGSDYRHGSRRWDMTCYVKA TDTTDTLIPEFTLTDVLKNPIEVKVGGPAILWCPADQGTCTKKDCQVPNIDSTAA I203_00020 MLAPTIFGSMLLPILAMAEVMAKIKINLPDSSTYRDYYNYDDFV WGGDSKEIEFSQTQITDEFAIKFKWITWADTGGDYTHGSSVWNMTCYAQSTATYIGTA EWTLSQDKENPITGTANSPGRVWCPADQGECRDGCVDVDSVSVAIQHSTEPSSAGIRR L I203_00021 MTADEDSIEGFSFFTYIFQAIWPTGGSVPNDVTISSPRTSKSST STASERADLKRREVDGTPLTTNGDEGNTSECSGKLRIVPSDDVILRLKYKGRTIRVKT SIDIQSGDSKYDRERRVMTLSTFLGTHSLFTSLINTARKEFAISTRSIITIYTPSLSA NPSWGNASYRPIRPWHSVILPTGQKEWLYNDAKEFLAEKDFYLKRGVPHRRGYLLYGE PGSGKSSLIAALAAKLKLDIHVVNFGAKQTDDDKLNTLLQACPTKCILLMEDIDCAFR SRELSEDESSETSDSEQVVIKNSHMKSGKPTKSKSTKHTPSGTVSTGVTLSGLLNALD GVTSSEGRLLFCTTNWRKKIDPALARPGRCDIWIEFKNATCQQARDLFIHFYDARHTS SPIITATSRSGEPLTPEQAKPENENEKANIENNPDAEPPIDSPEQDVDPSISLPEVET PAAQTDIFSLAETFATIIPEGKVSVSSLQGYLMRYKWTPLDAVEAASRWGESGFDQWP TITLKEGKVDLQDMRLEGWATDTLVEGGMDRKIGR I203_00022 MKYGKEFQHILEDSSFPPEWKESAIEYGRLKELIKNVAHELTSM GLSPEVLHKLLITAELDPTSSTYPEPPTNRILKGGGGEEEVLEFEYESPEGTPPTIQS GSDPSSSRSQPQTRANVPQEVIIDPLPDGHPTSNNTLLSLPSTRADVISPTPISQHHR KFRLRLLPDSPQPSTPSNEFSLSDLPQRPLSVAEFLEAQERLREEKTPSPSEAQRGRT VIRRAVMDGKNGGVKAEYVLVGDPSNPTPQLRLHVQASASPSRSSLSFSPSPSSLSTP LEDTDPDTEEEDEQTSYSDAPSVTATPRPFNRLRSPIIPSSPTLQKMKSALSPIFAIA SGKDIRESMGDLTIGDSIIENSPSSTPKIKEFPFPSITSETPDRTITSCDVSEEVIPE ISTSSSSATHERDFIIPLSSDLEFFHLLTSALTSLSTFHAKQQVLFKDAVENVCQMIS STISPSNSSNVQIMSIPPTASTSIPIVSRYHGSGKTSKKDLYIWREIFTLWIESEIFE SSAERTRGERSLEDAEERLKGFANKVIKRGLGDKRSIKGKKSRNAWEEFLKLNVWLLD LKRFQGANIMAARKILKKHDKRTALTALEGFPSFVQSTLSTHVDENGNVSTWTFYNTS LPHILLASLTDTLLPILPSLDDYACLICTSIAFKPIRLGCGHLFCVRCLVKMQRAGKE ECPLCRSKVVLLADKGSLDLTVMNFMKSWFPKEVKAKQKENELEVAQEHAQEAGIDTR CVIM I203_00023 MQMLTKFESKSPRVKGIAFHPKTPLLAASLHNGTIQLWNYQMGT LVDRYDEHDGPVRGICFHPTQPIFCSGGDDYKIKVWNYKQRKCLFTLTGHLDYVRTVF FHREYPWIISASDDQTIRIWNWQSRTCIAILTGHNHYIMCAQFHPWDDLVVSASMDLT VRVWDISGLRKKNQASQAPMSFEEQMSRANQNQADLFGNTDAVVKYVLEGHDRGVNWA SFHPTLPLIVSCGDDRQVKLWRMSETKAWEVDSCRGHFNNVSMSMFHPRHELLLSASE DKTIRVWDMTKRTAVQTFRREHDRFWVLTAHPELNLFAAGHDNGLIVFKLERERPAFS LSGNQLFYVKDKVIRMADLTTGTNQGICSVRKLGSQWVQPRTLSYNPAERAVVVTSPS ENGTYELITLPKSSAPSASDGKDVPSDGKKGNGICAIFVARNRLAVLDKATQNIEIKD LSNSITKTVKCPVQTNEIFYGGTASLLLATPTSVVLFDIQQQKVLAEISTPPVKYVVW STDGNMVALLSKHTITIANKSLTQNALIHETIRIKSAAWDDSGILIYTTLNHIKYALP QGDNGIIKTLEQPVYLTRVKGQIVHCLDRTAKPRTITIHPTEYRFKLALVRKNYDEVL QIIRSSNLVGQSIIGYLQKKGYPEIALHFVQDQQTRFDLAIECGNLQVALEMARAVDR EDVWNRLGAAALQQGNHSIVETAYQKAKNFDKLSFLYLITGNTQKLSMMQVIAQKRGD NMSRFQNSLYLGDIQARVEVLRETGQYPLAYYTAKTNGLDDTALEILEEAGMTEEDLP PPPQNSGHSSLAPPPIVFPQSDSNWPIKNLGESFFDRALANGGVDGLVGESSGIEGGE QLDAWAADVTIDGGADGEGVEEGNEDEGWDLDAEVIAPPEEEVAPEEEAAEADLSEGV SPGISEDELWIRNSPLAADHAAAGNFESAMQLLNRQIGAVNFQPLKSYFLQSYQSAHV HVPANPSLPPIKFNVRRNPESTELREFLPFNSYNFDDLKTNELTEANKYFARGKFVEA LAAFRTVLQKLIVVVVTDEGDANEIKDLVTLCREYIIGLTMEVERRRLVSEEPENVKR NLELAAYFTHCQLAQQHVQLALRSAMKVFSDAGNHATAAVFARRLIDTKPAGAQVVTQ ARAVLAQGDRNPRDNHEITYDQFTSFDICSASLTPIYQGSPSVISPYTGAKYLPGYKG SVCKVDEITQVGLTASGLRNKV I203_00024 MSRPKSAPRASEPALSSRTPSVPIPPTARKSSQPAVNTQSAGAT PRFYDGPTISAQGNDVKAKAKQAAAKTAAVTKASTKKAVSTARRHPWSIISILTTLPI LVFFSLASTVLCPPPGESLSPVNKYILSPLGYGPTQSHPILCYPSNVYHREILQPYVY PVLDKAQDRFTASPYYVNYAKPSYDKADKLARQLYHGPLKPVIRGIRRFYFTFIQPHF PYLKARYHTLTAPYTSRIQAFAKPHIESANQYANLASDHAVRGYNYASTHPLTGRLAK YAQKGYQVTSENGHKAYVWSKPHFLRARLEVQRITREILGPRAVKALQTATIEAAKIE AAIRAYIVKIYNQHLEPHVGPYVIQTSNFVAPYTALFHKHVHQPYIKPTVDQLFPPSI KDKSFLTMLAEFLPTTGGSTHAAERKGEMDDYYNDLEHSRKPAEVKVPSADKVAEKKS ADVKPQEVKKVERAEMDRVRDAIKSRVDEQGKKSLKKIKEEVGHINSEFITNSVPILA QNMRSEIEREIDYILTGLDKLYTKSTSLTNEQVLKSSEQADARLKKTADKIKSRLDVQ KGRLQDQAKGVAEVQKELIDRALGKEYKELAEQMGQIDGVTSKDLDKYNHVQKLADSY KSKFASALDDNTALVKPFSELKTELNDYHESFRERVGILKRTALDRIKAREAMSAEAE PSRVSILPIKEAAGAAAAAAGVGAGGILGKGKEQVLSALSAAGTPTSAGVVDQVQASA QSILDAASSSLHEGTRSVISAVGATPSPESPKEHAESVISAASEAVDSLSSAVDSVIH GATRSAISVAGGTPSPESPQEYAESVYNAVGENVNSAISQASDAVHQGTRSIISAVGS TPSPETFGESVESVIAAASKGIDDAIPDIDISNSVHDATRAAIKAVGATPSPENLGEH IESLTQVLAESASSAISVASEAVSGLSQDASSVLHDATRSAISAVGATPSPESPNEYL ESIGNVVAQGAASVYGIAEENVNSLSSNVHDATRSAMKKVGVTPTPETPSEYAESIYG EASSTVSSVGSVISEQASLLVYQIQEALGVVSSTPEPSELVASATSYISSLASAGSSV GSEALVSGSSILSSLQNEASSTIHQATRSASKALGATPSPETPGEYVDAAKQAVKEQV KRVRDPREIAESLVRKHASQIDRDLADERARGTTRFVGDEHGHGHAHTQAAGHAAREE L I203_00025 MFSQMFISLFALTALIAIPNSTMALPTGESDSETQNEVGSASSV FIHFGEHTAHISSLNISDKVHNGSDTIASLAMGKSIGNEHSFYFYTYDDTNTTQFDCD APTYKSLKASENQAQYNLTNVIPLKPVTNNTDVASLKCVTSSAEVYNSNSTDTQ I203_00026 MQAKQRTVLYCPGWVGLVIFLYSYELDKLSTNESSSLFGSVRSF PPKKASNESVEWVYRATSPHVTGSGSDLTGHSEFARLYCPQDDNFGAFFSNELTTVDT VSVLQALLDDVPIHALFLF I203_00027 MERLDDFLVELAIRNLPLRDLLACSATCKRFNSIITSSTKIQLF LHHHLFGRSSPPTTDRLENPPKAIKDRSTTDQLARLLRTEDNLLKFKPHLRSYELPAN QSICTIDHGYIVTSCPSGAENEPDADGLYTLVTIWTPDVQSKEGKLSSKAVKVDFKPN TESKTRAVDVMDDVIICVQELDAGGDTVFRVRVLHLFNEGEIAKPYESDEITRTTQDM GLDNAPRVMIGREGLLVVVTPFSIKWTRWTEGKDCTWGHIQNPPYIGFSSSIRVYGSD LVGILGQCVPPLSDNPFAPPPIPKDHLLIYQLDDNAREVIAKPNLIMRMPYGRNELPE IVRYGTAHGEPENILSPGSLAHSNNGRSLVLQVNMILFEDPIYGHFTPHGYPHWITID LPLICIKELLSVISDIVPDSKRIVRWYRTRRANPPEWTLPHVRLNRGEGKPCDCCIPA NEASEPLGDQSVIAPHLWKENALFGYDTGFKELNSCPRNFGSRSVTYLRTYRGSTKTN GAWLIELECMDFRPEPQYAPFPKTRYTLGGLGRTLKLPKGPKDTGRIRPSQISASPSV KEDVPSYTVYRGYWKILPAKGELKNMLFDGEKIAFEYLNGSVTVLDFA I203_00028 MLQLPDDLLEICLARLTPRDIIACASTCKRINSIVTTSIVIQLK LHRCLYHGALPHDLPVKSNTTQSAHDQLVSLLREQQAFQDFRPVRSEYELGEGRTILC IHDDYMAVGLNDRAIDVEGQQPDSDGKYFLFELRPLQTSPESTQEARKIVFRVSFRPE YRTLLVDLGRNLIIVNDNSPDNDPSSILV I203_00029 MNGYYDWESHEEVVSGDVGINLWNFACGITRSHPPLGYGLGGLG VSLKLLKRIECSKVAGDDTTFRSSIRTVVPSTDEALGATRSFGSYKFWSDKNVDAIHY HAGKIMVQYQDSTVDIWTLYEHKNGGYSD I203_00030 MHDRKLDAEGIAQEGTNADPMGPRRYHITCRDYNSCKVKHNLSK SESELGGLKSIKNVPSDFPVRTQNRPESIDYELSMSLADEEGLSYIQSQCFFDLPRRE PSDLPDYHMNTFSSLGRNSISKIIMAGLTCSTLLLCIEIGLFDGVEQKVFMGSALCLT QNKIIKHNFPNSMRCTDQTSWESPFLCKLGMKRSQLDSCPLQIPKSHLTFDKSTRSKV SSSTEALGAVCYRGHDTFPGHYIEPIHYHGDVIIVEYEIANYMCGPSYSDDAHMKAFV ILHMTMCAVLEEFEQDMD I203_00031 MSVETASSSRAQTVSETLSSAIELLENDQNLKKQIRESMEPIDD LSRSATTELNKLHSAPFSQHAEICQNAINIISRTQPLWVDVAKLIPENEFYRYQFALG PTMRNLTTSIVLARFILHDELTPSHTISTLLGIQTDSTSVLQLSAEDYLQGVIGAVNE LPRLSVNAVTSQNFELPIKISSFVNDIFASYSLLNLRNDALRRKFDSLKYDLKRCEDV VYDLTLRGLTKPRE I203_00032 MADLNFPPLVLASSSSSIVAVAGAILIVHDLQTSKTISSPSVDN RAHQNGFIRHLAVSEDGKIIVSVGDDKSLKVWDVAQDEIELRSTRGLIKKASCVTLSP EGCIIVSDKVGDVYQYPLDPIPSDPSTSKPPMHAMVSDPTKNPECDYLLGHVSILTSH LTTSDSKHIISADRDEHIRVSRYPKSFVMDKALFGHDSFVSSLHIPSTHPNILISGGG DPSLRIWDWKTGSSLSKVDIYPAILPHRKVRSYMRKNRSKGRMRKTDENASSAQDVEG EEETFYSAPEGYILPSGQGVCIKKIDSTVVGDKTIVVFFSEGASAIHSFILPSDLSSS PIVQTQSLPYPILDFTFLPNQNGKILLSLDTAWGVLKKNPGPGIESRQEAIPRDDLTQ EEKESLNGFFIEIQIGDNGELSEMSDNFFSSSIIPSLPKTDIKTLSNLNLYPLINILP KWPGLEEEGLEGGDTPTPPIEEEADGISLAPTSMTARTFGGTKRNYTKEELTTMNSKV LGRLKSQGVDIGNLLVQRQKKAKEDRKKKAQEANERLKVQEEQRKVKAEEKESNKKRK TVQISEEEMGNA I203_00033 MSSPPIPPSSQPRTKRQRSRSRSPTAGPSGPRSSSPPPSSLPPS SPPAPFSDFGDEADIGDDAEVEMRQMGRAREGDDDEDEDGEDLFNERMMEDYDANDAL DTYSQADLDDRSSVAEMTRGERLAAERAMDRRDRGLGGRRAGRRDHMPAFLQSDDEDM DGLGEGPLAGINTRRRRRQYDERMDEDDAGEEEEMSLEHLGDVKAASIAEWVSIDSVR RAVQKHFRSFLMTYVDENGQSVYGQRIKHLGEINSESLEVSFLHLAQSRPILAYFLAN SPQPMLALFDEVALEAILLYYPSYDNIHSEIHVRITELPTSLSLRDLRQSNLNCLVRV SGVVTRRTGVFPQLKYVKFDCGKCGATLGPFFQDTNKELKISFCSACESRGPFSVNSE QTVYRNYQKMTLQESPGSVPAGRLPRHREVVLLWDLIDMAKPGEEIEVTGIYRNNFDA SLNTKNGFPVFSTVLEANHINKKEDLFAAVRLTEEDEKMIRSMAKDERIAKRIVKSIA PSIYGHDDIKTAIALSLFGGVPKDINRKHRIRGDINVLLLGDPGTAKSQFLKYVEKTA SRAVFTTGQGASAVGLTASVRKDPITREWTLEGGALVLADKGHCLIDEFDKMNDADRT SIHEAMEQQSISISKAGIVTSLQARCAIIAAANPIRGRYNPTIPFQQNVELTEPILSR FDVLCVVKDAVDPVQDEMLAQFVVGSHLRSHPQFNEARDEVNVTTSVDADIIPQDVLR KYIMYSKEHIRPKLHQLDQDKLARLYADLRRESLATGSFPITVRHLESMIRMSEASAK MHLREYVRSDDIDLAIQVAVGSFVNAQKMSIKKTLERGFRKYVHQATDHEELLSFLLG QIVKELSQQYRLQRGTDLEKVQIKLNQLEKRAKELEIYDVGPFLKSQLFRTNGYKLID SEGQGKVIEKVFTRG I203_00034 MPIAVPQIFKYDYVPETKENLDWADLPTIDLSKFSNPEGKAELA QILIEAIRTKGFFYVTTFGIPQEKVDRQFYLGSKFYDLPLEEKSKYIPDLENGEYNGY RPAGRAALAGGVRDQTEVYNIPKFDGYHDRDHPDVIKENIGEIEDFARSLHTNVLDPL HALIAIALELPEDFFINLHKYENPSEDHLRYMMYRHFSGDQLKKLTEEGDGLYSLGHT DLGTLTLLFRQPVAALQIKDHKTNDWKWAKPLDGSLTVNTCDALSFLTGGYIKSTVHR VSVPPPDQNQYDRLGLLYFARPSNDLLLKTIKSPLLEREGFTQNEFEKGGHKVPTMGE FVKLKQTWQQKKRQNCREREDEVIVEGFKGKYHD I203_00035 MHLEESNRDEGWGGDDWSIAGSKAFAWKRMSYTDEIKIYCHDLN QRILRFKGDMGSALVGGKGMFMPQVVASEEEDSRKKDSPFTNISVTRSEITSESLGAI TRKAVCKLEKTDGLRPTMLFDGDKLFLQHEQIRPSERKEPKVEIYDFR I203_00036 MDRMTDDLWGTIFQSLDPISFLRCSETCRPYNQNITGTGDLQLT LHRHLYQCPTSLRPVTSVRGSALSSHEQLRDLIQIERNMSTFELEKTTVKIGPGEILK EPRPARDQEGRWRLVMEYTETKASSGKNA I203_00037 MSTILSFETVSTNLVDLASKATCEDVWDGTDFTPCFKDRYISNV PFILIALSAIYILCLTVLPRYLSSSPYTPVTAETLISPSATSDLAKLESNVILDAVAS NLVSSSKDAAKKGRLSDGEAEQVVIDWKSASQKRESKWRKFRFWVGLIGALAWFELDI ARGVIEGSWRDAVFPAWLALIALIPSSPLTAVLTFHILPSLILFRSNIIQPHTSSLKI ASGIVEVVYWVAMISIPYSEELDRLLTGGVSQGGGSSGSYGEELPKHCEEPTSTFSRA TYSFILPLLIKHYFKPITLKDIPAIREDDSASSSLGAFRAYRAGRDKSHLAKTGEKRI RNLGTDLFWFFFPELAMQSIWAIVFVIFQYLPPTGLRLLLQYVKERDTSSQPGHVAVL YVAMMAGGQILSVILMGQSLFIGRRLCIRLRAIIISDVFAKALRRRDLSGNVKKNKVD KSGKVIEDPEASATEGKIANLVSVDAFTISEICAYIYYLVSCPFAVILNSYLLYKTLG AASFAGTAVLIALMPLQGLIGRLYTIYQKRFMAATDARLESVTEVIAHIKLIKFNAWE DKFFERMGITRKKELAVLALRFATTTLFQVFVWGTPVLVTGVAFAVHSMVLKQPLTAD RAFASLILFNMLKDPLALFQDTLTRLLQAYTSCGRIQAYLDEPDTLKYRQLSTPGPGE PSIGFKNAILGYATHEDLHQLADYDTEPFVLGELDLSFPVGGLSIIAGPVGSGKTTLI LGLLGEAMLLQGKVFMPDDHANRDICPIDPSTGLADTIAYCSQTPWLIGASIKENIVF GSEWDSKRYNAVVDACALRRDFEIFELGDETEVGEKGTTCSGGQKARIALARAVYSPA KTIILDDVLSAVDAQTARHLYDNVLQGKLIEGRTVIMVTHQVNLVASASKFIVMLDNG GIVASGTPNELSSEGLLDLHGSGSNSGPSTPTVTGSSSTFTSSDQPPSDKKAEDLIEP VLDEQPIEISEGKKQLEVDKAVEQTSEEVKLDKQLVAAESSGQGMVGIGTYWLYFKSM GSGMFWFIVIFAFLGSQVLQIANNTWIKEWANSNDHPTSSSLSTMLEVRRMVVEEVHS QLAKPRSTLFYLTVYWGISACYVLAVAGRVGITFFGALTASQSLYSKLVRRILGAKMR FFDSTPSGRIMNRLSKDMSSIDQEAGEILMYFANSCLSAAAILVVVTVSTPAFLVALV LIVLAYWLLGSLYVTTNREIKRIDSVTRSPIFISFSEVLVGMSTIRSYGDSARFMRKL FHEIDQNTRCFWYLWQINRLLNNFSNFIGAFVTIFAAVFALRNPKMDAGAVGLSITYA LSFTEYVLWVVRLYAASEMSMNSVERVGEYLELEVEEEEHAKGIEPPAHWPSREGSVV VEDLTCRYAPQLDPVLQGVSFTIGPREKIGVCGRTGSGKSTLALSFFRFLHRDGGEII IDGLDISKLSLNALRSRLTILPQEAQLFSGTVRDNLDPFDQHSDEAIWEALSQCGLIN SKSRNPSRVQSKSDLTALTPTKTPSKRTLRGQASVRSLMKKVDKKLENLQTTSGGVEE EEVGEEEERVMIRSLEEKVAVGGKNFSQGQRQLLALARGLLKLRSSSFLIMDESTANL DHATDATIQNVLRSEMKDVQMIVIAHRLMTVCGLDKILVLDHGKVIEFGTPYELMQKE NGSFRELCKQSGEETQLLELAKQVHEAKLNGDRTP I203_00038 MIGGSDLSPCFLEDGSSKNANPHLYKRIERADPTQATKASLRSA LRLTGFLGFAAGFMLAYQNSSFRFWGWKENSIEVTKDQAELSQRAKNGQPLYGETELS PYLQGVAARNSTFSQLKLHAFPW I203_00039 MGSSQSHIQNNNPSSSSSSASTSRSPPSPPPTNRRQSSTLSRLK SIRRLSTLGRRDSTNTTCSSKRLRQGSATSDSILSNGGREDGRKKKARGSSPIIPLTP ATITVDQPTEEGEDDLREIPTLDTPYPTGAAKESSEDQVMEDSAEAISSSIQAIQPIP DSHVSADQPDISILPPSPSTIITPISSLGLSTSSPSPAPAPTPASIPLPTTPSSETSD PLSEERLRSLSTIRDALGPDWPNSSPTPAVERLFHRLRRSTSSPDINDQSSSSSSNPH RHNQRTMSDRLTALLGFSTPGHPSSSQTQPQSAVPSSSTLSAQAENADADDATIEELT NRLAQAREELADTERQLNETRERMENVRNRRPPSGAVLIIQGLAQTHAPDPTDEEVHT EDGGNSGTGDGRRRPGMRNRRSSEGSHTLLRRNRNDERERDGSNLETQARMIGGLLTV AAAATATTLLAPSSPPLPATTTRSPAASALESIVNRLRPRPNRPQSVEGALGNYLRTA LQSSREGNQSNPPTNPTTTSNLTTEGTGTEGGSENTPEIISTDFQRFLESVQGDLVGA VREFAGPLPLDTEEEMRELGDAESFVTAPSSVPTPLPATPTHEASTALDDQPIAGPSH TTTPPSTIPTFHRQLGQNLPGDSTRATPQVTGGTNGQPRRLNFFRAHVFPPFPSSTTS HQDGTEQGAANGNEDSPIVPCIFIGVRSIRHDPNMTTDDLVQHPNFPFVDGQVPPPEA NSDTADVESGEEPNSESNVAGIPDPTQSPIRSSTPLPSSTRPTSPSLVAAAGERRTLR ERFMDRLNPLRTASRRNSTHLGPLNTYLVYVIGGNYPQNHPILSIPNLITGGPLTDEE MNLISELMGPAKPPTVNKDDIEKSGLKIVKGSEMDDLRKDGKLLDICGDRCLVCLSEY EPEDECRILNCRHGYHKECVDQWLSKGRNSCPACRSEAVDTTKLPKETTTATVPSTSE NDAARPTSSVDMDVDE I203_00040 MPYSDTPTKQTQRLFLQPDGSKALRRTESIRKYVMSPSPISKSS IDTSPEFSSTFMSRSGTEIRWKDRTIYRIGRNVTAGYPIDLIEQERQEAEHHLLRILL GYPYHGPVLDILKSSSRMNRKRILDMGTGTGIWATDMADLFPHVDVIGTDEVDIQPEL VPPNCEFYISDLTKPLPYPLSSFDIIHIRFLSTRVQSYPSILSQALTLLRPNGLLLLF ENFTFSPPQTVHDITPKGVQAFYDCYKRSFSSSGLKVCKLEDVVGTLRGGGDIKGDLI KVPIGHGTGRMTQLSKIHLINLKAWIESTRYTIIEGGGYTNNEFDILSQAFIDDLEKE ELYTCYHSLWVKKPTNVSQNSE I203_00041 MSNPQRTYTNPFYTPPPAASAPPAGRPPTSPPPSYNNSTRPGQS QSQPSAEPVQYGSLSSLGSGRNPPPPPPASSSSSFNYQPDPQRQGYRAQSEYQSSSGS GGRKLPPVGRDGMMPPVPPEPPRRNVPTSPATASTTAGASSYLKQAQGYIPERAQNTF GAAADRVNDGWRSVATNERKDQVMSGLGKLGAGAAKLTAKGAYQIGKFASK I203_00042 MSSLFTAKASTQPERAQIYSAHLQSTLLPELEVTRHALITVEHD ISEYDDLLKRLDQLEKADDRGIETLSELGAGVWVQTRVSDTKTVTLDLGLDLHMDMPL PDAREYVIKKIDVLKKSVQ I203_00043 MTIVKPAHFVVVPLAMWGHLRPLLHLSLNLLSLHPHLHLTILVT PSTAPRVESELKSTSFAHIYTKSPSASGISTPTSDTVAGKATHHTTLAEQRHEDKAIV DRLQVITCISPKFHLPDQWTPETMAQEGIDYAKTLPSFIKGLMSREHRLDGTINKFED IPLNFMIYDCFQTFIPDVMKGVTSEIQRPSIPLIGFIPSNAAATYHTLAREENGGSFA KMQRLVDEDIARGRDVLEAYAEHGFKTEGIVKTIPGLPPKFDYEWWPNFATIPMPPEA FMSILPSYKAVNDSATKGLICPTSADLEPEAAVALEKELGKRIYMVSPQFPDAVWAGE YPKRKAKNEDDERVFTFLDKMGKKYGPNSVIYVSLGSLFFPVLRPELIKYILESLQES GFPFVFAHASGIAQVPPELLDELKDDENCCVVKFAPQWDVVNHKATGYFLSHCGSNST AEAMLAELPMVCMPFAADQGEFTAMLSEIYRVAIDLRQVKTFTKPEFNKLYDGTIIAG TEEAIKAELKETWDKIRGPEGEAMRQRLKELKAVVKQGWESGRSKRDMVALGTSL I203_00044 MSHALLLRLFLSPYFSVSLAMQYLKTYPDNIGISHYLCWRMKKM PPEEVEFYWPQICHLLLTYPTQSNALESFILSRAEESTHSAMLTFWFMQAALRDLTPS RVTNPRPFMICQRILHKCHEIIFGDPPEPSRSPYRSLPQSPCIAPIRVNNHIPPALVG MGVILASTPGMSGLVELAGEWAITQGRRPRDDPERNASGRSMVEVDQSGGADLSSPNR WNKRNNNGTMLADDEDNSEEDEDANQSQAASTIKRSLLPLHQTQHPQSMIQLQHHSGS STTPNLSRSPSPNPLLGKGRPRKGSDPFGQLTPSQSQPQSISGSISRSASSNRLYPLD RPPPPEALLATYSIDAQRQLLRSHYCRSEVRFLLLLEDISNRLLVIPKPARVSALRAE LTSLNHNLPAEVCMPLWCAADHGHESGGETPAGYPLKSRPGGDRVRSKAHARVVRISP GDSVVLNSAERAPYLIHVEILEGDLDFDPIRRENRDLLKKIVVQEDMKRRKLNHSGSI GGAATGGVTPGRPDLSASNSLGFGGADGPGFGSPMPSSIPRIDPSDQEPDSSRKDITP DEIEEMDLVEQLYGAKLSVHDTIPDLSDSVPLPTAPKNKQLDMVAWEKGSSISEFPSR RSSLGGNGILGSPNPNHLDVPSNSQPTLRSAPSSPNLANQEIGLHAPTKRAITLEDYS ERMRTAAVMLAQLNASLVSPTQEAGGGAQGNQSGSSSWIPGTGWITGKSPGGEGQSSL PPAPKVEAAGGKLKLAAAQAAAIRERIMEEMMALEEERVARMTDRPEGVEVTTSPTPV DGKSDSAGAAAEGGHQTAEDEGIVRRELNKADPSAAVFKESWTAKKSRIRANSPWGHL ANWDVISVIVKTGADLRQEQLATQLIERFSRIWKEEKCECWVRFFRILITGETSGLVE TITDAVSVHSIKKGEYAKRLAEGGPIGHVSLKDHYVNTYGKPDSGRYLRAQRNFIRSL AGYSIVTYLLQIKDRHNGNILVDHDGHLIHIDFGFMLSNSPGNMGFEAAPFKMPLEYV DIMGGLDSPGYMYFKKLFKEGFEAARKHSDSLITIVELMQKNSKLDCFTLFGEQTSTH FRERFQLGLTTHAVDSYLERLIVSSTGSNYTRLYDTFQYYR I203_00045 MIACGAYLYWRRRGSNRSQTSLPLNFPSRTPTGIRLSEDGPPAT TFTHDNASTDSLPTHALQEIPELHQPTPIAYSDTNHPIPSKAASILGIGKNRRSAKGK HKGPIEEEDDDDVESELEELAERGKFGIGDEGEIGSDSDDHERLGPLGKK I203_00046 MISRQAPYDGPWTKRARQTDEWLSQHKVVVSATTASLLSTFAGF PLDSLKSRLQSSRENLSIPRLAAEVVKEEGIGGLWRGFPLPLITISIVRTISFTIYTS TKRILNSVPSTSTSPTTKEAADIAITSWLAGAASGSVVCVGSAPFELVKVRRQLEYQI FRDSHPEIFRPNPPPSAGASLPTNPTPAPKPPAFVPPTTIQAVKLIINSSGYRGLYIG WRLHFIRDTLGTALYFAEYDVMRYYLGRSKSTYHPGQGQGDIGSGAKAEIQGDVPNWA KSFLPKSMIPFLCGSLAGVSSWALIYPVDTKAQQRGLSGLEPRTPWVQLKRLVRGTNK DNPKPWLMGIARLYRGLGVSMIRSMLTHGLLWTIVDAAGNWIETKPFERLAIKTMGEM K I203_00047 MSTRTLLAARAALPRPARLLSGSTRFCVRPTAPLGPIQRYSLHT SGRRRNEHVSPPHTLSTHAAEARASYKDPMTRYTHLIQDKVLREDPSQKEIIKKLQRL WNDLEHYDPGEIPPEVEGKSGGFLSRLLGPHSPSSHPPSIPLDKVPKGLYLYGSVGTG KTMLMDLFHSTLPSQFKSTQKGGYGSTRIHFHSFMLDVLQRQHAVTKKYQMQGLGKKD AMPEVARSLAEEGRVLCFDEFQVTDIVTAMILRQLLERLMSYGVVCVMTSNRHPDELY INGIQRQSFIPAIELIKERFEVVDLDSGTDYRKIPRALSKVYYSPLSPEVKSEMTKLF ESLASTDPISNEVTQNKQIPLWGRNLTVPESSGSIARFKFDDLCNRPLSAADYLEITG RFGTLFVEDVPKLGLGERDQARRFITFIDACYENRTKIFISSEAPIFQIFSDKHGSAA EDEHMKSVMEELGLTSSDIGASSIFQGDEELFAFARCVSRLSQMGTKEWSETTWQEEQ L I203_00048 MSRRPTTTTRGGISRTASSASTSMPPPSRIPNRPPSAITQSTNV NDGSESRSNSPSRRSRKLSAQSVKGKEVANGNANGEINIQVVVRCRGRSQQEVSAASP VITTTTGPMSKAITIETTPLTSSSLASFTTASSYAGSHQPQAKTYPFDKVFGPEADQT MIFNEVADGMLDEVLAGYNCTIFAYGQTGTGKTYTMQGDLELTPLLAPKSTAGIVPRV LHRLFSVLEASENSEFSVKCSYVELYNEELRDLLASEYKGESSGNGGLKLYEDGKKGV NIQGLEETGVRNLKEALNMLEKGVKRRQTAETKMNTESSRSHTIFSITVHVKESSTAR GGEDLLRIGKFNLVDLAGSEAIGRSGATDKRAREAGMINQSLLTLGRVISALVDKGSH IPYRESKLTRLLQDSLGGKTKTCIVATVSPTRSNMEETLSTLDYAIRAKSIRNRPEVN AHMTKTGLLKEYVGDIERLKNELVATREKNGIYIPEEQWREMQEIQTRQKSDYDEAKL KAGIIEVELKTRKKEFDEITFRFLATSEELEQVKEAERQLTDLLEESKTDLDRVKIEL EEEGVISRAYQKGEERLDSVASHLKKTAEESVGDVGGLFDKLARKAKVLGSNADSATR FGGELEGLSQDLRGGLADLQVVHESLGKDIQEKMELFALRGQEMSQSDLKNLDKSFSA FNDLAKKLSASNEKGQKESSESSKAILAIHEEVQSGVRDWAKGVSERSKGMVDDLLEH QQEHLNTVASVLGSTADLVDAVLSTTLSHLTVSSSSAIKSRDMALQASSSEISRLKSQ NILLTQLLAEEKEKSARLRTELIGNLTRMIEGFTQQQDQSWSNAISRVTRENQVALTD MEEFGGVVQSDYEEGVRRRKEVEEDLRMSKETGIRQRQAGEEALNRVRQGLRTKLEGY GAETSQQAESHVQVIDGYCNKLHKSASDVVGKSLARGKKNADILNALSNHVTHSHQSS RSRLSRLSSEIEELSSTLLTSSSTASASFSASNEKASITLQRIMQSTGDFLENGIQED VPTGITPKKKNWNVPTGWERTGSREAVLANWRKRQGENENYNQNSQLAVEVNGNGAGM TEGADGVESHEDIGREENQYQQDDLSSSRESLVQPVSSSRSTSTEVESSPELVTQTIL PPSKTNPLPQPQSQSLRQPSKGKSLLPSKKSMVGMNLDERPNVVILGERVGVNVPRRG GRR I203_00049 MLFPTLLLALPLLSSASLAFSVSNEDRNSTLTSRHNGGGGGPSS NSKGSYQTCARVAGTYGHYKYDFGCICQDDVEQYCKDNGIHGNLQNAMQSYISQYGKS SYYPSNAQPTCDGRGGYTCGSLYKKSDGSCSSSGCSSDHWSPNGSCCPRGQTYSNGRC CGSTGCSSKGGQCTPIYTCPQGQEFKTTQCCKTYLSEMNGQCACPSGYEDDGSKCSPK CKSNEKIDSKSGKCVTVCDENNGFTYQKCKSSDHSLCCSRGQTAYDTVCCSKGQEEID KSGICCTEGVGAKVQNGKCIEPTSKPKPQRRSGIPVQLTLKEDIVPYGMEQNRNGELC PPRMAACPIEGRFTIYTLDQVVKEGEYECVNPLEDLQSCGGCSSLGTGKDCSAIPGAR WMGCNKGQCQVYSCKKGWKTNGDGTACEKLM I203_00050 MLAIRPPLGRVICPACRLFSSLSRTSCPSEHPAIQNRSRSIIFD SPIYNPPSSDTSIKPLKYLRREYEHGTDIKGKRKQKETVEADDPYHVMLNSPLRQCIV TRQKFPSAFMVNLRPTYFPPSSKELTGSLKLLPDRIITRGRSKKGKGVWASCHRTVIQ HLLNDKGPHIGSLRAFPSITIPNNIKTIIHSQLLQRVQNELEWLSNKLSSLSPRAEIS DSSPSSSSSDPIHKEIRHKPILRRLTSVEVSHINNQDGHDRSPAQIGDEGEIIALLDI SGLNSSSIATTGLISSSAPDVEDAIDIPLVSIQGKSIPLYNLSTLFPQSSHLHLNTNI KKILSIERKLKRCTKMSSPRIQGEELSIKLNHSDIIALCIYPSGSVDHIQKQRGVVGI PLFVSVWRLGCFLGQGWVG I203_00051 MADTIVAPTPISNPSSLAPPSSNHPSRPSSANSTRSNGSSRGRG GRSSTNVSRSNSNASSRSNPDKKKQSGPTTTISGGVSLGVGSAAAENPSTGATKKSTG GAGGNRKGKSPNGGKEGDERDNSESGQKNNNKGKKQNNNKKPNPINTNVNAPPGRPAS QNSNSKDTAPKSIHTTVSAPRTAMEAAVDAATKKHNAQSGGDALASLQKMISDLKTLP ASAPSTGSSNGSRSVSANASKESPISATTTKSTTEAVAIPNSSTSTSSNSSKKLKADA PSFTPSFQPATSPVNSQAGLSVSPIAPMPPASVLHPRSVSQSSATNHSTNRRASSGPA FNSNQPTSPIQMYPNSLPPVYQNLASHAELDEDHSALSFAQQAELQFQQQQLLAAHQQ QFQYIQLLQAQIAASQQLAQQQQPQQQHGQMGNFIAPRFQALAQQRAAQQQQQQTALQ LAQAQQIYEIQQAQLLQQQEEARARAIAETMKNEPVFEEDEEPDQRQSQSPYGPTGRP QLAPSFTFGAKPKHAKKESISDKSSARDSMSPPSGHHTSPPVVVNRSEGIGGAAATGL AGLAARAHKRTGSEMSAAMQQQLAIQQEIEALQAKQKALMQEEMSTQGSSALSQLNTA LQQKQTPSQTLSRHRRVQSSLPSATVPTELPERFEEADQPRALRTIGEMPPPPVPSSG GSHSRRHSVNVFNKTAGHGAGFGSVSGDIPIPEDGVAGGERNFGHHRSGSRSGFESGN WRVSGGGSVGNAGPVQVADLAAAQAQLQSLAQFRAAAGGGHSKMASFSFPNMLPNLLA ATTLQTPMGQSLWQQQQAFQMQLQQTSQGPQRKSLFAPYLPQASLPPLLQAGKLVVGV LRVNKKNRSDAYVATDVLEADIYICGSKDRNRALEGDIVAVELLDVDEVWGTKKDKEE KKRKKEENAAYDLKPSTAKKMEKKKDDVEVEGQGLTLFDDEEVNDETKPTYAGHVVAV VERMPGQLFSGQLGVLRPSSAATKEKQELERRERDGDRSGRKDEPEQRPKIVWFKPTD KRVPLIAIPTEQAPADFIDNPDAYGDKLFVATIKRWPITSLHPFGTLVEELGPIGDVE VETSALLKDCNFPTEEFTDLTMKCLPPLPWSIPEREYEVRTDLREQTTFTIDPSAAKD LDDALSVKKNEDGTFTVGVHIADVSYFVKANTAIDREARKRATSVYLVQRAVPMLPPQ LSEELCSLVPDVERLTFSAFFTFDQNGNVQDRKFARSIIKSNAKLSYNDAQQVINGGS IEASKVPGGEVKEIEEDIKVLHDLSFKVRRKRLDAGAILSNKLKVSFALDESGKPIDV DAVKKSEANSLVEEFMLLANISVAHVIANGLPEQALLRRHEAPIDRRLDGFVKRAQKL GFEMDASSAGTLQKSFEAVQDKDTALCLELLKKKAMQRARYFCTGMLDIAKYSHWALN SPLYTHFTSPIRRYADVLVHRMLDACLTSPNPNDVKFLMDRDQVAKCAQQCNMKKQSA KLAEEQSIHLYLCLLIHDLTERYGPVIRQAKVTGVLDAAFDVVIPEFGIEKRVHVDKM PVENVVYDEHKDILSLYWTTQDVLAFLAESTDDTNVQRIKSISEKLSIGSSISQSLEE KSLFDTPQTLTNGSSSSISKSKQYLKSSNSTLNKIDKSSFEGLRSDPSGKHKIQDIKE LSNLPVIITSDITKSPPVLVVYACK I203_00052 MDHINPQQNDDNSIQFDLTEDGPGSPPDFLQATDSTETGSGSNT SNSPTISLRSAPPRNLDGGVLPTTTSLPNLTSVQNPITPFAMEPNWPSQGFYNYYQPE ALRSSFDRQSTSAQTSMHSYDDPMHRRSATLPHNPTFLPPPVPIPHPIHNSANGGNVS LPPLPQTFYGSYPTGSSSNNPNQSQGGSQSTPANIHTLGHNVGYSVSNSSSGGYHYPL TSPIGLTDSFGSGNGPNRIPPVNSYSESPRIPGYSSSSPGGPGLHHQHHLSPTSPTSH LLHGMNPSSASTSSSSFPSIQRTPANLPSSRGKHKRRSTSASHSTESWDEIERNFVPT STEVETRELGDEQPWGMPQSEYKALNPRDKKQVRNRIGARRFRAKRKDYVNNLETTLR MKDDEITTLRIRCESQQSEINNLRNKLGLPTIEYPTAQPQSNDTSGLGLVMNQSNPTP NGNASGDNNGSSTHSSNSNNGGNRNEWGKTKIENVLQ I203_00053 MAPSGLVISKLGIQATASDEVVKGLMCLKISLPKDAEGRPGARW ALFSSTPPKLLSTPTIYPLPLPLPASRAPQLRKASRLLALPQPSTYPPSSPSGLGGKP YIDVSSTTGKVYVVVDPVSSRRGSMNHRASGSSSAQSTSTGRKEWLICMDFEIALEKG VEEDISKVLLPIPRCLDNTIRFQILSHTNASSSSLTNQEVDIFTDPKMLPLPTNAFPS PVSQSKARVTGRKVKGKGKIKATVGEEGWEDGEVLGPDDVPTESDEDTEVSDVDDDDS EEDGGSWLEGRFPSTEILRLEWSFNSPALSDIPSLQVSPMWNRQQSSISIAYLAHIPN QDNPVQLDIDVPNGWSWSELSIQGESLSNWRCLDGEWGSQSSDPDDTMEQGEEFEDSF ATVKAKRAHPPLTPSSSTHSTISNFLPTTRSTSSSSASLMRQTFPSLNGSDRIEDFSF ELSSIEQQNQKPLTPKSLRKSPLQMLVNSTSSSTQSKWDEPRFGRLFNLYFKEEESND KTITIQGTLIPLDKMLLVSSALPVKIPLITIDNAESNQCQVECPSAIYGTATQSTSDI ELVDVSLGGRLFWTGSDGGILEVNNNGMINGDVRVRIRRSPWGIIMASMRFPFPPKSD EAGFSLKHADHIRLIRTSVEGVEVPRAMYEDGGISKIRIAQRDKRSAGGTVEVEWEMV MGLNGEIGLPCFDSAEGEMKLELVGEEWIPYLKSTTTNMKTLSSTLYTLPLSSPYPPT LSISSYSSMTSRRKTLLSFSTLMNLFLLWLLLSMGQQLQRIKNEVDFVRDEYRDLGLY VYGSGDTVKSRDHGSLVTTTTTTIASTSIILNTASALPSSNTGTPGKILKEDKKQDNN VDVVVVDHSASHSLSRVVARSLKGWENVFGHPT I203_00054 MPKPTAEDQEFFQRIIGSAGYGNHLSKHFTIYEIDEVPKEDERG WRKVDGFRMSFIGKVTEDMSNLSGNMHGAMYSWIVDTMTSATIIAIHTPTFWGLPDFA GVTLTMELQCLNAAPLNTELLIEVEIIKCSVKLANLRCDIKNLKSGKVYATGTHLKMW KEPQPEKENKSIQAKL I203_00055 MLNCHLLRSLLRTELSDTSVSIRIEPEEQSISNPSKLIYSMDLD SSRWLTLTGFLHWSIENTIVALQLIIEYPETYPDVIPIISLEEIDDEDGLREGEEDVV LDNLKEVAEESIGMAMTFTIASAAKEALALLIVDRARKEKEEDDRKTREYEEAEAART RGTPLTKELFDKWRKSFTAEIKAKREKEEDDRVRALNPKEREEWRKRKERPTGRQLFE SSTTLATSDEGLYEEGVEEVDMRKYTREQREAERRKEEEDEERRRRGLVGDGDSDNE I203_00056 MSTPSTSTPTPPTLTPSQARLAALNRLKAKNKLTSTTNATAGPS TRDNDGSGGHPYVHKAGNVPSTARNMVQQQQQSKDEAQAPLRRDPGLGKYFEYDLSKL HNSRGGFLTEEDKEGDRIKSIVELAREKEREKRMIREGEEPAIIIDKSPRCVECNTLE INHQFLKVFDVTVCKNCEKKYPEKYSLLTKTECKEDYLLTDPELKDEDLLPHLLRPNP HASTYSNMMLFLRIQVEKVAWDKWGGPEGLDNEWKRREEFKKRKREEKFEQGLRDLRK RTRNNLYQRKQESEHIHQFEDADEMVDESGDRKVLQRCFGCGAEQEIEVL I203_00057 MAPRKSVNNGGKNAELAPALEPTINSSIEKEKEDVSVISTLATD PLPTIKVNNANLGEVKAALDEIVKKHLQNQSFTPSLLHPTVHLSLGYSSVILALSSVL YSLKVDFEDSKPILWIAVVGYTILQVVLWAWKKWIEKGEVFKGKRRRMVKRIETDHIQ ILSSTSLDSPSQPQLTISPHTRPSSPSSVPTSPNPSTSPSTIPNLPTTTLSSSTNGSE TVVGPSYNLQLTLSITSNNGKSLIHKSRLIVGKLIGELIDEDGGIEKNEFERWLNTVL DDLGAGADGEDEFEGNKEE I203_00058 MTLRNTSGKFSLSNFGRKVSGAVEPNSPTRTSNHNQHASGYTDD ESPSRETHGGSGNGAGFDGLGKKLKGTLAHQSILPGLGNKDMRALQDIITTEKGVMQM AEKLAVETQRASTALPPYGVQEGPDLQDILTQSSNLLGQLTTALNIFASHQSNMRSCL KRIREREEQLMDLRSRRRNTGSKADQAERKLAKMGPENKQLPQQTELLEKLRLDMRNM DQDIVTEETKIGDFKRQVIKEALSLKFGGLEELGEKMCIIGELGKLLLEEVPLEETPV GYGRAPYTGYEKTENAVNEATRCLSTVQFHAANSAPKPPGLPDPPFGQALRPPSLPRE REEPNVAAAEEFANYPGNVASPDHKGKGREFSLDTADPYGGISANPYDPAHTTHAPQS HIYSEFGGHRRETGEVMFNHDDHHPDPAPQLPPIGLGGPSGSAQPHTPIEDTQPEHGY EYEQQKAMDADEAWKKLEREEQAWKEAAEKEELEQEQHDTSGVGLPNPYSSEEKGPEG TLKSPWEPLNVKRGNTPEPYPPASQAPAPAPAATAAPGLGQPLNIPPPPVITNNEVVK SPTTLDIPPLPRPSFTPAGSEFYTPAEVLDRDPLASPSLPSANGSVGGKISAAAFRKG TKPRGSLEPDDESDSTSKMIRRLPVPPTNAASIALPASPAPGKENPYDTGRFDDAGQI QGRTPHSPPPGYQPEDSLR I203_00059 MKESKANVRFLPSSEHPTVNNRQRIKRPISPPPLGHTKPLNHSL ILHLHHNPVNDMNKFDHTKYWNDYYSSPDIGDDDKLPKPPSKIKLYSTKWKLENPNPS SKPPSSHGEQAFYGNPMMMGYGGGGMGMGMGMYPGMSGMGPMGMGMGYGMGSGMGMMG YGMPRYGGYSMYGGYGGYGMVDPLLGQYGAPPAANFVDDFPDAHRNEHAYRQSAPDAY GMGIGMMGGGMGINNIPGSQVMLYGRGMPYNGWYA I203_00060 MNPFPTSDSILPTPPPESNLLSSSKATVYFPNPVHPIALEYAKS KFGRVIVNMNDHRGEVCDIEKDNDILKVADGIVNRANPLPKSFLEKCKNLKGISVVGV GYDSVDIAFCRNNKISVFILHNFLLNGLLIVAMNSPDCPGINSTSVSELTLTLTLCLL RKVTQLNNRIKSLAEGEKVLAIDNLGNELRGKKVGMIGMGNTARKTGELFHHAFECPL HIYSPTSPSNKWNSSDPSCQGALPHTRHSNLETLLPEIDILTIHCPLTLTTKDLITER QLRLMKSSAILINMSRGYVVNESDLYNALREGWIASAASDVFQVEPVIRKCMNRLDEL DNFIATPHM I203_00061 MAAATASAPVGISDSSFTDKGKPTEVRLSNMNAAKAVADAVRTS LGPKGMDKMIQTSTGEVVITNDGATILKHMAVLHPAARMLVELSQAQDIEAGDGTTSV VVLAGSLLSAAEKLLNQGIHPTTVAQSFQKAASKAVEFLDEMSIPVDLNDRESLLKAA RTSLNSKIVSQYSSTLAPIAVSAVTRLVSSSSHNVDLRDIRIVKKVGGTIEDTELVEG LALNQIAMSNAGGPTRMEKAKIGLIQFQLSSPKPDMDNQIVVNDYRQMDKILKEERQY LLNLCKRIKKTGCNVLLIQKSILRDAVTDLSLHFLAKLKILVIKDIERDEIDFIAKST GSKPVADIEAFTEDKLGYAELVEETSQAGAKVVKVTGVKNPGQTVSVVCTGANDLVLE ESERSLHDALCVVRCLVKKRALIAGGGAPEINVSRLLTEYAHTLKGKEAYCFQAFAEA LEIIPTTLAENAGLNPIAIVTELRNKHALGDKNAGINVKKGIISNILEENVVQPLLVS TSALELATETVALILRIDDIQVSYLKIVWRLDLQADILFSLNSSHDR I203_00062 MSAARKSRSAEKEIEPEPMEIEEPAVDGVEEEDEEEEGEEEGEY EVEAIVDHRQKKGKQAGKYEYLVSWKGYGPEHNTWEPEEHVSHASDVVSRYWAGRPKN AVPPQETKKRGRSSVGGSSTPVPASQKIKSAQPTNGFSRRKSQKADEDDEELPEFEIS HVDSTAKYEDVPDWEDTVTSVDTIERSSKDELVIYLTMVGGEKVAVPTDLAYKRCPQK VLKFYEKHLKYVLFLLPHHLTSYQH I203_00063 MRNLLVLLSLSLSLGPYLIRAQSSDSNTNEEEPSGLDTLFGGIF SDISGSNSSDDPLPSTQAITDYQSFILSNDFEITDQPQLREYNFEIKTATASPDGFTR QVYTINGQFPAPVIECNTGDTIRVHVKNSLDIPQTIHWHGISQNGTNVMDGVPGITQC PIPPGGSFTYEFPIIEQYGTYWYHSHFANTMADGIAGAFIIHSPNDKLKRGTDYDEER VLLIQDWMWDQSTDIVAALKSPEGYRGSPGAPKGDSILINNIGQTNCSSPNYPEGAEC NPPRPAEIPVIVGKKTRFRIINIGAHSMLRVSIDQHEFEVAEVDTDPVFGPTIHEVPI APAQRYSIIVDANQGKAGDKFWLRANVAAGCMDKVKQDGLAVFRYTNNNEQYDDGDET PDTQPWDDLAEFDSPCRDLDDQYTLTPRDPIDAFTKPLQTHALSSKFGTFLGMQGQNI TGFAFNNISFQNQIWDPMLPHVIDSGHYSVDNVATVTFDCDGYVDLILNNLDAGIDHP YHLHGNEFQLIKRGDGNLTVEEAQAMDLSNITNPLRRDTIFIPGNAYAILRVRTDNPG VWALHCHIGWHLAVGKLATMIVRPDDIKNFDQPEDWKGLCAGQNPDEEGPARRSISSS NPASGHLRSLREVKNRIISRQGPRDE I203_00064 MPTVTVLPISHDRNSGHESLSPHLPFHLSDNLPVCRPPSPRLTP TQTLSAHLRTISPMKSLHHPVDSQTELSLDTTSSGDDSDSSDTTETLEQLVNDHNHVG AGHDAHARPHICTYADCNKAFARKSDLARHFRIHTNDRPFTCTYRGCGKSFIQRSALT VHYRVHTGERPHHCETCNKAFADSSSLARHRRIHTGMRPYTCKVPGCGKPFARRNTLL KHWKRQHPHLPPPSTNTHRNNIHTPVPNVRTSSASFPPSNGEYHPATPSSAGAPHGYA TLHPSEGTAYPFSGGLSGGPIFGGASGQSSYVIPGHPGGYRPPQFYQSPQAQGSVALT PISTTASHFGDSIHQGSNSQTPSTPAAGGFGGDLKHQIHSGPASTHPGLSPSPGINQQ GFSQVQYPSSFNSYSQSAGYSLSRFPSEGGGMIYTDSRPFGNMSQRSVSNPLEAPKFQ PYMAAGPYGHVGGGFHPSQLAIPHNQHPQLMPSYYHSMHMGLQPRSAGEVKLEFPGSP NGSISDDDDEPLVALSEAPPSIALHPPTGPAMNMPFSAIENSNFGQFPHAQPQVLAVQ QSSSGRLHSAPPTLQRFKSLPTVPTVYQWSQVNGYQSHSAGDAKSADEEWEDIADEML SREASVADDTQITPATVEHKAPTNNVGEGNQWGEPIEYPVPPLETRKNPFSSAASSSS TSSTLVGSSIHTLPPNHLPPISVFTHASQPMALTPINPNGFYPTPITPANWSHDGFKP HAVFESPAMVNHQQHFDRDQENDHSQEITLTTPPKWIEQQKKDGRTVSAVGLGIANVH FDDRENDIVAQGKEDRDWDPTCEGEDERISEDDTVDDDGSDDEFVLGSRPKKGRTSTG RGRGRGRGSRGMGVRRGRK I203_00065 MVLLRSALSLSRSSLSIGSSLRHSIRSHNSSSSPTISPLYPHSS TNSYSLGLSYASKYSPPFIAPNQKIKPYGFMNRDKENGIVRWVNEMMDFPAGRGELTS GQDGGWSESVQKDVRKYGAGEDFFGIQRVGNDLHLSLSDGVGGWTDRVDPSLFSQALC YHYSTISSQFASSSPVEILDKAYRNLLDDQRVVAGGATLVGVRLGEEGDASFVNLGDS GYAIMKNDQIKYISKPQTHFFNCPLQLSKIPKDMRQAGIIHDTPNMADAKEIELEVGD VIILFTDGLSDNLPTSHLPLLSSSLTQLLNSPLNSHLTQTERDAEYARLFADILVGYG RMAMARTGDEKGWKTPFEIEAMEKVPRNNWRGGKIDE I203_00066 MSILPRLISSVRPSLSGPIRGTAALRSYHIQSKPSAEKGRTEPQ YKYKFLEGNPTVGIVGCPFSGGQGRTGVDLAPNKLISAGLVDQLSSLGWEVHYNSQEK FIDIPYNPIPASSPSAPENGTEINERSGKKVQRLPDEDIGKMKKPRLVSAVNREVSKE VEEIAKKGWLPLTLGGDHSLAMGTVSGTKAKYPDACLIWVDAHADINTPDTTDSGNLH GCPVSFLLGLEGTDVAPFNEWLKPCLKPEEIVYIGLRDVDGPEKEILKKHGIKAFSMH HVDKYGIGKVVEMALDHVNPDRSKPIHLSYDVDALDPMVAPSTGTPVRGGLTFREGHY ITEALAETGCLVSVDIMEVNPSLLDPSSVEKTVAAGCSLARASLGETLL I203_00067 MRVFVTGATEFIGSYVVEEMQQEKRHEISGLARSDIAAKKLTKQ GIKVVRGSLKDLDILHKAAKESDGVIHLGYVHDFVDENAIREMCSALEGTNKPFIGTS ELLGVGGSGTTSLETDRAATFRQNAEDLLHSFANKGVRTVVIRASPIVHGNGDHMLLP LLIDKAKQKGYAGYLGEGSNHWTGVHVKDLAVLYRLTLEKENVKGGSTLHGVDRDDEG ITMKELATSISKHLNIPLKSLNDEEGQGVLWVAVLVYDSGF I203_00068 MVMASHGRAPWYGPDGKNVEAYVIGIAGGSASGKTSVARAILSA LNYIPTVLILSQDAFYCAHTPEEIELAFNNDLDLDHPNSIDTPLFVKCLLDLKQGKAT EIPVYSFVHHQRMPEKKYIYGASVIIVEGIMALQAPELRALYDLKVFVNCDSDLMLAR RIKRDVKERGRDVDGILDQYLRFVKNSYDNFVQPSSRYADIIVPGSSNQLAIELLVTH VKRQLDTRSLRFRRMLAEAGEERSKARSRASTLVDGQTDSGSEDNVVLLEQTNQLLGI MTILRDRTTDRGEFIFHADRLSTIVVEKALTLIPCEPKTIRTPLGIDYQGLARDDRLV GISILRSGGPFSHGLRRVIRDVPIGAMLIQSDPRTGEPLLLKSDLPASIKSRDTSGEV KVLLLDSQMGTGAAAMMAIRVLLDHGIKPLNIIFLTYIITEPAIHSVHRAFPEVKIVT ASIDPELSEMHIPYNSDSLSLGEGPISAGEADFATRTIPSTPSMGEEEVREKQKPDPR DALKTEAELSSNKFKVQVKETEKLKFSRVHNRGESGEKRAWVISPGKLALDEDGMTTR F I203_00069 MSASTPIRIAGATAAVALTGFLGYAVYFDYMRRHSPEFRKGLKK QHKKLAVAAEAQSKAEKERNSKLLRQALVEIQLEAVPSSPEQQEAYFQEQVAEGEKTA TMGPEYQVKSASHFYRALRVYPQPLELLGIYQRVVPPPVWALLIELTSLSGASAASSS GPASTAIPPPPQASVADIDDASPVVGEAPSPTSASASQGSGTDWEKVSEEAA I203_00070 MGNCFSDPSHKPSKGQGQGQKLGSAPAPSQQQQKTQTKSTIKPP TNHEPPRTLGGGSVSASGDIADPRERALKAAEERAKASQNKGVNTSNPKAGQLSAKLA AENRSKSTSNNTNDQRMMDRGEWN I203_00071 MSSTLLVRGLSKPATRLSSIRSIHSSMTPRGPALNLKTINPSIL DVHYAVRGELAIKADKYSQQLASPGPSSGSNTSNVETLDHDLPFKHVVTANIGNPQQK GLNQKPLTYWRQIISLLEYPDLFEKHQELAEKIYPKDVIARAKSLYKEIGSVGAYTHS KGVLAIRKRVAKFIEERDGYPADPESIYLTAGASAGVSSILSIALQQGDGCMIPIPQY PLYTATLAYLNAEPLPYYLSEEREWSMDHDTLLKAVSEAKAKGTPVKALVIINPGNPT GACLSKEAMEAVVHLCYEEGIVLLADEVYQLNIYDPSHRPFISFKKILKSMPEHIANS VELISFHSISKGVSGECGRRGGYFECVNIDEKVMEQVYKMASVILCPPVSGQIGVDLM VSPPPTNSESYPLWREETSLIHNNLKERSYLMAEHFNKLPGMSCNDAEGAMYLFPKIE MPEKAIEEAKKRGKEADVMYALDLLDATGICAVAGSGFGQEPGTYHIRVTALCPETKE FVGRFEKFHKEFMDKYK I203_00072 MSETITSPQPEASTSAGTSHDAVPARTTGRTRVKSQRVLEAEET KRYLHKQAQAQSQAEEVVSALEKPASKSKSQAKGKKSKGKKVAEGQVYCICKTDNDGP MIECGECNDWYHFNCMGLTDDEAEKIHVYVCPECTETTNKKTTYKYDISTFPSPSPPP GVIPTKRKSSKQKQEQKQKQPKATRTPSQTSDVVSSSGSESEAEIVHSSSSRHSSVQP TPPPQSKKPRTSISADIKRKPSVAIDRKPSIDSKPSSGSGISGLPPVRKYVREKFIPL FTKVFGNTTPHEKIERFSAEVEDGIYSNFKDVVNGKEIAGTRYKTQFNLLSSSIARGL RPDLIASITSHTLIPLQIATLTSADLASEEQRAAIQRAKQSVLEQTVKSKSDSEPSSS IRLGRDGFEKVENAHEKEMILLAQQEELARLKAEEDKKRELEKINNPSSDVSVSVSEK SPMTKDQPKFKVEHKRSESIDTNTILPSPLRQTSLIVNSAWNKDRDREENDDDNANRE ETFNVDQSNLDLSDIIGDTDMEIDDELNDKPEEVKKSEIEVFESKEILWSGGIVNPAN LSKIIPPMSLRLTCRPSSSSSTSIDWNVLLPHKTIEITGRVPTANSLQYLSDMRLNPT KELITIAFSLDGSAKDEEILTWEEMVDYHISRDRHAIYLPYGSHPPQGAAKELYLIPL RPQDDSPEFTELIDGYSLPKKGRSTSVFLGIFIFNKSSTPTPAPAARPPQPQPQPQST PSSASGLGVPVIQNDQLQALMASLNPTALQSLVGGITPTPIPTGGSTPPIVAGGTMPT QYPVSQYPYQNQYPQQQQQQGYLPYPPSSGGYTPQPPSGPTRDWRDREREREREGGSG RDPRKRDNGWGNRDRDRDRRY I203_00073 MAYRQTNDPFADSSQHATLDTDPFSDPVKDPFSQPNESSVSFGG QSTNAYGDYGRGGGNDTSRADELSRREAELARREQELARREQENAIHRNNWPPFYPIV HYDPNTIEDPTKRQTITLIGYQWYALAATLIINMLGCIFLLLAGASEGGADLASSISY VVVIGLASFILWFRPIYLGYCRTEGKTMAVFFYIYFLFGGFHLLYSIYMLVGIPSTGS AGLINTIGMFTQGHILAAVFGTISTVGWAFQVLGGGFLYKRVWDFKNGNGDISMENAT NQLKASSIKTIVLHQSRM I203_00074 MASSMVPTSAQMKVKKRVVNLVNPADPQDFSAQSISPSTSPNTS AHMEEEPVTEEKITPPIMRFSERTQTFNFTPGVKGILRSTGTPGSGNGVRFFPKNKFR IITPNASVHQPTPKPPASPTNSFFSQLLAVTIPSMSPRRGSASGEVKGADESWEIPGQ EGEISLVASSIASGDRSIENHNWDEQVDEREIDESIDDVREDSWNGQPQIHCSPLALP EGDANTSKETSLIGFELPSTELQYPDDMSNLLSTRFQPEDPSFSINDLPSSNLPTAKD LQTLSPIKEDLTNRTEDEFWNVPTSTNVPDDRSGSSEHSDLRAPDLSDPTIRRPITSA VNASDQTTSPTPIGRPYNTSSIFADMSAEQAELTWPLTRRNEDDDQELDSNFPTPIKS SSPPSSKSLQTPKAGAGAGDITQFFDTTMTMSFTSPSPEAIVLRSSSSFATQAQDFMV PTQKLFEAQVAHTSALTAELELYKDLAKKLQDEVVERDECLAKLNLRALEAEVLHDQV QDLQREMTSLRSESRRKSLSPSPVDSPTLLATAGLRARQGVVGMSDRTMAAQSEAKEL EIRLAKTLADSEDMGRELHQVQIDKKQLKDELNVLRIQKQEMEDQERDRLVKEQGHID EIELLRKKLEDAHQRIDGSTNGHDHEEEIRQLQEELKEAHQHIVDLQSYEDEMHALKA ELDSAHRQLYEYESRHNDEDNIQKDREDARTRLDQVEQELEDTKRQLGDKEQEVHTLQ DELDKVNGQLDNWANGSMVQHELDEAKEKIKELKIHVKELTENKLVDEDEIETLLNQV DKFKGYRKSEEEMKKRVNEVENRLDVEISRRKELEKRFKDEEELVRELEKENEHLRYA VDQAEQLAQASSSNAPSLSKMKEEITKLRSESASKDLEILNLQRRKTELKEDREMLNI ALDSKQQELELMKRKFAVKGIAGSTPLGTSQKTNIGISSTTLETPLVGKGIQTRRRSS LAQSQSQTPLVLPNVPKHLPSSLQTPINGRRASYGVQLHPSTRIANRVMKRLEEENDE QENQPPVHEFIRSRRQRVLA I203_00075 MVAEPITESSKPPTQGKQPKQPKPQNPKPEKGGGEKVKSAKDLK KEKRAAAVAARGGENAGAGHEGGRPTLSVTNTGAAGESRQAAFAPSGSASASAGGPGP SKPQRPAHFSEPSGLSLSNIQQNLFFSHLPHQDFPDTSAALINGKLHPLIVRLGVLMS SGQLRGANARTMGMMSAFREVIRDYECPDQAVLWKDLPIYLSPMIAWLEGCRPKGVGG GNAIRWLKSEINRLGEQGDRSEAEQKDYLVEAIGLYLRDRIEFADKVIADNAKEKIRN GDTVVTYARSSVVERVLLEAHRDMKVSDPEAGFKVVVVDSRPLLEGRQSLEALSSAGI PCTYILLPLLSSILPQADLVLLGGSALHSDGALYSRSGTAIVSMLAKEHRVPVVACVE TYKFGEKVVLDGVATNELGSVDNLLSIPNNLSFGIKPNDLSSTTGGVGKHNLTPLHLV YDVTPPSLITAVCTEIGFIPPSSVPTVLGKSSSVV I203_00076 MASSSYTAAQALDRARDLLQSIGPKAGNQAKRQFQEHLITLPSY PEYENKAFFGTLVAKYFGEFEDLQDTAIDALLDLCEDEDEKVRIIGIKGLGPTGKADP RWVRGNTGVLLQLLACQPRELRYVKESLQTLFSVSPSEVFQVMIDDCKNTEEETGTSR LNILQYLQNDLAERRKEMLESGINPEAENVVREGLFEILEHANERESKILFGILENLS TISGKNVNQKTKSKYIKALIRSVPSKSSIEKTQPLLESFRRYIEKASPIDPRVGILLF VKHGEVVIRQSMTKNDSTAIWLVNRLKGCTREVLDKWTMKGDDQDLEEDNLAPAFVQN VLPPFLDECVTLFKKGNLASVGDLIEPILYAIYRLTTIHDRRSNLVIRADSDILSDLA KEAIKVERRLQKGSAEAEKWANIIDMAEILANPRTKVVKIVPSWEISSSRAPTAPASS SCYVDVPQRTATPPSAPRGPRASQAPPSGPRSSQAGPSSYNSKPIPSGSSNGNRFSVP SGPSSSRSQPGNYDRRDRSPERRPRSPQRRPRSPDRRARSPDRNRRNIDRRSRSRSVE RKPPTGPSIKREPTALPPRPAATIDRHPTPPPDQPKRSIPAGPSGQIKLDTTRELLSI RNAATKTADKEDIQPTITASNPTKPLSPISIRSSQPKKKASAEPLERVENKLSEGPSK RISLAERLGAPASATVTPTSNKRPRENDETQNIKEARKEDGQTKQADRPSLLSRLASR DGEMPQPKRVKEVPSKEVIQTPTAEATRKAEGGRLSLLDRINGSGKSSQSTIPTNSSI PSPSLATITIRSENTTQRNDKLSSVQSTGTSKGLSILNRSATSSPQPQPQPQPPNLPA KPTQSLSFLSRSTNSPSIPSAPKGFSILNRASSSSSLNPSTATRKMPLQIAEEKDVVR KGRGFRDRTPDGEGIGIDINMNEPTFPTGDVGVDGGTNLAGRLSNGNGFGGGFRGGVR GRGGARAGFGFGNNFGSR I203_00077 MSGPRTPTISRPHTPNGLSPRGSYTNLSAALDASTPGSSTPALM AEKERMRAEAEVREALLKAADGAEKAKKEETGMPAGSPVWPILSYCVASIMMTVVNKF VVSGHQFTMTFLLLTIQSAVCVACVWTVKRIGFISFRDFDMNDAKTWFPVSFLLVAVI YTGSKSLQFLSIPVYTIFKNLTIILIAYGEVLWFGGNVTGLTLVSFFLMVGSSIIAAW SDISSTLARLSAGVAVLDPTSGADVPLPSGVMGSLNAGYVWMFINCVASAAYVLFMRK RIKVTGFKDWDSMFYNNLLSIPVLLIFSLIVEDWGSASFARNFPEVGRTFLLSAIAFS GAVAVFISYSTAWCVRTCGSTTYSMVGALNKLPVAASGILFFGDPANLGNVSAIGVGG IAGVVYAVAKTNQAKIEKAKQARSGDSKA I203_00078 MTGGAFFAPSGADEEYDLDEQGNERHSVQWIGTAQVKGPKWAKL PLLTVGMLGIQCVWSIEMGYASPYLLELGLSKSFMSLVFMAGPLSGLIVQPLIGIFAD RSRSPLGRRRPFMLAGCAICVFAMMMLGWTREISGLVNGGKWLAIALAVWSIYLIDFS INAVMSTDRALVVDTLPPREQEEGSAWAGRMFGFGSVFGFFVGNLDLPPVLPFLGKTQ LQILSFMTSAILVISHTFTSWAVSERVLLRDDRPQSQSSLKANLKSIWDNMFSLPPGI RTICFVQFFASLGWFPILFFTTVWVSEIYKASVPIPEGMDEATFAADAVRSGARALLL QALVNIVTSIGFPFLVSESGVQPESAYTYSSDGSTWQIPFKGLTLIKVWWISQFVFAG AMAASWFVTTVSGAYFVIATTGFCWALSQWAPYSLLGELILIDGTIDRSSPLSIMQSR PSVDIRHSISSNRYSYDPPRDQASSSHPLPNEASFVIHESDDEDSPPESKEKATAVDE NDEKGNKRLSGGLSPLIIQGETVGEPEPGLGSTVILRHSDEYSRSDISDDDDEEGADD DQRRISTQSGRRAITPSPTSPRTSSSATRTQEKGQSTADKAGVILGIHNVFLVLPQFV VTFLSSIIFYLMEPEKGLPAHHPHTTIPLTGNVTDSSAVTEGLEGVVAGLGEEVVKRL IVGRAEGMVEGGSPDAVGLIFRIGGMSAAIGGWICWRLSRNWARGKGI I203_00079 MASQPNPKAFPLANAQLTNQILDLIQQAQHYKQLKKGANEATKT LNRGICEFIVMTADVEPIEIVLHLPLLCEDKNVPYVFLPSKTALGRACGVSRPVIAAS VTTNEARELNAQIQAVKNEIEKLLI I203_00080 MTSASTSSFPEICAEWISCLQRNVIVTLSPVSSTKQQYPLTILL KEPGRGCMLHVPRTTNRSSGGVESRWQMINPDNESIRPYLPTQQEIERVSQMMERERN DPLPRDTPYPRFHQMTLDSATDKSIDTLAVVRPGFTILIPSNNSAENGGIGKKSHYLL LDEDIDFTNADEISAKRFEGQVTSIVESIATPIEPINVRDDPEPKSQESTGLEEDEEI PLSQALEESRISHTDENQIIGDDGSQSDNSSRITTQRSKLRHLGRFMNYLRSKTR I203_00081 MSGLFGAVRKFFRPKGLVGYDLQGNKYFEIPNPAGGRMKRFVQY KKNRDLAEYSRAELRPPVQWRAWLSHTRVEPPTLQELEYDYSRQQGLQPKIAAIEARE REERIRQGYLLPDGSVPQAPSQIAGPSTPSERAARLKQIGQPPPQPQHVNSLNSETVP SSSYPIPTPTNDKVAEPSKTVDPAQHSSAEDLRRLAMEDTKRRIAESENQQQLQQQQQ IQGKKGVEGVGLGLAGERLKPRRRGRA I203_00082 MATLAPPQAIPEKFQLPTPPEVATSTPILNYAPTRSPIDIFKMA VAILVSEAFEEKLEKIYPAVEMGKKGCDFSVAIVRFKKGKPADLEVWAKKVIDNFKPS ACLSAVSTPDNKFLLFQMNKDSFNYHLLRHITLTSEAALANPTDPTLSYGTTTEGQGK HMLIDFSSPNIAKPFHAGHLRSTIIGTVISNLYEANGWRVTRLNYLGDWGTQYGLLSV GFDKFGDEQELIKDPIHHLFQVYVKINNAKAEQKERLDAGETIPEEDQIHFQAKKVFK DMEDGEPKAIAQWARFRDLSIEKLKGTYEKLNVHFDVYWGESQVSTESMDRATRIVQE KNLTCEDRGALLVDLTKYKMDKAIIRKADGTTIYLTRDLGGLHDKWEKYHFDKHIYVV QAAQSLHFNQLFKTAELMGEPYADKLQHISFGLVKGMSTRKGTVVFLEDIMEEATETM HEQMKSNEAKYAQVEDPLGTSAIIGTTAVKIQDMAGKRINDYDFDIKRCTSFEGDFGP FIQYSHVRLCSVQRKNPNVPVPVSVNEIDISLLNEPKINDIMYHLATYPQTVKNAYNS SEPSQLVTWCFRLSHLVGGAWETVKVAGADEETAKARLFLYIQTRVVLANAMRLLSLT PIERM I203_00083 MSSFLILLLVCRFLTSTRGFIVPNQRHGRQRDIDIGKRGNQAYF NPDSYILSSDFEITNVPVCSHLLPRSCGTHQADCGIFCLGVNRSRGRGNTGLILSKES LTHTPLRRFSLNYGTIWQIRLTCDNAYTFSTTNANPDGYTRRIYTVNGQFPGPLIEVN QGDTLVVHVQNNLGTPQAIHWHGLTQNGTSYMDGVPGMTQCPIPPGGSFTYEFPVTNQ GGTYWWHSHYSNDLQEGIYGPLIVHDTQEPLKRGQDYDEDRIVLVGDWWHDRAEVIVN GLISSAGYRGTVASPEPDTILINGIGEANCSSVLYPSGASCDPPARPEIPLPTDKRVR LRFINTASHSHLRVSIDGHELEVVEADSTAVWGPTIHELPISEAQRYSVIIDTNKGKA GDKFWLRVNQATVCQATASPQWALGVITYSDEQGQAGTGGNNGTWPNTKSWPDLGGSD QPCRDLDEDYSLYPRIAKDAYEKTIFTHSFSSQFGVWTLFNGQQLTGFAVNNVSSQNI INDPILEIVEREGTFDRPYVSTVMVWEDGYMDTVINNLDPIDHPFHIHGNDFQVVKRG AGNVTAEQVKGMNIRVSNPLRRDTLWIPGLSYAVLRIRTDNPGVWTLHCHIGWHLAQG KLGALIVRPQDIQNNAARPSSWSNLCAGQDRNAIGPNKRTVVSPLFPF I203_00084 MKVFITGASGWVGSHVVPELQKHGHTITAIARSDDSAAALEKQG VKVIRAGLEDVDILHSSAKEADAVIHLAYIHDFSDYGGKPAQVDFAAIRAMASALEGT NKPFVGTGGALGLAEPQTENESSAFGGPRKAAEDIAFSFTSKGVRPIVVRLSPTTHGE GDHGFIAHLVQCARDSGYSAYVKDSKIRWSAVHVDDAAVLYRLAIEKDLPGGTILHGV AESGIPFSTITKKIGDQLGFETKELEDEDEIKKHFKWMAFLLNFDCNASSKITREKTG WEPKGKGLLEDIGTGKYFV I203_00085 MPSSPPIDLQLTSSANIEPVDQVNPEGIHIHDELDLLPTTPLRV LPRKIPHSKPKKIVSKWKGKRARDLTLTDEGECPLLNLPEDLIHTLLSRIPPRDLTRL AQTCKDLYEELEDESIWRQSYVNRFLYDGAARDIRAKEDVKILVQGCLNTGGRGWKRE ALNREAMINRWTESKASMVIHTPPTGLVHSLSLSYPPFIPAPSKSLVVGKNHQTPTKG KASPILLATTPQSANTEPSSSTLPSTPGGEVNSPTPAKLTHRQKYEAILAATTRPPPH VLSASLVNGGVVRSDPISGKVSKGFWGPGRDANFHLRPHLDPTAEPSSLVLPTRSQSF ILWGLRTGSCVHTTVQSRHHATHGGRASSINVYSEFPDSHHGEIIDIWAPEHRSLAPE SLRWVTGGEDGRVKLWQFHPGTGSRSGKRTPNEAIPASIQCLFTSDVSDQPFENRSEA SKRRQNGRPDPIILARYDTKCDVVCGVTTDGDLRVWFSASKQPQEVRVDVGAEEIEGG VKILSLDAKRNANEDVVAQVLIHHRRSPIITRYDITSAGDVETREFGTPTGSSITAIH TSFQPTKPISAPSSGNSMSAKIVTPGETPETASPAVEEVDPVPISSPNTVHTDSGYGR FIMAGDETGYVHIWSWDVVQETRRNQTPIKSWEAMNGKITALDYSCGLIAVGSFDGYI KVFDPLPYPPHLLRTFHASRLTPGESLVAASEEPDARWYTVNKVILENDLVVASIGRK VFAWRAGSGKGRQGGKESGWRRTSGSRGDGKGSSRGLDMKAIHRAAVDDHSDLESPST KTSRITSRHEAREVQAMEDMGLDGDDALQYALMLSMEERGSASKEPRGDDDQWEENEL DTAQGSSGTSTAFGEQDEMDEETREAIRQVEAFKKQEEDELNKVLEMIRLSEERDGS I203_00086 MSDQQTNTDTHEDKDTSQIIIPEQEQETCPLCKITGPPSQPGSE PSEVELIWMACTKCDTWYHSACLFLGDEKVRSTVPDEILKEVEGKRKEEGGAWFNWPQ WIDRWYCYTCIARASSPSNPRPPRHPLKATLKKGVPPFETSKPKRSASSLSLVQNGQQ PKRARTSINGQKRGSTNEQPDQKREHHHHHAGVIEDGASRATPSSTVEGGEGSTNEGR PKRNVKQLDYHNLNNSIATPTNQWLDLISDPGKYGRVILDAEYKSVPGSLLSRSWLDS PSDTKQEYPPTMFYGPEREPIIVRPENGGFTSMGGQIPGKDLTVQDVAKLVGPKRMVD VIDVSSQQSSQWTLQKWADYLHPPSSSSSSSSSTTRKKVYNIISLEISDTELAKKVKP PSIVREIDWVDNYWNFSIGGKAPYPKVQLYCLMGMKGAWTDWHVDFAASSVYYTIHTG SKVFYFIRPTTENLKAYASWSGSFERQQDTWLPDMCDEVRKVVLHAGDTMIIPAGYIH AVYTPMDSIVFGGNFIHSYDIDTELRLRQIEIDTKVPQRFRFPMFERLCWFVAERYNS QLRQLRAYRPRSTTPNSTNPLHARVLSQLIHLCDFLLNQISILHNPDKEERSKKLVWD RIPHELIKDPEALARELKWRVLRELGMDDPLKGYLPNGKKVENGHADKGYDDENANEK ELKRKKSKLSRVFNKKGASRTWLFDPPKWEESITTPQIESSLITVARPDGHGEEEAEE SLSTIKQFRKRSREIEGGGLVLEEQEVVFIEKKTVWGLEGQGQAKMEVD I203_00087 MVIQLVQLVKCDNLFIGCGFEVVNYEMIFTTPYKSVCYNMCKSK SYTYYTHKEQMGQCVCYLYPPPAAEYMPGTPDNCNGQLQYNLIKSNWNFQKCYKSPQS NMTEIPSDSFKACMDGCAPQQIAIARPTGIFPGQTNCVCCGEHDLDGMEETECDLEKY YVFSHTPTPVPTAKRALRALAIAQRQAFNPPGPY I203_00088 MDCVCYKYPPPAAEYMPGGPGKCNGQMQYNLIKSNWNFLRCYSS PPQGSTQTPTDSFKACLDRCKPNEIAIARPTEIYPGQTSCVCTSASKLAGLTEVQCDY NKYYKFMPADTVLYLAPIADARRDSRAVAIAERRADQDDDAA I203_00089 MSRTSNRSRLRIISDSESDDEVKLVEIKQTSLKTKKDQSVSPSC SPGPSIPQMTFGKIPLTPRKETNFAPSPLKRVEFSSLKVKTPGKKLKGLRFIDTPAKI YEEENVAAEIVDDGVDDAQIGHEEQEEQEQVDLMESLDLGNLRLDDDIASGTKEVICV DVEEEDVDGTSQFSPGPSSPSTKPLNNDPTSPSPAEALSRSPNPRSTTPIIVESDSDE SVIWNPTPRRTPGKRRVVVSESESDSEAEPSTYQRVLPNFPSTAPSPSSVRKTRGKPA ALRFIEEQAYDKNDSEEEVYEEEEDTMGSLRDFIVDDDYDSEEEETSASDQDEDDDNE EVYQSEEAIRSGSEEDSDSDGFEILSPPPPETNKTPNDKSDTPLWIPDISQLVIASSD SDSDSDHRDSDDGRKSNKKKNIISNKGKSDKSLFSNKAWIEERTRIANSIFKELDEKV FENRLGMKGVGARVEWNNRLLTTAGVARIKRITKNGESKKDHWIELSEKVLTGEKQIL NTVAHEMCHLATWVISNEFKNPHGRKFKSWGRKVMLARKDIQVTTTHAYQIEYKYQWK CSSDRCGRIYKRHSKSIDTTKHTCGICKGTLVPLFETKQKTASAFQVYLKANMKYAKS SMPGSSHGEVMRALSKRWNEHGENGDHEVFWKSAAIAARS I203_00090 MITLSRWGFTRPISLPSCSYSFRRYATKTKAIKGEEEWETVIGL EIHAQLKTGRKLFSPASTSYGEVPNTNVNLHDAAFPGTLPVLDLHAVRLSLITALALN CQVNRRSTFDRKHYFYHDIPASYQITQHYNPLARNGKLQISQGENNVKRTFDVGIHQL QIEQDTAKSQTVGDNTLVDLNRAATGLMEIVTEPDMRSAEEAGAFVRKLQGLLRRLGS GDGDMEKGNLRVDVNVSVHRYGTPFGTRCEIKNINSVRFLQAAIERRRHIQHYVTSPT TPIQQETRGLNELTLETFSLRSKEEAMDYRYMPDSNLPAVVIDPEYLSRLRTELPEMP WQVVKRLTDTYGVQKRDVETLIGLDESGFEGIRYYEDVIGQGEDKKTAKKSMNWIVHE LLGQIGKLSIPWSPTLIPSSLMREMVLMIEDGRITGTTGKSIIKHLISAPAPSVSTKE VSLQDVLSNLGININEINESSIDIKTLCQQAISNQPKSVADYKKGNEKVIMRLIGEVM KLSKGTADARKVKEELVELLKE I203_00091 MSTLQGFSIPFTQVAAVVPAIGSDLEIREQHPVKQAKDLKPGEC LVHISHTGVCHTDLHAKQGDWPVKPMNPLIGGHEGVGTIVAIGDNTPNSPVKLGDRVG IKWMADSCLNCEFCRRGFEMNCPNVKLSGYTVDGTFSEYVVSYTNHVTPIPKELDSAG AASILCAGVTTYKGLKVSNTKSGDWVALPGAGGGAKAAGLKVVAIDTGAAKEKMVKSL GADAWVDFKTSKDLVADVKAATGGLGPHAALVTAAHKSGYTQAIQYLREGGTLVCVGM PDAEMGANAFWTVFKSIRIQGSYVGTRQDAVEALDIAAAGKVKVIFEQKQLKDLKDVY EDLEAGKIAGRIVLEVSKE I203_00092 MSDVEMGEAGKAKIIDGNAIAKQVRQSISESISQLQSTNPTFHA PHLVIFQLGSSPASSTYIRMKLKAAEESGMTVEHIQIPSDAESGATAGTGVKRVLEAV QKANQDEKVSGILVQLPLEGAGKTEEKAVVDSVDVSKDVDGFHPENIGLLSSRIGEPH FVPCTPAGAIKLIESTGFDLKGSNVVVLGRSDIVGTPVCALLRKKDATVTQCHSRTKN LEQIVGTADVLVAAIGQAEFVKGDWLKPGAIVIDVGTNYIPDSTKKSGQRLVGDVHFE SAAKVASYITPVPGGVGPMTVAMLMNNTFQAAKKAWESRRAKKLTPLPLEIKEKVPSD IEIAVAQTPKPVALIAEEIGVHPDEVESYGRYKAKIELSVLDRLKDRLDGKYIVVAGI TPTPLGEGKSTTTIGLAQALGAHLHKTAIACVRQPSQGPTFGVKGGAAGGGYSQVIPM TEFNLHLTGDIHAVTAANNLLAAAIDARMFHEATQSDKGLFNRLCPAKKGARTFSKPM LARLHNQGVNKTDPNDLTEEEAARFARLDIDPATLTWNRVLDTNDRYLRQITVGQAPT EKGLERKTAFDIAVASECMAVLALSKDLADMRARLGRMVVASSKAGEPITAEDIGCAG AMAVLMKDAIKPTIMQTLEGTPVFVHAGPFANIAHGNSSIIADRIALKLAGIEQGDDA ARNGYVITEAGFGADIGMEKFCNIKTRVSGLSPNAVVLVATIRALKMHGGGPAVTPGK PLDVVYTQENLELLEKGCANLGKHIENAKKFGLKVVVAINQFTNDTPAEMELVQKFAL NAGADYAVPANHWAKGGEGAVELAKAVIDACSEPSTFKFLYDLNQPLKAKIEIIAKEM YGADGISLSPEAEEEVARYEKQGYGGLPICMAKTALSLSDDPSKKGVPTGFTLPIRNV RLSAGASFVYPLVGDMSTMPGLTTRPGFYDIDLNPDTGDIEGLF I203_00093 MPLNISTRLPSNLSQSLKSLPLKPLGISTLSTRPSWRRSEDGFT SIEKVRDILTKEEYLMVFSTDNRGSFKSIDGNQLSIVPTEGPINQRSSRKGDTSHIRR SNCISLSNDEVFRINSKPLVMEEGTLYYAKPLPLSLTESTTAEAKSQVYQSSPILDLL KSRGSDVGDCLGLNILIRDHRDLLDGKEKGRGKEMEIRSLYSLAPLQGQDSIDTVSES DSKGDLELITVDDEKNNVSWYDPTLIRNLPEDSEMYTVGAIPLDEVLGNLDK I203_00094 MLLSSLRLLLLAPIYTAVAIRHGTDDTFSESLTLHPLSDGRMSV LFQFETIFSSPKLQSSTPQSHHSLTPPSLLLPLEANNVSELTISFTSGWDLTRSPSAG LMNYDSSGGGGEIRGWLRDSDNAKERWNAVTNALGGLFCAGLGAKDVGDIVRDFGGIY PQKRFVESEYTTHFLLSHPESHLCTENLTPFLSLLPSKGLSGISSLLSQPGIIFSWES QSEGIEILMPSSTHPEGRWKGWWEGVLPLIPENNKRNNNNDDESGNFSIEKIFKKKLP RPFPEAQSSVLRLIKDSPRDDNLRANPNGREGNEWIDGQLRNVIEWDLTDANLVGKDI DFWWDGETEFHYPRNILPPGISISRTVVDKLASDGTFQITITNNDDLERKAIYSEIWP WWVKGWMNEIELFIDNEKRPDLLDNLSYNPSTPPKISTTTLHFTLTIPARSKLILKIP FTKLTLKYTEHRPDAERGIELSSSILTLLDTVPEQSTGSAVEDSRRTGRKRIYTNRLL LDIPTPDFSMPYNVIIMSSTVMAVFFGLMQGALTRKWGWVEVKDGAEDKKND I203_00095 MSFLFRTSLRAASSSTPSSSRLTLPALSMAIRHNSTASSESTPP TSGELFTPSSSSRSSSNIKNLFQSNGFSKLKFDPISSSASEGKGQGEDSDGEAWWRQL SKNAKEGFPTTPSTGRSIVVSRGGDFQTSYKRLQGLLRQSNLKKELRLQEYHEKPSVR RRRLISERHRRRFKEMVSLALFHDSKLAVRKGKGGRGTNKSTTSSIDEEQRIGCYMEL DHMHSIL I203_00096 MTSTSTAPSSKGVYVPPHLRNRSNPSPSSTSPLSRNSNNPPATP PSSSRPYSSAPNRSSDHTSRSPWSHTSSPSSSHPGRNPYNNTASPNAKGYNGNSGNYG NAFTSGQSQSSSLSSNGSGYSSSPSLYVYGDSFVGPFKLLREDSVRVQTFKGSSAKGL NNPNSIKQVSKELLPIINGLLAPPPYAYMPSQGRWVMLIFGNVDLQINYLWQLANKPI SHLSFTSTANSLRSKSHSHQNDIVDSDEDEIDEGSTHNDSRSRRPSNVLATATETSAK GPALGPELFVESVVKAYTSWLEREIVNGPVGKRIKERLSEQNQESSSGQSGIRRRTPV SKVLIAATLPPLVEDELLPRIPEKYVERLEEDHSKAQRAMERRNNNSSEEKEREKENG SRTPWAKNQNHSTRLSLSPTPRTGKLPDEDLEVGVSTLSLSDNTSDGLNPNSPKSTSS SSSLTSSHVSTLFESQRTANSMDTMYSSVHSLSPLPLPTSQNKPDAEVNTKTPVLELL AHDPPLCTLPVRVGMTNRFNTLIKQFCDDHSDILSFVDISPAMTEGSEQPSIHGEVDR NVWACPVDPTNVHPLWEPTLPLWKDELKKVGLPVDSWRISEDAQETFKAYEIDKRRRT EKRGDQRDVDVGVARIKLRDE I203_00097 MDGEPPQEEDFSQIPLVERSQHKNWKARLSAYTDVIAKSAKTAS DSDPFFRPFLSDGGLLKKWCLDSNVVAQEKGIEAVLSIVQYSGETSAKIRPDVVPSIV DKALGSARAGTKKKGIDLCAMFVEVENSGEGVIEDVMVGLNAKLPKAVAGSVTCIREI IEAFGTQTLGNIKPLLKSLSKIFGHSDKNVRAEGTSLTLVLYTYLGPALLPALAELKP VQMTELQKSFDAMDAEGKGAGTGKPTRYTRKVQRDREAAEASGGIDEEAGDGEDEAAA APIDPKSLLDPVNVLALFPSDLMDRLASTKWKDRLESLEECTKVLVQPQNASISDSNV DSYGPLAQMLGTKCKSDANVNVVIEASKVIEGIARGMGKPFGRFRSVVMPGVMDRLKE RKANVTDALGKALDAIFSTTSLSDIVEDVLNNLKSKNPQVKEGTLKFLLRSLQTTTEA PGKDQIKPIAESLVSLLGDGAEPVRTNAAECLGTMMKILGERVFNPFIEGVGELQMTK VRDAFGRAEIKYRAGGAAKPAAKAPGISKPPATAKKAPPKPAASGSPPIKSSGNFNTD ELLDDFSAPPARAPPARFAKPGAAKPAPSASAQPSSPKSLSTSTIKRPPPSAAAGPSK PAAKPAAKPAPTASSKAGPSKTLATSPSEPVRYRYSPEEAAAIASDVIKSDYHTKLAD GAWKVRLEAAEEMVKWVGEEDGAEKVDSEVMMRFLGKTPGWGEKNFQVSGKLYQVMGL MAEKSPSFGKPAAALVIGHLTDKLGDMKLKKPAGDTLTTFAENTSLAFVLAQGYEPMT KQKAPKAQADALTWIKQQLIDFGIAGIPLRDLIGFVKNALGSPNALVRKSATEVLVTV RIAVGADISGFLEDLNPQLLNTINSEFDKVSSSTPPEPTKNQADLQESSQSATGGASK GGRGGADPLDDLIPRQDLDKLVASTSVIQDSKSDAWKTRKEAFEALNAVLEIKSNNRL KPNMGEIGGVLKKAMADTNLSVKMLALGVISKIAVGMGQPFEKYHKLLVAPVASVCAD QKAPTRAAALATLTAMADATGGLDHMYTGLGASLESTNPVLRATVLGWLAARVKEEPP PPNADLSPLAGPIITCLEDRNGDVRKGATTVLPFVVASAGFDYVMDQTSKLKPASKAT IVPLINNARSNAPASSSATGSKAPAPSAAPSRGLATPAASRVAKSSAGLRSAPGSPAP STVPSLPKPSAVPARSMAMKALSSVPSSRPMSSLSQGDDRPSGLPKSRMALPRPASSA SHTPSIASSTPPNAKTIPFLHNDSAPRTTRLKRDATRWVLDPSPKASSDLSEYLQHQM EPQISPELFSLLFSKDHRAEEDYMAGLSMMSDFYDVTAASTFNLEEDELQGVQLANVD LALKYAALKLLGNNTQLANRCLESMGNVVELMPKYNERFSDAEAKLFVPALVFKLGDA KFGPKLAPIFESLDKVIAGSQVVALLVQYGLEDKSAGKTCKNESLALIEKAYKKRGSI LRTREDRGFYETIARCISDSGTRNAALSVMALLQLQGESKSLAAVVESMPQSSKDMLA NRRSTMAASRSGISNPLVAEVSSDSIPEGSPRLKRPTGLATPRVNQLPRETAVDSPRA TVSPASRLPRTATGSPSHHRTIPTPSGMPRPGGIPPPAQMPSAATSRLARPAGDVFGA PNGRSAALQPLARPPPPRSSGPDVIKAINEIRHDDLDRCVDALKIIQHMLTTTPDLFI DNVETLSDTLMDEMEFAFTPPENLHEPKYFRVVKHLIQSFSGLSSNQDLMKRLSYNQL YSVLNCLSLRLVQADKLGGNIQDMSRFFNLVLVQCLSTPDRLLVFKVMFKLLLDLTQD FSVERIKPDNERANHPDLVIKCLWKRCKILDDDFRSNRLKPGPLLAVLEEFLQGVGPS EYRRRAAEGIALGDMPLRTVKTIIQKMLVYTQEAGLEVYDILLNQFGDEAASTIVYTY VFRLAGRESAKPVKTPLIPVSEHDRPTSAASHNTATSLRSERPVSSATTPTLESAPAV EREETEAERLVKNLRSENQAKSLDGLYAFIKSRPDAEDEVNAAIAAHLTPTFQTYVRR MIEQRKNNDNPSPARGGVTSPVRSPSRPESMPPSLKPLPNSHAPRPRQSIGGPRPSSL AINVDKNLPLDDQLAQYKNLFRNQASLNHSNSDSRDGSASPPPADGIGKENKVLDEIQ IQSRDGNDVIRAPRASDVD I203_00098 MKSTTFLVWGLSSLILGQAISLSKISGFKKRSPLDEVDGSATLP PGVELRVGIPLFAQTSSASSSDLLEARNTPESEATTSNAAAPTTSPSSSSSTSAAPIT KTRTHVATVTASAPTSSSESSGVPIATSTSQSLSPEQSSSVEDCKGACPTASEEEAPP GDTSEAAVPTSSTAQPKTSDKPAETTSQAATPTTSAASAPEGEDDCEDEEEEDDCEEE EKEDDCEEEEETKPSPTTENAAVPTATKPAEGSSGGEGGSSEGSGSEMEDCEDDDEEE EDCEEEEDETSSPAPQSSTSSPGTTEQAATPTSSETPKPTSTQPATTDKAAAPTSSSP PETTEKPSSSESKPTSSSSKTCDCDDEETSTSSSSPAPTPTESAPGEGDAAPADFTAD DGNIAAFAVHTEEAKVSSTSSSGWTWL I203_00099 MGLPQPVGYLYWYTTSIILGILTIINFSTKFYSHLTRPTLHPSP VSSSDTLVTTTTANGQTLPAPLAIEHSSEDEKPQIEKARLGRLNRAARALGVLVEKYF YLSGITLPARRFTLFGKVRKIHKKVYATPELFWTWGYTLGFLILSFYGTGWDTLTWSN QAAWLAVAQVPMIIALAGKNNLISFLTGISYDRLNYIHRASAKLCLLGVWIHAGGHWK LTHGWSQETWHKTISHWGFTGTFAITLLSVLSLPWFRRRMFEFFLVMHIALVALMLAA FVMHWRAMDVWIYPGAGLWAADRLLRVLRLVILNKLWIPPSLSAKDIPSKATISLLTP STLLLKFTSPSEHLNWSAGHHFYIVMPGMSRLPWEAHPFTASTIPSKPGQGTESGELA FIIRVRDGFTKRMKDKIDEVRKDQGLAIDDKLDVEVSAAVEGPYGEKSDLRGYEGVLM FSGGSGISFAVSNLLQILSEIRQGTSRVKFISIVWMVKSRLHLEWIAPLLKDHVNDLP PDLSINIHVHVTRHLLPKLSLTHNTLPDMASGDLEAYLQQRATQPKRRRSRFMSTFSW ASWTGASVFRAGGTQAGTRRGSLMPSESGKSEGGESIRSDGSSSRKSRAQRLFGSFAS SHLGGTSHQDNKNKNRRRSSHAVSGSESESEDPRKIAPRSKERRRPSIPAFNWTEGYA DNTVFGAGPNGGSISGEAGRKGSLIPFTVSENQELEIVLKEPTPVEGVRPTAVMPEPL PVTPVEPIRREPLVINTTRDIGPDEVDPFDYRPPSPTTPPAAVLPHRDSRGSIPWMRE SFSSVHMPHNTPPRTRQISISEDLPLPRQKRISISEESPVNRTGSPKTVTVPISQSRS GSLSNSLPGTPTTSAFNTEPTRKRSIPLLYGIANRRESGRRDSLPLQAAASIGPQSSP RPSILMPTPVLAKPVRPTMLETVQSSGLLSAPEMSRSSSTTSGASMASFTTPAPPSPI QMNNPADISRPETPSKEFKQFAQITGTSPTDLRKSISAIGAIQDPKERRASAAEFMGP AELELRKRSAEGLEGLVRWHEGRANIKESIEEIINSVAAHTQLSSDERHGMENEKPGS GPARRGGRVNVSCCGPISLLDAVRDGVKDEMNSEEVWKGGVVVDFHAETFGW I203_00100 MSNPALASALTSSLGPLPSPPSLVSPALPSSTNTNTENEPPSVA RRPTLHFPSLHLGSFDTLSTTQPFPPVASSSSASPSRREFGGNLVITSGDASPSSGGT SPMGNHEQARAGSSHSVSVEPTRSSSYSSRSSSHRLHKGSIPPPLPPPTMALPPLPIL SPISPIGPPASPLSYASKGIQSTVFQPRSTSSSSSSLAQPQLAGEGRRELPNVPARNR SLGHSLTIQVPGPSPLTPSPTDQEGNPLPSPILRNSPGVARRRTVIENTNTNLGSPVR VSGFTGAGHMTPPRDSSPTRDNKSPPIERGSILMPQPRPSPAIEQTSTMETPRRLEKK RSSTDLKNVNASPSSPSAKRSLPRPPKIDTTLSSQPSNSDLQQTVGPTSQMQTAMPSD LATAPHSRPQQTAVPSAPISMNDPQQKPNLTLPLTAPVPSSSWPPLNIARSPTSSRPP NIPVEPSAKPAFYQKHQANKSASSLPVVAGLADAGPFAGAFTKPIQASPKGVGLGRPS NQLSTTGQGRGPSIKPQEEICLECMMRDRDLADVVVSGEGVWERESDGDWRELEWKEQ AILKSMGNLDDSPSLYRELVKAADEMSQESDSTSFSPPSTGNSTEDAHLRREFDARKK RRSLIRAKKREADWRIAKEVGWRGHKWEQGESGEGLPRGFRGTNGGKLTEEGIKAVMT KFPSASAHRYQTLQTYLRQQWHLVQEVRAQAQRLGRFPFPDDIIGSSSTVSSHEAQAN PRGAQPSHLSWDKRGRDINDQMRGVQTLIQGTPSLSVVRPSPSSPANLTALANPPRPA PIQRPLTHFLPDREPSLGTPRTPLYASPVSATPRSRHGKQGSSPGLLERQGAYEESNE ELWSPGEESGAGLRPFSFAVRAGAAAGRDGGSDGHGGRRSLWGRFGGSVTSFFGGSQN GSGSMMDMHLGLDNERRNRSSSYNVNPHPRAVSMASPTRPSFFSRDSRCSSDVDVTMP RMSRAISHSRLSQMRLDDGEDENEEEKPKKKGIKGFFKKMKPKGARTKSKSDLLARPD DEHRNEQTQPDTPLAPPPPLSYLVGNRKHNRNRSGSSSSMLTDGQESTQSRRYSGSLP YAMRSVSAPMHGAASSSGGSLSASPTSSKFATSGPGKRESYASNRRRSFGVNELGEPL EQPGGDRRQSGMEMLSGNGTMTNGRGPYSSPDTSTIYDEPSSMYKNNTGLNFRPHNKT TSSLSNSSGTMAIETPPSALYNPSSFFNQQQQQQLQQVATIPPMDNKPIVRSPSEQLS PNRFKNLPPLPPGQESGPGVSGIGMTGSPDSFAAAFPDQEINLVGTIHDPKYLASSGV GYPSAKGLIDFSTPIVGSPNSMRYQNSPNNRMNQYNGYPQPPHLQINTNQNAQYPSAH ARALATGRASFDQPSPRARVGGGNERVVKTMYGQPLMDDSSMMMGSEGQGGFVRDETK KKKGLKGFFVGSKAGRMA I203_00101 MVSKSFTRLLNPSTFRQVSLSSSSCATPSSSLPPLRYHIFREPL PYPVGLKLQNDIIDVRLKAKKDDPAGSRGKGDVVLMLEHTPTYTTGRRDNSPNPNELH PEEKKVQNVGANFYITKRGGQVTYHGPGQLVGYPILDLNVMETPTRCYVEYLQAMLAE YARDDLGVQGVLAPHPEGHVGVFSSPTEKFASIGIHLSHRITSHGFAMNITPQPIKWF DLVMACGLADVKATSLHQLIPPSSKGGLPSVRDVAESLIPKFGDLFSRGIIPLSQDLQ EEGAEVNQIYELVQKAEDDAKIVNGKQGGWPDEPDLSKRE I203_00102 MPSNLLGLEDAQSHGSGSGSSRRRSSSSSSSGSGSSSLWSPPET PTPIHNAPLNGKSKLQPGRLPSLAKNQGIFAFSVPIVKRERQTKRVVSGSLFRNYRVS TLDDYEDEDTELDEDDLEIGTVLSDVESNLNPQTNSENGGTNGTVEAEGDEVDMFLVS KVPSSPMTMRWSDRKGKKRSREYEYDYDQIMQEGDEDQDGDDEMETEGLLSVKKRGKR AMKYSRQSPTSIYPKEKSSPLGMKYSAVGNICDKMGGLHASSTVFAMNVDVPLYSTYP LREKNPDILAYDLASEKAGAGAGLSRRSRDTERRLGRKRGHTQTKKCFQRLTASEDPL VNLPAFQNWKSTNLKTKTGRVVSSASSSAMDISEEEEQDEEDQLLVDQEMKSLSDIEH TAGGISSDIDMKSVSSGSTLKSFKRLSPGMTKIRKTYESNSPLAKSQLSHNSLIVTKD IQRRSRLRAFVERLPGQDSTSHLHRSSPSSLLPARRYMTRSHRPQIITHKYRAKPGKI EFGACLPGQTFISILRARLSAQVTRRLKIASYNDQTQNGAWRKMVGNWWGHKEISGLT DKWWLPEEVEVEALLLPEIPAEDEVMLSPPSNTRTFNHSRKRIAEEASDDLTIGEEVE ERWARRNQLRREELKPYVMQQRARVEARKAEQRRARAEAIRRRLEEIAEQRRTEEIQR RETIRLAEEERRRSEEERSRQEREREEQARLELERENEIARISLQQEREQQRVVAEVP SSPARSETSTVLSDPPEYEFPTYPIALSRSSHINANRPVPRVRRLISAPSDVLPDYLP DRWNNRSPPPPPPYNARTDCQTLIAPIFIEDSDSDNEDRDEEEIGMISPMVRNARENS PELRVIGSFPSRQLFAPTPIHAIARQVDPFRAFANALDLEEGMEEANDVEGHLDAQTQ ENMDEDEEEDVVPGGTVGSVFQRVFGMVWGSSASRR I203_00103 MTLTHPNVVDGWFREINPQWPGQAMTLKVKQILHTEKSLFQDVL VFESETYGNVLVLDGVIQCTERDEFSYQEMIAHLPLASHPNPENVLVIGGGDGGVIRE VLKHKSVKKVTLCDIDEAVIRVSKEWLPIMSQCYKDPRVEVHIGDGFKFLPEHKNEYD AIITDSSDPVGPAEALFKAPYFQLLKEALKEDGHISTQAECLWIHLPLIKELKETCKK LFPVVKYGYTTIPTYPAGQIGIMVCSKDGSRDVTVPLRAVPDTKYYNNDVHRAAFTIP EFGRAMLEDGINVMPKFSGVRPGPASNQTTKKKVLLLGSGLVAPPAAEYITKHNHELT VACRTFATAEALCANLPNATPMSVDVGSPDALRQAIKGHDVVVSLVPYTYHASVMEAA LAEKAHVVTTSYVNPQMKALHQKFVDAGLICFNEIGVDPGVDHLWAIKTIDEVHKAGG KIKSFYSFCGGLPEPAASDNALGYKFSWSPVGVLMALNNDGRFYKDGQVADVAGKDLM SSAKPYYFTPAYNLVAYPNRDSSVFKEFYGLKDVENLVRGTMRYAGFCEVITAWKEMG LLDDTPRDDLAKDAAPVTWLELIAKSIGVDAKETAVIEKLRSLKSFEKDSKILIGKFR QLGLFSSEKVTPRGSIMRTLSALLEEKCQFQPGEVDIVLLQHTFEIVNADGTEQTITS TLEAYGDRNGGHSAMARLVGVPCGVAVQFILEGVLTTPGVLQPYDEPTCKLFRDRLEK EENITMIEKVI I203_00104 MLFTASTLFSLFLTLPLVMGNTPPENVQVDSREVKRDGGVFRII PEDYTLFEFPAPHQDLNCRSNDPEGCYPELKFTNEWKDQAFVVKEFALEAGNAGAVEA MDVDFTTRKPVAVVQCTARVDSSPTETIKFQPHVTPPFPQESNNGVGHLTCATAAP I203_00105 MSGFRSVPPLNQRKPKKTPDPDHPDVKFGQPDGESPRASMGPSV EEMEIAKEVNDLMAAYDDLETHVNAAISLMPLFEGRGRYFHGIQDQSMRFWKQYNNVL FSQADGTVPETTLARHFQRYNGRYKPWMENLVNDDEK I203_00106 MYHSRIKHVTPVDAIALPGSMNFLITDIPCPGETLQQIAQLPNI VTVYTTESKSDIYIHLVASFGPPFDVHDLSLGDTLPWWHIHLRHWPSYRTFVSPPPPS PEQSSRWLALSGRCAGILSALDIRQSLKLGLYQRFELGRTTYHRLVKNSKHSKLIFYR ILHSLPSSIHLTYDGKALLLNKSDGVWMVSLPCYSLDQILNSIKLNVSTVEGKESIEI GYMVELESDTTSSHQPPFQSHGQLSSSIRSRLAASPRIYLNTSIPPPLPTPQHDLLPL IRRWFIIARVVASSNKEQLSVSLARQILTSLSRPPPVISPDFHNVGEIILLVENATNV TLPFHHRLMIHDLTDGLYKVDAGDIITCTNITRLTIHHEELKVLMKLDSQIQFQGLVE VGWSMGDGDDEVWSESDENLCSQDLLRYHLLQDELLTSLTPFMEVRKIMSTEFRFYRG VHLMMDRLLDNLPNLSALHQSTNSFQKQHWIPRFLTLVRVSQGVKSPSCAFTASLQHQ SAFLHLVAPHAQGSIALDNISIGRSNVRVMRELGKVSDCLVWITSIDRAARSMSSWYD VESICRSNNITLVSCFWPATTIIDLIKLPNPLLTQNTISKQLFLDSSHKAVDVKSLPS LFLCIIAGPLVDPAISQLAAERVRHAQTFIAGLSTPHFTYDPAIQIDQQIYSHKRLTE VTTSALVKVAENVTTATSRWLVHVLRPGRFPKPADNRPGHHDMVVVKGVEGGELLGTE GGVAPNATLTRLGKEPRTCSKVDSSGIRCTRLAIPGTGTLCASHRKSR I203_00107 MDTYQSQALSHSREKTDESSSNLLDFSWLDTLLPLPIPSINPDP DNQPTLSSIDVLPQSYSLSLPSNTKDTSTATETLPTVRIPHATHSSPRPRTHAEGDTQ SVPLVQNEQMLVMLRFIEDEPIVGDGRLKAKEHWMSQDTTLCCRKLTVEEVEYLGLIP VVDDVGRRTGHQYYTNSGPFEVSAPTPNEIAALRQELQDAHSTIERMTAALLLLGMPP EDIVQLTTNFEDTVSPK I203_00108 MAVPTPPTPASTSTSSTFDHQWRSPPPQETPLAEPASLPGTGLL LSPKSASNHTSDNNANLSMGKNDLLHPSFLPPCEHGKDDGDMHAHAFDLLSLSGNAPS KNSVNPSSSRPAMPPSKSTSNAIPSSVPAHTTSFLSASRPPTANKKENGNNPPKARRM SSSTTGVLGRGKLGLTGPSGEGSLGLGGMGKDIRSSSYNNSTPIPNRRPTISGSPVPQ LFDFTKTDRRPSAPALSHRTASAVPASLPARSNIPRPVHPEDEDIELDMEFDGDEDDD GEIKPGSDRSGSADIEMDEDDEGEISKLALGTGSGGVKGRRKGMVFKCETCKKEYRHP SCLVKHRWEHSPHWKEPTALSMSKHQQVQMLEAAAILAHLDPTINPGRSLPNDKSLWP AILEGKAAGRPRSSSRAMRDPSILRSPPSSVIAPLTPSSLREPMSLGTLAERPNGKER KSSPGSDSTTSSMGAGEPYIPAIQNGSGLGIRNSYSNGVGVGSPRTSRPMGIAPTNGR KHSSSISSAHGVAMPGTPHSIGSLPDMSGLHFHSASVGAGGGTPTSLGMSPIPNRFKV GLVGGGMFGNVHTAVPSSSVRSGAADLPEDEEDEEEDWSKVRGKSSSVEADPSRSTRN GGTGSPAEGEEGWNGMAMEMEL I203_00110 MILSTPSEAGPSRKPSAPHPSTAISTNKRQYPPPLPPISKSKSS STPTLPLKTLQGVSKTRTDSTKDGFGREVVFVTRKTGLGMLMGRCRSLVVDEGYTSIR LHAIGAAIPQALLLLHALLDLLPYPTGEKGMWYEIKTGSVECIDEIPPSTSKISEKED DAEAEEGMEWLKDVGALEEDKPERKIRIKSTIQIDLHISPRPTSKRKSVAQPNDQSVS AKKTKTSKRNRPSKKKRQQLASKRVEERALNDEEEMMNIQNDHENGNQTISGTIVSSQ EEEEEEIEEIEMR I203_00111 MSRPTSSSATTRPTHIPLPNNNCTPTGTPLATPPIGSAASPASP RHSFLGFMRTRGRANTLNTSSTPSQPPASPSLDRDRSRNASSREGSTAGMSRENTNTH TAINTGGSVTRSISTPLSGGGLNISTNTNTNGNGNNPDASSNRAQPPSAAGPSTATAS TGPTNGSAKTYRIRLVPHLESTRSLAFDPVVRELLPIAVPPGVVPSIAAQSVSAGGPS VNGRPPALLLKIGRFTDKSNNQLPQPGATGTTATNGATIGPNFRGSASAGASAGAHLT IAGGGGDLTSGRVAFKSKVVSRGHAEIWCEEGGKFYIRDTSSSSGTFLNHIRLSSPNT DSRPTMLNDGDILQLGVDYQGGIEEMFRCVKIRVEIGREWQRAANEFNTNALKQLKAL GGASDTKEKGTPSKKAKASVTDCCICLFSVTVCQSLFIAPCSHVFHYKCIRPLLLQHH PGFSCPLCRTFANLEEDVETEDAWEIASRRASIISRKPSNHSIRLPNASSQNEPQQSP SGPSGEPSTNIGLGGASSASVNDLLSAEQESEAVGMIGSSALARQTTAVAQQEQQGDV EMEVPEVVHEEESEQEYGNDNEPTRIMAVPNRTLGMNNEMGEPETAQTPMNEHFLSTL AIGDTGIAQRFELADELVITPTTANSAEGTSSNGQSRNGMYT I203_00112 MTVEGPVVIRHALLTYKDTKVLVQGFTGKTGTFHAQQAIDYGTR MIGGTNPKKAGQTHLGLPVYGSVRDAVKEAQPDASVIYVPPPFAADAIIEAIENEIKL IVTITEGIPQRDQIRVYNALKSQSKSRMIGGNCPGIISEGCKMGIMPGHIFKQGKIGV VSRSGTLTYEAVNQTTLAGLGQSLTVGIGGDPFPGTQHIDVVKVLLSDPRTEGIVLIG EIGGSMEEEAAEYLEKHNKTSANPKPVVAFIAGRTAPPGRRMGHAGAIISGGKGAASD KVAALEKAGAIIAKSPAQIGDLMLKAMKDAGKA I203_00113 MYGDLALQLVTSSHRSTLSTTPQLPLPKYALPLILSICLETRQL GQSITSAAEQHGQVSLSQDRSLVCNLTVQHLSARRNKRCLLAYLSTRVGGIKERWWDS GGSLAYLLSPSSSSTMNNSDPSAINSSESESAGDLRNNLSPQELDFLRGYNNLMIDYK SDFLDVLDLTSSIDRPPSELMVDVRVLKDAGEVVLEGGEKLDFRKGERFRLNRSNVER LIVQGYLEEV I203_00114 MAASSSSGRIPQGEVIMDFADGGQYIKHKLENAVLEVERRKISK EEGIKAQKAMEALDVKPNGAGAGTDGVKSEDVDFIVAQIGCTKEEAIENLKAEKGDLV KALIKFVQPRPRARSVDGGAEVKK I203_00115 MAFQSPLISIPRKTTQDVDWSNSIRSIIAHSYGEDPKNYAEECS VLQRCRQDAVRGAGSDQTARDLLYKYFGQLELLELRFAEIKVPFVWNDAFTDKPTTQT SLAFEKASIIHLISSILSSLAQTASRSDPEGLKRAYYNTRAAAGMLTYINENFLHAPS TDLSREVVQLCIGIMSAQATEIFTEKLIEEKKSPALVSRSANSTAAIYNTAVEEMKEF QGKGIFDRNWLYVLQIKSKLFSSLAQYYKGVADNSSGKHGIALVRFRVADSLAQEASK QANSFNYTFISSSTPTLPHDAASSLVEITKSHLTICTEIKDQSTKDNDLIYHEVLPSE AALPTIEKLPSSSTPITIQEIYGNPEITKLIGPDIFIKLVPLAVHESASIYSEEKAKL VRGEVERVELSEGELRAGLEHLGLPGLVNQWNNLMDDDNDQNNNDVEISLQVKRLAED ISRGGSAENSLRQLDGERERCERELRELSGLLDNESRECERMRAKYTPQFTQPPSGPQ TSNWRSNIASNLSALSSAGQSDSHLHTIWRDIQPQLTLLSSGENGLERAAAPIAAGKT SAPVNQGISLLDLQEDVGSKKGLDDKEREELNKAVADAGERLDRLNKIRRERDDVLKD LKEKIQNDDVSNLLLLNRRSSGVEPQLFAAELEKFRPYQSRLAAAIQASASILQELEM LVRQVEKGKGVKDLQRSQKDRTKRIREWERKLIEAGEGWAEIQAGLGKGFTYYDSLER VLDDLKREVNGFVKSRENERNRLVGEIETRQRIGGTSGSPPPATTSSGTRSLEERLAA LSVDRSISGYSPQPPKPVTSPTPSFPPPPPQVSTPRFPPPPPPAKPTNPYDFSGLSNI PSAFSTSSPTSTQSPPHQTQAYGSSSYGYDRPVQPQQPAPYPPPAQSPYPTPPSQPPG PAPQSYSSYGSSSQTSYTGGQNQSYPAPPSQTQYTSPLPPQTSYPSHPPPAPSSYSSY PSGPPPQQPQQGYYPPPQRPSYNSPPSPQQQQQQQYPNYPPPTGQYQSQPPPQQGSQY GYPSQGGYQPQGGYQYR I203_00116 METQTPWTVDGFVGTPDIIDNEGNDYFQFNPSTPRYSVTSHNPG QFPQHSYTHPGHPVGFPNQEYDFQSHDPFALHHPQHQATFNGFQSTNFQLGNANELRN EGSHSSVRSSFGSTSHRSSSSVSSHYTWSTTTQDDISSSDVDPDDSTSLATSISPSLI GGQVQTQMVEDNKRQHGRIPSLSIDPKLFRPTTTASSKRDSGSDNEIEMLETAKPKSR SNLKSNVDKKIELLGESPLQAANAKKGKGKKERAKKTSSTSGSGSIGGGGGEGKKVSH ARKQTADHIPRPRNAFILFRKHVVDSKLIPPSVEMRHQNVSIITAKMWSEAPPDQKAH FNELARIEKEEHMKKYPGYRYQPVYRRTNVIRRRVRKDEAEEQKCKSVAELLIKGKSG EDLENEIKEKIRNGNEVNNESNDKSSSEIVAKDKSNSNSRRSSAACELSKGALRALRA QARQQSSSHESGDWSDISSVRGTGGGSARGQSRSNSRSLSRTQSYAFEFEDNSDSNDE QEHEHDLTQNQNQGQDQMLGYGLAPSQIQGFAQQHQQLGQEDSGSGSGSGSWGDTSYM NGFEFGQPQSQPQGYGSYPQSAFTDNQSSTSMEFQPQPQTSFSDPSSSASQNFLYPQP LHGSIPLQIQLPQNIHHSNENINNTNNEFYTYDTALPFSPSAAITNFSFPYPHLQDVN RNNDDNDYFSMNMNMDMDIKGDHKNGNSEGIYEFTLPSNPNSTSIETHNPPTYLFNGD MDKLQTSQNQIDHNHHNHSLSLLPPSSGVPLENLPFDDHLILGDFEAALAHADESHVE GVW I203_00117 MFIEFNSVLFLFILSFSSSSSSSSSLALAKSTHTPSPSPTSENS PAKPYDIKCQQGMEADNDSWTVKGSGTYSIEFKDDHKKERFDVKWDNDSKKADVN I203_00118 MPPRKSSTPASSAKPASKPSKAASTTTKPVSSAKPVSRRGAAAK ESTPAVVPPAIAEDCEASDLPNGKDVKRTRKATSPPATDGPAAKKGRVGQLVDEVKRG RRRSSIGPGFKNELPSIPPIASPHNALFIWGTGDMGQFGLGPDELDEIARPKIHSWFE EEIEDGKLSRDGKEGSGGLEAVACGGMHTLAIDEAGRVRSWGINDNAALGRVTTNVPD PKDPESIIPNEDLETVPLVVEALEKEGFRAVKVAAGDSVSVAISDQGELRAWGSFRSN EGVLGFDGVPGHPTFQFTPIALPVFSKLKIADVSCGTDHVLALTTAGHVYVWGNGQQN QLGRRVIERRIKNSLEPERLGLRNIVLVAAGSFHSFAVDVDGIVWAWGLNTFHQTGLE GRYADEEMVIAPRQVEGLNPENHGGSKVVQISGGEHHSLFLFDNGEVWGVGRSDANEL GIPEDHPAYKGIIERREKTQEERQDRVKEKQDKLDHILQQSKVDENQKEKLELELSEA QAALRVPMGEYIPEPVRICFPPIPEQYEVVPPFPAYKDSKSSDNPIINISAGTRHNLA VSKSGHVYAWGLGNQAQLGLGADTESAEVPSLVRSKLLRPYKAKFASAGGQHCVLLAT KNE I203_00119 MLGTPMATLHPLGVTSQTPSPQQQTTSYHSSRPSTSSLPRSYKR AKSTPSPQSPIFPNQTISSGSGGPSGPSRAKPRAAVARRLVEASPQTWRLESGRRREM SVPLLSQELSHFSLSHSSPPEMCQEGHSVTPPIPTQTYLTSPAQRSHTPRSRSHVGPS KTPFVAALPKDHTYPSTAPLPPISSFLGSPFSTKNARGRYMYQNVSPSHNATNGTITE RIGIGREAFDEPGLEWLEPSDGLPLPPRRGSKEGRTGLVEGLPMPHSGRLRRGTNGST SSIDELSGSGASTASLLSLARNSIEHHSRPNIITVWDEQSQCLDEEEEESSTPSRQLF AKPNDCLPLQISTKVNRPSHQNFMEKEKATARSSLPCSSGGQMLQNFDLSNPPIIKRL DEKNAAVSPQKTGKSPLSTFLPRLLSSRKVSLQSLRRKSPGTEVVDDEDIMTDTEDGS SLKGSLRGKSKTLWNKTKSITINSSRNPMEGGDHEPSLGVGIGLGIRRGPLGSVLRGS AEKSIDLARSLSSDSSGDIETSPSRPLSNRDSMSLFVSPSLPLSPSTTASLNRVPSKS AFLARRKSVNGQTSGTPGTIASGPRPAKARPLLRRGITAPADSPYNSSNLLSADSALH TPTHILFGDIKPSPAAFASTGLVKKKSGIQGVEIPKFGGGTDSEPVGEIKREQAAAQH GVTRTIEPPSPISPMESLSRARPSLNTSLTTSASTTTSGNTVSTTNSNAAYIQAAQKT RGLRRKGSQMFTASGSIGSIDMMRSDSNRSARGGTSPATPTKPGLQLTPFGLGITTPS PTGPHHLYPFASSSALLSTPPRSSEYAPSDPVEPSSIEKFRHMPARVRQISNERGPLA RASNPMLAASFKASTSIHTVPETPGQGQIPSINIFNGPRSKKAPSSRLEKDFTVIQTL GSGAFSQVLKVREKCSGKLYAVKAGKPYTGAKNRLRQLEEVSILRQLSMIPHENVVEY VDSWETHSRLYIRTKLSECGDLSKFLGLLGDYGGLGEERVWKSLVELSSGLRHIHKNN FLHLDLKPSNILINTDGGLVIADLGMAVICSNDHKGNILQGLSPALPERDEQGGFIWS TASTTANTPQEEEEERRLDVIPSPIIDREFEGDREYLCPEALNDNGGKYEIGKGSDIF SLGMVILESAVNVVLPSNGEGWIKLRNDDFSDLNEHYRLRRASTSTTNEVGVENQDPS IPVLSQDLIDVIRGMMRSDPSHRWELEDIWNNRVVRNVMCTKKGRALVEEGHGWLESV LSRE I203_00120 MGKSQSKLSADDLADLQKNTYFDKKELQQWYKGFLKDCPSGQLN KEEFKKIYKQFFPFGDPSQFADYVFNVFDEDKSGTIEFKEFICALSVTSRGRLDEKLK WAFQLYDINQDGFITYDEMLQIVRSIYKMTGQMVQLPEDEDTPEKRVDKIFRNMDLNK DAKLTYEEFKEGSKQDPTIVQTVRDEDIRSIIREGGHAAGVESREECPRDHLRKAE I203_00121 MNSADKRGKSLEGQMARAGIKDLPNELLTRIVKEVQHPQDHLNF FQSCYSIYETYRKQNIFKIVCINLGFSLSICHSQPKTRCRRHGVSKRNWRSLLNRLIR HAERCGQRDCVNYCTKVLPKEWQLQINKQPILNYQAYTGPRQLNNFISTMGNVTLTQF CTFRFQFHTVRSSAFSQDIKIDRLNDTILYLQDKRTPEGINLLGNFSLLTIDKEDDSD LCDWRVPKNTYHCSLWDHPLIENSMATYPPTQQLWLSIAFNVDGQKIAQTTYLGSKEP LTVQDVILSLCCLMNREPNPGIRDRLINSMFNSILSSSFSQDKRQVADMEKIVDGVKN NRDLMAIDFDGIFNNKRYSRDQYISVEFSNRGPENMPLLTFTSVFL I203_00122 MARKAKTKNQQIRKPNYKTLQDLPYEVIERIIFNLSNPYDHLSF LKTCSTVYRSYSPRIYRMLCINQGFSRSEKDNKLISWCGLFHLVLGHADTCKEVYCNG VISVDRMIGHPKDGFPGLRAPRKLHIHLKDLTKSDLKSILDTSFSSMPINSQILDTIY RGLEDTTYLITFWSTEIRKLNGSLGSHPVLGWAFACQPPTITITLKMYMTNDRTAATI QVENEMGITVFDIIRGIHRCLNNNLPRTIRERYPKHLQTRLTWFDHIKLADRPQKSRV IFPIEKVDLIRSTDGGELILKISLRRIDY I203_00123 MTSITSPNKITPQRPAHPSSNVHPKPNTTRRSTIAPSSKMTSPT PTNTLISKPIAPIDTNRRTNTLPAKVSSPSGGGGGVPINAAKEFMHMNKTIGHAATPG NTLNSLEQITNRIANNLGGIGEMDENRIAPSYERNFRAGLGDLARRLSSPSVVKSPAS PVMSPTAPSSMIFNEPNSLLKKNEWPTSFSDMDAATASMRSDGTDLIKRSPDKAVKFE EGK I203_00124 MTPSIKQLISGILHKPRYLALIILIPLFGWLYHQWIDLTAQPDR PIKVTGGTTHSPWEGLVGVSKLDEEEEKWEPDMGDWLGWKQDKRRTLLVTGGAGQIGQ SLIPTLSQEYAIHVIDIAPRPPTFSKSVIYHRESILPSSSALSHLFSSTSFDGVIHLA SVSLKSWCEPKENECHEVNVGGIKAVLDQIEKTISKNKKRVWGQTKTPWIILASSMEV YPQEEGVSEVSGKNPKSALGRTKLAAETALEETVNNAIGETPLHGMILRFSEIYGYPH YNSIPESFIPSLLTNALTSLPIQYNSDTPSLDLLHIDDAIKGILKAVSRIEDNSKLGI RNEVEEFNLVYGKRWQPQDIVELVRTETRSMSPLRDIGDKHSTLLPDFSRGLAGEVLG WEPEISAPVGLGLALQELSEEIAEYSRKWHQSHCSPTPDFPSEDNYLIDHFVEDERNR DLSKLDKCTVNLAFDHEGWLHHVKCEDGQHCTADGQKVTAMNWNQSVFIVHRVLGGVK KERTVRVVFEEEKGMGHLGYRKNEQTEEVGLELFDRDTTEGQIEFDIEADRHASYLRL LIPDTGKQIHALSNTTDSSTWFTLEPTTRWIDPHFDMRMNVLCCPSEGDWPLLLDDYE SADLRFGSTGQIPFNSSRRLHLCGQAEQAVMYNFDRLSAAKQAVNKVENDQEAHVWKG EHGVNTKISPHSWALKDLPACYNDCNSPAICVQTGTCKCVQADHCQSKKENPILSLYP VVTSEISSNTKSHLGSLAGYSSILVNSVNKTDWRDILLPQARDALMIDPDFIKVHVAD GYKGQDEIEASDCHKLQTKHCFSADSIMYKALRHMQVPAEEAELVVIPVYQQCKGTKF LLHDGVHHAMENIPGVKSGEKTMALVLTHDWGICVAFSWYIWSAREQPLYPDWILNNV LVWSVMGDYDSPCYRPHQDVVIPARTCQSINLRDHFPDVQHIKPVRERSHLLTWSGTY WGTGKSDRLRLTCDRGGAGEKELIKGKGPQSSFENWDYMNDLNDARFCPQPRGIAGWS PRTNDAIFSGCIPVLIAEGSHYPFASFLDWSKFSVRIAPTELDRIEEILSAIPLWKVE EMQAKLVTVREAFIYSKDEKPEDELERMGPMFFALHEAGMRVRTRYPIKDE I203_00125 MTPFTPQIQYSRLPTSSPSPTSTLISSPQSSRSNSPSPYSLKSS PPITMSSSKRIRIRPIQGFLIISILAICVLPFLHYKREEVKGYFQSNYLKIDLSQQHI PVASGGISDHLNIPLTLEARLHHLLSKPALYQWEAELPNRHACPFYTFSRNTYFFHDG KPEQWEKIDPTEIRRYRSKIVDYLRTVEREGGQLVWDKQMEKDVLPEDRKGIILTGGE GKTLARLKISLHMLRNVLHSTLPIEVYHFPDELQDPTERSELENEYDVRLKEVGGKSP NGKSWHIKISAFLASNFTEFVYMDSDNIPLVDPRTLFDSIEYKQSGSVFWADLNKDHP DNAIFRIVGRTCTDEHWPAEAGQLLFDKRGNNGLNLAILHLSNHMMTNPDMYGFLSYG DKDTFRYSFYALGLPYQQAPKIFATTGGYQTQNGESSLDFCGHSMIQWGLTPLSARHD PSYHPPPAFLHTILAKHRSNLQPSKLFSHIKRPRLDGISEPLLVRTLYEFTGDCFALT LKGPDGAPRVENSMMDGQGVDTYPLKDVLGDGHVWREVARLSEEFVKINQNN I203_00126 MPKAAPEDHRPARAVLHAPYPLPFVITRPQVILPPTSPSLSAPV LPTVSHEVSVPLPPASIADFPLFKHDWRKVEPAFPPIPYPLAMRDEKQRKRVKKLHVL KMKKSGEESSEWEIMSHLSNRIGKENKDLLGLTKQVGKISADGELDEDDPNQDENNLL IYAFVSSYQRGDFDSPDSHDDPPEPLTPTQANPFPQAPPTSPRLYGGVRRQATPFPDF EDAKEASRPAIEEGPAWDVVSDAVSSFWSDGTDADEADHESEMRETPATHTLVTPSAT ASRNSGKPKKRAHSPDRPLFIGQTNQVPFTFVPPARKAPSQSSISDGSRIFRDGGYVS ESGSVRTIRQIPRSKVNRMRVKEREKQIRRERSSTPKQRDYQRSNATPQPPAPIQPAG PAGPPTVSSFGNPYNFPLQNMQFPQQFMNQPMIPQQSMFGLDNFLFAPIAPLLRTSEV LSSPTSNEGGPGSSNNNPLPQGREPSWSPQPMSIDPRTAESQHQATGVSWPHALPDRE QVTPSNASSLLQQLAGHSGLSDIDVPMPTALSRIIQPQKPAQAQAQAQAQASSSKSRI SRRALADGDNMVPLGKACHNYLNLRRRAAEKRRKVRMGVGKVDDLWNIITEDEAKDKQ QNKRINPPFIKVQKSTPVQPPSLPQPQSQQQWNVNTAMGIVPNGDPNFQAQINAQAQN MYANMMMQQQAIPYNIGMPIGYYPQFNQGFHFPQTQWGMNVPNQNMFTQAQQQQQQQQ QQQQWMNQAGSNIPQQYLAPPSGGTFGFPTPGATPQAPTPKPHTHAGFNPFTPKSPER EEQDHKPLRKRMRSTISPSSANDLMLRNRASSSKPLAGLGLGLLSPAEIHSPERFKSN VRREKTQEVEVDMEEVNEDDKNWNRGLIGAQDDEETKNKDERRNQWVREERQRVGSLG LVRAGWKGKGKGKEVLR I203_00127 MDLTNSVSTFLDLPDEIHRDIALYLTVPYEPYDPELFSLFNLVR CSRKTYKIYIKFLYRRLSFEFSDMDGVLKGIDWKAVDHIDIAHIPDVGNYSNGSNLVI QDYIALIRENIIIDPTSKFETHVRKFDALKCSRIIGVYDLKSFEDFKSIVLHDDPTCG TPKSFITDQARFELSSVSFGRGIMRGMLNCTLIPQDRSSLIFPARLCLYAPYRINGPP GTIAIAMEGTTWHDLRKAVDILIPGWRDSVKEVTWHGIQYLEDIKSIPKIHRISQIYF SHNSHYEYDVHQRLGGAPLTSTERAIIKPSADKEPFELYKIRIRYNHVIRFFRLPEFL EYAKGRIGPNERLAEEEDFIRAGWFDIEEPNYCDQCHCI I203_00128 MDERQVNQADDEWTILEAAARSYGRRIHNVKSDGSSFYHAISYW LTEDEDMMSDHLRERALEDRAIGEVLDDEMIEKHRTKAHGHAEAEDSWIRTMSEEIRS SIMVVLVNMRSRSTFHQIFTTNPIIPGALPPLVVLGLLMTSNHFVVLERQPGKGNVNY SIDPTQFSDFTSSLPPLTIASHNR I203_00129 MAPKPIVTIHSAENAGKRPTLASQHLLSAQWPTDVVLLLDRLSS LPTPRLAIIELAWEGLAYALGHFGPDDTKLKSVGKKSWSMLPMATLMPYMVNELVHSD VLWKIDFAKTRCQDSDSVPCCASNLSSFLNPQLFLNVPLPTPHMISLSRSPDMSDMVP EVEEFIDVHTDDTQQPSQYYKVLLEQAEDDEKTSKLETEADLMEDISQDQDEALVGTS GIAGEDLGDHATRAIYGDLRYARGAFSWASRPIPLPTPPPLAAINDVEGSLRFLKFRL VRGYVFKNGIPLAAAEECADALLICLRPLLPNIESIHRLIIAPYTSDPFQILKDTPFL SGGSQSFHSILSSTKNPTIRSRIQILLDALASTALLIDPSVQPLLDQYTVSAPTTISL SQAGLLAGTPPARLLNELRELERVPDTLEAGKAVRTWGELLYSSRHGQNADYFGVLPY DTLTDLLGCNTLEARMVVECGLYALLEGPTNLKIIAFDDVWRSPNVTGQLALLKMDTG LEIASGNVMSAIVLQEIGYNGGNFTSFCESRLNSSSLVKTIKINQPFALKTRPSKSTK TVSSSQFEGCLAFSLVEDQSLRLIPINSSAFDPTKPYECRSSIQLSSIYPDSIFKSIS PAQMVLVSHPCVARC I203_00131 MPSGKNNGMGKAWANLDQSGKWSTKGIGAILKVEDAIAYRHYKV FRALRKKLNNKGGEYPTTFSDWWCRINSIDGDVAACAKEQFESIVLNHDRYPQMIKTL SDREIRKMVERKRTSTFHTYKPTDELFNFPKNLVDNDGNALAFIRLRHMPSIPKHRLP RQEIDDESPNYSHDEWRSSSIFGVV I203_00132 MSPFCLYDLKQETPGGRLGIKKIRNLEYLESHLSREKYTFGALC MMLSQVGTEGQIHAMEKKQWKMIKSVKRAGHEVETERPYEDESVHEPTDQDDSDSDAD YDFDEDSDFEDDNGSDGIGSTGNGVNSIISGGSEVDDENDGGYNEDDEVQVDAE I203_00133 MDEELDVAILVIGVDLNGLRTYQVLYTPDSGPFQSDSPLAVLGY HACNDDDAAGDDEAGGGHYVYFGEDESRDPERDLCIYPDDFAPHIDPTANPKTQAHHK PPSEPLGSTFLTRPSKDTTSVPPPHPDDVTHSPPFALTPTMKVQDNLYPIWNCRSSGC DERCHTIGELRAHHNTHEDKDLKIFQCPICSKWYDAEAAIQQHCREKH I203_00134 MWSSSLPLLALLGTTLAIPQPKISSIRRPGWRPNRRQLPTDSVN TTSSSPSTTAPKDNIWISLTNDEAADVISFLHSRDDLNLTAVDDAGEWDNTIMVVDFL PPNKTDALGYLDGQGDKPERWAVASLLFGATEEPYAQDWVVGPIPITNDSTYYPYTFG THAEDAKIRVYDMDGHYDLLTETAMQMKDIISDVLNATIETEDDLNDTFDMWGIDPLW HEKDEDGNDHVIDWVGFWRYPATRQMNNGSINFDGETLLPQGLYMQFDITGRDKSQWK FLGVLYGDEYYTSIDDFRSAWQSSDFKKYTPNMGGKWIETDKTGKELPLDTEVPPMGV QPGGQRFKVDEENKFVEWMDFTFYLTFTRDTGMRLYDIKYKGQRIIYELGLQEAIAHY AGNDPVQSGTSYMDTFYGFGPYAFSQVPGYDMPLYAYCMNTSFHASELSKSHRCGISI FEHDLGHPIQRHSTQTYVSVTKGIALTVRSISTVGNYDYNFDYNFFTDGTIETVVRAS GYIQSAYYAKNDEYGYHIHDGLSGSMHDHALNFKVDFDILGTNNTLVKHVIEPVEKEY KWRKGVSNTMHMVRKEVANEDEGKMNWSANGQEQVIVVNKDAPNKYGEPRGYKIMPSR GGAGMHLTITNSSNLLNSVGFATHAYYVSKQKDSELKAANAWNDYDTANPLVNFDKFF DGENLIQEDLVFWFNLGMHHVPHTGDLPNTVFSTAQSGMVLTPHNYLLSDPSRQTSQQ IRIDYDEGDVTDVYTFGSEQAFGEIDLSQVSWDPYTYAGDVAVRKFPYDPQNPFDDTE SIV I203_00135 MFCIPPHLQEEVQRPRYETSQPVNLDSTLRPLQSSASRPTYTAR SYLPISRDSEMEKNAWDVEKQSYDVTTESNRMSFRRTRNIPISLRLIIILSFLICQLI FHPFSIPFSAHSKSVQSLDHTLVKHCQSLLTPPQGIYTDRLEYLSTILSNDTAWISEP SPSTEYYTSFSKDRWFLSERPFLISIVNSQIVILTPAFEALRASLIVKQLPVEVRDKV QWVEWREDQSPYAVLSTYLAKRGVGRFVLDDGVREFIGRGLREKIGEIVGSGLEDRVR EVRERKGDWEIGLLKCANQFTLHAIRKTRERMYIGITESQMSKILEEEMAKTGLIGGE GLVLFGENAALPHGSGTDRQLTKKDLVLIDAGGKWGGYVSDITRTFALPSSTIPESHI ELWETVRKAQRAPYEMLLKSNGSLTFGDLDRSARKVVTEWKRQDSDVDDDEAEVDFSI FTHRLGHGIGLEGHESPYVIQGPQGKRKIQEGNVFSLEPGIYLPANHEEVNGLNGVGV RLEDCFVLRKGEDGKWGGEWLSGPVEGWGDI I203_00136 MPFIGDPSLANVLYGASVTFNALTATQTVAAAVPSVSAAQQSVN MTEVVQQQKEDQAEMSSSMPRNLYLAFLGLLALCMIISHPRFLARLFASLWSSNKSKS KPNNNDLVKIYPYTKEALETIKPQPKREVSGGDLSQGWLLKKGENRRIEISSPLSSPD NDSCSKKDPFASSTSLDEKALSPIDISTQSYDSPVHYPPPHIIPLLHHLPLSSWLLFT PLAKLPGHLKSFLTLPQLYLISGYMILVCFALIWKSDLSPTSKDKGYGGDWMRSGLVA TAQVPLVIALGVRGNIIGLCVGKGYERLKVYHKIVGRVVFLASTIHAAAYMYKWTVAG KFSTYAAKPFAMWGILSYLALVLIVITSLPWVRKACHGIFEICHFIGLVGMILGLALH VPEAVPFCIAAGAIYTISIFCSITKTRVAHAELQALPGAGTTVITLPALRTGWRAGQH VRIRVPALGIRKAFESHPFTIASAPNGDGLVLMCKRAGDWTDSLYELVQRSSDLEDCA EGGRNNATIIVEGPYGGLGNTLAPSFSSVVLVAGGSGITQSLALAQDLVRRAPTGVVR ARTIDLIWMVRTEDIAKPLMSTLLDLVNEAKQWETQCIEGRRKGHKRPNPTALRVKIF VTRCPASSPLALLPKDWIVSELATSLKRQPSAADEEKYQYLCRNPSTSSTSTMSSISS MKNNTPLSTISVNPIRPNFSIFLDSLTDEIISRYGRTMIDPSGVLVTACGPESLIQGV RSAVRKLEEYKVRGCGGVEFEDEHFGF I203_00137 MFQPISNTFLTSLLLLLTTVNSRALPQEDGQTLDSAAIDSSSFP PATEAPSTSTTIPDATSTTSTTTTDPPPTDTPQVFIDAERGIVVESLDPSGVWVDDRW RGLGRMLRAFQFNDTYLTEYFQASFYPVDKDWNRQEGPDPDSYYSWTTGAPTMVATVT ASPTIDVTLSINTASLPTQTPNVDDVAQLSCR I203_00138 MGLFDYFNNDDSGNQQVYNLDPNNEQHKSKLSHELIGGAAGFEA MKAYEDHLRKEGKPANHAFAKELVAGFAAAEVDKLFETKGLDAYDREEAKRHAKAQAI EALNQSGEY I203_00139 MSAGAKQYKAIGDDIWKRTDKVNAELFTLTYGALVVQLIKDYED YGEVNKQLEKMGYNIGTRLIEDFLARTGLQRCQSFAETAEVISKVAFRTFLGISPSLC FPPPSSNQPSSNNLPQPSEFILTFDENPLAEFAELPRDAREGGLWFSNVLCGVVRGAL EMIQMQVETQFLSDVLRGDDSTEMYVKLVRILEEEQPENDE I203_00140 MSEFPQVDVKSLKVAELKEELTNRGLETKGLKKDVSLSPSMSVK AREARELTWDSTHVQLADRLQAFQDSQSQKADDANSGDVKAATTETAAKDEEQEEGVG RTMLDGYPEEPSTQHHPPPPGDVTKDEISTEPAALDEEVAKVVAEEEAKTVLSPTPSP PRRLSPVPAQREEPQEKGVGKVMVDEYPEETSTNHHQKKADKSIEQVEPKVLDNEVAK VVAAQETKEISLEKTSPSPPKRLSPLANQRAQDEDEDMQIDDEEEEEEGGEQSRKRPR SDTPDDKSKRQKIELPSTLSHIREPPTSVLYVNNLKRPLLHSTLHSYLTPSSSSSNAK LPSAKMPFASEEYGGLWLSGVKSHAYATYPSVQDAIEVAEKVENTKWPEDTGDILKIH FIPEDKLLELVEQEEQAWANGRKKLDLNVREDGGWIFELSGAGGLGRIPPPPRELPMR NERIHPARVDGGSGNGPIAVPLTGGRGGSGLGPGPRAPLTGVNAINPTGPVRGQAMGI RGRANNSLPLPHGSRGVGIPPRDNRDRTYDRNGTGEGLRGWTDEKHKEREIMKMRPTR YRPRLFWKKGPGALEGI I203_00141 MPIPPVISSNLPSAKGPYQVGYVPMSHKPISPFSHPQPTFVETG SPALKVHDISYSVFYPTDAKRGAKGVSWVPQPFWGIIKGYELFLQGKTGQVPSKGMRW LASALGYIAGRLRIPVHPYASLLPPTSASGKYTLVIFSHGLAGTRHTYSQFCAGLASE GYVVLALEHKDGSGPAVCLSSEEGNGDGKVLHYIRQGDIKWAAGEDKSLTHFRTLQLD IRSREIYESYHTFKNLITNSSLEGNNAYNILSEVGDNTEKQKDVEKKKQWIENLKDKV DFEDLKLTGHSFGGGTVLHILQIPSPDPTKLPSLPIKHAIALDPWLEPIPLPSSSTKS HPTMPPILVINSIGFTEWSSHFTRLVGMIKSAQGSLLSIVGVGHQSFSDFPLLDPRSH NSAKLLLNKIHDLSTLFLQGKLNESVDVKGKAVDGGKLVKDKNGKLGDKEGEVILHLL GKE I203_00142 MTSSTLIGFIPKHAQPFSLEEAMGLEVETLVNEIKRLENSIKHL QRTQSDLRSYLEEDEDGDGEIGKAYMENEDTISSQSERITLIKLALINKLGSDARLEH YGLSIDQPKSQSNGADRSSQPLHQPQTQSTNHGNDSGVNIDIDRLPRNIVEDQGGGSA APASDEPEGGLHL I203_00143 MKTTSAIIAASALAGTAYAQANATSIVQNGLGYLSGGCIATVLS LSESSSPLNQCLDGKLLASIVTGNSSIIEPVGNYLDEFCSSQPCSNETLTNATQTLLS GCATDLTNFGLTNQTVEWAVAQYPLLRDVMCLKTSNPFNGNGTSSANTTSLTNSTSSS NSTNGTFCATSLLTELESYLGEPLTPTNIATIGLGGNSTAVDALRSIPPTALCDECIF GALSLIEEQYPQLGQIRIGGDSTGNITINSFLERTCNATASGNFTVPTNGTLPTNITE SAVNSTLTPVNGTAPQANLIAALPTQFFPSVTSELASLTTSATGSGAAAGLISSAESV VASATAATGPGASELVSSASSLVARAAVTDVKKRWIGQQ I203_00144 MFNYPTFFIGITTLGSMGLVRAEGENETTSTNQSQSQPDLVGAL SNTVVNALISDQCRNALKDTFSPQSELGQCQFYEDLIGVTDDASLDSYLTTACGADPC SDETLSKAANAFWTGCETELGYVGITKDMLLEAFGAYTISREIACLKTNNDYCLTNSI GNILGTQSSSQGNSSESAPQTGKYEEMIRWYFCDECSIASIDLVLVQYPILAQIDLDG GKTVVDYVNGYCSNKGISTSTDGTLPQGITKTAHDSTFPSTNGLVKRMFTRNIGVLKS RFMGML I203_00145 MFTKSTLLMGATTLGMMGFVNAQSTSQPDWAALSDKVLNALISE QCQTAVKNTFSAESELGQCQFYEALIEITDDASLDSYLTTSCAADPCTDESLTNAANT IWTGCQTELGYLGITRDIVYEVFGSYSVQREISCLRKDDQYCLIYLSQNLNSSSSELH AEIESIDLSNTTFDQVGQVVQGEFQDLAKAYLCNKCSIASIDLILVQYPELASVDFGE GKDIVDYTNGFCAEEGLSVSTDGTLPDGITKTAHNSNFPHEIGLAKRILSRNIGALKS RFMKLL I203_00146 MSLEAVNVHPLSGYSFIERESQPEEDNSVTARLKRLEDQYAESG IRRSVEAIMLVNVHDFPHVLVLQVANAFYKLPGGYLDPVESDSSGLINRLNEQLGVPF TPSLIHAGGDWKVGDLLGTWWRPNYDTFYYPYIPAHISQPKECKKLYLVELPPNKTFA VPVNMKLHAIPVYEFYDNAARYGPQFAGIPYILSKYGIYHQLPAETEAHGGKR I203_00147 MSYSPEVSSIFGSPSISSLSSSPDLHHAEASYRRRRSPFSSSES GSDTDSSLGDIPLVNRPRNNPDIPSSPPAELRNRNNIAAFNRRRNLDETLNVRRGDQR GLSQILQDRRRGQPDGNADAGMGGSSASQQPAERPSGRRNTIVEVDDDSDDDIVFTGE NRNPNPNPNPPPNLRPILRQAHQSRAYGAIRQRLNQNQNAQDRIFRAPSPGRFRRMMD EIDELLDRRGEAHDAIINDQEDERRRQILSPPVTVPIPPRRIGLGGGGLFRRNAPPQA QIPADELNNDAVPGWLAPLGQVFRFANGRGDPDRAEMEMRFQLGGLAGGMFGGGIRHQ QQQEDIQTILSKIAPPPYPKTMITKGFTNDFDMDSIVRNEPIELDDEGNIIKNNTIKQ KECLVCSECHLPLLVSSAYKSPSDKLWVLRCGHLLDEYCLNSLQTPQTPLEISSIVRH ETNDGTPEKKRRRKNASRKVKKPDPPKPDEYTFRCPVIGCGKEHQSVHFVDDEEGRWK AKDGEGALPAYA I203_00148 MSTSTNPLDLGAPIGARSSSNPAYNNNEDLNVASQPLDPTHPVL QHTRTAPTTFPINSLAHEQTTSEKPPMKNDESRSGSESPTPTLPVAGAGGLHGQPQPG TKAFEGIQGDQKDHQAGTIGEKGDQDVGLLAREELGFNEKHGHGHGLHRILSRKSHKE EERDGFTKIERTSTGTQVKHHTHTASGTDTVQGVGMVPISRQYSTPPAVSPFGGVAPT GSDAEMGLRALRSREEEDERDQEREEKGPDPWAVKFEPGEKINPKNWGVLYRWGLTGI AGLLVLNSTFASSSPSGIVQDMEEYFGFSQEVAVLTISLFVAGYCIGPILWGPLSESY GRRPIFILSFIVYTGMQVGCALSKNTASILIFRLLGGIFASAPLTNSGAMIADIWDTD HRGQAMSLFALAPFAGPSVGPIVAGAIQVTGTSWRWVYWILTIFAGVCLAVIVVFVPE TYAPKILVHKAKRLRKDTQEERWYAPLEKVDNRWKSRLYDILAKPFVILALEPMLLAV TMYMSFVYGVVYLLFEAYPFVFVINHGFNNLQNGLCFLGFFTGGVICVILFMTVIEPR FQRHAAKVAPLPPRPEKRLELCVISGWSLVIAMFWFGWTSYSSIHWISPVIAGGLIGI GTLGMFVSLFNYIVDVYLWSAASALAGATIVRSLFGAAFPLFATQMYEKLGTQWASSL LGFLALLLAPIPIVLMIFGPKLRARSKFSPNKTVH I203_00149 MTSNSTNPTEAPPPYQPGGARPNVSVTTPSGDVRSTSQDAVAQN RPGHQRTPSNVSDVSDLTDEEPDKLDPKEVEMRRSMDDEQRDLPEGWVRCFDPKQQHA FYVDERTKRSTWLHPYDDPEFLRSLPTTHPAHPESKEGQAIRKKSEDEKILQDKIKST KSTKDGREQQQQQSKNVVSGDERNWFQKKKDKLIGTKEERAKAKEEKRKAKEEQRRKM IEAQAAYQRRRQELINQQLNDPNIRRMYASDPYRYAAPSTPFMRGGGMYGSPYGYGYG GGYGRRGYGGMGMGAPMLMGGGAGLLGGMLLADAMTPDYGGGFGGGGDFGGGGGDFGG GGDGGGGGM I203_00150 MQSSRPPTFRRPSNLSIRPPSPNLQPTPGQGLSTPTNLTNPYSN LYSPRRTALPPSPTHSNVSYASRGSSSGVGVGNRDDHPPPPPVPSKGNEKDKRLPGIP SPHKYQSTKGSATGVYDNKLVSSTLSHLPPLPPLSPVPISGSSSSLHPTGSSSHLHPS PRPSISNQSSSGGIVVYPDGTPLTDHQHHQHDLSGRIAESMTMAHSSPSSPWSLLTVH VLPLFAGSALKTPLEDLNHLCHSHIISTSQRYPPSRIVSLLTADLREFIASGMLTLKA KFETLEEGKVVSRAAEVWIFFWAQVLPYVEGVFLPFTQLRDLPSTSSSTYQTSSSSPI PFRHLLLSGFLLHILLPLLPRLIPQITKNTNTSSPIIPNSYPPPTTQELSKILQMSLV LSTQARYSAFFTAIPTDQEKENHDEEVRENVERLGKAIRWKLNQIEIESQLPLPSTNP NSPIKNSPTHIARRSGSGSTGGLQRGPSLSQSGRYRRRGWRASANLGLLSLNQYPNEN DRMSRQNSGDVYQNLDYKDRDKIDEEEGDGDNGQENVPLSRLRTNTNTSTSDSLVPPT FPNGGSGSMMSMGSTIVNGGSTVGNSSIATGGSTIRGESLASYGETPSASMMNPNTNS TKGGNEMMYGRPVPRRGRAESEGSEVLGAGARGDNRI I203_00151 MDTEQPPQPSHLRSPTIDSSLHTPLHRRRSESDLTDHTRGHIPP TARVDGHRYTPFLPSELLERPVRFTSYTEEFFSQCHISDVRVVSTPNLPSSTLHLTTF LYRPHSSIAAYHPQIRSWYKNPRDKNKWIVDEPPKRKFNQLPFHFMEWIYTCLPIHQD RVNFAQTSSKPMQAYFKIRCHKYGFGKPLLEVTKKTWIQVWNEVRDHWKTCDVPYCTN AGRNLGDMPFSPPISVETSLSPSGEATPWSTDTSISLSPETSPSQLDIAPTYYQQTIT ANPLIEYYIQSEGQVGPDKFLSCRFRKSRKRTSLKEVKDRISDFLHRRPHHLTPPPEN LHDHPSLAYALFNDPPQLSLVVKVNIVSRNWGEIRYGYYVLHNADGITLLDAMHSLQL SMNQNIKRFQKIPMYQEMLDEDEYKAARDTSAYLRMKRSFSRKVQKFALRTRPRSVVN DVRYLGYSDEQSKADLSGRYRDWAEFEIWFAAQPVPAPFIDKFSNWSGRVRKKNIAPW NQLHLMSGSALQVLSNSALPDFVLQQTNLPPVSESPWDAIDLPPIPETGFFPREGPIF DSPTEITPPPEASGSGIPHGTSKTPKRKHYRFPAGRNIDSRYPVSPNESEESDLLQTP HQPSSSSSSPREIISALQRGQEVHGGQEEEEEAVTTGYASSGKTYSPPQKPEGYVPVP TPRRRVPLNPIQELSGSGGEASSTGGALQLGDMLEGTRQQEKQGGGEEAPTSQAGQDV SVASSSSIQQSGGATQPPARSQPPSRSDSPSNDQSGPSSKPGNQNMP I203_00152 MKRTTKIQQQNQQDEDDIVPITTSEDDIDPSEEEHTMDEPDSDH DLLESYPTLSSPLKYFILLVGFMVIPVGLGVYFYGGGKERLAKLKSKKNKGYEKVESE RV I203_00153 MARLSLLPLLAAILAALFFFIGSADAAKGPVITNKVYFDVEHGG KPLGRVVMGLYGKTVPKTVENFRALCTGKTADGEELGYGYQGSSFHRIIKNFMIQGGD FTKGDGTGGKSIYGNKFEDENFKLRHTGPGVLSMANAGRDTNGSQFFICTVKTSWLDG KHVVFGHVIEGMDVVYAMEGVKTARGDKPTEQVTIVASGELPIEHETDEEGNQVPFRV EL I203_00154 MDVSDPIYTFFPDAINSSSPQTILYEALNLPSSATQEEIRKAYR KLALQYHPDKHSHKNDDKEKEELNKKFQRIGFAYTILSDEKSKKRYDQTGKTDDKFDS VGDMEGGWEAYFEGLFKRVDRKILDEDKEKYQNSSEEKSNLISSYKSSKGSLPTILNY IPHSSYTDEDRFIQIINSLIESGELESTDKWEKTSTDLKAKDKRRKAGEKAAKEAEKQ AKELGVWEEFYGNGEKGKRMSDKQEEKGEGEGGLAALILKRQRDRENGLDALEEKYRK IEEERTAKKAKKGKKGEKNGPMPEISDADFEALQSKLFSQKDKDEEKKGKGKRTSK I203_00155 MNLSDALGIMEGIIEPDPYLQYQPNFAYTLPIQLLVNGITFTLL CVLLIHLLFTTQYHYPLAPLNYILQLLSILTVLISVIIKIVVILQHSAKSADTWPYDL DYVAVSIPPPNWNTGKCAAWFFLQALNNGLSNITHIQFLTMLYPSRTEARLIMFVLGP LAIASSVLVFSALSPHQTVLDISDAIRNVFNSTLLLIFTISLVIWGFFVNRRRAWRFD GGTAVFGFGSLLLAAISTSFNFVAVAEDGIDWLQHLLFAAVLWQIWLGWWWWVGSGMG IGEVEDIMERAERKKRKAAKAASRARSAASGSGAANNANGANRLRATSLSGIADNFTS GVTSILKTSTRVASHASGTLTRRNTARDRDRENNDEHLAESGAIELDDLNQNQNRSTH QQQQHVEFDPIALGNENEGSLTNSHSRARAGQTSTTSETSSTSATPSLHTPKNLSQLL SFPTTLLVIYLRKLRKAHEEATKQQALARAERRQRVFHEASPPPQSREGSRRNSTNPN ANAIRYERGIEEGGVDDIGWGLGRFGIREHEESARRLRQAGERLNEERLLGGTAGGNG NENEPSGSRRGSRSRSREDSPSQVQVQVQESDVPQRLKKVENSRDQSERLQVQSQTQV SGQEEGEWEDIDSSNSSSDVNARNRNRRRNRLTRGNNQDNNGNRNDDTRAGSGWSWWG PLRDWRLNDRSAF I203_00156 MSGQGGYNYTPEEQAAAWAAYYAQQGYTPDQISAALGTPSSTSS SSAAYGYGAGGGGGGQPVYAAAQPGQFQPVYDTDFLNQSSAYDYDPSASTDLANTTDK DNKDKGKGKKADIPVQGQKRETVIRKGNGRTWEDPTLVDWDPTTDSNPLHPKPEWFRL FVGDVSNDVNERTLDEAFGKYPSYCKCKVVRDRLSLKAKYGFIAFKDPEDFLKAWKEM DGKYVGNRPIRLTKIKDDKYGKIDTVQIGNRKAKQLEKVRKNKGKPLDGRPVPW I203_00157 MATRATTRSQPPTILQFDTRSNMVNGKRKSMSTKQLGTEEDEPD KKKRKPAIKKQPAFKPQPVPITNSSDTPPDDNDEDLHLLPPPPERKIARRRESTREIR ERQESPIPIHTNQQSSTSSSNSSKRIKISSEDIRGRAKSVDPVRSAVNTSDDPLGGIE ETESMGSNRRVQVEGLSIPLLQASRPSGPRPTSPPRRTFSSSNLSQPTAGPGPSTAQM GPPPTSSKGFVRKTRQSMGRTKGRISELSEESFVPLMESETPVIRKNQQLRGQQARRS SLDHRGSRASSSWGRGEITMPHKNVDSKLFYRHIPVSYPEPIKARMLLVWCANRALEE SLKPPSTSSRRDKGKGKAKAEIQEEVRTEEGDKMLREIMDEFVREMNRGGVDTSVFGA PGQQPTVTGLRPHPKNVSNRKVEAAANADIRKYKGEEAQWHALASSTRAKQEEVISRL KKKAEMDSEPDMSKSEDWMKDALDLAQGILDRSDDDLLENKGEFEDVEFKIDTLHQTS HVALQYVLQSSRFLDGIFSSLTADLRSRDRLGIPPMNNLPDNDEEGPDTIGLLSTATR SASSSSSSINNNSENKNKKKVDPMVLLRALALKESKIQNKTLIERAMQIPPVALTSSS STTTATTNHSSNAMGSATPRRQNTLGNSTTPRRPTGLTAQTPRTARRGMTPHGQHE I203_00158 MANLALLIFLVVLLTQVVAWVGQTVLQDIAFSIYSRIALSKASK EQSILRKQILQDKAELGKTSSQDEFAKWAKIRRRLDKALADLERLNNTINTSKSSFTT KFKSFIWVITFGSKLILVWWYRKQPVFWLPQGWVPYPVAWLISFPSAPIGSVSSGAWS AVCTRVLISLEEIVKALLEPSTPAGPIPTASFPSASEKQEAKIEPITLEHEKLD I203_00159 MSLPQIQKPAPDFAGTAVKDGSFEEIKLADYKGKWTVLLFYPMD FTFVCPTEILAFNKALPEFAAIGAEVIGVSTDSEFTHLAWSQTNRKEGGLGPDLKLTL LADRNHAASKAYGVLLPEEGIALRGTFFIDPKGTLRAMHVHDLPVGRSVEESIRLIKA FQFTDEHGEVCPAGWEEGKDTIDTSNKLKYFEKQAAQEQSSLKMEVDEVADNEQTVKR KGESPAKPSAKKAKQ I203_00160 MLTVSQMRSRSFCSTCHLLAETPIPKLGESSRSRSQSTSRPTVV KPRTGGGILSQKIDKSKEVRPIIRSGKKDLLNAVLGDPSRPKNDRSDSGNRTREAREP KHRKGGWKENLQAASLRPKDTITLDSDARIRIIGFDLTTSLPTIFRTKTSVFRSPLFN LRSIPFSNLPPRRLPPFPTERLSEWRNEQKGRCIYFSAISSKNAVSKLAVERNRSRRR FNSALEGLLNDDVGKEEARGMINNQYAYIASLTSSLHDAPFSQIQSDIILGLKYLEKS QATSSSGSTPLSLPLPLPKYIPRGKISNHVLLPTENDHENLVNRIL I203_00161 MSTSAASRPPYSTQPTATTIVSSTSYNSDCQSNATTLTSITVPD LASPGVNKSHQLEPILDAYEGSTTHSTEMDDRYAEERPRRASMDLNRGPSVKDRANQF EQQQYHASASNTPRGRPLPQPQSYDMGRTRSTSPLRVNRRVSSSVSTPTQVRRIPVPA TAPVDKLSTQRRTYEFENVSPSTNRNPPARLERGSGSAKRMIQQWESLPSTPINEISK PRYPATSIPLGVTGRVMSREYLDTKPLPIPRANPIPPSNHFSSGMAAGPSYSPGLAKT TYNPSPLQQHLQTPTQPSRKRAATLSPSPSSYSLSPSPSGEKRKRNGGRSPLKEMLNK FGGGIQAIGRKAKGKNKDKHFSRSESFGWDDVSSDERLGTNGLPGGIVFSDRMGEEEM GITKSPSDPNIIRTSAAMYLIPTPCSSVASWGSWLSSWVVLTPTTMHITYCPVFQNPS SGHSTPRRVLSGTNHTQASAPAVPFNQIPQPEPGVTPDVEMAMKDCVEVRSLRRDEVK GRGIPPVPEGVGTEVLEMVWSDGSKRYIGVEGVAGRLGWPPALPPPSPLVPPTTLSRT PTTKIPAVQPTPSSPFPRPEQSDFQSRLRALEARSAGSSAPPVQKVGDTWVAGSALGV PTSDIVDPPMTKAEPSPLLPQKRNDTNTIGLRDSVQRMFDLGPDPDLTLERPKSANIG LRQSSEMSERIRAWQPSTASSHLEHSTPSFSRTNSNKSTTSKANSNDSQSLDLLPYTQ DEDQEMMTVFPKTETMLSFDPNDLNPSRSASQVRRAAASTVVGADGGQSTTTRRYGMM LSGRKDNQPLTIVEESSGVESFLAKPPMTHISHITFPKPAVGGVQMTSQPSQTPTLPT IQSGRSTTSSEEVDGSGSSRPSTEMHTPLTRPTSSLATTISDPTVLARLKDHSTQHQT LSSKIDGMDFGLKEIIDSLDGFVRYSKEFNDQPRDIAVPKVLDDKLNTLGLDIKNIEN TLQLSNLANNVNREDPLNTNEQKILEVNEKLDNIVRLCEQVLSKQGTSHRPVVPISTE MGNAKGLDESMTRNTLVASPSEEEKFAGEEVAQIMADLTGGSSKNSPRLVGLHVLHND HISAPPSPKVESGSTLSPPLDKKSLTPRPTSTVTTSGNGLPEEVTKQIGEVLSLVTEL KDARTLQTQQTTDIARYLNELNTWLEKFVVNSSSELSTLSKRLNILVGSSADDTASST SGEGSTTSQPGLPDLVADLHSMMSEQKRRNDSEGMVGQRLDALLGMMGEERERAAGQQ NTVEQVVSILERQRQDNELLLRAVATDLTAEIRGERMRFIEAMQQATSVNVSMHVEEF KKLLSTEVNRSMAELGQMREEKKVLEQQISDLFALMAKHGGKGKKNAPVSPAAVAAGL RIPPSPGGMAQMMGSPVYAGGRGLPFPPQ I203_00162 MSPMNEKTVLPSSTAPAGSVSKPNWVSRNRKFFAVLLGLFLLLQ HFVISPYLLDLWDESSASTGHRKSTCEQAEPIYPKSFNVSSLIEGKKDQLVNWLSGAV KIPTESFDDMGEIGVDKRWDIFYRFADYLETSYPLVHQHLKRTRVATHALVFEWEGSD PSLKPLLLTGHQDVVPVLPATKGLWTHDPFGGEYDGEKIWGRGSSDDKSGIIGVLSAV EILLESGQFSPTRTVILALGSDEETGGKVGAYHLNEWIEDKYGKDSIAMLIDEGSGLE EIWGQLFATPAVGEKGYMDLELRVETLGGHSSVPPPHTGIGYISLLIAALERHPHKPL LNVDSPLVGLVSCGADSAPNFPSHLRKAIHRVEDSLASKHGKVDEKALKEIEDWWVEG SYKDGTLPKGMGSAMVGTTQAVDIINGGLKVNALPENVVAIVNHRISLASSVAELQQQ LIDVIGPVADKLNLDVVAFGKNIQPHGCRMDEVHKGPKAGKVFLNVAFNSSLDPAPVS PFTIDSPAWKLLAGTVRGVYATRPEAQLSKEEADKSLIMAPSISTGNTDTKRYWNLTR NIYRFGYLESKDDHHNNIHTVDEYLAADSLVELVRWFMNFIVNVDESREI I203_00163 MRGLPVNEKSLLPSNTPLTEDDILKPKSGWLSRNGKNLGLLFGL LLILQHIITSHDVVGDIFDRSSVARGERKSSCQEADAIYPKAYNVSSLVVDQKDRVIN WLAGAVRVPTETFDDFGKVGEDDRWDKFHKFHEYLEKSFPLVHKHLKRTPVYTHAVLF EWEGTDPSLKPLLLNTVPILPATRNLWKHDPFSEYYDGEYIWGRGSSDDKSGLIGALT VLLAFGNDEEIAGNGALALSHLIEEKYGKDSIAILIDEGNGVDEAWGQLFAAPAVGEK GSTNIQIKVETQGGHSSVPPSHTGIGYISLLIAALEAHPFKPDLQIDSPLVNYITCAA DAAPGIPKDLKKNALRLANSVDSKKGKFDRKALKAIEDWWVTGSYEDGTLPQGKGRAL VSTTQAVDIINGGLKVNALPESVSAVVNHRINIASSVAKLKEHIEAVLAPAATKLNLS IEAFGKKVSLLEGPKAGKVIVDTTKFGLDPAPLSPFTLDDPAWRVFTGTAKGVYATRP EAYINEDEAKKEIFTVPALSTGNTDTRQYWNLTRNIYQFAYQHGKNQHLNNAHTVNEA ISADVFVEQVRWFLNFIVNVDEATDL I203_00164 MNCLTTTNPRHILSLPQELLLKISSYLDSQSAISVSTTCHYLQP SAESRIWCDIRITEKQIFGDLSTATKSDYFSCSFDDTSNEEELKQDLFDDLARTHSGA WALKSQALIFHLNYLLERYEWRKSYFKRLTLDLRHEIPRELVDFLKSLPSLEKLSLNF PEYPSSLLDDHRLPGFINCLTLFQSLEKTPLDRLKDVEVYLVYDWNDTILSIMRSAPN LRNLRIDGQTLHTKQFKLFNQDIRSIQRELNLKSLKVEEMHPFFVPTLRSIINSSPYL EKVSLKHEYFRWRPNAHNALLESLAKVDSLKGLEVSSNCFDALCQLDGWKNVGDLKIG WSTVMLKERENYGMASTPYIIPPLPKLQRYHIEVSYYTISTQGYEYSTEPSLSILGIL LSRLPQELAATPELRLITYGDNSRSQEGDTWNWQDDGFQGLIIYSYTNEKGDELFHCR SKAQHPSIRSVNRPRWMGNSAGWEEHAYYNGASIPIRLLAGIYGVTGMTTTGMEPGRG LSMKSEGWDLLNTWEMKQESWT I203_00165 MNIDAFTALKRVNSLPSLTFLSITDKDLKYTLPLLQPDTIPESD TMTTLYLNGYSTLAQGTTSFAWDEEYQGLPAPIRPIPSSILARIRQSKNLRLILFPTD HVDELSHVGGEYRSDQEALKTIGRGVLIRTYTTTDPYGEVDTCTHSRSYSARHTHVSN AQVYHAEDVWEEYTDFYGKYVNASVLGEVYKVSGEEGMWTEGGRDLQMPEQSWDVLRK G I203_00166 MSATGLDPKELGTLVVVVGKAKNLVNKSRFGKQDPFCTVSIGED KQRTKPIKRGGQHPEWDEELRFAIVEDLDDVLVRSESQPDSLNSSTNGAPPPPLKDSP SGVITSAALANKSRKGPLSKKGGKSMKVACYADDAKEPELIGECVVNIDDVLKKGEVD EWYDFLYKDKYSGEVYLELTFFSNNAPPVKRNVPRPAIHNYGGAGTFNASPSGTLASA ASRTNLGGGLATSGSVSGMSLYIPPYVQQGRAPSPSLNPQPPAQTQLPPSNSFANLGL PPGHRANQDIPPSQVGQPTYPPAQASTLLSHDSQSSIDALTRPMSSMSIGSSYSSRPL TATSAPSLTTSISGHSYGAHRHSVGGGSSDAPWAQHLPQNQPAPAPTPHPRPLSSNDA LPWEQVQRLEQERLQAGATPVPRPASGGGYGQLGLQQYPQHSLAHAGNRIPSTIPESL RPAGPPQSEQHIPPRSHSFSTATPAPIDTTYSHASPTPAPPPPSNSAPPISPSTSSHY YMPTNSFSALTQPVVDPQRAASPAIGQYAQPNGSYVDPNAPLPPVPQQSYHTPTRTNT YPPRPAGQDYQQTPPHTQNYSPAYNQSTQPAYQATPPPQPGYTYAHTSQPSAGQTSTA PIQAPPNDSGYVPWYQQTQSAQAPPTPPPPQPSYGQQNGSQFGQMPAQPSYGQLPPST STYTSPPPVPQSRPQPPPPRRQSVGYYPSDELYAQQRQDTTTLQPQQAPWQQGHQSRL SHDPNAYNAQRQQAFSPVQSSPYTPQPPAQPPYQGAPAPSIPTQPSWQQPQSHYSSPQ PHNPTSYYPQQPPPPAQQYNSPQPPQNDYRAPSPQPPPQNDFNRAPSPQPYGQVSSQV GYGRSPSPRPHQTPYQPDTWQNQVQPPPPSGSSSQLIPPTYSNSPNAAPDNGRTPSPS PVGGSGNTKKDWRSYMNSLSTTGSGGGIIPARTPSPQPPPKDPALIQQQQQWYTPPPT LPNSIVPPEGWKSTLPAQRDGHAWRG I203_00168 MATSMRGLTQYISDLRACRVRELEEKRINREMAHIRQKFKDGNL DGYQKKKYLAKVVFTYILGYKVDVGHMEAVNLISSGKYSEKQIGYLACTLLMHENSDM VRLVINSFRKDLDDHNEINNCLALHAIATLGGQEMAEALGENVYRSMISQTSTTFVKK KAALTLLRLYRKHPTVLPANEWAERVIYMMDDYDHSVVMTITTLVTAMAQDHLEAFSG CYQKAVNRLDKIVFDQETPAEYVYYKVSNPWLQIKLLRLLQYYPPPDNQEVIDMVNGI IQAIIDTSQETPRNVQHNNAQNAVLFEAINLAIHIDPESKVCHNAGILLGRFIMAKET NVRYLGLDAMAHLAATSSSLDSVKKHQNIIIQSLKDRDISVRRRALDLLYSMCDTTNS KIIVGELVRYLQVADYNLREEMVLKIAILTERFATEYEWYVDTILQLIAAAGDHVGAE VWYRVVQLVTNNEDLQNYAAQAVYHHLQATSCHENMIRVGGYILGEFGHLIANEPGCS PIEQFQALHSKVNLCTAPTRALLLTTYIKWVNLFPEIKDHLVNIFERYAHVLDAELQQ RACEYLALSQRGEDDELLSTICDEMPVFPERESTLVNRLHKRGEQAQDKRTWIIGHKE DNKGREAERFKSFRKGTADSNTIVSALPPSQSQPPAPLAQAQPQAQTQRSMSIGADTM MGTTSHGPADDIMASLAELDLTNGGSGGGTIQDEPLLMPAPTGHTGAAADLAGLYDAQ PIEPQAPAPVQANGGLSHTATLGGVNPALLATLTVGDHIEKWFERLTYSNEGILYEDN TIQIGIKAEYHGHLGRLALFFGNKSEQTLTRFDLSIDNPISNCLGVKFHDSPISEIVG GAQIQEMLHIECKSPFGLAQHGNGLGQLPLIRLSYQVDGTDDNKEPKILVLKLPIVLS RFVEGVNLGSGPFFERWKIIGGAPREAQQIFPIRLTSSNEVDIARNNRIVSGNRLSVL SGIDPKPENIVFAGVLHMSEAGKVGILGRLEPNKEAKLCRLTIRSTNEDVSAEILRLT SKPLNLDVASSL I203_00169 MSRRGFDTSLSSNPQSKGKPNRKNSATIPTPDPEHAISHVPTRT SSERAALDQNKKNGTTTKTNTSDEQIPAEVDDDVCFICAEPITFWSVGVCGHRTCHVC AVRLRTFYKKTDCTFCKTPLSSLLFSRSPSAPFPSEHHMTPSPANVIAEAQAKIESLP KGAKWDEGIIHPGTLDISAFPYADDILGVVFEDEDMMDATLLLLRFNCPYTDCPYQGT NWQSLEKHTVATHGLMICGLCRSTLSRFAHEQGLYPPNLIGLHDPSRLKRGQRPPRPR GNEVEMVKGWDAPHPMCEFCHQGFFGPDELFKHMRADHEECFVCKDLGDRDVYFQNYD SLAKHFNQDHFPCPQPVCLEKKFVVFGTDMDLKAHMISEHSESMSARDRAQSRQINVD FSSGGSSTDRRSHGSRGFTLAQPFDPSRGGPSRIREGPKENRRRKAFATGLTRPDDQG SRVTQQAEQSGSGFSTPSERDDVDEATATRHAELLSRVSMLVSDSPTKLSSFRSAVRS YKNNESTAKDMVDTIFHVFDRDLDTTTGIVREISSLFSGDGDGEKGRNVLEALNAFRV EQQEQFPSLGNVTPQGLGSNYAGITSGQILNAKRSTRTGGGGGGSGSRTVWSRVEAAA ASHPVNRPTATTGLNGRFGTGSGARVPISSTSAFPSLSGPSSGTPKSAHSTPWAGDGG GGSSSKTPSALAGPQIRSVNFPVATKAKSLNNNAFPSLPSNSNKGLSADEKKALFSKP NPRDESIRRITGANPNPPPSNGWGTSTNTNTNTVNMKNLSISDSPADRSTNTQSQQGG GGKKKGKSKQLLFSVSTRPQGGPSHG I203_00170 MRIHLPLHPAQFDPPASSSSSSSSSPLIQLGGDLVLVELQGELS YEGDKSDGVIGVIGLDRPDKPTLHLGPHHLLHGKFVNLQKPYAVIRKVIGKSNSVEGT TKLEGNASNEESNEEDSSSEEEENLFGKDDEPSTPLRSKGKGVDYSSSPVYAPLTPID YSSDLEMDPSSPARSEWDQIEHDDQEDEEQSQQRPNKRARTKVNGNGGGKISKVERRK KEKQKKMKSGEKDRTRNYQVIGIVKKKVVFALRPEPLVAPTILPE I203_00171 MSADQEPTAPRSVLPYPPIHEDAQFVVLSDWDGTITDKDSNDYI VDNLGFGFDKRREFNLECLSGRMSFRDSFRQMLESVHHPFEECKEELKKNIKLDPGFV NFYTWCKSQNIPFVIVSSGMAPNIKGVLSTLLPGPDTENIDIIANEVEFTDPAKKGDT WEIVYRHPESGFGHDKSQAILPYRNLKHKPTLFFCGDGVSDLSAAKHADLLFAKVMAN GHSDLKTFCEREKIPHVPFRDL I203_00172 MVSTPLRRGRLPSPGGGADDEMALPEDYKPYVPVAKRRAQMLSQ LGSKRETVKKVKTAEELEKEVEKELREQAEDEERAREKARRERTLLQAAQEVKERKAL EDALKSAADKEAEKEALLLAEMERAQKKLASAQELAQGTVYTESLKTSWRPPHYVRNM SDEEHQVVRDKLHIITEGDEIPPPIPHFADMKVPKPILDYLKSKGIKKPTPIQIQGIP TAFAGRDMIGIAFTGSGKTLAFTLPAIMQSLEMEAKLPFVRGEGPVGLIICPSRELAR QTYEGCQAMCNALKESGNYPELRSLLCIGGINMADQGDVLNKGVHIVVATPGRLIDML DKGKLNANNCKYLCMDEADRMIDMGFEEDVRSIMSHFKYQRQTLLFSATMPRKIQDFA QQSLINPILVNVGRAGAANMDVIQEVEYVKQEAKMVYLLECLQKTPPPVIIFSDNKNE VDDIQEYLLLKGVEAVAIHGSKTQEEREYAIRSFKTGAKDVMVASGVASKGLDFNEIQ HVIVYTMPKEIEDYVHEIGRTGRSGKTGIATTFVNMNTSEQTLLDLKYLLMEAKQKIP EFLLSIDDPRAAHGGALKGCPICGGLGHGLQDCPKLQEEQRRKQAANTRYDGGGY I203_00173 MEDLDVNVLDQVVQAFYTSAGAQQQTAQKVLTQFQDHPDSWQRV PAVLETSQNINTKYIALQILEKLVQTRWKALPPDQQTGIRNFIVQATVETSQDETKMR REKGYLNKLNLVLVQILKQAWPKDWPQFIPEICASSRTNLSLCENNMIILRLLSEEVF DFSAEQMTQAKTKALKQTMCSEFGEIFNLCNEVLEKANKPSLIKATLETLLRFLNWIP LGYIFETQIIDYLVSRFLEVPDFRNVTLKCLGEIGALNVGPEYNGKFVTLFQVVMTSV NRMVPPNTDMAAAYASSDDEDQQLIKNLALFLTNFLHTHLRLIETPDNTELLINAHLY LIKISTVDDREVFKICLEYWAKLVAELYEEIQSLPMSDINPLMNLNIGGLGAGLNGPH SLALNGMPLRKNVYSDILSNLRLVMIEKMVKPEEVLIVENDEGEIVREFMKESDTIVL YKSMREVLVYLTHLDVQDTETIMTDKLAKQIDGSEWSWNNLNTLCWAIGSISGAMNEE TEKRFLVTVIKDLLGLTEMKRGKDNKAVCASDIMYIVGQYPRFLKAHWKFLKTVVNKL FEFMHETHEGVQDMACDTFIKIAQKCRRHFVMQQAGEQEPFIDEILRTLHRITVDLSP QQVHTFYEAVGYMIASQPNKPTQERLIEKLMELPNNAWDNLMQQAASNVDVLGNPENV KILSNILKTNVSACTSIGSFFLPQLGRIWLDMLGLYRTVSGIISDQVAQQGVIATKTP KVRALRTIKKEILRLVETYVKKAEDLEGINTNLIPGLFDAILGDYNNNVPTARDAEVL NVTATIVSKLGNILTPQIVPILDAVFEPTLGMINQDFSEYPEHRVGFFKLLRAINLTC FPALLEIPPQQFKLVMDSVVWAFKHTMRDIADTGLNIAYEIANNFAASTPDIANQFYQ QYLLNMIGDVFYVLTDADHKSGLKMQGILLARLISLVETGSVQAPLFDPAQVSDPSMS NATFLKGYISDLLSKAFGHVQPAQIQSFVNLMFENSNDPIKFKLTIRDFLISLKEFSG DNAELYIDEKEAEQEKKAAEEREAASRVPGMLKPAQLDDDADL I203_00174 MIRSKISLRPIGLTSLPHRPITVKHSSPIRNQLISARSSSTKIT NTQSTISSKTFFNPHRRPIIPSLRTPTSSIRNFSIPPKSFNAKYPITSVLIRLGLSSV LGIVVLTSVILFHDAFTYSERHVDRVPCNPLSLKPRLGGKKNLPILEVNLDDEEDDAK RTMKGKPRLVVIGGGWGAVALLQSLPPHAYNVTLISPQTYFAFTPLLPSACVGTVEPR SLVEPLRKLIARVRGHYLMGSAMDIDMAERLLEVEVPKDGGEGTMRCYVPYDKLVIAV GSTTNNHGVKGLEHCYQLKTVPDAQAIRRKVMTNLELTSLPTTTPEERKRLLSFVICG GGPTGVEFAAELADMMAEDVLKYFPKLLANEVKVTVVQSRDHILNTYSEKISQYAEKR FARNDVDVVINARVQEVFPDKVILSIKDSKDKEAKPTSKEIEAGFVLWSTGIAMQPFT KRLVELLPNQYHSKAVEVDNYLRVQGAPQGTVYALGDAATVHINLIKHLYELWDRFDV NKDGSLDYDEWQAMVTHIKKHYPLATKYFAKMRDVFDEFDKDKDERLSLNEVAAMFLG VSKKVTSYPATAQVASQQGKYLGAMFSKLAKQHKTLEANGIEDLNDEVYYHPFEYFHL GSLAYIGNSAVFDYEGFSLAGGLLAMYAWRSIYWSEQTSMRTRMLLMLDWVKRGIFGR DLSKVSRITLRLFLEV I203_00175 MSMTSPVLTPSRQSIPHRNSHGGPRSYRHADDADARERQMQQDI ESAMSMSRARSGSLADTSPPIVRPSAHHFPSTSPIEERSFPMLSDAEEAEMNRARYHH GPDNGSDDEHGHGRSHYDNQEDREEEGLMHDHHHGHGHGDDSVGGSSGYDSHARLLDR RGSDGHQHRLPMSMGMGNMERQGADLRSLGGMGGVARNRFDFAAMEEYANKEKQNLSN AEFGGGTWAMNNNNNNGIPRRRSTPNKSPSATNSNRESQEDIKQPRTGGGSFERTQTM SAFGDEPEHEHQGEPDNMTEGPFSPASTARNDGDGPTTFHRRRQRKLSQSNPIQRRQG KLALFEGFGNSGNNGGLDGEVVPESSSTAFKAPRSGKGGIPIPSNSGGGAGGFAPYSD IAPPAPGHDRPYRFSFYSNAMPVTIHARSLAELPAENQTFEELFKGKNQSSSEKGTPN RDSGQDTPQQPQPQHTEPSTIASKTSMLSRAMAAHDMSREGSKQAGGGGGNGDSTAVE EDPEQFTWWLDVLSPTDEEMRMLSKVFGIHPLTTEDILLEETREKIELFRNYYLVCFR SFDQDPYSQTYLEPLNMYIIVFREGTLSFHFRGTPHPQNVRRRIKHLKDYISVTSDWI SYALIDDITDAFGPLIQSIEYEVDSIDELVLILKEAEQSDMLRRIGTCRKKVMGLLRL MGNKADVVKGLAKRCNEQWLVAPKSDIGLYLSDIQDHLITMTQNLNHYEKILSRSHSN YLAQISIEMTDANNQINDVLSKLTALGTVLIPMNLVTGLWGMNVHVPGEDTKDGYAWF GGILGGLALFAILGAWATYKCFVVR I203_00176 MFCAAKTTSYELQDDLDSSVIEKCTMDIEQPVSREYDDRSEELK GRLRDLRVQVQEAKVDWYVVPSEDEHQSEEIGESDKRREWISGFTGSAGTALIPSSSI DSEALLFVDSRYWIQAGQQVVEGWKVVRVGASGGSGKDAVVGGWVEWLLEEVGDGSRI GIDPKLLSIDLARSIKSHLESSESSTKLIPLTSDLVDKIHSPPERSTAPINHYPISFS GENTSSKLDRVRSALSDHLTSSSSSRLRSREYWIYVLPTLPSVAWLLNFRCPSDIPFC PVAYTYLVLTPDKCVVFVDERKITDEALKEDWEKSGVEVRPYGIEEVGKYVKELQQRD RDETKKRQKKVLTTRECSWALADACKSDIEVIPCPVDRLKAVKNETEIQGFKNAYLRD GRAMVRWITWLEKILVQEKKKVGEWAAAQTLTRYRRREELFAGLAYDDISASGPNGAL PHYAPKRGEDRVIEIDSTYVIDSGAQYQDGTIDTTRTLYFGKTPSPEVKRAYTRVLQG HLAVSSAIFPRGMTADRLNMIARGPLYQDGLDFGHGIGHGIGTYLAVHENPMFPRNGA FEPGNMTSIEPGYYKEGEWGIRIESVILCKPVDTLNDQSGHFLSFERITQVPIQTSLV DYKLLTKSEIRWLNDHNTSVQEQLMPLLQGDEDKEVRDWLRRNCKPKKIWPWTGA I203_00177 MRSIIILSALHCAIAQNITQTSSISFSATPVSTTVPSPTVPLDT PVPGQGDYPPVQYLCEDGTNTTYCPGVLLQDVQLAGIFPDSKPTNGTLNDTLAAFNAL GSNVTVGQIETFVESNFKGEGLDLEQIPIEGFNQNPAILDNISDPIYKAWTSIVNSYW TLLIRETNQSSLCNGTCDSSLIPLNHTVVVPGGRYREIYYWDSRWIIEGLLKSELFDY AWNLLQNMMDFIDVYGYIPNGGRKYYVNRSQPPMFIQMLDAYVKVTGNTTILERALPL ASAEMQWWSNNRTFNYTSPFTGKTLLVAHYAVNNSAPRPEGYVEDYETVTGSSPALNE SAKAELYSELATGAESGWDYSSRWCKQPVQNVSDNNPALRTLNVKAIIPVDLLSLLSG DHLLLANLYDLYSNTTGSSIPITSNSTQTGNSTDIVRTNSTGTSSSGNSSSSNPSPAF YHRQQAQSFSDAVLDLCWDPEKAWFYDFNTTSNSRSNVYSPAGSWPLWQNITPNELAN NESEALRFVSGARFLLGKYSGIPSVASLLYTGLNWDFPNSWPPHLHTTIKAFETLGRH HPNATVLSNLTIPFSQVASGQLGLQESELQPQPQSTIGNVSLQTQEAQGKPWPLALSI EYANRYLGAAFCSWYSTGGAIEGLLTQLPLSELNATGTYTAGQSGVMFEKFNATDTDA AGGGGEYTVQVGFGWTNGVVLWAAGEYGQYLPAPTCPMIPIIEVTNNGNSSVYSNGTT TNGTGPIGNDTGSGNATSSLLFAGYRIPREEWKRAAAEHQKRH I203_00178 MTSLNIRPVPSSSTSSSSKPIPPPSLLKPWDFSYSPSSDGCDKN LLIMFHGLGDSKIPFFNLGKQLSLPSTAILSLSAPDPIPLMDHPSFSWYPTFTPTFDP LPIQNHNPTVHMAKLRNLTSILISKEVGWELKDIHLFGFGQGGTIALELGLSIYNQPL GTGQDPPKRFGSIVSICASILTHPTSTLNIQTPVCYFTRHSPQSAIHQKNLNVLKRAY KDVEVVQASGDNEQMPRNKDEWYGIMKFWGQVLGRKDEGWKGQGEVYEVVR I203_00179 MRTHLKRKEVDDVLGGKEAWANVDKIDTACPKCDFRKAYFRQLQ IRSADEPMTTFYKCCECAHQWRECNFALGVRYRFYRIQ I203_00180 MSYPDKSANSVHSLLALLRSAQDGTSPATSSSVPAQSTYPPVYT TNGNGSGSGPVTRPNVNVPTKRQLDDLLSSLNGRPQPTPPKAESPSQRHLIEPFGPVG ETPSPSKSPYDPLQSPNRRQSTSNTNTNTSEYGRRASTSKVTPPRKKRKPSERVDEDG YSTMSFSKALPILSELLEDEGFKLELKKMKKEQDALERRLWAKSEKVKAEHERSIQAE KEIAKIARKAIPPEKKEAWAKSLSANLDTFYLQQCLPVIDGLASKHKQRLMELGVPGL GDGGEKIKERIKRIMELLEAGLEE I203_00181 MADSATASAAASSSKSRTSSTIQAKSNLKAYHHLASGALSGLSS AIVLQPLDLLKTRLQQGSGDGEGQGVNKKRRIRGVVRQVLREDGVGGLWRGTVPTLVR NVPGVAIYFYTLSSIRHKLSSVPYFAVTLPLPTITPTSSTSSYPSSASKSAIIKLSSG GNLMAGAIARTSVGFILSPITVVKARFESNRYANYHSIPSALASLYRTNGIRGFFQGF TATAVRDAPYAGLYLVFYEKGKDLLGKITGIPNAALHSGSGVMAAVLATLITSPADVV KTRMQVNPVDHPTLRKAVANVLQDRGPLGLFSGTSLRISRKAASAAIGWTVYEALLIF LRDREGNGNPQNQLNKLS I203_00182 MESIFENDSYLFDPSSSSQYETSNFLSFSSFSEQLSTWEDFNLD YKEPPARSVSDASSPTLSEPFDFPSSSFDPLTPAETSEIGIFHTPEKKLANAGQDQTA FFSHRSSGSTDSWGEPTTPSLSGKSFAALSGNNFTPSQSTPGFSWDEATPTFSGSSYT PPTPAFQAQPHRVTSNPVLSTTSRQPRTLNKPASMPVLKEEETPSSQWLDGTSFLEAP LEIPNAVADVPVNAQELDDFVWNLNDQTLGFDNFSTQDTIFAAFNEPTNAVPMMHSHS HTGVFPSQTPEFIFQPSSFQSDFPTWMSGLPATQDVVGFGQFELTSLNQNQSMGLLPA FTIDPTTIMGTNGGMVDEDSSRPSSAPGLNGGEESKGMLSVPTADVMMRSLSSEGFVP SRHAPPRDLFSYAPQPIPSGPPGPQPLYTSDPSPSYQQFAPSALSYGMPPTPTRSSSR KMSNNLSVKIHPPASSTFVPSPLPPTPQSATFPTSFAAAQNQPQQQQPIAMQRAGTQP LPQTRKLSGAGVAQPGLPAHLARAQAIVQQQAAREEAERNSIRREAASRRVGMAEASV MQPTISATSGKRTQPAIMGWEGPSAQTGSLPVLTHTPLVTVHPPPAQYLVTGAPIPVH RVHPPPHPGTGAATIGQPRQIARLPSSPARLRKASSSNQLTPTRTTPKSRSPSSATKR KASAAGGFTFADPFINFTADDAQKLLSGVAPSGSQSKRKREEEAAAKAAAATNSSSGE EDEARSKRSKSNE I203_00183 MFLYQTFTILALLGLVSATAIQVKRVDHDLKFNIEFDEDSGHQI TDKNFGTGGEIQRGEKTAEFHWDDSEFGTDKAYRYFNFYTYEDDGEDEKSTARFECTL EGLKETGLLEQITYDYELHRQYNWLHSKYRHEEARLTCYKGGSSQTEPDITTDLMPLS KPDGDDDDDDNDNDDDDFLGINL I203_00184 MVFSSSTRDPSPSTPSDAPAPSSHSVSTRVGGEDEQDLKKKLSQ GSREDEKGASSSKEYEERGIQEIDDEGAKRTVMVVMEQKSGKEILKHVDGGPYTQPRW RHSLPFVKPKHPPPPPPLTLDDAKVTPEVTANFWDTLFFNWISPMMALGSARPLQDTD LWKMDEARSAKGLADKLLLSYARRTKAANEYNARLTDPNTPLPFTKRMMYPLLPNRQK REKEYREKHGKKHASLALALNDVFGLYFVSAGVIKLFGDACQAVTPLLIRALIKWSTN WQLADTLGTPKPKIGDGVGMAIGLLLLLLTSSLSIHHYFIRSMGVGVLSRAALISGIY QQALRFTQKSRGEIPNGKLVNHISTDTSRIDFAAGFAHIIWTAPIQMIIIIIILLVQI GYSALPGIAFLLIMTPLQVIFMKNLFAIRKKAARWTDKRAKLLQEILGGMRIVKYMAW ENPFLQKIHNIRGMELKYIRSLLTFRSGMMAFAMALPTLAAIISFITYSATSHDLQAA TIFTVITLFQLMRMPLMMWPMTLSAVADALNALGRLEVVFDAELISENLRIDRTQEEA VKIEHASFTWDAAPIEDDDGMMKKLEGRYGKNPGAGKPTPKSNEAKTKKTKKITAVDE IEAEMASGGPHDAEASKQAVGQGAPFALHAVDESKEKEAVPEAKIFQIHDIDLSIPKG SLTAIVGAIGSGKSSLLQGLMGEMRRTEGKVTFSGSTALCAQTPWIQNATVRENILFG QPWDEERYWAAVRDACLEPDLELLEDGDGTEIGEKGINLSGGQKQRVNIARAIYFNAD IIALDDPLSALDAGVGKALFFNAIIGALNNNTRILVTHALHFLPYVDNIIMMEDGHIG EMGTYNELKGQDGAFARLIREFGNEEQHEEALETEEEAMNSSGPAHKYDRSKMVSKGT AHALMQAEERNTGALKKGTFWAYLKAGNGVFMLPILLVAIAFAQATYVITSYWLVWWQ EYKWAYGNGFYMGIYAGLGILTAITMFFQGFSNALINYFASVKIHKNAITRVMFAPQS FFDTTPIGRIMNRFSKDTDTIDNTLSDAMRMAVGTLSNIVGATILLAIVEPYFLIAMG VVSLLYVHNAAFYRRSSREFKRIDSILRSSLYSHFSESLSGVATIRSYGESERFFKDN VYRMDVENRAYYLTIINQRWLGLRLDFLGSLLSFSVAIIVVCSHKVSAASGGLGLSTI ISVQQSFSWLVRQVAEVENDMVGAERIMHYANELDQEAPHQINEVKPPQSWPEEGKIE FDNVKMRYREELPDVLKGLTLNVGASEKIGVVGRTGAGKSSIMVALFRMSELSGGSIK IDGVDVSKIGLNDLRSGISIIPQDPLLFSGTLRSNIDPFNTKSDIELYDTLRRAHLIS NSPRQSTSIDGETEKQQQQANSKRFTLDTVIEEEGGNLSVGERSLVSLARALVRNTKV LVLDEATASVDVETDAKIQETIRNEFKDKTLLCIAHRLKTILSYDRILVMADGQVEEF DTPENLFLKDGIFTEMCGKASLTLADIRAAAALRF I203_00185 MTHSSSLFSPNNHIRHSHYLQPETNNLVAPQPPTSFGRSNGFLK KIPSVLFNKERTPIKSKSSKAKLNIYVDPSSPRPMKPSTAQLPSSTTNTIPAIVGEKD KKSKKPRKALADLFGWGNHHHHNIQAQAQAQPVSPKPEKTSFAPAVPPKDNPAMLKKL QRPPSTKSSASHLVSLRPPIQPAVVSRPSIGDDPFVRNGQGAEVVEHVYRHGARTPSA KSIALDRRASVSSGKAISHKTVSSDVYSTKEVTERYQPNMTGTTMEQRRVSAPLTSAP LVDLPPRSASLGKLLGNTMAAPLPQLPESPIEPEEPLIKTLKKEKTKSRVWGLLGRNK SKKEKVRNPSDGKAPAPPAKEAWARPAAAPITTSLSAHIDDKYAAATIKSNVGSMRST NCQRPPNLHISAPSMNAGEQRSPLTASTMCFPNSAKRSTFGDQESLPNSTPSKYPISS GRGGVWESVVGTAATRTSDEEPCHAIEALMGSSRLPKRKSLTGLFGVNIKKSFERIKP SSPPRSHASLFHHHRVDASPPRIAAEPTLRSLAEEIEEASEPFKAAIVPSDKFISRFS AQDEPTEKKAEILRRDPSLRRVASATDKLFTLVSCLDFSPASSNSPTLHHMGSHNSLR KRLNGSPTPVRRVRSAMLANKASSSSLKPPSVNVSPLKLALHRAQAAANHQKELGPSS PPRESMVRKGMRNIFSPPSPSPVPPKHGKPSKPAVDVIAAKVTDERVGMGQTMGLNIV QDRTQSIKGSIASDVPADLKAFLGSTAVHEVASSPGKTAILGLPAPPPKDRVPVRRPG PAPPALSLPPVPDTHHPDDIAASNYSQTDFDHNEEHDLRDSIFSDMLSVDNNDHRNTF DFTSEYASLHQGDQRASFVEALKKVNSVELFLPAVAPPLPALPSNSISISEAIPSFHI SKPSDSTSMHDCSEDEDEDEADADADDGDDEGLEHSAVIGQVTGFAKTSPVRREPFKG QFAFQQHVATMPRQDSPASFGAPEPILAIPEVAPTHGRRRGHHRGESGLSIATMSSIG SVIGTGNEREYTNYFEVNFTNQNGHSRHQSITENIHEASDENSPTQTSMSIVNQVGAR PTTRRGHHRRNSSIVSVDSLSETIGHTFSVGPPISSRNSRYGYISKHRRNASGESTFG RPDWAAHRRNFSSMSTSSNFSISQIVRPGLGERMFQLDGGVQLTSITGSPPDETSDSR HVRKSSWDSLFDGTKSRVDDSLFDYSRQHQSRDSIFDSDSSFNRSSADGDSLFGPEPT SAKNNFFLKGLRPISTVSTATSVSNGDDTFHHVQKYMQNVVTPVKAMAKEIESCLEAD GEDMSNMTPFGKMKPKANSGRQMLGSSISRPQRPGRRRPARLIIAEPPIDTPGLTSPS ASETSSRLSLDTNAASINLGRRTRPTGAGHYRQKSSAGVNVDATIHEMPSMATLRANK SAASPRPHSLISREPTIVGVDDLGGDEEFDRMKSVRNWVEWEREAVDEFRKTKNCWRD SEESKHALEDWKMPSTTEEIAAFLAQSTQAYKPLNQLPLGKIAHRRKSSLSDSRGLCS PYGLPLPRPEPLVNKPKMSLTTKYEKKNSTSSTVSASSAFAFAFPFPDDVPEAPCALA QPISSVFAQFVTDNSTRTPSSPPSAGIEAKPAVRFQWNLPSTSTSTVDHFGIKKFLET DNGDTAKEDDKKRNRVTSTARRQALGWGRRRNSDGPEKTIGLGYNGYDAVPMPNTAVP LQMKTTNYPVKSKNSKSEMMKDKRKQKMNIFGDPVSFSSNSNNDGTKKDIFVGGAKGQ ENDKMNR I203_00186 MFTPLSKGSERAQDRLWDKTNSPHKQRIRPSLPIPSTADPSSSS SSSTQNRPLDNSTDRKGKGKGKSISLGEDLKAVTSHLNLGKPGQRYKRKLRRLSGIDP SQTPGQGQGQEGVNEKWTKWFFRPSRNTVNTWLESWWKRHFVLVILPCVVVWIWVSLP FPVSDPYKDDPFPEIPSWPKRPKDDPSSPTPGDGDGDEGEERDLPLDVNFYFFLIWYF GMYLAVALFFITNLFSLYRLNWWPSKLGGKLSYALTWSSTLLLGLAAHHLDLFRLRKR WESNKDPVDGGVDWERKTMLMPAIACFSKLKRDKRHTYRQPLSTVTQIFLGGHLSRRF PASWLRFLWFMTCLAIACFSLIAGQAYASLYLTTLPHTSLDAGTWVYSWVITVQLLAQ ISFFILSSKVRSRALLFLYKLFFQLVYHVFYRNLFARLRSPTQYATVQLLSSISVIII FPLQMSHTYHRLLQLLVGYPTPWEEHVENVATSFYCRGLAQNVTMVGFLGWLSILHFG PNQHIYPFFRFDPTPEDPYTFPLTFIASSIIWGSELISSFIARLLMSIAFGVNVSQIG LDEMREYPELVPACGWASVHVSMNILLFLIKLNFR I203_00187 MSNSPTSSSSVLKDIGATPPINIRPTTSSNTTAQPTSPGDTSLS PTSSSLGNSFRYNPCEIDKQPWRPDDETHKTRIFSAIGGDMEPSAKGEILSGIYDDLK SSNINTQVTVGDVIAQGKDKTKNYQLPSSPTFEGYRYFTE I203_00188 MSRSELNHVVSDRWDQKSHPSLSSAGPVPNSTSSSRYHEVTSTS LRNVRYATTSMRMPAMSPTMTEGGIASWKKKEGESFSAGDVLLEVETDKATIDVESQD DGVMGKIIVSDGSSKIPVGQIIAILAEEGDDLSAITIPSDLSPPGQGQGESSASASSS EASAPKEEPKKEEPQVESKKEQPSSSGTEQHEIGHGHKEIKHSQPIFPSVARLLQESP LTSEQISKLKGTGRHGMLTKGDVLLALGKVKNAYGSAEKLNLDVMGPSGKRASEVSRD GAAPAKKEEPLDGPALRRLILAGLSKATEPAKPVIDHSSLPLSSDYEFDSIISPYASL LPPPKPQVNIPSADKLAEIESAGLSGKGAKKDEWAGLF I203_00189 MSHLFQQQPNSYSPYAPQSSSEPLAFFGGQPSGPSGSPYYPGSR SSLEGSMGPSGSGVGVGSSAFGATGNMNMANGRMMLGEGKWWEAFGTGGFEGEPSLME ELGINPSHILQKSLTVLNPLARVNENIMDDADLAGPFVFCFAFAFFLLLSGKPQFSYI YGVGLLGTTAIYLLLNLMSETGIDAYRTASVLGYCLLPMVGLGGIGMGIGIDSAIGYI LSSISIAWCTFSASSIFVVVLRMNHQRLLVAYPVGLLYGCFALLSIFNVKK I203_00190 MVASRLISRAIARPPAPRVLKPSFSRSLAAVHPVTLPSRDPETK TSVLSNGLSVSTETIPGSQTSTVGLWIDAGSRADADGASGTAHFLEHLAFKGTKSRSQ TQLELEVENMGAHLNAYTSREQTVYYAKAFDKDVPQAVDILSDILQNSKLEESAIERE RDVILREQEEVEKQLEEVVFDHLHAVAYQGYPLGNTILGPKEHINSISKSDLQNYISK NYTSDRIALVGAGSIEHEALVKLAEKHFANLPVSSNPVPLGGQAHSPTDFLGSEVRIR DDTLDTLNVAIAVEGVSWKSPDYWPMLVMQSIFGNWDRSLGASPLLSSKLSHIISSNN LANSYMSFSTSYSDTGLWGIYLVSENLMNIDDLVHFTLKEWTRMSISPTIAEVERAKQ QLKASLLLGLDGTTAIAEDIGRQLITTGKRYTPKEIERYVDAVTPEEIQRVARKYLYD KDIAIAALGRTEGLFDYNRIRADMSSMIY I203_00191 MPKIKPSSSSSKLTSTFTSKSKKSNNQSKSKLYSNFLPIPLLLP SPSSSKSTTHYIYVRPHTSKSSISDGKADLPDDRTLFVTNLPVDAGLQDLRGIFGKWG VVEDIKMGGSHGGDNVLEKAVKGLDVDSESDSDEEGDEDDDDAEPKEGRKEQDEEEGE NTKPQLQFQGDIPIRLTKNQRRQQRRNARNALPPSVPEIINLPNLDPRQSPLGISGSH SCHVIYLDPISIIRLMSSTPTPVNLTKYPSEPAGLEYYTSLYDTCRPDLSAVKEYADS SMDRFDHLHGLLLSSRAKAQGAGALVDEDGFTVVVRSGRYGRAGARGDEFGTGKGGVG VASTNFQKKMKKKKEGSGAGELKDFYKFQRNERKRQELAELRSKFESDKQKVEELKKN RRFKPY I203_00192 MHPWSWSLTTYTPLGAGLENPPSHEISQVEFRQQQLYLSDLTSQ LSSIDAKLKELDRVREKEKKEHISYRDSVFKRFAYKSTGRKEKFEKKAEKEEKVYFDN LHSTQVAQDSRKQLIELIDQAKSTEDDLKKLVDEHQNAQRELDQLYDSIFSGSTPRYP QEDEFEQQSNDALSAYHSLRQTLEAELQVKNLLEQASRAMRACLVHMRGAEGSSTWDM WGGRTMSDMMERNELSSADRQWSSVQLLTQQAKNLSQAVRDLPQVKVAMGNIMSDVFF DNIFTDMAFHDKIKNSIYELNVAADVVERNRQENEIRLNDMGKELKGREERLERSRRD LQDLRSEIFRKIGEGQK I203_00193 MSSQIPKSIVRPRPFRIFALPLAKVPKPHSLPLSPPPNPIRTPT SDNPSSVAPPTNESSHSHNGSPGSAESGSESAKTPLLLFQTIQPDKPPSQGPPSLTSR ALNKASDTWLNLGKKPKDSWTFWFYEKGEKLMDRIEFEEWSLKGIKEGQGVKVDKEGK VVGERIEIPLLRPELKGTTLPPLLPKLHRFLLHRIPYHRKMMYRSLIFTPVTWPFAII PVIPNFPFFYVLWRAWSHYKAWRGALYLETLLQNGLIVEKESKELSNVYATKEGMQGN ENRAPDETSSPEDESLKGLATDTLTDIVREDKTQKDEKKEEIPKQAKGQTTGSIDGTT TPESMVYQPSSSTGTKTKEKEVEKLPSSPGPIESKAHHPSLLLSSSQVPLLAQTFNLS PLEVIDVVRAIEQADYRARKADKDKKDKEDKEREDEEKKKEEQSEKGTEWRGNLHR I203_00194 MSRIPSGPPYSHQIPPQGGTGYYPNPSLAGNPLPPVIHQGLPPP PSSYPPDQNLYPYERHPQAINGGWTTAGPIPGPGPPGVMPLEAYNAAAGPSRPGTAGG PDPYQAYAQPARSSPSQPVPPRQQPNPNIPWSSVQPVVTPTSNTRGGVKLEDLVSTSD RRAGPGPAQTKTNQAGGGNESSNKRPNASGNNDGSAAGGGGGGGQQGPSEFIKKLYKM LEEESATYGKGKAAGQPRGKDGKRGSVGWGRGGTSFVVWNMNDFTTKVLPQTFRHSNF SSFVRQLNKYGFSKIKHVDEESGTIKENVWEFQHPSFVAGGKSDLENIKRKAVAPRKT AGEGDDTSPRAFGLSAEDSSRINVMENRIVTLEGKLERALEEVREARARESGVMGVLK EVIHHLAASERETSGSPLSEGNYSPRILHLFKAFDSIPQQGVRSAPSTGYGAPVSALP YPSQMSGQYSMSVAASFNPLYSNAGTTQTSPRTEGTPSRGSRGSVSGPVSAGGMRNVS GAPSRMGSISGPVGVLPPPTQQAVVPPPTALPPLSSSATVQPDSIMPKEEIIESADEM PTLYNGEPLGMTPMFAKTPAWLTEGTQAPMNMYHRKSSDGSTLRMMYDVLSGGGVNNP TGRFTEEGNAVPLATGATNSAGAGEVNGLESIPEQNSTEQVQGQASASQISDMVIPPP GGFENADLSDIKGKSAVSVSAGDGNIISASSSNSNGGANGHGHSKTFKKSSPKSTHSG STIKPHWATTPKILVVEDDLVYRQLSSKFLSKFGCVTETVENAQGAVEKMNKDKYDLV LMDIFFGPNMDGRKATSLIRQFDNYTPIISMTSNAQPQDVDSYIRSGMNDILAKPFTK HGLFLILDKHLMHLRQAQIYEKIIPISVGVPPLSDQHVQEALAISAATIQNQGNAGLL MGLSGNGDLNTNSMGGSGDIAADGGENDHDEEVIMRNPLAGSGWSDETYQLVLQQFLA TGMMPDANSLSSGSIGTGIILGDPTSISTGFLSPGGSGGNNRKRPIEALNDDGTVIPN EWDGSNPNSIQQVDPTQTGLGPGMGFVNGITIGFLPSNSSFIPSNNVNGMTQEDGNGN GGRENKRARGVVG I203_00195 MPSSSPVIILTGASRGLGLAVLKILLTKHNARVATLSRSISSEL QGIVDEFGKDRVLPVKGDVGKPEDNIKVVDETKNKWGEINGLILNAGTIEPKRIDSLP TENLIPYVQTNLLSTIYLVQPALPYLRQSKGKVVLISSGASTTGYQAWGLYSMVKAGM NSLARTLAAEEKENSVGVFAIRPGMVNMQALLRTDGPAAMHPDEMIKFQSAYEKGELL APEQPGSVIAGLAIAGPQGLSGEYINWADDRLQSFRH I203_00196 MASQNSTSDQLTILLSMAFNETSAETSSADDVEYLLKSNLDGPY LVGKHDDCGTVIVIVRAPIDTQARTEMTSGLTCLNTTCDDCPLTEVEYCRSKKSASLT NDLWVNRSLYEHPTGFTAHSMTHKIPKRG I203_00197 MSKQNTSLSTKKVHVYNQSSNAFPNDIKSILPGTATQYLIARYE SCGGLSIVGGIPDDWDARAFVLENSKCPEGTHGPEDIEEFYHCTTKDDASTQTYYLIN KGLYHEERPIVQVGVSTCTYEEDLIQL I203_00199 MTFHQVFLPPPTIQPFPPLNDNSKFELKFYTLQSSPIEHSQDYS QSLSLRNRLAGRDSTWIGFSQGGLESLEKSTILGKRPERSEEDNPDHGGDWNSVEDIS KDVTGAADTSGFHPLTEKKVEKVIVRDFELEEGRRRSRRVTRQSISLLNTLPQIYPSA PPDVTKETQDESVDSLSTTTTSFPSQSQLLTNPGNTSVSNLPRWLIPLHRLSTLHSLL SFTSRGKVICSVIVCVLACEPIVQRQRKSTVQSGFSRRVVAQEDRVGSILHIGKWTVT AQPSAGEVELTSIVRSWDDCALEYGEKVRRGDVVLLENVEYKPLTAKEPSHISISPHH SPKITILYRTLPRYESAARNDYIYQPPIRLGKPPTTGGKGTRGRMLLEDKMLRPDLRL GRSEVGIRRVEGIAKWFAEFVGGEGPG I203_00200 MDQIPIVGGIISQLQGQGEGRPPSPPVTSHGKRVVGYFTNWGAN HFPPSMVPVNELTHLNYAFAKVNKETGEVTLSDPQTDTEKHFRPAEAVAGDVAPIEST EGGKNLYGCLGAFYLMKKHNRNLKIMLSIGGATYSAAFENIEFIHWRNTFAQTAVRLL EDCGLDGIDLDWEFPKNTKQGEYYAQLIKQIRYDLNALAQKNHQPKGQYLVSVAAPCG EDNIKLLSIKEMDQNLDFWNLMAYDFAGSWSKVADHQANLHGTNPQDLSIDQAVKSYE THGVNSRKLVIGMPLYGRTFENTEGIGKPFNGEFLYFPSIYKELPLPGAKVHNDTHIG ASYSYDNHKRELISFDTPEIAKEKAKYINQRNLGGAMFWELAGDKTNNSSDSLVKVVH NNIGQLEKRQNELKYPNSKYDNLKGGMGGGH I203_00201 MITRTAILSSLLFASGAFAHCQLAWPFPLHSPLNPATPEAIKDY SNTSPLITDGTYPCKGFINNPSSDMGSVATFSAGSSMNYTIAGTATHGGGSCQISMSY DQGSTWNVIYSQVGGCLVDGMTTTITIPSEAPNGDALFAWGWFNRQGNREMYHNCASV TITNGGSGLNSNDYPTPFVANANVNQCKTIEGIDVVFPNPGKNVNYGGSYASTKPTTP AGFTGSNCVGPGATESSPSSASASASTTSSSQGVAVSASIGVQVGNGQSTSASAVAAQ PSTTEYSLSLDPTTTSLTGINNNNAAQPSTSATTSTCKRRKRRSNSDSVERRHQRLIR RPRANQATGRVAASKAEHVNRQKKRGTGRVAAMKATHNV I203_00202 MARHPGEGSERESSADPLDLISPPRSPQLVAQPPQPKSNSTSAS TSTSRKRNGRSTSSRSASPVKKGTSKSRAIKSKSTSPEKKVVRRVVHGSQSESKDLDE VTVEEERGNSKRQMKKRRIQSDSEEDKDGDMKSISAITGVNGEQRTEEVVRLAGQSDE PADDLLNHEEVKMEEELGDTKIGVAQDDEVTRPTSVQDGTVHEERSNAEVGSHQQEEQ PEGSGTLQGDNMELDNKGNGEITSIEIEKVEPMPTPTPPDIDGTIETNLPAHPSTNTS PSATIGPIQAANPPIEEVPIAETSEIQKEPKDLTQDDIEGMVKGDDFTATSDAAQANQ PDLSSNRQIEVIVDTDGDLVTEKEGEAVAKKENLVEAEQVGNINSSTDRIGNGQATSV EDSDEVIAKPEDGVTEEREEHSVGENMDIDSAKITEPVDSDSAEKTDKVVEAEGEMEI DTTLYHDVEEQGEGENEIGSSHNATPAPSSPAISITASQASTNVTKPNKKAPAISKKK SANTGGKKVSTPLSVGSGTGAGTGKKGAGKGKGKTKVEELKGASSSKTKSSSSASSDP PQTPTTPTRPTSTSNDSPNPFQSPDKNAIYCVCRKPYNEEEDEVMMVGCESCDNWFHP NCVGLTDEMVDALDVYICKSCERSTHQRTIYKQLCKRDGCSKSLAGTSSKFCSSSCAF QHSQSLLSSMTNKNTLKQLAKTFIGFPEPKLGISTVNHAEHTPSLQCNLSNSDRVVDL EKQLDKVIRSIDLVLKRQKILYDTIRKAESAILTVNVIEEEEEEEVRQSKKGKKKKSG MNVNGNGNGSGKDDKPCGWNKILIADDQEVRSFNLTEQEQGVPQEEVRDVGEICMRGK RRCDRHQGWQRTIAAQLEIEMASLERTHKNLVEYIDNLKSSSEVRTFSDEIR I203_00203 MNRTLALSRKTAFSLPIRPRPSPLPSNLIRPTQPSKQLTIAQAL FPQLTVRGIRFYATDNKDHPGGKGPNPGPDPKTVRDSNREDQNATPGAREELKGMTKD FARIIAGSSPQAQALGAREVSAHGTSHGSITDDFLSVTKGMFTSVPKPVLYTGLAGTI PYLGTSLSIVALAREASLAAAGESPAGLDLATCLSYLHTMEHVQITYGAIILSFLGAL HWGMEFAKLGGEQGYQRLIIGILPVLAAWPTLLASHGVALAAQWFGFTGMWFLDQRAT IAGWTTHWYSTYRFYLSIIVGFSIIGTLVGTSIYGAGAGATEDAHAAHLRHTTPRTSA LKRLDKVKEKNYPSHDSSAKVNRVEGKVGGPIQVDEEGSGEGYLKLRNIDREKEEEEK AQKEKEEKQKEQDEKEKKQKEDSPNDMKS I203_00204 MSPSTSTSQPPPPPQPPSDDPNSSRLHKAKVNLTYLALGSQAAV VPASLTTRSALTTARYAIKYIIRRLIRYAKYAAVGAAVAAIGSGLLGTIGSGLAFFAA PSIGVGMGLGVITGIVKFGWRHRGNHFRGGIWEGWSGMKARAEAGHDGATDEALDAAY REEERRKAENKATRADVWMRV I203_00205 MADVEMSSAPVARSNLRYGGNKGRPTTVIPKTVKPSHKKGVKTE KKTFVKSIIREVAGFSPYEKRVMELLRNSKDKKAKKLTKKRLGTLLRSKRKIEELSSV IQEQRRHAGH I203_00206 MSPLTTSFLLLLSHLAITPLQISADSLQSITSSYGLTESFNFTF PDETLNSADANDWIDDKWSLYYRKGVDWGNSDIVFSPDPSTSTSTLVRRQESSTSSST KTKTKTSSASTSSSTSITTTSVSSPASTALNGEPPVIRIEYPQGSYSKRTGGTQFYAN PLTSSNAKVAAGSGNSTTTGQYERMLLSYDVWFPVGYAWNQGGKLPGLRGGPDPKGCS GGNETDGTTCFSTRLMWRSGGAAEVYAYIPTSQKNFCSQSQVTCNSDYGTSLARGSFS FVTGQWQTVQLLVVLNEVGTANGIVELWYNGVQALKFTNLVLRTSTDLNSVGGMFFST FFGGDDSTWATPTDQFSYFRNIQLYAGAGASNLTGDKATVKSFATLNAGVCGWGMLGG IVLGLMGLWVL I203_00207 MSSTSKSSKVIEQEHQRYKYKSIPKPLLLSVVLGCIAFGFYVMG LGNFIDKHFGGGGSKSGPSEHFGHGGGHGSVGGGKRVVGYFVNWSAIFLNLHAAKDFR LNFVLSPLDRGIYGRKYFPQQIPVQDLTHINYAFANVNKETGEVYLSDSWADVEIHFD GDGWDEPGTNLYGCFKAIYLLKKQNRNLKVLLSIGGWTYSPNFANIVSHSWRRKFVET AVKLVEDLGIDGLDIDYEFPTTSEQAEAYVSLVAEVRKGLIKLAQDNHQPITQYELTV AAACGMQNMKILRAKDMDASLDFWNLMVTDNIRFQFAGSWDQVAGHQAGLYADDPEAN SVDKAVRFYNLQGIDKSKLVIGMPLYGRAFANTDGIGLPFHGVGEGSWEAGMWDYKDL PQPGAQEINEPRLGASYSYDAQKRLLISYDTPAIAQQKARYINSEGLGGAMWWELDAD KNASTGKSLVRIVKDELGQLEQKKNELDYPKSKYDNLRAGMPGQ I203_00208 MSLRRSVVHTTLNLSRARSAKPTPSGYSYLQSKKRYFGISSVRM SSTNSTQVESQEPTPADVDTYLTSKILHPSYGNDPTLEEGLTRARENDLPEIQVSPLQ GQFLSVLVKSIGAERVLEIGTLGGYSTTFLSKSLPPHGQIDTLELSPHHAKVAQENFL ASDLYPFPRIHIDPGLDTLKKLKVPEEGPYDFVFIDANKDQIKDYFIESLRVVRKGGV VVVDNAIRNGK I203_00209 MLLSLVSLLPLIPYSVAITIPRGGVSPSRPLPRSMEERQSDGIG WHGYRSVGYYPNWVIYNSDPFAVTNITASDYTHIIYAFANVDLDSGQVFLGDDWADTQ YPYPTDDTNEEGNNLYGNLKQLFLLKQQNRNLKIQLGIGGATYSSNFNGITSQEWRET FTTSAVDLVTNLGFDGLCLDYGMSNSFRNSKLLRVLARHTCGRRIMTQKVTHSPMNAQ TAPLVDLFRRLRLGLNSAASQIGGGHFILSWAASCGAYNWAAQDVPGMNQYLDYWNLM AYDFSGPWIDTALPASNLYPDGRSSDVGASGSQCLQHYVDEGVDPRKLNLGMPLYGTA FTGTQGMWTSWTGTEQYDVSELPVDGDTIAYNAILGGSWSYNPSNGRVVSFDTPTLAL QKAIYVIEHNIGGMMYWSIDGDYSRLQSEGASRPGNLSAERSTDWSKRNVDGRNEKGG EGDGEEGGNSGHGGGGHNNCTEEEHGGHGHGGGHHDCTGGGDDGGNGGANNNNTVPIN TAIGYSLVDTVITAFKRYGGGLDTTTNRLEYPTSEYDNLRAGFP I203_00210 MAVSRRWSSTQSPLPISEKNKNLDDSPHSSSSTNEKLVAEGKGT GLPIPEGVATGSTSFSGTGSVPEQDTGPNDVKYSRDSDTSRLDEANSSDTSSLPNYPT LPSSLSTSTSFNSSGEPKSPSPSSISQPLNLSSSISLPPEVRERLTEWSTTVLQHSKR VAKDAQKGLMDLGLRVNEMTGYKEVERLKGLVFEKEDSLQKLRESARAAKLAYDEAVS TRSNAQRDVNTLLERKHSWDDSDVLRFTHLVRQDHSSSHAVVRTSTEMKEAEMEVDKA FNELMQTILQRYHEEQVWSDKIRSVSTYANLLGLALNAIIFLGAIVIVEPWKRKRLVN KLEERMSDMMLKVESGLKGLESKLHEGTVRGNVTIGDFEMVHPISDEIVPITQPDTPT STTPIEDRTITDDPIPIDLPPEIATPPLDPLIPSISTSTVSMFEPIIQTRIEGLPPYL NVITQPSQERDMAIAGMTGAAMMGLIITLGKWILS I203_00211 MHFSNSRHRPPPLPLPVPSSERFYPSSTDNLLPVNDPFYPAERF STPDPTSNGLVRRKSILKMPKVDITWDDTTRPQTPTPNRPITPISNPRKLQKHYKPEA QPIKGVRFTPSTQGEGFSHGLPTPDPTPGKGKEMKVKKKPSWIHWPFTSHNQNTSGSY RDVSKENRLPTLRDTPSPTGSDCSWHHHSSYFPEYTPIYPKSPSTITPSLYPLTAAEL QAQEHSSRVGHSVVVHYPMLPSWNEYSGGQQDKAKAGWQSSTNGLMNGWNGYGWTGDN LPKANELTFGKPAPAGEPLEGEKKKKKKKKKKGGQGGGGGGGGGAGEEGGDDDEEGGE GEGGDEEGG I203_00212 MTNLGLILGILPFIPSITGRAISNREESQRRCDSCEANGNDWSG YRNIGYFTNWGIYDPQNFLVTNITARDFTHINYAFANVDADNGTVYLDDPEADTQFEY PNDDLDEEGNNLYGNLKQLYLLKQQNRHLKTLLSIGGWTYSTNFANIIDSKWRETFVN SSITILNNFGFDGLDIDFGNPEGEQGPALTELLKSLRSGLDQAASKNGGGYYELSVAV GCGETGWGGLDTRGMDKYLDFWNLMAYDFSGDWTPTALPASNLHPDTNSANDQNASGS QCFEHYLGEGIDSKKLNLGMPLYGTGFNGTQGMWTNWTDIGGGDYDQAGNYDIKHLPL DGAVMFYNKTLGSSWSFNNTTGHVVSFDTPQVALQKAKYVMKDNLGGMMYWSIDQDYT KLQSDGKPSGRIWPGIWDRLKGHSRKWNYGKLKGKPFNLGQKRSVFSINEKLAERGFG LGWNRSDLWGEWDDLKSWKLHLDDGICLNIGYSLVDTVKTAFEKYGGGLEKRKNNLNY PNSGE I203_00213 MECLETYLIPTITVDHPDYPLGQTVEVPRNSRDHRISYHWSVPG GSGVCKLYRPLFDPRSTINTAIYKAEKSRKDEIVNLLIQSAHVAVDHISSTIPNAESK ERLRQLNDGPASWLLKTRFRSDVSDMILQNQKGLSGLDDPSGLSFVIGWEYNGVKMRD DSDILLRYIDKHDTDQGWFADIQAPRPINLSELPLDQIMN I203_00214 MSSAYDVESNRYTQVRTGSAYVKPSKYGRYFPNKLFKKKITTYI IGTTLILGVYLYLNGTLGTWKRDIGYITRPLWDKPESGWNHISQYPPPGDPKDEKVRR KWCDLHGWKARSTLDPLPKIIDAVLLSSEIDMLEIRMREYEPYVSKFLIVESNMTFSG QPKPTYFQDNRHRFNFIPKDKIQYHLITNFEANLPLGSFENEIKQRTKIGNELRILAD KGEIRKGDMILQSDVDEIISRQTLRLLQTCSSVPSPLHLNVDNYRYSYEFPLNDGGYF RPKIVTYNNPDSLAYNHGRKGNDLLGGSGWHCSFCFATLSEIKGKMIGYSHNDRMRHK GLIDMKRLRKTVCEGKDPFNMYPEAFSFKDIIAQSGKPRKADSFHHVPIALKEDPDRF RYLLDGGCDRPE I203_00215 MPTQEAFSNDSKSFLGRLADKASENSTKTARAQERYEEVSKVLE LVESSVKNYRDLSQERLRNGDTSLRQTIEKRISQITDNSKVHTDNPKENLRRIKDAWS FHLLGVEES I203_00216 MLDNVDILPDAVDDTETEVYSAATREFKHTRSNDPASQSALTLT QEELINDWNNPIKDDIRAFIETACSTRLRDTITKRLNLSTDYINERLPERFPPGAQVL TSRHPKAPTHLRITVGTSYPFDNVRSESLKIPCFPFQHNGKVYSALEAGEEEEIENTA KRLMKDLARSIRSLPTSEGLSEGMIQRVAGSDLSTIAKSVEDDPALLETVRAALNMTF EERKASKRICQGLLSDIALCPTPEADLAHSLTLRLIWNAYDNGAGHFFSRDIGKPDDT LLDTSRYLV I203_00217 MPHLDSIQLGILSLGLSFRFPSSSEPRWTPLSIPFLESDALFDD PSAPLVQLLRLALSDVGLGFQGEWIRDGVKIKVRCWILPSDVPGSMWKSIHSKDRERV IKGLLSKASRYWDIGQSEAETILSIRPEDGQSMQEIYSSIPSPPDPHFKHSDSLSDRE LFNSLNDYENPFGVKTDSYKYQIRSVAKMVQMEMQPERLVDPSFTPLQEAGRDATYYV NLSNWDIQRHPGWYDLPKGGILCEQMGTGKTLMCLSLITSTLYQPTLPPSNRIDLSPI TTDVAERTYPFAANADLRALTGYPRSRTDLTFPSLLELCANVVATNDPSAKHQSDLPD ILRPLLERRTFYCTLPVDDECSRYAKKKTYNQTVKKIHLAKGTLVIVPQILIHQWQAE IVHHLEEGALSVYNVEGKELPSIETLLEYDVILMDTLRFGAEETQHRVSKGMKPSVLI QARWKRIILDEGHTAQSKLTNSMTFARQLSVERRWLVSGTPTRHLQQGGEAELESIDV IHSPDVSRTTTPTANDVHVDGEALTVHRAWNQLELEDAYRIGRMIGGFLAAEPFKTEG GFERNVIAPLKNKEGPSFGAVRRMKYIMNSLMVKHAPHVIDIEAQLPPSTIINELLQF EPMQKMTYNVLAALVASNVYTSGGEDIDYFLHKDNREAFLQVVDNLHLACFWYSARDM GVKGCLDRTKSWLERHPEADQHVREQLEEACHHLQLALDSEGWSEWMTNGVSMPLDGQ ALPSLIKESWSDAFNSRPNMVDVHSFNILKQLNEKGKRVQDLHIVGWDHRNSKLEDFQ KTMAKYMEKHAKEQKKLAKAKNSTAAKASKAVIKSSSSPVKNVSKKRKNTDMDEMDEW LQEAARNAALASNASIANLPRPLPDVIHTRSKSAKANFVARTILSADKDDKFVIFGDA YELGHLTEILDLLDITSTFVGSESFTRDRRKALDDFQKPEIRVCLLDLKVGARGLNLV IANRMIFLRPIWNLDVQAQAIKRVHRIGQRRPTKIQILVTEGTFEEDIAKRSSKNRSQ DDEKLYSRAMIENPRFVYPEKVETETFAVRFIPTIEFLSATAVKGTNGNAMDRDGHDV MEHRPGSVVVHSDPGPATATYVKRETEDLGGIKKRARVMFA I203_00218 MVPFLLLFSFAFTPHHAETKSSLGSSQQMTNSQSILSSSTKDRL RIIQKKYDVPGIAVEIVAYPPNSVPSAQDRINNGIVRVLGSDEAIVKEDVMGVFGGFC NCDPMTYRRITEGQDPEISAEGWFDRVGRD I203_00219 MVLINEKKYACERCIKGHRVSGCTHTDRPLYEIKKKGRPATQCS HCKDKRKLAGSSVHTKCACGDTKNPPSTSVIQLTTTQSEQSSSSQGSDQEKEKETEVE IETRKGQPGSKATFPRGFKDVLELAAAANALAGLVKDDVGYRIAERSVSALLNPCKCQ SGGPCKCCQPKKDSNTSESSSGDCCESSTPGNPIPRPSPSLTINPHLSPGNMHHPAHT SPHVHKTKLFSPYSTNPVSSSRHGRRDTISSNRSSGRASPLPKSLRPPPPRIKPLTDF GRLIGAAINQDGSINSEIPRSAVGLPTLRLPGISTFDTAAENGGSKVEPMEYEDIDID MPLAFPTSEDVVIGACMCGEDCSCPGCATHDNRIATTDENGVEHSHELGQPCGEGCKG RHDCCQAIAVPSGVTSIAHLISLAAAHVPPPPETNVTSLDPHDTRILPPSAQMNEEIA RTMGFVQLKPLECCNGRCQCAPGECTCEKDCCGCCVRCSCAEDDEDARMDGVGSQTQD QTISSCCSGKANDQSELSNKQSSPPNISLPSADLNPDHNPIQPSPTLLSPPDIVRPPS TSSRRSSPATSGTTTPVNGAPTPVTSAAIRRAASISSKSANGGHDVSSSSHRRATVTG NPPTTASGLSKPNTKAIAPYNPQHHRTILPKPSTTHLSVNTSTKSSCCSRQPSPSGQK RGSASAGPTRSGSPSNSQGGERRASTSTENISKSTTSSSAQIQHTLPEPMIQLPEPSQ SLPQEATFQWPLDVQQLFLQSQASGQPTAYPDPTQFANGVVRPSAPPGELDLDPFAAC SLSYLHHTARISPSSSELQSQTQSQTQSEIYHHPPVQPQAQSQPQAQAPPVSQTQAIP AFDDNWFNQIQQQQQPGLSHDVSGNTSPELDQPFDLEQFISQALASQSQDSQQPQQSI TNFTDYFVNGILDPSYISQTYDQQVQNNPTLPVSFDPASTSDQHQAGDDAPPFVPMVP GLPSGSHFQPNWTSSGVKHQMMEDGRRQLREIYSHQQQQQQQQQNQQFQVDGIDQTSQ RSANTNTVSSGSTSGTTIGDVIDLSKPLNPDTLNKIMKALEKHNNQQQLSTTISSSTT NPDQDISQPKPNPNTNLNGVQLLDVPLATTKDLDDMFNQFVTLDNNGIQNFQSDGDNA SIIIGNGAQGQVGDDQIFKYFGLG I203_00220 MSYGYPPPPDHRQQQLSPAPTYHSYNSPYINQAQSYNDPLDSYY TDLQRAPSPAYGHQQQQPIASPSLTGYQQGYQPPSTPLDPHAEMYGQPTSNPYQNPPG GGAGGIGVGEVFRPNNRHLIPQQPPPQQSFYQQNQGSQPGLQPRPSFSSQHSDYYDEG KSYSSTTHLASTPQKEWDVGSVVPPVPRDMNYGSQDQYYPPRPMYGTGPPSTVPSAHT GWGGTSHWHKMRNQLLERRVVKQIPLHNGNLIMDVPVPKGVIPSGVKGLGLMDGEIES LRYSAATCDPDDFMGRKFNLRQYLYGRKTELFIVMTMYNENSELLLRTLNSVIKNIAH LTTRTRSKTWGQDAWKKVVVCIVADGRKVVDPRVLKVLQLMGVYAEGVMKDHVAGKET QAHIFEYTSQVVVSDTGEVGFGSTPVQLLFCLKEQNKKKLNSHRWFFNAFGPLIKPNV CVLLDVGTKPSGTSIYELYKCFEKHPNVGGACGEIFADTGRFGKYLFNPLVAGQNFEY KMSNILDKPFESVFGLISVLPGAFSAYRYDAVKNHADGTGPLAAYFHGEMMNLPGATA SIFDRNKFLAEDRILAFEIVVKKNAKWRLQYVKSAKAGTDVPARVPEFISQRRRWLNG SIFAATYAMVCFWRIWTSGHNFFRKIALTILTIYNLVNLIFNWLSISSFYLAFFFLIS SSIAGASDPFGGAGDEMFQVFNKVYIGLIFVVLVCSLGNRPQGSNWMYTFCIFMFAVC QGILLYCAGWTVYQTVPHTAEGWKDVSGLFENKTFLDLAMSLMATYGLYLVSSILYFE PWHMLTSFVQYLLLLPSYVNILLIYAMCNLHDVSWGTKGDNGASKDLGSAKKVEKDGK EMAEVALPTKQEDVEALWQQARAELRAPAVEKPEKRSAETKRADQDRNFRTNVVLLFL GSNMLVILLFTSSAFTTWVNTHFTSATQSTFNPYLTVIFYAVLGLSTLRFLGCVLYLV FRLFGF I203_00221 MVSKRKTLVQPGLPRTGKTKSKLHTSAVSLPSKLRTTEVVPADI PSIAEAFNSIIRHDEPSTSRSQQQKKADFIAAVQSAPHPYMIKSKSHLRREKRKVKTL NSSTNLQSLENALETILPDVDRSEEHDGTNGKMAVNGEGEKRKVKKDKEVKKIGEGKG RTLGEKKRRDVIQEASKRIPAVLSHPAYKSNPWAAIREHVGNTIVAKDKNGSVKH I203_00222 MLLKYLTLLGLSHVAIAIPIDVYPSANFKLGVPLYTQYQDDLNG VKFGAITIDINLTISNIEQEGERNFTWITFQYPSKPEDKLGEPIWNMSCMAMAKKGFE GVAEFTLKDAYPWITAGVNASGVRDGTSGIQCPEGKCVIFELVLMGDIEIVWLEDGL I203_00223 MDIDEQPQPTVLKSMEDKLRSNLKIEFLEFIDTSGNCGSSYSVT IVSGDFKGKMTLGRHKLVNQILSDEIAQLHAFSQKTLTPEQWEKEKKN I203_00224 MSLSLPSTASSSLRSLRSLTLGATRSYASLSPYSPPSSSEYPPP RRPTRPSSSEFFTGRPVFHESLSELDLTIDTIKKSLRVKHIYPLPSELPYVNPPQANW ISKEELSTLFDIKLRTNTLRQVHELLSELNHLRHVSDLSGNGELVGKINEVLSRYERR SASSSSSGVDFKKTTEEGEGQGIDEFGRSYGMGRKKTSSSRVWLIPTQALLSTTTDST PSSSASASASAEILINHIPLSQYFVRPSDRETILRPLKITGYLGAFNIFGFSRGGGMS SQASAVGLAVARALGVAKEDARDILQADGALMRDTRMTERKKTGRAKARKGYTWVKR I203_00225 MTTPAQRISPCPQPFSDHVVDTIEGVDVPLRVFPGKFIEGGGKT PWLFWIHGGGWVGGKHYIPNVWVHPAFHPLGIHIISVSYRFMPQATLDDIYSDLSKSF QWCLDNLSSVLGEDKVDVQRYIIGGDSAGGQLSAHCALHFQPKPLVELNIFGAVDLTD PHFSKGMDRPIPYGTSSEELERLVRDFNPANAVIASPWYWELEPDMSQETLRSFWGTD YRPGEGDKKRMDLNAYTSKNGSRIKAMFHLLDSQGPEAEEEFKRLTKEWSPTYHLTKD YPPTVVMHGEKDQAVLIKQSKDFADKLEKLGVEVKRIWSKDGGHSFEQSMGGPEDEGW EEFIVPCIEFVRKHTGV I203_00226 MPNVKVPIKDLVNSRNQSPEPYTKPLIPPLNTSRWRYTTSSTRS TLKLPVEEERNNTVQEKEEYYKRTNELQRHCTSPDPLNCISSPIRPGLELAPPTAHRF TLIDSYRPRRAPVQPLFPPEFTPPLEPDSPDLLALAPLPKRRPEPTSNTHVDQASALL EPELNYHQVPIVEPTPDSTATTGLRSKSSRSSGRRSIPPRQHITRSRSQTVLSRPIPP PESSEPDPREASLLGELKSTGPPLLGITSSAKDTDPKPVNSSSSLSPCPPSESSLSSA PPDSPPLPIPEPAIFSTSSRSIRSTRLPNALKVKISLPSLKEQTPVSSSKKKAPDKPK PKGKGRTTRGQTQKNHEVRNNEDQVCIVGIGSRNRPDQRGKVDYIGGDNKEALEDFKS KDCKSEGPIESNQNNQVVEGRIDGSHSQRYLGSENKEQDAKTGDNIDNPETLALDTEE PAPSEDEAGKSPDKQERNYIGHIGQAINVVDNSHSQSTNALQNHLAQLPLSPSDKPLF TPSNQIGTSITFNPPFPPGPPVCSESQSDILPAPPRLHHTLPPKPIIPPWRQGHPRFP RSSVKNTAPVRHSTRIALRSASVSKPEELETGETPAERRIPHLAGNTISEQPLDTTAS SRSRSTSAVKDNLKFDLKKAIAVVTSQYPSIPVIPPVQAETGPIAYNGPIMSPLPKRQ SRRPSRFQSPLMDSLESPPPSAPTPKHSAPTPEHEGFSDSSLTPPPTSQDPASALSTA VPETEEATKRGRGRPHKSIGPVEEEQMDNQAKGKGKANTDTSPTPAKVTPDVKIPKKA GRPSNKRLISSELLPQSSSASNTEGSVSPKKITLKLNVGKSTKSDTPDPTADAGKKAA KKQGGGKKRKSESLEPENKVTPSGQVPKVKLNFKGLGESTHSPAPATQPTTSDKQDGR QVKKAKMKAATPEDKVPQEKAKAKPQTQRTTRDYSSSVSESEKEEKVRQPNKKKKVQR VIADEESEDSENHIERRSDVKKSNKIPKIAEEAKVEGTSALKAPSTANSTARGHDEVE HTSKSPGQTNRSKSSPAAIAKPLKKKPRPSEPSKIISKGPATPINKSEGLARSKSTTQ LGQNHGVEEGSSSKKVLPVKKPIAAPRPSGTPVAVAAAKPSGHGMGLLGNTLALLQGT SGTPKAKELNKKDGGKHTKKDVSSPQVAKRGGWGREWVLTPQQQKEYDDSKPERDAAR KRRDEYRKNPVNLQEAKDAYKVDAMQPRTIPVPGSKGIQTSGKASEMMAVMLGW I203_00227 MSDLTNTSSSSRSIKEIVPDLEGGVPEMEDKEDLTNGSALTTLE NNLNPGLDDSKISVDEHIELAALPKSTKNLLLLCFCTSMFIDVAGVTATILMTAEISK ELGIGVGDQAWVLGTYAIAFAASLLLAGRLADLYSPSVVYTIGFVGIAILNLIISFLN DRYSFFILRAISALAAVLTIPSSINMIGKYSKIHTASVPMLTILLRCTIVQMYPDPEE QTKKLSLFGVAGALSNTVAMILAGLFLLASWKWYFRFVTIIVTPFAFLAWKYMPPTKA VSSSLSGRDKLKRLDLIGVILLLSALVLFILGFTQAESRGWSSVIFLVPFLVSLVLFG LFVYWEMSLEEGLGLLPKRVWSFPNIFQLIIMALAINLWFNTTQLRLSTYFQDVLDIS PIITGVKMLPMGITALITGFLTQPFPFLVTKPRIVLPICTLLCLVGSLLFAFSDGGEG MMYWKFVFPGLVIGTIGGIIAYISANTCIIQAFPISYAGISGSFANVIFQIGGVIGVA IQAGLLNTGDGTLEDWTGSKNSYLFAGAVIVSSGLVMLTYGERKV I203_00228 MSLSCRVMGEWRYGNCGCTELVHSLPQDTKALKDARKYLGSFTC GTGANFPLSRVTLYSPSTDELTSTLRTLVLDESQRAVDVRNYDDMEKDENRDIGISDD ILGGIESQDPTINIASDKANDITLYEVASHVLPIYGHSDCSAVTGRYDPCGCHLITTV AQEAPRSSRDDKPTRFWKKAVLLGIDPTCPMKNNGLSRMTSLELDMRIS I203_00229 MVPFARLTDLVGVKPHPSASDMSISKPLWVPSGARGVFGGQVIA QSLAAAARTVSAPFGLHSMHCYFLLPAHANPDIHYKVERLRDGKSYSNRLVRAWQGDR EVFVLLASYAVPPTTLPENFNSTSNSPQGKIKISHTLRFANESEDKSQSQNRPLPIPT SSVQPTYQNPFPENLKPWEECFPEEDRWQRFFDEKCQEWKGARRRFLEEYIKERRESP VGISRARINRSDATQNEEPEDSPVHTRMSWLHARLGPSENPDLETVKAMIAYMTDFQF IGTASRSVGLHQSSTPRIGMLASLDHSIHFYPFPEGFDPSAPLLHVMKSQSVNIASGR GVVQGRVYTKEGVLIAVTAQEGVVRADLKGLEARGLVEGGAVGDDKDENKKKRQAKL I203_00230 MDQLIHTLLLITSFCPTLLTGISDELKDVDHENEADKIAKLKLN IPKYSEWIYGEDQADYKRGEDTITYEFTQEEIEEKKKYSFVWTTTLEQVDVPKTTLST PYWNMSCEVAAGQAYEGGASFTLSEKKPWIKIDGAKSWAGVSCSEAKCLAEACKDEDT PMIDTFLP I203_00231 MSSSQRRIDLNSIPKEYLQSYPYPAFVLVVPISEPPTQEANVAG PSFTHVEHEAFQPFEVVWSNNRYKKFIQGSTLLECLDVEGARKLGRWIGGQWTGGQGV QDLRGDSSGKGKDKGQTFASDFTIDNTIGDGSAQPEVKVGGSSSKGSSYSPKTVLGSS FLDQDGHEPITDSASYFSGSESLLLDFVHPSKACFELIRTDLPIWRLGRSGGSGKAPM TTHTFVIITTIPRSDPEIFQLSASFSDQNGDPGTFMLSPLKEKSSFLPPQDELLATPV IPKTTVLSPSTSPSHKSLTRPIPAATSSDPALTQKAVTPTEELNGFDFISKKPGAIRF GRDGTVTKSSGEGRRQDLTVDVHDLMESTDWSKTPLGPREQWPQSLKTIVSLVLHYPH QCCLWWGKELTLIYNEAYAQMMHKHPHIFGMSGTIAWAEIWTAIGPLSELVLNGTPVC KEDDFLLFKQLPHQGNGVIEEYHTWMWVPVLQEDGTFGGLWNATIATTSKVLAERRMA TVQEMGQRTSIARTMTEFDDAVIDILTANARDVPFAAFYHVDIPSSTSRRGSGGSVEV TRDGDQSDNIRLTVRLAGAIGIPDDHPTTPSSLSINIRTRLRSDATSFRTAPRSPTSS ILSSMSGGPIPSAPLSGEEGSDTAETNQWPIREALASNRLVMVENCQGLIHDYPIRVW DELPTAAVVIPIANESDDGVPSAVLILGLNLRRPFDEDYESFIHLLRVQLASGLAAVR SYEAERQRVEELAALDRAKSLLFSNVSHELRTPLTLIAGPLDDLLQEAPEGQKKDNLI MARRNVRRLTRLVSTLMDVSRLEAGRLKGSFQLVNLGMITRDLAVLFKGAMTQARLDY NIDCDLSSRAVYVDTEHWEKVVFNLIGNAMKYTMEGFVSVKLRYQSGEVVFTVQDSGV GIPSSDINLIGERFHRVQSVSRSHEGTGIGLALIKELIKLHGGLMAIESTTALESQDG THGSTFSVRIPLGTGHLPSDAIEDEPIVRNSQTTYGQGILDEAMQWTRERRNSTGTPS SEIGSALEETSSRSSRSLDPNTLYFKKDDVIMLVDDSLDTRRYMNSIFAPLCTVIEAG DGLEALEMCGKRMPDLIISDVMMPNLDGFGLLEALKASRDLSIIPVIMLTARGGDEAK VDGLLAGADDYLAKPFNSRELVARAHMQVQLGKRRKSLEDAFEQRTSELRALTEYSPV GIFKTTEDGTVTFTNKTWHELSGYPEDEPVDRWEEFIDERYRAEMLANWDRVLHGTDE DIWISYDYQFTNGIWGELLYRWYLFISHDITERKLNEESQRLRVIEAEQRRKEAEEAK RQQELLIDITSHEIRNPISSLMQISSLLLHHMASRLTSLFLYCSSLVKTNLLSLQEQL EAVYEKQGSFTPTKQLLNNIEEDLDALESIYQCGLAQERISNDVLSLGKIQLEMFDVE VDMTRETKKVMSIFQNEARMKRINLSLELGTGIEKLGLTTVKTDPVRLNQIVTNLLSN AIRFTAMSSIRRITLKYDVSFDPPVNDACVMPGQPKLPKRMLDDQPIYLYFAVTDTGP GMTESELEMLFQRFSQVSLKTHTIFGGSGLGLFVCRRESQASQVSGLGGKIDVTSQKG KGSTFRFFIKAKTCQAPPKAIESNKESKELSKRSSLIKLKKKDIFQFDGKKPHVLIVE DNLINQTVLARQLRHCNITCDVASNGLEALEKIRLVSSVDVPHVQPFDCVLMDLEMPV MDGLTALDHIREEEAAGKLKKNLVIALTGNARQGQIDEAKARGMDEVIIKPYRLDDLL QKVEEMMKIRISEASNPTSPNLNN I203_00232 MSFFDDEDDDPLSPPTRPAPNENYGMRASSSREASVSGSSSFLD RLRSTVSPPPAQSHSHNERSRASASIFDESNTSISGREYGGSILGEGEEEEDGMGLGL GLGQGLEEEEDEEDMNDVKRLGKIWVRERGTPELGEWKGELIDQVFDKLEQQQKMVNT LRSDPQTSEEEHFKLMLVQTEMERVKYLVRSYVRTRLHKIEKFAHHITLSTDMHHLLS GAELSHARRYTELLHTHFQHSVLDSLPEWLRKMDDTYGDGLSMVSKPNRNTPVLIYCR KDCGEITLEGGERAALARGTTHLVKYKLVDRWINLGWAEVL I203_00233 MPSLSDTLESLSSRTSELAYLTTLNSRGAGKFTQAYLNLPSSSS SSSSGVRRNGNVLELIRDSNDGERRLFKFVGESNSLGEGGNKRVEKRENVLVTPLKQL KSHSQSYAGGKGKSVEGGGRDEVEIVLRTAMKLVDDYRPMPRARAHIANLIDSHHSSQ ERLIELERLIEEASKPISIPSTSTSTSITSEPAPSRESSLPDQPKLTPEEAIKAEEAS LRALEASLIPLRRSSQANQSGSPPPSTRQLPSSPPPTKSTIQIQTPAKPAFGYPSQTP AREMPHVTNSLVVNGITPRRVDRFSPLKLITPREPLGPSGLGDSQRTGGAMGGRRSIF GRASIMGTHRVTSGSGSGTGSLGSSTSGPLSTPYNKMLSEAEARNQEYPSEQQSERAG AGLDEREEQDETIRIPRPASPPTLAPVVNTPSTPARPLDEPQGANTPKAGGAEKISEG NGGMAGIDLNNENVKAGIAKVWSTLGEMMRQGFKDGQTVNENVESSVKHLIHLSSSDL PSPSSPSSSSISSLSTAPNLGKPITSETILFSHLILSILKQPDGVDMNELKEHLGSIA KARYFDGASSNATKIIYAAVGKRMVRIDRKGGMVKVKFAD I203_00234 MSLSSKLSITDVNLKGERVLIRVDFNVPQDKELNITNPARIVAA LPTIKYAIDQGAKSVILMSHLGRPDGSPNSKYSLKPVAAKLSELLSKDVKFLDDCVGE DVKKAVLAGENGQVFLLENLRFHVEEEGKGKKDGEKIKADPENVKKFREDLTALGTVY INDAFGTAHRAHSSMVGVQLPQRAAGFLMKKELEYFAKVLEHPERPFLAILGGAKVAD KIQLIENMLDQVNTLIICGGMSFTFKKTLENVEIGKSLFDEEGSKKVQSLVDKAKKNN VKLVFPVDYITADKFDKDAQVGEATDESGIPADWQGLDAGPKSQEIFAQTVAEAKTIL WNGPAGVFEFPNFAKGSNALLDATIKAAKNGATVIVGGGDTATLVANAGKEKELSHVS TGGGASLELLEGKTLPGVAELSEKK I203_00235 MSDPYRGQYDQQDRPARRGREDEGQYTTRHSRYPQPIREYDSPR SPIHSRDQGPMSPRRAKAFQDPTFSEDEENDTDDELAAQIAELMKMRKEKAKAKACQR SIDQQASQVNDMDRRSRNEGSHRGYEDSPMGRQAQGRRGDEFHMSPREEGSGDRHHHR DQDINVPPAARAPEFVRSERSNQAIGMGRPYGTANDNPLDPVNSQDFVPRGREAAAPV RDDSYKYYQGQRISSNVFSPGYGFRPSAPRNDTLPDPEELVGPYGAVHRIYPAPCPVA PPINANDGWADEMTAADTRSLPSVATASDHPAPSVSYRQQQDRPMSPPPSDHASVPAA QEEEEHQWEPKERDRPPHLSERERIELPRDAQAAAAPTKGSMVPWTDGGVQYSKEHFV ETASEEPLPPPSQGSMVPFECGVVYKQENLNQEGASMTMDELLACFANHRRQPSYAPP KDAYGGGPRGGGGGFMPPRQPDSGWNRSAPGGERQGWGSRGDQGKDDGFGETQGEDEW GTSTAGKETNTGSWGGGGGDTGYGAYEESRGGYGGGGGGGRNCYNCGKDGHMSRECPG PRKAGGGGGGGNCFNCDKPGHLSRECPEPRIPVFRGTCRGCGKEGHRQSECPDGGYGG GYGDSYNPGGGAGWGDGSGFDYPPPARPAANIHPSRAALVNTSTHGNNNNATAQGRSA DRAPRDGRARDNGYGGRDNRAATPAEASGDPTPNPSNDVDDDHGGW I203_00236 MSYSKLPSSLTARQLLAGLTKLTSTYSGLDASLMLVQYSSPLVI ALLLRLAALKTRIGIRGKGGSGFGLRQLAEGWGKMGGSIGEARVVFRAFGLLPILTWL LSLHPHPIESLKSLLQSGHLPSLDSPKTFSTLQAISLLLYYPLEHLTWLGGKGVIPLN EKRMGWTGLWSVRFWALYVLLDIYKLRQTYLSLLTRTKSLKQSQSAKPKLNLTEKHEE AKGFELPPSPSSPTHQNVTSSSDKQLNLVDEKKDDRVREKEVLKHDWKVWKNDVMINT GYAPLTVHWSTPGGLWSSPLIGGSLGVVAAVGRLTAEWTKGEQ I203_00237 MPFQLNHKSSKSKLRREADSLSNSSASPTTSSLPTPPISVESKG FNMFWRKKSSSSSGSERPASTSQTRPVEPPRPILRMNTEGQGVSSIRFAGSGDSSASS PVKPTFPTRAATSPPTYGAQPYNQVEERSTDRVRSRTQPPAPRVIVSSYDSYSPPTVA HPHQRMASYDSSPLSTQPKMMASPRRSSRPTSPSASSFGARVRARTEPIPSTSVHRSS SPGTGIGGSSPLCEVGPLETPPMTSSHVTTPPTAYVSSFQSRAPPPPSPYRPTNERRR SSLTRDLPEDLPRMNDFAPSMTMEESLLASDSRSSVHTLPPGPDVPMLNIIPATPQTQ GEEFSSMWEQHYPSASGKTSGLEEAVKLEEISLDLELKTPGLEEGESISNAPSIDVQL DFSPFQPLAELPNTDTPLEDIKEFITAEDLEKFNYQQQEEGGEGLIVEEEEEYFQDEM ETDAPSEPLPPSPPFMSYPSLPSLSSHSHGSEHGDENDNEHDNDHGSIRSSLSESESD CSIQTSSSMSSLVSFPDVEEALGSMLASLSDSSMASTAIHTPTKGQSLNTVYNVNVNH GLGLGMGMNIHDSQSSINSSTAPLALSPRRKAPPPPLDLSTTKTKNRRSQRQSRMMTI QSAPIINHRIAFYGTAKVHPNSPCSGIFTTSSSSSLASTSSGSSSSTISLSQQQQQQY ENKQTYSYRDSISLTSEASDEDLCTASIISLTPVMQGKVSGKELKEEIMENDANANTN TLGLGLGLGLELGGFGRSGIEQQIPQEEVEVGLAL I203_00238 MSISSGTSSPSSSSAFGTKIPRVGGVRCYWALLTPHYTPLPPNH DGTPSSGSKLELRFVHPDPMLSLHLSKQKLSMMGSSVIEFIHPAEREQARRDLTSAIS ADDLQGSVTRVRFARLSRIRTILGCPPEENDFPEDAEKFAEDDEYLILDLVLNWVADG LLLAFFHAIKDKDPVLNNDPRLSHEEWSNWCGTRVMPEDQIEALHRNISDILSSSPSS NYPPSRVFQLHYSAPSPDPSISPPSSPRLIFSWPPPRPLGVKVEADGKYNAAEYCELM KGVDMDPSQLNAGPGELRTNCTTRYGAQHSITTEGVYRHVTSVFIPYGNLIFACFQTN RMYELPAATNGHPSSASSSSSAYDPSLGNDWNTNLSSIPPVTSGSTGLPVHPPPEIQQ YPPQSAIQTSSTWAHADPYALPPRQDGEWESGPSTGYHHIHDETHHQNRDMGYLPPSA SGSSSAYPHPHQHHYPHDHLTSSIPHGGVPLSASMSSGHLSSGPSPPHISNGHGHGHG HHGHPMSNGGSNGSSSSRPLVRPPGNVECCVMCGIRESPEWRRNESGIKDLCNA I203_00239 MFQPTSPVLQNSSFSQLDYFATASPSHRQAQTPIFTLSLTTSPT ASLSTPNLLLSTPERGRSRSPSPCPTDLDSALGSPQVLSSPKLGLGVKKDSSARRSVQ YREKSAVNWARPLRVVEEDPIVSMRIFGASLQRSRRLNRSSAPSPCSSDDEPLTPSPV TPSSTASDDNVQVSLRGWSVFSSAKGLGVSGVAEWDTPVTPLRELSLEPLPDFAFEPL NIPSSISLSSITTKKSTTSTTSKQKNNNLPRSISKPILIQAPPILDATASTPISNSRI LATSQSLNSFSSPSNLAELRNLRQAAVVLENEAKRPIRPSPSCPSGQIKDVVAGQRER KIKRKAVPSIAESDIVDYYSTSSCSSLTASTTPSSSSSSGSSSPEYPEDEDGKIRRRN SSIDQILVPRQLPGMDMGNSIFQRHRQLYQNHLATSSLIEISPSSLSTTVNGERKEEG PTSTSKVKTKRKMNITIPPRITSLSNCDDGSGGLEESRNSSISSASSLLSSSEEQPMT PSLTASQTEYFLKSVENAFKKLEFERAEINQTQTQDQPKISSDSSSSGGNTTVGKHKR GFSRFLGKKGPSNAIVKEE I203_00241 MCSIIPLLIVFLLGRLGLVSGAICETVTDTSYTTATRTTVASTI VTSTSIVHMAVCSTTRSHTTTVTNHRRTDIYTTRTVTPTVTRTTTIATHLITVTSPGR TVYVPCRAPQPGRRSAEQDRLWADERGLSNAERLQLGLPLAKPRFDKKQSPQPSNGNG RFPPTGVIRVGPSCTTIYVTSTSTAFTTTTQTPTTVVTTTSCVFTITTDTTNYGRTTV TDTSTHIQTRTGKPTTTTQGVTSTSTATVYTTVTRTLPCPP I203_00242 MLWRFSFASNSTLDALLTRDIPPSLEEILDEQDILNECKAQNNK LVSYLSREESVKSLLNWVVAGLDELNQQAEEADEKCLEVALTSPDLYPSYKPSLINNL PVPGNGPDSPPLEPSPAAAPAQDLVNVDLKDEHKDQNQEDSGAIENDEFIGSDVGLGK GLRRKSEGEEELHRSRYPNLAAEILCCTELWSISETIVHNPDYLLLPFWDAVLPPLDA SSPNGESSLASSMILSRQQAGERERARNEFWNEKDEERDRRREVIRGMWMRVNGVLMT KRTPEMIRFIRSIPNIVERIVARIASPAVQDILIRIVSSEEGGVTGVIDWLADEGLIP RLIEFLSPHYSTSVHTVVAEILKSIITLCAPTPFNPHGGNAMEQQAGQGQTTGARDNR LIRELISETNISTLIGFMLDDIELTDEKWEGLNGSGSEENSPSDPFITHPLPSIASAT SSLSHICSILVEVIRRNNSDFSEPHLFHTLRNRLMSVRMQPTEQPSPSSDVEQDQGER EEKDRKRMEDALGDMSASMGIVHLGHLLDIISQRFDKLHRFVKYPRSQNRSASAAQPK PFTLERFRILELYAELLHSSNMSIFNRIPGTGPTYTEEGILSGGLEGLEALGEAIDGD QAGEDDNQIEEDQVTQAKELPVSCGSTDASLTGSEEEVASEDEEMLENIDVDDVNDIT PSNSPAASKILELPKPSASTEDLPPPPSPADAERLRDVMEIDTKPSSLSTVSDSGAAS NVAIANSTAAPSIASSEDTSESITTPSNENNEPQILSQQTSNAAPPLAPIRIPDDTST LAPGDKLKRQYVLNSVIPTVVDLFFEYPNNDFMHHVVYDILQQILNGRLAPGLNNDLV VELIVKARLVERVIDAQRVNDRMVAQPRCPRLPYMGHIILISEELVKFFARCPPELYA RIKDSFVLSEWEEFVENSLREAKIRDTKPLAGGKPMSSIAQNGSSISENEGISSGMGV KRDDDDSSSDEDDEAGDKVKFGEPLTRTSAQDGFINRGGGDNDFDDFGDDEEGMDRFW RNSGVGLGRRPVDSSDDDDDADWLQPTANAGWGNNAGGDDDDFGAWETAGPSRQGGKD DFDDDDGWGNFNSGSPAFASPNPNAEDPFGDDNFVPSVVRAEPPAPNQANEIPLTPRD WAEQFDRAFREGGESTATGPDENGVTAIVVPNMDDDDDEESEDESSTAARRMSMSAGT SSWTFAGDDEGVDLPPTESPTIPEIPGFESAELPRVASTIPKEAITSPQPVSPIRHGH AISPSSTSPITSPQAVPINIPRRKPSYGHGAHPGHPSGSLDSSDSSSSSPRNSKWQTE AFSPPDPSLIAAATEDSPLGPGVSPDTKITDHGLLEREVDGRKIRVPQDEIVEAIERA QDDSAEQ I203_00243 MNRHLHDPETRFLIFKDGRPLMKKDDSGRILYLTKDQLGVERLG QEFGVAPSDASSDSKKLYETSRLPHTQPALVFLGVDDRGSPTTNSSSKVDHLNPQGPA YFALDAHSHSEEWDSLLQKQNGEWGDARSSGNSMSDWDAGVYAQGRALVDWNVRNKFC PACGSPTYSLWAGWKRNCTSSVQPAEGKEPCFSTKGLHNFAYPRTDPVIIMGILDSTG EKMLLGRQKSWPKGMYSCLAGFIEPGETFEDATRREVLEEAGIEVGPVRYSSSQPWPF PSNLMVGTFGRAKDGQTIRLDLDNELEDAQWFPKSLIQRIISSPTGSTFSQKDYKQLE QKSQTHHDQETSAALAPSEKKPDELVAKDVELGISRVPPRTAIAGTLIRQWCDGGLDL VSKL I203_00244 MSIPDPRRNSTEALLPTPSTEPTEDFHQPNSNFPLANMGVSLST ARVIAPASFLIDFACQLYGMLSSPNMKDIHDANPCSFSPQPFAIAGFFTPQQVLQLIW LRELFRSDRQVEGNTLKYAPWYALGNGCIAIWMLFWNKNNLKGSNVLVMINTLTQLYY TFFLRDPAPRSYQSKLTNAVNITFAGVGVLDLFHNLTAAYFPSIPPNLLVKIATPLIS LLTAVSSPLLFSTCIAYDLFGVAIGQHQLAAKALNGLGGGGGEGWARLLGGVGLGVVG IVGARAYGGAKWV I203_00245 MSAIPTTFLRSSASAIAGPSRAVQPRTIYCRCFTTSSTVKSGHN RWSKIRHKKGAVDKERGALFAKLSREIITAMKSPLSPDPSFNSKLATALQRAKEQGLT KQGIENAMAKAKSASDGTGQNVVYEAVAPGGKVVMLVECITSNPARTVKRVKEILSKN GARTSPVLFMFNKQGLVVLKSENTSKEAGFDHLFDIAVENGAEDVREVESEEGGVEYE ISIPTSSLSSLTTLLSSPPHTEHYAVQSSDLVYVPTDPLQILEGKPDGEGEGIDEDTA ENVFRIVDLLEEENDVVKVWTNLADD I203_00246 MLLPITPPRRPTTLPPSQDPFDTPLSTTLQLPLDPPQSASTPHS TTITPSTTTATPNREVRTAYVAERSSADLINVLDLVTPDKDKDKMIGSGGIRSKTISP PLTPERSHFDNHNHTNNTSPVTMTTKTPPSHHSSPVGSGEPFISPPRPPRPAISPLDM EDDEIEQIAEQPHSLSAFRQSSPRDANKPKPGMDQSFWRWSNATSSVAQSEQNQQHVP APPSTYTYASSISQPPEMDKSFLRMTRTTIFSDFSAPSTVPDIPDPSTLPIPFRRPSA PNGMTLLSAIPESAAVNNQDMNNIHQSPRGGQSDVEEENPKHDIERRSSAGTFGYKNP RSSIIGSVRSNSYENHRTNNHNHDPRVNPFYPSPPPSTSRSRPRPVSMSSAAPSEMTM SNAASASAYSGRALDDTALEARKALGVFTPPKAKIIHKHQESEAEIENYLKKASERNQ RSIVPSDQPRASTPIKNGLQPPIELRDGEGDDEVVDLPKKLVRNGNKIERMLGEGAEN ARVVMEMDRRAIESVIEQRTVPSPGLPPPLRSHKPSASMPNPAGPLSLNNSSRPMAHN RSHTLAQNESLASPPLTSHANTHLRSASIDSLPSLHPSLSESINTLSGLLPASPTKPS KTDNKISPFPPTKVNVGRFTDIRARNDLTPEQRAILLRRTRKLEQMLGEALPEKQIEK LVIDPVNSSSTYLTKNSEDSWPKTPPSSSKVPEWARDDVIPHRAKDSSPEPHQNLNVV KSKGSLADKAKAALGLGSGAGGKDDLKVYVSRSLQESHTISRGNSFNDSHRTGVNRSS SDNHTPPDKNKHQSPASSPTTPATATTTTSSSWPTDERDEEEVIRKNRRQQLAKLHRL LGAPIPPELLNPNNPTSPSFNSTFNLPPPLGLGTNRSPSPSQQSYISFEDTPTPSKWS SRLKVPLNSSSFKKKANSSSVQLVADDQSFIDLSESRSKGGMSREEKSLARKRAAKLE QVLGDKPPPEYLHHHSTTSTPLHSPVPQPRAHLNRTPTPPAGTGNTARPQPAGSSADR GSAYDAYVASLEGLLYLVENDQSKLGEMMDTISMVSPPISPTRSQSHGVSGGHNHSKS LDSNGSRIKTPSPPSPLIDSPQSPKSFDRDHKYDYLDFDEDDPEEVGVDRESHQARRR RKGKLSQFFGENININIPHDELPPPRNHNTTFGSSKGTWTRRKETLDGMLVELWKNVQ FEIGRGTIKVDQERKLEELLGLVRSKENGLGGGMGIGSGGRGRGRGRWEMI I203_00247 MIRPPRSIDTSRSSNPDVVSSPPSPLITPRSDIPRASSSQQSDR HDVRRTRSSYFAIPSTSVIQQGGSISPYRPRENTTLGSTAGTSNTSRYGSHLRPSSIY GLNLADGPSNNWSTLQELVGDDDFEEDELSGSPRISSQPSTRSLRSLFIPPTPREHRT HPVDVRQRIEDLPTIADQLVGSPPQLNGEPPNNLFVEHENQIEVENRPTFSRLPSSLR TGYDATDDTHERNGVKSPLVRERTTPIPEAHESTKSPWRVQTIWKRVWRPSPVSIAIF KCSLAYLVASLFTYVPFLAELLSTQTETDAHGRVTYRPANSAHMVATIVVYYNPAKSL GNMLLSTRYCFLLALFASFVSISAIGTIELFDHFSPSHGSSWDWISEIGDWIVCILWI GGSMSTLAWSKLWVGNASYNTGCSMAAIIIYSVVIKEGAFPKLMEVLYIVAVGVIISS VICFTIFPSSATTKFQDSMSRSLNSFSTLLDLLTSTFLLEKQVIRGNRSSLKHAIKSH SAGFKALKVTLAEAKHERILDSRIRGRNLHLYDAAIASLARLAQHLSSLRGSTRLQES LIRAVKEGSISTEHFVPGFKEHKLSMSIVNKMDDRPGPEMNDNTDIEKSIRLFMKFRL MAGDQMNDLNNRCDEALEAVQLLSQHDLYKSPVDLAEIRENLAKSLKDFSSSSSRAIK RVYAGPKRRRGIYESDSESSEDDDPDSSSTSENEEDKEKNEDLNKGNIIGGPNETVFL VYFFLFTFEEFAREMLFLLETMQEIVDVEPISTWGHLKSVIIRKRGKKEKRTQYLYKQ LHNLVPIDPSKLQPPPFPKNRNDSAGTVLIPDKRSLNAWGRFKQAFWRFGERLREPDM RYAIKTGLGGAILAAPAYTEAGRPFFLQYRGEWALIAYLAAMSQTVGQTNFLSLARVG GTIIGGTVAIIFTKIAQGNNVLLPILGFFFAIPCFYVITQMPDYTNAGRFVLLTYNLS CLYTYNVGERYNLTVEQIAVQRSAAVIIGVLWAAAVSRWWWPFTARRELRLGLSDFCL DLSYLYSRLVMTYSKGANNIELDGDQQNMEEEDGETTPLMGNEIHFPHLSASVRQFMA MELHLQSQILNLRSLLAQTKNEPRLKGPFAFTSYNEVLLSCERMLDKLHSMRCVTTRD EWDHAMRKEFVVPVNGERREMAGHVILYFYTLSAGFRTRTPLPPYLPPAEESRQKLIR AIRSLDVVRRRSVRGGGRHLLFFAYATAMQEVIAELEYLGEMMQEAYGVISQSTKEDF EDLFELTIEHENEDDSLRREVEENEDTIRVGSEDETQDSKGKKTST I203_00248 MPDQTGPSTGDKRKSSENTAQKHKFYKYQGQKRGGGGGRGRGGG RGGRDGPRPSRQHEDGRPKLNPLGKYKASPMPEMFTSPGICVTTVMHKERSAEAELIE YLETIADELYPETSDSDVKQEEDADELDFEAQLKKDLESMDQSKKSTRFQLCSHDVIC VIYINVLPPLSPYKLVRHIMEQAESSARTGLKWCKRIIPVTGIAGATIKQLSEMSSKV VEEGFKTEDNRSLKFAIDTNTRQSDRLDRMDMIHTVAAEITNINIEHKVDLKNPDKTV LIELYKNSVGMGIVEDYERFKKYNPGSIALAASQSKSRSTQSEPSRSTAQPEEETRSS PSSKTPKHVYRQRRAEAIGALSKDDPSDINQKEEEEGEVETTQGEEKAEIGQILEDPK GDLGEEWEETIVDGKVERVRKDGQ I203_00249 MMTPQSKQEAKSQNDDLIWLGVSPPDVQHPPLMVSPSPLRPLTE HVKVDWNEPLGIEPTASGECTSLAYYKPLSSLNRSSYPDSKPNSQDLSAESTCTAIIG RVLIHPIPNQNVDQARLIDLKRIGAQTDCLSPIDTAQVLPTPDEIEELLPFTPGLPEK MKGWSNHLDETPSRIDFSQAQDLIDELQVKEDDEDTVQFDLETSGGQDSLQQLVEKTG RAALKKRNIS I203_00250 MRYFAVLSLLVLANLVQANPPKPCPTITDTETETENSTFYAPTV TSTTTRKVPICYETATHIKTKTKHVDETAWVTTTEHKTKTETYTAKPYWTTTVTTKVV PTCTKWHHGGKDRSVEGPAEADLEKRDDPSTEKKPPHGWCPPKPPHVTTTVTTTVCKT ETPTAWATTTACRWTKTKEEVVHTKTTVTDTHTETKTKHGHPVITTVSITPTKTKTQT VTSTTITGRCKPTHKDH I203_00251 MAEAGPSVPVSIPNTTLSPSSPTLLNTIHSVLIAHSSKNLSLTH LHPFAPSSTRSGIAGTDKGKGKDIENEVNTIKGLRDAVNKLKVVLNNPSGIDGGTDVE GRLMRGLKEILSVTSSASSFPSHLLNLHPIKLLEAISLSLNLQCFIEDSQFGLLKSSL AIAGTRFVIDVDLETGPSSGVGGDEDEDEDGDVDLDMESKQPQAKTTSDDIATTREEV RNKIRLTKLIVNHVTSSGGTAKSTYISAILRTLIEDYLICYDSTTLGLWEKQVILDRL IDGLKELKHLDDYSTRTPTSASTSTDAEQVIGKSDGFEEIEKIALDLNDLYTPVSQSR IYPTLNRSIFPTFHLLPQSVINTEPNPIIKLRPAKPHEEIPTPSMDVTDIPAQDQERH DDVNMDSVNSSDKIARSSWIIEIVPENGMDGLVVRRNWLSQTILENDEADGVEGIKVE NLLYHAYPPPPIAPLSPQIQLFPYTSTFSHPSPSPGKHRDVDKQQQKWSMVNPGPTAF VVGRIGTSSDIQQVARVLHSLRNQIVLNNMFNSVFIPHLLKVEENGINTEDDDEDEDD GVDDINDLLSGDQTSIPINLNLSQDSITITFPLINDEDDTIENVEIVVRPSEKEKDGY VDVRVDGNEFTVDDASDESRRDLIRIVKGVIRSKSKA I203_00252 MSKSLAIKSLITSSSSHTSLKLAPIAIRSFASSSRCRIAAASSS AFVGIRLGRGVELRKKDLGLNGIRQQRRTMFIQTEATPNEASLKFIPGVPVTNGGTHE FLDLRSALSSPLATRLLGIDGVTGIFFGPDFVTCSKDDSYNWSILKPEIFAILMEHFS SGASLFKEGHSADQAEDTKILDTDSEIVGMIKELLETRVRPAIQEDGGDIEYKGFEED TGIVKLKLKGSCRGCSSSSVTLKNGIERMLMHYVPEVQSVEQVLDEEELIALEEFSKL EARLEKEKKGGKPEYV I203_00253 MWSYIQSSLLLLSPFLPSSLVPLTDHHPHQIHYHGPLTDGGIWH EAYERSKDVAKEMTLEEKVNLTTAVTGPCQANSGGVPRLGIPGLCFNDGPAGPRYTDF VTQWPSAFTATASFDRELIEERAKRIGKEFRGKGINVELGPVSLPYAGRNWEAFTPDP YLSSTVSFLTVRGMQDSGLITCAKHYILYEQEPVCDGPLDGEGGRTGCRDVSSEVDDK TMKELYLPSFAETVRAGTGAVMCSYNKINGTAACESDDALNRILKDELGFKGFDFGAT HSTLRSALGGMDMELPGEYFYGQRLLKAIRTGSVPESRLDDMVHRILTPWYASKQHTD YPQVNYQKHDLSDSKEVNGHVFRNEHRDVREDNAVYARKVAAESTVLLKNSGILPIRG IRRIGVFGTDADYPWTLSGCGPDLFCLVGSKRRYWNGTVTIGGGSGAAYADYIAAPIE AVTLKARQQSIRVDHVLQDDAAHFGSIGWIAYQSEICLVFVSLFLVEGWDREHLRLDK GGEELIKQVEKNCAGEVVVILHAGGQVIIEDWIHLPKIGAVLFAGYPGQETGNALVDI LWGDKNPSAKLPFTMGKSPNDWPKDSIVRHMGKGAYPIARFTEGLAIDYKWFDKHKIT PRYEFGYGLSYTKFEFSGFDIEERYEKDRDTVQRTNERYEGTRGLYDTLFVARVNITN IGEVPGAEVAQLVNPVSLRRSSMTKIEKLIFAK I203_00254 MSIIRPFDPTDILRFNNVNSDAWTATYHNGYYASYTAQWPDFCV TVEGAFDDTIKAYMIAKHEPPAPDPQHHGHLTALSISPEYRSLGLARVLMSLLESLSG PNPRTHDHSNCGGHHGQHHSHDYSHNHDHSYSHHDRTHDHDHGHDHDHDHDHRSDIKE GNIDAVDAWFVDLFVRCNNTRAIEMYEKMGYSVYRRVVDYYHGMEGIGSTRDELDGFD MRKSMPKDTTKRHVRSNGKDILVSPDQVWA I203_00255 MTSPQYTLTSLSYSLPILHAAAHPSSTVLGVFLSSPNRTEIDEA IPLIHTHSTLSPIIEVSLSLVEEYCKLRNKRIVGIYIARELGDGLGRVGERILGALRE KLEGVFGLVLDNDKLSKSQFAYIPYIPTSSTTFKPLPSSESESFSIKSSSTDLPSRLL QIIRAKKIHRNIRDFDDNLEDS I203_00256 MELPTALSDPPAYLALSKFNRRLKRCPRTNLSVTFSDIGDEKGI PLLYVLPNPLLKAYGVRMIVVDRPGCGGTNEVLLGERIDRSCEMIVSVLEHLNIRPTN ILASSAGIYYALTLLTRHPSAFSTGLNPPPNVFLIAPWSPLLPVDHPDYWPFRWDWIP SPLIATQHLTTPHLIKAATQAQKAYDTGIKVFNNSRSIALKWFKSITEDPSSPFSTAT TTSSLTPTPISSTTNISTLSNVGTNENGNNGDIAKSASDLLNNIRGSTTAKPSVGEGT DIPDLTETTPMENEEEQDDASQPKSRLWGKCECCVACLTSSYMSAENGQGIGQEHLIC LNRGPEDTGLEWLSSTVKDLADTIEFAQLENASLRSASHPNDGQNEEGRNKKKVPYAI EIDVWWGWLDDMVPRKGQLYFNKILDAYPDTIKLTIHDVEDGDHTDL I203_00257 MTAITTTSTEILDQVPIGTLKLNGQPKDKVAKAQDDEHDSGHST EEDDEVVVVDPYNYVGETFGSGPGENYPFAEFLPHNPDRTESDPPLPHFDIQDRGLKA HPNAARLRAFVEARGGKVRDQLVAVGTVIEGDIKLEELGEEEKDDLALLVAQRGVVFF RNQHSLTIEAQRDLGKHFGPLHKHATYATPRRGDLDDVVVVYADHNSRPDLYAFSRAE LFHSDVTYEVQPPGTTILRLLTTPEVGNDTLWSSGYTVYSSLSKPMQKYLESLSAIHS GNDQAASRTGITKIPRRQPIETIHPVVRVHPVTGIKSVFVNPGFVTRLVGVPKAESDM ILNFLKDQFAQQTDATVRWSWKSGDVAIWDNRIVNHSATFDAYPSLRHGLRVTPHAET PISVEEYESTTGQKAKDWLEERHRSLGIKPLARDDGKTKKRAFRD I203_00258 MDVDTPTPTVKPTPPPARRREDEPPPEDSHSHSHSHSHSPATAA AHTTPSHIAGPSSEHQAQTQTPLSDRPKRSAKDGYWKMKEAEAKAKAPQNENDEVSPI PQLSHTATTVDNQQDILITPNTSSVPPADTNSNASATPVPSAQPQPQTQSGPKKRGRK RISPPPLMTTKGISLVFRMPPAGPSGSTSASASTPQQAIASGSGAVGDQDSRDSTPDI VGDASLLGEGEGEGSKKKRRAETSLSSSRPTRGRPSPNGTPLTGSPGPSNSNPNGPLS IFAPPPPAEQLPEALQTSTIPTDIADADAIRKEAAAGFESRLRSRAAPGQRRDGGERT GVSASGKDVRVGGTPRTADKANNSGAGNGTATGQGTGGKKKGKGKADVDVPNQDFCSA CRGIGRFLCCDGCPRSFHFMCLEPPLRIDELPDEETWYCKKCRAERETRETASPTKEK ELKPIPMVFKQLSKKVDEENPCQFRLPATLRTYFAGVGTAPRGEYVDAEEARTKYDRK GFQEDRDPFKTRDGKNKPIACYACGGSSLPNHSLTTDPESAWRQIISCDYCTLSWHLD CLDPPLSSMPNSGRKWMCPNHAEQALPRRRTVRNDLETVDIDQRHQPNNGNIVVIPEP DPPKGPPLDYEDLVINRKKFRVPERIIRLDFWEKVQKNGGTLKPNPLLNNPSEEDVEA ANLVLSLIEPQFDSQNNGINPEPEQPQNDQSVNDPFPTPSTPTHGNGKPQSTGSGSGG KQPKIVLRMPAGLTPK I203_00259 MSELLSPPADGAGIRLTQTQKDLIGGSVGGIAQVLVGQPFDIVK VRIQTAPPGTYASPLDCATKLLKADGPLGFYKGTLTPLLGIGACVSIQFGALEGAKRF FAGRAKGRELNLGELWMSGAFAGVANTVVANPVEHIRIRLQTQPAVTPRLYNGPLDCA AKLYKQGGGLKGVFKGQVPTMWRDGVGYGCYFMAYEALVQRHLRTTGLSREEVSPLWA VTYGAAAGYALWFSIYPVDVIKSKLQTDSLDPAKRIYKGMIDCTRQTWRNQGLKGFLG GLAPTLIRSPFANGATFVAFELAMRAMN I203_00260 MSSTAMSFYPSGTPSFEPMSPCFEDRTHPASLSICGIHNPALLE LIRTDVSREMVYYLADRTSSVIACSTKIAFPPSPPPTPFKDGYQAPGLPSLETFIAVV CEQSNVQVSTLLATLVYLERLRHRLPKVAKGMPCTRHRVFLATLIVAAKYLNDSSPKN KHWTKYAQMFSIAEINLMEKQLLYLLDYDLSINEQEIVDNFEPFLSRYTFDSPYASSS SPELPNTPPTPVRMPQSVSQRPIGHRRVSSKGDITYIAPPLDRSGSSSSLESEDMPLT PQQVSPPVMSTSKSSSMRSVSSAQVQIPPAIYETQNNYSIPRSMTTNSFKVYEGKQAP VPAPVSAVKEGFLNRLLRSSERSQKPRSKLEEDEETVAALSWTAI I203_00261 MPEEIRYSIPITDTSSTKNEARNDPPQEEETEPLHPDHVDHLSA GMIKLYGVWSVALLATFMAGYGVASMTAINPMPTFQNYFKFEDVGVSTGLVFSMWPIA ACGLFWLGPMIADRLGRRGGMLISSLVYILGTSLMAFSKNFGMLLAGRFLLGAAVGLM QPAAPPYVVEISPPLHRGFLTGLFNCCWLLGNAVATIVCILTERIRSDWSWRLPLIIQ LICPTIMASTVSFLPESPRWLYAHGKSGKAKEVLTKFHGNGVCTPSVAKELDQITLSL SQSPKQMFDYRTLANTKGKAYRLMLALIMGAAGQLSGNTLMIFAPSLYKQVGMTSVRQ QLIMTLIPTLIGLLFAIFGTWCTDQLGRRPMLTFGTFLCALFLALAMACSVISLHGNT TISVSDYKNAAAKSTIAFLILFYATYAWAYIPLVAVYPPEVLSMEQRSTGMGLMVLTL NLASVLGQLTTPIALQKIGWWSYLPWVCWDLMETGIWYFLAVETKGRTLEELDVIFDA PNPVKASLLVAVKNDKEQRTEESHAAVVVNGRDHE I203_00262 MSTATEIKLLTLLNVSAVKRPRELDLPGGHRGSPSFSKTPSLVL ESEDGQEPSKKRRKSVVFGGEVGPSGSTFGKKGKGKAKKVEISESNGIADSAKDVIAN GLGEGNEDVFEEDDESGDEGESSNSVNNDMFNLHFGANPPIHTKEAVEMAEEHKWKSE RKALKGFGRVVELSALSAEAMPADVKTRITPSIGSALEASSSTTTTPLLSTSLSYLGT YKDFYLHSLDGEAEGSEPQIVGEQKEAMRKAAVVHALNHVLKTRRRIIRNNEKLAHAA SSDNPSSIPEPPRDQSFTRPKVLLLLPFRSLALHYIKTHLLPLAPTGTQIENQRPFLT SFSLPEGEEDPLASSSASKDFPVDHLVNFKGNSDDNFRFGIKFTRKAWRIVMPPANEE KLLDCDILVSSPLGFKMAAEKEDSTDLLSSIEVLIADGLDTMAMQNWDHVQFIFNNMN KIPKSPHGCDFSRVKPWYLEQQAQYLRQTILLSRYDTPESRALFNRHCHNLQGKIRLE RTDYEGVLGRVRSGVRQVFERIDLEGPKALNGSAAVEEMDTRLEWFTKKTIPALLRSA ISRQNTLIIVPSYFDFVRVNNYLRKNDVFTYVSISEYSSNAEISKARTLFFKGKKSFL IVTERFHFYRRYKIRGAKTLVFYSLPDHAQFYSEFLNTPFLGSKGQGEVEVDEAEVSS RVLFSRFDALKLERVVGSVNARRLLTSGEGRFEFI I203_00263 MLGQTLIIALAAITTFVDAHPHQIRKVSPAHNVEKRATTAPTIS GWTYQGCVTDGGARALTAASQINGAMTAQLCTTWCASKGYTYAGLEAKNQCYLRNGLG ISTSASDCSYACTGDSSQPCGGYYKMNSTSSLTSTSTSKTTSTSTSTIKTSTTAATAT VTERDSAATYYGCYQDSSSKRLMNSASTESSSMTPSVCQTFCSSKGYSLAGVSYGKQC FCGNSVDSTKRQSSETGCSYACTGDKTLKCGGFWYLNIYSSSGTISTTSAVSTTTTKT TTSSSSSSTSFTASSTTSVAAAIASSTTGVASPPSATGTKQLFAHHMVGNTYSYTQST WADDISQASAAGIDGFALNYGSDSWQPSRIADAYAAAKANGNFKMFLSMDVSSLGCSS TSDASNLVNTIATYANNSAQAKVDSKILVSTFAGESCTFGQGSYQAAWTYFDSLLKAK SIDVYFVPATFADISTFSSSSWMDGEFNWNSGWPMGSSALDTSSDTSYMSALSTQGYM ASVSPAFFTYYSPSSYNKNWIYRSDDWLLARRMEQIISMRNTFDLAEIISWNDYGESH YIGPIRADQPNSQGWTNGMPHTAWLEVIKYYAPAFKTGSYPSANDQLVLWSRPHPKAA TATSPSMSRPTGWNNTDDLLYVWVVLKSAATVIVTSGSNSVSWNLSAGVNKVSVKSAA GSIGAKIVRSGSTVKSYDSTGSFTYTTSPSDYNYNYFVASA I203_00264 MAFPSSKSSVITHPDILCHILQYADKQSLSRCMRVSWLFFQLAS PLLYSTLSITPNNIDSVLRGASSGSMIYSDCTEREMKRDLLGLVKNLSMKNHEGCDNL GCLRWCQTNIKFLSLNILRIYLNEANTTGQPYSGIADETFQTVTKLVITFRNLEDLRE VNQMTLEIGQSIEHHKRMFNENTEIVLIFWADDPTQKWYHNANAGVDSDPDLNADSDS DSDDGEGEIDNIVQQISLCAVADAGKLIVCNLDKIAMKDKTNMETKQYMSNRIERMME DWTNCTRAQKQLKNRLEVIEYMSFEEYLTREDWQGEFDREEVELWFDDT I203_00265 MDFYAPAPPQPRFNSFNGLNRSFNQLHTSSPFQRSDPGPGKTAN ASKDTNDIAKYQFWPEAIAMGTLTGKTFTQILGAGCEKGVNYDDWNRHGKSFIAFDFK KEQLVLRAEMKLPRDRLPPALPPLDAELSFDDITSHGFYVTYEMVGGVYNVVVTVSCR RPPKFYLKFEDAHLLRETDRKGFKQDRRRATAMDFAISAGVPERDAGPIKSVPEGPCG FPTFWNTYRWTFKLDVKGYNTLIGCTQKIRALAESDPEMNLMSNFRTDTRWQVHKLDA TAMDQLYGPPNLNTIRFSARTLIEGLIAHNILRPGDIRALLIALQQVAVVAGFQDRIL ESLYSYHERIRDVGEVVKKTASYLRRKERPNLSHLVLIRTVQVTPTRVLIGPPQQESS NSVTRQYKDRLHGIIRVQFTDEEDRLYVGDLKQMDNLRPEVGIMARVRRALHHGLVVG GQTFYPVASSASQQKDHAIWFVDHKVIDGLALRKWMGSVTETVIAKHAARMGLPFSTS RIVDINIKVGKELPDIKRNGRTFTDGVAVAGQDVLIQAALALGEHKGLNAKPSAIQFR LGGAKGVLAYWPGLVEPQEVRLRKSLIKFDSDLADLNVVRIAKYQVAFLNRQFINIMY ANGVPSALMVEIFQDAVAHIKGLKDRVAARKMTKDDHQLIGLCSAFPLTQLIKAGFHK NPFVLDIASIIECRALQDLKWRARVKLPGGVFLIGIADETGTLKEGEVFCQFQENEEG SKPKVVLGEVLVCRAPALHPGDVRRARAVDNPRLRHLNNVIVFNVQGERDLPSMLGGG DLDGDDYTLIWDQRFVTSLNVYEPMHYEAPAPIKVDKVTQAHLNENFCSYILNDVLGQ VDNCHLALSDMLTPFHEDCLQLSDIHSVTGIAATLPFQLRPREWPDFMDKAGHIFSTD ALYFSTGVLGELFRIVQPDPHFSPCDIRKFGYPTESRITQYPIHTSLLERLKPVKAHY EKVLQYDMRRYRVFEPEIPSGIAIKNKRRKRARDQNLNEPLRDTYNTLITETRQMAME RIADIEFRTKLTPAQMVARHCYALTYEKQYVQDWEEQLSRGEYHAMVKQEDEEVFEEE LKPKPLISFAWVFWSELIQLAGMAGDIKSER I203_00266 MKISATLPIILIPFALAHAHGISPAHNHPGRRSNPLRNVNSRSA QLYGRQHSAGLLGGLVDDDSTSSISSHASSASARSTIAPLTTSASTSVSTDNNADEDT TIDVTSKVTTSVTGLTTASPTGSSLISSAISGSISASSSIISSANLTSAVNSTSTETS TATEATSSSAESSSASVQYTTDAAGQTQLVTVILTAPAATAAESTSSSTSSAKSKSDG DSIPTAAIIGISVGVGVVVIALIAFAVWRMKRRNGDEDEAIRWPELNRHGDSDAHHAL PARQTGQHGFETNPLSRSLSNSSSIFAPSSTAQLNTGGANAGGPQPMALNGSSFGASS SLEDDYINEKQSVHSHGHEHRSHDDHDNYTSLPPPVQPHLYGGHGQMEDEDPYGGMTI TNDQHVTLPNPHDGYQGQGQGRPGFRLD I203_00267 MPPRKSLSGSVSTPARKLRPSGSSSTLKKSRSKREIQHEESSPD PLAPSSPIGPTHVPVENHVQQEEENDKKEFGEVTAEEAAEVELEAWQDFAADHYEMVE QLPLELHRNFRLLRELDDGCTAQIERLHQLTRQYITERLELEKQLEQNKNGVVTTVEG AGEQEKADEEEEEEEVETIEIRDDEDPTIVNSENKEEDVEMKDALAEIGPGEHEKEAE QEKLEDKDLIDGKQVTEGIPLPDGQGGLLIPKNEDPIMEGHEQQTVPQRLQFPHAESI GVSSQPAGPAVKHSHDEQSPNETTSTDKKRKRPDGPYAHLSEIARLSREVVRTAEEKV AVAVGAYNAIDRHIRALDSALTAQEASILLGLRTSTLPSNNVDGALNLAGDTNANANV LSDPKVEDEEGMVLGLGGGSGARQNKRKKKKGKKGTVIVEEEPAIVLGEGLGQEQFNI PADPNEPRYCYCNQVSYGQMIGCENEECPLEWFHLTCLGLESPPQGKWWCNVCRPKVG LGKGGAGKVGNKNITVKSGGNASGGGASRKRK I203_00268 MASTWDIISSVLFLGIFLGVVFLAMKFSHLLNTSTTSAQSSLQS QGITYQQGKMSIKTDRAAPTRDEYILNTQAAFERGGKMMKAHKDAFSFKKGGGGGNED DHASASGVDSSDRKGFRRTKKLA I203_00269 MPAETKPFITDKPSSSTATAAGSGSGGGSSGTGTGGKKRSLAPK RGAIACVRCKERKAKCVPAPPGTSPVPTCTNCLTAKKECVYLERTPNEIFLLEYVSQL EARCAALEVELRKSNPTSSFASDHIQMPAEARSAAVNKLNQVYPPTTSTSNSATAPAP AAAAGPSTAPIPINSNPQQPEVKVEEGPSSVRSNGSGSGGAGPELTVDGEEDEEDLAL GIGMLSLGGGEEPVYVGPSSGINWARVCATALRRPREATQPRSHLSRFSYLAPPELAS VQSALEYTLHHPTPPLPPPALASHYLDLVYQHIQARYGCFDWIVVKNWHENREAICQG RPLWGVGTGNDERRNLASFFLWLCYGYGARLSEDEKLEGAVSHEVYYNAAVACLSTLT SHHSLATVQALVLLIIYGLRHPTAEVSVWQVGGLAMRTAVELGMHRRLRSRSEREKDP IRYEMRKRVFWAVYTLDRMMSAQLGRPAGIQDRDIDVELPLNVDVDFSDARALYLMQN RQIELMAGTRPGDEYNNGYGPVSSMTSAIHNQRLNQLKQMITDAIYRLDKPLRPRDPD AKTGEPERQSYDEVDELLRRLDQWRACTPQPKEGIPMLPQETWELMYHDCVQLLLRPI VASCKAAGRYVNLCLDSAAALCETQYNYLQPPVIQSQLSTWRFYRLFLAGLTLLHIIT TFHPYLSENDRQKADSAIRKCHSTLGVFGSKFKAASRHEGLFRELVSAWENGMTNSSS SLASSQIMGHNDSGSPLVPGPGPGLAGIGIEGTAEEMIARLFDLGPSPPTLNNLNDHM NIPSTTYLPNHNQPQSIGSLSTPGLTDSLNAPTTNSTSSIPNYNQNQFGYQPPNHTLL PTSYTSPNPSNTTHNNGSLNLNLDNIHGGAHMSQVAGQLGHGHGQNQTMGGLQSTDDW ASMLGEMGGGAKDDFFSLMSSFGLEPSTQTPLVTNETSDPIFDFINSPPTSTTNLRQQ QQQVQQQQQQQHTMFPGSGNIGNTWDTSQLGVGADVRRDSNLGMSDWAGWFDGLHNTQ QGGQGQGGGGNWGG I203_00270 MASAAYSTIKLFAGSSHPELAHLIAKRLRLPLARANITQPPSGE TRVTITESVRDYDVYILNTGAGEVNTHLMELCIMIHACKIASAKRITAIIPHFMYARQ DKKDKSRAPITAKLVANMLREAGCDHVITMDLHASQIQGFFDVPLYAEPSMIQYMRDH IDVKNCVIVSPDAGGAKRATSIADRLNIDFALFHKERKKANEVSRMVLVGSVTGKIAV LVDDMADTCGTLGLAARNLIEAGATKVYAFATHGILSGPAIKVINESGMEKLVITNTI PQSENCEKTNKIDVIDVSHVLAETIRRSHFGESVSYLFHEVPYTSGIA I203_00271 MVTSILPRKRNRTLPVTTFLISTVLLSIVLPSLANASATPLVGS HALLGRHQGHDDDDVMDMDMDMGIMHGDDGEGSVDEEEEHTHAVMTSTSDIADSAPSS SSAVASTSTATSNPSHSHSDHPPSPHDHGSHTAPKEKLDDESVHRWHHFPPTYLDADF RLDNDTAIFGEEFDETWDPQEVSSHRGLMAIHALLYYGAYFGLLPISLALRAADHPSH YFANAIFLLVAVLGWLAGKAYKAGHENRYEGAVHEPLSNILLLLSVGLTAIDSLEIIK RCIKFYKQHDRSWSAFVHEVLSSHSKNEKSWPANRYEMVGLVGDEHDEDQEVNQVVFA VGDDDEDEEMHHHRVHQEHDEIENLPTRTLSNSGKPRRPSLLIRQWTPPSRNSTGSEG TLHETPGASSSNNSFVTQKSKVLNTAGAYDAHAEDHHEHENGEEHDEEHHVQPIWSRE KSTGAKRAAEIVLTWVRRSQIIFAYVVFLTEFVEYTGMCRAGLINSCAAHYIKGSIFF WYGVLTFARYLGAYADLGWAWNKRPGGAGVSAEMIECAVIFTYGITNTWMERFGSNPS DPYTVKQVQHISIAVMFWFAGLSGILLESRWVRKVMGSFISGGRRDVHEPPTYAFSYN PLPALVIGVTGLAMAAHHQEYVFQVSIHSLWGTLLAGGSLFRFLTYFFLFLRPPVEST LPSRPPTEVLTSFGYAAGGIVFMLSNEEIAWAAMRAGWDDMMAFLNFTIALTCLVFCW SVVVMALKGWAGLRMTRKRNNIAIA I203_00272 MASSSSTTAKGKETVSATAKHTDAEGYEMPWVEKYRPVLLDDIV GNTETVERLKVIAEDGNVPHIIISGMPGIGKTTSIHCLAHALLGDAYKEGVLELNASD ERGIDVVRNKIKSFAQRKVTLPPGRHKIIILDEADSMTAGAQQALRRTMEIYSNTTRF ALACNMSNKIIEPIQSRCAILRYSKLRDAEVLKRLKEICQMEDVKYNDQGLAALIFTA EGDMRQAINNLQSTYSGFGFVSQDNVFKICDQPHPIVIRQMIKDCQNGLVDDALARIN DLWDQGYSAVDIVVSVFRVTKTMDELQEYIKLEFIREIGWTHMRILEGVGTLVQLGAM VARLCKLSLPPQALKI I203_00273 MIIRHSTRALARAIPLRSSHLQPFVFPRSIAPPLVSTPIPIRFN SNTPRQPYNPPEIKPKPTQENSTAKSEESSQGKPLKEKVAQAWSTPTRWYPIPLALGA LVLLVVQYRKSTRGDIEVEYQNEEGAVIRKNGKRVDGPWQVRVLGALPLRSLSQLWGY LNGLVLPVWFRPFGFKLYATIFGCNLDEVPKDLKEYESLGDFFYREMKEGQRPVADAP MVSPADGRVLHFGEIVGSRVEQVKGITYSLEALLGSESSLHGEAKSIPRKDKEGGEVV DDENFANINDIPYSLASLLGNGSGSENVEDKSFDDSTLPKTNEKEVDASHPIQGHELG HDASVAARLGTSALANQTNSKGELPRLNNEKNRLYFMVVYLAPGDYHRFHSPTTWVVE RRRHFTGDLFSVSPYIANRMKDLFVLNERVALLGRWKYGFFSMVPVGATNVGSIKINF DETLRTNTRKITHPPHTYAEAVYSSASILKGQPLLAGEEMGGFKLGSTIVMVFEAPKN WKFNVEAGQKVKMGQELGIFEEEKQ I203_00274 MMDIQPIVAFLRRTYLCPEVDPAWVRDCVQALTDAGRQVSIDEV HTQFLYSDLSQSTLLSRSFPPVQTELHEIVLFPRPTILQIHHVSEIGHSAFQIQHTME QRSEVLSGQTLIRRMDDEEENENEVDIGKVPPYPRSMLKLELSDGRRTVKAMEYRRIN GLVLGQTSLGCKLVCQNVKCLRDTLLLTPENTQVIESSVEHLEAIQKEQFLSDLKRRM GKLDNDPNGSIPKRKVKPPPAVRPKPSASASASSSRPANLNPPKISQPKPRVQSPDII PAAGPSRSRYFPPPPAARSNDVPLFDPPSSPQLVKPIPIRAKGVKRRQSIEEIETSTN APTPQARRSRAAAKAAATKVHQLYHDIPNGKFTESDDYDDQDEDEFDYDVDVDESFIR QIDEVTAKASASGSGSGFRDSKNNDVYDFDEEEEDEEDFMILDESMIRQIDKVTNSHQ NAVKGKGKASPVGRGKQMKRNGKYHDPDEDDNEVTFDDDSFHVDESFLKHLDEMEYDR QRKLSSQNTNPNKSSQSNTSKRLRPDGGSRSKRRRSTSPLEDSLKENVQPEIIEISD I203_00275 MSASPTRQATVEDATSASPTPTPPPASDFPESSSTQVDKVEDQP MNSLGDDEGEEEWDPSSERLPGQSSPTSKEKDFAVEDENHKEEEGKEKEQPWQAVWAA EQNAWYFWNKDTGEVTWTNPLEPSSSSASTQPPLPTEQPPTAAASSSSINPGLNNNDN GYGFGFGFGDPSQPEIDPGLAHLFGGDSSGPGGLGGDPTMQKAMFNSRTGRFTASNYE YTVGHLDEYNRAKRMNSHYFDVDQWEREKQQENEKKRKALEDGKGGEKKITKKDMERF RKKNAEKKARSQAWLRE I203_00276 MSELFKDIPEFVETNIGESVTARTETLATFRELGPPDLCQVIKS SGSKTAQKDLGSYHYCSGVEASSSASLAAYLNSLQFSVEEDTAWFGKGHGWKVRSGTY CCFNAFSRVDMRVDVKIPGGVDAYVVDLRGDKHAATPELWQETYLSALLRAIRYADDA SYRLAGYRKLDPITTPEAEARFLKAAEALFFKGWQLGSDPEIQVATVVTNHLTSAVLK YFQDSFRLDRAANLFERMMVNEPEVAALVARSYIGMNEEIKAVKTMHSALSSNPQSYP ILHAQCDFLLSKGKPEWAQQIAQQAVNSAPSEFVTWAKLTETYIELGQFDQALLTLNS CPMFTFNERDLHRMPTPLKTHLPVKKFIAESGILDEESARDNEADVALIRLPAPGLRG TFAKAYSLLTLLVSKIGWDELLKTRSQVFVMEEEYRLHKTSASVEMNGFAADEDASTT GVRGGSSAGEREPNTPSDIPTIRISTESTRTPNGTTFQHQKKESQSQPMDTVLEKPEM AQVNDEPNSPIGMKADDASEEGEDDDGEEGNGTNQPPSAFANKRLCERWLDNLFLVLY EDLRVYTIWRAEISHFKTQHMSYRKTGTEWEILGELASRLHHKEEAKDAFQRCLDSKF SAKALIKLLEMYATEGDLQRTLNAAIRLTTYHHRWYMDAAYPSMIAHYLFKLGLIHGH AKIQYTLLSMNLPVGIFEIMQGYMKYGATFNVS I203_00277 MSTDIPNGHEEDQPLEVETQTQTPGAGPSKLPDPVVAVKSDIDE DVDEEEQDRISFLKKQAEYLELEQSVNSSTQLLSSLASYLSTFQNDLSAVSGQISDLQ GRSSEIDSQLKGRKTILPPLNALLSDITLPPSLVLTLRDTLPAQNPDLWLSAIIQFDS KIQLISSRSSKVKAVQELSPIIEGLKLKALNVLPPFLLGLIKPLKSASKGLSTNLAVL QTSLLLKYQPFYQFLLKNSPKIAKQVERGYVTSARSYYETGFRRYARSLGMIKSRINE KQELIGSLNHSDIMAQNILMNGQQEGNSSSNMEKPEDRLRFKDLDVEGEDAAVILGYM ADEKDFKAPIEALFRSLALVLLDNASSEFTFIVRFFAKSLSSSSIPSNAGAGVRSPIE TPLESSPNPSFVDLMSDTGRSTSTRQRKGVNEINDNLKDAERIWHEVFDSSLEYTTNF FNSIISPSTSSSSIPSVVSLLTIIRLNDNLIQCSDSRGCLPLITYLNSWKLNLWPVFR KEMDHHINSLKSLADDLEGKNLISSFGLGLKTLKDNHVRGIAKRYGEMFSRTVCLSTQ AEEVMIFSSMTRLRNELIRILTSQSNKIKSVPERHSFLSSIYEIIMHELVSGPGQTTH PKLQSELSYFRTREEEARRRISA I203_00278 MSEQEAKALATAPGAEDAKAVESTKENPTEAAKEAVAEVGEKRK AEDVPEGEEADKKAKTEEAPVDKGKGKSTEEPAEAEAEEEEEDDDEDDTPIVTGKRNR TKVNYADPKAWENADLDPTAADEDDDDAEVDAPESPDDDEDGGDYDEEAAEEEDDEDD DDEEK I203_00279 MTTQAGPSRDILRFTTHRHLRQRLLLSILSGKSIRVDGIRSDDV HVGLRDYEINLLRLAEKVTNGSTIEISVTGTSFLFHPGLLPGGNYTHTCHIGRSIGYY LELLIPLAPFCKKPFEINLYGVTGEEGRDMSVDMIRTVTLPHLHLFGVTDGLELQIKK RGSAPLGGGQVIFKCPVVRTLKTIQFLEKGKIRKIRGVAYSTRVSPQFANRMVESARS ILNRYIPDIYLITDVYKGDDSGKSPGYGLTLLSQSTTSSLHSSETLSVPNQTQTPEDI ALKAARLLLEEISTGGCVDSKHQWLIALLMALGKEDVSKVRMGKLTAHSVQFFRDMML FFGTKYKLVENSQTGEVDVSCIGIGYSNVNKSMA I203_00280 MPSVFQAEINYCLTTYNAPSPPQSDLEPTSKKKRSSGLQLLRPK SSYGGGRNTVLSPEPSLKPMPSYPIPPPPPPPAELDDEDISWKKDRYDTQRATLRHTR SIPQLTPLTPPRDPTQKRITSATLTNRWRKGVIVIHVHGEGGGQGGGLTIYDNDEIIL RQIIRPLPELNWANDDVQKIHPSVHDKPYVLSLHMPSGDTYKNDLRKSTSKARPLKPQ MSTLSKIGRRARGYTITKVDTTTTGTSSSSGVTTEHSIGFEEEEEGEGEEGRYTPDTS FSSYNHDSSSDQILLMDFNNGKEQNEWFTLLRSFGGSYLPRIKRSLQIRVLDLQESIP LSNLTLNTRSTKEEGLSHTTPSFDQISARSSEQPNPSSSKLSNMSGKHEWKSGWAGKD KLKVAIYTDKHLMGQTTWVQAEDRSEIPFWAELFTFENIKEFSTCSKNAQPFATVNIP LVPSFMKSKDERFPIISSSGHVIGELRLIVNFTIVNVVGMEVYPLPEVFRGMGGTRTI YYMMSKGLLDQCVDLFTRFNWALGTTFNRLVEMSEIEAKANGDTLFRGNSPMTRLLEA TMRLVCFDFLRLSIGPTVQVILENKIEATNENTRNILKLLDDCWEDMYTQRGTFPNIL RQVFAILFKNVKENHEERKLRYKAVSSFLFLRLIGPALMRPHLFGLARGLPKVPVQKT LTLIAKIFHTMAFFTWSDSARDPELAKYSFFMKKNHETMIDYLSSFATPLDDFQCRPD PPSSIAVFLTKRLPLLPPEIGQGVPMLTVAGPVEVDADAAVFYELLYQRRKARVGGAE MTREDGVVPGEEEEMHDLLRTMDQFISSVHKASYEHVTGDNWSSIHSDPRDLPNTSTK EILRPITPSSRPSLQIDINSAQRDRKLDLTRTRSNLVVNERNDQASKRVVISPIPNPT SINNSSSSDSSSSGPAGMMKWLNFGWMSPTFRPPGMGYETDSAHHHRYIHGEYAEGGS GLGHGNSLNGRSGQKKDNEVD I203_00281 MSEDNRKRSGSVAGSIPSMETSGDSLKDETVVVVLGASGDLAKK KTFPALFALFAQGLLPKDVHIVGYARTKMDEQDFYKRETQYIKGDESKIEEFKKISSY ISGQYDGDEGFQELLKHLEKIEGDRKSKNRVFYMALPPSVFTTVAKGLKKNVYSESGI NRIIVEKPFGKDLESCREMMSELKAQWAENETYRIDHYLGKEMVKNLLILRFGNVFLD AAFNKNFVSNVQITFKEPFGTEGRGGYFDEFGIIRDVCQNHLLQTLSILAMERPISFS AEDIRDEKVKVLRSIPPIVQKDVLLGQYVAEGDKPGYLDDETVPKGSVCPTFAAMTLW VNNPRWEGVPFIMKAGKALNESKVEIRVQFKDALQGIFTDIPRNELVMRIQPSEAVYL KMNAKLPGFATRAVPTELDLTYKKRFVDTNIPQAYEALILDAFKGDHSNFVRDDELDV AWKIFTPILHWIDGKDAPKPEPYPYGSRGPKQIDEFTSKYGYKRSPQE I203_00282 MSGEQPPPAAFRLPTSLPPLPAPTVTYDDLIQGEFKFNVNSRDE QDEYDGADEDRDRSWGPGGSGKKRKVPNMGMGMKRPGTPEDLWNNQSQSQITDGGMND DDVHHKYIDSTNQSSSSSSAPPLPFIRKKLRLSPARRLIEWKKQLFIKRKSNFISLYI DAQNALAENDRSKNKDTTTSNKNGNLVNTQGSSKSRKTDTQLSTMTGRKSNLPDVSEF EKLLPALEDVNLGSWSPDQHGWKNNQPLQPVKFRTSIKFRKKEWLRSKMKDVKRKGWF PEGSFEFELESKASSTLRAKAREQSALLKLANELRSLIITSNKISSVVTTTTTSTDDN IKDDDKSPQKTRRKIAGNKDMNASAVGTGNTQINPKEESQPMSQSQSKDSNETKTTAT GGGGGGGGGKKKPKKKKRSVLANQSNPHHVDNYRPSRTVSPHGDPYEPYSSHLSLFNP PPMVFLATRTRHKPKALQASNELTVNNDINVDYNPDIRPNEDDFICCFCEYDLYYSTE SMRKKAIRKRKKEIKRKEMIKNKAKNVAEGKKSSLRNESDYDSQEEDEEDEEEEDEDG FAEDSDQDNCHDDGHGRCTCGRRVKKPKPDRDKEDG I203_00283 MLARKHLIRTARLSTRLPLTPHPILTRPSVRTITPILVRSYAQG PPRPPPGGPPGGGGGGGFGGMRFPGGGMMGGPSQPEKGETLKQFSVDLTQLARDGKLD PTIGRDEEIRRTIQILSRRTKSNPVLLGLPGVGKTAILEGLATRIVNKEVPESLHGKR LLSLDLSMLLAGTGVRGEFESRFKALLKDIEEEEGNVICFIDELHTLLNLGKAEGSMD AGNMIKPALARGLQLVGATTLDEYKKTIEKDAALQRRFQPIMVNEPSVESTISILRGL KTRFETHFGVSIADSALVTAAVYSDRYIPDRYLPDKAIDLVDEASSALKLAQESRPTA LETLDREIVTLEIERESLKNEEDPFSVSRREKVETQLEEKKKEQKHLADSWAQERERV AEIKSIKEQIEQANIDLENAQRNGEFEKASKLRFSTIPQLQRRLPKAQAELDNENSQE PNMSVKDRVTSEDIAVVVAKSTGIPVNNLLKGERERLVHMEDSLKTRVVGQDQVVHAV SDAIRLSRAGLQPPSRPLASFLFLGPTGVGKSELTKALAEFLFADEKRGLIQLNMSEF HDKHTVSRLIGATAGFVGYEEGGQLTEAVRRRPYAVVVFDEIEKAHPDVANILLQILD EGCLTDGQGRQVNFKNTIICLTSNLGSEALYEPNACHPDGSITDITRSEVLKSVGTFF RPELINRLDELLVFNKLPPSIILDIIQLRLRELQSRLDPRRITLNVMEDAKVWLANKG YSEQFGARAVQRIIRDKVVTKVAGKLLDGTIKDGEIVTIDLKEDDIRITSKPDPNQPA TSQQSTENLGTPNGDASRPEPRLLEVLEDGVEEVDEGDEDKPRRVLYG I203_00284 MRESNYTFPPQNRAVTNITHLIYDRRALDTNSPLALLNSLTSLT YLTSTSPRIREILTVDGGLERLLDILRESCLPKDVSTHQDLWGLNGPSTARIITADRA NSLRHSLAFQCVVNIGVRGSEDIRTRVVQSGALDLVAQILESWLKDHGISIFSGHLGS QAAVDAVAAGEPVPGTENLKKREREKIEKAEKSERPDRNERSHRHHSRSQAPSESQPA TITAAHIASQAAAAFGFNLNIAAWADQPHDETPQGSVQGDTDVDMADAEGGETDDASV DAEEGSIDMDEREEINAPPSTTPRASTTLLPMTIPPRPPMPRDVSSQTSSADASLSGE ETSVIPRNTSESNIAATAQGLRPPALNLSSRVPQLAQEPVSTQSSPMGTPTRHAHESV EDSRRSGRRGTIIARPVGLAPRNDRERERRRDLTAGSGTSDGGEDIDLPTATIHAGIA AVNAQAMENNGTIETDEPAVPPAVEIVETNNRQELDEPDPEAMAAEQARLDMEAGAPP GQPGAAQTPRVTPGEAPTPGQAPGEAPPANTPDQAAIIIANSAPRGFHDLGSYVGISS LLNPDGNRYSDDSILLALQLLAYLSKYPHVRTTFHHPRRPMHPTFDLGLDTIKNPLPE RPAYSETPDIFSLVERFTFRPSPSDPLFFRVPQEIQYWAGVIMRNACRKDEARGGIRQ CANMSCGRWEKFPREFAKCRRCRKAKYCSKECQSRAWQEGHRFWCSSRTDQEPGSAAN EGANGFPTNRGAAANETGHEEEDEYHIGAQMGLSPEVVTRAIAAARAAGILRDQGGPS RGANEAPRRGAASGATDPRQLGELAVPRLPPQPEGPPPPPHAVTQAHVNLIEGRAAAQ LMEESVLQHPRQDMRGLQMPTRAGSGTTPRTGNSPWRGLQNVGTLLGLRNDHTAQQNP DPQQQQRQQQPAGTATMRMPWADEEAGGRFRDTQRSGRGSDGMTGLGFNMSDQGRRH I203_00285 MPDPNSGMGMIQGYPTYTCPTVPIIQQQPEPIVPTIQVQAPPTT IIKERYLPAPPPVYRHSSPRVQTTVSTPSTIINKVTNSAPIINTPPMRHIHIKRTKKI KFPQSNFVNVTPSPQPALKPAMKTITTINRTTRRTTAKGNGRH I203_00286 MSTLLAGYESSDDERDGITAGPSSLSVAGGNLAQPDVEDEEDEE KLEEEARKDAFGLSMSNGQQKGSSSTNKATKAAVESAPDVLKEDPNGASLAIITRPTD KVVNVNLSYEDMMRPVAGPVDPFNQRKNKGMNTLSGHVEEQSMDNYSFAMAQRTFDVH GYALNPSTQSGAAQPIVGSLNNAHQNGYQSIETIRPSRSERKETKRKRGGKGDLGVVD GEGAYMGPWAEWQGDKDVDPVVEEEAEEWREEKRRREEAQQVAKEKMKVARDEKSIFH GKELHDYAGRTYMHIPTDTDVKLNPSEGSAPPNAYLPERCIHTWTGHNKGVSAIRLFP KSGHLLLSASMDTKVKLWDVYHEGNCLRTFLGHSQAVKDVAFNNSGSQFLSASYDRHI KLWDTETGKCIQAFTNGKVPNVVKFNPDYDKQNVFLAGMQDKKIIQYDLRQREIVQTY DQHLGPVNTITFVDENRRFVTTSDDKTIRGWDYDIPVVIKYIAEPYMHSMPAVTLHPT NKYFACQSMDNQILVYSADGSFRQNKKKRFAGHTVAGYACAIGFSPDGKYISSGTGNG DVVFWDWKNGKIQKRLKAHKEVVIDHCWLPNEHSKLVTASWDGLIKLWT I203_00287 MSNPIARLKAIPDEAGPSASRGTREIRRAYLSPDVLRTFKLVAG DWVLLKLEKGVCVVQIWPRVGLDDDAIVLSSTHLSNLSSSPIDLYRFAPEVHSHGKAS RIRVKEVLETSTKPKSKRTIAEETPREKEWLRASIKEVLSSTNYINNGFKITIGDSGK SARVFEITSIDLSTKELSKKSGSPLEDGLERLKISGDESAKVVYEVSWKTEVVLEGEE EKVQSVNSTETDRKISTNGTAKSHFSTTDGPIPSYINLFTPSQPPTAAYGLLGGLQPQ IAQIKSLLDLPMLHPSLFSMFGLTPPRGILLHGPPGTGKTALARAVASSAQCSCIVVN GPELSSAYHGETEERLRGVFNEAKKRSPCIIVLDEVDALCPRRDGGEGGEVERRVVAT LLTLMDGMSQEDIGEERIFVVAATNRPNSIDPALRRPGRFDREIEIGIPDVNGRRQII DIMLSRMPHSLSEQEVSAIASRTHGYVGADLSSLIRESASAAIQRWHSSKPSEGSKPV LTNADVLTILPTIRPSAMREVFLETPAVRWSDIGGQDDVKQKLRECVEWPLTHRDTFA RLGVEAPRGVLLYGPPGCSKTMTAKALATESGINFIAVKGPELLNKYVGESERAVREI FRKARAASPSIVFFDEIDALGSARSDDHTHSGVLTSLLNEMDGIEELSGVTVVAATNR PDVLDSALMRPGRLDRILYVGAPDLDTRKDIFRIRLATMAVEPGVDVEELARIADGCS GAEVASICQDAALAAMNEDLDAPCVKKSHLLHSARTVRRRITPDMISFFEEWRDQSGV RSA I203_00288 MVLTVRAVHTFMAEHGDELEFQAGEEIEVLEKDEAFGDGWWRGR NTKGEEGLFPATYISETPITPQDQPLGLPETTAAPHTTTVNGSAPTNDSYLSAPTIPI PDESPRGTHDSSGGLLDNAVAAVESTTAAVGNVMGRTIGDIQDAIESITTAKPESDDE EELGIGQNARAKLAEQARLANEQREKNQHSSNGGVAGLVYSDESEDEEEEMRRSPRGA AVSRFDSPLANGFHSSPVTMEQASFPKATTPPVAPPLSAQPSAHSSTRLEPSTGSLEP AAHIPSTPPFEKPAFTTRSSSSAIPSKPAHTWTVDDVVAWAQAKGFDEGIPEKFREHE ITGDLLLELDANLLKELDIPQFGKRMRIAAAISELRRPSSMISSKSQQLSPSGLPLNV PGSASLRGMSAPPSSLGQPFPSTTPPLTTPPTSASTTDDIPHGAWSHGRKTSNVGLGM PAPMEAINENLAQTPSTNQASSTAASLPASPVTPSSIVTKRESTGSMGHKRGKPSTDN KERLSFFGRSRKPAPPSSVSPSSEQHRTSSRLGFSGSNRVHQMQPATPETNRRTSGTG GGNAAALKQIGTPDYSGYLKKKGDRYGSWKQRFFVLKGSHLYYLKSETEDRVKGHIEL QGHRVIVDENTNPGSYGFRLTGGPNDKVHYFSSTEQISIREWMKALMKATIARDYSVP VTSSCNIPTIPLAEAQAMAPRPPSPATREATQKATRRENPNQLTAHDASVLMSFDTSS GERRRASQQLGAPSPGRPSRDTRRPSSNYNGAARPAVNSVYQSDEGKNNPELVRWVNS LLPQAYPRASAIPKSFASGEVIFLLVKHLSHIEPSPPVPPQAFAPDASGQPGLEGLFS MMDILIDAGIDTAGVSINDVRNGDSGQIVKLLSSIKGWHEQRAGVAQ I203_00289 MSVSKGVLLIGGPSKGTRMRPLTLDCPKPLLPIAGKPMIWHPLS AFAKVPGLTEVIMIGFYEDSVMSGFIKEAKREFPNIAISYLREYKALGTAGGLYHFRD SILRPPVPQNIFICNIDICSAFPFTEILELHSKHRGVGTIMGVNVRKESAQKYGCIVT EPESQLMVHYVEKPDSWISNTVNGGVYLFDKSLFDEIKIAMDEKTARAAEDPLVKPDE ILRLEQDVIVPLAAAKKMYVYQCKDFWRQIKTAASAVTANSLYLARIQKTYPDFLAKS SPNVISPVYIDSTATIDPTAKIGPNVAIGPGVTVGAGVRVKDAIVLEGTNLEQHSCVL NSIVGANCNVGAWARVDGKPEPEQDVKGQISVTILGEYLIYLVGKMEG I203_00290 MSAAETNQPELSSDAGPSTPAPPAVIVDEAADTSLLDLPISDQA QAGYHAPPAQPSEPITTPAPPRTEPIVPDAPSHAPPAKGLPLSTPSSSVTPTAARPAQ ADLAEFDPYATPAPTLSSSASADPVTPARNNARLDPSSSIPQETPRQVGLTEAESSGS INSNTEPTFNFSGFLKDLRTKSAEPIARYLKSFLSNFAKKPFTVNEQIKLIHDFLAFI SEKMAQVEPWKSQTPAEFDNALEAMEKLVMNRLYNYTFTPQLVPSQPITTDDLERDAV FAQRVRLFGWVREKHLDVPEGEATQGFLGFAEQELLKINHYKAPRDKMICILNCCKVI FGLIRNVYGAEATGADAFVPILIFVVLQANPDNMLSNIEYISRFRSASKLQGEAGYYL SSLSGAIAFIETMDASSLSNITQAEFEKNVEDAIQELPPSPSASTARALPPADMSPFS AVTSGEEAARPLSLTTTVQALDGTKRFFQRTGNLAQEAVSKPLSAIGKILETMQSPSD DRSEDGSTSGEERSPERRSRQEQQTPASRDVFRTRRSQFNRAATPESPSRTLQGFGLG VPGDGSAPASRAGTPSPGDNPIPDFSSLQLQSTIDMSQEAYAQTRRANVQTLHQMFPA LDEDVVEAVLEGSGDDLGLAIDRLLEM I203_00291 MSGQQEQKITEVGTNRFGFDSRVQVDTLRSLYLTPAFLKEGNKE TDLAGQGHKDYGSESTYFKETDSIQYTTVAKFPPVKNLPNTERKRILVTGGAGFVGSH LVDRLMLLGHEVTVLDNFFTGSRTTVSHWVGHPNFEMVRHDVVNPFLIEVDQIYHLAC PASPPHYQYNAVKTIKTSFMGTLNMLGLAKRTKARFLITSTSEVYGDPEEHPQREEYW GHVNCIGPRACYDEGKRVAETLTYGYQRQDGVDVRVARIFNTFGPRMNPYDGRVVSNF IIQALKGEDMTVYGDGQQTRSFQYVHDLIDGLILLMNGDETRPTNIGSSHEFTIMEFA EAVRDIVEQIQKEEGVANPRRVNIIHKEMPIDDPQRRRADTARAKESLEWQPKWSVKQ GVEEMARYYLRMIREGKL I203_00292 MNSVADLSGNLTVAILDVKGKSLIQRSYRDDVPPSYIERFLPLV LEMEEENVQVTPCFSDEGINYMHIRHNNLYLLALSKRNSNAAEIIFFLHRLCSVLTEY FKELEEESIRDNFVIIYELLDEMMDFGFPQTTESKILQEYITQESHKLEVQARPPMAV TNAVSWRSEGIRYRKNEVFLDVVESINLLVNASGNVIRSEILGAVKMKCYLTGMPELR LGLNDKVMFEATGRGARGKSFEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYR LSTPVKPLVYVEASVENHRGSRVEYMVKVRGQFKRKSTANNVEIFVPVPDDADSPKFR TSVGSVVYAPEKSAFVWKIKQLGGGRDYTMRAHFGLPSVRNDEIDKRAPISVNFEIPY FTVSGIQVRYLKIVEKSGYQAHPWVRYLARNGDDYILRTITDAKSSSIIAPL I203_00293 MSHPQEATEDDLAPTETQGYKVGQSKTVAELAALDQEDESLQRW KASLGLAASAGAGGNKKVVLKTLFLSSPTLSKDISIDLTQPAADLAKLKKEPLTIKEG VEYSVGITFTVENEIVSGLRFLQVVKRSGVKVDKTEAMLGSYGPQPAPYTKVFASEES PSGMLARSGTYSVKSRVTDDDNNIWLDFEWSFKLAKEW I203_00294 MSGEIRRKLVIVGDGACGKTCLLIVFSKGMFPEVYVPTVFENYV ADVEVDGKKVELALWDTAGQEDYDRLRPLSYPDSHVILICFAIDSPDSLDNVQEKWIS EVLHFCQGLPIILVACKKDLRDDPKTVHDLARMNQKPVTRAEGLAVAQKIGAQGYVEC SAKLGEGVKEVFQTATRHALMSKKSGRSKKGKGCVVL I203_00295 MASAPVAPIRQPRGPSANSFGAPAPAQPQQPNNGPPAPDSESLL RRHNTVSTTRHQPSSSISTNPAASSAFHGSRMKSGVNRFRSGSLSSGTSEGGLVRKGS GREVRTEDVVPEGEAEEGSSGMETSNWGKGLSRQSSLPSRRAANPTLSRQNEVPPELP KPTMTSMAPPPRPPRRISVATQDPGSPPPAQSPSSHAPSHSLSSLAMFNRVSLPPAQV EEERPSVGANVSRTQSLRAQAKHSENGGLGRSTSLKGVGEHHRPIIQALSPPSQTTTP RNPFTPPTPPPVSSTAALPPFQLPIPDSHNLQVDNNNHPGADLKRHQSLTQGYGSSNR VRERLERSPAVLTLEQREEIKKRMALSKSSDNEHPPISPIVPSVWSPGIPTGQDDGWN RAASQQLQDAFDAMNLGRKMMGVEGNGGFQQPERKLTLETDVLRHPQPATAGASWRPS DEPSWVTSLVGADHTPLVAPRSFGPGTTSWQEREHALRQQNYTPQPQQQFQRGGWPQD QNQFMQQANFGYLQQQHLLAMKGMQSFPQPPYLGAPFSPAYPSPPNTAMMQNQAVSNQ DRDVIELARQKGLNPATYNCRPAAARFFVIKSYTEDDVQKSLKHEIWSSTVLGNKRLD NAFRESHETGPIYLFFSVNGSRHFCGVAQMLTPVDETTNSTVWAQDKWKGIFKVKWIF VRDVPSQALRHIRLTNTPEKKPITNSRDTQELPYEAGCEVLQIFLDHQTKSKTSLLQD FAYYERLSANRSLQGQTPIQSPNGAGQPMPPPMMPPMPPMPPMPPMPMQMPPHSAVPP VPPIPARFQ I203_00296 MLDNHSDCDNLGGPSCSRRSESPVSDREDGDFLGLDDLLPEPES PLPAPYSFSTYEIPDDVDLKINDEENRGRQLVLRLVGSHPLWGHHLWNTARVFSTYLL RNPPLVKQRRILELGAGAALPSIACTLAGARQVVITDYPDNDLVENMRFNVDVNIPGD LRGNVDVVGHLWGHDVKHLMARTDNEGYELLILSDLVFNHSQHDALIKTVNSTLSSSP DACVLVFFTSHRPHLVKEDNAFFPRLAASGDGWVYQKVVEEWAGAMFEDDPGDEKVRG TVHGWKAWRVQQGEQPGEIH I203_00297 MPMLTAMGSRLSHLLHHFIFSLPSPNNTTSLDFKSGRASGDDAR LEELFDEPGEGRALAGGIGPLSFVGSGYGVLLVLMAILLNRIHHIVRRPRPPPPPPPL PHPPRRGLHRLRHAISRTLTHPSTPMYIRLPGIFALTRAWIIFTVVLLQVANLWPQLN DNRSFGRPLIRIGNWVGNMEMTKVCWQVFISVCTGLACGGLANGLDRSRRRDVGASFN LFGYSFLLHLYSSPLTHHHPTGSSSRPRPDVHALFQLWLGLTELAWLQAAELSSSLRD NLLLPTGVCGTLGLMHFVYALLTAPLKFPSFTFLTHLMALLLSVVITFTVALKAITYL FTYGYIPSLVSLLPHEGVVPNQHDDFGVTLLKIGTACIEATQYSGLRNELVTVEEHRG PWIEMSATGSDVHKTFVTGVKGFDMEITNIEVSQLADPHAESMYWKEQKAFWRACAKS AKAFVWGMIMATPVGRKSIKLIKKAWLKRWWYGPRQWRVWRREAWREPPLAIARRRIA RRVDEVQAMRRAQAYRTPSPEPSYGVSTAVDSQAEAVSYNRFLLGQVDLEDDDEDWED DASSTSSNQSADSEIEEQALYQDLVANQDKDGDDIQPVLLAHLTSRNATPLTRRRYAA LLTNSPSTPQPSLGMQEIVKERRIFSAGQPVDEDDEERRRACVVCMTQMRDTILWPCR CLALCNDCRESLASRLSAQDHMCPCCRRKVDGYSRIYVP I203_00298 MPIATELTRILGIKYPIVQGGMQWVGTPPLAAAVASAGALGMLT ALTQPSPDALREAIRETRKRIGTDGWSQGVNITLLPSINPPDYAEYARAALEEGVDIF ETAGNNRHSASDAVPKRFVIHKCVTVKHALSGQKMGVDMLSIDGFECAGHPGEDDIGG IVLLARAAQELSIPYIASGGFADGRGLAAALSLGAAGVNMGTRFMCTVESPIHQKIKE KIVESTEKDTIHIFRTLRNTARVYRNAVSTEVVRLERRPGGAKFEDLRELVAGARGKK VYETGDRDAGIWSAGIAVGLIDDIPNCKDLVLKIDKDASDIIKGMNRLIIDEEEYDTV RAKL I203_00299 MPGQISYTSGSLSRAKKVRIGKCRVKNLPKQLFGRPRYNPLILE EVERRSVTSSSSASSVLQEYEEDRRNEIQWDYSWARDNERERRVRRLGSGAEVHQRRS QAKDQVLSQQVKQSGDTVATLALSRQQTGDQAWKNRK I203_00300 MPAVTKKLANIFSSPSASPRLNPATPTTPVPPLTTSDTSAATTQ VTRGGMPSSCQLFDLFKFMAGGNSDLGLAGGEADSDEDEASSTSDMSNTSAAAQLPTE TTQDEDGLQKWIDETLKETERERSTLSARFTAMSLSNLASMSLPKCHKHRPVLPKVDA IEPSPELGEEPPSVDNPSIASESAAVGVSDISDEEKLLAIKEEFGDIAGLMEGGEAER MLADTKGSLFKGVMMIGNFHLTTHRLLFHAIIPPDSLDPTPTPSGPDVICAGPVTIHR PGLQQAKRVWMELTPEMVTTYPSANESDRVRPIRSVLLSSVRERDPMDLQHPCDFYVT LETPVGLRRTHFTVDTEQSANQWRRSFDRALFRSAKARWRSANGQEEASDEWSYMRCC VPLDRTTIKGISPYHSFATLVGLEANLRNTRQVDSCSLSGKVVQGDFTTEEESAVQKV RTPPAEMLKRSFSSPRGSEHRSASPARKHFFGPIAQTMPEDSTLTVSYGNRLEFNIGV FNEQAWFTKALQTAVLAAAQRRYKPDVQLPPVIFQISGHDVVATDEDLEQTLDSFRTS SQSGESIHDEEDSRGDALLQETRKAERASMAAKVFGLKEDEGVWIKRCYVATNFVPAR GHIILNPHFICFWRRNTIGSDLKYRFRIADIKGATPAPSLRVGFVGMALHIHGHRDLR FEFWNKDSRDEVITHLNALLVNVPRSTTSLINDQKPPAPQFDSMPIPRAESPLSLGAE DAHPADILAPSRESIYHSRALPDEAITYMPFLANKPVVEQIRLTPRTFVCLTIGSRGD VQPYIALGLRLLKDGHKVVIVTHPEFKKWVEGYGIEHRQAGGDPTALMKLSQEHKMFS PGFFKESLGGFREWLDNLLIESWQACHDADVLIESPSTMAGIHIAEALKIPYFRAFTM PWTRTSAYPHAFMVPAFEMGPSFNYSTYVLFDNIMWKATAGQINRWRKKYLNLKSTDM AALSVTKVPFLYNFSSAVVPKPLDWHDDIVITGYWNLEDSDTDWSPSPDLDAFLNKAK EDGKALVYIGFGSIVVPRPNEMTKSIIKAVEKADVRAIIAKGWSSRGGDPAKEGEDIA FPASCFGVDKIPHSWLFPKVQAALHHGGAGTVGASLRAGIPTLIKPWFGDQFFWSVRV TKLEVGLKVPSLRSDVIANALIKATTDVVMIEKAARIGEKIRSESGVDQALQAIHHNL IRAGMDRRNLKWSS I203_00301 MVSTRPSPTPARGRIPYPTAATYTPGDSNTSPAYLGASEPIQKT TSRDSERERRVQGLKNWWKGFRESEQSGGPSNAPRRGVFGEPLAESIEYASVQVSTNG PDGSLYVWGVIPVVVAKCGLYLKENATGVEGTFRISGSAKRMRELQTLFDTPPKYGKN VDWKSLPYTTHDVATIFRRFLTQMPEPIIPFDFYDDFRNVLSSHLSETLSADDAIAQY KSLIQALPRIHLYLLLYVLDLLSVFARRADKNLMTAPNLALIFEPGVLSHPLHQMRPK EHVLSQQVLEFLIEHQDHFLLGMELKPKKKRKEKAPSTVPQSPPLVKADSDMMLPSES DDEAPAGGYYVIEGPGRPTSPASPPASTLPNISAANLLPSPPVKPNIAPPDLMEMSES DEEAPPGGYEIRTGNPASLRATLLAKALARGEGATPIGTGVARRRTLPSRRPGEFVPR LKRTAKEAP I203_00302 MDIDKSLDEIIQAKPKLRRGGKRGGGAPTSARARYASAVPKAAA QAAAPAKPLTAEAIKIIISNLPQDVTEAAVRDLMQSTVGPVRTVQMSYNATGKSTGVA TVVFKNRGDANKAHAAYHNRMIDNQSTEALALAASALVVMLTGHWRRSVEQELDSKRP MKVEIAIDPNQAQSLASRVAAAPPARGAANPRGRGRGRGGARPRNPRPAKKTAEELDA EMNAYKETGTA I203_00303 MSQIADFFYHPFSSAALKLLPKRGVGIRAKSKRADRIPDDPQRP LLTDYHSINDPTIRVRVPKKVPTPVKVEAKVWFANERTYISYLSMGLLLSTIASGLLF GARDSSARWFAFAYALISVGVLVYGWAIFQKRLTMISARDAGNFDLLWGPMFICLALF VAILANFIFRFREARKEIGVNPLSFQNAWYEAGVKSSWL I203_00304 MGLSISKLLNGLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGKYPLSRFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSND RERITEAREELQRMLSEDELRDALLLVFANKQDLPNAMNAAEITDKLGLHSLRQRSWY IQAACATSGDGLYEGLEWLSTNLKRKA I203_00305 MVTAQDTAASIPQDVDQQRPPAPIHQTTENIAPPIDKIIHSIEN IQLGGTAPIALVNAPTTPPDAPMSSSGSVSSTEVNDHLTPLPVHKTNQERPSFIRKSS LSPRMSAPPNFSSPPLPSLSELLVSPPLPDDYDQGQSYFPPFSQHASSSSSTQLATTL DLPLDSATSITDPRTPSDHGLPVKAASDSGTFAVSRRSSTTRSPRIKNTGGILAPHGS FTSSRSRSSTINSNSPRSSITTVSAKTEPHSSSPHEMDPREREKLFKAASKGDQLAMH RLGWRPPKLNHRHTLGSTEDIWGGYQPPSNTRRSSGSSQASLPPTTSTSESTSSPVIP PTTNSYTQSDLFSDAVNLTLRSNALTRNRNASATTTNPTPLLMASEIGGKSMSKKFMG YGTSVDGLSAFVSTFCLPYLLKKPGAGLGPKVGWIIAGDSLFAFIFSVFYVPEIPGRS LEEMDELFEARR I203_00306 MTPTITYILDLSHANTWRAGTCHRGYRHSGFGCSQGELDSFLLV AIDTALVDISNASTSPKYRILALSRDSTSEKARFLKDLSNVEIVQGDLDQVDVIFEEV TAKVGKLYGGSGSALKG I203_00307 MTGEKLVLADPSTVPSFPKEMIVTMDKLSGQYGHPMIMLADPSK TRALFPFVKDLKAWLKGINLAKGNMAERPDFAAHNEQGVRRESGLPE I203_00308 MSSLSIGNDKPLSTSAHPLDPLSADEIRTAVSAVRTFLGTHENV GKPLVRPLFNSISLREPPKYAVLRWSGLFDEQDLEAVGSSGTEPLKRQADVHLICPSS SQSFEGVVDLPSNLPGQKQTSAAVSVWTPLPELIQPSLQTEELIWAEEICQKDEKVRL ACEAIGIKQSDIAVDGWCIGLDERFPGRRLQQCFIFARLRPNDNLYAHPCDFIPVIDS HTGEVLTIDYPHTNQKQGEAHPPSSAEAHGAKEPRERFAPPMSGQNYLPEQIALDDPT FKVRDTLKPLHVVQPEGVSYSLEGRVLSWQNWKLHIGFEFREGLVLSNITYDDGVKGT RPVFYRLSVAEMVVPYAKTIFPHHRKQAFDTGEYGVGALANSLALGCDCLGSITYLDA DFVTRAGGIETIKSAICIHEEDAGILHKHTDFRDNRAHVARNRKLVISSICTVANYEY GFYFNFSLDGSVELEVKATGIVNAYALAPGEARDPSHEVEVAPRIAAQHHQHLFSLRV DPMIDGLQNQVVQVDSVPDDEDVGSDSNFYGNGFKTVKTLFKSSKDSVSNADSAKARV WAIENPNKQHFSTGGNVSYKIVSKDMPPMLAKPGSIVWNRAPFARQNMFVTTYSDDEK FPSDVHINQNPGGPDFGSQQWINRDDNIVNKDVVCWPCFGVTHISRPEDWPIMPVEIL RVHLKPSGFFDRNPGLDVPSSADSKSRYANEAFTKGKVNGLSNANASYRLEESVQFCA RWARSGVKISVVVASCINMHIGYIAWWSQGAPLA I203_00309 MSLQHNQHHHPIESERTRKDDDKLEHLGYHAELKRTFTSWETFG VAFSIMGVVPSIASTIFYNLPYGGPVGMIWGWLISAILILFVGLSMGELASSMPTSGG LYFWTHRLSPPRYRNFLAWMVGYNSFLGNVAAVSSLGWACSGIVFAAASINNESFIST AGQQFGLYIGILVVCGLICAYGTNIFARLQTPSVILNVLLSLVTIIGLPIARRHELNT AAFTFGGFVNLTSWPNGFAFLLSFLAPVWTICSFDCAVSISEEATNASVAVPQAIVGA IGSAGVLGTVILIILALTMGLDVAAVNDDALGQPLAYIYLQAFGQKGSLAVWSFMCIA QLSMTASLILPSSRQAFAFARDGALPFSRYFHHVDTFSGTPVRAVWLVVGCAIPLGAL CFADPINYSAINAIFSLAIMGPYVAYGIPIGAKLLWGQGNFVPGPWHLGRWSRMCGII AVTWMTFAIVLFSFPADTDPDAGTFNYACLVAGAVWIFATVYWFLPRIGGKTFFQGPQ TIEGGMSIEQDTLSPPEIEDPSSKKNGGAPEFHGGSHISPVPSNQL I203_00310 MLDTSTSGSQKRVTRACDNCKSRRTKCEAASGAAVTESNDNQSC QGCIDNGLTCTFEAPFRKRGPQPGSRKRQNPASPPTLSFGTGHSSRRQRLQSDTSGAD QDVLLRRRDSMAAGSSKAPPIPRHRLQKVPFGGVPSSLIDRLLPLYFTHVHNVWPLIY KPTFNPRATSAPLLLSMLAIASCVTRPGSRSDSFPADRLFLMAETSLRECHNDHRIDL IQSLLLLSLRQTGCGDKQSASMYAGRACSMALNMGLNLVPIGEKPAGHPSNMDAIEME IRARVYWNTYVLDKTLSEETGRPFLLTYRKTTTPLPSIEELEEYETWPPPNLSATGYI PRDTHIIPRKGYVMSCFAWTCRLGMIVEDILDLDTSCPAPLNDWDREFFERTPIVDVN VVSDRLTRWREALPSNLNVDTNSNLAPLPHHAVGIAWYHTSCILLHSRFIKRTKHGND LSLSPMVGTAASNTYSHAHLARQICSEAAQANIEILSCLDKYQLLKVASSDVLHMLSL TALFEAFETTNSDIQSANLAKTNFAQCCKWLRDFSSSWPAASSHRLFFEGLIKGGLKM ATTPSGPVFAYEQLSAVAAQTGRRDSGSSGVPLQPEAIPTPTTPDGLRSIRRNLSISE DESTRNDQLQMLSDTATAVSGFNPTNTVLGGTMGISSSPSALFQLPQIYWNSLNTSTN AASNNVSSWDPMNNFDFESANALGAETATDVGVSYEESPALNTAWQSPFDSGREAGQD PMLASGSDPNSVVQSALMAFMMQAARGSQ I203_00311 MAIKQEIEVPAFGKTSVPTGLFINNQWVESSDSNSFSTINPATG EQFLDFAHATKVDVDTAVTAARKAFKTTWGMNVASTERAALLFKLADLMEKDAAKIAA LESLNSGKGIRIARDADVADSVACLRYYAGLADKLHGQTINHFGKEKFVYTLHQPIGV CGQIIPWNYPLLMWAWKVAPAVAAGCCVIIKPSELTPLTALYLCDLVVEAGFPAGVIN VLPGLGATTGDAISRHMDIDKVAFTGSVPTGRRIAVAAAESNLKKVTLELGGKSPMLV FDSADIEEAVAWVAMGIWFNSGQDCCASSRVYVQEAIYEKFLTALKAKAEACAIGNPA DEATSFGPLISEGQRDKVLAYIESGRQAGARIVTGGQKWPQSGGGYWVEPTILADVKA DMKAVKEEIFGPVIVAASFKTEEEALELANSTEYGLAAAVFTNDARQATRVTAALDAG TVWCNQYALLHAGVPFGGFKQSGIGRELGTYGLDAYTQVSDEYL I203_00312 MPKPEAASSRPEASGCNSTSVLSSSYLPAEDMGGIRHETLLAVN TTRYVVH I203_00313 MGELSGLSLPGEQNSKVEEDQTSKALHHDLARSLSKGRKYALLA VFSMGLFMDVVGFSVFFVMVSSTAEDLGISTAEETWIITSYGVTFASFLLFWGRVSDL YSPKLVFTFGFIGLGIANIIISFLTNPIAFFVVRAISGLCGACLVPSCYRLITSIFTK EELSFAFTVYGITGSIANSSGVIIGGALLQIPATGQLVGWRWYFRIAAALILPAATLS FFLIPKARGENAASHSKLKRMDLPGVFLILSASLLLIVGLTLGASRGFSRPSFYVPLI ISFLLYPSFFLWERRLEDGFALIPSRTWQNPNFLLWSVLALLVYGWWAAEFVAQAETY MQVHNDLPIIAGIRLLPQGITAFSMSLVLIKYPAISTKPRFAVIGGFVVSIVAYVLFI LGGARTDSDYWKFTFPASIIGSPAMQIVMNSCNVCAMMSVDPHESGVAGAILQTSFQI GSTVALSIQSGLLTVYPNSYFDIRNIRASWYFMLGWTAVWLIMFAALYRQPREKSSGT RAAH I203_00314 MATTQSYDDSSPYISYVGDWNLQQDSDPFLGRYKNSTFHSTTND GDTAVIVFVGTDIQVYGAKRPNHGFLSGLIDGGEKQYTNGTARDPELYQQVLFEAHGL ENKTHTVIMTNEPFYDTGVGGIWLDIDFFSVNGTPIASESNSNSGQTTASSGNSVAYT GTATFQTVPPSGVGPYSVAGSPTAVQLAVSVAPSLLDNQRPMTDKIANSSSTSLSTDL HLSLLLGMLFAHAILGRFGRN I203_00315 MPAAPKHRPLARGDASEKPSCANCLKKNRECIYNSTPTSASDIS PPIPTSNVPPIPAIPTHSSSSSLDLAPQLDVNSTPGRRGSAMSPFDILQSNSTIPTSY NASPWSQDTSMIGVLPSMMGPVQTMPSPWNEVDITSLLGQGVLDGGLAWTEETELSEV ERDHLGSPLESLRRQEPTFLKRAKEQLDLALKKVDHVFDAIRAGIMIATWYFGLDSHM EGLAMMGLTVRVAIASGLDTIQSSVDYKCELTPGEGRKRDSPALSQLELADRIYAFWT LYLVDRCTSIAFELPGGFDLQRIKTPLPRPWAEYETNDPHLASCDQHVTDLFVDPPPI TCDKMHLPEVGYVLFAIELMYQVSRRPDTEGQERLGHAIASFNKTIPPELRQTATSSD GRPTITADTATLQFITLCTEMLLYSIDSVDQPNPRALEAARKILGVLHLQRDADIGDV NLFAVIICCRVATLLIWESKRLEANGDLFAAATYVKDVQFISTYIQRLAYTNLANEAL KGIERCCKLDLADLKPERDTEKGGTAKQRLTGNVYRRQRTLSRS I203_00316 MTTQLNIYSNTTVAQLLLTVFWKCWWTLPTLAAGTAVEVAGWGG RLWSVLSWEWIPNEGGLWNSARGAYIMQICCLVIAPTFYSAANYILFGRIIAAAGPSY VSLHSQSFSTIFVIADIACLVIQGAGGGIAGTADDRDVADTGAYIMTAGVILQLIVTI FFTGLFAEWIWRRRNHRPSKRQFNPFARFYRKKNAPAAALENIEMSPSATLVEDPAMI NAMKPEGSSASYTSDSAPFVAGGERQLSDGKVKLMCGLISFGTLLIIIRSVYRSIELL DGWEGAIAINEPLFLGLDAFLMATFAITYAIIHPGLAFGRRLF I203_00317 MTPVDSLSFKCALITGGGGGLGKAMAIELLKRGRKVLLAGRTES TLKDTASEIGATGYYVLDTGSSLDEISHTVQQIIKDHPDVDCLINNAGVQKPLHVLSK DYKFDFASADQEININIRGPMYLTVKFVQDHFNKLENGGVIMNVSSVLGFIPFSTINP VYNGTKAWLHMFTTNIRQQLAAEDSKIKVIEIVPPQVESDLHRDRLNPDDNKKENSPT ALSVDEFMQDVIKGWEGNLDTVAAGPGIQVTKQWDEVFGEKLKKMAK I203_00318 MRSTLLSALLVSVAVSAQFGPATTSSSSSLGPAPTESIGCRQVD GAWECAGPRNSATSATSSAAAASTSQAGTPTTSSLSSGVPPPPTESVGCVLHIDHYHC EGPASGYTASTTTNTEPTVPSPTESSNCFWHETHWDCFDSEEELEAAESAEDTGECII HVGHTHGDCSAEDLACGAVLLEDFNMGLHVGGLFIILVTSGLGAMIPLFTGWARRRDQ STDSLDTRVSSVGREHTAFGRRAGSWSNIFFIAKHFGTGVILSTAFIHLLYHGFVMFA NECIGHMSYESTAPAIALAAAFITFLFDFVGSRVAHRKYEHHASGALGSPGNEKGSRA SPEHDHSHDGHDHGYDLVLEGRQNWEVVLLELGIIFHSIMIGVTLGAGSGNGWTTLLI VIVFHQFFEGLALGARIALLRTISKARQFLMALAFTLITPIGIAIGIGVRKSFSQNGK ASLLSVGILNSISAGILLYAAFRLLSCDFTDGPLRDAKPYKVIAALLAMIAGMIGMSV LGKWA I203_00319 MVAAALISAAALAGVALASPINIARELNQNYKTDITIHESCNAT QRRMLEKALSDTFEVATVAQEYVVKNGAEDPVFQKYFGKEKEAYSTVVGVWESLLTSN KDGVIFRCDNPDGNCGQAGWRGHWRGENATLETVICDLSYTDRYFNEYFCMNGYTVAG SPLGTHWSIDLIHRMFHVPVITNELVGHYSEDYASALELAEHNATYSPMDSDALQAFA AHVYAVEVAQGGDACIGQPAESHDHASSASPSSTSSQAASATSAAAEACHTHADGAVH CESDDAASASSTATATAAAAQTSAAGDCHTHADGTVHCV I203_00320 MLSHTTTVSSKSTTIMSSKRLPTTIRSDGKTQFTWELARHSIGE ELVVYPAFEKYIADGKAMADKDRAELAKAKELLNTFQQLKPSIPEFERTLKQLMGELS EHIKGEEQEDMPKLEQSLPGGESAELATSFQRTKKFVPTRSHPSAPDKPPFETVVGLM TAPIDKLGDIFRRFPKD I203_00321 MFSRSLTLLWLTLLVIPSSADQLKISLKQPSHQCVEGCYDALSL LTFGDIKAKKPAMKDQCNSTSFVNSMALCSSNYCTEKQQVAGWEYITAECKDSKTHLE AQDVLLANIDESAVQSVDVFGKSKKYNGTVLLDQRSFNAGYMTIVSEWKKQAYSHAFG FTIYLFLILAVFIGLFNRLLSFSVHRHIATSPEEANIPNTRGSSSRLSRGYTWWRKKV TTPALFGYRHSQPWGWVSIPTRLQSILIFLFLAINVIFTCVGYDLFDDDTEDTNDKQS QLLVQLQYRTGVMCVYNLPLLWMLAGRNDVVLWLTGWSYSSVNLWHRYIARLAVIQAF IHGICYTIDKRNDLTDRFLHRMYWTTGIFALICFILLATLSIKPIRTRWYEIFLITHI ALALCSLVLLYFHLTHMKGKYNPYVWACVAVWCLDRVIRLLRIIVLTYKALSEKGKNT IAVMSNNDSGLIRLSITTSIRIIPKPGHYYFLYHPFSIKPWENHPFTVASWEINDSST TLHFLVAPQKGATKNWRKRVSKTPNRTDSIRLLLEGPYGHTNPVERYESLLLVAGGSG ITSMLAYIHTFKHHRDDLKDVRTKSVTLVWVVKSLHYAMDVLQNELKEFTDNSKGIEG ISVKIQLHLTKDIDSTTSTLVGSPIMSRDNSKSTVGSSPNTSEEKINDQDGSKEAEGG SNTGLKIYHGRPEMQHLVDTALSRLVGGERLAVSACGPAVMIDDMRKAVCELYGLEEG KADGRTLEYFEELFSW I203_00322 MVRTAKPYQRPDSYRKANPDAPGTWKHDLHQSVNQSLASRISSS SSSTSSAPGPRSKASLLNRISGGQGKELLPTASSNVTLHGFDGPPPANLNNPNAGVEL LPSGNGKRPKDSGRGVNNQSKDQLNAALGLGAQRVRDVRPVGRELVTTQHQVSIMGAA RGTTWVRVQNLAVGTTVEDVESAFAPLVILNSKTSPPVNPKTVTVDLELENRTDAEGL IKQYHGVVADGNTLSVTIINQGLKGRIGASMPTAQQSAAPKRNNVGRELLGSDSSGKL YSDTILASNPSSSIMTLSDGSTFTPSTEAAAAVQRSEAWRQGGPPLSSRLGGPRSRGR GQIGGGNFHDMLVD I203_00323 MADHNQPRPRLRSTETSRSHPLATTPSHPSLTRRTSTGGGSGGA QLTPSILLPSGQDLSPSTHDFHPSTYHRPHANTISTARPSRRSGNGLSEAQLLGVESS RRSGHWSNLTSPNLHHHPIVENQQISRFRSPKRGDSSSSRLFGHLDSLSKEELAVVET RFDLMTDDELRQYIRQTPSSNPTPLESSTSESLDSSRDDTAPPRPVPADHPPLFPPSP PASDPVSVPTDHPLKVLSKAIAELREVIERLEDENIKLKKENAEKSKPKTRRKSADQI SIHDGLTEAISTSLTSNSPISEIPSNPLVISSDVASVRSISPSPSNRKIRRPPSIASS LSAPFPSSPITPTIPSTRSSGSPSGTNTPGGAQAKKNNRSTWTSGLWAWSGAKKTISS DPVKEQGNAPIPTEDISTTIHESAIIDEDDPDAWRKGDGGSSPAFKAIFLATRIITPD PSSILLSSEIPSNSLIAYLAHSLISNARDDGIVARDAVTDRRRSRDFSRSRATSIGSQ YPAVDPDKTREGKGAGYGDQALAATASLGRTLISSVSNATIRGTRAISSITDESRPSL LQRTSSSRAFPTTAISAPSAVGQSGSSATSPTEERPLPSVELSSIVPDDIRPPTVTLS RQNLGSFFQNTKTKIATASRFESEDEPLTDRYGFIYDIQHAKMLKDASAAGTSAPMSL NGTLAPAADNENEGWIAKRRRNSHGSQKSSQTQRERKSTEIADSTSIPSPRRSTDSAR LKTPESGSPPKSRSSSHVDTHRHRSSTLLSLNPSPAKPVTAKDHLTVSSRGASSLQPA ITPTSTTSPPLSASLTHSSPIVDSSLSASASRLTVSSLLEQLTETHDRQQQERLKEWD AFLRRRARHIHDTLSGSNHKSDDLRWGSGLIGINQMGMSGKGGQEDWKVFTRLVRKGI PLKYRSDVWAECSGAKDLMVPGEYAEILAVHKDDLSPVHADIEKDVSRTFPGNVFFGG DGPGVAKLRRVLTAYSWHNPAVGYCQGMNMLAATLLLTHTDEEQAYWVLTSLIDRLLP SQFYSASLLASRADQVVLNDLVAQLVPKIHNHLEELGVDLASVTFGWWLSLFTDCLPV ETLFRVWDVTFVEGHDTLFRVAIAILKLNEAEIGATESVSDLFSFISNMTSRLWNADK LIAASHLQHSYKPIIRHIDIVARCEKAVAQLQKEMGDE I203_00324 MFKAAIISFLVASAAMVSATKVEGACYQDNCQRAVQRTWQGPAV YAQHISQCSSALSCSSTPAASTTTTSTTVTAGVTTIYVSAATNTPAPVAAVLTCPTSG IPSDQAQNCDNSWASYSSACSCASVTPTTSVAPTPVVTATITTTVPAIVYVTGAPEAI AL I203_00325 MSNIPSSVPEQYRPGFLPPSIAKQAQDALPGKQVPLNPPPLDDV LADGTKYKAAGKLEGKNAAITGGDSGIGRAVAILYALEGANVLIQYQPAEEEDAKNTK EYISKVAPKAKVELVAQDLRDEKGALELVDKIKKWSGGELHVLVNNAATQNEVEHIED LPSDQWRHVFDVNIHAIFYLTKNLIPIIPWGGSIINNASINPFVGHPKLLDYTATKGA IVGFTRALSNQIVKEKGIRVNAVCPGPIWTPLIPATMTKESLESFGPTPIGRAGQPVE VATAFVFLASADSSYFTAQCFHVNGGSAY I203_00326 MSKWPQPFVSTVSHWQATNRGRRSLYNHNKDAPLPTEVIDYVIA GGGIAGTTMAYHLTRPGIADNKTVAVLEAKDLASGASGRNGGHCAPFSFGALTLLTTP LEEGGAGLTIEEAIEVLDFEKRVLEEVTETVEKEKWDVDFWKGEKVEVRISQEGKTKM NKNYGKWIAARENHPGLKGTQPEWTWNEDEVEAKKATRIHNAVAYSKGPAGSVHPHKL ATEYMKSAMATGRADLYSYAPVLSTKRVDGVWQLEVHDKGTIKAREVIFCTNAHTPHL FEGSPIADYLVPYQAQAANITTPLSYSGSKYLANSYTIEDGPYLMFTPDSGIVMGLAH YNAYKQGVMTKKEIYVDHDAYVTEGISKWLRECCKNNFSDWGIEAPGEGAMRIWAGML CATKNTLPLVGEIPGKEGMYMAAGFHGHGMARIPLVTKYLAKLITTKEWDEGLPLTFK ITEERFEKGKKALPFITEEERDDSLAGKFKKAVGLGGKEVPCVR I203_00327 MSSNPHPHPEIDPNDSPELLSHSTLPHDVAVPERSMVEESTVTG VTFTPELWMQRRHWALDVLRKEGVRSILDIGCGPGSLLETLVVPPTTIYEPPILSSQA SSDEEDDLLQGRDLFIRRLGGLDASPSVITSALKTLTPPTNSTIPRWEPLTTELWLGG IEKYNSKLEGYEAIVLLEVVEHLDPDVLNRFGVVTFGTYRPQLLLVTTPNFDFNAKFP RAEEHDFAKKGFVDPTGRTDRVFRHSDHKLEMTSAEFRGWAEAEAANWGYDVEVSGVG VSSHPSYYPSDDPSQPGQPIYASQTAIFRLATGLPLRSPRSVRTVELPFMPNSGESSH SHKLAGRFIHPANAPGDGKRTSQNEVITTVKECYQNWNIDEVSVDELWGVHEVSGRCA GSKRYLVSVLGGFGDCTAMHGAGDEFEVKKERGRGLRVKWKYFKSG I203_00328 MSNPKINGDNTSVRSHPNSHIHSEKDTKAAETIHHEHAPSTNTS SAPNGNDNVQIDYTPEDRDARLAARATLQNALHGIPKAQLIKESDEFCDAHGLEEHKD TFRKGALLAQRPGEWAAIDELNAEEKAAIEFEHKHKWRMSRDLWWVVGACAMGAAVQG WDQTGSNGANLGFPQEFGINRGLDEPGGAHDQWILGLVNAIPYLSAPIIGCYSSDPLN NLFGRRGAIFISAIILIATPLAMAFTHTWQEFLIVRIFFGIGIGLKGSTIPIFSAEVA PTVVRGALVMMWQLWTTVGIFIGFAANAIVRNSGDITWRLQIGSSFIPAVPLAMMVWF CPESPRWYMRKGRMPEAFKSMRKLRKHDVQAARDLFYAWVQWEAEKKVIGDRSMFRRF ADLFTVPRIRRATLAASVLHAGQDLCGINTIAFYSSTIFVDGGASNVDALYASLGFGA LNFVFTWPAVFTIDTFGRRTLNLFFFPLMAVSLLAAGECCMAFYIEEQRIRTGIVALF IYIYTIFYSIGEGPIIFTYSAEVFPLAHRELGQAFPVSINYFVNFLLSLWFPFQLKQF GGPGTFGLYTGTNMLAFCLAFLFMRETKQLSLEELDQVFSVPTSVYINYQFTKWLPWA FKRYVLFDRKKQLEPLFHKDKDIKA I203_00329 MQIETSPISDRTWLMHLLQTLIRPLRPALVKPPSNLERKLEWSK AGSPRLSIPRSVRRECLVTERSVDNICCYDLDYKQPGNGEQKNGRLLYFCGGGFQAPP SSQHWSFVVELSRQLPHLHITIISYPLAPRSTASTAISTLLRVYQEISTQSLDLHLCG DSSGGNVALALALQVLSNDTQMIAPKSVTLISPVVDCSNNNSEMEKVNKVDPVLTMEY TGEVASKWRGEVAPSDPIVSPIQGNLLLFRERGVKMNGIIGTWDVLAPDTMRLMDRLQ EVGAEGQWWVAEGQMHCFPLAWRYGLKDSVKGKDWILHVLKRSST I203_00330 MAAPFNPPTNFDSKPEVPKWVPPPPPTEDLEYAKLHSIDLSLLD SPDPEVVKQLVETAKIAIGDDGFLYLVNYGINLEQLHRQFSIARYLHRNISEEDKEKL HWDPQGGLYAGYKPPFGWRTIKGKYDGISQFNFYEEEYKSLDKIPECIHPFMDEISAF TEYLTRSVNKRLLTLLSKVLEMPDDFLYENIESKGPTPINEGYLRHALFHPFKNEEKG MGEGLRMFGHTDFGTTTMLFSVPVTCLQLWGRDGIWRYVKYAPGALVINIGDTLELIS GGHFRATRHRVHNPPPSQETFERLSVVLFNGSKGDLRMQPCWDSPLIKREGCFESQGA YKEFKVLQDKGIPIPTNKEWREISIINTRHPTDEPEKLLKEVTVDGVKYIEVINQGVR VLQPL I203_00331 MSAATKVTVYNDEFPLKPHNSPAVKIGNLVYCSGQVGMGEIKAA TRESLGNLKKVLELSGSSLEKVVKYNVFLKDMDEMLTMNEAFVAFLPDPKPARTCIQA GKLPGGPNASIEIECVAEL I203_00332 MTDLNRFKLDNLFSVAGQTVMITGGGSGIGRSLTTDFAGDVSTK AGAEAVIKQVEEKLGTLINCAGISILFKTPAHQVSDPETVYPTLSSVEDEDWIKSHQV NVNGPYYMSVSAIPLLRKWQNPNVVMISSVAGLAPQSPDAGDASENVWQNVGTAARMG TALALHRNIATSHVPVMVLV I203_00333 MDRWTAIRTGRTFAIDLAECDAPLPRHYADGIRDGDLTSKTEPI FPCFRFLMEFTSLSRVYCSAELAADDLNLLMLQVDIDNWLAQLPQSWPYSIKLVLRQA PLLMNLFIVSLEFTFQRSFLWPSTPIPSQISFRPSRDRWVNLCQRAEQAAYWLNSPDG AYYLDVWSITVYAAFCCVIIHLKAYEESKDPHHQWLLDVSNTIIQQWADQQPDNPVRR RLASMSDLMTSINKSTNVPSTSTASSSNVLAGIPLIPEGTYQQPQSQVDVNDLFNNHP SASSAGGASSHGFDQYSFNSLGTDFGGGLSGTGAGTNAIETYNQLTLLEQMGLAEFGF GP I203_00334 MSFPTIESARKFATPNPLKEKLDAGVLAHALSIKIVRSVEVLGF AKAAGYDAVLIDLEHSPFRLETTNQLSCAALNLGLTPIVRIPANTSDWISRTLDGGAQ AIIVPHVNSAAEAANVVKYARFAPLGERSATGTMPMLRYANVPAKFANPICYDLVTVI CMIETVRALEDVDSIAAVEGVDVLLIGRGDLTSDMGIPGDFSNPRIEEAFDRVSAAAQ KVSVNGRKVSVGFGGLHNRLDLVEKFAKKNGNARFVMAGADNTFLLQAIVDGGSAIQK VEASIKNA I203_00335 MADTARRRAKAIVIGAGVGGTATAARLAHVGFDVEVYEKNEMSG GRCSLIHHEGYRFDQGPSLLLLPPLFHQLYNDLGTKLEDHVDLIQCDPNYVIHYHDGE KVTLSSDRAQLGAEVEKWEGKGGSARLEEFLREAGLHAQLSYEHVLGQPFPNLLSMLR PDVLINLLKLHPFGSLWGRCARYFRTERMRRAFSFGSMYLGSSPFDAPGTYTLLQWTE TCEGIWYPKGGFHSVVQSLVDISQRFGAKYHFSTPISSVTHNSRGRATGVRLENGDVR EADVVVVNADLVWAHNHLFERSGVGIGQDKEKKQPLDPSLAKRLNDKPHSCSSISFYW ALDSTIPSLNAHNIFLAEDYKGSFDDIFKRKGMPREPSFYVNVPSRVDLTAAPAGKDA IVVLVPVGHLHNTTKDQQGLRTFSEGSNVPDQDTQDWPALVDRARTQVIEVMEARLGI KGVKGKIVWEGVNTPQTWKDKFNLTHGSILGITHDFFNVLSFRQQARHPSLKGAYFVG ASAHPGTGVPIAIAGSRLCTQAILSDLSIPLPHTYTHPISSINRSNPLNTIQHSSILY TLENVSINSLPYIIGSFITICGCMAYTLYYKSPPGFIPQRNIDRFTWSYLRSILTTGD KEIDLIVLIILTMVSVLLLAFRLVPPGEPQWMTDARENARHNENMKKEKMTYMEKQLQ AQQEWLDSQSKQNK I203_00336 MSLADSRILPNLYDGLATPPILSPPLTPTSKTRYHYALNIHVTI TPLSPRSNNVSSSPIRSTSTSAKPNSLFSPSIVYPMAPPSTLVDLPLGSLQPAQLPPP CIIVPANRMNLKLNSKTEADSLTRERKGKMPALSHLLSVGNGRGLSIAADEKCIYAGC QSKDNEITVFSRASLQPMYRLMGHEGSILALLCIEEKKWLVSASSAGDVRIWSTRTLE LIYIIHPCDDTAGDIYSLAWDEREGGTLYFGSQSASIEWVNFSGPGPIRRRRVSAAAA STVEVVPLSQNGVDPAQSRPGPSQRTGRYKPHHFFNNPPEGGSCSGSGTNTPRSPVIA SSGTPTPGGSGRREFFTSADLDKLSLNERAEHPATELEIGAESRIAFAHYGYTYALEL ISRPHGRKWLVSGSGDSDVKIWDCESGGGGLSLVKTFDNLPGGVLSFAVRDSLLYAGL QAGEIVVWDLETGACIRTIEAHDADVLSMSVLGGDVYTAGADGRVLRVNEEFDCTAVW KAHAGTVMSTVVVKGTRPGGWELITTGSDSFVKIWNVDLSKASTHDSEVDVEGEGDVM LYALSKLVAVPTVSDEAHRESCRQGAHLLKKILSQLGATSEVLSGEQGKNPLVLATFT GRDIGKPRKRLLFYGHYDVQPADEEKWETNPWELSGRNGYLYGRGVTDNKGPILAVAC AAASLRQRRELDVDLVMIIEGEEEAGSRGFASTVRKHKSDIGHIDAVLLSNSTWIDEA DPCVVFGMRGVVYANLSVSSKTEDLHNGVDGGSTTEPMFDMVKVLGALSDKDGVKVPG FYDTVRPPTEEELSLLRDVSSASGRSLEELVKVWRQPSFSIANIVSSGSGNKTVIPKK VSADISMRIVPDQQLEDIVSDLKDYCKKVFEELDSPHSFEIQVTHSASWWFTSLDSPY FKALESSVQDVWGVKPLKIREGGTVPTVFWLEKEFGAPCVHLPLGQSSDAGHLANERM RLLNLRNGKRVIESYLARLAGI I203_00337 MAAVLLFTMVFFIIMFSDLECDYINPIDLCNKLNQFVLPEMIAH AFLTLCFLLSGQWLAFLLNAPLVGYNVNKIMAKNHMYDATEIFRTLSGHKKESFIKLG FYLVSFFYYLYRMILALISESD I203_00338 MANPRQRSKAKSHKSTKPSIHRIRKLHQKQRRAPPLKGPEVLQN GWDKKKTVFQNYAALGLLPSIPIPSSASSSRSQRVKLPIVPAAEEEAQPKVGFGRIIR DEEGNVIDIIIDEDEEVQDQEGNVPFEEDEEEREKVQGKTEVVRQLEALSSTSAPVKR HSSTSEKTWLIKLVQKYNDDYESMARDMKLNVWQKTKGEIKRMITKAGGVEKLRNSS I203_00339 MSNIVHSLEGLVEAGVKGIMEVLSPTSSNGELPPIQDGEVPPPR PLTIPTTTTTGAVTATPGGQSSSPGSKLNLPKQDQLIQVLDVPSGWIHLQSTYLDGDL GQKTVRSFSIRNLVGEREVEIEAESDLEGQLVFWLGEEERGTSSSASSTSSSSTSAGS PSLHITLPPSTTITIFFAFQPTHSVPSTPANDSASLFDDGGYTPRVKPVLSRTHSSEM SPVGVSSPSDGRSSELSSISGGSVAGSMKSTYNARRPEPVHRAFSVHGSITIRAITSS SSLSAIDLPPAQPNVAHQIVNLPFFATVCRSLFTAAPIDPTQGLVTGSQISSGELVID FGTSAVVGGRYHRDILLVNRSEIELVWKTTVVSSPYKEAVWFELRDLDSENVFGVVDV DHSSQPVPLPSLSSRHLRLEMRAKTVIQNFDFTFLISNINQSGNVISCKAIGTILPEK QDDSLILLSGTNLDFGQVIDGIWSKQLITCKNNGDKPIDVKFSATKGYNVVFRLAGVA GEDLDEDIPINLDGPRAMSNAVSSTKRTQDIGSSLSRTSTKDDVDSNPTSGSASGFVE HDQASTPSRELSGQRDHSQPPSRPLSRVTSRTSSYLLHTAGDELDGESIEDDEDDFEP PFFGGGENTNNVSRSTSSSIGTGTSRALGTMTSIEQNIPNQIEEMTMRPGTEYRVYVL HRPEIDDKNPPEIAGKLRKSNFKVYLDTVSGPQQHHSRSTSGNSSRITISCSVEHCTS LISLPEGKVLDFGKVTVGSSQTKQLKIRNLSELSTKIEIAAISKVISLSSNKNIIVIP PLEEVEEKLEFFPRRINENYEKECFVRNLLNRRNDQVLEIRSQNVDVYNLTLHSHLYR ILTPSGSNFLDFGNVVINAPTVRTMHIENLSETQLVLDLYASQPEDIGLYVKAEDEPL MPGKTKAPPGKYSEVDTALERMTSPPNGELKERFMETLQELSEKNAKGGSANRSKSKV REKSVGKAKKEDVEKDGKSVGQQVAVALKKGGRGRPVQLYGNSVVFKDRTLLEPHEYL DLASGPPVCAHRSPRAKRFALLDTIELEDRTKLSGRHDKIPKLDFAAVAKASGLVGKG AKTKKKHPHQHHHGHGNAQSSVPSEVPSPMQSPGQSGNTSALTSPKPQPITVPQTHDP SPVSVPSTTQRPEMHLNLATLASQVMQRIIPETGGRKSPALTAKRPIDMKLTEVTSGA PTDPSKMTVDELLLAIERHDSLKSSITHSSLEEEEAYVRRTIALKKELQNVISSGKLV PARTVNVGPKKTKAVIVVMTPNGSTRPHVGVRAKRADSRIFIKLVEFDKSLLDEAGRG TCNVTDQHGKMDDKLIEKITAELPIRDLIIRSSCVRSVLEVQQSSINFGGCDKGEIKE KTIVIHNKSDTIGLFRLRTSGSIASGDLKLGLGRYGVISAFGRKEVKNFSFTPSLVGN YQEMITVENVLDGYNDQNLSVKAVVRKIPAFKVEPDNLDFGIINLTNVNLKQGNTSTS LDIRQSFILTNISKHERTFVITIEPKVSSSFAQISLTRDEKDVGLALSKVEEEEVEAI LQKLKIAKRKKKQEKIEKYEKRLMELGIGMKDLKSDEEDGEDGLPLAEAEIEGKGEKK EKKDKKDKVKHRENEQPQLPISTREDPAAGDKDVSCDNSANPNPLTTSLSLTLAPSQK TKILVDLVPQSQNTVGDRDNASGGDSFDDVKATITVHDKRNTDETIAISVAAVRGTPE NTHKETLEKAVKMADRARNTTTDPLALALMQHSLDLTLNCEVSPTAFCVGSTLFLPSS SSHYSLLETTGKFGLFPTGPEGAGQSKGLILGDGWSRQIPGNTHAEANALTNFRTKYG ELQASFGGWGSTTSITAENRDETEASKPTLPSIEEVLKDADCYATMEPCSIRTSGGPS CALELVRAGVKAVYLGVEEPPDFVQCEGVRILEDGGVKVIRTSGLEEGCLKAARRGRS I203_00340 MKIRVKEVLKKLESDHVPTLSHKELFLATTDLLPVTDEKKTWDS WTFVGFWVADCFNLNTFLIASSMITAGLNWWQSLLCVVIGYSMVGPFIVLNARPEAVW GLVFPAVCRTTFGVFGTFWPIFTRATIACVWWGSQCWLGGQCVHVLIRAIWPSFTSIK NTMPASTGTSTDYVISFIIFWLLSLPTIWVPIHKLRWYLFAKAIVGPLAGLTLLGWSV KRAGGAGPIFSQTATLKGSKLAWQMVTSISDCFGNLVTLIVNAPDFASRAKTPSASVW SQLITMPLGFSITSFLGIVICSSSAVQWAQPIWNVIKIMEAMLDGAEPSRRAGLAFIA MGFIYVTLLMNVVGNSIAAGCDFTALFPRYLSIRRGGYIAAIVGICMAYLIMMIFNKN PWLLYKSPQTLTKFLAANGIFLACIAGPMISDYWLVRKVHVRINDLYVADKKGWYWYT AGMNWRGYLGMLCGFAINLPGFISTIHPSIKVSQGAMKLYYLLWLTGPGVSGLVYYLA CLLSPPPGMSKIFEEVNELAGEPRVDQIVQTNGALTSAAATMSALSTAPEIEAGPQRS EREVRSSNDIADFAIRNTGDVIKRSVTR I203_00341 MFGRSFPLSLTFLLALAVPSMVSAVTYNSEFASCTTTSYVPNGG AGSGSWESATDCAEFCYSRDTTYIYSAWLSTTGGCSCGSNTFTTDVITTGNPGGCGSN YEVSITHTTYDFYTCTNNYRFDTIGVQSSSTDFYAIFTACRNYPLMAIWPTSDNTYLY ACGDDYVSTGATTTCAYQVNRIYTHPADATASGLARRSLVERRRLAEQETMQAYWCPK GFTPCQLENDADSYECIDTKHDLESCGGCLYGAYNPPGHPNTTAAMGTDCSSLRGVTL GHSSCIDGSCQFDCKKGWELDGDGCVRTRK I203_00342 MPQQSAQSSSGTDPTAICSCKRTDQPYITVPGSQQFCEELCKND PSCHASLSSHSQNMQGNYGQGGTNEGAFETFDSMASHFEGAQQGSSENLGGNFNFSAP SRPAERGTAAYDRIQVSGLLDMQAQVASMSPEQQETFHQWLGHYTRTGEEWYNPDDGQ HNPNAG I203_00343 MSLQNSTFSQLPGRPDLSSAENDANTNDTQSITVYSKSRSNSFL SGLFKKSYEYRSLTLTRNSTDGALWLERGNEDPTQDPNPSDTQEIVFLPSQESYKTLS QFTSESSRTGYLLLSPTGIGVVDGVTGWGSAINPLHLIPHSPSNSCSQDNGKQYHEKR LRLADLTDDWLADWREWRRSYRSNPSTSIRPKELSREILSRYSDCAVVSANKETIEVI RPFLSKGLTREKRGLDDGLNVKGFVR I203_00344 MADTTSSRPFSTSSVISPYAALEAIAGGDSVAHSSPSQQSRSRN ENHNHQHRPLTPLEDTTEEPESDGPFLVRARFSFQATDHSALSFNAGDLIQVFARLES GWWDGMLDGQRGWFPSNYVEEINEEDLQRLQEQDGGLPFQQSEFPEDVLRMDDVLRGG WGDWSGDTGLDQLAREMLAGDDDGDDGQAFAEVARRRRAQAEGGQGLMIPPPELNEFG YSPGRRREETENTIRPSAASTHSSSTQHPLSTKGKQRNSVQGGSSASQDAWIPSITPD GQVYYHNTQTGEDSWELPMEGMELEEDNSGFTQNDNDFFAKPSLSSDPSPSVQSDDND FRPPPKASADIPYPWVAKLSDDGREWFYYNRLTGQSRRDLPASKGDATSMIDVGVGMK RLSVGSTSRPMRASVEIQRKAVEEWERKTAEALKAVIQPEKKPTMGLLMDNVNEALRE IFEASVAGSAAEEEMSRAEDLGSESGMIAALMREESAVEMLATAHKNTLSAIRQLLNS FGYVGPLDRMEELPRPSWVGDMTLIGSIGLLSANTHAAVTSKRVPETGLSIWAEVMRS ASKVKDVIANFPNTALAGTTQHSGVDNAEGSRIDAWLGYDVIGEPLSGKWGFGRTDRN EHDWHILDQSSVVECQRLKNDFDNALRNITTITIGTENGLMDLIRLSTKFQEMTGSLD IASVIDVDGDTGDLGNGVRSREDDLREYEHLVDQARQAITDLDNSSKQINQVSFSLLQ KIDSPLEDVRPILELLSVGMTTAFRALPTLLIISGEQVAAVDQGLIRGQIGIRSFRYH QSSRRQSGRPTSMISSNSRTSFSGAGSSSRRHRVRGLEEEFLDADDYGESRDQPAQMP LTSASASTTSLAPQRSRTSSTTSLAYQQTESDSGSQKGNRSSILKAFRRNRAESDADG RGTQRNKTPSKKLAKLLGEDMSQLPINATVPPPAPPETPWYLSDDFAPGEIIFDDKGG VKAGSLRALVVRLTQHSSTDTPFFQAFLLTFRSFTNAHELFDHLVERYNMSQPDGLNL EQAQEWKVKKQAPIRLRVANALRTWLERHYIEQTDNEVLDRIEEFANTTLLANGSELM SKQLLTLVGKRRQGEPEQTRGSASGSLLSPPAPLLPRVTGRQLRLTDVSPLEIARQLT IVEFIHFQRIKPSECLNRAWADENTGSINAPNVRNVILTANRMAGWVALHILSSKDVR QRATAMKILIQVAAECRNLNNFSSMAGIVAGLNSAPITRLKRTKELLSAKTQSMKSDL DKTLDSTKNFANYRDMLKTINPPCVPFFGFYLSALTFIEDGNKNFIQPGGPNSNNTAG MKGLTNSNSNSSLTNNLNKPLQSSTSSTLNFNGTAVQQQPLINFFKRALNAEILRDIA QYQSQPYNLARCKPVLEWIMRGLDEVEKGGDLYEVSQALEPREKEEERITRMLHDSVG I203_00345 MPNYDEDEEDEYYDNEEEEDEDGINGVNGDEDDNDNEEGMADGS DDDGSDVDDDAEENDNDEDDDDEEDDGDNDDDEEAEGEDNEDDDEEDDQNEEASTEDE AEGEAEAEGEDGDIVMDGGDEETRSVKKSRSPSLKPISEQNLPQPPHLIRRSLFIPSF STPPKSLSIEAVAGIPLPSPVHSLASTSCLSYLLAGSQDGYVRAYDLWGSVNGGQMMT AQQRSVVGLGETINKAGVGRGWWANEVEGINNGSVGKRAEPVYSMACEGDGLFTLTGT QSGPINLYTLRHAPGHLVHSLKGHTNVVSCLILLPNEKGLLSGSWDGAVREWDLNTGQ VVRSYPTHGAQLSSLSLRPYTAPASPTPSPRRQADEDQVDGDENNTTKENIFISMGPE FFDKKEKEDEQAAEDALPVDGADKPETNGDSIKEENGIANGDVEMSEAKSPSMDSLFG GDGDDDLDGEGETVPPSIVPSKAPTPLRDLTPTPPVQNKPKGPGLALPGQPKPPRLTA TEQPTPATSSTGAAPLFVPQLAGAGPSNSRQAAAHIPLLSHTSYKAFSDDVLLTSSMD GQVVLIDRRVPSHEGTGVGVGRLMPGERAPPWCMSACWSANGNQVLAGRRNGTIDIWD VRRSSSARSPNLLTTLRTPAESGPISCLVAFPNGNHIATASQDNVRLWNTSEYFGQEE SMNMKKRSSKPPFKIIAGHHGGTISSMIVDPTGRFLITASGDRGWQGESTKVVLIHEV KW I203_00346 MSGTGPRESVFPTRMNLTLTKGRLKGAQTGHSLLAKKRDALTTR FRTILRKVDEAKRLMGRVLQLASFSLAEVTYTAGDIGYQVQESVKKASYTVQARQENV SGVVLPAFDGVRSKDANFNLTGLSRGGQQIQKCRDTYVKAVGTLVELASLQTAFTILD EVIRATNRRVNAIEHVVIPRLDNTIKYINSELDEMDREEFFRLKKVQGKKKRDAEKAN ESRQVQNAEFTEGGGELHRDEGIGGGEAGGADMLDEGKDDDVIF I203_00347 MSHNTTSAGIATSVAPEDGLKVPVAETNVFETQIDDDATEEIDE EYLNKPGYIRFYRGVLCQMLLFGAVSFVGPALADAMSNLGGNGLSDPNLANLAQALNY AGTALMTFFGGPLVNKLGVRWACLINAVCFPLTGSASYVIAKGGPGWYLVFAKIVTGL TNGFVYVSEGAAMLTYPRLHERGKYLSIWSGMRNSGSILGGIVALVTNYKTAGAGGVA WSTYIVFMTLESTGWIWALLLTPSEKVRRNDGTRVPISRKISWAEELRALFKHFSNKR LWLIAAPAFYSFFFLAPFGTYLTVHFSVRARALSSFLAPTTAVATTLMYGRFLDLSSL SQKKKAWIGMVIWLVPQIAALVWVNVKQWTLPKSTAFDYTITFSTDLKASTRTGGLFR ACECVGQTVSFAIGSNRARLSALMALNAALMVPAVISLAGLISIIPAAPAAVDDMVDT EVVEQVDQKHV I203_00348 MAPTAPTASSSSRSKPLPKRSEIAPTTQSNAGRVTRLRAAREPT ASPAIGSRMGAPTLPRTPGLLAKGKEGLRKVSGKIGQKEKEVKKATVEEQNDHSESLQ AYLRIRPSPADSEGHISTRPYLEIQSETDVLMRAPSESSRHHIPKPPHIYSFDKVFPP TTPQSSFFSTTTLPLVEKLLQGENGLLFAYGVSNSGKSYTIQGGSTHSAADRGVLPRS IDVVFNSIEGLKSNANLKPQGLADVMLSDEQDEPIILHDPLAASEPKIEDVAVKVDRN FSYAVFVSYAEVYNEKIFDLLDSALPTTPSTPGIARSRATNAGLPRASNTYGFPGALN SSFNLAAMANGGGGILKRHALSLKNDPEGNGKYIAGLKDVRVRTREEALAVFRSGQSA RQVFGTIANRESSRSHGIFTIKVVRIHNGAPEDPDSAQVSRLAIVDLAGSERTRNTQT TGDRLKEAGNINKSLMVLGQCLEVLRSNQQKMHQSGSGVAESKKKLAVVPFRHSKLTE VFQNFFVGDGRAVIIVNVNPYDTGFDENSHVMRFSAIAREIQTTASNKVGTSGFPLLK RQISTQFSALRNAVSGHAHGHGHGPMKIKVTVPVLPKPEDNSKKLAMDRESQGFVMVE EELEIVEESESESDEEDKDALVEYLFDQLKEMKTRLYESEMRNASIEVEVREEVAKEM QESLQRMHDDFSKHLSEQVAANDLKTDRKIDIVTRTMTPAIHRITRAPFQPGSATSSR ISEDVSMEDPDESFESAIDASLMTLGDDSVLTQESDPFVMRSPGLPQITLTRDSFKPV DLPDSPSPAAQNHEDDVSAEADTSIEANTTIETEDGEEVEETTKEEIELESEVEDDDV EAVKPIGESVSSDEESVLDTDEDEDEEEETESEEDDDEDADEEEVDSDESAFTLSNSE DDAEEDSDDSDDSSPVKKASSTRRTTGSPKKPGRNLSPVKKATPAKPKKPNTPAKSTK VSTSTPNPVSGPSTPAPLSERISQLQLSEDEDEMPIKTTTKKKRTLGKKIVTEDEMIH ADQVKMRVGGAEVRRMLRG I203_00349 MEKWTPSLWLTATSFEAYQDTEGITQPAGKRLLEVSGLVPAQPL ISSEKGYQTPISNSALDDENEVKVLDIGSGLGQVTNALLRSRRVSQHVRVVAGEIDDS LLAHLQHKKEQGIDGWGKVDVEKLDVKNLAKPDNTFDYIYANFLYFLLSDPLAGLKES IRVLKSGGTLSLSTWGCSGPLQLLQLAISLLPSYPLIPPSPPPGGAWSHPRYIQQILT DHGLVDIQIEPYEFVQTADSPGDMARKMHPVVPILTAKWGEERQELGWKVFEKVEELL RREQGEGPVKIWSVALVVTAKKPNE I203_00350 MSSLAEQLEKAEKALEAARERGSSSVEAYEEKVGKLKKELEKPQ PHPELQFGPIAQSIAVMVALSLAMSAVPLPYEMIPFYRLFSTITTIAVICYVIRTSII YYGYQQALEKLPKPVDPDTERFKKLTASKKPRQDLWAHTRSHPSAFLTTKAAAPRLFP FPLGKTRPDAAIKDELWWEGGNAPHVGHFNRPKLPQPPAPDEGVLMKRVQASMKREDQ EKMWRKRIRSIQLLCLIVIMSFVNQKIAIACLCYLIYHTLSTEIQAMLAPPPDMEQVW RYIDRMTQNRNESAPKPTQMTGGMSYLYEKDNVNASSVKELANVPIEMVPPHVLMTTQ NHWFAGPGNEMKGEK I203_00351 MNGYSSYNGPSTSAGVPGGIDVPLGQTNQPRLLVRNLNSQETTF HLSGVELAYANSLRRVMMADVPTIAIDQVLFLQNTTPIPDEMLAHRLGLVPLISRSVA KGLRYTRDCECDEGCYYCMVTLKLKVAFRGADGEKFMRVTSDMLEVVPSTGGPPAPNP YGPPPELSEDDRQIINNRDVELGSPVGKGQPGVPPILLAKMGQGQEIDLVCKAYKGIA KHHAKWSPLSTVAFEYDPHNKLRHTTHWFETDERAEWPLSSNAVFEPPPDPSAPFDYN AVPSTFYFTAESVNSIPVRSVVEQGLDLLIENLASVVLAVQKETGVDEDEEDNENQEG GVMEPDFAAEPNGQMDGYGTNAGGYGGGGGYGGGGQWVGQGSGMSPLRR I203_00352 MDPFFLPGYFPSKPLYDPFVHNPKGLPGHILFPIFLIIVAPWTL FFVMLIQRTTMRPSRRNTAVVLVIGDIGRSPRMMYHTSSLAKHDIETWMVGYGETKPI NELISNDKIHIMPLYEPSKILNQFPWIIRAPIRVIVQIYSIMKLIIWEIPINTEYIFV QNPPSIPTLFLAQFITLNTGSKLVVDWHNTGYSILAMRLGMRSPIVKVARWLEKTFGR SAYAHLFVTNALKEYLVKEWELEGRKVVLHDRPPSHFDRTSPSDQHDLFTRIFPLLDS PLPPSLNYQSEHSTPFSRMISGEVTLRDDRPALVVSSTSWTADEDFSLLITALDDYQK TINSTSPTHSLPKLLVIITGKGALRAQFEQTISEKEKEWRDIVVRCVFLLSQDYPVLL GSADLGISLHTSSSGRDLPMKVVDMFGCRLPVLARNFECIGELVKDGRNGRVFGTGEE LGDQLIDVLGGFPKSDKLSELQGYFKSSRSDAREAEDEWSTWDENWDRVVYQGLISRP RSRT I203_00353 MKDNGKESVRLCEHIVRQAFGDVISRVASTLLNRGRLPLSTISR LSALPKPTTSAALIILIQHDLVQTNGASYKDTGEEEQYEFDTLACLLRLRWGRILAIT HQSHDEVALEVVRTLMIYGKLKVPDIINTCGGSNDAMRADIVNNTIIALVRAQFIRPT SPELHILESDQVLRRYRRHREEMKQNKGTAMLSANDLDHCEKNAQYEIIQERESLQDI RRVLIERPKIDKDKSKKRGKNKAAFGGGGLDEFDYSLQQDVYLRINHDRYGILIRNEL IVKAAEERWNKSFGIILKATLDAALNESSRLNEERTNDSIGINEIVSLIPTEDYKYLT AGLLISSKSTIPDIVRNYLNILSGDDGYSISGNNGNFLRRDNGTNPGYIVEFELICRR LKQNLLYQLVREKLGDKAARVLAVVNKSSKAFETTVRDCAMIPLKDARAHLADLQRLS LVETQEVPKTAAKSRMGLPTSAEYHLWAMDEARVYGVLLTNVYKTLGNILQRKSEEIE NKKIVLARESRVENLEGGRGLLQLKDQENLLELDDYLKKLTLAEGRSEINVFILRDLP GSPGQK I203_00354 MQSQSHHRPEEWLSAWYCLRVSSDLVDPTPFLFHSVEPSPLPLP LLQSGGSNEKSQKEEEPSRRCIPIHSYCLSLILQTIRKTPYSNARSHEESVLLNWSLP KWTGYFPWSDLTAKEKEEAFAVPEGLTGKDGQRQGMVMKPGFWGGTWDMRSRFARVGD HLAADDLISPLNIPPPTSRLLTPPFYNSYNVDRPNQPPNCKLLDLSLPILNRIIEYIL DEPPIPSSSSHNDKNQPKSKVTSFLNPQSVNTFLSFSQTCSTLYHLQIPSYVWRTLVE DSVKVYKNGLLQRWRANPTGVGSAMQLWESSENDFDNSVNKVIQQAIKNNQNQNQDQD RYASVEGQQGVHSGYDMKDVWLWWNYNLQWKSKRRIWKCVVHATATARDADWW I203_00355 MTTQTSGKSVFFTGATGYIGGTVLEAILNSPQPPKLVTLLIRDE KKSSGFQSLDAAKKNNVEIKTLIGSLEDLDKITTAASEHDVTIHTADADNLEGVKAIL KGQKIRKDKTGHRPLLIETSGTGVLVDNAEGAYPNDIIYTDLNPTPATKDSPALLSMT ELPLTAPHRNVDLEILAADKAGTIKSYIVLPSTIWGKGVGEVYDKGLSNSFSDQIPTL IKAALDRGRAGMVGEGKNIWPHVKITDLGSLYEHVWLKATQPNPTIGHGPSGYYFGIS GEYTLFGATSRIGQALVKNNLIQSKETTPTTFTEEDLKKYYNGSSFMGTNSRGVADRS KSIGWKPKYTEQKDLYDHIDREVVRVQKEFGNEYKVKKFTSSY I203_00356 MFDALTKVITDAFSGWSENTTNSTDTPVDVDAYTDDMATRSTKY KYTLHPQMNWHTGGRYFEYSCKIRSNSSVNARAGVGGEEEEMIRQALQRQVDKTVFTL NSNADYTSYPSNAGAVISSTQLFAYSAKKFEENTVNKGLVDVETFQTELGDDLKD I203_00357 MSFSSHFSSGSSPFDEDLATLSNYLEVVTRHIKIDWEINWDTKT FGGYAELTLESRVEGLQEVKLDSSFLDVKGVEVNGKSVEYSLDSRIEVMGEALRIKLP KSLNKGESITIKITYSTTPQCTAVGWLEPAQTKSGKHPYLYSQAQAIHARSMLPCQDT PAVKASYEAKVRSGRGSEVLLSGQRKGVKELAERGEGWREFTYEQPVGIPSYLIAIAA GELTHKPFEDLQGRNWSTGCWTEPLNMEKAFWEFHKDTANFVKTAEDLTSSYKFGVYD ILFLPESFPYGGMENSCLTFATPTIIAGDRSQVDVVAHEISHSWFGNGIGCASWSHFW LNEGWTTYLERLIMRETHGELERQLSVITSIGRRGLVGYLERLNPRFQKLVIEYKEHE DPDEGYSQVPYEKGANFLLYLERTLGGLENFIPYMKDYVRTFEGTSITTDQWREHLFH YFKQHQDAEELTRRLGKVDWDEWLHGSGPDLCVDIQYDDTLSKACYDLAAKWDKARDG DVSSFTKDDIKDFSSTQTVVFLDKLETYDTLPPKVVAALDKLYGLGSTGNAEIGLRFF EVALKSGPEYAESAAAWVINKGRMKFCRPVFRLLNEQKPELAKKTFLKHANFYHPIAR KMIAKDLGVKVE I203_00358 MAAVMQPSTHRPPSMDRAPSFDQPRSRSTPPFTSPYDSPTPHVR TNSTSSNASPYNQPFSNSYFPPSHHQQMYGAQQSWTANPVPATAFYNPPFHGFPNGHQ PVYNQFQQSQADFAAWANAYQHMIMASVQNGGHPGGMTPPAPGSDHSSYERRRTSSGP GGQTLNGNTGYFDHPSYQHQRLPQPPQQPSQQIDYSNTPTPPQSAHTKQPQPPQPYHP YKRGPSAKPSTERLPRSSSMPTGLQEQVASISRSSSGQVAQDQTRTHSSHAPPSRPNP PPAEKQPRPPVSAEEAIVSPLRIGDRSRTNSSGSERSAAREVPPAAAAASARTASPAP RSSTSMVLPARASTPLHPGPITNATNTPSASRPSPLSQPSSTPEPTDKMVKTGDLKGR LRKALDKDAKKEQRSVSAPSAPSVPIGKQSLPPKHFASPSESSTRSATPPATPPQEFR APSAPFTMNPAAMGSEISLAETERTATVSGEQKEKGKRSLFRMKNMSTDNISLSSTVS SASMMIRKMGSIGKLARRNSLMGISRIFKDKPKDGEDAALPEKEGKKKKDKKKKGKGE AAPATISHAVAESDRLTEEEDRALAGLSPAAKLARQHTLRSKAEAVKKDATAHPATGE PTWDQNTATRQAQNGNLPSLGSMGASMSNPNGSTGPEVVRVNHAQAPTVVHAVAVTDQ EYDSEDDSSEGETVEDVTMTLAKTRLSAEADAEFQATWGNAWIDRNAVPKKGILKSIS SYSNLDEAPSSGENRQRSNSTHTSSSNNAPGPLAQLPSSNPALLDGLVHPSPQVDPAY DPFSPAFSPFDSPTTTIGGNETFYANPNQNSSAPALSLLGTMGNKPPQNRSMTAPVVR RRINWAPECAVYQTYDSGTYDRRSEPATCNRLTPELAMSIKQELNAFKLEMPVHPSSK MYTHYFAW I203_00359 MRSSTSTRPSHLPSNYSTLVTLHPTVTPRPEGSGRRWHLYCPMS ITHSSQSLVQAAAGSIGLSKCTDGCIRELRDTIEMFLDISNQSYATIQRTSDQDRVQM KYEKKTFLDAIIWSTNQSSTNANDISVEVEHTQMSLNRANRIKKWAKTKVVKGIDVSD LMKDDFKRVYYGCNCQYPCERDNDR I203_00360 MRSHSTLIHQDEARESETNNRGNLATVRRKSSTIWSKAKEVSRK ASIIRQRQHRRPSSLAGEGGTTSEEEWEIVTPPLGSSEFTQTPTTPSHSNGDDQEDML DGARGTGTISRISQRAQQGSALDLLDVNSISSASHMTRTSSTGYSRSGSGSESGSGSG QRSLEVDLGNYQFPSPPTHIKQKRSWLEGGPLTVPEDREELERKLPDDHPFNSPHSSD QSHSHSRRTPLSHSASFPSMNERYNHLEQIFHSLNFLKEELYQHNTHSFRILSSFEDV LPPSIVNGINRRLDRYWNKWSVILNTAGEQISSSIISLQHRSFSSAQFRDPPAASRKD YGYLKSLIPAPLTTDEMERLIWTLEDSGKVASGTYRRMFGSKAKSRIMTPQEEREADE KGLRDWMVGETESTERGQWRRDYRGF I203_00361 MQPPIYNHHRLPPAGATSQSNQSQSQQSQNQQAPPPPPQGMPGQ QQPSVLSTSSNVRLNEFFELIKQEFEQVGQEGSIWKAQRDEYEAKIQQQINELGLIRQ SLYELESNHAKVRQEYEGEIARLRRELESRGGQSGAGPSGVPGTGGPTSPPELPRPGN DERDRPPYGKSLPGPPLNGAEPGGSRSPYPPPGGIIPRPASTDRERDRRNRERAIAAS NVPPSPPVHLSDLDPDNVSRELKKEGGDWQAMWSSQMRKQLDVALVHTLEHETVVCCV KFSNDGKYLATGCNRTAQIYDVKSGGRVTTLQDEAANRTGDLYIRSICFSPDGKFLAT GAEDRQIRIWDIKQKRIRHLLQGHMQEIYSLDFSRDGRFLVSGSGDKSARIWDIEKGL CVFDLRIEDFIHNEHGPIDAGITSVALSPDGKLVAAGSLDTMVRVWNVQTGQQVERLK GHKDSVYSVAFSPDGKCLVSGSLDRTLRIWDLTGTKREAEILPPGSKDIQKNLGTCQS TLNGHKDYVLSVAISPDGQWVVSGSKDRSIQFWHIATGQAQLMLQGHKNSVISIDLAR SGGYLASGSGDCMARIWKYEPYSGRE I203_00362 MPQMFTPPNPSSSSSSNPPAIPYSPIPSTSVHRHHRRNLPARSS LSNSFSFAPAHTHTPVADQSTFNDISIDEPSDLSFSFTYLSRSRNQKKKSTNGIGIGM NTNVVEQGNRGISQVSPRFRHRSGSGSGSGSYNGTGTPSGAGRNLPKSRLRETEISPL PLPLPERTRRTSPRKKTQNNQNNHPDHELSATDEEDEEVQPEEEGGEEKNWGIVDSMR LWRHDAIMQHLYETAAFWGDKILSWTGDPNDAFWLAQTHFLTGHYLRAEKLLTDPLPS PPKNSLGIRDKGKGKSHQDEDDLMNGNGHIEIGISSHGSIGPERRLVDESLACRYLAA QCLVHQEKYHEALELLGESNPFKETGPDTGPDSPSQDEGIKLHSSICHLRALLHLRLS SYASAKESFMEALMLDVKNYDAFKELIEGGMMSEKEEWEFIRNLSYRKQLSEEDGHFV KLMYMTKLKKDGHVKEVERAREELTKQYGLGDNCDVLVGLADELYSKYKWEDCYAVTT KILSRIPGHPTALPLHLACMHHIHRLRSSLFMLAHDLVEQDPQAATTWYAVGLWYFSG KRWAEARRYFSKANLIDSRFAPAWIAFAHSFAYEGEHDHAITAYSTSARLFQGSHLPL LFIGMEHLQLSASNLAEEYFRAAEVINSSDPLLLNELGVVAYNREDYDQAASYFRKAL RASWEMQGVKSVWAVTYCNLGHAYRHMRNYDKAEHNYRLTIRLDPTNSIAYSSLGMIW QLRGEIRESIKIYHQSLSLNSQDPISTVLLEMSLKEQMDSLDPTSLPGLPGRLGERDL DPFNVPKGNPIFGPLPIELDPATLDDAGGESIIHPPPPTSHGSYQLNSNGINGMEEMS QIGRVDLAIEEEVGEGSTMEIEDD I203_00363 MSSINLFTLLSLLPLLVLGSAWRDPAAPPLDGRKRAAAAATPLD FESVGDTGVSAQMVFLGNNKKIYVLDKTENNPVEINGEYGTHPAWATEYDIETNEYRT MDVFSNTFCAGGGVLGNGTWVVFGGNQPVTTGGVASTAAEAYSDTDGGTAIRMLNPCT DESCEYIQGTTSYSVSDDNTGGWLQMTGRRWYPMVEALPDGSLIIIGGDKNGGYVNTQ AQDNPTYEFFPPRADDPVDLQFLADTLPINLYALTWLMPSGKLFMQANRKTILYDYNT KETTNLPDMPYAARVYPASAATAMLPLIPDNDYAATILFCGGSNTTQWGNDGTAGYNV TAVPADNTCVRITPEGSAKYEDDDYMFEGRSMGQFVILPDGTFWMGNGVGMGTAGYGD DGYSVGQSYGQAPIYMPAIYNSSAPSGSRWNRTGLTASANERMYHSTAILLPDGSIFI AGSNPNKDFTTTQWRSRTDSEKWYPWYYNEERPVYEGLPQNLTYGGSSFNITLNSTDE ATAQNTKIVVIRGGFNTHAIGFGQRYLQLNSSYSVDMNTGKTTHIVSQMPGTNGPNLF QPGPAMFFVVVNGVPSQGEFIMVGSGKLETQTTQANEDLPTSTIIALTTPSSTESADS SSASTNQGATTTSSSTHAVVIGLTSTLIAGLISCLSFL I203_00364 MGASTTLSSDDKAKVKKAIPSSSSTNKIVTATVARVYQCKSGSQ SWSYAGAEGALVFCADKAKGGLWFRVVDLSSYRGVIWEHELPNEIEYNQEKPFFHSWQ GDNSHFAFVFASEQEAHDFYKKVANRSKYATKVKEDKKEKASTSTPTKKKKGGKIDKS LISGPSAGSFKHVAHMGFDSEKGFSSSGVDPSWQILLEQLSMKGISAKDIQQNEKFIK DFVQQQGGIEKATAPKKPPPPPAPTSRRKPAPPPPTSRSARPSSVAVPTSAPPPPPPP GRTSLPPSAPPPPPPPIAPPRSSVAAPPPPTPPPAPPRAGGSAPPPPPPPPPPAGGRG APPPPPPPPSGGRAPSAPPVPSAGGDTGRSALLASIQGKGVHNLKRVDPTEQRVSPLA GGAVAVGAGAVVGAGAAAAVASTTEDAPDLASSLAAALSKRKADIGSDDEDDSDDDEW D I203_00365 MLSIFLSALPTSLALLWTSYGPPSSNGYTGVGIENLVEYSSILP LSLDENGMKIRINMDGSCWAGTRKVGCEEGLVGKMVSNTIPFLLSYQIYYSLVTYTLL LGIFYHYTTTKRWMNNSELKVLRRFTLVVLVIKDFIGVFGVIFSSLSLLLLPLIDPSV DHIIDVKLGWASIISIFAVFGSTLLTAGYDNWSKDLWTNQAENGIFLSDEIIDDIEHS SVEIEKGQNERPLSSGNEERNGNGVGAIRDDQADGSIQRLFDHI I203_00366 MPHPASAAGSSGESRAAPTPLAVPSPAPQPSTSASAGNSLSASQ ASQATSVLTEDEEDLEDYRPGGYHPVNIGDEFNNGRYMIVRKLGWGHFSTVWLARDSN TKRHVALKVVKSDGHYTETALDEIQLLQRVVNSSQTHAGRCHVVGLVDNFRHTGPNGS HVCMVFEVLGENLLGLIKRYQHRGVPQHIVKQIAKQVLLGLDYLHTECRIIHTDLKPE NVLICIEDVESVVQAELASCPAAVPTKLVGVPPSQGRLGNQTPRKDGLFIVGSQPLPS PSSSYSSSPMLDKYGFGMSKISGVDAFPTSNSVAGASLVKRATTTDALGNGMENVKLG ESGLSWEKTKAPAPPASTGPSLLSQQMSAAPPSPSLQPTNAPPSSSTNPSGTATPSQT IISTPATTPDSAHHDPDDPSRDAPVAGDPNHLPPPFPYDPVSLERITVKIADLGNACW VDHHFTNDIQTRQYRCPEIILGTRWNQSVDIWSAACLFFELLTGDYLFDPQPGVKYDK DDDHVAQIMELLGEMPRSLALSGKYSHEMFNRRGELRHISRLRFWPMISVLKEKYLME HADAELLSSFLMPMLHYYPDSRATAAELVNHPWLEGVVVQGELEMAQNFHQSEVERIR QQQETSIEEKGKENEKDKGPVQLKDVLGLGPSVKGMVGMGRI I203_00367 MGSEYVDDHYFIDKRGRSPSQRMWDKTSTLGKIIYLIYVIPLFA ILISVALLLSPFYLFIRKTRPLLPSTSLPDPSTKYIDKTTGKKRYSKYEWFGEDKEFP LYTLFVPLGKGPGLHRRTWEMFNYFIESYKMVLKQGLQAGGCLTAIIVGYMQVRMMIH DLNDPTVVDYSQEMPLINYDPAERLRTDSECAYGVGTGIASWANGKEPLAWYTLYHIF ILILCICLFGDELLNVRFGCFAPQWFRAGNGYTIMFLAAATLSISPNWDYPETVQFRY LILVIIFIFGLYNVLRTLITSIGCSTSGYKHLDYRVGRKPLRFWTDSEWQDEYYRMNF IQPGKHERYFWTEHLQAKIGDWDFPLERRSREDIKAQIAHQKKMDPKYDDGRIQKVWQ KSGEDLENQRGRLSRVVIHGGPKKMHDNFKRELEEMKRIHVPPNDPDNPPDEEMEKEI IKALDKDKGYFGIANWKPRTKSFGWWWFDVRRGYYMICAFGLFFLRIGICCFDLAAGT FYQYLEEYNQASNQWKINGGPSNDTCQYYKGSSVPIFILPGGNAYSGGVWNTFLLGMC LAIFMVAISNNMWWGMHIGFLPITLIGPRMTSMSLGITLGFVALATLQQGFYFHHDAG IIFTKIVCYASIAGAALSIYPNEPLRPNFTRDPFWPWISRFAFRRMDRKKWHYENKDM QDMGQ I203_00368 MRIAVQGCSHGSLTAIYDTVQQYTLHTSKPIDLLLLCGDFQALR STNDFASLAVPAKYHSLGTFHEYYSGLRKAPVLTIVIGGNHEASNYMWELYHGGWLAE NIYYMGAGGSVYVDGLRIVGASGIYKDHDYRKGHFEKVPYNNSTLRSVYHIREYDVIK LMQLSYSDDSIFLSHDWPISIARHGDTGALLRRKPFFRDEINKNTLGSPPLFTLLNHI QPSYWFSAHLHVKFAALYDHSSSTTEQINKLEESLPSIPSNGEVHPEQNPDEIAIEFE DESDLPPTNHNGTASGNPDEITIEDDEFDDTLAANTTTAAEPPAITTESSISAKDLKI DESVDILEKATEEGIQDGITEIIGAPIDKVEEAVESVEEVKPEKAEEQGRRTKFLALD KCGPGKDFIQFFEIPTPSPSTPDHPPRLTFDPEWLAISRAFHPYLSTTINQTPLPSSE ILKQLVSDERQRIEEEGLLVPSDNVNDDGTVDLVWTKGPIEVERVQKFWPTAPSQSQL PPGPDGNLGANQWYTNPQTEAFCGLLGLQNKVNPAPI I203_00369 MHPPPLQFAYTWIILAFWSSASVKNIAGARGTDSSTMAPALAAD AKTPCPTPQHLRDELDTLAWALEPEEKEDTWEKFERAIIRFSAVTRGGGYKHTELFVE GVGRSGIGKKLVKCMLSDRGRLSGVSTDLLQTFAPRLSSNFKPLVNLYVEPVIELLGR PNKVFLKRAEKCLLTIITHCQIITILPELRKGLNDNAATCRRGSAIGVEKAVKEWPVE IWTERYLGLLEESVKKMATDKDPEVRQTGRRVWAIFMEFWPERIEDFSAPLTPTVRRY LEIPAANGAGPSKPKSKPIPRVAHPPSQPISATSSESSHASANAATSAAHRPQHHRVN ALASRPMRPISHQAPPPPAMEAGPSRRRSPRKEPEPLPFTQEAEMMEELPISHPTSSR STSSSNRHHHDLFVPPVPAKHVRSASHTILPTASSATFPIADEGKYNPLSKPSRPALH TSHTAPPGILDGPQPPRRFAPPARIMRVIPSEEEVGEEFSVHPFTTPSGATVLSRPQR NANAGLSRRGVALGQAHRRVVTAPTTVAEDSYFTKTPGRAMGGLGRKRPAEDDQDANS PIKGDHANEQIPQAFASPLPAQVVSMDSPLMPILIRSTSGDVKANENESDIIDMKEDV YPASPLKQANAGEEKRTVEVASKIELPDSPVKASVELETETEEILKEAKVDRPLSSVA DVQQIDEEEVEKEKVEETEIREEEKDQIHEEEGDTGEDATQMESSVDTAAMIAKEIPA EGKVGDVPEIVHESQASTSDVPVRNAPVLAKTESRKPAAPAISSSLETTKPAPGPIKP KVVARKPPIPSARTATTRAVSAPVVRKPFKPTSLTAPTAASAARAAALTKPAQATTAV SKPSVATSTTAKVTVASGHSTVKSTTLSGSTSSKPSTAPVARARVVSAQIAPKPEPKV SNKPPLPAAARAPTRVVSAPKKPTITSHVTLPPVKKEKVVRKAPLPSFRPTRGGAPTT SGTSSLKASTSSMTSVRAKVKPDMIKLPDSPFKPNDVPLPPSPHEVPIPHSPLSGAAT VLSSVSRNNASQPSPLKVEIRARVKADSIVSSPKSPIRMIPPKPLSPLLTTVESDDVE VLPVPPVFVLPKLQDVNKDEVEGEMVDPFTISAAPSPISKSSTDTNTTTTTRDVEEVS TDSESSPKITFKALSTHSNSENHDVIASPSRSKPAYGSRSDVSTPSSKAAALLAKLEG SEKGMTMAMSITPVSERKALSTKDTNANANGNGATLFEGESEWDVSA I203_00370 MSSDAHHRPRSRYRDRDIEKTSLISDGFSSSESDIPLPSIYKSK PKRRYWTQKHASGLGNLLALFGLIYVFSQLGSGLGFDFPFPKPSPAPLPEYIKDGIAQ CEIIQRPTPHFKPSNHKRSHNDRYVEGTKSVWLKNGTLWTGEKRGTEILHGVDVLLED GLIKRIGKGKDLQEWTKGKKAEEVELGGAIVDAHSHLAVDSAPSLFGGDDTNSIKASV QPWLRSLDGFNTHDLAFNLSIAGGITTMLVLPGSAGEAFPFKPRWTHENTPQSMLVEP AWKIDNGTWARTHAWRHIKHACGENPKRVYGQTRMDNAYDFRRAYTEGRTLKEKQDRW CASPKTQTEPFPQSLEWEVLSDVIRGNVKVNIHCYETTDLNGLVRISNEFQFPIAAFH HAHETYLVPDLLKQAWGPEPPAVAIFSTNARYKREAYRGSEFAAKILADQGLKVIMKS DHPVLDSRYLLTEASWVHHYGLNYSESLSSITTSPAKAMGLDHRIGYLREGYDADVVV WDSFPLVLGATPKQTYIDGIPQIISPVLHEKPAEAQEIPKQEKGKWDKEIQEALNTRG DPDLRPKKSVKNVIYQGVGEFHLSPQELSLLHEDQVDISVFENNAGGVVIVKDGEITC AGDCKVEDELKGGLDFEVVDLKGGAITPGYITVGSYVGILEIRQEKVTGDGSAMDPLS EESEITNGIIAHAVDGAQFGGKDELLAYRSGVTTAVVSPKTSSWISGYGYAFSPESEH SLVPGSIQNPLTALHISLDNSKSSVSTKIAILRKLLSGSSKKVEEEDEETELAHAFEK VRKGGLRLVIRTDKADIIASIIRLKKEVAKEAKITILGGQESWIVADELAENDIGVIV APVRSYPGEWDSRRIIPSIPLSNHTLPSYLVSHGVTVGLGIQEEWQARNTLYEAAWVY ATSPTGVFTKRQALDLVGKNLEQLLGLSTGIKSWVAHEGDPFEFGGRVRSVKGLSGEA KIDLF I203_00371 MSKPNTDSLNARSRATLDEFDNIISLWSSKRQEGMTLLGAFDRS NLKAQHDAALALGDQDSITDTRERCELVLKALLRCYAHKSNGRSRNTEATNSNDQADG ASSKAE I203_00372 MVSCLVIQLATYSSQIPKISAAALWCLSILVGQVVSSPLHHQQQ PFLPFISSTEHIADITGQNNDDLILDLLRQYAPVFKLSELEAFFPSSIEYMFPHYNFT ESPSGEIRPTNHSVINRSHLDELPSNGGGLYLSINESHNPQPFLDEESEYLFGPYGLN DTMPDDRRGRVEEEVYGFGVDQGDGVVDLWYWTFYPFNFGKPVGPFGILGNHVADWEH LRMRTVNGTPISADYTTHTGGRFSAGTYRWEEIEKVDGRPVAYVAAGSHGVGKLFKLV DITDDEGPIWDTKGHVVPAIYWDNSQNRRKLFHHGDLSWLNYRGKWGNRGENDCWWHR IVGYCQVVDAPWGPNRNFGIPTECILAPLTTEFSTYRFRFASNVLDWAKNHNIELVKI EQICVRPKTNPDDPDDPDDPDDPDDLNVELYDDEMEGIVEIQNVKSIIGYRGTEKHTV TMDPCKGRRFAVRAYKLSLCLLNGRCISTSNERKICTYELQKKGHSFGSAVDLNDIDD WRWDY I203_00373 MFVRQITRSIRSIPSRRLLSTAPSASASSSFSRNAVVGLTTLTI AALAVNSEQRRVWNDDRVRESVLNQGSLKKNVHKREGAADASSSSSNTDTIKKAAAEK VGGVKEKIAEKTQEVKDEVTKATSSSSSTPSDDSTAAHVVEEKSAEAAQPSQGAFNEE TGEINWDCPCLGGMADGPCGEEFKAAFSCFIYSEAEPKGVDCVEKFKHMQDCFRAHPE IYGEEIDDDEEPSSDVPNPADEGVTIKEDIKVPS I203_00374 MSDIADLTAKTYEITRLIPHGRVTSYGHIAKLAGYPKYSRHVGN ALKALPPNTDIPWQRVINSKGLISPRADLGLGVARQKDRLENEGVQVDTLTGNGGEKV DLRLFGWFPESLDEVDQVDQ I203_00375 MIQPSPPTTATTTTYQGEKEKPPVTPHPVPLPHSISFGAVEDLP GSMIRYTPLADISDLPTLFPAPIASLITTIATSTRMTLRITAFLIEAILETSQYSTRM SLGYLRRWLITMISSARRVYLMSNAAIEGDLLALLSGGPTHLLEEMHNKSSLNNQSST SPSTSSTDNFLGILDKYTNLGIYFIHHTFTMVELFTMSGFFFTSNLVNSAHTAAIESV ALFDSLFGSNESSRSLSAIITMVKKEIIQDERSKNKSTIATLSGLTRALTAFACLQVA TWKRTSQRMKMKVLYDCTIQAEQEDSYTTNTSNPTNEDPIQLSLAALEDTPSCLPAAG PGSSTMESRIRSSALLTHDPSLEEANKKLWQHMETIDSDPASVSSMRPGLSRTQSIQT VNYWSMEDLDDLVGELGDGMYDGPPDENSRKKKRRESTFGITDELTERTVVTHLFEKI QHDDEMRRNLGPRKSVPLKHRRQLSMPEIAIQSMDTEDEDAYDYLMRSAATSVVTSPG ADSRLSLLSSTDMDNEGDGEEEWVEVEGQSNDRSNIPSLPNGPRVESVGTASYLDALE HPHHNSDRIQLVLKTMTYRLLQRKRTVRHFTRDNVESGSSENSRRPSIERLRRGHLKD IDWNRTPQPSMTRSPESSLSTPSMPSTPSRRRHARHVSADKALAKARGILSFTKRPSR PITPSLTELSTPTPSSTPSRSPSKPRTRATAPSLSIDTTLPPHEGVRAPPPSPHQSMT LRRPSQTSSPESLRMTSTRQAETERQSNPTRPSMSVREAVHTSSSWIQAASSHAMPDE GDANSDNLFPHEGLIRNIHRFMRYSSAAYGQNFLRILGLGSSDFMFPSTGKHHANSWA FAQHTNIPIDCLLLSSFTESSAALIQQEAPPLIHYVAVEHSLKAIVLTCRGTLGLSDV LVDLTCEYQSIDVEQGDPDASYYVHSGMWQSARKLTVKQSTVHETLVEALTKYPTYGL VLAGHSLGGGVASLLAILCSMPSKSFLEQNIILANPADHPRISTPFVTNFKSGLPPGR PIHCYAYGPPAVTSIDLSIYSKGLITSVVQDSDIVPTLSLGGVKDFKNIALTLSEEGN IAEEIVGRVIGLNRRKFDWQKSQQEQKQKQKQTNPSSDPEKGGQPTQTQNHSSGSETT MPDDEEVLSDWMVSLVKTMRADMDNEKLYPPGMVYIMEHFDVYVTDDQPKVQGDKKIV HKQAHRVILRQCDSVEERFREPIFAKSMLQNHLPSQYERSTHLLYEGLGQGKL I203_00376 MSDTVSPRLHPHEQNDQGPSRKRIRTGMETIRELDSLINQESVK TKLRRIAAQDKEKNIPFNPICTETTLEACRIVREKCDKAHYEPIIRPWTDTSLGYCSY LNLCYGDPMFANNPSLGEGNGPRGGVKECRYMHFQVVPSTSSTPKTPPVIPPLPKNVK KRLLGEKIDEYDSPPVPQWVNCDIRTFDYSLLGQFQVIVADPPWDIHMSLPYGTMTDD EMRSLPLRSLQPDWGILCLWVTGRAMELGRELFSVWGYKRVDELVWVKTNQLQRLIRT GRTGHWLNHTCEHLLIALKLPADHPKNAPTPWDTHPTLRQLRKGVDTDVVVAEVRETS RKPDEVYGVIERLAPHGRKLELFGRKHNTRPGWLTLGNQLGDSQIAEEDLHDRLAQK I203_00377 MGVRFRNATPGTLACLAATILLAVVSFNTPLLKSLNFLSASYSS GSYSGELTLGTLGFCHTLDGSQNCTGPQVGYEFDPNDVFGVTIFDIPEAITKYLTYVL ILHIVGLAFAAIATIIGIFAHSPTFPLLCLSIWMAGIASTFTFLALVFDLAMFYIARA RINNVSGASAEIGICVWLTLAAWVILALSGCFFGIGNCCGSCRANSESGDSKRSKNDK YGGGEEDYKMRMMAIDNERQRKQKQEQGLPSFQTLVQDDGEDKYLIERDPQPSQQAPG GLRRDGSVLQGVGMGYGRRTNKSPSNDPYFTGNGNGWNQNSYQNIAAPPPVARRLSDT TTAGDFVGVGAGGAGVDRPQPQGYGNGYYGENPYGNGNGSDQGHGYGNGQDQQYQNDP YQQHNYNDPYSQSQQTPYQNNQYADQQYNHQDPYGQQQQQQQQYSDPYRSSSPQPYNN TSYPPVAVPAPISMPTPGIQSARSPQPQPQIGNYDTSFGSSDSHYVDPGPQVHNANTS DPYGGYNDDDGLGAIGMAVTQNGNTTRHERDYTGQTFGGYDDSQFQPSTTNNGGIHQP QPQHLAGSNSQNNLLRSPGGYDDDQPEGSSIRPPSYSAGDYSGANAGAGGSGNEKSSY RHY I203_00378 MLNYIMLVSRQGKVRLAKWFQTLPPKTKAKIVKDVTQLVLARRT RMCNFLEYKDTKVIYRRYASLFFITSISPGDNELITLEVIHRYVEVLDRYFGNVCELD LIFNFQKAYAILDELIIAGELQESSKKAVLKIVAQSDAIEEGESLSNGLKESGFL I203_00379 MSGLSTKRLMKELADIQSKGTPEGIILLSADNMDEWVFLISVLG DETIYKGETFALRMKFGNRYPIDVPEVSFIVTFLANGQYQPPMHPHVYSNGHICASIL ADNYQPWTVLNAVAICITMQSMLASNNKKERPEGNDRYVRSAPSNPKLTRWHYDDDVG IGIKFSCHHPAKWV I203_00380 MSEEPSAPREQIIVDLEPGSEWRFELEADENIALRVLSPDPVFV NSEELPPATWYPIHRYTKGALYAPTQARVEVTSLPASQYTSTSTIHPQLLNVHLALER DRILAKRHLFGSGPSNGVERVERGPRVMIMGPPSSGKTTVVKNLVNLALSSGMGWTVG VGGLDPSSPSNLIPGTISLSTPSHPLPTHHLAHPFGSSPTSTPSNTLSADTPTVGWWL GGLEPTNRNAEVWKVLIERMGEDWKKRCVRDKMALASGLIVDTSSAFTNALLGTKKDD PKARYTLVAQAVEAFEIDTILVIGHEKLHIDLSRLPILQQRGINVIRIPKSGGVVDID DTQRELIHSFQIRNYFYGEPPLPKELTGLLGKMVSVDGNLNPYSFQIGWETLVVLRVG EENSAPSSALPLGSSRILSPTRLTRVDPSGPAHVVRLLNTVLAIVDIKPEDRNKPDKS PIVEEVKAENGDGEEVKEEQQEEEEDMEEVPFKEEIGTREVLGFIVITAIDTLKKKYT VLSPSPGKLPSTVAIAGSIEWVDSA I203_00381 MQLLRLNHCKHPKGDEESLPLFDAESNDHEHDELPAYPPRIGES SGSTIHNVTYTFVPRWPIVGEPKDALGVLGDTKEETISIVQRAFPILSTYPPNRIEIS SLVETEVQNGSGRRADERWCMIMDEAWSGFKTNPPKRLRVQIADGPRDEEKSKCKFQY E I203_00382 MSTSPSASQSSWSLDDDSTSDDTHSIASTVEHTTHLPDETTILR SRLSDFERKNAILEDQLHVPENKEGTTEDLRAICKDQEKRIERLNSQLTETNKDLSDK ILENQELHYITQNLETQNAKLSEEIKQMGGNEEKLKNYKTSISNIKKRYKKQLEHKEK ELKGEYKKKQDEVKDEKQKLQESSKKGKDKQSEIEELKKKNDKLSKIIDKAKMDNEEV SEMLERKEKEIDRLREEVEGKLRIIEGMDKK I203_00383 MFGKDLSKPSFIDRHSSTSTNRRKISKASILRPNNLSLRERDSE LSTLIHQMDELQDKCDQVKNEKDDIQRRSDQQQKEITELKCQLDQAHIRALNKEERLQ EKIKIIEEQRDSYKSKYDNLRRITKKRMKFHEEEEKRKAEAEEVERVQNEKKRKLDES FFGCLGD I203_00384 MESPLTGSSPLTSPSPSFDISGIPDIEFLEGLEMKSFWDIEYEL NVFAKTQGYKYMLDRGGIPKNGKKKFKMFCSHYHHDDLGNGGTKKHPELDTSPGYGCR HYISFRYCTENPSGEERRDRASGPFKLDEKCGSGLIEHNHPPSEHFLNLIVQSPEDRK SRYVARLNTRLKNKGLPLFTHPSRAVSTSTSLSVNHHEDSENEEYDEDKDKEVQELED DGEIYVNNHWERQSDSMNIILVNSITDDLNGSSSRFHEYLPDGKSSPLEDGQQATKNI QEQDSSWQVSFAKLQLNFERFKKTIQDRDEEIIHLKRKLNAMENRLEEDDVAGLRDER DTYKRKYEELKRITKEQQSLMKGDRKRRQEFEKCEKDSSDKKRKVNERWLALLED I203_00385 MSPIRRSAKSNKTNTSSSSSSSSAQAGSSASSMDGFPRTFDETT ILRNALLETERKAIILEGQLAIKEEEYTKLFQETTQLDERLKQFSSRVNDIEESEKLK STIKNKEGEIRRLKMEHINCQVMIDKQFSDPDSDLFLLHRKELLDELKSLKENNNQLK EQLKSLDEELSNQIAINISTQDQIDDLSVVKTQYEELKVKFDDLQKSKTRTKYEDEES RKMKRDLLFANNRNIELKERLNETEEQKKKVEDSKEKLEGKLAKIREMLCDDMPEGEK DDTSRPDDGSEMVKVREEGEGSGGSGAGSKDRKGKKRASEIDAGDTDSKRSRAASGGK AKAEGKI I203_00386 MAHAAPKHRQLPDKESKLFRELLTQYELKQYKKGIKAADTILKK FPNHGETLALKALTLHSSLPEPLTVSAVPKKEEAEAMARLAIKKDITSHITWHVLGIL AKSRKDWDEASRAFAMARKQDPDNIPLIRDSIALLTHTRQYPAALAARHHYLLLRPQI RSSWLALVIAHQLNGDLEEALKVYDDYQSTLKEEGATGPEKSQILLHVIRICIEAGKD QEGLDQLRQGVRDGIISPRGECTLLKAQMLANLGRQEDALQTYQELLEQNSDNLEYYK GYLKTKGIDLNVELTDESRSKILETLSTFAETFPRSAAPRRLALDYSTGDKFRELAKA YIIKGLERGVPSFFVDVKGVYTDSEKMKVVGQIVEDIVNKLEQDASLGDDGSISPPTM LLWGYYYLSLHLSYPLQPLPNYTRSLELLDKAITHTPTLPELYMAKAMVLKRSGDLLN AAYEMEKARLLDGQDRFLNGKSAKYWLRAGEVKKAEELLAMFTKKDLTPVQDLTDLQC LWFLQEEGDAYRKGGNLAMALKRYQALVTVFQDYEDDQYDFHTYCMRRMTFGAYVSLM RYEDQLRSHPAYFKGALAAIEIYTQVFDDPSITEEKISPEEEAERKKQAKKAQKAEAK AKKAAATSGEKNDPVVPDSDPTGIQLLKSETPIDDALKLWKPLERLAAERVETWLSGY EIFIRKKMYLAALKSLKSSQSISPSNPKLQYQILHFHQITSTSSEDIPQSIKSVIEKE LPSLLTTSPDEFSTSLVDKANTPEEIYYAAKDLSVISPEGKQKIKEVLLKLGDSDVIP HIIYMEKSLTLLQSIAPEDVEEVHKKYRERCPDAWVFVSPSEKEEKRKGYESGLEGFQ GEQNKEDEKKQ I203_00387 MEDTYSRSRTSSMGEAGDDEQPRSIASLRSRFENLAAANSPTVV NGMQKSSSGGRNGFTPKNSVGLGKSASLDVNGQSSGLKPVSISRPASPLSSSPPQPPF AKLPPTAPPPRPTTPKPALPSSTINASNESALALSQTLAPSTIQLSLSQPATPLASPS LSAGPIPTSPKPARRPAPAVPSKPSSVVATPTGSSEGSQEEEHIASVKSLRERFNFGA NAEASSSTASLPRSVSADAPRPAAPAMTAKQISCPTIKRTSTDGKTERPIALSPANER TIELAESSFTNGDTPNHRPAPAPPTSRTSSPAPPAPNRAHKPPPRNTSSPTPPPPRDI SNPAIPQEATPVADKPTPPQLPLRRPTIASPESLEPLPIPPPIPDNKPALSPAMMATM SSTSDSGPGPSPPRLPERSRASTLTKSENETQPPPPRLPSRTATLPVNGIAPPPSHPA SPSKSRENTNDLPPPPLRSALINSASISSSPPRRRTNSGDKEKEENYSEDEDDEPDDS TPISGLSAAAKRMLEDFPDSTEANRRTPNFRPDLKIRDCHHVSAFATFGRYVCTGAHH VRVYDTQLSDQPISIVDLKETGLEHKSKEPRVTAMCFRPGATLAEEGRYLWCGTKDGH LWELDISTGNVSSTKAFAHTSSISHIFRHRKNLITLDESGKMLVYDVGDLEGKSPSLI RTLRIGEKFTFAKMICGKLWTSSGPAARSTTSASTSKGPTIRIYDPCSEGNMPPAKVA FTTEWTGAVTSATYIPLEGNIIYLGHEGGFVSSWDMQEYNCIQVLKISTTDILALEGV GDRLWAGNRKGQISVYDVDQRPWLTINQWTGHLDNPVQALVVDPWSIEYAGRYTCWSF ARDCMRAWDGLLSVDWIGTDKQLLIRQADYCTYRDIKLLVCSWNIDSAKPTDLTGSEA NSKWLEECLNSVDLPEIIVFGFQEVIPLTDKKITAKTLLFGGKNKDSSSGSDKVSHAY RQWLEKLTQAVRMSMPSDTPYVKIHSENLVGLFTCIFVKSSEKDRLRNLDITTVKRGI GGIYGNKGAIVSRIVMDDTSLCFINVHLAAGQSQKSARNADLAAIMEDKAIFPASNEE IPFVHGGNGTGILDHELVVLNGDLNYRIDQRRENVISSIAAGELPYLLEHDQLRKEMR SNHAFRLRSFEEAPITFPPTYKYNPGTHDYDSSEKRRIPAWCDRILYRKSPHIRNLNY RRYEPTVSDHRPISGGYQITLKAVDTLKEMDVRRELAGEWAKKEKGMLMMMADKFDSY T I203_00388 MTDQLGRDIRHLSLGPTYTPNSNGNGRPRDSTIASAGDAADIFD LYGSEDVNDQDPKESWRSSNSVNGLRDSTIGVAYGSPSKSPQNQRDSEVSWSGPMTLL GSNDESNGERRWSDNPDISITDVTPTTTKKDSMISSTSSRQYQHNEQDTSRLSPQRPK RSNGTTSNHTSMSASTDTSISVSEMENRSTNSVAGSSQYPGEEDDAYMIRTTYARLEK EGVHGDGWDQGIERTRGGPSVGSGKRATVYPATKSGDIGEQERQFLASLDRYGFVNEP HRNRSETRVALIPTSPLNKIPKLPSTSPLAGKPPVEPNNSFNPSDGGGLSPRIPPANS NGNANEEERTKRREFERVDKWGKMMSIKQRDPGGNISEWSWSAGIDKGKIRKRVYKGI PDRWRMAAWWTLTRDQRDLKGKGKDRRDSEEIENDYKNTLDLPSTFDVQIDLDVPRTI SGHTMFVTRYGAGQRNLWHVLHCFSQVCETCGYVQGMGPIAATLLCYFDPARAYTLLV RLHDIYGMHDIFQPGFPGLLEAFYVQERLMEWLMPDLYQSFQRNMISSSSWGTKWYIT LFVNTIPFSQQLRLWDALWLDGRDVMIITSLAILWAFRDLLSSPKATFESILSLLSSY FVAEDEDALMRWIRKVIGQPEIKNKMSIWREVWKTLVEQGKSGTALL I203_00389 MAAAGLDQESTARRELTSRCIAIMNRADPNLIEYMKYHIDNVDQ TKGPNYEKVKKFGQILLENCQEVVDKPPVYRDVALPTAPHTEVSAKGDIVYSEISRHN VRKLESYVKEMASGGEVEPAVNLDKVQSDIDKLWELVSSQPSITPAQKAAIKSMYGEV IKSLGKDAVVENPAVARTKGAKQRRSSSSFLRPNVEDRTEVEEAHLPFLHLKRKTGTS FAYSQKLTNIYFDVLTEIATSGVTFAKKAALLTGVGKGSIGVEILKGLLSGGCTCIVT TSRYSRAAVDYYKNIFHEIGSKGSKLIVVPFNGASKQDVEALVDYIYSTLQIDLDYII PFAALPENGREIDGIDDKSELAHRLMLTNLLRLMGAVKVKKAARQFVTRPTQVVLPLS PNHGIFGNDGLYAESKISLETLFNRWSAESWGEYLCIAGAVIGWTRGTGLMSATNFVA EGLEKLGVRTFSAKEMAFNILGLMHPLLFDVSQIEPIWADLNGGMDRVAGLAEVMTSI RLDLNKVADLRKAITVDNAADFKVINGGDAERLHQKVAIAPRANFNFDFPKIDSPDIL AELNHLQGLIDLDKVIVCTGFAELGPWGSSRTRWEMEAKGELTIEGCIELAWMMGYIK HFDGKLKNGQTYVGWVDAKSSDPVDDKDVKSKYEKDIIEHAGIRLIEPDLFFGYDPNR KSFHQEIELNHDLEPLEISAEDAQRFKREQGDRVDVWAQESGEWFVKFKKGARVFLPK AVKFDRLVAGQLPTGWDARRFGIPEDIAGQTDRTALWALVCVMEALVASGVSDPYELY KFVHPTEVGTALGSGMGGMHSMSAMFKDRREEKDVQKDILQETFINTVAGWVNLLLLS SSGPVKIPVGACATALQSVEIACDSILSGKAKVMIAGGFDDFSEEGSFEFANMKATSN AETEFAMGREPNEFSRPMTSTRAGFMESQGCGVHIMMSAKTALEMGASIQGIVAYTST HTDKAGRSIPAPGRGILATAREVTPKEPLPLLDIKYRSRQLTFRRRQISQWLENEHEL LRTELETRKDAKDNDSWFQSRVNFIDDEARRQEKDALATFGMLEGSHPNIAPLRRALA VWGLDADSVGAISCHGTSTKANDKNESGVYNLQFEQLGRTPGNAVPVIAQKSLTGHPK GGAAAWMFNGMIQTLNSALVPGNHNADNISEELRAFPHLFYPSKPIQHVRLECGLLTS FGFGQVGGQVAIVHPRYLYASLQPHDLEEYKKRRSERELSAYSRMSQALVNNNLVQIK DAPPYSAELEGGVLLNPLARAGPSKNNSFSFQGKLPSAVPLNPQNAETLKALFAQSND VKGVGVDTELISNVPTSETFRQRNFTDEEIKYCTSAPDPTASFAGRWAAKEAVFKALS VPSKGAGASLKEIEIVSTSSGPEVKLSGDALAAGGNKKIKVSLSHSDTSVVAFAVAQ I203_00390 MASLFSSSTPVRPLVLHSSSTRVSILVPASPLSAWVTSEVLAQQ FHDSRIGQDEEPAPVVDEEDDTPKQPSQEPQVKLLARFLSFAADKVNADQDSSELAQV LLAAYNRFNELFLSSVNVHSLVQTFEPDSRAEVLKAYFKAFATAKESLGDKVKVAHSS ALLEATKNGSAELYALFGGQGVNEHYFNELQLLYDTYTPFVKPLLSQITSLLLDLGEK ADADGYTYYSQGLDLISWLDGTSPRPTVDYLASIPLSLPLIGVAQLAQYVVSCRVTDL TPAEMRSSFKGATGHSQGVISAVAIASSDSWQSLNGNILKAVKHLFYVGLRGQEGFPL LSLEPQIVADSVANNEGVPTPMLSINGLSLKPLEGHIKKVNSHLPSNSQIGISLYNGP TNFVATGPAKALYGLATALRKVMAPPGLDQSKIPFSKRKAVFNIRFLPVNVPYHSSYL TGATEKLVQEDLNGQELWSTSDLAIAIYHTEDGTDLRQLESSLTASLSDQIFVKHIHW IKATSFPPTATHAVDFGPGGNSGIGPLTGRSIEGRGVRIVVVGEKGRAAAELYDASKI RREPVWAKEWSPKLVKTLDGKIHIDTPFSRLLGKPPIMVAGMTPSTVGASLVSATLNA GYHIELAGGGHYNPTALRNKVAEIQRRTQPGVGITLNALYINQRQFSFQFPLWQEMRR EGLPIEGFCVAAGIPSSEKATEIITALKAAGIKHISFKPGSVEGVRQVVNIAAANPDY PIIMQWTGGRAGGHHSCEDFHQPIIATYASIRQNPNISLIAGSGFGGADDVWPYISGE WSVKMFNLQPMPFDGVLYGSRVMVAKEADTSPSVKQLIVDAPGVEDAAWEGTYDKPTG GILTVRSELGEPIHKIATRGVKLWREFDDTVFAQPREKRAAWLENKKDYVIERLNKDF NKPWFGQKADGTVVSDLGKMTYEEITQRMVRLMYVSKQDRWVDISLRNLVGDWLRRVE ERFAGVDGIRTKESLIQSFSSLDKPTATIDNFFNTYPRAKSQLVAAEDKAYFLAICQR PGQKPVPFIPILDNTFEVWFKKDSLWAAEDIDAVFDQDPQRVCILQGPMAVKHSTVAD EPIKDLLGNIEGLLAKKILDQYYGGDESQVPAIDYIGAVAGKPKSGLAAETRSEGIRT LKLGKSVPSVDDWIEVVAGPEVSWLRAALTSVNVVQGSGYISNPFRRIFTPRPNQTVE IKSTNGQVSSVTLYGSARSFGPHPSDFKAVELSFNSSTNDLTLVLNEERRGAVVPLHF AFKYKPDMGYAPIHEIVDGRNKKIKDFYWRLWFGDNETLPELALDTTFTGEEVTVDAQ AVQRFCDVVGNQGESFKSARNDKISAPMDFAIVLGWQSIMKAIFPSDIDGDLLKLVHL SNGFRMIEGVAPIKAGDACTAEARVVSVSNSDSGKTVKVKGYVLRQGEPVIEVTSSFL YRGKFADYQNTFETIDESDYVVELTKPTSVGVLQAKPWFEWDDDSKPLEAGTTLTFKT KSELRYRDKTSFAAVKVTGAALVRSSTKALVQVATIDYEAHNLHGNPVIEYLKRHGTA VGQPTPLESGYSLITDRATAVFTTPATNEPYSKISGDFNPIHVNPYFSDLASLPGTIT HGMWSSAATRKYIESVVADNHPERVISYEVGFVGMVLPGDEIQIKLTHIAMRDGKKVV KVEAFNQRGEKVIDGTSEVLQPPTAYVFTGQGSQEVGMGMELYNNSPVAKAVWDAADA HLTSTYGFSIVDIVKSNPKELTIHFGGIKGQAIRQRYMDLTYDTIDEKGQVKTLPLFA DIDLYTTSYTFSHPQGLLFATQYTQIALVVTEKAAFDDMKAKGLIDTNAAFAGHSLGE YSALAAIADVLPISSLADVVFFRGITMQRAVQRDAEGKSQYAMMAANPSRVGKTFNEM ALREIVDTISKQKDILLQIVNLNVANQQYVCAGELRALATLTNVLNMLKIQKIDLEKL STMISEEELREKLAEIIDGCWDMMIEKEKKDGSVILDRGFATIPLPGIDVPFHSRYLW PGVLSFRNYLVKKIDPSQLNPDRLVGKYIPNLIAETFEVSKAYVQKIFDQTASPRMEA VLKNWEKDAWDSAAQRQKLAYNILTECLAYQFASPVRWIETQDILFTTAKFERFIEVG PSPVLAGMATRTLKAKYEAQDGAISLQRQILCHAKNQKEVYYAFEDEAVEEAAPAAAS TPAPTAAPAAAPVAVAAPVAAPAGGPAAAVEDVPPKAVDTVRVIVAQKLKKQAGEIPL SKSLKELSGGKSTLQNEILGDLQVEFASAPEKGEDLPLDELGAALSVGYSALGKHAMA LTNRMVAAKFPGGFNISAARAHMNKQWGLGPLRTDSALFFGILSEPAKRLGSEGEAKQ FLDTLAQSYASYSGISLSSGAAAGGAGGAAGGGAVMNSEEFDAFVLKQEEHAQREIEL LSRYLGKDQREGEKKADVAKATAEELQAKLDAIKLEHGDAYLDGISPVFSALKARTFD SSWNWVRQSSIQLFYDIIHGELDPSTVFDSEPRYRPAT I203_00391 MSTPIDSIPPADASEASASSSASALQANGLADQPQPSTSTLTPA PASGAEEINMEEDGGIKVYKPISESTTPTSKTEPDESFFEPTLADVQSHHSSVLARNK RLNEAPLLTAKYREAEKAEREKLKKDRWPNTTIRIKFSDGTIIQNIFPSDSPIQPVYE FIRTALIEEVISEPFILYQPPRTKYPEYPIPIPSSSTQSKSKSKPTYAKSSIITPANY GPVKGGTLQGLQGGTGGKETLYELGLVPQSVLLVRWEDDEAMNASSYPAPIQGHLKAK SQPLPPSVPRSDSNSTNQQKGTIPGPGQASAGPGEKKIPKWLQKGLLKKKT I203_00392 MSPLTAYHSLLLSNLSTVQTIESGLGNITWFLPGRFEDAELASE GLYALLGLVSNYHDQILHSHIPRELSLPPHPFIDHTVGRISPLLPAESEHTRYTRYWT KRSTTYKRAGKALSTIGYLELVVEMIAKKKGGDRFRWRVVLFIELIKTFLRLTILKIT KRPVLSSPIPQREIDPSSLPSEILSSSSSSDEDQSTSKIKAAPLTQLLTPYAPLKDHL YPMIDNLPESHKTHPLNLIKELKGKEYISEIIWSSVGLMHVLLLTRSSRQSNASAYKP LSLPTLSRSYIPYLSTLRLLLLARVLRPSHKTSNLGMTHNSSQDRKLLARAFLTGPMW LGFTRPKVLGLTRLVEKIPIVGLVGDLVEGYLPLVDDYFYCELLFQS I203_00393 MGKRSSSSVIPAPASSSKKAVKSTQQQKNQSTSNSTSIDDIFAA PKKRKAEEPLKEDKKKDKKKDIIKSKSGTSEPEQKKKKKKELVKEKNDKPTKRSKVID EESEDSVDFDDEDFEEFEDSDDFEEEDEERPPQRKVEEIIDPSSLAEIRKKIEAAKAT SSKGGKKIKSNKDREDDALFADSRGTGTGRKTEEGYVIYKEVDLQIDPTAGGGCALLT SVLDVMEVS I203_00394 MALPSTYHLNHSLPNRSPTQRPRSLSLSEYQPLNFDRDALESAY QQSLNLPSSHSSSLTGHSASNSFSFNGLSTETHNTTATGSSDDILTHPKGAFAGVGAG HTLTPPQSPIHKATSKLNQATLLSPIPSTPIDRSPTPPPRPNDEELPETTFRPPPQPR LPPRPALRQKPSPPSLPMRRSQSEVDMIGYQQWDGANEYIEGDGHGRSKRRALPAIPT NVPAPEPPQWEPDYKPQIPSELTAPSAQYAYASLSAPPPNLPPRRNQRSQQISMGSAP GSSINVNVAGPSGSASASGSGSGVRPPISTGQSIGTIVSSTSSSGIGIPMRSPPAGAP DYPPTNQPGSSSSSTNKPRRDAEKEVLLRAQDRMSIWSTHYLDPSLKQSLIRVPHQVT NAANIALSGLSSGPKERYNTTIGAPIDGLTKMAKEWVVTPDARFIAEHGGIELGLGVI NSHNPQQQDWEKDKGRKKARVEVSSKTGGIKVDIVELDQDRQIDLKIETKSGDVLVLL PDEFHGPIHITSSRPPESLSIISPLLKPLSNPYSNFYTTFMVPLSLSRNMKKSNSVEY NSNVNLEKYLPKSFKEQSDLFDQLYGGYQSHSRINHSKISIRSDKGRVVLGLRESKDE RDLEGMGLRVGVKGGEGKRKRWWRMST I203_00395 MYPYNHSIDPSAPPFNPPPRTVQPHPLNQNFRFPPLPPDVPVRS VSQPQTQYPQEPYGHSRRPSQLNPLASSFSFRPLEVSTSVNDGVSRLKRYHDDPSALD IDYGDPTEETYERRVSPRKKALARAMEFTPPIQPINYHYNQSYSTNNEPIPTQTLTNY DPPPHMIPQPAPTFRPAMPTPTPTLHLQRDSQHCTSDRAMLGISRSATVSPQFNTHPA QTFQTPPPPLNRAWTLDSSSRTSLSPLNQCHQGLPLTLSPLHTQIQQGRVSPSHSVIS APYDSRSVSSPIDDRRIVSLSPNFGQRRQDSISLPSSERKYRGYRAKEGGPPKAVLGG PGGKTFDEMLALKTSPNLSPSKGPSSVGELSTSSRPVSGASSNPGYRYKGNPITFRLP PSTYSPPDSPPKPLPLPESPGLPVGDQDEMAEGEGKIMEEERRPRKEAFPWPSSRVRL SPTGVPLPLSPDQPGEDTLRRPSVDSPTDKEQEVKEGMWKGKPVLVSFPDEDCWERLR PPASSETEAEELEEHEGTEAEVSVVLGDEMPTSPIAEDEDGEDTRSVNMVEDSAPAQQ GDIPWDEYPVSPGRPDVKEDVHVDVDDRHVEGDRTSKPFRIVPHPSLPARPVTRDDPP PPSYSSELINPSKRSFSDSPLGNADFLKKQLGRVLKDPEELKPRTTSISSTGHVRDKS QGSSVGSWKQYDAIGIKGESSGTIGMNTGPPPSQKGKSKIRAWSDHANEAEDEVSGEE DEKMSISSPARMVNPAVFIEQFDQQPSKSERNGSSENDAVIFKESKSKTETDSSPKSG SRMRAWIDDEPNMLWEEEDQLEPESMSSPARFEQPFRGFVEEGHLPQNPITQEQIDDV DIAPKTGSKMRAWSDDGVESMSTKTDREIREPVSSPARLEPLASASHSGAVKSDDFDG DQPEEYTADSIYPGSSSRLNTCTPSPEPSKMRAWGLEEDTNVVEALSAPSRIPDNAHA HKKSASDEVDRWQNDKFDTSEEFEDVPLTATETSKIRPWEESTLKPVEEVDEIRTNRL EKRGRDEDGEEDDLPQGESKMRAWSQEIPVSTIRDPNPFKWSKNGDEVQKQPSDFAIT LDKRPSESEQLRQKALKAKETSASEAASLAKLKVLLAQVQNTKGSKKKGAKSIDAVTP SDTVMAVDPVNMIHEGSGVSSANKRSSSTGENVDNNITSQTGPNQADATSPQAKRLRP TAEPWKPPASTPGYGALGISTKTPKAASIAPRLPPDTKLFVPRSTLFNFTISSSHPVV NPNAQSFVPRSTSFTFASPIHPSIIPAAPPFVPRATSSDFMPLGNQPSFTPSAAPLIP PSAPHDVSQLGGNHQRQGSAYSSSLKGKKLRPSALAFHPPQTSPTKTSGFDFPFPDTR NRAASLTSSNGEKILRPTATTFVPSYGSISSPTKPRLQPSAIPFVPPASVASSVTVTS PVKSLATSQTRSEFDTGDSATSTILVTSPNKADVNASSEFDLPTQDNSEEDIDEVQDL ARPPSSNDPILTPAEIVFEHHRPDSVESGLQVAPPGRGRADTVAFGPSSPIGDGTSDL EKDESGLQPDEDEEERIGDSVVNLEGLFFEKPLLTAPSVTGHDVLGIREASPRLSVSE RSSRASEVSHSSERSRSMSSDRPPRDDRPSMRRAEENSYHPLDTPTLPLKEPIIPLKE ITIHPSHPVQLPLIPRPHVEEEPDAPVSAHTTALSGEGSIGSEVLAHTARPSFSRPLP MIPNSKDVTPSNGSFTFSGPAKADEYTTPPQSTGDTFASAAYMTADGSPLIPTRIFVD TDNTPPKRLRRPLPDIPTWREPIPVSTPAPSSGNAVADPVEMLEDYGETEEDGDDQEL VYPKVDIDTSRRTRGFDRSATPSPELPPEVNEVEPSPDPLTVNIKFREWTFPISSPSS TNGPGHNIRPSITRRHTMPAEDHLDELISPPISAEATMGVASTFASRVSELRAYLRAE EEISRRTSVEFHMREDKRKLGVVNVDLDDDEDALGSARQGGMAAKVSVHDERLDEMLD LLRKRDGMRNRSKEDLDGLKDLVVSALQDIISQHHIQNHSDKPDMLERVASVLEEHSR LLTSLHDIVNLPPTPTNQEAEEAHEEQSQTQSKDLFVAILTGQHAILSKFDEVASTQI SGSSTLSQAIEALQYTQQAAEQRAREEDSQRAIISALREEIENNRVSISESRAQADVL NQRLKDTRQDRDDMRAQTEGVMSQLESMSARQNKMEGELDGVVARALAAELERDALAR SLTERRDLEDGLRSELREYQEQLEKERENSERSIKQKESEIMDMQSQIHHQLSQISEQ KEKIQSLEQRLLQHKEDQEEADKPKLATESSIIEMSQNALTFQEELMSRLSKLDENMY ETMGSRVKEYESVLDRNRILQAEVDSLRERLEASADRFAKLQLSTSNSLSAHTVAQQA LSDKLSDEIKRRESADTKMEEMRNELEKVKEEKTNWNVIASERQAMARMQEIGLQALT QENVYWRQFALEHDRRKFKSYMQTKPFKNSDGSELMVNCEGKDKVDGNEGTWYVENK I203_00396 MSLPSLSLNPDTQSDLLWTPSDPSQTQTSLFREHINSTYSLSLQ TYQDLYEWSISHRADFWSSLWDFQNVVGCKGKHIVDEGASPENNPSWLEESRSNWAEN QLRHSHSYPNDIAIIQLSESSSNYTAPEKKITQLELYKLVGRAQRSLIGEGIQKGDRI GYWGGNVLEAVVLVLASSSIGAIFSSAASDFGVDGVKERLDQIKPKLLFVTNGVIYNG VIRPLIPLLPKLLKSLKSPPEKVVVIEHLPEDLVGIPKELDKWDEWLDSEDGETTFER LGFNDPIWILFSSGTTGKPKAIVHRQGGMLLDSLREHHLAGDIGRGDVFFYYTTPGWM MFQYLVSSLSTGATIILYEGSPLKDPSYLFNMIDQYGITIFGTSAKWLEVISKTYPDV KDHHELSTLKQILSTGSPLPGGLFDWIYEKVKKDVLVGSITGGTDICSVFAGRNTSLP IYRGEIQSRMLGFALDTDGPPNQPGELICKQAFPIEPLGFWPLDGYGFSEDEVESAKK RFKESYFKGDKGIWYHGDYVRITPSRSSNSGGILMLGRSDGVLNPGGIRFGPTDIYSV LEGSEYSQLGVEETLVVGLMVEGGADEKVVLFVKMKGNRTLDDTLIKKIKTDIRLARS ARHVPSKIIQVSDIPVTLTNKRVEVPIRKLINGASISSINPATLRNPDCLEEYVKLGE RMRKEEGMDG I203_00397 MSSATASPRKANRRRSSSVTNALKQIPLNQQQEQSYGSEDTIQE SEVKPLSGKPRPKSRKKRDANDLPDNYHSRGFWDDLKTGRWMLVPSSAFILALIPIIL YINHNILVQYGLLKPNTTNPFRHLLFISGELPGGRYTKSIYDFAFLGYYVIFWSFVRQ FVTIHILRPMAIALGIKGGKIMRFLEQGYAVFYFSILGTLGIFVMRGLPTWWYKTEYF WIDYPHKQMTWELKTYYLVQAAYWIQQTILLAAKIEKPRKDFKELVAHHIVTLWLIGW SYNLYLTYIGVSIFVTMDVSDIFLALAKCVNYVSEAASPPFFAFFVGVWSYFRHYLNI WILWSVYTEFNLIDEKERTRFAPLEDKWLDWWMKWQIFVPIFLLQLINLFWYFLIWRI LIKAVFYNDLRDERSDDEDEPEGEQTTTEKLKEQ I203_00398 MSEELKKGDEVSWNWGSGQPSGKVADIVEEGKAEVKSNKGNTIS KNASEDDPAVVIERSGNNVVKRAHELNEVEE I203_00399 MGCIPCTNLQPEVAHLNACYPPSKALLTSGPEYRPLSQDLSKLT YFATNKPSKLARIGEELEKRISKESTRSSGGYAKYRASLLISLAILRALLTECKRDIS LIGKSALKCINSALDVIVYQRSNELDLEVVGRASAAFIAFTTFTDGSLIGIDENVTRT YLDILQKFGRMATFVEIKEKPDEDKEQENRTRLLGLAGLNGAILSDSLYSSNTEFPKQ ISILLPPLLINLFERSTSNSIEESKVQSDKIESDYGSSDQSPFFNEFSAKRPLNARRA PSLHAHIPGEKGPTKKDVLRTTLKSFHSLVQQSKISQATMIIDQIIGFLDKDRNTEDG WKDLERCCWLAEKLTAWIILQFRFVVPTRLIEVLIDQQNLKEPTAKNTTILSMVITIL NSTTSLVGLGVSDLLGNLITLIIRRIKFDQRDSLLPSLVSCVSALGTHIYYADQINDI VEEISIRIADININDKHRSEIIRVLINCIIGVMITADQGDLKLSNGGSSSNNDSVEVS GGGGGKGKSKSTIPLTPSISENQNTPSLQRIHNKSSRRNSISPEVWQETLPLLCESDY AVRITYARALLLFLETELPRGSSTNNNKSGSDSSIYRFCHALNASIYTLLMSNCLGAG VVDEQSTLPSPEVGTITPSLVPDQHTINTADEQPQKSGKDERDRNGSVSGKEKEKGVS FNLISPTPNSASGNGNSPASGNVTPTGKKGNTRPTRRPSLPLNRLQSYVTLNSFDNVA TPLDFSCALTILKEIFEIQPIPSLITSVPMLLALDKDAGNELTRRPNDGRNGSWVLER KRAIRELVCMVWKVVGEKWGVGQIQDIAQKSLVSLPEPYVIPPLPPYAPSSNLDLPET AISFVPHMIEGESSSASKPLLDPKVLLTALTGSSNVQSATGRDEAGLRRRWEVKWSVE NAVKDSIERFSSGHVRPEDQEIHNEIANVLMSMNNGSYQSFGNGSATGGHRPGSRTID VGDLREALGGKVDDLTNNTSSPPSVISSAFLTQEDQSHLSTALQKSLSSKGLSRSANN QDVKEVLKDIFKDKKRSSTNSTNGPHAHRVRTVSAGSGLGQKVTNGDEPELGGRVEGN VHGKGDEGGIVGGKDLNLDLGKVVA I203_00400 MSQSQNIQGRTDPSLDVAPTPQNTPLDTGVLQNRPANLGQPTVE TLAEGEESDGDDDAEDVGGANPASLLAKNPALLALAQSKLDDLIGTSSGYIESLPPAV RRRIDGLKGVQVEHAKIESEFQMAILELEKKFLGKFAPLYERREAIVSGKAEPTENEV EAGKASDSDDEDDEEEEGAKVEEVKDEKDSSDIKGIPEFWLTALKNHVPISETITDSD EAALKSLFDIKLSYLEAGQPGFKLHFIFGPNDFFEDTELTKTYYYQEQVGYGGDFVYD KAIGHEIKWKEEKDLTKKVEIKKQRNKTTGRTRVIKKVVPTDSFFNFFKPPQPPTPES LESSDVDEDELEELDARLETDYQIGEDFKEKIIPRAVDYFTGKALRYEGDFEDDMDDD YEDEDFDDDDDDEDDQGQGGDAAAANPDCKQQ I203_00401 MPTDILQVDSPPNHTNPILSREDFHPELCSSNSVPHIQTTDCGP LPPQSIILANPSSSSNATILVGDTTINDIVRSPTPAFISNEHNEDDNHDIAGNVPHAT PSIADLTDELTICRSKIYDLERSITACGEENEDYRESLKYQSEEIKSLRNTHRDTGTV RTQDYRDERILGCDIELGMNPFEEYTGVKGWSENNGKHHNDNLQKIIIFDDPPQELIY ETEKSRERYLKDNSASRKVKILKSEIDDLKIRIRMLQREKDEKYWKDNASSFQEEVFG MPEEGEDDDSALEQALLQEKQDHLRTLSKIEEIADLKNKEIKELNDKLLDQQALVVEM LDANGTLTQRISELEKQLEDGHRFRMEQRSDDARKHREERSIGQVRAGVAGRTSEVSD AGLGDGVEDELEPGYYGW I203_00402 MSKAGRIEEEEEEEDPTDIFDTSLSSLFSIPPIGFAPDHNGYFT YNPPISDAHHQDPQAITLKIPSPPSSLYTTLQAQLIWPSSIYLADLISLGTVDVENKH VVELGSAAGLPGIVASIRGVKKIVSTDYGVKEVLDVLEDNFRTNNTKNNQDRWKVKGH CWGDSVDDLVECISPELIKSNGLGSKVIDAQNEEDGHTINQTTRTKFDIILAADVLWT TSTHQILLDSIISLMAKDGITHITAGLHTGRGPLERFIHSAEKRGLRMEYKGEVRLKG DKSWEEYNQSMAVEGEEERGVVVWFTLRL I203_00403 MPDPDYHPSHLSNPTSTSTSISIAGGLRKRIVMNPPPSFIQYLA SIAPPAPPPQSNGPMMINSNGQAVLLSPNPNSGSKGAQNALQPPTIDVDNSDNDPSAG GSVKGDGQVVLKGDKVVLVAPTETPSPTTTPTLTPTVFELVSSVVDSTAMASSAISSI GPATPTPMSISTTDPMTSTSTAITVTSTPATTSNGISTTSTSISSTSTSSTSASQSST STSTAKSSPASDHHPPSAGIIFLIILLALAIFIALASLLRYMVKSRRLPCLGRSRNDD DDDGLSDLVRGFDTPRTLGGGGYNPYLHASTYPHLSDVDDNQEKSELERRSSLFAHQS TTSANGRSPFLHTQSQSNSPIGYNGSMDMDIPDLPIPPPIAHGMGTSTLPHHLLGETG PLEVRNAVPGEMDEHEHEQELAQDEREVEGIDGLVGLVGLGLGQGSPRFLGVNGNGLP VPWSTPLPPRPSSIDSFDNHQLDRNLHPNPFGSSSTLSAAQAPPLGFPSPSLSHDSLS LPQRSATWASNLRNTLYNAISAARVPTVGSTAVGYPGMGDEDKFTRTVSVGNIYRNAS SRRKAIPSFDLEKGLKVVDEKDDIDDRMKSDDSSSTLSIPRRPAGAGERFKGYYSRSK SSMTTTTFSVDGDGEEVEEMRPPTRMAGGARAAGARTGSFVIV I203_00404 MASRAANTRRTASRTRNDENAAPTQAGLRTKTSLSHLGPAQKVS VASTSGTAGAVKKPVAVKAGAKRTALGGVVTNVVKEEQYEDEKKPLKAIGKPTTELRQ PLASRTNNAQPTRPIASIPHRSKPTSSSLYTSEPSLSVKSEDPLSEMDVDSSKSTAAA QMQMPMQMLTVDEEAYEDESEEEMEDEEEEEDEEDWLRMSEEDAYRAQEQLDMIRSTF KDDVDLFDTTMVAEYADEIFNHMEVLEESVMPNPRYMDFQTEIEWTMRTTLIDWLLQV HLRYHMLPETLWIAVNLVDRFLSVRVVSLVKLQLVGVTAMFIAAKYEEILAPSVDEFV YMTENGYTKDEILKGERIILQTLDFTVSSYCSPYSWVRKISKADDYDIQTRTLSKFLM EVTLLDHRFLRCKPSMIAAIGMYLARRMLGGDWNEAFVFYSGFTESQLVAGASLLCER LVEPDFETVYVYKKYANKKFLRASTFARDWAQNNAATAF I203_00405 MILLESHSVIINDVLTDRFEKASRADIQFVDYDNVRFHLSTPES KTKLLLSMGIQCWPDLVKYGAREHLQNEYQGYLLPQDQTEPEYDVSLVIDLEQLPEGN EDRLALISKLAHLKSTAMSSPFLSAFAEESTLQANYKDAGGAQQKDIAEQSETKGELK IVRYREEEAIYIQASNDRVTVVFSTVFKEETDKVFGRVFLQEFVDARKLNALQNAPQV RYSNREPPLEIRHLPGLHNGEDWGYVTFVFSPRHFANPAQTQQTIDRIQLFRDYLHYH IKCSKAYMHSRMRYRVAEFLKILNRAKPEVAAERRTATGRTFRTR I203_00406 MPSREDREWNRLAVHMDQFHSHFRYEFNRVYTLADGGFQKEGMT LPRFLREAQQLYTHLDMHHRIETYIFPVLAKKMPQFKEGARESGEHLKKHKGIHDGLE KYDAFLRNSLENQSEYSPTKLREIMDGFKEVLFTHLDEEVKDLGAESMKKAGWSLDEI RRIPM I203_00407 MDHQPHENTESHSNIPIPIPIPTDYPGQSGSGSGSIRVPPPPPP RQPSSGAGSEHYPPLYTRVPVDFQLGRDHHQQPNEAGPSTHPHQLASSYLSHPQQLPM VYSANPPEHPTNPYQQIYHRTPPDNKRRRGRLATACVNCNRRKQRCDGEIPCGLCLKR NVPCSYPTEMNTSYNADAERVKQSATMMASSSSAPTHQPAKKARMSVEEGDAARVGDP SFKARGRERDGLTDDQAGRARRMSLPSEGIEHRQHVGPGSQNPSREPTSTQSSNLSLP IPSHPISSERSNPMMHRLDQIPTQEDPETSLGRFWRSYTDLRPSTSPLPSSTGIGVGT RGESGSHDKDETCSSSASDKLMEENEAYGVGSLRTHTGPWDGGKGEKTFFGTSHFGPQ LAAKVIRSMPTVPLADVRHAPYRGASRLDGVKSYTLESQTRQLVGYLPAREECDRYVR RFFERYNSHNDILYQPDFMVAYHRFWNDYNTKQSSQVDLRYLGLLLIVLALGVLLDHD PSEKVNRQNQLLNLRLNEEQKTIVEGMMNHLENQTMSLKDREEKSSKWSWAAKRALLE SSSFFGESMDTVRAGLLIALYLNVCRRVPEAWTAIGTAIRAAQAQGLHVDGSSWKGMT TKEAELRRRLWAQLYAVDRSIALFLGRPVCIQDGEFTTREPANMHDDELEMPSIFPRG LSFPTKTTFLILHYRLAKIIGEVQSTCFNLNPRKYSDVQECEEMFLRFKESLPPHFKL DREGTDFTLDDQQGYNWLPIQRQTLNAKFHLARISLHRPYLLRSLGRSKSRKVNPYIR SRDALLHSATADLQLRFMFNELDPLDRFKWMTVASGFNSATILGILCHLGYKDSRFPK GSLRSMIQAYIALEEKTIRRDEALETELMVLRLMEAKALAREDMDLRSGRTTPGSHGS IVGNEPKLMVQINQSRFMPPPPQIPVVDNQPRSTTLGSRQHRLQHPQSRVDITTDLSA DINTSNDIWSSSAPVHVEPMADSARDRLRPGTGHGIHSENENDTLLPYDLNSQYDPTN VHLPTPLPHSSSTPSLSTALGLTNHNHNNTYNDNPNQNTQGDDTRRTQNDNEWYLPSD WDPTTSGLPDSSDPSAWQALLGMEWMNNLDLDMDLDITEGENTGSLIAPLGQGQAQNG QDRDGHGL I203_00408 MSDQQEVSASSLSTLSNDPSSISCEPSLKLEKRHLDHAALVLDI FQGKGTMTKIVEGFTEDSCYEDPVAYAKNREEVAGQLLHIPTVTSSTTTHKFHITSLS PSISTKTGTGREVIADLIEADFNHDLKFKIGPTYNLVTVLQIYSTPDGIVRLQDRPGD EIPDNGFAMALRKLNGIVAPKVAGVPKDEKEDAELAIKQKRKL I203_00409 MIRIPRPSSSLVPSLKAFQPSSSILRKGYASSSSHTLSPASVVT TLPNKVRVATEALPGHFHAVGVYVDVGSRFESRRTSGISHLLDRLAFKSTDKHSDEEM TRLIDSLGSQVTCSSSRETIMYQSTVFPESLPLALELISSTIRHPLLLPEEILAQKEA ASYEIREIWNKPELILPEIFHTVAFQNNTLGMPLLCPESQLPKLGEQEVRGFMSDWYK PERIVVAGIGMPHDQLVELSQKFFGDIQPSTSTSSSSTLHTPIQQPQTPLGSKSFATV SNVAAPSDYDGLVNAKAVYTGGEYYVENPEDKLVHIHIGFEGLGIHDPDIYALATLQT LLGGGGSFSAGGPGKGMYTRLYTKVLNQHYSVDYCAGFHHCYADSGLFGIAASVYPEF APRIVDVIAGQLHSLTGQMFGGIEQKEFLRAKNMLKSTLVMALESKLTAVEDLGRQVQ IHGHKVPVEEMCAKIDELTLEDLWRTANRVLRPASSSSRLNYGLGSGKATIVAQGPNL RALGDVKRTLKDRWGLGL I203_00410 MPPRLSTRAISTPLAGLRYASSSATSKSALSVPKPGQAGPSSSS APASIPRSSSPVTSSTTNDTKGKAKTGPQTIPPLPRPLGITTPPSSANKTWSQRKKEL LDDDRHKAKRKALVKEATQGYFHDYNRAKGVGGGKLWIAPNVLIREDKALYFPDISGK SLLGEEVHTTDLLRGQITLVSVIATRLSEEHEQSFTQPVLEDFAGHPEFNFVQINHQE NKLKSFLVSFFISSLKRTIAEDRWGDYLISSGEWSPMDITNPLGIDNKLLGYVYLIDQ NLKVRWAGCGTASPEESQALRRATAVLLGRIKGGSEVEQSGVSTE I203_00411 MAPSVPGFEGVVPSSDIPPAQQAVSVAPAGHSAVDVTPPASPAP AATSPRPTVNRASSFVAPAPKPLGSLHPPATLKGIDWEGMPEEPKWDDSMGEPDAVLE LADGLALAGHSFGAKKSVAGECVFQTGMVGYPESLTDPSYSSQILILTYPLIGNYGVP ERPNVATSNIPTSEDAHNVLPPTHLLDSLPLEFESSHIHIAALVVANYHPSFSHHLAN SSLGQWLKEQGIPAIWGVDTRMLTKRLREGGVLLGRVLAKQEDYESVPFYDPNGINLV AKVSTQQPTLYTAITGSEKKINPRTGKQLRVVAIDVGMKWNQIRCFRERGVEVKVVPW NYDFNAETEPYDGLFVSNGPGDPSMVKETISNLSKALETSKVPIFGICLGHQLLALAS GASTRKMKYGNRGMNLPCTCSSSGRCYITSQNHGYEVDVSTLKNGWEAFFTNANDQSN EGIWMGKNGKPFFSVQFHPESAPGPRDTEFIFDVFIKSMVDSAREGKLVPIDMPGGDL ADNIAARPKEHVKKVLVLGSGGLSIGQAGEFDYSGSQAIKALKEEGIYTILVNPNIAT IQTSKGLADKVYFLPVTPEFVRKIIKHEKPDGIYCTFGGQTALSVGIKLKDEFASLGV KVLGTPIETIITTEDRDLFAKAMEEIGEKCAESASAVNLQEATEAANRIGYPVIVRAA YALGGLGSGFAQDDEQLTELCNKAFATSPQVLVEKSMKGWKEIEYEVVRDCRNNCITV CNMENFDPLGIHTGDSIVVAPSQTLSDADYNMLRTTAVNVIRHLGVVGECNIQYALNP YSKEYCIIEVNARLSRSSALASKATGYPLAFIAAKLGLNIPLNEIKNSVTKLTSACFE PSLDYCVVKIPRWDLKKFNRVSTALSSSMKSVGEVMAIGRTFEETIQKAIRCIDDRFP GFGDHIDVEDIDHEIANPTDQRLFALATALKRGYSVEKLNKMSNIDPWFLTRLERLSK TEKLIGTFNASTVPNQLIRNAKQLGFSDRQIAKALNSNELAVRRLRIEAGISPYVKQI DTVAAEFPAFTNYLYTTYNASEHDVTFDDNGVMVLGSGVYRIGSSVEFDWCAVRAIRT LREQGMKTVMINYNPETVSTDYDEADKLYFENISLETVLDIYDIERSSGLVLSMGGQT PNNIALALHRQNVKIYGTSPEMIDTAENRYKFSRMLDKIGVDQPLWKELTSFSEAKTF CDKVGYPVLVRPSYVLSGAAMNVVFSEDDLESYLTQATDVSRDHPVVISKYIEEAKEI EMDAVARDGKMVMHYISEHVENAGVHSGDATLILPPQDLDPETIRKIEIATQKIGQAL NVTGPYNIQFIAKNNEIKVIECNLRAARSFPFVSKVTGIDAIELATKVMLGFPVIPYP DVKLPPNYVGVKVPQFSFSRLSGADPVLGVEMASTGEVACFGKNKYDAYLKALISTGI RPPKKNILLSIGSFKEKLEMLPVVHKLHRQGYNLFATAGTSDFFQEHGIPVKFLEALG SVNDLNPQKAEYSLTQHLANNLIDLYINLPSKNRSRRPASYISQGYRSRRMAVDFAIP LITNVKCAKLFIEAVLKKPTFDITSVDYKTSHETFSFPSLVSVQAFVPGAAEPNSNDF SEASQAAIRGGFTVMQMVPQGVASAVEDEISLQRAQANATGASHCDYFFSVAATAENA SRLQDAIAAGAKALFIPFNNFFGSVNKVTSVAQHFAAWPADKPIVTDARATDLASILL LASLNNRSIHIASISTRDDILLIALAKEKGLNVTCDVSIYALFYSQADYPTAKCLPTA DDQQALWDNLATIDIFSVGVLPFELGTALGNSVSPSSGVAESLPLLLTAVADGKLTLE DISLRLSENPRNIFGLPEQSQTYVEVEVNRRSTFAPQAADTWSPLDGKSIAGAIHRVV INGHSVFLDGLSFSMPLGRDVSTAGNRSATAKQARGSFALQKRPSISALLSPTMERPA SFGPPANDKLMSLSSIAAVNTSPVRNLLSLQTHPAFSRRHILSVKQFDREDLHVLFNL ASEMRAQVERSGAVDTLKGRVLCTLFYEPSTRTSTSFEAAMKRCGGEVVQVSASTSSV QKGESLADTIRTVGCYSDAIVLRHPSVGSSKAAAKSSPVPIINAGDGIGEHPTQSLLD VFCIREELGSVNGITVTLIGDLKNGRTVHSLVKLLSLYDVTINFVSPPSLTMPDSVKS EASRAGVRWTESTVLSDDIIAKSDVLYATRVQKERFENVTEYEAVKDIYVINNDVLAK AKESAIVMHPLPRVNEIDPEVDFDSKRAAYFRQMRYGLFVRMALLTLVLGA I203_00412 MSLSRPSNAFDALEPDESFFDREKAKLIEEISTNFEELMGHTNV LNRKLEEVFGVGKEFTTVAALWGKFSDLIKEQQTELAQSADIGVPGTGSTNFVANPIK TNQPEKR I203_00413 MMQVSNRQRVVDQVGAGTVTASPWSASPCTSTTTSTTGDSRERP QLSIQIHSKHSFDSHSGSPSYRYSTTPSPSIPALSRDSINSNSTRLQTPINHSHHPHN LSSSSFVSPEYSVTDPFQSDSHSKMTTTATQPPQYNSACTFTDTHTAPHHQPYHLAID YMHETPSQYGHTYDQSILHSQQHLGVQGWEPMISSFTINPQLMEPSPSRSLSPESNAS FSPATIATEEVPQPPSTATSTSHIAPRMVITKSNTPSSTDEWYDGPSEWIRSVAESHK QRRESYLANPKAWPKGEGEPKELQPLTDHCRFPHLWEVEQARKDLTEDAVVAKLMKKS EATIAKEFTRCQKAGEEFKPPRPMNSAMAFADFRRPQWGDMYSDMKTGSISTWLSAEW RALKDLRPEEFEWWTRVSKKYWDKYVEDYDYKFTRAPNGEGKGSKKRKAKAKENAARE KAIRLSNEAARRSSRRSTSTRGSLAPPMNIILPEQNHHTDQYQPFGSPNVLGLSGMPA HHLTPTATLTPTHNGQIAYATGYFDGYTFPMTEGSRTPSPPQLLTPLEAPHSSHGSHG HHSHSPGPSPYAQAAYFYPTQAQMNYAHQQAQQQQLHQHHQQQQQQHHHQQHLQLNIC HNAPQTGFYQPPPPPPTAYEHYTHHQTPTQHQYQQSGTMSHHIPTLAPHNVPSPQ I203_00414 MNRRDKLILLVDTGTSPHVRRTAAKQLSDLTVKAFLSTTQPKPQ AESDHKEDSKPDIDPNGSVTLSTGGNEEDAWNDVLETISKVLPLLKSKVSDTRHAAAY ALGLLASSLPEWSHPTETLSNLEGPDGTIDLQDLLKGKSTLLASAGREYVAKPLPGDK AKRRKAMMGSLGLGDAVGWGDDVDKVIGDEDEDMNEDKTQNQNGLKASSVEPPQPPKD IFEGLSARQITMLKRKKGNMVEEANKMRRMNEKASGSVPSSTVPSPVSTPPEISGSAP VKSEDVKSEVITIDPGAKARAAAQAGSGGQVEPNVDADGNPIITSSVKVLSLVETQSP WTTVLSELSPQLHEPVWQIRHGSALAIMEILRSLGQSYATKESTYLLHLARQLLCLLV LDRFGDFVGDTVVAPVRETAAQSLGIVLKYIDINGVREIHNTLMGMVKQPWAKRGKAA EGLDRSEKFAWEVRHAGLLGLKYEVAVRGDLLGSIKDENVKMKTDIKPDLAVTELDIL QDVVEAGVLALEDSDDDVRTVAATALTPIPDIIATRLPKEDLNGLLTTLWGCLSEGGD ELGSSTGAVMDLLGVLIKQEHVIHLMIESREHLASRTYKFMRHPIASVRLSVIKILIA LGELREVEKVWLSDNYSSLLFQNLLLEERQDIRELSLKALDDAVQEVEEECGEQEGMM DLDTWFPLVMTPIGAPFDQSLFKKVKNSTTGHNVDKAMMAGDMSLISMDTALQTRLTG AKALGLLRRFHDEDSNDLNHLKQYLGSASAHQVFMATAIMQEWALDYDKSQRPETELR SLGASHELANPLVPILIERIESPPPATYHEMSVILQRIYTECHALLNAFNVEGKISKE RIPTLPSRLDPLSTSPDVFSLTTAQQAVGPTFEGLAKLLTKPAQKIALAALKDRQRKV MGSIGYFSVMKERYDTQVMAGIASALVALRVMPSKLGPVIKSLMDAVKKEENEILQTR AASSVAAFIEYTTTPLFTGRVNPSDKVVRNLFTFLCSDTSVTPIFAPGPSASTGIITL KDERAAAALAAKKGAGKDAIEETEEQIEARVTRRGALEAFRAMATQFGDRLFDAVPKF WEGVSAALLLNFAMSIHINEIDKKLSENAQAGQDIIDCLTSLRLIVPELDPSLHAQLH VLFPPIITALQSSFAVIRHSAAKCLAAFCDVLLNESMKKVVDDVVPLVGDATRVASRQ GAVEAIHHIIKVLDIKALPYVLFLIVPILGRMSDPDEHVRLLSTSSFASLVKMVPLEA GIPDPEGFSPELLAKRDEERKFLTQLLDGSKAEQYQIPVEVKADLRQYQKDGVSWLAF LAKYQLHGILCDDMGLGKSLQSICIIASKHHERATRHATTKSVDTAHLPSLIVCPPTL TGHWYHEILKFTPHLKPLQYVGNAGERTMLRSRFPYHDVIISSYESIRSDITELTKFN FLYCVLDEGHIIKNAKTKLSVAVKQIKSQHRLLLSGTPIQNNVLELWSLFDFLMPGFL GNERMFNDKFSKPILADREGKATPKEREAAASALEALHKQVLPFLLRRLKEDVLNDLP PKIIQDYYCELSPIQKHLYDEFSKSQAAQEAGEEVSSSDTPKNGQGQGHVFQSLQYLR KLCNHPALVLDNQPERFNQIQKKWGVESGTGLHDITNAPKMEALKQLLTDCGIGQPPD KLSDDVNQHRVLIFCQLRPMLDIIEKDLFGKHMNSVSYMRMDGSTDPKKRHAIVQTFN SDPRIDVLLLTTSVGGLGLNLTGADTVIFVDHDWNPMKDLQAMDRAHRLGQRKVVNVY RLITRGTLEEKIMGLQRFKLNIASSVVTQQNAGLGSMNTGEVLDLFKVSAESEPAKPK ISTSNGPTSMSKMLEGLDDLPPEDEYAELSLDNFLSKV I203_00415 MSAKTLFFAAAAAVGVAVVNGQAAPNWQYIPSACQDSCSSTIES AYLCETTYSSSTEVYGCFCHNYPTDVDSCSSCLSSNDASALASLLTSTQTACPTAIQE CFFQCSFDTCASSDISCQCDATYLANIYNCASCNTANGNTAATQVSDFESLQESCKNQ NYTGADQSFTTEALPAITTDGYAAPTLTATGGGAAATGEASDLAGGDSSVASDASGTT AATSAAVTSAAGGSSTTSKAASAAATGSASKASTTASKSASGSASAASASSSGSSSGA LNVAAPAFGGVVALVGAVVALF I203_00416 MTISQHPSPEELHLLYVLLDSNLPTGGFVSSSGLESFAKHGLLS PIPPSYSSSGVSLKGRRSVGEGITEFAKVEVENYSMTTCIFVIRAYQIVHKALSSHLL PSQEQDTDVEGVVEDIIQVDKYNESTLLNHVGRRASRAQGVAMLTLYTRGLSNPAESP DDNQDGVSDDRETRTKSRAKKIIDLYKRCIRGNKSPGHLAVCWGVMTACIGLSLDRSI HLHLFLHARSLLSSAVRLNLIGPYASSQLLLHPFKRIIDDQVEALYKDGHLDDVKSQC QDVVGISFREEEKEGEDDFWSWADETNTQSPKTTWPLGEILMSRHDLQHSRIFNS I203_00417 MSAEVEALRSLSAFPRPTSLYVPDVPRSVPILDQNGYSPFPAFP GGRNRDPYGLNAFLLHPDDSLWNYVDPMPDPKAANGPGDLQRLASVDGEQRSTLPRAR SVRKQRSLSLIKKPSPLSQPPISSSEEDDVIDEKPVTLQRRRSNSVPTKTVLSVEQDE AIIMALEEEEAMTPKTPKSGKKSLKRAFTKARKSVFGMNEMDPTIPPVPALPEPPALL APARSASSSESLDPSTPMTSRSNSNYSTISSASSSSSSEGVKTPGEGVSLDVAITGSK LANALQEAGEKKNKGKTWRGWLGGKKNGKLSSKDSDNSGSNTPLSDLSAESTPNSSTL DLPSTIPRVTLTPSPAIVTPPRGSTPLLPPSEQLARQHTWASEQLRRVSMRKLSQLRS PSPHPLALSLRRQNSKLPDEVAFSIRSDQRVFPMSVNSHQGLEGDLTPAQGGLWLNIA ITKVMIKLDRGEQPEGILKVRKNSKKSIVPRPKGALDFINRPPYEERNVVFYPDNVFS PISMARPGYGVWDLDFSPYILGLSEIYEPSTLSWPTLPRLSTENPNLPTEFVDAIKAS ETNSDEETLEILKSPELLQDASMALKALTANANSPSPIATPIATPVITPAGSPKKERP LSSFKPKGQHSRSNDGHSSSSTSSGSESESENESEDDDEPLAVVAKRRSQSFQSQPRP AARTAHSSNPPLTQSRPATHVRSLSQPGDKKAAKRVSMSMEAEMRWRELQAREAMSSV VRARELRAQNEAGQMERQADKDRIKEKEAKRRSSMMDLKDTLLPTTSSTSNNNHKRNS SASFGMTTSKNHGYHLSPVPVPAVTDVNRRRVHSHNPQNRLQAPVPSRPDSNRTRSSG NVQGGSERKRFSSFYEQKSNSTTSFHSHSNPHQHFVHQHQQMVLPMGYAHPQMNMNVQ GGSMYGMGMYPHPHAAQQFHGQSMRTSPGRPRMA I203_00418 MTVTNTDNLLALDCQNLTYSWVEGDEPVLKDVNLDLTKGDRCLL LGANGAGKSTLLRILAGKRLTKTRSCKILGQDVFMNPPGGVVYLGTEWSTNPVVRSDI VVSHFLDSVGGYRHKERRDRLLQILDVDLDWYVCISDGERRRVQLCMGLMGEWDVLLL DEVTVDLDVLVRADLIDFLVSESEIRGATIVYATHIFDGLRNFPTKICHMQLGSTPRG IVSWPPANVPESELDLFTLALGWLREDRELRRVKEKEKGRVRGPKTDTKDRITFYEKY DYSH I203_00419 MAEIRQRQAPGEKEQVKVPLPSDPKGKRLDKKEIDKKVEQIQTL NVVRLILSLAGLGAVAWFGYKTLYPILSTPSIISDGENLATKISSTSTHKFGKKNQQP LISSEIPSPVGGIKLEADIEKRQAVKDAFIWSWNAYEKYAWGSDEFSPLSQSGSNLTS AGGIGYTIVDSLDALLVLDLIPEYERARDWCKNELSFEKDAVFNTFETTIRILGGLLS AHYLTSVHTNPSIQKDAPIFLDLAVDLGERLLGAFSSPSGLPWSGINLVTRDGIPDRD NQGVASLAEAASLQLELKYLSHLTGDYVYWKKAERVSEIIRGEAVHDGIAPIFISPMN GQFVASEIRLGSRGDSYYEYLLKQWLQTDREEPVYRDMYDEAMGGIKKHLIGQTKKSG LIFTQELHPARHPRDQTQTWQVVPKQDHLVCFLGGSFLLGITEGGKRSIDWNDLDEAQ QEDFVVGKGVIESCMKTHETATGLAPEIAMFVQWSDEQRAADEDWYIKPNLNGVLIDG RNILRPETVESLFLAYRSTGDEQYREWGWEVFQAFEKWCKVPSGGYAGIEDVQTVPPK QLDRMETFWLAETLKYLYLLFDDSDHIPLDKHIFNTEAHILPVFKPEYISPFATS I203_00420 MASSAKKLQSKIFGQTAGGGDQPPSADSPGGSGTATPMSGEQLK INIPTPPSHSQPGEKREKENLPKRPSLATSETPVAPELAGSPHPRDGGSDTDTPGTIG QEQKSLRERLQRSLGPRYRSVEEYRLDQSDRYEVHWKRWGPYVSERQWGTVREDYSAN GDAWNSFPFEMSKSRAYRWGEDGMAGISDNHQRLCFTLALWNGKDPILKERLYGLNGN QGNHGEDVKEMYYYLDSTPTHSYMKYLYKYPQAEFPYEQLKEESRNRSREVNEFELMD TDVFDEDKYWDVFVEYAKDEEFADALSIRITAYNRGPDPADLHIVPQLFFRNTWSWPK ELPKNMPNVRQEAEGVIYASEESLGNTRLYCTPSPAPAAPAKGGVVLVDGPSIVPELL FTENETNFERLYNGKNRTPYVKDAFHDHIIPSHRPAEPGTNGEKEASSTEANGTDDDA EQIIEPPPRPTSAHGGRQFVNPEKTGTKAGAHYEFKDVPGKGGCVVVRLKMTPYSPDE DPSILDEELFDDNIEERRIDADEFYGAISRGSISEDLRNVMRQALSGMLWTKQYYQYI QKEWMDGDPGQPPPPPERKWVRNREWKHMYINDILSMPDKWEYPWFATWDTAFHCIPL AMVDPSFAKKQLDLMTREWYMKPDGALPAYEWNFSDVNPPVHAWSTFRVFKIERKMFG REDLDFLERVFQKLLLNFTWWVNRKDAEGNNVFEGGFLGLDNIGPFNRSEPLPTGGTL RQADGTAWMAFFCLNMLSIALELAKHNPTYEDIASKFFEHFLFISDAMTFVGSNDEQL SLWNEEDGFYYDAIQWGYGHSQQLPVRSMVGLMPLYATLVLEPQVIKRFPGFKKRMDW FIENRPDISTRNIANIRERGRGDRRLLAIASKERLVRILEKMLDEKEFLSEYGVRSMS LHHHEHPFSMNVNGEDFGVGYWPGDSRSGMFGGNSNWRGPIWFAVNFLLIESLQRFHQ YYGDTLTVECPTGSGDYMSLAGCAEEIQHRLIHIFSRDESGRRAVNGGNPKLNRDPNF RDYVHFYEFFHGNDGRGLGASHQTGWTGLVAWSIMQTGEYCRLPKTPRTPRSVAKHYF DEHLNTPSEYNAEDGSLYSAYSVHSDFDNPEPDDL I203_00421 MTDEAIQVDSAPPVGEVEYEPQGPKPIRKLTKDVINQIAAAEII HRPANAIKELLENSLDAGSSSIKITLKDGGLKSIQITDNGHGINKADLPLLCERYATS KLQKFEDLQKLGTYGFRGEALASISYCSHVEVITKTKNDGCGWKANFQDGVIIPSKPG GPAEPKPTAANDGTVITAEDLFYNMPLRKRAFKSPSDEYTRVLDVITKYAVHNPHASW SCKKAGTSLPDISTPVNSTVKANIANLYTPSLAAELLEIPLTVLQPESKLSSTIRGWV SNANSNWARKGGWLLFINNRLVESNKIKKAIDALYTAYLPKGASPWVYLSLEIDSAKI DVNVHPTKSEVHFLNEDEMIDGICGAVQTALAGANVSRSFSVQTLLPAADRPMEKRGE SSTSATTLSKPKSTIKKPAPNYKVRMDPSNRTLDSMVTVVDPSQLSGFAQSGYNSSGG KGKEKDIQESICEFDSILELRRAARKGGNTDLNEIMRKHAFVGIVDKQLCLSLIQHST KLYLVDHASLADEHFYQLGLRQFGAFNRLRLEPSPNLRELLKLAAEDEQRLIEAGLEV DDVVETIYNLLMDKKEMIDEYFSLSITSQGDIETVPMILKGFTPNLDRLPHFLLCLGT RVNWESEKECFSTFLHELAFFYSPRPFSDLPSNTSTDEEGTEEEKNHQSWQLEHILFP SFRKHTEWPKIMMKDFNMIANLPDLFRIFERC I203_00422 MPGHVHHSAPPHIKRHYTMRKSPYLVSLIFLLAATTLTLLNIYV PSLLHVLVRNPGPTQFETRYGLYRRCTRSTPVPNAAFLQPSHPPSSASDQVFSGWELG PVNGPVYGEGDGWVCQAFPTRSECQQFGEKFCVLWSTAGYSAQLSLVPCLASLISLLF IFLHRARRQQWKLVSGTMLIHCILQILSISLILHVFRTDERFEAKGSHLDQSFYYGVS SAIVSGIMALLLTFTALAARAGKPWAAGKSARHAKRHRRTRSGRVIAVPPGTEIPPEE VVTVGEVRAAQEAVGETTGLLSGHEGEVAGGRGGERATDGAV I203_00423 MSSSLNPEIDGHTYKRQKTDDINSSDPKVARRAKFEAVFDVIAK ELLDYVKGEGMPKDAVEWYEKVLYHNTPGGKLNRGMSVVDTVEILKGRQLEEEEYKKA AILGWCVELLQAYFLVADDIMDQSVTRRGQPCWYKMPNVGNIAINDAFMLEAAIYHLL KKHFRQEKYYVDLIELFLETTFQTELGQLVDLITAPEDHVDLNKFSLEKHHLIVVYKT AYYSFYLPVALAMYMSGVEAKSAYDLALSILIPLGEYFQVQDDYLDCYGKPEHIGKIG TDILDNKCSWNVNTALKFATPEQRKILDENYGQKDSEKEAKIKAIFSQEPISIPQRFE AYEKESYEKINGLIESVDEEGTGLKKEVFRSFLAKVYKRSK I203_00424 MTIHIPLGSFASDSNAGPSTPSSKHLIRSDNTHEDLEDVLNSLK GSKRIVVVTVGAGISTAADIPDFRSSTGLFNDNVSKGKGKGKARDLFHVHCLSSPTLL PAHQAMITSLASLAISAQPTPFHHFLVTLAESGRLLRCYTQNVDGLESKVGLRIGIPP SPTTSKAKIKKRKRRTTDVDDMIDPLLLLNGQSRSEEVELDSQIIPLHGTIHTLSCTH CHSKFPIEPYLPLPPGPIPCPTCDLTSTIREALSERSRRKGHLRSDVILYGEEHPQGD LIGGMVERDLKVVDCLVVVGTTIDIPGVKRLIKEMSKALQYHHHHRPKSKYGKRAEGG KGKVILINDSWPKVEDGLVDYWIQSDIQEFTIDRLSKINDEEILEKEVKVPCTPTKKG VVYSYSYPPTPESIDRLQPNPHSRVISSDVEVETPTKTTTKKRGRKDVEVVIPTPVST TKRKYTKRKKEREITPTPISRLDG I203_00425 MGGCMSTPSEDPVADKRSKEIDRQLREDEKKLAKEVKLLLLGAG ASGKSTILKQMRLIHNKPFEPIEIEDYRKLTFSNIVGGMRTIIDVMDELNLAVLSENR RYISLVDSEPPINTGETYPIKYLNALKSLWADPNVQECYKRGNEFALAENMPYFYADL DRLFESGYRPTSDDILRVRSKTTGITETRFPLNDVVFRLFDVGGQRSERRKWASCFEN VTAILFLVSLSDYNSCLIEDRESNGMQEALLLFDSICNSQWFVKTSIILFLNKADILM DKIKDPQQQIKKHFSEFEGKPGSFNDAVEFFKMKFRSLNRTPSKEIYCHVTTAVDVQN VKIVMAACQDTILKNALRDMAII I203_00426 MFPTSRNHQRTVNAAKAYQHESPPTQEGSDSCYGVKSVSSWGEQ SEVNDMPGPSDTYAYGRSEIRDEQEETKDKNDDDEDGEGEGESTPEGDVSLSSSGIGL NLEGDQSTQMGQTSPSHQAISPSRPVHTNPEQRIVPPSPEDTVHPLDHSHLSHSEGSP ISKREHHHPLTLEHITRSFNVDSPFLPTTSEPSSPASFTSMPSYVASLSSLSRTSSIS PMGINEYSSQHPHITDELVLPTLALPSESLSLHMSLNKWTGEEGGLGVILLGEREDVQ RCLRRLRDEEALVEIQQGVGVVRDGKIAMRIMTGYISAEQARDRVLHAYSTLNALLHP QLREDTSARAELRRLVEGYIGRSDWIHAVINLNEHEVNPPSLEGIIPVLHSNTNTPPD IANNDSITSTAKTPSLRSIEIEPTPRPSDEASASGYFAPRSYTPSPASSPGLSGEFGI DMQAVSQIISILHDPTGCMDRSIDTFLSWRSTLTHRTTDHLTSPVPSLYGDMTGQGQG ERTMASSLTSTSSGVGAMPTVARAQGGGEWEATLSRRVAQRRESDSSRCIRDKSIGMG TSNLRGKGRRKRSLEKIPKVVEKEKDCLPPLFPRKSTSKSKGVSDKGLGVNDMVEKTF FLRSVKKWTRGWRGLLVVGLVVAVGYGCWISKKGSI I203_00428 MASKTNDQMDRSFLTASIPKLLEEMTEEEKISLLAGKDWWNTVP VPRLNIPSIKVTDGPNGARGDSFYHMCPATALPNATCLGATFSTELAELSGSLLADEA RARNASCLLAPTINIQRSPLGGRAFESYAEDPTLSGLIAASYVNGLQDKGVSATIKHF VANDQEHERMGQDSIIASRPLRDIYLRPFQLAQKHSKPWAFMTAYNKLNGTHCSENKW LLTDVLRGEWKFDGLVMSDWYGTYSVSDSVNAGLNLEMPGEARWRQQTLIAHSIYSHK IDPRTLDKRVSEIMNWVQKLAQHNEELVYAKPNKEKTRIEEKEADAKILRRIGGEGIV LLKNDQDVLPLNRPNTKVGVIGPNAKAKVLTGGGSAQLRASWSVSPWEGLVHNKPDDV DLSYSLGATTSKYLPLLGEEFTTLDGKPGFDLRHYAINKESGEKEENPVLTEQRDTSD MFMADFHPPELGDQYFTELLAIFTPGESAEWVFESTVTGQAWLWIDDDLIIDNSKYTS RGTSYFGSGSVPLQRTFKVETGKSYNIRYLHDSRIPSTLITAGSTPLTVVGIRLGAFP KFEPEQAIKDAVELAKNVDVPLIIAGLNADWESEGYDRPDLSLPLRTNELISRVAEAN PKTVIVIQAGSAVSMPWIDQVSGVVYAWYGGNECGNAIADIIYGKVNPSGRLPITFPV KETDIPANTNYKSARTKTYYEEGIWVGYRWYNERQIKPLFPFGHGLSYTTFEYDNLKI TNQPPKNAKADEWKLEVQVDVKNTGNIPGSHSVHVYTCPPKETETSLKHPKQTLQAFK KVSDLKPGETVTVKVILDKYAISHWDEGYNSFRAELGEWYVKIGKDAETMIGEAKFVI EQEIEWTGL I203_00429 MSGITPLQPPPPNTTTKKNRFKTHQSVMLGFALPLLAIGSSAMI YNKYLHGAKHFTTWHGKLGLISVIWVVAQASIGAASVWGGGKAFGGEEKAKRVYKYHR LSGYLLITLMLFTIHLAGIHSDWANGRGYTNLRILAYYVGLPLIWLGIELRSR I203_00430 MGLLSRKSNEYDTTTTTGVGHNNNPYTTGNATLGNNAQSGYGGI NRNEGPLEHHTGQGVSGTGPAPTVGGHAGGVGAGAHPPPVAGAGHVNTGPAPLHGVNS GSTPNTKEALKLEKKGHREEKLGNILHSTSMKEKSAAHLAQADHLKMQASELNEAERL EHEAGMRRQRAVGLGADPMHAHGSTGHGPGTGTHI I203_00431 MSQLEYTSAILGEYMLKGWTLTDLHCSSCRVTPLMREPSAAAER EGRERIQFCALCDGRSEGGLPTSSPSITQPQNTMASSSTSDNRPREEIQTREADKKSS QSDEAALSISELLLKGYSLLGDNCPNPACKGIPLVGYPRKSDGSRDPRRMCVSCGSRW IDEKEIEREGMTVVKQREQVESPRTKARNELYGLDGKGKQKEQLQEVKVDKEEFERQA RESAQRLERGVGDEVEGEDVDMEEEGVRESQPTTMKSSLASTRPMPHPLPGPINQPVP PSPSSPLGKALSSTSGSLSSTLQDLSSSLERYAAHPPGQRRNGEDESGKWFVDLKLHT EAIKDVLGVLGQVERAKRVGY I203_00432 MSSTPFVAQWGIIGCGWISSEFVTDICRQPSTRDVNDISHAVAA AGSRSLSKAEEFLNKYCPDGAAAQQQGLVDFKPKGYGSYKGVVEDPNVNIVYIGTMNV CHYDDAKLALDAGKHVLLEKPATLNAAEWRSLVSIAREKNVFLMEAVWTRFNPVLRSV QKAIHQDDLIGDIRCLFSDLSMDSFGKRKDTDRLLSAELAGGPLLDLGPYPLVWTMMM LYRHPKNGRTPPAKIGSTMMLHHTGVDLATNFALTFPKINAIANCTANLLSPTQQSQH TRIVGTRGEILVQGITSRPQSYIIRRLADPSQENGKYLEDELVDLSFEEGGLVFEADH VARCLKDSLVESPDMPWAETELTMSVFDKIRKEGGYKFLPGLERVKLD I203_00433 MPNFLKSIFKPNPSQTYSPSPSKYAKYRENEDTRRGDGQFFERR DLLAELLRTELRSTSGSPSSPVETYDDDQNSRARGYDKDTIYDLIDTVKSESQSKSRS DEDMKRLIKALEDIEAEDHHIVKSKSKTKSKGKAKAKDTDPAYEDGRLLDPVIQIGSK AIESGEFLLKSFIGRGPNSPLLGRVIALLEAQRDELEDVNPFTGKRRYPALNTLEQIY QKVNIGLRDIRGMIEGEARDALLGLIQVLQEGPDYLIDIILITSIIHQSSIISIPFSN EIRGILDKAVDDQIDLNNFRKTGESIIAIASALDRDLLEDKECEEAYKKIGVLVKQLQ LRISSSALPTPISSLPASRSTSFSTSTTTPTPLTLPSTPDLSPIPSVPSSSPSSPKFT SMTASDLQKQYGTGTGQTTPLPGLSSYQPTPQPSVPPSRRASSPNPSSGSSASQEIWE YRGQLLTASALDLVLREEMLLMADSSNQYAGEVTYDDLRKCWIPKHVRKLRPSDLTTD GEIPDTTPAGFKLKEKDEWGNKIGWYDNGSGLKEMYYLEEPKFELQDDD I203_00434 MKPSPTLIPRLLPILKHHPIPSSSSTSASFAIAASRSAPAQHIN QKKVEQIGTGTGWSTWWLSNPSVKHINDDKIRKSPLSNSHSHHHDHDHLQTSLNYHNS ISYRLEPTAVTDNIRSTPIQQSNNSKSKGNATTPTSSGNGSGDTSTVPPPTIAWNDYL SMV I203_00435 MSISPLITLTRSSRLARTQLLKPTTLISRSTPASSSSSSSIIRS LVTSSSNGSSESRSQHQHSYKKYLPYALIGIPTSLLIMPTLSADSDSDSKVEVPKSSL ESASLPSLLRSYLVYTMICLPGLVDYSPTILHSFTHSYIPGLKTLTEAIVRMTFFGQF VPGETVEECLPTMRAQHQRGVASMLNYSAEADIGSAIQEDEQADLEEQSREERLQEVF RALQKAGEFERSLQENERGATSFALKVTGLIDPPILTRASTTLLRVRPLTTSSQPMSA DAPPQVPYPGTPQSTDARIVARDPSMGDGKELLSLNGVLGSMGVLDTDEGLRKGDLEE LSNLWGKLKKIGQIAKDNGIVLLVDAEYTWMQPALDAYTTLLSAEFNVPPKKDSEEFK NWRGPLIYGTYQSYLTRQPTHLIAALKHAEENGYALGIKLVRGAYFDKERKKWKVEGR EGADPIWPDKPATDSSYNGSLNTVISTLSTQLASSKPELALSVIFGTHNPDSCDLICD GFKQVGLAKELEDGTGRLELRDDVVGKVGVAQLYGMKDDLTDKMASKFVFDGRPVAIK YMAYGKLSEVMPFLGRRAIENKSLMSGEQGAGAERKRVGGEIWRRLFG I203_00436 MSNFEQPIHAPIPVPVPLSPVSHQLPNHNLTNSNILTLDSPPSS GPLQLPHTSIPSPFLSKLYHRLPPTLVNFIDQNVGLTLVACAQLFFVLMSLTVKYFLS TTKISAFTLIFVRMSITSIFCFLSLVFIVKDKNPLLGPEGIRRLLLLRGFFGFMGLLG MYQALRGLTVSDAVTIQFLAPTLTALLGYLLLGEKLSFKEIIAGFCCLVGVVLVSRPS FILGNVDTPSAGGGGGTRLDLPPPPPGEGDTEGIQTPQRAVSVAWAFVNVFFTAVAYT TIRGIGDKAHALHSIGYFSYLCTICTGLYMLVNPKPTVFVESFRDFLFIITIGIFGFC AQTLLTLGLQREKAGRAGIALYTQVVISLVLEFLIWQTIPSFLSTLGTIIILTSALWA TLSSTKPLPQATPTDPEALPFSRSPSPIPPPQSNRPTLRGEHYSYESVPTSEADNGGF IIGEGEEETASNGPSRKGSKDLLNVPSRSGSRRGSSASGRSDSLGFERTSGDDDR I203_00437 MDDPGPSSTYYRSFASTADGPIHPPSPPQSPLLTPYLPPHQREA DLYALLNLPREASESQIRERYRTLVTTFHPDRQKNQHDRLIAHERFTEIQRAYEILTD DNKRMIYDLFGEEGLKTSWELGPKNKSKEELRSYFIQQANHKKILELENLVKSKSEIE LVLDSRAVFLPKSFWKDPSIVSHDPISRLGRVRPGRSIIKHSFEVPLKDNLQVSIEGQ ALSRNGKGGSNVLGTIKYQFSPRLWIEFSSSALQPRVGRLKGTYTVDEDQYITWNIVQ QTLGNPPQVGVTYGRRLYSDSTGFISYESGSYSLGSWGSESPLSVPSSLSVGVTTTRR NGTGWTVQTTAGLGASRLAADWSTKIPGGLKLKFGAEVGLSSSPALFINADGKLTDNT RGGVLLQCEIGGGIIMKLKFNRLGQRISIPILLSERLSPTILFCSTVLPSITYLGAYR YIILPNKKKRLRERINELREENKDFILQKKHEALDAVSLMEREVEKKIRTERERNGLI IVSAHYGSSSSFTSRGIKQSEKEDEEEIIDVTIPVQALVQDSKLYIPGGKGKFNIIGF WDPCIGENKSLRVRYLFRGKLHEVTVGDTISLRAPVKGMCLLCYFTYARKKEADLLE I203_00438 MATPASPAATVTNPTMPGTIDPHTGTTTPGAHDIEKGQRHQGLG HGHGHGHGQGHIDPRLNEAQPGFPVYQKPRANPAPLGLFAFATTTLLFSLINAHARHV TQQNIAVGMSFALGGLAQFVAGIFEFCVGNTFGMTAFCGYGAFSFSIAISYWPSSGVL TTYAPTPLDPYRLNAAIGLFLMCWFVFTFVLWLGTFRSSVVLCGTFFFFWLSLLLLAS GYMANKVGVIKAGGWMGIITSLMAFYVGAHSFLTAEAAPIQLPNPSLARKRI I203_00439 MPAAISALDSGNPDGEDDSRKASKLAARAARFNKVLPGNRYKQL EEMRIKERKAFEQQGLIKVGKTELGDAVDMRGTCEMMCSEYEREFREYTREVHPFEKM VSHRRMDPSKAVAAYSRSDAGAGHGDSAILPSDLRTPATLVRTLDYLFSVIMPTFPSS TSTSPATPRKALGYSAGFIRDRTRAIRKEFAMQSSWGHEEAIASFERIARWHILCLRE LQEESGTNVDMHIDSAELNRCFTSLRQHYNDRREELGIEAPCPNEAEFTAYMLIYDLN SKSVSIPFSELPSLILDHPLVKMAWEIRRAAQRNFDTQKEGSKHNAELGMNLITRFVK LLKQPKIPYLLACLVEIRLREMRRSAIRALRRPYPALKTDAIRVNEMGEIIERKMILL NTLNRILGSEEQENEDSAWDDIDFLDKNPDQESIDISRKFGFEIYEDDSGPVGALINL GSPYDDNKDAPHTRRWKLITEKRGNASYVDIVNGKAGVQIDGTTNIQPTRPTATNVFT APKPTFTSTPASTSAFSFKPSPSPAPAPVQQVPKTKPTFFKSFCPPPDHPDVIAQKNK ELIPGPPPLLAGPTKGIFAEPYPYTAKEVSDALNRTDSIFNKVVPQDKSAASSPSFTF TPQQAPESSLKKKRSAEEDSAPPVKAPLFSSAGFFSAPSPAATSLPSSSTAPVSSLPS LIPTKAASPTLPPFSVPKPISPVATSPLTESTFSPTRQRKRTASSVLSSSTAKLSSSV LRKSLADVHKQREEQLNALPDICDMLVDEVIQFMIGDHLSADLTRYVKQQKAATEYQR RKVLRSEAILHWSQGTFHQLVDHETERIARVALLEELKRRYLTRRAIRYWKSWAKLQR QNREQSEKKRVNMYTYLNGMGLSKLISSLPAVSRSETTTPISDIDMSLEIERLDSLQI DIEINHAERTKDNFFSPSTFLLSIIKHVGPFLSPQASSESTFLPAFHTIVSIPSLGDE EGEFGSPPDKQVQDWLNRKFLQPNRRDGHDVEEEESYVINGVMYESKIMESGKNKLPG WCSTGLYVFEVPLKTDNEIKNAQNIADCQDRIGVFVKGLQASTNRYIPSLLILSWEEE SIEELGERLQISEELEMFSRKALVSLHYSDDLDERFSKALELAIPDLTIKEQLVIRLN GDEILIFVLFQYLQTYLDISLIQLTQNPKDINLASSVFTRGTELINSIPQLTRSTLGP LQLEDEGKWDPIVLPEFKEEKDDLPFELVERIVEYSENDLLKGIDDLDLSITPLRQAA FLGQPLSPIITILRSISYLVLGELKDTTIELRFFPDENSKLGGIDSHLESYLRNLQKT YEKKLNEIMNSIFIPLPLPHDESPHTQSTITAIQTGVSRSPIRENGTNKKRNRTDENT SPDSQRKKESKALKNARLLRTLKDVEKTLALSQLDGNGNELKEN I203_00440 MARPLRSIFTVAQTSIAGPSSFRPLSPSLKVASPYQNQVRTAFN LSGWDRFLPKLPRWTEDEKEKESTANASQGQAEEGGVKMVEDKGVATSEEGSTGGLFD EYSKDKEEDGTGKKRRKRGDIPWTEHKYSSALHKISHRKLNDLSRQISNLPVDEAIVQ MQFSEKRASSWIKSTLALSRDHAMDKGLDRSKLVVAETWVSKGPKIARLDIKGRGKYG IKHHPSSKIHVVLREGKTHEEKLQDKFIKDLRKVRSAGVVREDGKIRRKVVSGWTW I203_00441 MVANRKFFVGGNFKMNGSLKEVETIVSRINEANFDGSTELVVAP PALYLLKIQEELKPPAQVSAQNSYTEKSGAFTGEISPNQLKDANVPWVILGHSERRSL FGDTDKLVADKTKAAIEAGLSVIACIGESLEERESGKTQSVVERQLEAIAKEISESEW KNIVIAYEPVWAIGTGKVATKEQAQETHEQIRQWLAKRISQSVADNTRIIYGGSVNGK NCTDLSNAPDIDGFLVGGASLKPEFIDIVNSQKA I203_00442 MPPLRSKKSKQSRFPVARIKRIMQLDEEVGKLASATPVLISKSL ECFLQQLIDETCKETRSRGSKKMTAYHLKHMINSNPTFDFLREIVESIPDPIVAEPKA GPSKPRKVSNPNPSSNDPLGGGGPVKRRTKKEKDDNGHGGQQEGFGFAQPPAPAPNPN SLPNIGTWKRDFTGTGGTGENGRGIFDDYEEDEDDY I203_00443 MTSAASSSLRRLPLREAVNTLRTSTDNLVLSNDVRSVTLRFVAK NSEAGPRQFIRTHLPRLSYSNPNLSINVHRIPDPRAKHKNPNSPDKGAVWENGIMPKP EMKIDFDGTPSQTLPLSHLDGDKILAQLISVAGEERLKSLEGPSSLPNQGNI I203_00444 MSQNNKDVEASIPLNAMPRASDVERDLTHGEHIEVKIAEPRAPS NQPKKITIPAIIIIPIWMACSISVILYNKYIFDRFDGLNFPYPVFLTTWHLIFSAIST RILQRTTTLVDGAKDIDMTRDRWVRSILPIGALFSGSLILSNYAYLSLSVSFIQMLKA FVPVAILLISFAFKIQEPNKRLMAIVLMISTGCALAAYGEVHFELFGFICQCAAIAFE ASRLVMIQILLHGMKMDPIVSLHYYAPVCAVINAILIPFFEGLEPFYALHRVGLLVLF TNAGVAFALNVAAVFLISVGSGLILTLAGVLKDILLITGSVIAFGSPIAAIQVFGYSI SLGGLVIFKTTGGK I203_00445 MIIPLTSIPRNRLKIGRSKRQVITDIRETSTRTTQRVAGDAEAT TRTRDRQSVTGTSRLVAVDNDGVARTSKPTDIPSQSSIGEKQSVPPSDTNTSSFSALS TIFLNSFPPNFPVDTPQPVLPNSSNRGYMFMKDQQVLDSTSDQRRKGKVDNVIMEDMK WAEGREVIERKIIWENGTDSIKSKKGGSGGARRSFLGLESGLGKGTSSRVRK I203_00446 MTSSPILTPSCRSIAHTPSPSSSPKLTPVPSTMAKPIPTPSAFS TASGVPITSSSLPTRSFVRGPQARPYNALPKNPKAVDGDTKRFAEIVASMVTQRENEK RKSELIEMVENVNLSSSQEDSRSIGPNVKRLNIPKRNKSIAMGMVGSWEVEAAELIVD IPVWSPGCFQDLSTLHALRDTTLSHTHALLNHLLNAHSTPATYRLLARSCAQPNHSDH AHTAYHQGWGCIRLTPSITSPISAPLELKPSVRRASLVHTHSTPNAHTLKNQGHSPTR TKVDHDNCHEKVIVESDDEEEFEIKLKEGYSRSSISTSDDESENEEEESADVIVGREI LRRGGKEGMAFLMTLFGQPALILT I203_00447 MSHQTYGDDRRSFENVELGTPVLRGQSRTKQDETAPDRPSDYFN YHPPILTLILSFAVWLMLLLVCFVNPSGGLTVVFEDSGDYVGVLRKCTASSCDAWMAT AQSSPSSSSSSNSNPSKRAATTSDLSNFYLTTGLATLASFWLMTYSLLFIIIRYFSTI LPTNDHKPETSDDGSSMRRMWRGFKNPIKKFAFRTSRIFLFFLSWTMLGVAFDATIKV FSITGGSGFGMGVILLHLSHIFLFLLTFLEISRGSIRRKADLSMWGCKCFQFCPSYNR RARRKWEDYDAGRGGSTEKKKSSGKRKARREEVKEQSREERYDDAVYG I203_00448 MSAKDYYGNQQQQYGQPQYGGGYPQQGGYGGPQGGYGQQQGGYY PPPPQQSYQQPGLYSNIDSNPITLVLATLNLNPNRYTFNNKDLKNQVEQVQDVVHVSL VLSAVAAPKKCVVTCYSNLPSPFLLSHNQPITNIIEFHFNSHINLSICRRI I203_00449 MVGLAGFQTDTDISKSSGPIERELKPWVPDASSPPPNGSHANGS GNGSGKDQDTFGTLVTNIPWDQFETNERLFGAKTDYQEELYTTKLNKNGVDYKKREKE AEKLANEIMGTTSKNTHIQEERGQVGEDSTKDEEEKYSGVVRAPGAYVPPGARRALGQ GGAAPRVSAPPAPTASKPSASAAPSPAPSAPKAISPAPAPSSTVAPPPGPPRSTSEDP SATISGAAAAASGPVKPAEAPAIPTVTAPTDQKADGQLGGVVDQWRQFVGTERERAEA KKQSHIKSERERQLAELKKFHASFKVPIPMPKDILPILAKDETKQKDIEAKAATQLEK AREDRKSQADTNVKSPVKTSAPMEIAKTDQPKTLPPKKPFMKIPEIPPFNPAKRKSIV PSTIANGPPAAAPTVPIAETAGQNIPVQATSPTPSMASLASGSQAKLNPKANTFVFKP SAAVFKPGQPSGSPAAAPRQLPAATSSAASPAPASASLKNPFFKDKLPEKVNVDVRND FNPWKHGNGSVPSASSVGLQWPYPGRKSHIPSFVGGPMGGGPIPIHLAGGVGGPGSSV FEDENGGGTGSPSPHPAPPIVGGMQPYPGYGYRFNQPGLPPQFAGQMNSPMFSPGGPQ FAPLPGQQQPQQPHHQMIPGPGGPNGPQPNGMPMYYQSGMPQNPQFIPPQHMQFNPHT PQRHGPGPGPGPGGPGPQGYYPHPGVSTPHQTPQLVQHNLPPFGQQPPPQQFHPHSPM QVNQPHPSAPQAPSNHAGGPQAQQPPGSAGGN I203_00450 MSTGRGGNRGMRGGRGGGGIDNSRGRGVWRGGPPPGSGSISRGA SPAMSNTPPPAPRQSINDQ I203_00451 MTTEIPRTSLDNYTIRLANDDQKVQHAKAGYDHWKKDSTFQQYW DIYTRERNESPWGVKGKLLTWVLVRKDDPEGEIYAGCETYSRKGFIKPKASEIVEDTH LYGIASVVTPRQHLRNGYATRLLSLLHYELSSLPSPYSSTPSDTKLPKAVGSILWSDV GSTFYSRCSSSSERKGWAVRDSQNTQLIWKILPPTQTELPKGWEWIYLPDLPGIKSKL SKSVKQNLEKMDTSSRSLFIHDPISEGTLEFVPTKGTWQRSLISDPEPIGIKFSPVNV PEGKREDTIVIFSPKTINIGDRFLITYIHNLRSEQIPTLLNALDIIASQAGQTEGWIW DLPSTDSSEQLIEAWKSVGKEREVTSGRRNEIDGHLLGVAWYGDVNDEAELVEGQMWT WC I203_00452 MGQQNSKSSTVVDLEPALTSAHPPTYPNQSFSAFPSPGPDPFHH SPPPHRITSPTPQLASPAFYHAPHSAPVVPVTTKPKGLIRSLSAKRRGESRRPSGSET EPGLPPVGNIIALKTLGQVDLKASMREFEALVQRAEIDAGIRSPSPSTQDQISSTFPK RSQHIKRGSIHHQTYDNSHIPRLSATPAYLRPTPYRRNSSASSIRSSRVSIKSARRAE REWRAKVAALSSGLSPSTSSLVPGNTPPKNVIKIKGGPVPPKRTPMSTSAHAMSQTDR STSPLYTEEEGTIIVTPPHSAPQSFGTPLSNKSFETLGHYPNSSTVLDSPTPISASEW EARERKPSVPHSAYSSVYSTLRDEDGMARPISFASGQWLAPVNSSPLKVDITSAQNAE DEGVLLSANSVCVNGAPTPDSPRGDNLPPFESFKSSPRMVGNSVLPTVETPTKMKHQS LPSPPRPTAQHNRRPSLHNPSLEIPNSPPTLPPLAFSAPSTPGLVTPTKPILSTPTRG VEEPSTPYSPTTAYILSAPSPFIDQLAFSTSYGAFVPSPFRKTTSSSAEVNEDSPRTP VRKHPFTFGAKPSPEPITINREPSADGGQNAGMSIIPRRNHINHDSSCYIPRSLPSTK HAQVQNNTLRPKNHLHNIPMPIKSNIIGTGTDSRYAKESGLKRLRGKVDLTPGMENGC RPPKSGLPMADLERWLQNTSI I203_00454 MSLPPINPDRTASGIIVDPRTLERVIPQSRRKDGTVRKEQKVRP GFTPQEDVGRFRSTRQAAEDARAASRPTIPGSDRLGSQANKGGKEENVFASETREKTK AQLKNEKRREKRREKVSVNWDEDDDEDEDVGKLDEEFKKVDIARQQGAEQSFPPLEGG GGVPEESILDNIKSNEDEEKSDGKTAAIEPSPPAPSGSQPETASEQKTTTDKPSLLND RKNEVQQAQKPHPIQGGRKGPIGLANPPPLEEKSQASPSRADQDDWRTQTRKKSNNHN SNRGGKQNQGNRPANTNRPTQPSEPSPQPRERKEYKVREGGANDLSSLASRVKNLVVA NTVGNASRDYKDKKEERKASA I203_00455 MPQKLILDTDPGVDDVLAILLSLSSPELQVVLISIVFGNTHAPV AHSNLLKIYHSLSKEIEQIPDAQSRYVRLAEPNQKTLLALGEDGPIGGEKAVAAYFHG PDGLSNISETHPHFTPPKLDPSTPHEHLEISTKPSYEVMLDILKQEEDDSVVIVALGP LTNLAHALRTDPQTFSKVSRIVWMGGALDHPGNTSATAEFNCFADPFAASEILEGCKA GLFELILAPLDITTPHAIPFSDLIHPSVVKIPNSKGELVETDEEPTPLQAFVSAMLVR VRGLQASFGLEDSMEMHDPVAVWYALAHAMQKRNSPPLEGWKAVQRDFKIERIGELTR GMCVTDRRGTGESNNTDRSKDEDLKSFGIGKKDEETGRMGEKIPKAKQLPWVITKTAG IDELRRVLLGRVFGTKVDE I203_00456 MASLLPDTSGQIFIDALDILSEDYSASKVLSLVKSILSAIKKAK APSRLVLLLPPSSTIYHSLVTPSFHSTLTLITPHSPPLVEHLSKSYLSPISSIPSPNL WMILENTTKRSTHQDMALKASSEKIEFDPCWTQSLGGPAAIVQVLVRKPTGGIKGISR SLEGLKLDDDKKQFRVVDLDQLVDLNPFSKPLTAGVSAGDKTINTHSELDLPFNLSLT DEQRNKRAQVPLPYAHEGEGASGDLIWEDEEETDDEEI I203_00457 MAEISEGDSNFDALFKAFVMIVVSEIGDKTFLIAAIMATRHPRV TVFGGAFASLVVMSILSAALGRVILGLIPKVWTLWAASILFLVFGIKMLQESFSMAAG NFHIQEEMREVEEELEEDSAVHDSHNARGTSSNIPLESLEEGKVLIGPLEHRHWTITV KEKLRNIIQLLTNPVFAQAFVLTFLGEWGDRSQITTIAMGGAHSVPVIAFGTILGHGV CTAGAVVGGRYLSTKISVKHISLLGSAAFIIFAFLYALEAYYYRPELDDGAF I203_00458 MSRQDKATTERNARILRDLVKQPDNKSCADCRKNDARWASWNLG VFLCIRCSGIHRSMGTHISKVKSIDLDIWTPEQMDNIQRWGNKRANLYWEKHLKAGHV PPDHKIESFIRSKYESKRWAMDGPPPRDPSVLEQGAGASAGVSTPTEVPRPTPTTSAP APVPQAAPKHHPLLSRTAKPAPTPAAPVPAPAPMVDLFGSDEPPSLAPPVTTSAPTTN ASQPTPGATAGTQPPALTQGSSIFDLDFHTPTPSTQNQQQQQPKNAKADIMSLFSSAS SPSATAPASSGGFFNTAPPPPAQNQYASWNGGVTSSAPPQPAYQQTQAHLPTPTTGGG WGGISADQNAWGGGGNTQTQPVQQNNPWGAGSNNTNDPWASSGSTGGGGGGFFGSSSG NGLGNVQPQQKKDDRDPFANIWG I203_00459 MSEGTHTKDTTSVDNSTKSGTVTSQSQGSKRTNQSSAYRSASHQ DTSAPISDAEYAEQLRREGRDFSFFKDMEEIRRTHGPMPPMTTDIMMRAYTEIYGSDG KS I203_00460 MPPTPCSLCHIARALVKRPKTGQQVCKDCFFEVFETEVHNTITE GKGIFERGEKVAIGASGGKDSTVLAHVLSVLNKRYDYGLDLFLLSIDEGITGYRDDSL ETVKQNQIEYGLPLKILSYNELYGWTMDKIVEQVGRRNNCTFCGVFRRQALDRGAAQL GVDHIVTGHNADDIAETVLMNIMRGDIARLGRCTAVTTQSEDTIKRSKPFKYAYEKEI VMYAYFKKLTYFSTECIYSPDAYRGHARVFLKDLEAIRPSAIVDIIHSGESFQLEQSV QKGMKAMQTCLRCGYISSNDLCKACALLEGLEAGLDRSALRQTQANSSTAPEGHRTIP MFERYSNIGVSQPSATEGIEKAVQAIEIK I203_00461 MGEAPPTKIHDTGQKDAPLPSESTPFTFTCQCLNLKVNGRIAKD DEKTVTKGSKDTLKVYLPVAAEVVKLGGYVTYDQDVFREPDESAVEEVDGDSLGLSWR ICWLCNVKAYQVEGKARDDEATQEEWVKVNLSSGILYGEDLDTSEQTLLSFSKLRLDA PKSSSKFGRPPSNSTPVPYPAINHTPESHRLIPPPHDPFFLPPPFIPNNPHLRDLCDN AGDHLKEAHKKLEDEVRRYISSKTQEMRDLEEKVRGEVEMLWIKYKDGPGKGEIIERE RSSSTSRAGDLSRPVSKDRSIPSDNNPLAKASTSPSVNPPSTSLLAQSLSANTFYAPA PTNKPPPNVQDEINKTLDHVASTYDKRDDSRAVAMSYVFSSLADHMVGASSVGGTSQS RRRSSSQSNGNGNREEVADKDSWIDEERATLRGTLGTTNRMSAVKEEEGEGRTPRPTS VKQLHSDKKEEKGKGKGKVTFEEPEEKTGEAERSDVEDTVFDMEMDDHQPSKPHQSTS SPPEKLAQLPISRTRNIVEANLSRTFAADAPSHRAAWRRIEENGSMYATLRRGSSSSD DDEEVEDESRISKLAMSMPMAIHLPKPRAKHETVTELERKTSLSDKHGVLVPPLLKAM RQRGIEQNSLGLSSPRGRTPQNRIRKLSRSASVSREREQVQSYKNDPGALYESLGDAD YDDDEDAEGEDDGQDQGTLRDKKGFIPPHVLARKKDKDQLADVGWRSMVSS I203_00462 MLSGEDGRLTKPIPFLLITNGGGVPDEERRAALSSELGIQLTEN QLVQSHTPIKEYVDKYRDKPVLVLGGKGESCRRVAESYGLKYPYIPQDIIAWKPSIWD RTELTDEERGFARPEDFSQIPFSAALMFHDSHDWGRDITLMLDLMSSHRGIFGTRREG HDRADVKGDVELVFSNADVEWRSDWPIPRLGQGAFRLSLESIYKSTTGLQLPYKQFGK PFKATYDFSELMLRRYLKEVGRDPEGELNVYMVGDNPLSDIDGANRHGWSSILVRTGV FHDTHGEVPSHKPTIIADDVEKGVEWAIQEELRKGNL I203_00463 MPPRLPSRRHVDLVNLSFLLPWAGKSSYTTLPTSSSSSPSPNSS QPSPPKSPLYPPRVFAESSKMASLRQRKKSPGLIHFHLSVPNPPLPSTLLDLVRADNR HLNLNSGMALSSYCLRMGDLKSYRGLWQLMGRKRIAPLSIIRSHLSIRLPLPSSSQSE NGISKMMKVKYKIRPNRWAIKMFPPLPYLPSTKFTKSQLIQHLHYLSLQTESQSELPT FEEGLELLKRSSDWSNSNVEYGSALELLNLYLAYTHRSPSSSARQIDGIELVDTYLKE AQGARVNRQTLHLLIKSHISTPISLLGLKAYSPEMKSLKNKILATISNFSIAHQITPG PETYRILARFAGHYKLDDLAGIAWEGWYDAIKLDRLIKRQSSQGDRILVENLGYNSSS SLRVRFGRIGYMNKRWTRVVRLYENLGWIKKNENEDALEKEYGFGYVWLGEKGRLSKL AELKVMEQKEKEVVREEIKLENILNVKEEVEVGSIVGKLDDLKIKVEDNMSVLQSPRE EVKFNIEKNEISNQPILSIVKNKEVTSKQDSSSAIIDESEISHHKPPYFVLIRDGSTV KIRSKSKNDNSQIDLDGLDDKPIWE I203_00464 MEFIPQSDSRSLTDAQQPKNVYPCLAHIHIIPHSDPKATRRLST GSFGSDEGNALTRVISGGRRKSTDVSNPPPPAGGRRLSFGHKTTESGSTPATGGAEGE IQGKWYWRVQAGVTETHLVLLPLTQPPNPVLTTPPAPLSHAMPSHSTQASAGIRSESG QEEDGGLIGKMKNLFRRSSTTQRDTSETINTDAGSTPHAQTGVVDTTTSGSAGFGSGA GKAERVIDQTPKGEMLPPAKGNEIGATNLNQNAELGYPGVINGSKLSGVLVPLGAIDK SKIVVGGGKKGEGSWITVPILSHFSHFAQSALGENAPTNKNESYPKSGYIKFEFDKDW IGAKGECELLHHHLTHAISVIPESKDRQPHLAQFHLGGGGKHSPTLPQAREVGPNDES AIEEDDEGPKGTGIGTGDSAFTGTGAGVGTRGAGMGMGGATAATAVTGTGGDMAAGAT GDQGIALGHPVAGAGHASEGGSSVSGKVGGE I203_00465 MTPNIAILGSGTFAKASYLPALLALHGDTLNFHSIWSRSAESAQ SLLSVAQEESSSISPKLESGDQGLEGILSDPEIDGVLLVLPITSQPDLVIRALKAGKH VLSEKPLAKDVKDAKELVETYEREYKPKGLIWRVAENYSHEPILRDAGELIRSTPGLG PILFWNLNFQAFVEDGSKYQKTAWRTIPDYQGGFLLDGGVHWTALLRVVLPESARPSS IISLSSLHRTHLLPHDTLQAISLPPKSSTTEAHGPKTKLTTAVNDESKVPGGIGKSSP RGQITFSFGGPNIPQDKAIPNGIRISFLNAVIDVQSGFNEQTNERIFSIEVIPGEGTG VKAFKKEGKMDGVQIEIDHFAKAIRDLKSGKKLSEDDESNYAKPRDSLWDLAVLEAML KSNGKEVSVDV I203_00466 MNTFIHYHTLDLRLHDSPSLHVAHQPNNPSTHFLPLYIFDPRQL DLSHLPNAPKSPSPHSLKSATHQDPSARYDPKQSRCSPLSRVGGFHRTSPYRLKFLLE AVFSLRESYRASGADMVFAYGLPEVILPKIVESLKTDGGKVEGIYAQREYTLEEISNY RRINNALNNEKVQFNDSKTLISPDHLPFNPESQTPDVYTEFRKKVEGLGIGLNEMLIK PLDTSEVKDGQVKYNGRIKPFPKIDVDKINLKDGQGGFISSDETIDSVYSKLVKPLFD NPPVGGWSSSSSDSRDTLPDLHSKSAIPFKGTELAALERADDYIGIPPEGKAGWKGGM KAKHYKETRNGLLGEGFSTKFSTWLSLGVLSPKVVGWRVGELLDAQGRDKEVWKNAYW ILFELLWRDYFQYILNPNSSLFNPDGFSSQISTYPQDLRPNPSEWHQANLEDPNDKAR RWCEGRTGVPFIDANMRELVETGWMSNRGRQNVASFLTKDLYCDWRIGAEFFEMHLID YDTCSNWGNWQYQAGVGNDPRSSRQFNPIKQANDYDVDNGFVRTWVTELEGVGDEYVQ TPWLINDKSKLKNYPSKPIVELPSWKKHYPNQPSTRNRGKAKGNGKGPKGGKKGAE I203_00467 MAFILSNLLSWLRSLFFAKHLEVTIVGLQASGKTSLVNVLGSNQ WSEDVVPTVAFNLRQVRKGNVTMKVWDVAGQPKFRGMWDRYCRGADAIIYVVDAADRS SLPTATSELHALLSLPALTSVPLLVLANKNDLPDAVGVDDLIKEMRLGDIGGRVVSCY STSNKTKHNLDIVLAWLTQRAH I203_00468 MSDTPPPDAVPVNGRDRTPELVSSREKRRREDENEEDDRDAQRD RSHHRSSRRHRTDDDERDRERDQDRDRERERRHRRHREDETEEERRERHRRRDEETEE ERRERRRRREEETEEEREERHRRRRERERERERSRRDSIGSSRRDRDRSRESHRSDVR PKVKELTREEKEAERERRDREMAEEKREAARAREARFAEMDRERELERRRLRDQERGL SRDRSPPRRRRGSSSYSSPAPPRDPATALLDEVERENRSIFISQLSARLTSHDLGMFF EDKLGRGAVRDARIVTDKVTRRSKGIGYVELDAAELVNRAIALTGTIVMGLPINVMLT ESERNREPTGSNGQIARPAVTFGHTFPPLSTGLAIPQGLDVDAHRDAAIPYHRLYISN IAFSLSADDLRQVFEPFGEIEFVDLHIDFSGMKKGTGYVQYKELQAAQMALDAMAGFE LAGRPIRVQTVQDRAYVATEQIEDNGNYGTRLDANQRQQLMFKLARAEPTVNLALSAP KPMNPTPFIIVSNMFNPDEETERNWDLDLAEDVKGEVESKYGKVKRIKVEKMSAGEVY IEFNEIDGATSAIKGLNGRFFGGRQLQASYISEALFKAHL I203_00469 MPLYELFCIAVHNPSSSVNLRSVINSLSNQIHSTGGVVRDMKKL GINLTLPQRMRRMRQYHERGDHFTMTFDTSPIVLKRLDETLRRDPSIIRWTLLKKASK VKDLNKPLNSSIESHGTEPRQVEM I203_00470 MSSSTFNSILSSLPRRLTDLETFQLPRLVSCRGPLDLHKELVDE MRGDLEGVKYNLDLAKEISYSLPHNEQEDAQSRVHELEQQYLSIKRSFRQGMLDSKRN ILSKRSRVHELSEKSNRRYELDESRIGDEDIPKGKGKARSAAEFGMDRDDELQTKTNE VTVALRRTTELMQTELEKSVLSIQTLESSTQTLLSTSSLYDRYTSLLDLSGQLVKAIE KADFLDRIIIFSALGFFFLVVGFILKRRILDKTVGVVVGGVGRGVGWYLFGTGRLIKY AFKGRQNGSGLVDIEKGLGSEEVNQLLEKGNVINQDSAIGGQSLEHDMELADPPMEGA IPSQVYDASKPPSGKYGKIEVIHNDENGRIQPSWVKDEL I203_00471 MSTPKISIKVSTPGGPSTPSAEPITTDATGGDVTTHDIATAPGK EREREPIYSGKIGNIGGVGETNGESSSAPSQTDEPMETSTPAVEGEAPTPAPEAAERP TPQQLHDLATTYLAAQIHPLVIPSYSSWFSLSTIHPIERRSLPEFFSSRNRSKTPAIY KDYRDFMINTYRLNPGEYLTVTACRRNLAGDVGAIMRVHAFLEQWGLINYQVDPDTRP AALGPPFTGHFRVTLDTPKGLSNLVHPGTRPNTGSLSLTAQTNGITPHSSNLDLRKTI YHSTSKSSKPISSSEATKIASTTNGESVPKTQTFSCDTCGTDCTRTRYHSIKDGEYTL CPSCFVSGRFPSTMFSGDFVRLDEETFKQSSSSGLGSEWSDQETLLLLEGVEMFDDDW QNVAEHVGTRSKEQCIAKFLQLPIEDPYLTSDPAADLGPLKYQAGINGLPFDGSENPV MSVVTFLASAVGPAVAAAAAQSALGELAKGLKRKRGGEDGENKEKEKVVKTEGEKEQD GMVVDGESEGVQVENGEKEDNTDTAVAVQEKEKGPSSNDVSRAATIALSSAASKAKAL ALHEESKLSGLVSRLVSAQVKKVELKLKLFETLEEQLENEKRNLELGKQQLFKDRLAV KKQLEKVEDILKNIKENPSSSSTETAQQVGEVKEDVMGKSTAESIRPVEGVLEVSKLD EGEGDINLQKL I203_00472 MPQSSAPPVLYSFDDTNHLQSSLANFILKAQTDAIQHRGVFTIA LSGGSLPNNLKPLVDIKEIQWDKWQVFFADERIVPLDHPESNYAACSKAFLDLVPIKK EQIHTLNTELFREQTRIDPTAEIKREEEDEAENEAVEIADDYEKQLVNTFAGANAARY PTFDLILLGMGPDGHTCSLFPGHELLSENDRWVAEIQDSPKPPKRRITFTYQVLNHAF RCAFVASGEGKQDMLSNILDRPEEGLPCSRVRPTSPGLVFWFVDHAASGKVQYPKTEY KWIQKATDDDLITTERKRLKEEMDAAVEAADH I203_00473 MASISLRQTFASSSRLTLHHFHYTPLTPTSYRLASTLAQTLSQP SKFENIPSSTTYTSPIADQNSKGKEKNSHAAPSPAAPRRKVELKGKKAAISMTPSAIS RLKALISSPTSPKLLRISVKSRGCAGMAYHLDYVSPPGGKFDEVVEQDGVKVLIDSKA LFSIIGSKMDWRDNRLSQGFVFDNPNVVDTCGCGESFNIRP I203_00474 MPLSPPFRPTASGSSSPPPSNGPLPTLPFEIIRRIIYHRLSISS SYPSELEDDYNPSWDSWNGMKGKISAEKKLDERRDVTRSARGLMIVCKAWKPLVMKYL YSSPYLTTNLSSLASCVLYGDSKWSDINLHTFSIPGRYITLLDLSTLPSRIHPTEIRK SVMAIFPLIPNLAHLKLPSGPLPFPLEEVGYAPFVKNLKCLEGIHVDYADGLVDLLKK LTNLEVLDVVGSTTSHSSDQVEGRTQILNLPKLHTLKLEDINSGHLLDCLIQSELPSL KRLVIIPSSSGAISAFQDIHSSKIRSLTYLQSKYSIWSTAEDGLIPCVKILELYPNLH HLSFLIPDYDQLELIIESLRHSPNHPLSVITIYKWQAPSTVSNSDGQLASEGRRGKDT LSFLNGMAENPPRGLKRINLDGFKWVKLELGKIALDAGKSGQMRKIAEILDKVGIELG DMDGNLSPSPPYTGIGIGAGEKERVYGPLIGGRRRSSGGQGLLRMNMIALSGKGIGTE RGSEEEDGG I203_00475 MSFSEQRISSSASTTHSNGTIRPARPPSVSSLRHTHTHNRVQSH SHSRRQSTDTIEGYSQPPSPITYFPNPSTFLSNTNTSSSSSSSPRVSTLSMSNSVTSL PEEESESLGPTPRMSLVNLDSANSSPCISRGGTNMEHRRRSSAQQGQGVGSLRAFSTP ISGYGSTNNNNHAGLELTPSISNLNNNGNNDATLSSPRRISTSRVPPPSRLTPPQGLL NLPTHEAYYGTSASQPGTATTSRFRDKDLAHPHTAIPHHSNSLSAQPYNSSSSSSNSH SHRRHLSVNSQINSPLFPKQPASLHLQSPTGSVMRRIRKTASTIGMGIGRPDKYDDET SRGRPEDEMLEDDEGERANGMRVWYSSYVTIDWIHDAIKESSRVRRLRHAAHRSIRGK LANSWDRFQGWLVVTIIGILSAVIAFFIIRSEMALFDLKEGFCGSSWGTAKRFCCAPR HPSSPGDMGDTESCGDWIEWGEFFAPDEKGGPEDAWIWGGPEFVAYTIVAISLAILAS LLTVYLTSSAQHTTSKDSTFLTPPSDQIPDHSKPKTLSRTQSSTNANESQPLLEGIAN EPITPLIEAFPNTEPPRKIMYYAAGSGIPEIKTILSGFVIHGYLGGWTLITKSVGLAL SVASGLSLGKEGPLVHISSCVGNIVSRLFLKFECNEAKRREILSAACAAGVSVAFGAP VGGVLFSLEEVSYYFPPKVMWRSFWCAAVAAITLKTLNPFGNGTLVLFEVTYTKEYHY WEYIIFVILGVFGGLYGAVFARLNIIWSREVRGGTWLKNHPIFEVALVTLLTTIISFL NPYTRMGGTELVASLFEECKPSSSSSLCVNHPHEIVSVIWSIGTALLIKGCLTIITFG IKVPAGIFIPSLVVGACFGRIVGLVMEYIEFAYPSLGIFDVCRETDCIVPGLYAMIGA AATLAGVTRTTVSLAVIMFELTSTLNYVVPVMLGVLIAKTVADGLEKKGIYDLVIDLN QLPYLDQKHEYLWGSRRASSIADRSVPILRADKQHTVRSLTGKLLELVRLGMADTGFP VLVKEVTANGGNPGLGLEGGLSSGRERSCLRVVGFLGMNELEHALSELSDEPDATLNL MPDDASVHRSRSSAMSIFSFADSYVDGSWSPYDLSRYVDRAPITVQIHSPLEVVQQLF TKLGVRQIIVTNSRGVFQGMVTKKGWLNFLGELEEDGH I203_00476 MDEITPLLSPVTPDDTNDFVSEACEIILVEGSETNENVRSTAID EYHEMIRRTAEDEDQNKLAFASLPFDTNSKLNGITDEPELDKPKLVDTMITRLLKSRD HQLPPLPENLEHLILSEAEGLQGLRRYAEGIKACYTEECEEAGELKHEYALADTMICY CLVSRSVVNMLGEAVYSIAVEVPPGAALCSNRGRYFELADTGHRLRKTVEEVQNDLRE IFVGIHLLAISGAAADPEHALLKIEVQISKVVDLQSNRFTQKMMGLPTQQHDSLAKAG WRFDHQIFQNLATLEVS I203_00477 MTSLLRSAFPSISRPSPIRSLSTFSPLLSKKAPSFSRPGPPPLP PSDQAELEALIKANETIGASPDIVDNPQKGIKASEELHKDVRRGPRPDFEGDVNPKTG ERGGPKSDPFKAGDQDWSYAGRVTVSLSDIWDLKVRRRMLIFCLRL I203_00478 MADSPPGISAAPKDDNLRHFDVTVAGPESSPYEGGIFKLELFLP EEYPMNPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLGAPNP DDPLANDVAQNWKENQSAAIAQAKEWTRQYAN I203_00479 MAAVKEGDYDGIREQVRKIMKQPGYDDGSAGPVLVRLAWHASGN FSLVEHTGGSNGAGMRFPPESVDPANAGLHHAISFCLPIQAANPWLSHADLWTLAGIT AIEDMGGPKIPWEPGRTDYSTAEAAAEHRGDISERLPDGALGADHIREVFGRMGFSDR EIVALSGAHALGRCHEDRSGFEGQWVVNPIRFSNQYYKLLLRDIWKPRQWDGPFQYEA TVAGTKLMMLPTDMALVQDPKFKPWVEKYAADQDLFFKDFSMAFAKLIELGVARDDTG FAQLVKKSAREGKPLDQTAQPSGGGCPFAGGKKRDAKL I203_00480 MQLSIIPFLTILSILFPTSSAQLDTDIICPDGSLTEYLTSMMDV LFANGLTTFEQLIVHWSETDMGYEFLHDLYNSGQKLTVLVPTNDAFQESGIVSPFEGL TEDWGTELGELHLLQGEWTYDQIPQSGHAVAATSLLLANELNSTDIQSNAYQAMVLER GNDDSVIVNGWWGNATSTSGPLDLSGSGGLLDNLFILPIDQVLSFPPSLSTALQAPGL TNMSSALQVIGKSSDVEQLSEGGFTIFVSLDSVWNDEVKDLMVDESKAPEMIGNHFTT SYSLFSPMWIQPSTFELPVESGESLTIQYNQDGSSSVICGEVEAKIVRSDITLNNGVM HIIDQILYPTSTSSVTNSTKSNKPTTTSSIPSTADSTSASIPDGSSSDGQEGGKAVIP DAPQNSSTSSTFTLSVTLLGCLAVGWLVVYVQ I203_00481 MTPALCTVPDVLAINRILRSSFTYSDLLSAVNGLVSGQGYRYVT ARRPTKSNPVFTIQCDHHGKKTSSSSFKSDCKHQITFKSGHSLFIQPRSFWKIDWDLT SGDPSSRNDKFIDHNHGPKSAHPVSKGKGKGKLKRRAKRKDEYETETDSDGWDEEEER YELRSETEWTTSRSSSLSSTSTPTPHRPSPPSTASTSRSQSSMQTRSSARAKAKGPNT MSGQVVIIEDYDELIGAETKSQINTSSSSSAQKSDTASNSASNISQGSSSKSPRNLIS TDNNGTSTSLKDSTPTLSQDTVKPTDRVNPTHPPLSNASQSGPSSSPNDSKISTPTFT NDANHEQICEIARLREENEQLKIGIQVETTKCKEAEEVQTRLKTLLSQEERARREAQE QSRKLQSTINELKEQLKQLKDDLYAERFQKNALKSDIKELEERVTILGGRLKTEKSET AILKADYRKKEEENNLLTRKNKLLIEKMESGKGRCNDIRLQVQLNDSENLQKDLDESR AENEKLKVEYEILKIEKEAFRSKIERLEKEKKDLRTANDDIKRSHRAKKQLEELEKSQ AERSRKRQEEQKKRAEEELKRKRADEMEEEEAERTKRKLLEDIRKGEDRCKE I203_00482 MTSRLSRDFPASNYPPNYRQSSYTNPYNDAPPRNSLYYEDGEDA DEPFDVRADFDGVGPKWSERNGPKKEGSVRSGGALGMGMMDDAMYQPVSTHVAPSAYG DNNKSAASREEMISVPMLGPEWQKSELHELSRRGQAELRGDKRSKAWKEWTRDQRGLC GIKWLTRKVLVFIIFAFLAALGITLFFVIPRVPNFEFYKESPFTVDNSTVSFNRVPTN FSFAGTLNLYGDGSGSYLPIHFTSLQATLYDETTNKAIAKGDWGNHYMDHKDQQPVQL PVNFEYSALNTSDTTWNDMYQACGHKWTGTTRPDLKLRLVLKMGIVGLTNKPEMSTQI SDVECPFELGTNSV I203_00483 MSAPTYEEMEAKRQEMIASFREIASAMTRCVKVIEEYTSLSPSS LNKPDLSIFQNTILPNGQLVSDLLKANSQKEKEKKKKEKKPKDPNAPKRPPSAYIFFQ NEIRDEIRNSNPGMSYKDILGVISAKWKDLTDAQRKVYEDAYAKAQVNFVEEEKIYAA TKPAVTGQSSSAGVTNEAVIDPTLIAGVGGGADSDSTDDSDDSESDESPGPIGLSKPL TTALPLQVNPSTLHAATATLPVPTPAEDKKKDKKRKNKDGEVAVAPVGDTGDKKVSRF YSV I203_00484 MESEKFIVPGTPLPRCWAVAEPPTADPSLITYNPTGIFAFVPDD RGRSGDMHGHEKPIYILAFKTEDGTVVYRHDVVNTWTSVLVRTLVSHSVVHTTRKNYP QPTAESQRIIEQSQMDCEKWRVIFHEINYSILAIIGFEQEGLLPLRWKTKGLFDKHNI ENYPNRLTAGSRPALLTTAFLDLCADTNLREEADRYPQGLSRLFEEDGEVGEKDICSR LRGPMVDDEVIRKLKLLSASIDWGNRSKVQNPDHVRQTLDLLKEIRETVDHLLGLYCF SLLVRIGYFVYLAIVR I203_00485 MLTNLFGFSLVLSTALLIDASPIYKRDAGPLFGSSGIPSPDDIE QHHLDCGYVASIMTLTDRAPLYVKSLISFDDGADINSIEQATATCWTLPEDEEGVKSE IRKTQLNVGDVRHRNDSKTDIWWPGALYQAPIHQGVVTDPRGEGGMPSLAPGIAMTII TGKRAEGKQPKDLEDFWQMILKVNDSPMVLQTKAEGCTTLWGAHAYAITKATEDTPGD RMVDLLNTNGEREHLTAQQIFADTVLLVNWVDYPSFVDERVP I203_00486 MKDLVTALSLGAEAILRSDEGCLDIAKETEEVARYIAHNLDERE ELVNIDDEKTIFEALSTFWDGLAQSFDPSQAAYNDRKGWASEDSRIQLALALGKLERN LIAALQPFQNRAEQHEVSIRRSIFNITTFVRIEDHKFFTLRSVLAQLLCNLISPSPSQ SGADRMADKYLRVYLSGRREDDVIIRLLDSRDTKTNHATLHLLNNMVKDNEARLKLLL SEPGIRWLSKILNRMDEWVEIHDGLFELGASIFNSIIDLSLHPQLFDLLGTTSEVITP SQTVLLKILDSHLSSSPLSSPSPSPHSFLIPLFHNLARYTIISINSGQDDPRLPKVFE GLILVCEGLSAIGLSVQAMKDSKEVIDDDLGGDEAMVKVMKSGKDGGEGVVKPSIELL RSLDTFFPRINPRIQSTSSATITPISEELKPFSNLKRNIVQLLGVLTFDDTSVGDQVR ECEGVQLILGMTEIDDNNPYLREHALFCVRNLMLNNPENQAIITQMNPVGVLSPENGE ILPVPEKMRKKP I203_00487 MSMGPPPPPSPTTNRFLYKTPSSSGDKRPPSPSPMNSSSLAPGK RPVVVLGVCAMDVKARSKAMREILTRLVDIERGGVDVKIFGDVVILEEDISHWPPVDV LISFFSTDFPLPKAISYTQLPNRTPPISINSLSMQSLLWDRRLVLAILDHIGVPTPSR AEVSRDGGPRIPRVLRRKVRRDLGLVLPEQVPRGREVILREDGDAIIIDGKVIEKPFV EKPVDGENHNVYIYHRGGGGRRLFRKVGNKSSEYDPNLYHPRTIGSYIYEEFINVDNA EDIKVYTVGSNFYHAETRKSPVVDGLVRRNADGKETRFITPLSEDEGRYAKDVVEAFG QRVCGFDLLRCEGGSRSMVIDVNGWSFVKGNQAYYDKAAEILSGVCQLARERKVQAGL PAVVADAEAGSGSTTSTLRATVTVFRHADRTPKMKLKFSFPAHEQWSKPFLRLLRGHR EEIILRDTRQLQYILAAAEESAQTPGVTPEVITKLGQIQEALGKKMSLPGTKAQLKPS FAKKKGDKDKEKKEKKEKEKKGSDEEDELTETEGKKKVHDWLRRGSSSGPHENPNSND TQTESTLSTIAESTNPSTIDNTTTTSDSCTPQTPELNGQPLGDDEIPEGLEKMQLVVK WGGESTHSSRYQSRDLGDAFKKDIMIMNKDVLNNVKIYTSSERRVINTAQIFANALLG LEGGSSHSSTIAGGRNPPEPCPQISHLIQRRDLLDDNNAGKEKMTEAKKKLKILLRTG ETEKRPELAWPKSFKKEPVEVVKDVIEQLTELRSIMRRNYENGNVEKIPQQRWCSGDS PWLFRERWEKIFEDWVGVKQEKFDPSRVSELYDSIKYDSLHNRTFLFAVFDPEGKGQN FKPGVENQDRRLHDLYGRAKALFDLVAPQEYGFDAEAKEEIGVLTSLPLLRKVWEDLD EAKTTGKSLACFYFTRVPPNFNESHITTFVHLLLASGLPFTNVRIPELDYCSHCTIEL WEKSSGNASTRGQKDFSIRLSISEGAHSPAVLDSNVDARHSLTVQPRKKLSSHIDYNL ARQCFSKHFDKGLSFSTTPLEGDEVYLKKPIQDESVLPLSSLHSRAGEETPRMISSAS SDRSHPGAGASDGGW I203_00488 MGLLSQGIDSLSLKSSKSRRASSASALSTGSQGGGSKEKEGETQ LDDGDPDDTSTLDKEEGNVLMALISQLRPGMDLSKIALPTFVLEPRSLLERITDFFSH PELIFGAGAEPDAKERFIRVMTYYLSGWHIKPKGVKKPYNPVLGEFFRCSYTYQDGTE GFYIAEQVSHHPPVSAFFYVSPKNGLLVTGELKPKSRFLGNSAATIMEGEDRIRLLDR PEDGDYVITMPNTYARGILFGKMLLELCELSTIDCQGTEYHADVDFKAKGWISGGYNV ISGKVTGPGRTDIGDLSGHWSSAMDYTDKKTKQKRTVFDPAKARVVPKNVLPESEQEE YESRRLWTKLTEAIKSADMHGATAAKTTVEDRQRELAKKREAAGEVPEARFFKHVSGD RWMPKLDVDNLPKDRQEMEDKVRQWIFGDKSPSQTTASPRQTPRKSSVPESLTSQTSS VPQSPSAPSKPSPLSVSTTADTASINSVDTTATATSVPSVTTAPGPPASGPKFDHPIS PK I203_00489 MSLARTIRHARAVGFKEWFRQMTWVFFQFGLTGGMDENLDLDLR RSLKEDEMLIPISQLYLNRYIGDAKMGRLVGTDQFGNRYFENTDPNEEVPGRHRWVDY SQDDFNASQVPPEWHSWISHIRKDAPTEDPIVKAVTPPWKAPFIENMTGTRGAFKTYS TTAPKIRAWDPVVKPRGGSGQPSA I203_00490 MSSSRGHRNSSPSPFNINAPPSSSSSSPRGRPSSPARGRPSSPR PPPSYARASLDRSPSLNPMALDDRPRVPPPIYLRSSSPGSSAKGIMKIHVPAWGVALV RPPRALDLHPLEAGSSTLEPPCEDTVLSGSLEVIMKEPRRVKAISVGVQSVCRLHMGA GRGWEDDGIFERGVEVLGQGEGDEGIWLEKGSQSFSFTIILPATLATTDFHSFGRVSY ILTARVEGIPSSTSFSSVFKVAASPALDPSIPNIGDFERVIARSDKLSSSLGGRLLHN QIQGLGLEDPLEGNDAIAVGEGSPSVQGLYTRRQSSDVPPLSLSPDPLSPRSRRQSIS SDITAGKSEKGKDKDNETAGWLKGDLTASRALIIHANPSRSGGVNTLEIRKEGFVDGI GIWRFSANADVFSISSVLLISIKLPSPSPITTVFLVRLILSQSYSIVSPRTPNQSPHS PESSKSHVLYQVGRPHKPGEKYPGRDAEALWRGQGVPGKGKRDGQEGWNVRAVARLPG HDKIRPTTSDGTITPIRVKHELILQVVYSLDGLCVFGEPIEGPGELRMMSVKMPIGVP SCCLTLNALNLPTYETAHSPPVENIDTVLSSPPTKHQCMCGSTFAELGEAAMRRMQNI DQDEMDERVGENAGGGGSGTKEMEARRDSPSGGGPSGSQ I203_00491 MSKLQASSVRGSIKTLLAQSSLDTHKEAGGKKRNFVETIELQIG LKNYDPQRDKRFSGTVKLPHVPRPRMQLCILADAADVDRAKQLDEELPFMTVEDLKKL NKNKKLVKKLAQKYDAFLASEALIKQIPRLLGPGLSKAGKFPTPVSHSEDLQKKVTEV RSTIKFQLKKVLCLGVAVGHVDMEEDQIMQNTMLAINFLISLLKKQWQNIQSLTIKST MGKPQRLF I203_00492 MSKIPNLSTLLARRSNPSIIPISPTRSGNNKPKSLQKTKRTFKP NITRVDWPINLLAETEGASAGGNDVLPRLRGVKMQIRKIRDVEKAGGLEGLLLSRRSK NLTPFGAYLRSQVFTQLHRIKEDIEFERASRKASGLPPLEGLGSLSGRIGVGDKKEVP LLEGQ I203_00493 MSSSSFTDTDQARAELETLLFNDPEMKKLLIKGESINIRDMVSR LMEKGVKIENKQPGSLTSCAPAQKQQPQSKDKGKEKVAHERNICVPNMIDVQGGAPKS RRLPVRSCRRPASPLDWLSDEYHEEDE I203_00494 MIISPSLVIFSSSSRPLPSRCPFPHHAKSRILSIVSHGRTAGNP QAQTQAKFTTSARLTKFKILFCGSDEFSVASLKAVHGATGLWDSIDVVVPPEREIGRG GKHNKTLERYTRNFVLTSGYIAALRQYALSNDLPTHTVPSKGIKGWNPPEPFTTPSSS HVLLTASFGHIIPLRLLKLFPEDHRLNVHPSLLPRWRGAAPIQWTIASGDEITGVSVQ RLMKYSRGVDAGGIIGSIKDISVPSDATYTTFLPLLAEIGGSLLVDVLRKLKDGNATF TPQDESQITYAPKITHETARIKWDEQSADDIDRLHRGISHQVHLWTLLLSTTAHFITL RPLLPSDDPTELDGEIGKAHLIKDGRSRRLFVACAKGTWLEVLEVQMAGKKPLKIKDW WNGLPKYVRDQGCVQMG I203_00495 MYSPRLSLSSLLVIPTISTFILQSLPTSAYIPAIAVNDTSGLNF TDSSTIAISWTDPVGMYSGAVSFQLQADVRTGGTTSGALVHFAESSMGENLTTSTPWI AYISCDVNETTASDEWDIFTLARDRGAVSALLYTAHSQSCLLNSEYITDFEKPLDVFA TKTVQVARLIDNQFVHTNTSFENYNGTLLNISGTDVNSSLANNAPSYKSFLMGTLTAR NSTGQATATGIPNATPTNDGSSSGGGSGNKKTSAPMIVLYTITGVVSFMFIFMLMIMG ARRAMLHPERYGRRENDDEHGPPQSTARGLAQAVLDTFPVIKYNRNNEEGYHRGEVEG EEQGTPPKSLNSENAISLNQMSRNDRTNSYGYGYGYHQNDAESSKSGGVQVSEVERRM SSIASGSGSKMPKQYDELDQDAAMGVGTEGQGQQCPICLVDFEPGDDLRVLPCEREHA YHQSCIDPWLLQVSSSCPLCRKDFNAPPATSILSNSQSSSDSSPSPHSSTYPSLDQPS PQTQPPTQHGFARYLAFMRRERTNRNRRRSRTDSGVGQGRSREADQTGPGGY I203_00496 MPISPAAMSFSALVAMTGFIFMVWHTWHYDRWRCLLYSKEDWFR AVMCHILLGSIACLMVYTWICVHVLYAEYYIYLPQIQQTIVAPWQLWTSLHQDLWRIS LYFMTAGWGFLQAIHLEEFLYWGYLIKSIKTPGGPKSTWLKSGFFKLWICLSVSSFAL LVGAVHIETDNLDMMRVYLFVVGSVMSTILALASIILCFIFPSFLRTVKRQGAGYEVL ERLCFFSEMNQIRTFCRVAYSVAILILSVDGLTEGKTINKTGFWHDLLYLIGQLALFT ATCLSIVVLLPRNMTSESLPPHGKDQTFLPMAPYKRPPPARYVNTANTKDNDGYSVKQ FYELGERLNVGPDAITSGYPNPFPSLNGRGVGGPEKIEMSLNPKPSTSSGHSGVEEAP FTEIADRTKRARLSEFPNLPSVVSKFKSPFEVSQPKSKGPTQVFVTSHTVVEE I203_00497 MVLGRLIHYAVDALAVSTILAGVKKTTGYAPATDQIPDSSIKSI TDSYLSAGETVFGIIAGQSVTSQYFKKT I203_00498 MAHAPHPLATLEQIIKTPSSEDGIPSEVEADLRAAGCMLMQEAG VMLSLPQSTMATAQVIFHRFYYVSSLYSFGVNDISISSLFLSTKLCETPVRLRDLINT YLFLLARIQYLLNQPSNQPFRPALPSSNFRFDVPSFHDEIFWEWKDVITANEMQILKR LGFNMQVDLPYNHMINYLKILDLVFEDEVAQMCWSILNDMLLTPMYAIHPPHTLACAS ILLSTRLLRIPLPEGWYILFDATYDDTWSCCGHVMKLYNDWGLESPRGSVTLGFTMNN GDKGQNVQENKWRRAWVLAQSRKAVRRWIDERDKGQNSV I203_00499 MSDDWDIDDEPVNSGSSTPAPAALPPKAPARGKWQGEDEEDEQD DWDVSEDEKPKPAAAPIAPAKKKMSLKQKLAEKERLAAEARERGDTGEDELIETMTEQ DRRRLAREREQEADLAVAADLLGASSLDETPAKTLQTILKSKPTTKNDFTELSKDIFS ALIKQHESSPLYATLVEELSKNMCEALTAVQTRKVSSALSVLGNTKQQEERDKASGKK KASTKPKLGAAKVGAKIDTESYDDALDDDDFM I203_00500 MSVAPTKSEISANPSKMGVTTAASGAELEADIQRKLKLWGVVEA FRDGRLPDNHQIDKALSYAVSHSPVETQKLSPEGRELIEDFRDIIETARAIVAEKNAD ELFQNAVWASYSGDPSRAKQSGVVPVSKEDAKADANQAAAHLRVLITLFLTNSEARKL LNDFGIIGRDIFATGAAKVADKARPNQEQLDSVDQEAPSKEWIGADGKRLGPNDTPEL QVKGPDGSQVRYNPKDDPRDAKVVDTDGNARPAGQVYDQAQQAKNEAQARKEEAKGDA KSQAKEHASDLNAARDPNASLSEQKDQVLGRANEKTPNDVDVQGGNVNRDTDADRAQA EGTARNKAQQLRDRIPEEHRARAADAIQDTKQFFKEQLPEERRDQYIYRLKKVVVECQ EHKDYQEAISWLLDTLENYHGHAKHVANKGVGSAQAVADDPSIGDSTLKFRTLLERFA NGRSMDGITDALDQIYTDAQNDEHLRGYFTRLNDYVHRVLLEPGYILEDESDKEAAQL REDGKTFFTDKYKGHQERLFDEIQTWFTAFNDDPLNRRFGDDIKRFAKDLLFNSEGEF TFKPKLWNDVRNVVLPTFIRQVGYVPIPRAEYSDSNIDLVIENLVLSGPNLFPNIVFI EAQNSFRFSPYPQINKQIPDLHHHRFRLSLSQIQADIRDVNFAFRRKSGWPKLSDHGI ADVVIAGKGIGIDVELESVENRRDSVFKVNHVNVEIDTLKFSIRDSKHDLLYKFVKGI ATGLIKKAITAAVQTAMRTALGHLDDQLVEVRNRVDEAKRSDETTRTQALKDLYARKK TTAEEKAREADAKTGTFKIVTDRDSQLNPDLTHSSEKSAAKRMFHTSDLAASGKEWRS NAFDLFDSAHPAVTGQSHPAAKQGAGVQNTSAVDAARRQ I203_00501 MSRAEQAALVAYLNTFKLSRPVTAFKDLSDGKALMEVMASIDST HFKNVSNRTPAPTASGSAENWVLRMNTLKRLYRLLLSFPLPPPHAANLSLSNLPEPPF PTIAKAPSTSEGLKGLAQICRICLAVGVWAPGNEQVIAKIQGLRESHMAELMKSIEEV MATLPQEEGADDEIYSPFGTSPIKQSTDFSCRPPPSGIRQERDKLLQDNDELRARCEK MLEQVEGLTSNLDEVKGERDDALEQLAAARADPSAQGTGLRTSQTAATAELDRLRTDL GKAEDSLAHTESALEKQTNLVSELTKTVEELKEQAAEAVKLRDQVDEYKHAAERLKKS ENVIEKYKKKLEESAGLRKDLRNLEEENAQLVNTNASLEADLKKAGSSKGLVDSYKSQ IGALEKKSTEQATQISELNHQLEVTRAEFDDISRKYERDQSQLEAQQERLKEIELGAP GLKRQGSRISVMGSKTTLDDELGVVDEDGDGKVDTKTELDSLRLKIRSLQRELADLRS GSGAGDTGKLATLETLLADANKSKDKYQSDYLQEHRSLLRLQATMERIRAGHGGDHSQ TASALRERLNEVLEERDALLKEKEASEVAREVVDKELAIAKTDLGLVDKDQKAILTSL RETVKEDTVKLENEIGVLKEQVQALREKDHQHLEDIKRLLLEKVDLQSAGIENKEREL EKEKEFGDLRASLASKGLPQEIQQQLLSLLSQNSDLSSQVKSLDDKLQKAKMFIKQQD KMFREDHANMESGNFAEASKSYQLQISTLKEDLLKARQNTAALENRYKLEQKLMLSAW HDLGQRTIRDHLNSAGQRRVQKPVASSWLGRQRRMQDEASFAR I203_00502 MTSTPLRPKSHSRTDENHSWSKRQRLSSSKSDPQRKNDTTQDEK ALMDDLMAGLDASMFDAIGSSPIRPKSNKSSQASPVQSQPKRKHVEVKIEPLSPIKKI NVSPRKKKAQRSPIKEKAGNAFAPTWTKSPAKIKKEKAISQAKPQVEASVKQEIEIGI AQDEPVFKEDIQVKPELADEDLYDFDFDLNDLTAFEEELLGLPEAKTRYPISNPPRPP PPPGYTSTPWTRCIVNTVSTGLRFTNGIIPSLDIIEADEGGGTSYGKTLIVTSTENEI KRIVHLKDQWSNLHIKKNDIVNIISPTLCDQNVVGPIVLTLKDPLTFLIHHPDLMLTM TSIANAMPCPRKPILQSLIKTPGPPSKAVLYGNLLHSLLQGALLEQSFDADSTFKRID SELKKEERRLEIWSTGIGMMDVREEIGMRAGRGFEVFGDKWVGEQPGTKGELHTSPGD NPSFLAISGLHEVEEDIWSPKWGLKGKVDASVQAKIIRDPSKSQEAEEFVSPLEIKTG RSVGVMAHRAQTMLYTLLMEDRYGVPVPAGLLYYSQLDSILRVEAKQNEIRALIIARN ELADWLSKKRRVPKPPTSSSDDMVKPIEDVEEAFLPPTIDHTKECRSCYAVDSCMLYR KAIDDVQPHPQDPIADLYEEKTGHMTQKDAEFYKKWDTLLTVEEQDIGRHRSQLWTLT AKQREKTGRCFGDMIILSYSNDLGKSLAKIHRHAYTFIRAPHAGTQATNTSLLSGHIA KGDPVSLSIEPDLLCLSRGFVLDLTAKSITIGVTYVIDVEALLKRTGRSHALSEGEGK VVFRIDKDEMASGMMRMRNNLASLFYAKDGDGARRRLIVDLAKPEFESSWIPHDNQIP AHLNEDQRNAMRKVMSARDYALILGMPGTGKTTTIAEIIKLLVNEGKSVLLTSFTHSA VDTILMKLLNTEFGILRLGNIDKVHPDVQHFTLEAMEQSTSMEQLEKRLMNPPVVAAT CLAIDHPLFFKRRFDYCIVDEASQITLPTCIGPLRMADRFVLVGDHFQLPPIVRHPEA RRGGLDVSLFKLLSSAHPQSVTDLSMQYRMNEDIMLLSNRLVYEGKLKCGNEQVAHRG LVLKGRKECREVFKCEEDVDHGDCWIQDLLEEDAKCIFVDTDGLPAPDSRVGDLVQNE VEAKLVQQLATALTESGLHQEDLAIITPYRQQIKLLSSLVKSSLPRVEILTADKSQGR DKDCILISLVRSNEGGNIGDLLKDWRRINVSFTRAKKKLIIFGSAKTLSQDTLLNDFL ELMKSKNWIKRLDRGDDSSHEMRDHISTGHIRGDLQVKDEVKEDEEDTATRTRKQSKN GKKVIRANSDVLIKGAFGTEILVSRLLT I203_00503 MQQLDLYTIKLKSSFDYLRLFLSHNTDLGPPYRILVDTNFINFS IQNKIELVQGMMDCLMAKCIPTISDCVLAELEKLGPKYRLALRIAKDPRFERLHCDHS GTYADDCLVNRVTVHKCYIVATCDRDLRRRIRKVPGVPLMYVAKRRYQIERLPDGGSA FI I203_00504 MSAASPTPSNMPPPGVKRPRPSGAGASSNTAPQGGSSRTKRRKP DVVATNVEESSKGKDDELLDPGEVKTKIDFNELPVETLYKYLEYHDLLPRWDVSPWSE EPCTPPNQLYTLSSSAPIVPPPNTTSTASQTNQQSLSQEHSQTQPQTQDQETPAQLPQ TQLYESTNGTHPVNGENGMIVDEPQLPQLPPTASDSNVESTSASAQAADQAENIQPKS ENDHIEGQIDQPVNGQEENTDQTEDAEGTVDNFEPPTTRSKTLPVRQPITNTPSPEPL PQIKRGVITLSDVYAAREVLAEKANNHWMKGLGGGQNKEGETIVNFLYKMKVGQGRLL RVYNPTPANYSW I203_00505 MFVIKATLKDETRRLTFDRSKFPPYSEVQQKLRSIFNLPSTAHT YWVNVLLFPDDAQEARIMFKKHVCDAAEYESAQAPFTHSGLPAPALVFTVLLASDPRL NSIHGYHRANSLLTSAGDLAIHISSVEEDLAKRVSLLTALEEKLASCRRDDDTTGVTF WSDRVNDKKKSVKDLESELLNCQQEFNKMNDQLDGTALPDGYPPQSLRDYAESEEREE VGRAQQTEDELAAWKAGNEYENDHQLFPPLDHLIPPHGPRFRSHHGRRGFRPPPPHFH ARGWAPMPPPPPPAQFSGFGAHAPRFEPHQGDRGIRNLFDRVSDVLNPPVPADVVPVR EIKTMLDAFLVNLSNQLATTFEGAPRVATTDTTSETERPIPGAFVQPQADAQTQTQPV KEEPQDERKSNGRSAQLGKGGFRHRRIWCDGCEEGIRGVRYKCEQCPDYDLCGSCLPL LHSSDLHPSAHTFKAMLHRDLEERIKLDDEGRAEQSVRHPATCDLCSLAITGIRWKCL NCPDWDSCNHCAATITDTHPGHSFVKLHKSSDYVPNTSVEEKESVPHPHVVCDGCNSY IRGSRYKCMHPSCPDYDLCEACESSPHELHPVDHPMLKMKLPLKLDFSSHFEPPSESD SDIRRHRHGRRGHAHAHAHERRVNGDAFGPRRAGCWRQHESPDGSRLGRRVHVNSATS TPQAQDEVLTPLVQENENEPAIPGGFVTRNLYDAQESVGNLSAPLTPDNKVEPESTDS PAAPAEAEVVPALTKAVKDLAIQASNQSRTSSGISTPKEPVTPLDIFSWVRHLTIPPG CTLPPGAEFTKSWRVKNFASGQEYDFERVKLVLKSEGVLGDASKNVDVKYSRGEVKDG DELIITIPGLRVSEDAERGQEIVEFWRFEDENGTAYGQPLRLRFTVEELPRSTGDSGT LSLNSSAVIMPSTSSSSVLTQIQHKGEEPASSASSTTGDVEAETFTAEEGENESVISL DTESDDGSLIDVDGVPTETTASTTSKLTMEDDDEEDGFEIVEGSESEDDLTADEL I203_00506 MSTSSPIKSQTLPPVHNPNSPNPNAPIFRPSPARATSLTGTPFQ YKTRAASQPAGERIPPSSTPGSGRGSPGMNGTNGVGGGAFGVIGGSRAPGRFSNALAG GTQTRANSFSAGEVREPRNTVLNRTLSSHTEEFFPSRSQSTSPFPTFSPNSTPSTSPA AIRQHPLPPSPPKAGANSSRSRSQSLATGVRPTNIDRPWFGTMSTLENVGAFSKADVG WNISPNEGSNMSPFSRGLPSLGNNPAREEMYGKNGVTPSGGGFKDGIKASVGPAWGAS PPDTTALSSSVHKALGSGYGQGQPFTGGMVGNAYGESNGGNRSGASSRRHSVSVVGGP GGRREFAFGEPGMGITSMSPPSRGLFGFESDLGNALSLDIDQSKRTIRDGEVSNSGSL PKFGFGEPGTGHQRISSINRPKNEEIFPSFGSTPPRGRLETFGISGERSTSGESNGNS KRFPLENAAVGSPIVGHERIANGHSNGLTGSPEGTKDLSLSTSTIKSSTSVPGPGPGA IGTPGAGPQPPPSFIPGQSPYPIGARPYGNLPPPPPGGPTPGLGLMNNGSPGQGMLGG PSGFGRPPLPPQGYYGMPPNRHPPGVQGYNGPGPGHSLPPLPPPGSAYVGAGPYSNQP PPPFYPNNSPPQPTSPSFSTLSLSDLGKGIPLGSLPITTPLYIVTFKAGRRDIYYCPD PTLLISNGDKVIVEADRGSDLGTVIYDQLTPNDIRDWQERQATAALLSGASQHQPPGL AVSGQLPQQQQQVQQQKRLSGEFGVPPDLSNMDLDGLLIGCGPSGQPDLAGSTIVRGP LAKELTPKRIFAKSSQGTEEQNRMREKLNDEYEAMMICREKVMQRGLPMQIVDAEYQW DRRKLTFYFKADKRVDFRDLTKENFRIFKSRIWMSMVPKDDPRG I203_00507 MSSRPSTSSTHLPVPPSIPPALHQLANPAPVLIDSQLPNYLLPN VLDLLRDSSRHVIRRKREQEDSLRSEGLLPPLEKGKGKQVEDEEEKLVEDELARKVER VGLMVGGHIAEKLTLARPPLATHLDIIKFICKDLFLYVYSKQIDNLRTNHKGIFVLQS HSFPPLIPLSTYKGSSNDIEIAKSHLLFPQALLQGALVRLGMNAVVTAESSGLPQCTF QIRTIKSTIPIPSTPSTAGAGTPNLIPQQQGQAGVQRQTSDLRGSTGLGIGQAGI I203_00508 MPMPGERFLPSSLNPFSRSSGSGSGSGGDQAQPQPHTQASSSSS RSSILQPIPFTGSSNLSPTDPHKALSELLATAHLQRDNKISSSVKAALIRSLFQSIWQ RSDWIKYFLPTIINSPDVGGSSEKVDLKIPSFGNLNDWLINDIQDNLPSPKTWSLSLA QDKLDEIYKSKGHRSFKKVRNGTICGKVFNRFDRTFTCKTCAINPSVVLCAECFYSSD HEGHEVLFGQSYSFSASCDCGDPSAWKPDPPSCKGCSHHPPLSEGEKPIQTLKYEIPD NLLLAIHRTIVMVLEFIIQTLQHSLIPSEYGHLPKTEEEMRNSEQPTGEMKERRDKGP WSVVMWQDEKHVSREVGRQLRDALGIKWEVAEQWVREVDEVGRKIVLVSSNPIIAFHG ASMIQQIDAPVSLRLASDSFREELVGILISWLHDMVRSTIDGDDTVFKRMLAKALYEP RLRNAGVGAGTPLAPDLKDLEWGKIMGGHDTRRIDWLLQLDSRLWKKAKWEMRQIYCS VLLFDQDVRKDLASRFAINYPRLVEHYIFQERELDTNIIYSSAYLIFTNGAVCVHATA KGQLYNNVISVAHAWFTGQNIKTDGCDRLVIPPLHFDPTDNSAKGRMDTDAPAFRNKK GLALLGHLRSMVRHPEMRKLIVRQPQLFNRALLFINMFVGLQPQKREQTEHVEYEVDW YKSFIILPDMSKLCRELGEVFLSGTIDNVLGSMAVVVNRILTDMMLMSNTLDKEKYQR PVEHDVQDVLYKNSRFSLIKQSVTRIEAFSFHHYLNYLLAEMVKSFGKHLGQLNDDIS TSSLRGLNFRQIMEKFVLRAQNQSDSERMKLMIIEWSMQTHVVLSQIRADMWKKNGAA MRMQHHHYREMTLREATLDQDFFLLQFGLCIIDPLKFMVAMIDRFGLSPWFRGNPKNP DIWLSHATEPKQRINLLEDFLLLVIHLVTYPAIVDGWSRDKITRKHIIHQLAVQPLTY YEIYKKLPERSQEGSVAPILRSVADFREPTESAPGQYSLKDELYDEVDPYWHYYTKND QRGAMDKLIARAKKRNTSVEDPFILPKPLELPPAEHPFSTIGDFLHTNVVSDLVYWTL SHCLHMGDPDRWALIVHAAMPAESKAAPVIPTWDFVLDYSLHLAMIALSIAPSQFAEA SLQIKGADGDHSTFQNLWIMQTQSAYKPYKARVDYILETIVKHLPAEYTVDYRANREA ESLLQLSSPAKPDPKAAAAARQKAIMAAFAKQQQNFVAMMEEESGDEDESMAEDTDMD QDGVHAENETYGQCIVCQEDITSRAPGGMLALLQPSRTIREAVHDRDWFEESLQTPTS LDKPTRYHRFAFEDGDRAEPVSTQGYPSTALKFGIHMSACSHFMHDQCMSNYFEATKT RHTQQVQRHHPENAVRLEYMCPLCKSLGNVLIPVEPSMTPRKPAVVLKKEGEKLPSLS VTIRKVSSEGLLRVADSQRIWDHHFETGEVIPWFSDCMFSVHSLDHAHRRGHMKSTSR MADRMRGLIRPLSEQSHRIRGKKTHMYLPDDMVGYTVSMAEITQRGLGGPTTINGKEV LSVAEQIPELSMKLIKKLIGLLQLELDLYFGPGFDRTALRVGIFARFLPDWYRSSTLP SPLLLRKPLGMVIETAAIAPDLLQSVIVMAYYAELIRSMFGLALSIKRSCISSSATNT SSSSYKMLQPSSRSIPPEDPTAQDALDLFTGIKPIMLSILRNAGPFADAESIVNMVPD EMLAKLVYSHTLPFLRRAAIIYNAVSGSYPMMTPETLDTLQAPGICEYRRLLTLLGIP SPHDTLRDPSTTETPIVARWLTQWASQGRIIPSLEYPGTYELVRLPTKWETLVLDYQN RKCSRCKTKPTYPALCLFCGEMVCLGGDCCSIGEEGECNLHMRECGAVVGMFVDIRRW IILYLYAGSGSFGHMPYLDEHGELDISMRRGHRQYVHIGRLDELRKATWLMHNIPHLT ARRLELTSDGGGWGCL I203_00509 MSFLSGPSKRSGNDNGPVTYRHLLSPQAMTVFNPLRTIAHCDID AAYAQFEQVRLGLPDDIPLICAQWQSIIAVNYPARKYGIKRFTTLDEARKMCPELVVQ HVATYRNGEAEAGYWGEVDPQTHKVSLDPYRRESLKILAIFKEMVPKGEIEKASIDEA FLDLTPMVLEQLLTIHPYLSTIPDDAPEGIDSPLPPAPPINWSKAGNVFPINGESELQ DSSQGDEGDMEEQRSDDGNDEENLIRRNSHRDTWEDWALCIGAEIMKNTRDEVFRQLH YTCSAGIAHNKAMAKLCSAWKKPNNQTVLRAGATAAFLRDRDFTDIRTLGGKLGNAIA TEYGAKTVGDMLLVPLEEMQSRFGEESIWVYNLLRASLAHPAARPAGIDHTEVKGRVA TKSMLASKNVRPNVRTPEQGHHWLSVLSGELNVRLREAREVAPGLWPKTLVLSTRQGI DPSRSRQTPFPFTRNLSTDYILKYARKLWEEATLPMKNGNMKLNNIALSFTGLEKLEG GQQGIEGFFGQPKPQESQQARPALSANDSSSSATIPTLSNLNLAKRPLSPTPTPAPTL TPSSSSAGPSPKKPRLPTLHTGKKKMGLDAFLTKKGEMAHAKREESPSLTMASTSVQI QEGDDDLPVITSDEKAEAGPSKLPKSTIDDEIDGPWKCPECNETISTSDEIEQETRVV LLKAMKQEHEDWHFALSLQDGDGPHSVSSTSTSSNRTNGGTASGKKKKKKPEGIKAFF KPK I203_00510 MTVTFPYSSAPAKQIKEIQFGVMSPEEIKAFSVAKIEYTEVMDE ATNRQKVGGLMDPKMGTIDRNFKCQTCLEGMAECPGHFGHIELARPVFHAGFIIKVKK ILECVCYSCGKLKVDMRDPMVANVVRRVKAQHRLRAVWVLAKDKKVCETDSLEDNQDG DATAEDQFMAEQGKPKGHGGCGHEQPLWRKKGLKLTGIWKPTDKGEDTAEPEERNVSP GEVHNILKKIPPEDLHIMGLNAEYARPDWMILTVLPVPPAAVRPSIAIDGGALRSEDD LTYKLQSIIKFNGIVRRMEAEGVPPSVVNEQFDLLQYHVATYMDNEIAGLPRDQHKAG RAIKAIRARLKGKEGRMRGNLMGKRVDFSARTVITGDPNLQLDQVGVPRSIAMTLTYP ERVTPYNIVYLQTLVNNGPATYPGARYYVKDTGERVDLKYRKSGEPISLQFGWIVERH LKDGDFVLFNRQPSLHKMSMMSHRVKLMNYSTFRLNLSVTSPYNADFDGDEMNLHVPQ SEETRAELSQIAWVPRQIVSPQANKPVMGIVQDTLCGIRKFTLRDNLLDWLQVQHILL WTVGWDGTIPPPAILKPKPMWTGKQLLSMTIPKGINITKKNNEKPSPIDVTDENVLID NGELIYGTIVKNMAGAANDGLVHVIFRELGPVAARDWFSACQQMINFWLLHYGFSVGI GDTIVDKATMAGITNRMVEAKEAVQRLISEAEANKMKPKPGMTIRETLEASIANELNK ARDWTGKTTQDNLKGDNNVKQMVVSGSKGSFINISQMSGVVGQQFVEGKRITFGFKHR SLPHFSRDDYGPESRGFVENSYLRGLTPQEFWFHAMGGREGLIDTAVKTAETGYIQRR LVKAMEDLKVGYDGTVRNSVGDVVQFLYGEDGMDGSAMEKQSLDIIRLSNKAFERRYK IDVLSATGGFKKGALQAGIDQSSVELQTLLDEEFRQYTEDRQLLRDHIYTDGTPGHPL PVNIQRVIQNSQQIFHIDPRVPSDLDPAYLIEQRQALSDRLVVVRGDDKLSRDAQHNA TLVFNMLLRSHLATRRVIEEYHLNREAFDWVIGEIEQIFNKAVVNASEMVGTLAAQSI GEPATQMTLNTFHYAGVASKSVTGGVPRLKEIINVAVNIRTPALNVYLDPEYSRTEED AHQIMRKLTYTRLRDITASVEIFYDPKLDSTDIEEDQDFVDAFFAIPDEDIRLELHSP WLLRLELDRAKVLEGGYEMSQIVNAIAEQVGKDVFVIHSEDNAPKLIIRLRVVAEKED EELLGDEDMFLKRIEGTLLDQVVLGGIKGIQRVFISEGKQVVLSQHGEYDQAKEWFLE TDGINLKEVMAVDGVDGPRTYSNNCYEVYTTLGIEGARNALYKELNGVIEMGGSYVNY RHLALLCDLMCSKGSLMSITRHGINRTDAGALSRSSFEETVEILLEAAAVGDVDDCRG VAENVLLGQMAPMGTGAFDVSLDMNMLKDVIVDHRLPVQNMLAASGGLAGGMTPGGAM TPYDNFSPMWDGAKGSVGSAAFSPMQTSNNEEGGNFAYMGYGTSPMHGGMSPAAAGYS PSSPAGYSPTSPFAVTSPAYSPTSPFAGAGAASPWVPRGGFGGATSPAYSPTSPQYSP SSPQFSPASPSFSPSSPTYSPASPAYAGTGASAGMNRASPYSPASPAYSPTSPMGGMG VTSPQYSPTSPRYSPASPAFSPTSPTYSPTSPAAFQATSPRYSPTSPQFSPTSPTYSP ASPTYSPASPAYSPASPAYSPASPAYSPASPAYGVNGNAAQANGQNGPGQRPNGNANG QVKPGWGNTGTYGASPSWKS I203_00511 MSWLWGSATNPQFEELAEKACSPLHLPYPQSEDIATALEIADMI RSKAVQPKIAMQSLKRRIAGKNGRVQMYALGLTDTCIKNGGDHFLAEVASKEFVDELS GLIKSQTTSPEVKQMLLKLFQQWALAFQSKNELSFFVDVYNELKHSGISFPPPPAPVP SHLLTTSTAPAWVDSDVCMRCRTAFTFTNRKHHCRNCGLVFDQACSSRTMSLPRFGIT EEVRVCESCWVKSGKGKTSDGPAPAVPGRTPRSRADLDADLQRAIELSLAESQPGGSN FIGSEPPIARKNGTAEEDDEDLRLAIEASLRDMERARPSAPTGYDEPEYKPLPTFDLA PRETETILTFSNTMDQMAAYGERDLRRFPHAHILAEQAYALGEKLHRNAEEKSTKQQM LTEMQSKLSEAVSLYGQILDGQQAYSARRMQEEQQRRYQQHQSMYAYATPQQQVYPYA PQGYSVPNGYAQYAPPSQPAYQPPQPQSQAAPSLYPQMPSQPNYAQTQTQQYPYRQEA VSPLPVQTFQQQSGSARHASPHAHPISSPPAPQRQASMTYGAPVTYAVESPTPRPSQQ ETAPPVPMASHPPSSPTTSIHSIVQQASAPPAPSSQGSYKSPAPVHNQLGSSPAQWNG NQYQQWDGVSSQAQQLQQQPSYEPSQPVQQSYEPYPPVQQAQQVSQPPQQSYQQQQPQ QQQQQQQQQQQQQQQQQPYRQQHQLQEQPNFVTFNQLPSGVYSANSFPSAPGQIFPDA PSELPLPGKTVEKEEKEEALLIEL I203_00512 MRQSYSMISVLAVLVTTDELVTAVPTSLQIRGETTKAPLISSKG IKPEDVDHGSWDSSWFNSVAVAIGHARPNDLEQCWSGSSTDEITEADFNLYDKQGEKK TLKVKLKDVMDKNEADSHSVKQGWKLIEGKLASSPYRLDTDHFTTGQPTDAFKMLANK DAEVYDFAKEQNKDDLWTLFTWAAHTPIVFSSIATDGTEVWFAVLSAKSGDLEDGEKP WEKGEVKYYAANRHAIDTLNLAKEGDQIAHAVHWKFD I203_00513 MSISYDALKKLFPSNPLDPTYHSTAQDSETSSILPLHRRKKDKY ANPEDFKKALDKERNKVTEFYHTKLEEVFRSFELLEEEVAGLEERDLGQDDTIKEVDE EDEDGLNEEPRETDGLMSLTMSQTVTRSPTRSRQSIVGRFGGGLRRFGRRRSVMNNPE EADLLEASMRPRERSSNRGQNRPGMGDSMTSSYFDESTPAISPNPGKVPLPRKPRHSS DLESSADELGMEASTQDRRTSFSSVSSHEGDFSWPRRRFHSLGLVQMDPATVPQWALP RVPHDEEEGGEGGGNSHAFAGHARRPVFIWTANNDYGTVLRIGFKKRISSVWLEAYAL KQYVDLNMTAFEKILKKYDKNTNNKTKKQYITDEVLSNSPWTPEAKEELDQLLAKILF LYRRVAAAGDEDLAKEQLRSQLREKVVVDRETVWSQMVSGHNKTTGIFRSVTPEDLPS SFDTTKDSFRTPLGRFRKPHWLTSRVVILSIAFAILLAIVQISPMEGIEESNCLAMLI FCTILWATEAIPLFVTSLAVPLLVVFLRVLRSTDGETRLSAADGTKYIFSQMFSPTIM LLIGGFTIAAVLSKTRLDVMTASRILNAAGTKPSVVLLVLMFVATFASMWISNVAAPT LCYALIKPITDELHPKSMFSKCLIIAIALASNIGGQASPISSPQNLISLGSMDPPLSW PQWFAISLPVASLSVVTIWAFLHINYKWENDLSIPKMRKNTDSLTTTHWYVLIVSGIT IALWCAEKSMEGIVGDMGIIAIIPLLAFFGTGILSKEDFHSFHWSIVFLAMGGIALGK ATLSSGLLDVLDEVLERMVQGLSLYSILIVFSLLALVIATFISHTIAAVLLVPIATRI GDSLDEPHPRLLIMATALICSAGMGLPVSGFPNMTAITQENKLGQRFIGASDFLKNGV PASVLATFVIVTVGYAIMRSLGL I203_00514 MPPLRVGASLPTLLTLTRLFHAIPKRSEEWVLAAPPPIEPEDPP DSPAFWWKLGLSVVFVLSGGVFAGLTLALMGSDDLNLRVLATSSSNPKERKAAAKVLK LLSRGRHWVLVVLLLSNVIVNESLPIFLDDVLGGGLYAVIVSTTMIVIFGEIIPQAVC VRYGLAIGGACAPMVWCFMILFSPIAWPTAKLLDYILGTDEGHTYKKAELKSFLQFHR EGEEPLRDDEIGILNGVLSLNDKHAKEIMTPIKDCLTLSSDKVLDHEAIDHILLSGFS RIPIHEPGQKDNFIGMLLVKRLITYNPDDEWPVSKFSLLPLPEAKPDINCFQALDYFQ TGRAHLLLISETPGQKGGAIGIVTVKKAIADILSELSVDETDRYEDNHSKKMAKRSGP AAVMRGIIERRRVINAFSRRPSRSNTHDTTPNNPAQNTISLPPTNGDSGNTQDGILIQ IDNGNLVAEPVGFRGESEDSVQVDQSKKLTVGENPNIKTNSNNQPENLMDTIEETSSG AGQCKKKKKKAKKGKKDKTDRDDIPNSSVSSLPFDSHQSFSRACSNFLQKYDDDSLAP SANEDELKASVRKRNRGWRWVEHRIPNQGYLYRKLIKYIPYTLTPNSSTSRNEVEEAV EEEEGLEDDIPDQAVALPSSNTRDQRGRRVDIEEYIVYSRTYGCPQFCFRAFDENGAP LTVPMLLSLNLLKGGAGGAINSTNPMDDTLMLDDSSPFPLLQSLEHPTTGELVLGIHP CRVSNAVTEILDAEQPKESMEEEGKEDELEWLECWLMLTNDIIDLSYP I203_00515 MSHSHPILPTKPISLPYSHFSTSPIAPYAPTLRAKVIPHLLIQS PPMASSVIGRRLEGCTEVVMPVGGKVPITLTVYKEVLEDIGVGEGIEISIMNQFINSF SLNHSVLGLLPLEPVIEPPSTSVATSRSTTLILDPLTACPTQNILFKNLIPSGSPIAF LTGIDVFYEWVVNRPNSPIKRNQRLQGDTGKEAEEEEEDLLLIDGAKETENSISRHDA FELQGVQVVPSLSHQNETHDAWLQTQLESSIDRLFREQFIRRFPLIFDGGLLKYSSAL HVPRGIPSALTQLLHLSNMYNTPLAKEMKTLLKPISQHQEQRLYTTVREASDLQARKG KRKSHSQSQAQNQIQEGSSSLSKKKRTSDPPTSPSFELDMESMDPSDRCHAYLQRVML ERREWEDEGGNKTEKKLKRIIGDLFGEIGRSSFEIKRRKAGKMSYSRVQYALSKLVHS AAEIAKENCLALTSQSHSNHQTPLFVADSHTDMDESGEDQDATDYFSDDELLIDQDSA YEPYDEDDELLLEIPVRQDELLDRDHENDDDDDLLLIEEDFERYLKEEDEMELLIDKD RVEEAELGVDEKKMKLPAGDAFMVDPNHFDKPQQNGRLASTQPDKFQMTNHLDISAND AIIGSTGGRYYDGEESGSVLPASKDKYDHLPGDCGELSGTVSFEIGGDAEESSDELLI I203_00516 MGLLSRFKHSSPAPSTASASSLRQPQPRNETTSTSTPRPTTPTS TTHLSPNLHDTPSSSRLARPTIPPPSPSSSSITSKLAKSFKKDKSKGKEKAKENLMTG VPYESHSQASLPIPPPPGSVSGSRIVSAPNSPSSTERRPPNSRQRRSTLLSFDPPLPP QPSSPLATTDPLSAHTRYQDEEHIFDDDHNHDQVDSPSSSARRKDRVTSGQFRQVGGI LGQLNFEVDHDHDQPGNSPPEKWLRTNANASNNDPTPTVLASNGRNEINGDCEVDGGV RGMTPSITDESIVIVNKDEINQENNTFLEISSTDYDRNDENKIELLESAEKKNKFWKR QRRSSTSNAVANEIERSNSPTPRRNSRNDTSLDLTANRPSLDSSQPEAVARQPRPQQL RRPSSSFFHNPFTRSVSRTSLALDDNPKSDDGSFQLRGFRHVSGMMEVEGAGELENYL AHVRKEPRSSISSGDLLTSPMVGNNEMPSPSALTSTSPPVSYASTPRQPPTFPLSRPA SIANSLGSATGDEFISATKVSVAAFRKGIRRPSENLVAMSDSGHGSTTALRNISGQHS PLHPINGNGSGGGDGSDDEDDVPLGMIKGKDMMRREKSSLSLSSMRHIATPSVSEPND RRPSTMFDHSERKSSASPAVLNRQLSPEVIDRKNTPSPNPSVIERSFTPSPDISSSDI TRRGSPALAKPQDILRKTSPNPALSFTVHRQRQGHTRNGRGSGGSRFVVKSTRLTRDD LLPKNGAQTPDNKPGSHISSTSGPSTPNPQQTDQLKSMPVERGDVVSSPEEIEPIDGY FSHLAPLIHDQPALSTTSNRDTSLSPARSSRETPSPTRAPGPPVAPLPLPQADDASTA SLKLPLPPDQMPDTPPKQPTELPLSPSNRKKLSLLEEPMKIISGLWTSPTTDDGFDPA FVLSSMDAYGGDEQKLDEPTMVSQPTLAKVQTTAPISDVEEKVRSPLSQRLAGIASSA STSNLPKPSLTHIKTSEAVRDDGYSTEKIKSPMSDSTISPITTTTGVPKETFQSSFAR ARKPSNRMEDTEESDEESEDESGTVGRSSTTPQSKSKPKTKNGRKRNSVERKVPHGPR KPSINNRNRKRVSSMFDTNPSAVQARTVNGNGNEDDDKPLGQGRSVIGKSPSVSNLRV GGMSRSESALGISTNSIRRDNSPVGQRPKTLMELGPIVQPLKDRSSNHPTSPITSTRP KPTPSSSSYGRPPLSHDNEKKKSTTSSSTNVPTMKRSPAQTLIKLPPEVEEKRKTSTA SPDSSRSATTGGSVNYQPLTPKESENSRLVRDQMPPKVNKQLKYQEPKVDSITTNHTR QRSYSSMGQNQPQAQSQAGQWNMNMGYDHNQMAMMGQMPQMGMGMTMQGMDPEAIRNM MKQQWQMQFMAAALRASEEEWERASCVSGQTNHTLPASFGQASGGYPAHQPYSSMPQM GWGGMMGQFPMVGYGYPQGQGMFPNPNQLYGYNMPPQSPGPGFGGSSSQGGMYSYGTG GGAQSVFGGEFGPPPITPSQRFMNSTRPQPPLPSNSQQEIARNARGRYHSSTQSHSQS VYIPSNLSSGVNASPPPPSSWGRRTGSGDWSDLQQGQAQGQGKKVRPHTQFIN I203_00517 MPAIKPGSLVLVTGASGYISSHTVEALLDRGYNVRGTVRSQDKG EYLKNLFKDKTGSFEYAIVKDIGEAGAFDEAVKGVDGVAHMASPFHFNAEEPEELFRP AIQGTVGVLESLKKNNPNVQRVVVTSSVASVMNSNIKPPHTFTEKDWNDVSPKECEEQ GKNASGQAKYRASKALAERAFWKFFSDNKPSFDGVAINPPLVLGPIIHQCDSPESLNT SVAVYYSWLKGEKTEKDLPAGGMNYVDVRDTALGHVLALTTPEASGERFITGNGPVSG NDYVLQIAKDFPDLKNIPKGNDDAEFKKRLASDAIIHDGSKATRVLGLKYRSVDDTLK EMGQSLKERFNF I203_00518 MHQQIPHSMFDQPYTIHTSLLFNSRTLKFDKDQSIRVNPKTGRI ISVTSATPNLRTVSHPDLDLRGYTVLPGFVDSHAHVFVHPYSETPSLHQERDESLTER ILRAGNNAKAGLKAGFTTYRDLGTEGAFNADIGVRDAINREIIPGPRLFVATEALASS GGYEIRQENKIGGTTVPRLSDVCDGVDSVKAGVRRRLGAGADVIKFYAEYRRRTLRFP QPTWPGSKAIKYPPYTTGEEGNPILTPMNPPSTLFDQEEMNAIVREAKRAKCPVASHA SSPEAVIMASNAGVTTIEHGSVPSEESLKTMKKNNTIYVPTLCVADVELDDKEYRKAV LAHAKKANEMGIRLATGGDTGVPAHGDNVRELELFLEAGISLEDTIRAATLGGWEACG GDWCGYRFGWVGEGWQADLVVLEGDLRKDTGALRRVEIVIKDGNVVVDGGIIVE I203_00519 MGVIAKGDLILVSGASGFIASHTAKQLLQQGYRVRGTVRSESKG EYLKGIFKGLGEFEYVLVDDITKDGIFDEAVKGVDGVAHLASPFYTEGVKDPQELIGP AVKGTTGILKSIQKNNPNVKRVVITSSVASIMSLESRKPPVVYTEEDWNQDSISHVEK NGVKSSGGMAYQASKTLAEKALWKFIEDEKPSWDAVAINPPLVLGEVIHQIDSPEKLN TSVAYFWQWATGKRTESDLPGPMGNWVDVKDVAQGHINALSIQEASGQRFITGAGYLT GQAWVDIIHKKFPDLKNVPVGKPGEYEEVEKGMNLFNGEKATKVLGIKYKSLEESTAE MGESLFKRFGKQ I203_00520 MAEREPLTGSTGSVQYGGTERPRVRTTSSRRVLNRMTTQEIRVK IRKMADLVSSEELGDRDFTDLSLYEKKSLLINRELDQMGMGRYQWCVFTLCGLGYFLD LGWAQAFGLVGGTIQQELGVPDSQIGALSTAFNFGLCIGAFGWGLLVDIVGRRWCFNF TCLFSTVFGLCFALPSNYALTCLLACLIGLGVGGNIPVDATITLEFLPTKNRYLLAAL STFQPIGTVAVSLLAFALIPKYSCDTSLKSCNISEAPCCSRSNNMGWRYTIMILGFFT LLIFFCRFAVFKFRESPKYLLSKGHDAHALDVLHSISIFNKNEKPPTLTIQDLRTLDY AEERRLRGLPPAQYNPADEPAASANEDNLVKKVTIGGFQKTFGHLQGLFKQRIYVWLF VSLAIAYMALFWSFALAGYFLPLILKAKGIDADGSVEDTYRSYIWIYTPGVTATLVAA WITGFSKFGRRWIMVISSALMGASLALYQLVDSRSANIGFNAMEYWFQSLYAALLYAY TPEAFPATFRGSTSGMLSTLGRIASIIAPIVGGSVYHGSDSPGVLWLAVST I203_00521 MLQATVIGPAASPGQILYSRRDPGPSREAFNDYSTIFQDQHQET DKPLGRTLQAIENPSDGIHEEYKEEELVWFGKTVVWSRGTQIFRKYTYDLEKEDVSKA VFAWFKTGDEAGSSNDISHKGKQAMKSSATFGPFHQSQNEHWGTPRLSSSSSSSSNSP KLERTLVVFLQTRAHVYYSSGEDVVVHLPFAIDGAWPLSTGGLIVQRALEKRELGKLG KEKRKSGSVLRGMADHSSMTMLDDLMDMEDDTAPSLPRLYTLENPFDELKMIVEGRVE DGFDQASGRLISQTHAIDSSLSILYVSPDPYPFVITYSCESNEIIFYRKTYIPDQPDL PPLPPNPRTMRPEEILGPPEAPVPIPRSTRAGRPSLHRNPSSFGPSSDNRTSSISDSL DRTQRRAPRMSRGLRIAQEHPIATDELQATLDPAPIVPPPSTTKRRSRGLSILSTTTT AQDFNKRTSGASSSFVLSDMHDKHDKMGLQAIAEMDLRETTMMMGLERDEVGSRSDLV LDKVWTWRAPYGGRHISLQTPRQPREGHEEVARKLASSLRMAVDEKYTRLNSERRILK LLDPIGPRSTIIYEDGESLRVDADLRIDHHLTRQCFEALSYVIPPQQFFFVKREFLSS LQQLPSIQRRDDGQIWKIFSSVIRAMLQIESESQPTDPFETLVHDGQISSNPIARRLA QRMTRNTSATRSLSTTGLMYGETLRLEDTAPIMLALHFVAQDLRLSSTGRKEIGGVVR LISDLASKMGRWFWRDYWARIMPCEVSDMHPNQGITYDTTVLDQFDAPPDIMAYLHQQ LITRTRPFPSPISLFAHPASSELGHVNPCRQTTLITGIFSYFTVPNSSRAAAAVKHMV RAGLDLDWLSDLPYGIAIPILETLRFCQYNPPKDWDAKMYELIARWDLGIRAMDEANV SGREESDLDFRLERIPTIKKLVGTVGEDKKKPQQPVLPHARFGSDRRVQEVERIMQTT RVRTIAVQDPKGASEADVVRYHQTVVNTLANRTLSIPVGQGMFEFGTRSTNITDVWNI PLIELSVKVGPGKSTLKAEIVSDSAEWPCFHNGVAAGLAISPECNGIDSSWIVFNRPN ILNAEHGGFLLALGLNGHLRSLMAYHAFPLLEPRHDFTSAGLLLGLACSYAGSEDLLI TKVLSLHTHALLPLGSMELNASPIIQSSALVGLGLVYAGSRNLRMAEVTLSEVGRKEM PNVDGFADYQESYSFSAAMAFGLIMLGKGDESTSEVERRMLTQLRRCILGDTPVLEGT KARGAVPTIDNNITGSGATLALGLMYLKSGRRDIADMVSIPQTTFELDQVRPDLLLLR TFARSLILWDEITPMMGWIEDQLPQFIKTAHDKGHNHKRSTNHMELSTELAYLNIVSG ACFAIGMKYAGTATEMAHTNLMTFFGVLSKAATGSSMTYEGRIRRTAARQGLNIVTLA LAMVMSGTGELSVLRRLRVSHGQEGAGVTYGSHMAMHMALGMLFLGRGHYTLGNSNLS IAVMSIAFFPRFLGSPGDNKSYPQAFRHLWALAVEPRCLVAKDVDTLETVYLPVKLKV KEQTPGASTSTIDLQQKKSSSGTVKVRSQSLISPTLISPFENISSIEIDSPRYWSVKY DCDSPKDKLGLIRNRTIYVKRKLGFLDYNSDPKGNRSLFVRAGSMTGIDLHYDLISYQ QPTSPTNKSNILGSEEVEGLVRIHSGDPALIRLSKLFNNKCNAGESGNDSQSMVINSI NNFIEIVLLECLSLDKPHLIPVYLDMYMSLQRSSQEWGLGVEELNQLEFIRYFYDKIY DKSFNSSSSTLNEKRFPLVRMNFVNSLIRRLAQPTSTDEALDSVVRYLRREEEDMVWT EELVKYIWKNNFPPLPLLDILREKIRQSAIDREVLVLKVRDVSEGYRKRILGQYGEPN GLDDGVVEGEGWKKDSVRETIRVWTE I203_00522 MSPSCKLCHGPLASTPAANEVHCHANCLPFACPGCVKSNKPSYT IINLKNHIYDYQCSECMAENENHQGKLTTGVLKAHTTSDGHAIY I203_00523 MNFYKSAALALDHLDKNQGSVKGSLAAAGIKSTPGEGKRILALI IETLKYKPILLQLLSIVPIQSLEKLTFPKKSPRGSPSSQSLILVILHDLLFSPKRKIE ASDLWPPKQSILKYQTRLKAELVKIQIKNGKSRITDLAKSSSTTDAIRYIRYNPNSGK SLEGLHKQLERLGFNRLQEPKYPLGEKEYFLDTHLNDVLLCFHGSTNWWNDNDWYTDG GIILQDKASCMPAKVLMWDWNDDEGECIDATAAPGNKTSYVSALMNNQGRLHAFERSP NRYKTLTRMLEKAHCKNVIAQRADFLESDPVDKQYKKVTRILLDPSCSGSGIVNRLDY LLEDDVEESDSKTERLEKLASFQLQMILHAFKFQSAKRIVYSTCSIHPEEDERVVTSA LQSKIAKEKGWKLAPRSQVIPTWERRGREDEMAGDKELAQGVIRCLPEDRTNGFFVSC FVRDDPQGLSISAPIKVEITSTQQGQQKSKNVKRPREEIESNMEDIVEEEKVMEAGQE TQIDQVIKERTSAQLERNKRKKAAQKEKQKARKMKLEE I203_00524 MFTPQQVKQFKEAFTMIDQDGDGRVTEGDLKVMLSNLGQTPTPT LLQTLLTSRPGSTKGMASEGINFTQFLSMMGEHLIQLDNERELIEAFACFDEGDKGWV DTKEVRKWLSEMGDRMDETEIERLFSGPFTDRQGRFNYIEFAKVLRVNDGEEEREDKL ST I203_00525 MSYDRVGSPSQQLIQADDDDLDTLSFSHPNPTANAAGSSSSQAQ PQAGPSNPSGVSGRIGQSSQPRRETGWGGVKMETRYTGESTLDEPVTKTIMRDLNSIY AKLLQVLYPPKGGGNNQLLRDWDLWGPLVICLTLAIILSLDAPQEQSMQVFSLVISLV TIGSVVVTINSKLLGGKVSFFQSLCVLGYALAPILLASIVSLLVHTLFVRIPVSLACW AWSVWASMNFFTGTRLQESRTFLAVYPMCLFFFVFAWMIMIQ I203_00526 MVLADLGARLHGALNQLSRASVVDDRVIDALLKELCAALLEADV NVKLVSQLRTKVKAKVKKSLDEAEKAGGREANKKNVVQKAVFDELVALVDPGTEPYKP VKGKTNVLMAVGIQGAGKTTTCTKLAVHYARRGMKTGLVCADTFRAGAFDQLKQNATK AKIPFYGSYTETDPVAIASLGVEKFRKERFDVIIVDTSGRHKQESELFEEMVAISSAV SPDMTIMVLDASIGQAAEGQSRAFKDSADFGAIIVTKLDGHAKGGGAISAVAATKTPI IFLGTGEHLHDLEKFNPQPFVSKLLGMGDMQGLVEHMQDIARSNPDRQKDLAKKLEQG KFTIRDWKDQLSNIMSMGSLSKIASMIPGMPAGMLGEGGEEEAGAKLKRMIYITDAMR QDELDSDGLIFVSFDKAGNPIGLNRRAKRVARGSGTSVREVEELLAQARMMAGMAKQA GGANGWMSAMQKMQAAAGNKPLGPNGQPSPAQIEAMRKAMPPEMMRKLRAAGPQGAQK MMQEMMGGMGGMGGPGGMDMGSMMRSMMGGGGPGGGGMPDMSQMGEMMKNMGMGGGGM PGKYFSNWL I203_00527 MLSKYLALLTALTAISALPSPHSTKRDGGAESADNSNNIQLELA LAGNSKYIDITNQTDIIWGGTANKVFTWGPNHDGYAPKPFWWLIYAEDTNEVNANEDN LKYNMSCKAQLLEDHKAGDYYNVKLDTTAPYVHPISGGDWNQILTKANVICQTGECVA KDGCKGLEIPKWDQTYLEKYDNSKPTSGKRGLGSAGVGYNLAAGESSDTDDGDDKDLI DEIVDWFKDDD I203_00528 MIFNLHLITYLIGLSFLYGTCHAQGQAGLPTGGSDNTTNDRNSN GKLESIDLKVHLPSLTKIAVDINNPKSDISGLMVFTRSFKFDESSGILNEWAQKEVWW KVYDPTAKEGEMDDEKDLRFVMNVSHFFNLPISACPLYESSTGSIRAQEPYLHPLEGD IWDSIINEANMICPTGECVHKDGCEDLPMPKWDQMYLQEYEPENEDESGDGDGDEKEW YKKLSDR I203_00529 MSRSSTPPFQLRRPNLGSGLNLASGAGGQGSTSTSRVPTPLGRG VISLDEWEGKSPLTDDQLQSISIVKEKYGERPLPEKQSQPGPSRPTTPIRSRLPLHLH SPSASPSRSRPPSVPGTPQPTGTFPQSQLAVPDPLHPTTITTPQQFLDHFTALTLSTE HEQDSLYRDHLAEIIGLREKCDALIDLLENGELEVEEMLKALAYVEERSESLRGACED LLEEQTHLLTHTSQLAHRLTFFTFLEVAQKMLNNPGNNLVLSPNFLPMVKRLDECLGY LGEHRDFKDAELYLIRYQQCMTRSMTLIKLYFVSVVKALGQEIAKRLSDKGISETAAQ ALLYTKFISLSQPLRPLLAELEARVSTNPDELTPLLSECHSAWITTRQSLMGGRVLNE VMGMDPNGSDLVDLTRSGCSYLKQTSHDEFNLFKHFFLSGESILYGYLETLCDYLYDH LRPRILHEPSLQVLCGVCTVLQALMVQDISEEENPDEALYSPSSTPGGISPYGARDGD DYFGSRPRLSRHGSSQSISMSMSRPSVLRRQSSYASISRHNSYSYTPNSVRPQKKKRK PLGRLHIEILLKMVLQDAQTRLVFRAQALLSNDVEYYVPKEGDLDYPQKLGSTNGKLV QRTKSLSLDMEDDDEPSFLTLPPPEAQESWYPSLRVTLWILSCLYTYVDTAVFEDLAQ EAIPVCRKSLSSAADLLSAKKDKSIDGKLFLVRHLLILKEMTAGLGLESGRYKRRDWS NLGDFLKSILDNAGTLLGYQRGSIVKAEFAPDARTDVDRTLKIACEDLISLIVQKSTC PLKIFLDKCTLYLTKSSSSRGSISHTLLSGNQPGAGAISDLSGQEFSKPAQVKIIHEE FKVLLNQEFRGWKDELRRYLEDEDTVQVLIPPAQNAIVESYRQFHDLIRAEYDFSTAA SIMTPSGVLSLLQGIP I203_00530 MASRASRGRKQAKKGVQLTLMVVGASGTGRTTFVNTLVESVLLE HRTANLLVDPHNPHSSLDPNLVQQAASQANVEEPIRIKPVNVELEEDGVRIALTVVDT PGFGDGIDNEYAFQEISSYLERQYDDILAEESRIKRNPRFRDNRVHALLYFIPPTGHA LREMDIELMRRLSPRVNVIPVIGKADSLTPTELRAFKKRVMEDIEYYNIPVYNFPYDA EEDDEETIADNSSLRALLPFAIVGSEEEIMIDGEPIRGRRYPWGIVEVDNPDHSDFSR LRSALLMSHLTDLKEITHDFLYENYRTEKLSRSVGGNDPDSSILPEDMANQSVRLKEE QLRREEEKLREIELKVQREIQMKRQELLAKEDSLKVLEARLGGYTAQGNGHSRDGTL I203_00531 MTIPAPFTPRRSTRGTIFTPSPGASPSLKQPNTTYTWTSAPLPS DNQDERIRYNSYSRIVSRVGSTPLKLGGPSSRKVKAGKGDEESRFTVGDGVLVSVEGG NEGVGILIGLWEDAPSSTNQEADDGEEEEDEKQEEEEEEGPKMMGEIHWAFRKADLPG VMKNVNIEDNEVLLAASLTSRSVTSIIPIAYLMRTIPIYSKSFYRDQFPDTETKSKWK GWSYIRQGVYWCHRAFDKFAKGGKSWRVDIDHWRESGKKTGEWVVPVLEQRGKNDSDE EEEESDEDEGSEVEDVSDQEEQDEDEEEDEEEEIFIPGKRKRSRPGVLKPRKKAKTKF KTKRKTLNLPSRKKTKPHPKSSTKNNLPSSIESLENLPVNPYERALRLLHVGSTPESL PCREEEFLDVLGKVEDGVESGGGGCLYIAGVPGTGKTATVHAVVKELKRKAEDGELQP FSYVEINGLKIPSPQHAYTVLWEAISGSKGSSTKTALRGLENHFGRRGGQGGVRGPRG HTFVVLMDELDQLLTAKQDVVYNFFNWPTMRDSQLFVIAVANRMDLPQHLAAKIKSRI GLQTILFQPYDRAALISIVQSRLIPHPSAPSGDPKVLLPDAIALAATKMAGTNGDARR VLDACRRAVEVALEGGNNNSKDKITNGNSTSGKAKSTGPTGPTGPGSIGSPHPVTAKE MMSVLQAMSQSPVSKFIGGCSIQQKMMLCSLVRCIRREGLHEINWRNVKIDFDNLSRS FNIFENTIINISNNNNNQDGGVDDGNVLLSNSELNLILSSLLSSHALVIGTDPYKNIE DRKVALGMEIGETGRVLMGEGESWRRALAGV I203_00532 MFSRVLKSTNQQQAPSPSSSSSEPSSKSSSRSSHIKSQSTSSGI AQPSPSKIPVSTNHSSRSAFVSSKENIPTPPSAGHEKERSNYLSFLFSQSQQGAPTTP VKVNKTSPAPPAPAPGQAYHPHPHGHGEAVQASRGGQYTAESDDIHMQTMKNTINPAM LKQLSSIPAPAQQQPVIQRSNGAGNGTQGHPVNPYAIQRGANAYNEDVHMKTQRYETQ HDKPRGLQLWERELLESPDMKRKATVAQIYFLDYYFDLLGYIANRKKRLETFKADTSQ RNVTGSEYQKEVSSYNGRERVLLRKRRTKLRVEQFRIIAQVGQGGYGSVYLARKADTN EVCALKKMRKGTLAKMDEVKHVLVERDILTAVKTPWLVRLLYAFQDTEHVYLAMEYVP GGDFRTLLNNSGVLKEEHARFYAAEMFMGVNELHKLGYIHRDLKPENFLVDGTGHVKL TDFGLATGSLNPAKIDQMKQKLDQVKDEELVFRSTLERRTIYRSIRMAEPRYADSVVG SPDYMPPEVLRGKTYTYSADYWSLGCILFEFLCGFPPFSGSTPEETWANLKNWTRVLR RPVYDRPEDLIFNLTDTAWDAVTRLIANPKDRITTLDEVQSLPFFASLPFKNLRSIDA PFVPVLDGETDVGYFDSFTSPEDMAKYAEVFKKQRDVEAVEEKGIGNRNNWVGFTFGR NANITPAPRGIKPEGEALQTIF I203_00533 MAPSNYHGQPGGYLPRPISLQAILDESSSNNTSPSIDLQWEPFQ RHVEAFLTAIDDYTIAAKTEIAARASDHLSQMRDLKAEREEVERRIKLEREREGEMLA TLESERHTLTDLTSSLNHLESSLQKVKDQSSSLESELNVIRKEVTTERTEKDRQKSRL NEMRKRDERELIELEENVGFTVNGIRPDLLMMRFSLLDHRDPTREFSFLIDISKQDYT VPNCDPPIPNLSELVRQLNHDRDIYTFIKRVRKSFRALIPNPASSTRFDELSGPGLGL RTPAMPGNHRVLSHSTKDNVIVDGTAMDGLSLTNRMK I203_00534 MSSNDDVDAWIAQLMQCKPLSEPEVKKLCDKAREVLMEESNVQP VRCPVTVCGDIHGQFHDLSELFRIGGNSPDTNYLFMGDYVDRGYYSVETVTLLVALKL RYRDRVTILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIDNQI FCLHGGLSPSIDTLDHIRSIDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFG QDISEAFNHNNGLTLVARAHQLVMEGFSWSQERNVVTIFSAPNYCYRCGNQAAILEVD DALKYTFLQFDPAPRAGEPLVSRRPPDYVSCASHSCSIMFDV I203_00535 MKFTQSQPKLIFPTRRSSTNKDQSTSTSTSTSSSTSISRSASPL SKIKSSRLTFIQKTKSFINDNRNSSSGNSSTLTPTPTPIPTQTFEKKGLSFSTKYQTQ KEQTQTLERSGINENQILKQPRTPPTPDQPHRTKSQKQQQQQDQQSLDDGLAICLFGY SVQAQTHQIDRQLSSNAINESSNPSIDLDEEKEEDIKENTSREIPSSLFDIMYDSTYE EEVRQQAVMAEVLPDAEMWAKWEKTEAPLRQGRGWYPRLDRHFLELLVISEIHALSHP LSGTNTPPGQADRIQRHASRVRRVACERIGSERLNAYCERVREAFEAYMMGGWSTAGV HTTAAQQQHPHSQSQVEEEEDDEQEGKETKVNDEDDDDDVDDFLGDSKRGRQKKKGKG SLFDRNKSGNDDDKGKIPKINIIPSQETDQEGEEEGDDDESMKSDMETELNELKERSY EGSLCGSELESESESTVKDGEDKGMEKGNKMDLDMDMNMDEITYSSIVKDNKFLTLNK LKDS I203_00536 MTAPIPISSTSDIHHHPAHSQPIFSPPPPSTTPGSSISVSPQTP FFAQTDAPAIGHDKLGVSTTPTANTGGGIFKWASPLGKSPTNLKGGSPTKQKGFDIPH EHEDDHDEHDSFEFGDFTTTTKSWVGGRRTMSMSLPVTTAAKSPTHTSTIATMLKGGF GDTPNNTPTTAGTQGNSLPGGVLADKAAKGQGVLRRLSLSGPGYRPAFLSPPLPSAPL PTSNNIPSIPAPAPAPAPVFAPGPPQAEPPINRAVTISGGPSAASRSRRFSEGTKKRG VSPVSLILISIA I203_00537 MLSSALLTLLPLLASVPFSLAALDDSSARSASVYQVITDRFARP SSVSSTCTTSDRKYCGGTYSALIEKLDYIQGMGFDTIWISPIVENIGGTTGEGEAYHG YWTLDAAKTNDNFGTADDLKSLSTALHNKGMYLMVDVVVNHVAATSSSTFIPSSSYGQ FSSSDDYHPFCWITDYSNQTNVEQCWLGDDSVALADLNTDSDTVKNYWNNWVKELVSN YTLDSVRIDTVKHVQKDFWPNFVSSAGVFTQGEVLNGDPTYVSPYQSDAKVNPFNYPA YYPLIRGFNQTSGDLTELVTMTQTIKNSFSDPTLLGNFLNNHDNPRFESTVTDTSLIK NAHAYPFVTDGIPYGYYGSEAGFTGGADPDNREPLWTANYDTSSDMYKFFASLNAARK AAGNASDSFYTNQMTVSSLSSSSILVAKSPLISVLSNSGSSASDASVTVQSSASGWSS NTEVIDAISCETLTTDGSGNLAVTVKTGLPRVFIASSQKGSVCSSSSNSSSSSSSNSS GAIKVDVRGGWMIGAVGAVVGGLMMAL I203_00538 MARLTGACNAQKSALSMCLRKERKDREARNHESAKLRTIKKKQV WEELEKEKAQEGL I203_00539 MSSIRSSLLRQSAALRSLQLHQPIASSSRCIVIPPLYRQPLSFP RFPASAISTRRWNSSSPSSNADAPENPQIEAPNGNGEGSKQKIGQIEPRLQMTFTCTA NECGHRSTHEFSKRAYTKGLVLVQCPECKASRHLIADHIGWFKESLEGGKLKTVEDLL RAKGEKIRKGRVNMEGDIEYEGDD I203_00540 MLITYGLQYYDKNTLSTAILYGMLDDLDLTETKNGVTYLTRYST AAAAFYYGYIVAVLSMGLIFTRFPLAKTAFFFVLIWGIICILTVVVHSYRGFVVQRVF LGLAESTVSPAFVAITALWWKPQEQAKRLGIYYSSTGIFSMFTAIVNIGWGKTGGSHP WKSMYYFGGSFTIFWAILIYFIMPDSPLRPGRFFTEREKAILKRRFDEKSLWTILTAY QSLSTHRSVFGAKIINSWGYDSIRSTALMIPGGAMTVVTIWIFAYFADRYKNIRTILL SISTIPVIVGCLVVWQAPWHPRVGPLIGYYLVAAFGAPYVLLLSLATANTAGATKKGI TTSAIFVGYVTGNIISSSLVFTQEKPIKYRSTWISVIVAMVFASCASLLLRYIYIKEN KRRDALLDSSPSSSSRCDEPQSSQNDANDREKFEGTAIDGVPGLGDGMVYEDKTDKER MEFRYTY I203_00541 MSCPQRSTALFSKRPFFQAYHSNVSSPEAPTPSEGPGQPPAEGP DATALTNAQVHTGFFVGFMKRFRIMMGFLDARRRYMWEQSIPSFLLLLFGISQFGMED FLNLLFPSAVP I203_00542 MILAIFAYIDHLFTKIKPQKVFFMAIDGVAPRAKMNQQRSRRFR TAKDAVEKRREAEKKGEKLPEEKAFDSNCITPGTPFMARLSNHLKYYVTKRISEDAEW RNVKVILSGHDVPGEGEHKIQEYIRLSKAQPDYNPNTRHCLYGLDADLIMLGLLSHDP HFCLLREEVTFGRKTKKTTGLANTNFYLLHLSLLREYLDLEFGSLATQISFKYDLERI IDDFILMAVFVGNDFLPHLPDLHINEGALERIWGIYKEILPVAGGYLNEHGTISLPRL QLMLDKLAQFEIDNFEEEYADQNWYKGKQSKEIEAMEKARKKGKMVITKDQQKILSQV RQFVTKHQAKPSAADRCVIVNTLSARDQRFVQELGDDLHLTTTWDEVDDYGQNLVVMT FNLEGVSEDGASAVADEEADGEEWESEEEDEDSEGALAIQRVFAKYNKAKIVDNVVED FEEAYEEKLKENLDDWKKRYYKEKLEIDFNKPEEMHAIVFRYIEGLQWILNYYYKGVA SWGWFYNYHYAPRITDLKGIPDFKFDFNLGKPFTPFQQLMGVLPEESKEHVPPAYRDL MYEETSPIIDFYPRDFALDMNGKKQDWEAVIKIPFIDEVRLLRAMAARDQRLTSEEKS RNQNYVATQFEYDADQEASYPSSAPGYFPDLAKAQCCSSPFHLPTLGDGIELILGLLD GVHLGAKALAGFPSLQTLPHQGTLGYHGVNVFQSDSRNQSMIITITSKHDRPNTGDIA KRMIGQRTFHSWPYLHEGMVVAVSDDMFKYELQKMGKTAKVVSTPFNPFQAIAWKKSA DHIEHHNSKRFGIITGNVDVVLHVRPLKGMKRLDTGALVKDYEGPEKEITQAYQLAVN QVTFEDERYLEQAAPPMSQEFPDGEKVIFLGQMGYGTAAQVIKTTDTTLDVALAYFPS EKQENLNFSKLVAHRPAGTYYPSPVLARRLNIPPLGLSRITSTLLVLLEDGSKSNIGL ALKFESKGLKVLGFSRKNDRGWEYSEKTAQILQEYKEAFPEPFANLDSRGGDLVTSAE LCPTADDPDSVIKAMRKWLKERDLLDPETVSLFAEQLEKESVAMIEKLADQYRNMKSP HMIKRAVVKAIPRQAVLKPSHAIYRLQGQTFAVGDRVIMVQDAAAGGVPLAMKGVVVG LGSRDIDVVWDVPFMGGETFQGRCSEYRGSTVPFTSCLNLTRPQFAVGGTDQEDRAVG QHAAFKPQLGPRPVLQMQNYQPSAPGRKTFNQHPQQAHTIMKNPNRAPPQHVNGNQSY GNAARGIKPPVQAQGGQQSHGEKLANALGAKHIAHRPGPAHPLPPQIVRSPNAHTNVA LPVPLPQGRPQPKYEAIQPEVEQNGHAAPVNGGSGRGRGSNRGRGRGRGRGGNVRGTA GERHS I203_00543 MSRSPGKYDSRSPDVEMSPSLSPSRSRRPDAQDEEEVPAKRMRT DRKVEDKARNKRLFGNILGTLQKFQKDDKSSRTSEAAKRREQVSSRIAAKLRSETTLH NEIAESEREIKTLRINTESAEYILRHKEVALKARHDFLKPTSKFLYTSLPPDEPLIFE TNLLNPSPIPFIKGPSREPPRGKELAPLYYLPKILLPSQTSALKSRQANISEVISEEI DALQKEKERVSSESIKNKERIEELSEKLRELRKQVKPANKDGDSGRDRDDFGRTPREE MDLDREREREREREREREREREREQPKEKEKEGEERGVVIKGDEGDIEVEY I203_00544 MSSSSSTTPSSDPTEQPEQSRSPAFERWRMSLSNFTGLGLSEEE KERRTLEKDWDKCEKYKKDLMNNSPMITFLLSHLKHSGCEFDSSSIQCHPCPETRSGG FSPDHGILLCQNRFFSKKHMEDTLSHELIHAFDHCRFKVDWGNLRHHACSEIRAANLS GDCRWTREVKRGFYSFNKQHQACVKRRAILSVLANPSCKSPEMAEKAVNEVWESCFKD TRPFDEIY I203_00545 MVLPLWMRGGKAISIPLTRHHILQLPLFPTLLFFFGLFILYNQI RPTPPYRPYHPSSPLSSKQYALSSSPFVVDPSLFLPPPPINKPDYLPDPLPPKNSKMV IPNSVHYVYGLKDVKDGERGEELPYYAYLAMRSALINLKPEKMYFHYKHLPTGPWWDL VSPHLTLIRTEVPDQIYGKPLNHFAHKADVLRLLAMKYSGGIYLDIDIYVIKPFDDLL YFPSTLGMEASPDSRRSALDPEGLCNAIIISTPQSPFIDRWLASYETFDGGVWAHHSV VKPWELARAHPEEIQVLSERAFFWPMWHGEEVQKVHEEGEFDFDKTGQYAYHAWESLA MGYLSKLSPRSIRENDNSFNRMVKK I203_00546 MSVNFSPYQPPPDVPSTDPPESSTKKGKSKRPWFTRDQSSYATN SYQSGGSISDPTSQAQAYSNDPEAAGLLNGSGSGMGNGAFGEGDRANAWESRFGWRVD FMAAAAYLGGPVTALLFLILETQNDYVRFHAYQSALFTTPLLILYLIFKLIIVLPTFL RIIYILAAVGGMLYVAFRAWKDAQEGLSRYWLPYIGEIAERWVGEE I203_00547 MNAALMAQIQQGKGLKKTQTNDRSAPAVVGGGSSGGGGGGGRGG GGGGGRNVSSSGAGGAVSGSDGPAQQLAGLFAGGMPTLKKAGDRATSAASTLAKAPPI PGLSKAPSKPSAAPPPPPGPSPAGRHSAAPPPPPPPPGPPSRIAPPAPPPPGRSTPAP PSRVAPPAPPPPPPPTSAPPRAPPAPPAPPRAPPVPPSAPSRTAPPAPPLPPPAPSPP ARSAPTPPGRNVPHPPGRSAPSAPPPPPPPPPASAPPSRGAPPPPPRPNGAPSLPSRP NSTVGRSVPPPPPRPGSSSGPPRAPPPPPRPTGPPTLPSRTPSTSTPSRGLPPPLPST NSLAPPTPPRRPSSTSVTPPTPPAPPAPPAGGSRRIPPPRAPLPPPRQPSPEPEPEYE EEEEAPPPVGISPARNAPSRGVPPLPPALPSPASRAVPPAPARAPPSPTPERTRPQPA GTHFPPPPPPPGISPASRAPPAPPVLSNGTGNGYQNDDDDEDQGGVPEPPAVVGSWTF PMKGMFPAPRPWTGGKKSYASGRNGGAGIGCTD I203_00548 MATNITFHPGSVTQDERDQLLNQKGATIWLTGLSASGKSTIATA LEQHLLHKKLHAYRLDGDNIRFGLNKDLGFDQASRVENIRRIGEVSLLFSLSSTIAIT AFISPYISDRELARTLHENHSPAIPFIEVYVDAPLDVVEQRDPKGLYKKARAGEIKDF TGISAPYEAPAKPEIHIRTDQVDVAGAVEIIVQYLEEKGLIPA I203_00549 MPSNFQSDITRKLTIGRDTSETLTAEPASDATPSTVFVCLTRDL RNAIFPGVTASHGPTLREDQKESFKRYKEERGEASLSLAEDKNMSKFFRTSSIPTIIQ TFQSRLNTITPSPPGSRVVVVDGQDISESWNKFANRVKSDRVCGHLVLSVKDPKAVSG ATSSKGWFGSFKEAEITGCKIRNGRPSGPQRKEASRRLHDFGSRYLDLKNSNVWPSES VATELSNRPEHFACGVVTVSEAMFSLPGMFEDVVYTRGDVYSDQEQTSNDHAPANEDL IDFEEVSSAQTPATSANMDKTATTGPSTLDEGNLNADSWDTW I203_00550 MSTEYLMGDIGHVLEALLGTADEPRQEAEKHLRNLVIDAPAEIL LLLAQIGAQGVGGFQLDYRLLALILLRRLAFKPVSGLFLNSTSQHATAPFDVIRETTR GRIETVLCAGLKDEMDTRMRKGLGKCAAGWVQESSARHRPLLPLPPVLLELTASPHPF HRFTPFQLLDMTPTLLVDSVSDPLPAPQLAQILLAGVNDPSVDVRVEAIKAVRSVLME GVTGKEREEIGADLLHQAFRSLPRLPNESLSHALVPLVDLASVHPNLYLPSLNDILPY LLSLISPPSTSISHQFSPYPQSSLSMEQWEEIANPSTEILLSLCELRPTQLEGWETGK VPRELVGLLIGRQVGSFDQDCQDWLDTTNLDEEDEDYPVFAEEALDRLANALGGTTIL PALSNQVESLLTQQDWRCRYCALVAIAAVAEGCLEELQPRIRDVLTIISPTAKDPHPR VRYAFLQCIGQLCSDCEGTMQKAYADDVLQVCLALLEDPITRVRTHSAACLTNFFQDV ELESFASYLDPLVRSLMNQFRAGPLFLQEQVLATMSNVALGALESFAPYYRDIMDINI HTLASATSEFQQKLQGRAMECASLIGMFGMQTFALDAVKLAQLMITIQNNLQPDDKRS SYLMDAWTSLCQTLGDDFEPFLPQVIPPLLKAASYKPPKMDVLVSSFEESTPDSEDTL VASNTSEMDEKVQAFENLALYAFTMRGKFQPWLMKSMELSLEGLVDKYSEGVREAAAF LVPGLLQVAKDSRVWNDSPNNLIEVFQRVINAITKESEPSFLALLYKSFTDSLHVISL SLPSNLSQQLIKSTEAHLRDLESRRVDRELQADYMDEADREIYMEEQENEDSAMDQIE NALFMILQFNENEQVKNRVEELRKLRGQVKKRGLEGGEAEE I203_00551 MPTLGPSLLFAAGLTLGVGAGIFYPRNPTSPIQNVQLPPAPPEG GKQDSKALVPTAIGSAILSHGFPGPTPDILKRTAYTAAYDRRLKHPAWTAEHLTATSL AKTPPPSAPNTQPVPLDQARKADTLPTANGEKVVVKGDRSKSVFMEDEGVPEMFRAKL QDYFKSGYDRGHMVPAADAKISQKAMDETFYLSNIAPQVGDGFNRHYWAFVEDFCRRL TSNFEDVYVFTVPLYLPAKHPDGKWRVTYEVIGNPPSVSVPTHFAKVILASRPDFSYP QKPSSNSNPAYPSTSPNTIKELALGAFVLPNKEIPDEADLRSFIVPVEHVERAAGLNL FNEQVKMKSKQLCTVTQCQVIVRRFDDARKSIGKK I203_00552 MSPFLTFGNIRRRNIYPSPTALPPTSPPLPPPPSYQSIQEQDQK PSLHRYFIQQKLTTHEFIHLLSLIIIVDLTIIILVHLQGQLWLPFCLSSIMWYIVGDG QSYSHIPVDRYIFPTTFREIFLRKDVLLKSTLRTLAWVNNLLFSACLCKVLYRLDTPC V I203_00553 MPQYLFPLPTTTLLTFSSLLTDPSGSYTSVLSEATAARTRLQLA LKSVHDNEPGSSALAVLDAVQVYLPYLKGIIACLDADELLFKGEPNFPWTSPLTQYKL SPPLLSLPSIHSEHLFVLLTYTLALSNYAHYILSSLPTYEKENVSRNLSSEDEKRITA GLSRAVDLLCQASGLADWIAENVSLQVEPIKNALGGRLAGKGGNRWPVESNRETFKGL SMMFLADAHLTAIRKLLLPVLPHVLFSPAGPPLPSNHPSASLLAKLYLHVTSLYTSSR ALLKVQQHSSSSEGKKLFSKEFDIESSEGEIIVTLKRYLKKESFLSSALANKWLGIDL GEGSSGKSSTPKIGEAISYLKESLSRLEDLEDSKTRERLKGLSIGIGKNTERKKEERK NRKSRVENEVEDTKAWVRSYTKMNDSVTFQPIPPVSSLIAPSGRLIFGPKAFVPPPSK FEPARRLPSPGDDDDETGASNKSGAGEGEYAGKGSYF I203_00554 MVMWIYKRDGRKEPVAFDKVTARINKLSYGLDPNFVEPAEITQK VIVGIHAGITTVELDNLAAETAAYLTTKHPDYAILAARIAISNLHKETKKHFSSVIQD LYEWVNPKTGKHAPMIADDVYKIVMDNKETLDSAIIYDRDFAYNYFGFKTLERSYLLR VNGKIVERPQHMIMRVAVGIHGANIDKVIETYNLMSERYFTHASPTLFNSGTPHAQMS SCFLVAMRDDSIDGIYDTLKTCAQISKTAGGIGLHIHNIRAKGAYIAGTNGYSNGIVP MLRAYDATARYVDQGGNKRPGAFAIYLEPWHADVFDFLDLRKNHGKEEVRARDLFYAL WIPDLFMKRVEQDGDWTLMCPSECPGLADVHSEEFEKLYEGYEKAGKGRKTIKAQKLW FSILEAQTETGGPFILYKDAANSKSNQQHLGTIKSSNLCTEIIEYSAPDEVAVCNLAS LALPAFVDLEKRTYDFKKLHEITKVVTKNLDQVITRNYYPVPEARNSNMRHRPVGLGV QGLADAFMALRMPFDSPAARELNIQIFETIYHAALTASCEMAQELGKYPSYEGSPISQ GKLQPDFWGRTPTDLWDWTELRSNIAKHGVRNSLLVAPMPTASTSQILGWNECFEPYT SMLYARRVLSGDFQVVCPWLLRDLINLGLWDDNMKNLIIAAGGSIQNIPQIPAELKAI YKTVWEISQKAVIDLAADRGAFIDQSQSLNIHLANPSFSQLTSMHFYGWKRGLKTGAY YLRTKPSANAIQFTIDAATLKQAKSLAADGKKPAAAGSPSASAESLVAPMRQVKIATT ASSAPVPQPPTPSAESRSDSPKPSEEEEITYEEAKRRAEERAEAALQCSIENKDACLM CSG I203_00555 MGGFTAPGTVISSYYTWGPDPIIPPTDSSDIWDIPFPFIPMLWG CTPTYIEPFQRALWSNFSNATLTPQKDILVFNEPDHPQQALCTPQEAATVWREVLEPL KYQGHRLGSPAVTSGETGRQWMKDWYDACQGACNPDFLALHWYDLVPQNFIEHIQYYH NTYKLPVWVTEYAPQNFSVFNAGTNEYDGQATYIEVQRFMDITTAYMKSVDWVERWFW FGAMYEMQGVNELDCLFDQSGKPNRTGALNELGVQYANSNGSVSVEHRLSPSSAIRTT DRTMSLYGTIIITSTLLLTSQHLIGSMS I203_00556 MPATSSLLVREGQTDFAPFSPPDLSLAHTQWLLVLQSRMDALNS ARDHSLDFDLGGTSVDSDLVAPLEEAVDEARRMVCALGGEMRRRSEGNLPPWIEQKGH RDDSAMIRNNSAGQ I203_00557 MSGSLTHILFEGASGYALFTVSMQEEIAAKSKKLQESINDITIF SRMIQLASFLPFTSAAQALENANDVSEGVLNDHLKNLLNLIVPNAAGKTNKKQSGVVL GVAERGLAGAIQGELGIPCDTSERALELIRGVRLHQEKILIKGGMQKGDVAIAQLGLG HSYSRGKVKFNVNRSDNMIIQAISLSDQLDKDLNTFSMRVREWYGWHFPELYKLVPDA HQYATLAVLIGDRTKITEDLLEEMQAILDDDETRARNVLDAARASMGSDINEIDLINI SNFAERVVKLAEYRKSLRRYLVEKMNVVAPNLSALIGETIAARLISHAGSLTNLAKYP ASTVQILGAEKALFRALKTKGNTPKYGLIYHSTFIGRAGTKHKGRISRFLANKCSIAC RIDCFSDVPTNKFGEALRAQVEERLNFFETGAPVSKNSEAIQKALTAIAADLGDDDDE DDDEEGDVKEDDIADAVKQVEKDQKESKKSKSKQALDPELAAIAGGLPVPVASSTPSK EKKDKKEKKDKKEKKEKRKSEAMDIDVEVEEKKEKKDKKEKKEKKDKEEKKDKKKKRK SEA I203_00558 MAAQIGHSQKAKPLSPVSVTFLGTSSGGGPILSRNCSSLALDFG NEIWLFDAADGTLMRLHQSSLRIANISRIFITHMHADHTLGLVAIMMTIMSGVGVKPG ENEELAKLGKKKKASFHIYGPAGIRKLIRTTLSVTSINLAGVFAIHEILEQGEEPSVD CEEENLHSNEAVGIDFIANDDGVWENILQQGNGKSGKGWSVKAGPIHHRVPSLGYVLE EPIPRVQLDTSSLIPLLKSNAEALASLDPPIKHPLSLLSHLTSLPPPPPYTLPSSDVI HPPPPSGISPRKLVIFGDCSGGTENSTFQKMCENPSLLVHECTNGHIPHDVQRGEKGM KIRKKDLEPSLEAKRDKLFFHKSTDGKKGHPHENGNGHHSFEDILAKDDEKRLEVQKK ALSRGHSTPEEVGNFAKTIKARRVIVNHFSAMFPSPRYPSTEPFPSILSPLSPFPYPS PTSTTSNAHSYDPHVEPHPLTKNEIHTRLIMQSLADQITEIWCSSGDDEVQRMAIPSR DFMNVQIPCHELSDIEQDDIRRYREELDRVMGSWKECGGVWVDLPKEIGRIWLGVEPA PSQGAKTAPQEGNHIRFKE I203_00559 MALGLCFIWIEIRTFFGESPQDNGAGADNVLYINFANANETHKG VSKHESEREISITALQEMLKIFSKAIEWNKVLEGIANEMEVAIGKKGGKKIVIHL I203_00560 MPNHDSTRGYATDAAATTVSDPNNTCICSNDDASTGRKPTTKSP SSDDDMSPFYFCLAFTLAFLDVLHVLVMRSVEDMEM I203_00561 MPQRANNHPSLQRTYQEDMRALAGLVKQLEKVKFRPRKKGKRKK CQRGIRIANTRIVITLSYAATSQVYHDRLRIDEAQEQAEPFLRVLRFLIHEISETLGI IIIDKCLNTVPALS I203_00562 MSTSYAPPSGPPPSTTTNNAVPNDDDPFDPPPAYTPSAAHSAQP ETTLEAGPSRMDFSGPPPMPDRLEQNITGVGVGFGRRPQHELGSQYTGNTIQTQQTGP PQLPPRNPSTSAGYGGEFSSPSGPPPGKEPIHQNENGAGPSRPPATSADLSPTEAPTP GRPLLWRGQLLVYPKGFWCHKCNNTGYKANDPSNPHETDWKKYGKPYTSALSTSYLHS TSPESNPSTSSSANFQRPLPSFPQQPQQQNPYGHLPPPPGAWGTYPGHNAHYAPRPPP PPPPPHHMMAHQQQMPGQQIFVQRGPGYVPPGALVVGPGDPRIGGRVCYKCGGTGREN DFLFGFDVGRCYSCQGLGRVF I203_00563 MPPIYVKPENALKRSEELLALGTPQSQQQAFENLVEVFMSKKFK HTPVSILEPIVFKFLDLCVEMNRKAHARQALLQFKNAVQTTSVASIEKVLNHFIAQAE ARLASATEQAKAEVAALPENPVVDDELPLQPTSLLLDTFVDNAGDRERIERRLIAPAQ KFCWDAYDISLDIAKSNDRLEVIYQSVAHRAFSFCKVHQRKSDFRRLCEQRLRKDLTN AAKYGHQQHAINLSDPETLGRFLDTRFLQLETAVELELWQEAFRSVEDVHGLVAAGKK VAKPAMMANYYEKLTQIFKAEGGKQTAVFHAAAWARYFQYAERAGISNDKAPGSVLLS ALAVPLGEVETKQRLIALLNLPKMPTRESLVKDAAGKHLKRVPADIRQIYHILEVDFQ PTTACKTLAPLISSLAPEYQPYLPALREVVLSRLVQELAQVYDTVTLSHILNLVKPFE NTPWATDMPSLEKFLMSACRRGDISASVDHVAQTITFVTSTAQPNRLSDLAIVLYNTI QYLNPTPVTSRADAFAAALAQAEEERKQVAHRRQIVQKRRELMEEANLRKQREESTAL AERLKAKALEDERRAKEAAKQAEVDRLKKQMEDARRAEAEQLAKQLADKGALKVDIKS IEDLDSSKLVALQVEQLAKEKREHAERLRIVGKRVDHLERAMRKEERPLLVEDYEKQK VQDKIEHERLNKESKELAIQQQKTSVELKNRLGRMLPDFIVARDNVESQREQEFKVAR EKARAKVEEEKKKLKERLIERKKAEKAKREEERREAEERERQAAEEAEARAAEEAARA EEEAKAAAAIEAAKAEAAERAAKARAERDAQRAKDEELLKMRLAREEEAERRRAERSS GAGAYRRPGAPAPAAAETPAAAPALAPTTVKAGGWRERAAAKAAAEANGTASPTATPS SPARQASAPAPADGQAQGDAPAPERGAKWTRGMGGRGRGGATPPTSGRAPSSRW I203_00564 MASSLQHLGRGALRVAKNYTKGYTDTQTKVRDATSNDPWGPSGQ QMNEIAQMTYNQSDFVEIMEMMDKRLNDKGKNWRHVFKALTLLDYCLHAGSENVVIYF KDNIYVVKTLKEFVYVDDTGKDVGANVRQKAKDITNLLQDDARLREERRSRGAMRDRM LGNIENSGLRGHDDYGEPRSPARETPKPKPNRNRNEDDDLQKAIEESKRMSEDEAKRR SQITKEEDDLRRALRLSEEDEEKRKKDLDSSNQNALFDDSLNIQSNNAYQQQPDLFAQ QTQQMPMMPTGGWPLVDVGYGQQQQLQPQYTSYNPFHAQMMQQQQQEEYMRQQMALQE QQRQQEEFMRQQQLLQQQNTSLFPQPTGYGSNNPFAPGGGMQSQQSYSPAPQQQPQSS FLPVPVVSQQQQQSPQTTQKPLEPQPTAKPTPWTAPAPKRDDGEHSGLAALLAKGRED GLDTFGNVGNLRIPVGSQFHNSNRMAVQQTGAQGFGANNPFGQLQSQQTNQQQQQQPQ QRNDQPFFSI I203_00565 MSSSRPKVNLRSTAVKRIMQEASELANADIEEDGFVAAPLEDDI FEWHCTMRGVSGSEYDGGLYHLRILLPPSYPMSAPDIILMTPNGRFELGKKICIDGLT SFHAGSWQPAWGVRTAVVGLRSFWMQTGEALSAIGALDYSKEERRRLANLSKDWKCPN CGVTNQEIIPPFSASPSSSSATQTEGQAVSHVHDKASQENGESSSEVVSISNDQPEKD QSDISIDPNPQEIPSILPSSNTSTIGPSSTGLQALPIDIIPPSIEEPPSIPSTSGNPI RPSQSSRVGGASAVEERENSSPVPTRTISLPTTDPVINNDTDVETITNANATGPPPPN LRPNQQRSPAFYLLDSLILAGISILIILIAKRMA I203_00566 MAESTIPSSSSSHPLPHIAPVGDGPHESVDIDAGPSNRPFTDAQ VEEFREQDRWLPIANVARIMKTSLPASAKVSKEAKECVQECVSEFISFITSEAAEKCL NEKRKTLNGEDILTSMRSLGFDNYEGVLRVYLAKYRDSHHSTNKRGGEDDDGDVISLN GEPKKKRGRGRQSTTGTAGGSAANSVDGKGKKRRVDDEQVDKR I203_00567 MPKANKSSASAGTRKKNARKAARVTGEDPDEAQPKSGMKPQRGQ KKLSKAQKKALPKIKQYIPPPKPPAPPIPDPLDGLGLARTLPAELVVVLRRLGKKDDV TRRKGLEELKEGWINELVTTKDLTEDQEMGLERELKETAILSAIPVWLHNLASLLQSP FHRSSAIQLHSDLLSIPSLRTAILESLSLSLLPGTQSRDVLGSWMVAALEEGRRAGGA GLRSWENSVTWSTPTQKEEGEDTSSTSNDSEKIVFSQHLGDIVEYLTLSILDPATLHD NIHPAPVSSAPSTSSPAPNKKGQNTKGGGKGKSTPTPQPKQQVSASTSTAAEDGEIVE ERLARYRVGGLVGLNYLIQQLPKAAIKDLPADLVNLLKNPGLWLALSSETIDNSDSDS PNGLGTAQPPIRRAAYSLLSTLVENYPEQLKEQDTLRMLSDAVLGNCWLETEGIVWET AAMAIAKFIRKWPECWTITSESQSRRGSAQETISANGDEEDEDNDDDDDESGSDEEEE RPENCNQDDVSQSAPITRSPYYANFLEFISTICPTIPHLTYPLLLVVISTLPADLLPL VSSPTSQLQNLFSHIWAPVDSRLLSTHSLPGQQSAFQVFLQSMLDCTGYLISKAWSSD DGKETASWLVITQLGDKVWKEGVLEFGGRGGGRRAQKGASQEVEANAFGRSLGRLSTV DAGLAQDLSEIVKQSIVEGCFPENPSSSILSRSLSILSALRASTDSEIVLQTTEQSIT SLLQRCVEALPKSIAGDGVSIVTIVETLLEGYKQYSQLVHDETTNSLVQVVQDNRVVL STALPSDLLVSLLSAIHSKSSSNQERIQQITLSLLQSSETDTVKPFSFAQSLLSAPTN GLLQPGSLDNLATESTQSALASNSPEPTSVTISCLKATQYLSRDALDEVLALVSTAIH DSTEKLITGHDFSEDTLPISAFKIFATYASEHLDEVIKSDIWIQSLISVHHVIFLLPR VPGHIASSFGKDEALAQLWVKVGQLGDEKKQLVLHKIYQALKEGIQRVEVEVDPTIFI DVALATSLGDLRAPSVIELSRSLLPTIDELIDEIITHTNQPPHPSLPIIDPLVPCSSE ATPDISLESDFDLIGRSRAARWAEAVVALLRADRQLVASQPALLQVALAAYQLTQDTL GVPGSSRGLYAQSASIVHLMDLVREVEGALSYAMSFVDEAPISWHNDTIQILKGGSLP ENADLLQKLLSALKNDITDTGGDISARSFKDVLSRHFRQSGAGEAEAEVWLNFGMSLI DKAPQLALAIIYAIKPLLLDHKSFALAQNRLANALTSVKATPSAVRKQGIPYIRLLIA SAPPADAASVFLPQQRAIFVLRHVNPWLTADDDEGEMPEEVEYRIAELETALAPVVQD LLGGHWDSIFDLVESGLEDASLDDITSACLTYQSLLLLQQIRDLCQTNKSLRASWTAK DDHMKAVLNLLLQCRTADSTPLLMIQNVVLDLLGDIPEHMMENASLGQLADLIHLSTS SAVQCASYRILSKVIKHNTIALVLEVEASIAEAEEGHQHRTIELPKELIDIVGEGLKI DWMGEIEVYTVLGQLLAWLAILDHFEDASRTLRWAYLDQLNSSKLLTEGLLPMLFAML GVSEMGAWNFPASQYAVDEFYPELLDPEELADLTPLASYLFYRALVTIPSALRSYYES IKSRQLSMSMLTFVARHYSPVIIAHEFEALREPSALKQLTEEGLNIRIAQGGGATLAA SAGSGSSEAIASYVVDEQPMEIGIRLPAEFPLKGVDVRDLRRVGVPENKWRGWLMSTQ QTITSRNGLILEALTVFKKNVSLHFEGVDHIPDGPYAPYQTL I203_00568 MAPPEAIWNDPQALADYYIDFAIPLRPQPGVLVASVIVSYLGSY ATLLVLGRRTSSRGWRNHGLLLLAAVVFAACAIWGMHFVSMISIRLRASPYVTWYIQF DKGMTALSLFVPILATFISFWLIGSEIDFHIWRVLFAGAFQGLTIGLMHYSASFRLPT LQVTYTAVTVVFALILAAVAAIVALFLFFRLRAQWEESWWKRALCALLLATAVCGMHY LGLGGTSYRYKQGVDPMILANAGGQATRLTIAISVMCGVIVLLCFVIAFLDAMTKRQI RNKARNIVIASAAFDKTGKLLVKNDGTIPMQVIQTDADLQRVLGELDPRQSTFQWLYQ LSFNWALVTPFVPRILKSVIDRQKGKSQQPAALDRGLNSSWETLLFRSRFVEASVLLA QQLDLSVESLGAMFDRVLTTGTRLPEERSEKSAEEGKAGVKGDDESSIHGITLRMHNS EGVMLFLVREIGEGNPSAWDNPNADKNQLRSNDTVDSYTSRGYRMAETRFFSKAMADH FGVSKPEMDVFLSACKTYAKRGTRPVVQSGGAYLGLFGVRPTGTQMQGLDVLVYNFAR HQIPAYRLPDVGYPLNATMKAWIRELANANMGEVLRRCNEAVARAENSDDGSASLHSQ IDESLYEFQAAIAVAIEALTTALRCWPTLLDIARLSPEILEIPASDHDDKAPAQMVVL EVVLPAPDARLTPVQSRASGVQAPALASGRHESDKPPAPFVYTPFSLFTKSQAMLLRA KAFQEFSKNTVIDLNKVYPLMPTDVAAELDAFDSGEKGIDASGNPFAIGQLQGRWNTR GKSASKGLIVDTASANTYHARYTSDASSLNLKSPISTDGSEAAYEKGFGNISQIHSLA VATQGRRGSRPNTGASEHSVQSHSLVASDPVETVKKGLTSLVNKATGNTTQPEEDERN VVDHEADVGPPVYTSVRNETDGWFMRSMRDLERSDRTGALEHVGWQEN I203_00569 MGSQPRIAIIGGGISGVCLAIALQKRNLDVTIYEQAPKFGEIGA GVAFNPAATRAMKLCSTDIYDAFEKVATRNIFTEKQKTWFDWLDGEADQPIGQEPYLF SLTNEFGANAVHRAHFLDEIVGLLREGTTKLGKHLDTIIDEGDAKPLRMKFHDGTEEE TDAIIGCDGIKSSVRTWMLGKDLPGNSPSYTHKYAYRGLIPMKKAVEALGEDLAQNAK MHMGQDAHVLTFPVDHGETMNVVAFRTNSEPWPSSEKLVLPSEKSHVKKDFEHFGPTV QKIIDILEPKLDCWAIFDLGDHPMESYHKRRVCVLGDAAHATSPHHGAGAGMCIEDVA IMAELLNDDKVRKQGLNGVDIAFKTFSETRLERTQWLVQSSRRSGDLYEWRVEGTGKD IEKIQKECKESNEKIWNAQITEMAEEAKSVLNRKF I203_00570 MPNAAPFWLCHECGAQMRPVTVNGVSHCASCNGEFIEILDPEVN PDPFHELSPAPPSRPGAEPSSPSRSNPPPPPFQHNPGQGGQSFLSSLFGNILGAAADH QHGEGSRTPSGGSGGNDGSDSTETSPSRSTGRTGGSSGGARTFAFNFPGGGRGQVVFG FGNGSGGGMGMGPFGPTGQGATTGGMDFESLFPQGFGPPPRAGPGGPQPLGPGDPMDG AELLRALMAVMGEEGQGGPGMFFGPAGRANLGDYATSEQGFNDILERLMQAAGPQGPL PASDIVIEGLPRFKFDDEKKLAQSTYKDCPVCKDDFAVGDEVMRIPCAHIFHPDCLVP WLKQNGSCPVCRFSLVPEEEDRARRSQGQGQGQGQGSGTAQDNQEGGQSTMTSILNRL FGQAGGTTSNPTSPTDNETNHFTFGSAGQAAQSSTPGATTSSNFPPASNSVNSADQPP TAATGNSAQSEDPPSPTLPTSGQPVTQNSPHTSEQDRPSLSSAIPEDYRARHRERERQ RQHEEDNQG I203_00571 MVQALGQALRRVDRVSRQIYICNDQIRQIEIEAREHSVGVIKIS LKKRDKELNSLKEELGSIIQNKQNQDEVETHAGDSVNEDSNCISTPRSNGSATTTTTT VRFLEAPTPSPKSPVKISLFRSSRPLSTATMLNINSLSFPIPPDRKRDDPSSSGDPLD LDAEKERFECTTDGGLTVQICENEDEAEQNSDAGMESTDSHTTAISITGLTNEILIYP PGHHRSLSASIMGLEVPLSAYRSEDGHQLSNMDLCIIDDSNHQCRYRSNHERSISESV IMTPPGSSTMKITAPLSIKSPMSHISQGRSRRQINSLTVRGNENREETVRARMGRESL LETPESILLSLATAPIWTKQDHGAR I203_00572 MSIFGSVFKSGRTTPQIFNHPFTEGADPPTPVTASPGKAEPTLP APSSNHYQISRSPAKPRNESPRTKKSGETPSPSTKARRDFTATTPSSAGQTYSSSTKW CKSVASTPIATLPPSLPPPAYALPPTPEASPDRRASAIHNGTQGIGHARKPSLGLNSK TVPAPPSSYTSPNTHIRTGSAPLSTTQSSQSRARSGSASISSRTNTAMGGLYPSLAST RSTLDVGSDSRSFDIFGHGSHEPKHRPVALKLKTSNLDPQLASSSRIRIKMKHSVEAT KEFDEKSHKSGIEIDAVKSSGLFNVHLHRPDRHSDQSLQVEYTPTPIQSSRPSPVRHQ SEETIRPSSHPHEQEQIRAERPRSFSTNDVMNITEMNKLRSIDETPRKQRSQPTFKPL RSPSSPTLGHRNCVTPGLSSAMSDTSATPGSTYTSLPTTTSTPGVKPFDNLPIPWCTK PSSELLAEIGEEPYNVLIEHSLSDQMVSSPRLLHPVILENSKFEEIRLRAELSRLKGK HFLLVNQRESLTK I203_00573 MSHNDPFHDQNQQRNRFTPSPQPSHYPPSSQPQVYPPAPYSYDD GGDMGYRGRMGGGVEINGQHMPWVAGEEDDELKPLTSDPNASTTSFLPHSPYQRPLNH MPSTNSVGADFMRRQTLPRRGVTVKKIKLTKGNFIADYAVPGPVSSSVEDKWIAGNKS NEFSHMRYTAATCDPDDFTPENGWRLKTSSYNRETELLIAITSYNEDKILYARTLHNV MLNIRDICNTKASKFWRRTAEEGRPGWQRIVVALVADGLGPMDKQVLDVLQTIGVFQD GILKKEVDGKPTVAHIFEYTTQLSIDATPQLVQPHPGDANNLVPVQMIFVLKAENSKK INSHRWLFNALGRQLNPEICVLLDAGTKPGHKAIYHLWEAFYNNPNLGGACGEIHAMI KGGKKLLNPLVAAQNFEYKMSNILDKPLESSFGYVSVLPGAFSAYRFRAIQGRPLEQY FHGDHTMAARLGKKGIYGMNIFTKNMFLAEDRILCFELVAKAKDRWVLQYVKPSKAET DVPEQAAELISQRRRWLNGSFAASVYALIHFFRLYKSGHGPIRMLFFHVQGLYNFFNL IFSWFALANLWLTFSIIINLVPAGSNINLFVTAEVTYWVNLVLMWIYMAFLMLQFVLA LGNRPKGEKGLYILTLWVYAVLAAYLIVCSVILSVVAFKVGDQRFSGNIGQKLANLFN ATNGVLVAAVMSTIGIYLIASFLYRDPWHMFSSFPQYMLLAPSFTNVLNVYAFCNLHD VSWGTKGSDKAEALPAVSSSKEKGGDAAVVEEPQRNQDELDESFKSVVQRAVAPYKNE EKEEKPSMDDENRTFRTRLVGFWLLSNAGLAISIQTLNGLDTTKKLVEKCVPDEYDPF SGNVTVPMNGTCIENALKFNTVELQNKQQFYFQALLWATFGLSMVRFLGCLYYWTARQ LGRCCRRN I203_00574 MISVLGMGLLVGAALTIIIPEGVSTLFDALPAERQGHDESAIHA TGISLLLGFALMLLIETLTPHPSPSPPPSPPSSRSHSPASSIDSAHRPSPPHMNSHTP LQSKPKKLHVHDYDDSDGLSGSAHGLNATLGMVIHGAADGIALGASSLSGNGSLSLII FLAVLIHKGPTALGLTTTLLSLNLAHTAIRKRLIIFSFAAPLGAILTYLLVKAFGSAN LGQGHRGDVDAIGWWTGIALLFSGGSFLYVATVIQPLSSHPHDHHSHVESGDPNGQQS QEPQLGKYERTLLLVLGMGLPAMLSWLVGDAH I203_00575 MGAPSSSQGFSTESYFQTQRPPTGLKEKSDRMHGFVEKWKAVKG KKVVLVTSGGTTVPLESNTVRFLDNFSAGTRGATSAEYFLSQGYAVIFLHRLHSLRPF SRHYSHSLNPFLDLLSIVPSESDSSSSIVVSPEHTKSLLPILQAYHEAQSSGSLLSVE FQTVNDYLWLLKAVTASMASLGRRGMFYLAAAVSDFFLPEEKVAEHKIQSNKGTLSLE MDQVPKVLKPLVQEWTPEGYIVSFKLETDPQLLIPKSRAALSRYGHQLVIGNELHRRK YEVVFVERNSHSSTARPEKGSGDDRIKGTETPPIVEFAESNKFDVNGLVQKEEYKEMW LRLDDLKDGAAVAGKGRDDEVEIEELIIKELLNRHQRWIDAKE I203_00576 MTYTPRFILNFLTCLILPLLASAGDPEVTVTRIENLPNRLFYFD DTPVVLFHDPVRLAVMRSPDEGKTWSPISGPQEGEAVRLIDHPHNNEMAFIIGRDTTH WVTYNQGDSWQSFETPREASLGASMLSFHAEQDGWILFQGRACEDTGLGKWGGGKSCW DETYYTQDAFRSPAKLLLAQTSQCLFARSSPAFVNAPESLIFCVAFDSSNKPGGGGMH SYKESRLYSSEDWYENKRFVDLGIGKRARGVVGLGVVSKFMVAALKVSEGEGAKRAGG DPMHLYVSTDGKEWRQTQFPHSALPDLKENAYTVVESTTHSIAVDILTSPSANIGTLF VSSSEGTYFVEALPDTNRNDYGIVDFEQLVGLEGVGIANVVSNREEVVGWGEAKKIKS KITYDDVTLTFDKAYFQESCSLHVHSVTTPHNIGRVFSSTAPGYVMAVGSVGDSLLPY EDCDTFLSTDAGLTWKMVQEGAHKYEFGDQGSVLVIVDDEEPTDNVKYSYDGGATWSQ LDLGVTVRGLILTTIPDSTSQKFLLIGTLPRRDSGKGGRHALIFLDFAPVQTRQCTDS DFERWYARSEEGKECLMGHKQWYQRRKLDAQCYVGHKFEDPIGHEENCACTDDDYECD FNYVRQDGECVPVGPEPVPAGTCNKADDQYLGSSGYRKIPGNTCENRSDKAKDSPIMK DCSAARPKEGKVSHVTHEFGSVITQHQYFPGSQSVLLQLSDGTVWQSSNEGFSWKQLY EKEAFLGITMHSFANERAYLLTDSKRIYHTTDYGRSWNVITAPEVPNNLGIPILDFHP TKSDWLIYTGAINCADTLSTNCRAVSYYSTDHGRRWKKIEEYVRNCAWARDARLKIDE REIICESYKNKKGSQLGGDYNPLELIAGANYYSKKIKLFDAVVGFASFSEYLLVAQLN EMAGTLSLQVSLDGYHFSEGQFPPSMKIENRAYTILESNTDSVFLHVTMNSDTSKEWG SIFKSNSNGTYYNLAVEYVNRNTAGYVDFEKMSGLDGIAVINIVANPKEADISGKKKL QSRITHNDGGTWKPMNPPPKDSLGQEYDCTSTSCSLQIHGYTERRDPKATYSSPSAVG LMLAVGNVGEELAPYTDSDVFLTRDGGFTWEEVHKDAHIWEFGDSGSILVLVNDEEAT DHILYTTDEGLTWQEYAFGQTLRIKSIQTVPDDTSRRFLLIGNVPGQGEKSILVHLDF SAITNIKCELSIEDPNHDDFQLWSPSEGREENCLFGRQTMYHRRIRDRNCYIGQRVDQ PKTIVRNCTCTPADFECEFNYRRDASGNCVLVEGASPLSIDTEYEQCDGTTDYWYERT EYRKIPYSSCEGGERPDRGKRHECPGLILRGGLGGLFWGSIAMIPFAFAGLAGWYYWT KGSRPGAIRLGEHRAFGDDSPAAGVLSIIASVPIFLIALGQEGWAWVTRKVPFLDDLF ASRTPYRSVPIDDDGE I203_00577 MFKTSIRAIRTRAQTFFSPTPEYVSSHLAKTTDPSIFLLSTSLQ HLSQHLPVIQNHLPNSIGSFSITPPGHEPTLSIATFSDDARIFRSDLTGRPPAEVGRF QRPSSQRSQREEDLKGTGQGQAEALLAGEGWAGMWKGEAREQRIAELEGVTAESFLLL TDGRPTPVLKALDAMYPAASKVGILTAATPFITNRPYTLLHNGNIYPSGSLGLAFKSR PKVNLNFGLTPMTEPAVISGAQGNMLLSIEGTNSNPTQVLIAAIQKRGGSGITKEEDF YLGILENGEVQQVVKILSGDPSRGAMSLDMEDSLLADQTIQFMYRETAVSIPQPKPDT ITFSALGRSDEEGELGTGSPRVVDGFLGLSEGGFIYSNPISSICTAPGAVVTASW I203_00578 MRQTSTDLPADIQALVNQTIDSLRDLVPNPPTFVSDEIQDAVFD AIDAVDKDMRALNLAIHDNPELGFKEFKAHEHLVKALEKLGFKLSNPSSLPTAFVATY THGEGGRVFGLNSEFDALPEVGHACGHNLIAVVGVAAAVGLKAALKACNIPGTVKLIG TPAEEGGGGKVILLNEGVYDDLDACGMAHPGGGYGPTPLARSGFEIEFHGRGAHAGAA PWMGINALDAAVQGYTAVSMLRQQLEPTMRVHGIILGSETWAQNIIPKYAKVSYSTRA LDVRACLELRRKTIACFKSAAEATGCTFNISAPDQEVYADVQNNKPLSLSYQDFMEKT FGDKLEMTGMTTASTDYGNVTYKCPAFAPNFMIPSPTGSGNHTAGFAESAGKPEAHKL AMKVAKGLAVIGAKFLSDDAFAKEVKKEFKKFKRGVGEVEDILSCKEYAAI I203_00579 MPSDRLDPYLGQPYILFYHWEVDSKTPFHLACMSQWFATSFIDP DHSHLTFPTAEHYMMYRKALLFDPEVADEIAKAPTPEKAKERGRKIRNFDRAKWDEIN DGVVERGNYLKFSQNVELKEVILKTQGKTLVESSPTDRIWGIGFGMDDAEGKEDEWGA NRYVMIVILLWPLLI I203_00580 MSKRSNMSVATSSYIPLAERNLWSDVKPIAQDDGPNPVVPIMYS EEYRDAMDYFRAIAAAEERSERALELTETIIRMNPAHYTVWQYRMTTLLELKKDLEEE LQLMNEFAIQNLKSFQVWHHRLLLLTHISPQDPSFEIEYIHQSLLPDPKNYHTWAYLH WLYSHFYTLGRISEIQWEDELRWCEEMLRVDGRNNSAWGWRWYLRVARPGAETAKDGL KDELNYVLKSIHLIPHNVSAWNYLRGLLRHFKIPLTPLLPAITPYTARPSSTVPEIPQ DFDFATPSVPLPNDTPLPVPLALEYLADALIEQGSNAEAGEVFSDLSTQYDKMRAGYW EYRRRECVEE I203_00581 MATTGLASSATGKFDSVTPPKASSLPEDLVKQLLVNLDDDIKQL KDDKSFDLEGLLAYQRTANYLSAAQIFLRDNGLLTRDLKTEDVKKRLLGHWGTCPGLN FAYAHTNNLITQHETDEGAPYFMFLTGPGHGAPALLSTLFMEGAITRFYPEYPMSREG LESFIRAFSLPGGFPSHVNAETPGAIHEGGELGYCLAVAYGSVMDKPDHITVAVIGDG ESETGPTATAWHAHKYLDPAESGAVLPILHLNGFKIGERTIAGTMDDLEIACLFTGYG YQVRIVEYEGATSDHEHDTAINYDMATSMEWAYQEIKKIQTAARSGKPITKPRWPLIV MRTPKGWTGPRKSAGNPIEGSWRAHQVPLPKAAADDKEFGLLEQWLKSYGPQELFHTD VNSGASKAHNSVNKASGIIDDVALRIIPKDQQRRMGMVDATYRGFQPLQAPDWKDFTH KLDEPVSNMKAVGSYVAEIIKKNPTSFRIFSPDEITSNKLDEALEVSHRNFQWDPETA NNGGRVIEMLSEHTLQGWMQGYTLTGRHALFPSYESFLGIVQTMIEQYAKFVKMALDT QWRGDVAGLTYIETSTLWRQEHNGYSHQNPGLIGSFIGLPRHLARIYLPADANCSVST IDHCLRSKNNINLVIGSKNPTRGWLTAEEAERHCIAGASVWTNYSSDGGRDPDIVLVG CGVEVTFEVIAAAAILRNNGVKVRVVNINDLLILGAIGAHPHALTQDAFDSLFTADKP VIINFHGYPKDMASLLFNRNAHVGRSRFDILGYIEEGTTTTPWSMLRLNNTSRYTLAD IAVQRVFRDQPNHPVGVRAHELSSFWQHQLVLHDRYTKEHGEDPAWCGEVPDLEDNAA I203_00582 MIAVQAPLPPPSPLPLGPTSTVLPSSVPDNAPEHCPGVESSQAG KADACEGCPNQAVCAEGPKGPDPDLPLIRERMKSVKRKVLVLSGKGGVGKSTFSAGLS WALAADEECQTGIMDIDICGPSIPLLMGLSSSTIHTSSSGWSPAYALDNLAVMSIGFL LPSNSDAVIWRGPKKNGLIKQFLKDVEWGDLDYMVVDTPPGTSDEHLSIVQYLKETGI DGAVLVTTPQEVALQDVRKEIDFCRKVGIPILGLVENMSGFVCPKCKNENQIFAPTTG GAEAMGKELGIELLGKVPLDPRIGMTCDQGMSFLDEYPDSPATVAYLDIVQRIREILG DE I203_00583 MSKKSDLLVRVRYLNPVPNPPFPPKLLDISTDINRLGEPSYLNH LAASTQLPMLVDSEMGMPLDLNEYDGIWDGQDQSLNPALDAGRVHHPIDVALLAPFNP PPEANGDVKAPVATEVSWMRNNNYLTRKNNARRKEAAEAKAEEVVDASEAAQIMAIEK SFHDLYEQDPKEIKHPDKKKRGLTVVESYDILPDVESWENSYALVRFPERPSAATAIN PAATASSPRLAKAILRPIREDEDQQLIEFYLPKEEDLTNLEEAYERAIPSDEVDNVYA VTQEDPNDPTLPDIFSHVHYDRIRMYEVLSAAPPTKEILVSFQEDQNDGEQEDGSDED DERPKKRRKGVYYHEINFRTLLRKTRAKRREEVALTADLWDKAVVGYRQPAGKDEKER EMSKAQVSDPTWVNEELRKSRGGDNMAELQGEAIQDEDVELDEEAQKIEAAVHEEEDN DED I203_00584 MTPDDMGDLGSATGQELLENLIKEGLTPSGQDADPSKYRKLVQV LLQNCILKPIARNTPPNVQQASYTLTILQRQTKVHPGLLYTTTEQDPTPFYYWLLPKL VHAAAQLGQDALYDDLLTSMVAALLAIGRNLSEDDVSWAKGSRRLGVVIGHMNSFSQD ILSGRKAVLFGHSDLPQTPITLIFVLSAVLQSRLPFSDHHLSTASSLLSQTSRLINTP SLQIRYTGAMTAACVLPRSFALTKGCLFISSLPNIPDHAWREGTRLFYETLCVSDPAL RLELWWSLYPHHNELDAQDDVDFAKICFLLSQVTPNITTGMIRDLIQPETLNRWKEAA QKDNARLEQLDRNLRLTSPPTSKKRKRSGGEEERSAQLVRQIFTDFAPQDDLLESLLA RDDLHRLNGIAPQLPCALAGCSDHTANLSQPLSDRCFDLWLKMSKVDMSSFRSLALFV EHTPARTVANGLQLSSRQNILEKLFKGLGSSDRPVRLLSGRVVSLLYVAQFEHSDTRI ANRNRTQYIERVSRMLNSSLIVRETSVLLLADLGRHSDGDNLCSILKLLIRQIGSHNG PLKSLAYTQLIDLAKYHRKTPYTLISPFLKPISIMLAEDIKRNIELLNITMQFIGMTR KNFLETTLVHTVPALVLSRNGDALKEVASVVQQKLGVMLMDNISHILAQAFLRPDQTD SALRFLVSLLKDMTSSNPRAQPNISISSLMTACIVDLVVMLIVELGDQNRSVRADAKA ALIKASLQQTGSEDIGSFLKPLMLGVISQLNDMLHDVQGKKTVDYKRKIIKSFGSLIR LVGDSMAGFSPQIMASLQSTLGIPELREETLKAWALFISTLRFSDVGPFVGRTTGALI ANWYTFGPHERDIAAKIINDIADNVKDLSQYVDEIVGLDDIPELQSAATRLTARRRKA KVQDHISKVLDRTDSKNVAIATASTRELKSLLLNRQAEIETLVRGDTFDGVMSRLMTN LLSTATRDGDCQELRALSYECMGIIGALDPDRLGLSSDTGTMTIAANFTEPEESKDFA LHLIRDLLVDAFRATNDTKHQTHLAYAIQELLKFCGFSPKILHSSEKVSTRTRDRWES IPKDQLETLTPLLESRFSISDGPIKTYTHPIYASAPTYREWLQNWTTDLIGKVMSMAG DGPSTRDSKTIFGAFRGVLKNQDVTVAHHLLPHLVLNVLLSGLRNYRLEISSEINAVL QEQVNPTGPADKRTLSAQVIFDLMDHLSKWLRLYRMTKTDRNPQTKIIEEVLSEIETE LMANAALQSKAYARALRSFEERTVELRNNKRDNSELQTYFERLHQIYSELDEPDGMEG VSAFVISPSLEHQIREHESTGRWTSAQSCWEVRLQQSPDNVSYHVGLLKCLRNLGHYD TLRTHIRGVLSRHPEWSAELSSFEAEAAWIIGDWTTVKQIGSTGPAIGQTLLALHEHR DLQPVLLSAREKLGTHITAKEYGRAYESLLQLHLVREIEMIQTAKQRIEQTPSGPNRH VLIQKITQDLIKSLESRFNFTSPTFRIREALLSIRRTAYSLVNTPLFESEIGDAWILS SKIARKAGYDQTAYSAVLQAKEVDAPFAFIQQAKLNRTGGGIYKALTDVDNALKPFLN TDVVIDLTGNRDFSRERKLAKAVLLVAKWANETDRFERNHIIGRYQEAIARAQNAESP YYHLGHYYDSLIGTPEQMAAFHYHTCHSYSLALQHGVKYIYQTMPRMLTLWLDLGENK ELKLLPEVAAQIKKINDLMERVRTELPTYQFLTAFPQIISRIVHPSKKVAAILKKIMA AVIVRYPQQALWPTVGAMQSKRAERRQACLEVTNIASTKSQAVAGLIKDATNFSGILL KFTDDKVDEKKRQMSMSNDFDYVTKTKTRMILPLQDALTCALPTTSETVKSHNPFPFT PVTIAGFDDRVDIMPSLQKPKKVSFMGSDGRKYPFLCKPHDDLRKDARLMDLNSMINK LLKSASESRRRQLYIRTYAVMPLNEECGLLEWVANTNALKSILEKGYQRHNKRIYIWN KDKENFEPLIQAFRDQILPKYTPTVFNEWFLITWPEPSAWLASRMAYGRTLAVMSMIG YVLGLGDRHGENILFDGLSGDTVHVDLNCLFDKGKTFEIPERVPFRLTQNMVDALGVT GVEGVFRKAAEITMGILRNNSDSLMSVLEAFVHDPLIEWIKIGRSKSERDIKASADRN LKPIKAKLRGIMEEGTVVSVPSQVEALIKEATSLTNLSAMYIGWAPWL I203_00585 MARSKDIAPHVGRYSRSQVAAKRGLYKGKKTGAAPAKTESPAHT EKTVGGKGNGEKRLVPTNKASKYYPAEDVKKPKVSRKTVGKTALRASITPGTVLILLA GRFAGKRVVFLKQLDSGLLLVSGPFKINGVPLRRVSQAYVIATSTKVDISGVSVPETV NDAFFIKSKAAKGSKEGEFFGEGKEKKVFPEEKKSEQKAVDAALIASIKKVDNLAKYL KASWGLSKGDRFHELKF I203_00586 MAPFDLDACIDRLRDKQLLGEALLREICEKTKEVLMRESNVVHV ASPITVVGDIHGQFHDLIEIFRIGGSAPHTNYLFLGDYVDRGLHSVETISLLTCLKLR YPERIHLIRGNHESRAVTQTYGFYLECTRKYGSPAVWQYFTDMFDFLTLSVVIDNAIF CVHGGLSPSIHHIDQIKIIDRFREIPHEGPMADLVWSDPDPEKEDFAISPRGAGYTFG ASIVKKFLNLNGMNHVLRAHQLCMEGYSVLYNDQLSTVWSAPNYCYRCGNMASILEVS PGGRRYFNVFSAAPENERDGPNQQQQVKAIEYFL I203_00587 MPLRVVGVNVMFGRHHADYDDYPSVRAAASGANHQPTRPVDKDK TESELSVNIKKATSPEETAPTQLTVTECIVYTWDYHSSLSVWNGLRTQPILADEVQTF KALIVVHKLLQEGHPVTIKEAHAQTGWLETCGRTVGDGGKGYGGLIKAYTSFLLSKLR FHRHHPEFNGLFEYEEYISLKNIDDPNEGYETITDLMTLQDQIESFQKLIFAHFRGSA NNECRISALVPLVKESFGIYKFITSMLRAMHRRTDAMDALLPLRERYNAQHYNLRRFY YECSNLKYLTGLINVPKLGQEPPNLIDNGTAPELPERPPDEKVQAREETPRPSSPVAT QGEIDEQRRMLEEYERKQAALVAQRESEQRRQEEDKRRQEAEYAEQQRMQQERERQAQ EQLLRDQMSQQYSQQQQGQYSQLQQEMLAMRGQYERDQMLLEQYDRRVKSLESELGLI GANVGAQMSAKDELIAQLQKQIEVWKNKYEALAKLYSQLRGEHLDLLNRSKGFQLKAN SAQEAIDKMERMERDVKTKNLELADMIRERDRARYDLDRMKSSHREELDRLKRDLSFA NERAEDASRHKSSEVSGVMAKYNRQLTELEDSLRAKQMQIDELLHKIDAKDGEISRVV EEKEQELMIMQEGMDSTLKELSDLRLTSGDTSQAFDAQVDTLILDHRKELNAIIDSIL QACMQKVDDAIYELESPMQTGNTTATPEYTLSMIEKAMTNATEFASTFNLYLGRKSGG GHVDVIKAANELAQALSETLVSSKGITRFAETDDASDKLVKIAKEAGDSGYRFFLNLQ SFRLLAGGKSEEAALRNNAETRGALSKLSDTVEKFIPKAKASTLSKANGDIGDIVSQE MQNAAKAIEQATERLQALMARPKGSKYTSLDVQVHDAILEATLAITNAIGRLIQAATE SQEEIVREGKGSSTTQQFYKRNNRWTEGLISAAKAVAYATGLLIESADGVISGTHSLE QLIVASNEVSAATAQLVAASRVKASLMSKTQQRLELASKAVTDACKALVKQVKLISNK QADDEAVDYKSMPSHEFKVREMEQQVEILKLEKDLGAARRRLGEMRRAGYHQETD I203_00588 MFGNNNARAQTSTATRRLMKEYKDLTADPLQDTITAGPISEDNM LEWEALIQGPEGTPYEGGVFAAKLVFPSDYPLNPFTMTFDPPLLHPNIYPNGVVCISI LHPPGDDPLHYESASERWSPVQGVRSVLLSVLSMLAEPNIESGADVECCKLYRDNKPE FERRVREQVKNLLGI I203_00589 MVGVLTIHGQAPSSGAPGGGKRDGKDNKDKKSKWEPPIPTRVGK KKKRGPDASSRLPAVYPTTRCKLKMLKMERIKDYLLMEEEFVANQASQSGEDRTAADR TRVDELRGSPMGVGSLEEIIDDDHAIVSVGNGPEYYVGIMSFVDKDLLEPGCSVLLHH KTHAVVGVLADDTDPMVSVMKLDKAPTESYADIGGLETQIQEIKESVELPLTHPELYE EMGIRPPKGVILYGVPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGDGPKLVREL FRVAEENAPSIVFIDEIDAVGTKRYDSTSGGEREIQRTMLELLNQLDGFDTRGDVKVI MATNRIESLDPALIRPGRIDRKIEFPLPDTKTKRHIFKLHTSRMSLADDVDLEELVMT KDDLSGADIKAVCTEAGLLALRERRMRVTKADFTTAREKVLYRKDENTPAGLYL I203_00590 MWGNPANPELMQIPAGQLYLVRPNSIKGSRECIFQDAVATIRRT GVEYQYQLVVTRAYEEGEEQLLDEDAETDDERVFLIDQALEFRFGTLDGDATCAWRDL SGDEGDLWEFVSSKSVPKATNSLFELTVLHCVYERKYLRSHEEATDAELEALKFTEKL PALPISSIPSHPSEDEPSSSSIPVAEDPFKDVPILHRAQAELYLFDIDTDVFVIQEKE VHADLASNGDYDTWIIVRHNSTPFISAPIDAEMNPRFDMANHAFMFTFRETEGLPGMT WCLRFNEDVFGEWKDKFTIYMWEGKNRMSYAKAKADEQRYIQEAYEDVEMAEPEEEEE RAESEDDQEETSSTGEGEDYQSDSEESDADAFERGSKNQQLAVGYKNDMSFVARGDMI GVFAHQDDKLRFRTAIDRVKNLEGKTFSPRKMMLHNQDGDMLLLDPSNQNSVYRMDLE YGKVVDEWKVSESVDVNNIIPDSKYAQMNPQQTFIGHSHNGLFRIDPRVSGNKLVESQ FKQYATKNDFSAATTTESGKLAVASNKGDIRLFDQIGKNAKTALPALGDPIIGVDVSA DGRWLVATCKTYLLLIDTLIGDGRYKGSLGFDRSFPADSKPIPRRLQLKPEHVAYMED PVSFTPARFNTGVNEAEKTIVTSTGKYVVIWNFRRLKQGRTDDYQIKQYDSKVVADNF KFGADKNIIVALEHNVVMANKKELAKPTRSSLAPRASLSTPIRKIRQSHSDIVNSPY I203_00591 MAPIAISSIEALPASPLLNLKQNNNAMTDPDQVARNTLAGPLKY TGLLEKYSILKAANCNDLIRDLAVLISRRGVCFFRAQDLNQDEMMQLQKKISTLAGQP RESGMCIHPVSENVGEMGAKTQLISAEMQRKGGGIMRLHDDVSRWATRAFHSVGGA I203_00592 MSDIKELAPDLEEPAFVSWRQVQIEAKREEEWQNKLSIWEGLKI YRTAVFWSVVASSCIVQEAYDTLLLGSLFALPAFKEHFGHDAGGTAGYQISASWQAGI QQGANMGSLMGVFLGAFLVDRFGYKYSILGNLILLAPIIALVTFAPNLGALLAGEILC GIPWGVFSTLAEAYASEICPQGLRGYLTTFVNLCWVLGHSIGAGVLRAANNITGKWSY RMPFAVQWVWIPILVPLLVFAPESPYWFDRKGRLENAEKTVRRIAPAAEQDRVQEIVS SLVRTNHFEREVKAGTSFTDCFKGVDRRRTEISYDMTVGPVAYAIVGETSSTRLRNKT VGLARASYNVFSICFGVLMPYMLNPTKWNWAGKTGFFGLESVSSASSGHTSGYQS I203_00593 MAASYKSGSVDTLDGYPSLQTDKAGPSTPRTSRACSSCSQQKLK LSGAKPLRGRMRGKRKVPDPTNITELSLGILSKGDSYGMLPPEKPTMDYVRWKRNTTI SGTVPTNSTIWKKHTPAGPHKLRNLIGKRLRSEMEDHPEMEPLVAEKRINAPDPQAPA DVVDRLTSLPLPGDRNPLAVLAEASAAVSAGKGDIEPRIVSSAVSSGERDGYYAPLHR TLKDEAPHIMTFISATEAEKLFELYFSYLHPHLPLLDATHSSPSIVARRNNFLFNAIC CASAKARDPFLWTRLSEFAHYEMERLPKEKNIDVVQGHLIYTMWNLHRPKHFELDMTW LRVGMAVRTAMDINLHRVALSSQAREGLPVWVLRAIVSTWLNSTMSAQFGKSSTMYDE HSIQAYITLLRPSPQAESSSSSREDLWIAALAEWTQTFAQIVEKHRSEVIENTSDSSN NGSSSTTQSAQGAILASATNHKAVQQFHEWRQQAEVSIRSCDQTNYGFMNSNKRSSKT RPASLFLPFTMANIRLYQQYADLVVHSFSLERLAGSSRGDLTVTVIELQSAAIQLIQT YHASFDAIAHTRHGCPDTLHNFVIYAAVSLLRILRPRFLPSSSDSINSHGVFVHQLLA AKRATFSSENDTSEAQAHTFGPLPMKEHQNNTEDTFSASVYRTTKLGHSGNKVDDVQN HSIWPPLPDNALNPLVAYLETLFPSFDDVSDFMFSSQRGSNEQDWVLPS I203_00594 MTVVSASNSIKLPSGHSYPLTGLGLWKVPQHACAELVYTALKLG YRLLDGAADYDNEVQAGEGLIRAVKEGIVQREEVAIVSKLWNTYHAAEHVEAACWRSL EDWKVDYFDVYYVHMPISLKYVDPKVRYPPGWSYDGTPTGPLELEDSPFHKTWEAMEK LVTLGLVRNIAISNMSGGMVMDLMRYAKIKPAVLQVELHPYHAQQNLVRLAQAFNIHI TAYSSFGPTSWVGISDNVVAKCESLFDHSVIAGIAQKHNIRAAQVVLRWATQQGVTVI PKSSDEKRLKENLENELLSLTDGEIKAIDKLDAGLRFADPGAIDPRLAMYA I203_00595 MPVAVPRQTDTAQTAPQKRGLTSGQHEPDESLVQSYDAFPQEIT GPTVWEREKLLKDDSMWKKAWSAEQVKELEDSYEQFVKTGLGLPQITRGTFPLSSSVT SFLQEIREHIVNGIGFVLIQGLPVTEWPIHKSASIYLAIGTILGVTVSQNRKGHILGH VKDLGNDPTQIHKVRIYSTAARQFFHTDSADIVGLLCLAKAKEGGESDVVSAHHLWNT LQKERPDVAELLTRPNWYFDRKGETSEGQKEWVQKAVFYWHDGRLISHYDPYYVKSIT RHVEAGHIPGHSPAQLEAIQVLEDTAQRSALHMVLAVGDIQFVADTEGGWQRPYPDSD YYKRGGTQVNNQSETCPLDAE I203_00596 MSLHRRHLDVEPDSEPSSSLDVYDEHPQNLRYETPRTLVGTPAE DSKVEDKYDFSAYAYTEEESRAVVRKLDWHNNISNAKSGGMTEDLRFPRDGYRTLLCI FFIPFTIGVVPMVMLTRRVGPRYTIPGYMLGWGIMAVLGAACTNFGGAVAVRFVQGIF QAGFSPSLIYYLTTFYSRGELAKRIGVFYSTNALSGAFGGLIAYGVFQLDSKLKGWQI LLLIEGALTVGWAVLTAFMLPWSSSTAAFLNPREKEVARLRVLKDGSGTVDAKFDVNA FFAPLRDWKLYTYAIIGLLYGIATSVADSFMTQIVSRFGYSVVKTNLFTVAPFAFGTL MMITTAWSSDYFRERGFHLANAISLAFIGCIILVLVPVSNHALGYFAVFLITGGAQTP SVIFHSWHPSNEANEDARAFKVAVLTFVANSGGFVSANIFLDKWSPAYRIPLIIVAAT QGLAISIIVAFRLWMRYDNRRRNIAQSVNWTSKDVPTEALKAGPKNPSFRHFV I203_00597 MNSTGDKVAIVGAGDKRGYKDVTVYDYQPYHENAYNPSQGCDSA SADVNKVYRCSYGNETEYQDLAFSGRDIWLDWNKQIANSAPEDLPKGLTPQDQLLVSN GFLRIAGSGELSDFDRESLNALEKAGLRHHQHVLVTDMQRLWDKDAQEPSHWKEKVHA LDKAGGGGLNGFIDTSAGFTYADKACAWARHLAEKEGVKFVLGPEIGKFDELLVEEGK DEKKIKGLRTADGKEHPADVVIVAGGGWTPSIVPEVESLLETTAGSVITITLPQDRQD LWDKFSPEKFPVWAYGWMGKSSPEFGGFYGFPRTPEGKIKIGYRGRKWTNFQTHPKTG KLLSIPITKYTEEKASNLPKKAIDNIKIVIGELFPELKISLSTAL I203_00598 MCWYTDSVDNSFVIDYVPGYNKGLFVASGGSGHGFKFLPVLGKH VRASVPGQPANGIEEGEESGRNLADLIIAEEKDWLWTKEHILNEGKQDDGDVDKLASE VQKVLVSA I203_00599 MSSTSTSVKTPKLGVLQLKTNFPRPAGDVGNPASWGDVPVVIRV VEEATGDLVVGNKWGQELVDAFVREGKKLIEEEGVVAFMTTCGFLATMHPFLVNRLPY IGTSALLQVTWLQQTFLPGEDSKDSVGVITFKKSALTVKHLTSVGAHPDTPVYGLPED PDPKKGVFKAVLESRIPYDFEGMEKEVLAAAHELTSNHPKVKAIVLECTNIPPFSHSI HRATGLRVYDVLTLGRWLYNGATPTDFKALQAKGL I203_00600 MTRTTSSTSPTSSVHYPNTSPITSSTQPLLSGQPDLELEDETDS AVEHRRGERASMDNQVDEGMIQVKGEDRITKFVWTLVFTAAISGLLFGYDTAAISGVL VIIKDDLGGPLTDWQKEAITSSTTFGALLGGLLAGGLSDYTGRRLVIVLANAVFILGS LIQAACHSVPLMITGRFIVGLGVGLASCIVPLYIGELAPTKTRGRLVTMNAVVVTLGQ VVAYAIGASFQNINNGWRWIVGLGALPAMIQLASIGFLPESPRILLLRSNVHAAHRLL SKIYPSATTHQIDQKVEIMRRAVQQSKEITDRTTFRQRLESLLRIGGNRRALIIGCGL QISQQFCGFNTLMYYSATLFAALGFKNATAVGMVVAFVNFLFTLVALRIIDPIGRRMT MLLTLPVMIVALILVAFFLHVLTSQTGGVLVEGSGYPLSTSLLVLLSMLVYVAEYATG LGNIPWQQGELFRLEVRGIGTSISTATCWTGNLIIAGTFLSLMNAITPSGAFGLYAFF CTLSWLFCYFLYPETSGLSLEEVSFVFEDDFGVLKSQQIRKEKLEKAKMAEVDRLIGD EVGV I203_00601 MSNIEVKSDNPSFVLHGIEDVKFENRSVPEIKNDECLVAVSKTG ICGSDVHYLLHGRIGDFVLEQPMCLGHESSGVVVKLGPGVKNDGRIKVGERVAMEPGV SCRTCTECKSGMYELCPHMAFAATPPTQFGTLCRYYVLPADMLHPIPETVSFEDGAMM EPLSVGVHSVHSLGQLQSDQVVIVFGAGPVGLLCMAVAKALGARRVIAVDIQQERLDF ARSYAASDIFLPGARDPNESMEDYCARVTEEIKTTLNIPSRDHGAVDLAIEASGAPTC IQMGINILKPAGIYVQVGMGRNMNVPLPLFHVINKQLKVLGSFRYGPGDYPLAISLVE RGLVDLKPLVTHQFEFEDAKQAFEVTKLGRDEGGKGVIKAIISGPK I203_00602 MPVKKRRLSPSASSASSTPAPSSIPPESSTSALPSSSLAQEGLA GPGPSTLSNQYQSRRERNDAAERRDYARLGAQQPGSGDGDEYGEESFLWADIPVSKGF RYIPCAISPTPSPHPRYPFYRTIPYPPPLPPVHISWLDRSSYLRISPTALTISNDRGF RSARANVAVREGRWYYEVKIERGNGSLGGGKGHLMSSNPHVRIGWGRREANLDSPVGS DAYSYAIRDVNGEKVHISRPRPYAGKSFQTGDIIGCLITLPKRPPPPSGKNDPAKIKR LRRQFVYKNQSYFESNEYIPSKEMDSLIDREGKLSAEKKQELNGDAAHDGPGDGQMNG EINENGIIPKKGGGKKGAATKNTKKNKNEPNASLSEGVTNISRPLKRLDGSKIEFSLN GEHLGVAFQDLYDFIPLPPINTALSSGHGKKSHDNEITHDDGTLGYYPMISCFGKGKA RFNPGPDFTYPPATHSQQDDKGEGDERIRPICERWEEFRLEEQKYDEQDEIEGTEVLQ KLLEDEKKAALQSSNSTKRKKGQSGKKSKIVDITDRIRGRGDTMTPSLDIDSEGRDRS VSIAPSLINSVPPTRGSSPVSVTMENKIYKEIAEQEVVEDTPKSPAPLDNEVGNGVVE QTREEEEMEEVVKEDEHENGVKEEEDEEAGVKW I203_00603 MTLSYLLSTAGHAQRQNELHPHDIQSILQLIQDGARESGSERVV GFTSKDDDQGEWRCDRYSFPQLLDLSNKLGYQLAQRGVPINQNDTKIISLLCPTGLDF LISWIALMRLGYGVVLIAPQCSPTAITHLYNSSSSTQLIYHSKYAGLAHSAQQSDPST AIQAIELPSATSLPSPPSTFHLSCSVKDPKSVSHIFHTSGTSGTPKPIPNEHQKSVSV LPRRSLPTYLQSTTENDNGSGRGTRSESAGFTTTPLFHGGISDLLRAWMARSMIYIFP TSDVAITSNNVVGSIKACQIPPFALNGIELSSEQNAERNQRFKVTSFLSVPYILSTLA EDPNGPGMEMLRSMEYVSTGGAPLDTTIGDTMVHQGVRLVSRLGSSECGFLLSSHRDY ATEKDWEWLRNDSPYSDALVFEPVDESREKYEMIVTDRWTSKTKSNRADGSYATGDLY QPHPSKKNVWKYVGRGDDVIVLSNGEKASPGIIESALRQSAHLSDVLVVGSDQSQLGI LLFPKSPSAPSDLLERLSPLIDRANSDSPSFAQISKDMCLVVSESTKRLPKSSKGTIQ RGVAYEVFSEEINQLYDQQHGQGDYLPKRSVEDIREEVKKIIENIAASQLRVENLNEN TDLFNWGVNSLMATRIRTNLQKKLNTGGVVLPNNVVFERPSIKRRVLSLYIFDLQENQ AKDNNDVEESYELMNDLVRKYGQFNSTSGGLPGTRGADNKKGMILLTGGTGSLGSFLI DELNQLAGQIIKKIICLVRAPNDVAAYNRVKKVLETRNVAMGSKVEVLAADLSRHHLG LEIEAYNRLTEEVNVIIHAAWPVHFTSSLISFEDSIKGTRNLLDLVAATNQGKMYYCS SLASVLNRPSSTISEQPSTDPSTASPIGYSQSKWVTEQICRIASESSILKDRVQVMRV GQLCGDTKTGHWNEKEGWPLMFRTAQTTGTLPILEEKPSWLPVNLAARAITDITIDNA AENHLIYHIAHPKNIEFNIILDGLEAAGLSFQRVSPAEWLNKVEASTDDPETNPSKQM LHMWKAAYGNLTVSQKEVLVDTTNARQSSRTMSDLPDVDEAHIVKMVQAWKKRGFLNT I203_00604 MLVWWTILIVRCRIQGDGREEALLKHLQSLQSLSTQETSNLALQ ERSQRVLDAIHEFGKGQNRYLMSVGDTKGRQVEALVKEKKPKLILELGTYVGYSGISF ARHLVELHPSSIYPENWSSNTEEDRAGYISLEKSEVYATTARGGFKLAGLDKVIKVVV GSSTPNLKSLRETLNMPKPLKFDMVFLDHLKPLYTIDVKVLEEEGLVGPGTVLVADNV VKPGNPAYLSWVRATPEQKRKSLQAPRLTTQPPVTPNPPTAEWETAFHSGPIDETRWA PDEAEGITTEGDPGLIYTSEMLDGWDPYTGEKDACEVSVCTGRQD I203_00605 MSDLTAKVSKDSQGSIVVDGYEALKYNFHYTSPVFDVNHAKLAD IYKRWGRVLIVMDTIVHPIYKEQIEKYFAHYNIAIIWKIVNGGELHKTMDTMLEIVDA MDSFGTVRTEPTLVIGGGLVTDVAGYACASYRRTSNFIRVPTTLIGLIDASVSIKVGI NHKKLKNRLGAYHAPLHTFLDFSFLKTLPIGQVRNGFAELVKIASVGDKAVWELLVKH GKELVKTSFGYKEGSDGVRAPGTEICHRGIETMLELESPNLHELGLDRVIAFGHTWSP TLELTPRIPLRHGHAICIDMAYSITLAHSRGILNDAQRDEWFTLVSSVGLSMDHDLFD DELIAIATDAIKKTRDGKQRFAIPDKEFGKCIFLNDVPIEELQSVLKVHKEFVKSRYG SGVGKEAYVDAGDLGAEPETYAKSSNTQKPVPADDCCTAHSLKRKGVNGDHTKAAAVS AGGVPVDGSGIIRNGVSLII I203_00606 MGRQTPTAFQNLLSSVILPCLSILLLPITASAVVVCIAYNKLLN GNDESRIGDHVKLQKGCVVISGGRMSKGLTLARAFKRAGWKVIGVEEEGWGELCPMRY SAAIDKFYILPSASQSYKRYSKKLLSIVELHSATLFIPVSGAGSSVEDARAADEMFSA TNGRCRTFIQDPETMEDLHDKDKFMSLVEKLELRIPSGKMVNSVEEALAFLKADDQFL EPKYILKCMGLDENRGDMTLYPLKVDNKELTETRKSLESLNLKITKECPYVFQEFIPG QEWCTHASVINGQITSFVACPSNDMLMTYENATTQDIGRMAEGWTKTLLDRLQKDPTP TGKQRNLTGHFSFDFIVSTKNGEMYPLECNARVHTAVIMLPLSEIAECYEENHPANRT ILRPPADIAPRSWFYNDLIMRYLPLIVSSPEILAMIHPSLPACSLDPRKKHTIRPSEE PLKWRKDPTLISDDWIPFVMLWHVYWPYLLLFRWFNGKKWTRHWSYFRGLGIGPVKD I203_00607 MPPTIIHPDSAIILNSGEKIPQLGFGVYQSPPEVTSKTVQSALD AGYRHIDSAQWYFNEEQVGSAIESSQYPRGQVFITTKLGHADRITELLEESVSKMDPR DGGYVDLFLIHSPSAGPEKRKEQWKALEDFVNRGKAKNIGVSNYGVKHLEQMEQYAKI PPAINQIELHPWCQQPSIVSYCQTHKIALEAYSPLVQGTKASDPTLSKIAEETGKSWA QVLIRWSLQRGFIPLPKSDTKERIIANRDVFDFELTDAQMQSLNGLDKDEHVCLNHTE LP I203_00608 MRSYSFVAAVPLLQVVSASPITHLPISRSKVVARHIVDGRQIAH GSGLESAHTLASSTIYLEDSEGLALVSSQNFTTVIVESTSGSKTSTLIAAWGSQPIYS LGPSAGYILGIPEYIYAPFAFPDVDASKLPLQVCTFVYPEPSSFSTNFTISERDSFVV DTFASQLNLGDAITEFCVRVGQAPSSTSAASGGSQPSNAPASGSGSGDGSSTNSVPNV PSVGPSASPAPVSSQGNTGSNTDGHGASSAIAPSSVRSSDGPVASASQAPATSTPVIA PVPTSLSSSAVQPSSGTAPLASSIQSSASAGTSSTSDSVSAILSSLITNSFSASSSTL GNVSSSTVASVAPSTSSLAVVPSASGISSTSSASAIVTVSSSSASVASTMSATSASSS ISASVLVNASTSASSVAPSVSASATSAGPNPAYQTTVSFAGKTYINKGLVGFGAIEGD AVDSYGETIGSLGSAVHLQSFQRDANGSFSGVMVTQPDRGHNTDTTTDYISRRHLISF KLNPYYGNTSLEYEAAKSSFPLKYESTVRYFEADKTPTTGLDPTTFRNGSIPQPIASQ SYNHISSDPEGLVILADGSSWVSDEYGPYIWKYSAEGILLDTIVPPKAVLPYKNGTLY FSTENKDGPDTGRVPNQGFEGLTVSPDGNTLYAFMQSGLTQDLDSNDEGRYARMFIYD ISGSPNLKHSYVVKLPVTNGKGKTLAQSDVLYLSEDALMLLSRDGKGNGNDDSESKHK DFMLFNFDGATDLVNTEYTDGVKPVSPKGVLDSSIVAAEPIEFIDIIDEIQLKRFGLH NAGDFDVSLINGKWESAAIASVQDPEYPNDYFLFSFSDNDFITTNGFEAGEKYVDGYG STLDNQALVWRITLP I203_00609 MSGELPSATSSSWPRIATGPSNTVQLGGDQSSSMDQDDQVANRA ITQTHALVANMSTNVISQMNLVSSHFLDRCLSAYFNRFLAALPITHRATFNIRTTNAP LLLSMIAVGSLCLQSDDARRLGDSIWRLANTAVSSSWQELIKIKGDFDSCQGLALVTT VLLVQIYATASTDPELKNSAVVAQPLGFRCARLAGMFDIQTPPQAETISPEFKALEGQ ALTDSWYKWSARETQQRTLLGLYLMDAQVCSLFDAAPSVRHTLSQLPPVCTDAAFYAP TAEAWRKVMVDEDGSYGSPYRGPLSTLYSCNPSSGPLRRSITSGFTVAALLDGIYCLV VEQRDLPLSLRNEEMSSLIDRLDRFRNDFLLSDKPEIDIPALAMKWHTTSISLLELWL PTGIALKENAESINKWFSTPQGRRAVLHANAIRQVVDTMPFSAISTPRIQTPVCTYHA GYIMMLWLKSHMCAKGAGNWDLDHEVDWQRLGSIGQVISQRDLVTAVEGGGPETFVNE GGTTSLRGKKFDATGISALISALTTFGGTWPAARTMTNQLIAMSYA I203_00610 MANENSGRPYESSQAEPSAASSVMVLTPTSKCGQPERPATRKGI FEEAGTIPLADAGYHYLGVCYENLTVIGAGKLTREVESTDKSLLQASAVMWNFPSFVM KLFNIKTGSTRELISGLYGVVPAGQTMLVLGRPGSGCSTFLRALANETSPFVRVEGDV KYSTIDSHEARKFYGGEIIFNNEEDIHEPTLSVGQTLEVAQKLKHPKKMYDPKDPATY AKDQTDRLLRMFGMPHVIDTMVGNDYIRGVSGGERKRVSLSEVFATNAAVLCWDNCIR GLDSAIAVHFLRCLKEISRATGMTNVINFVSQYQASEEVYHTCFDRVTVIYDGRMVFA GLVEDAQLFFIRQGWEKKPRQTTPDFLTACTSVNERRIRHDYDGPGVPQTPEEMAAYF KASPEYQKLLNDMRDYRAEHSSNKHADEFRAAVKHSKHRGTGKKNSYKVNFARQSVVL IKRQANLVRSAPKDMIIKLGSNLLQAVVVGSIFYKPAANASGSFAVAGGLFFTILYFC VFSLSEASIPPTVMGRALLIKHRKLGFYNPAAKIIAEMLIDCGVYALQTLVFASVFYF LLGLNTEAETFFTFWFIIYTTYLNLSVMYRMIGSWCPDLSVAIRFGGLSLMLVLTSGG FIVPPTLQHRWAGWISRISPVAYAFEALMSNEFRTRTLTCSATDLVPHGPSYTDITYQ GCTIPGATEGDADVSGSTFIGLKYGFTSGHIWRNIGILWAMYAIYAIMVVLGNTIMVR DSGSASSKLYKRGAVIQPLQTTHSEADSFTADEVKEQVQKRSIFTFKNVCYTVQVGGK ERRLLDNVTGIVEPGRLTALMGASGAGKTTLLNTIAGRQTTGKVEGHMLLDGKPLGPT FSRCAGFAQQGDVHEPYSTVRECLQFSAVLRQAGQYTRQEKLAYAEEVLDLLELGPIA DALIGSRDTGGLNVEERKRVTIGVELAARPDSLLFLDEPTSGLDSQAAYEICRFLRKI AARSGLAICCVIHQPSGDLFEMFDSIILLAAGGKTCYAGPTGPGSSTVSQYFGRYGSP LDPGANPAEHLIATIAPVGGTEVNWPERWQKSNEAAAILQRVNDLEKSSEAVIPMGSL SKEDTAPFASSFAVQFKELLIRNMRAQYRDGSYWTTKLVLCVFIGLFIGFYCYQMQHS VAGIQVMSLSILVAAQAAAPVAFDSACNYQAKFGIYLARERLGVYSWQALVAALLVVE LPINLIAFTLLFLSYYWTVGLESSAMVGGLHWLAWAVYSIYTCTFGVMIGALSPSTFA VGFVLSFIWNVVNALSWALVPWPNMPQPYHTIFSWLSPLRWFYGSTMESTLSSKAISC AANELTTFAAPSGMTCGEYAAEFLATAAGYLINPAATSSCAYCQLSYGSDYVQSLGYE YDNMWRDWGVLIVHCVSNIAVAYLFTWLIRIRPLYKK I203_00612 MAPIALSDVPPAVTPFHQTTSDLSKNPLERTWRGNKEGTLRIQG YPEFMYAEDEEGLLKKRQWVKEHLAAAFRFWGKLGYGEGISGHITVRDPILRDYYWMN PFGVHFSAMSVSKLVLVTPEGYVHPTLGAQRPINMAGFHIHSAIHKARPEVEAAGHCH SLHGKAWSAFGRPVDITTQDSCLFYDNQAVYHNFGGIVLAAQEGENIAQALGPRNKCA ILQNHGLLTLGNTVDECAYLFSALDKQCKVQLLLEAAEGSGIKKTLIDPEDAVFTANT IQYHENTYYNFNPEFELIVEREPDVLL I203_00613 MGRPLKVAAAQVGAVHRTTSRAEVLERLVKLLQDASTQDVKLLV FPETTFTTFFPRYYITDDEELASYYEYETAETGPVTQTKNVKAFFQRAKELGIDVQIG YGEDTGNGRYNTAVYVSGKTGDVLNKYRKVHLPGSTEPFDLNPNTTNQLEKRYFLPGN YGFQAFRASSLKETCGGSSPIVGQLICNDRRWAEGWRCYGLQGVEILCCGYNTTAYAP QLWGGDQNISREKAYEEAMFHHKLVVQAHAYTNSMFCITAARAGNDDDRHSLIPGSMI VDPEGHIVAESKTEEDELVIATIDLDDCKQGKERTFNLGKHRRPEMYGRLAEEGGVKP PPEV I203_00614 MFTKHESLSILVVNPNSSSSITHAIERSLRPHVPSNTIVDFFNP SIGPAGISDEATAKSSCEACMTELPPILHKYDGVLVACFSEHLLITELRIYASEKGIL LSVLGIYHAGVATAMLQTTRKFGIIATGTGIKTNLIEATAKFLGSTDSNRFAGPITTG LSVVELQEGDQAKVERNMKATTKGLVKAGAEVIVLGCGGMCGMEHWIREAAYEEGKEI KVVDGARMGLQMIVALIRGQ I203_00615 MSDNFSNDPIDPSIPAHAHVSPTNFPLHTLLNNSNTQIPIAGRS FSIPISHPPRPAAPIDEGGGRPARSRKNRPCDACRRRKTRCLITAIGPPCSLCADAKR KCTFNFAPPARKPRQSIRPASSENETGEAEVEMDGDAGPSTPSAINGKRTRSPDSVDR IGGNWRRRRETSPPDTTSVQTSRRNSNLTQLADTASSFDHLAVSGFEPHVLTNPITDD LLPIQDEEADKPTDRPHVKQISSDPKRPIFVIMQPRHENFRSGGAGINGLTNLRTLIS HQPTSFSEPSLISAYTTHVHTARPILPKGKISRFPPNLLAAILASSFAHAKDTRPLAG LAANLLQSASEGTGESNLVTVITNIMMIGVRPGATNQGSYLLLAHTIALAQLLGLHLD PSSWSIPAWEQDLRIRLWWMLRIHDAWMSFLNSRPSHVQADNSTAPLPQLASLLEASC AFSSASSDSAKSFIASCRLARLVSRLQSEVCTLGAVADRTETERKEEVGDILLAADGL LRDWKTSLVPNMTRPPGVKHGLGAMFVTPSDILGPFTEFVTFITSLTEGDLDGYFLSF RFVLGSQTEPNVTALNLIARLIRSLHHYQTNYAWDIAGPALRRAATMGDRLRKLEEHV ELSEALRGSSSSTPGDLNLDASLPPPVAPIASGDVAVEPQLLWDWTGAEIDFDQLLGL SSTAA I203_00616 MPDAKPIVVIGAGVIGLTTAVRLIESPLYATSKHPIHILANHLP NDPLDPYYASTIAGAHHLSFADDHDTRQRMYDTRTFQVMYDEWKKEGEKTGLMLLKQT EYYVGTDSHLKVYEDHPDFTILDKSTYPTSIDHSISFTSLTMTPFVYLNRLLKRLEGK IQIHRYHLPSLSHLKHPSCTALIGSTSPLAVFVCTGIGALTLGGVEDTDVYPTRGQVL KLRAPWMRSGWTRQVGSLNGGEGGERTYIIPRYNGEVIIGGTREQNDWYPYPREETTE DVLKRAQEICPDLVPPYTKGREDHDESPLKEIVEGVLVGFRPSREGGIRVDIGDDLYL GNDKVKVVYNYGHGGAGWQSCWGTAEDAVEQLMKSL I203_00617 MTNPFLFDLVIKSGIVVTASDQVACDIGVKDGVVVCLGKDLPYT SDCEVIDAEGGFVTPGGVDSHVHIGQSASGAKSADNWTTGTRGAISGGTTTVIAFAVQ AKGKQVMPAIEAYYELSRDKTYTDYSFHAIISDPSEDVVKTEIPKMIDFGITSVKIYM TYPLLKLNDRQVLDILCAARRTGVTTMVHAENADIIDWMTENLIERGMVEPWHHGTSR PPLVETEATNRAICLSEVVDAPMLIVHVSSREATAHIRKAQTRGLPIYSETCPHYALL TAEKMQAPGFEGAKCVCAPPLRSDPKEREVIWEGLANGTFTVFSSDHAPTNYYDAQGK QLGLKDPVKSPRGHFKHIPNGLPGVCTRTPLLWSEGVLKGRISPQKFVELNSTNAAKL YGLYPKKGTIAPGSDADFIIWRSESARKPVTIKQTDLHHGADYTPYEGMEILDWPKTV ILRGKVSYDGDTNTVTNQVGDGQYLKRGFSTLPGPRGHSAAWINGFNPHE I203_00618 MAEPKSPSELTKEDAFLDAVNAVPEGGLSTIEEQQKQRGLFSYS EGQKILRKVDLRLMPFLLLTYILRGMDGGAISYVKTMNKGSPTNILNQLHMSSNQYSY ASTTFTLFYALGELPSNLLFKRTTPRWHYMRIVALWSIAAICHAAAFNAAGLLTARAF LGLFEAGMAPGAYLHLTYYYRPDEIGPRIAAISAMFNFCNIFVALETYGLSYIDGHGK LGGWQWTYIINGLLGLVLLAILYFWMPDFPEDCKWLTPQEQQWIVGRLPAGSSRSNDK NFDFKELKDAFKDPINLTFATMIVIYNTGNLGMTFWLPTIISNLGFSSTASAQLLNIP PAALYWIGGIGGNMLADRLTMIPRPLYLLTTLSIYTAGMFCLAYVRSIGALYAIICVM QVFASASYQSFIPWRSQSLKGTTDAAFAMAWLTGAGQVAGLWSAQIFRSQYAPRYSIP FIVCAALTIGAMGMVVVNWFMTYTSEKETRRIMALRRKVGKERDEVLQDDVDLGAEVA QRNNRPAGVSA I203_00619 MPPRTPTGSSGSIPSQTPTGPTLKNLLKSAEVLLKPPTPLSSNP TELIQRLKACSKSLPKEWRKSTPEWCPEDEQERSTDQDQTDEELKKRRRDELVFVVGK RCFAIIKSIQSILEREFWPKELREAEGSGGLNEGDFLLGTADLRLIRLMLSHTTFSYL LPLASHYADSLPTILSKTAESLSQALESLLRLLKTSVPPTPDAGPSSRTPTPPTTITQ TLLSSHLIPIFLSTLIIAYTPSIPSETHANLRSEFIKALMSLSPGHAISTLVNVLKLL VQESKEGVKPNGWVRVWPKYPKEIINGLLTAQVRRPGGVRGLMENVLGETAKTDDVTS IEGQRLDHIFNVLIRTPRQVTPDIYYPWLLSELFAMIPLTSHSHLPVAYVNTACYCIQ RLWASDRPLIGDWLKNKLHSPWYPKSPAIKDKQVVTTWEAIQRSVQNMRLLLLHNPTS PEFAEFLVGSILPPLFSLHTFLQRERNEHKHIQEKSLQSSLDEGIQSLLISWGKSVSE EAGVKGIWAIAENGSGWGKDSIEGEGVRLQWEKEGEGVKLVASRATADESEIMLPAIN SAQTTKEDDILTQFLSRQHTYPDSQLLCQLIDSLDRPDFASEVILKSLDSWRIKLATE TEPSLDALSNLQLTIQMMEKLGSQLFAKPSQVLGFVERVLNDQVQSLDDEYLESGSEK PLIAEVKGEEIVHDDVAPDGKRGLIEVACQLLASLEGEGQLKDDLAILHPILSHLDVL SLRSPSVSIRNAAREAQLLLTHRQSSHSSQAVDPKQASLEKYNQATTLINDVTLPVKA HGLTMLKDLVFSPFFDNSLTPTILDIYVKHLDDKDSFIYLSAIKGLSGMVDALGRDVF TALIGKYTTELGKLKVAGKKDQTDIVERALRLAEAIDHVVERTGDALGQYANDIVPPL MTMYPTTSLPTVIRSSALSILSTCARVSPFSILPWAFELAEGTLDLVQIESVTSSPFR PQAQQPDRDSDLPKLQWGKSKLIQLVDDEPIPEPEESIPTEPVKPRIVDEEPTKKEDG KHPALRRAALSVFNWSIRVILFVRFMNSAEKNDQINGSDGIDIKVSNYSPIDLRLSSD REKEGGEEVGFSNAFIERAINIIGYVQRFDDDEVVRQHAQSAVKDLWLLKNGGMDMNP EQNEGGIKGLERLKLLRVK I203_00620 MHPRPKERVVSTSSTGLTGSTGSNGTTRPDPSTPISQIEVLYNT AVQSFVRRDHVKTQAVLSRLLSLLDDQQNQNNARGKAKSTAWYDLDLDGVVDGEEVEQ VDVDEWMIKTLKLSISSPTSLYTDPPTKTTTLPKEITNLLPPTTPDKLLDHLLQLCKE HLSVDILPPQIISTLLLASLKLRPSPPSLDFAHRLSEDWLTALPDQFIAAISPQIGNR VKDVKKTKRVEGAREGYMKVVELFVGEVLSREGEFEMARGFLDGENILGSKRKEALYK HLRTVQTTPQNQIPTPSPSSSLVLPSSSSSRSRSGSSSTTSSSSSERTARPNHVQQLG LQSQNIPILSRDKGKGKIEAKELEDVTGMDKDKVTSSGPGSGSKSSKLKNNPASESST SRSKLSEERDTAINSRIHQLILSIFPSSISQRLDSLLGNNLSYFLSIPIPLIIILTLI IRLRRRNQRRNMNSSLSPTTQNGLVDVRTRLRLARIRQRGWWGWVMHYLNWWINKFGG VWKLGTTITYL I203_00621 MSQNKVQDWDFEKFPIDLKKYKPFPLDPTKDKKLTQEQKDGLIA NISLLRDVVVFFTATGAARGLAGHTGGAFDTIPEVVILLSFLLGDTDKSKYVDILFDE AGHRVATQYLLSVLDGQLPVEQLLHYREAYSHLPGHPELGFTPGVKFSSGRLGHMWPL VNGVALAEKDKTVFMLGSDGSQQEGDDAEAARLAVAQNLNVKLFIDDNDVTIAGHPSE YLKGYTVAKTLEGHGLKVVEANGEDLDSLYPAIVEILNYNGPAAVVVHRPMAPKIKGI EGSSHAHDAIKVEPAIEYLDPRHPKCANILRAIQPSTYSDILLGSTKEKGACRVEFGE AVSRVLDKTSKDENKSKVLVVDSDLEGSTGLNVIHKKHPEVFLSSGIMERGNFSAAAG WGAFKADRYGVFSTFSAFSEMIISELTMARLNFANVLTHFSHSGVDEMADNTCHFGIN NFFLDNGLEDAYETRLYFPADCSQMDTIIDKVFYEKGLRFVFSTRSKVPWILKEDGSR FFEDSSYKFVPGKDEIIRKGTKGYVVSYGDILHRALDAVDRLRKEGLDIGLINKSTLN VVDEDVIKEIGKTEFVLVAESLNQKTGLGSKLGTWLLERDLRPRYGYMGTNKEGCGGL GEQIGHQGLDSASIALKIKQLIK I203_00622 MSLPHQTNPRISSPRGDEDPTELSLMLSGQPYHSWNPYIDRIRD AQARKVWDINQVVDMMGRMQKMREFIYMGKEVWIVQGFFCEYGFNITIGDEVFIGANC TLLDVCPITIGSRTMLGPNVQILTPSHPISPEERSGLKGREWAKPVKVGNDCWIGAGV TICPGVTVGDGSTIGAASVVTRDVPPRSVVVGNPGRVIKRILEDGTVENV I203_00623 MDFSQFNGAEQAHMTKVIEKKQMQDFMKLYSGLVEKCFNACAQD FTSKALTTNESTCVQNCTDKFLKHSERVGARFAEHNAEQMQGK I203_00624 MSDTDEQQLWDISQPLPTVTIDDEEQQHRFWTGRGPDDSFVQGS SEQQSTFELDSPTPVRTIPRMLPTGRTSPYFQQIGQSSGNIHHTDTIPANPPSVATQS QHRPSTSHHHEAAISSTSMNEPTPQYTPPPIESIGDEEPVATAEVIKQRQANQKTGIE DVPISRLINDQQRQCFKFKFFNKVQSAVFDDAYKESENLVVSAPTGSGKTTIFELAFL QTQTVIATKHGTPLSIYIAPTKALCSERQKDWQARMHTLLNIECTQITGDTGDFDTAC RLIRKSDLVVFTPEKLDSITRHERWAKQDFYNRLKLIMIDEVHILREDRGATLEVIIA RIRQNTAAVRIVALSATIPNIDDIARWIGQREPDNPYELSSGFGEEYRPVQLMRKVYG IECSSEWVLDTKLDAALFPILTKHAQGKPVLVFCATRKACQKTAELIFNLYQESQAKS LVLPWQPPNLIGPNKIALSDSKLSNYASCGIAVHHAGLTYPDRRTIEDAFINGNLHMI VSTSTLAVGVNLPAHTVIIRGTTAWHGAVTGFKEYSDIDIQASLDMSFGPNNDIDVRH QQMMGRAGRPQFDKSGTVVVICEQSKVKKYESMLYSSTLLESTLHLNLKEHLNSEIAL GTIDSLATAQAWLKKSFLYIRLQQNPSYYSATLENEMAKSEDDTWDEYATHYLQEAIS RLVHAGFVEQTAKADPGEFRLQSSPSGQIMRRSMIYHQLTTNPSQMLEIMSIEEDATL RDLLEIVARAQEFQDLRIRPGDAKLLNQLRVHSDTRFQIHRNVKDYSDKVFLLMQAQF GNIALDMEKKTEATSPLQTQMLIFTHAQRIAAAIIQIAFQRGYGGPLRAAIELYRTIA AKAWEDTAVVFRQIDQIGPISIRILEHENIRTFEQFLAADQSWLQAKLNKLYKPVREM KEKVKSMPRFHLTIEFGEIQSGKPPTLMLKVDIQPLTENMAEGNQRKHGRKSNSGNWN FSLLFLRDDDSYVMYRKRSLKQLIASKDKSVEVGVPLDRRCEKVICHYGVDEVAGCSG TVEYITNLTDDDYPKSVTDPPAGTNKDVELVTKRSTTTLLPTTEDAPEKEEIILDGPT AKSKSFKGKNTKHGRTTESPAPKVKKADPHRHREDLPDEAYEMIIEDVSSDNDDIKPA EIKHSPPPSDPTLDWDVNDMFADVNFVSDSSSAPQSVYSTEDVKGGKVMNIGYEILDH PPNLENTNYDNDHLGRESSFEYPLGDYHTMDQTEQNGHKRVMEDYPTEDTFDPTDILP PLAKRPKTVTFSGTDDYRVYQPADPAGQEYPGRFGVLTCYY I203_00625 MFKNLPTFKSLPQAIGLPFLSTQEDAQLKFKSSPGGIKRLHSDE VIPSSDVLYWSQYYSLFNSSADVYSLISVQDVRQTLTNQPTNLSTLILTLSHHLFILL PSPDFPHSPSPQQDLSKEALNCLRVLGRLLVVIYEYEADLKEKVDHGIIPQNEVDEQS FAKKWLYSRSLIEEHQDREEDGSIKPNEEENDQFKIEDSDSEGEENEDDEDEGVRAFK ATVGHPAPAHSTSTTKKEEGITDDPLTQSETGEAQEEAEKEKEYMPCLIERLFSCTID LLFCAGFTVPDSVRGPNVTEKINYVIWEKGVGSTVNVGSTAELDRNKTEVLRFLLILL STTIYTPPHALFTTPNLPLEILTHSLERRLVLSLLCSFLNTSLTPLKASFGISGQIPY NHLISKAAEERRTLVRASLMVLLVALDHRVENQTSTGSVMGEGKEENAFRYFISKLHR KEDFSFLLDGIIGILQEHNAVTNGYLPGSKRPIPYILETYILLWRLVDLNKRFRQYLL DSGKALDVVCYILVTSLDLKDDPAHHGLLRLLSYLLQTLSADKAFAVSLNQTIRMAIP SKWAVAGTAADFLIVSIYSIATTPGLNPLFPALTISISNIAPYLVNIGVQASTRLLQL FKAFSAPNFLLADEGHPRLVYYLLETFNSILYFQLNENPNLVYAILRSHQDFQTLATF TLVSGLRDIQRRKALRAAAAERNANTRGGLNRTPSETDMLAEKAALLGRDPEGDHDNE NGERYLSSPSSQRRALSPPPTIPEETRSLTQPLTTPGPDLVSDPLAQTTPPPTSGLPS PPPSGQNGLSEKQRGKLRAVDNDQSTTDGSNGHAQPEIPDEELMRVAQAGVGPNGYVP TQEWVSSWQKGLPLDPVLVAISELLPKIQENQQLTGAPSSKVFNILKGVSLSEVLPPS PPIVPRRFQWSSASCVWLTSLLWGDIYVAGLTSDGIWRDTTVRLFGVKQAPVKGRSAQ VGRFLKTIGVV I203_00626 MSYHYNHPHTSSDSDDESKDISPQPNNHDLEYQPEQHVFKDITK VEDVDFSGYNFTRDEEKKLVRKLDLHILPFIWAGYLFNSLDRNNLSNAKSDGMTKDLH FPNEGYGIMISINNIPWVLCVIPMVISSRKIGPRWTIPGYMISWGLMVLISAAVKNFA GTLVTRFLLGVFGAGFAPTLIYYLTGWYTRGELAKRVAVFYSCNALSGAFSGLIAYGV FQMNSKLHGWQILFLIEGAFTVAFAILAGIMLPWSIDGASFLGEREKEVAKLRILKDS SGTTERKFDRKTFFAPLKDWRLYVFATIAALYSTAASVAGSFLTQIVGRFGYSVVKTN LYTVAPFIFGTFMMLATAVSSDHFRERGSHLVSAYTLVFIGCVILAATPVSNHAVGYF AVFLITGGAQTPSVIFHSWHQSNDASEDGRAFKVAFLSTFANSGGFVSANIFLDKWSP GYRIPLIIVACINVTGCLVATSFRLYMAYENRRRNKAQGVNWTSKDVPTEALKAGPKN PLFRYFL I203_00627 MSTPAQSTTPPGQLAAESSSASSSSWYSGNLLPVSPFDLPETRD LVSQKIASGEITRKDVEIMEKGYRWMTYTPPATAVSFSYLIWQLMKKPYPRPHIATRL VWGGLAGVAGGLIGFGAAGLAASMEVNDKIEDGDRKVQVFNLITEHARQIQEAKHNPV LVPAPIQAPASTTAMKQARAHSNLPRDFEFPPQRQAAESLENSKGSIRLAQEGQSIWG KMKGWIPGFGGGKE I203_00628 MSPVAAPSLTPLPFSPLSDQYTALAKAGSNVEAKAAADAIALSL KKSPRSLDALQDGKIVDVVLLWISSKSGYERESAPVLVERICKSLGTGIEGVFLPLIP SLLNLAMDKGQSVRTAVNSAMNALIKASAPEGSRMILDVLCKALEDSKGWRSKVAALK AIENLVKPGADDYIAQELGHVIPFVEHAMHDTKSEVSAAAQKAATTLCGILPNPDVLK HINLLVSAMASPAAVPGTIKGLSSTTFVAEVNAPTLAVMVPLLSRALKERSTDVQRMT CIVIGNLVKLVRDPTVAGRYLGPLFGGVEQLAKGAAFPEIRAFAQTALDILIGAGASA NATPLPPRDIILAVTEALTIMAPHLDVQGLPAHPSLPLSSSMPSSPVLAHAIEYQANV VADLVDMRRWDASTWETKGLGSFMKLLLGAEKGAEATQAIRKAFMDIDKAKYAPAEED DGSEGQLLCDIQFSLAYGGLLLLNHTNLKLRRGRRYGICAANGAGKSTLMKAIRDGKV EGFPPQDELRTIMVEHALQGEDTSIAILDFISADPKLAKKTRDEISAMLLSVGFSDEK QRDPVASLSGGWKMKLELARAMLIGADILLLDEPTNHLDVQTVAWLEDYVCNLPDITC MIVSHDSGFLDNICTDIIHYEEKKLVYYPGNLSKFVERVPSAKSYYTLAATSIKFSFP PPGSLMGVRSNTRAIMKLANCTFTYPGAARPSLKNVSCSLSLSSRVGIVGPNGAGKST LIKLLTGETVPQEGSVHKHPALRVGYVAQHAFHHINQHLDKTAVQYIQWRYQDGHDRE MLEKATRVFTAEDLEMMERPIEGKDGSLRKIEMILGRSKLKKTFQYEVKFKGMTHKYN AWLPRDLLIEKGFQKLVGQFDDMESSREGAGQRDTAASAVREVLEAVGLDGDIAQYNE MSGLSGGQKVKVVIAASMFNRPQCLFLDEPTNFLDREALGGLAVAIKEWGGAVCIISH SQEFVSALCPEIWHVDNGELTHKGKVAIVEDAFDNPSNPTSRATSKAGTPRVAGSATP TTGANTPAGSAAPSGTDEIVDGVAKIMMKKKKKKMTRNELKAQEERRRLRKLNWLTYG GEREPDTDDE I203_00629 MSAPTYEALKLPSFVETVLVTGAGGFVGQKLVLLLLKLYPNLRI IATDIIEPPNHGITDTKRLRNVKADLGKPDELKGVFDGEKVGGVFALHGIMSGGSEAN FPLGYAVNVDSHRALLEATHKHAEANFPQGHPRPIYVFASSLAVYGAVTPQSVVDPKT KPVVPESSYGVQKHCIEMIVYDYGRKGYLDTRTVRLVTVTVRPGAPSSAASSYISGMI REPLQGQESLCPIADSMDDPALDYYLTWVGRTKTVIRNILWAAVVEEKQLKEVSQERI VNLPGIQITPRQIIETLIKYGGKDKLNLIKFKKDPAVIRICDTWAGAFDNSDALKLGF EVDDSKTGYEGAVQDFIEEELGGKVA I203_00630 MSDANAIKAEANKAFSAKDYVAAAKLYSDAIEIDGGNHVLYSNR SASKAGQRDYKGALEDAEKCIQLSSSFAKGYARKGAALHGLRQYPEAVMAYEEGLQVD PNSDILKKGLADVKRAMDSDAQSPFGPGGDMGLGKIFNDPSMVSKLENHPKTKDFMKD PSFRSSVARLQASGGKDMGAMMGDPRMLTVLGVMMGIDIDAMERPEGSNETPPGIQPF PSSQQSEASSSKPTPPPAAERKPETAPAPALAKEEPMEVEEETPDAAAQKEAAELKTK GNASYKARKFDEAIELYSKAWEVYPKDVTFLTNLSAVYFEKGEYEKCIETCEKAVEEG RDLRADYKVFAKAYGRIGSAYSKLGDLANAIKFYSKALTEHRTPDVLTKLREAEKAKA EADKQAYINPELADAARTEGNEAFKAGDFAGAVKHYTEAVKRLPTDPRAYNNRASCYQ KLMALPEALKDAEQAIKIDGTFIKAYIRKALVQEAMKELNGALETLQQATEADTEKKH TRELETNLTRILQQIQSQRSTETDEETYERAMRDPAVQEIMSDPIMRQILSDAQQNPK ALQDHMKNPMIAQKIQKLINAGIIRTR I203_00631 MADLPSTQELMPVLADDLMRDRARQFVEFLDDDTQANYNYRESI KRMLDLEQVRLIVNLDDLRDYERTYADGLLLQPTEYLPALDAALMQLVQSLHDPTKHK ITGNEYYVGLRGSFGQQHCNPRTLRSHQIGKMVSLEGIVTRCSLVRPKMLKSIHFCPP TGKFHSRSYHDATIIAPSSTMTGSTTVIPQDDGAGHPLLMEYGLSTFRDHQTISIQEM PERAPAGQLPRSVEVVLADDLVDCCKPGDRIQLVGVYKSSGGGAGARGFQTSIIANNI ILLSSKQGGGIAQTPLTDTDIRNINKLSKRKNIFELLSQSLAPSIYGSDYIKSAVLLL LLGGEEKNLKNGAHIRGDINILMVGDPSTAKSQMLRFVLNTAPLAIATTGRGSSGVGL TAAVTTDKDTGERRLEAGAMVLADRGVVCIDEFDKMSDVDRVAIHEVMEQQTVTIAKA GIHTSLNARCSVVAAANPIYGQYDVHKDPHRNIALPDSLLSRFDLLFVVTDDTDEQRD RMISEHVLRMHRYLQPGVEEGAPAIENISQNLDVGGDEAESRITETPVFEKFNPLLHG GVTTSSGRGAYKKKEVLSIAFVKKYIQYSKSRCHPTLTKGAADWIVSVYSALRNDDLA GNQKRTSPLTARTLETLIRLSTAHAKARLSSTVDERDAMAAEEILRFALFKEVLKPER RKRRKLNAGVSVDDSDEEDEQEDAEGEEEVATGGVTESQRERAKEKNRRMEGGPPLRG ASTPTPRTPRATRSAAAAADGAAGQGEDEDDVEMGEAEASLGLAPPDAEGEGGLEETD AEINPRRLDLFRNRLSTIFEDQLDADGMIDFTELVPKINEGLSTEEMFGNREARTAVQ KMNDGNEIMFADGTVYKV I203_00632 MAEVPDIALMPPEQVEGLARMALGNGLGFNLGPWIIGVVFDCMA FGILIHQYQVWWTYSKDSERSIMSWLTHYVTLNQIGWTAYIIYFGMHYFVYNFGRFAV FLDVKLAMIFPTWGWSVSGPIKFFYIHRTWQLNDRNWFLAIFLSALNLAECGMCIFLT WKFSTLSSGLEAAKMTTVTQVWQGMSLGSDVMITTSIAYALIKSKTGWSQTDALIKRL VLITIETQLGPTILMLAFTIQFAIAPPAALSQFFDLLIPKAYAIGYFATLNSRYLLRR AAHGSSDSRDPSSKHIKSNTFAMMGSNRLHQDTVHVEVDNFTESHSMPQLHSKSVNRD FKDINEDSESIENLDYATNLSKRNLNNLA I203_00633 MAWSLPPFPHTLREVKDLLPHIYLVLSGGIGLYILNLLISYIGV LKQVKGLPTRHGLFPAWEVGLRPRLPHVPWLFPVKSFYTKPEFDIYQSSQSDLLAFTV LALPTHPVYFIGSPQLAKYICTKTSSFGKASFEKRYENIRQFGSNIVSAYDGEEHRRH KNAIRGCFGEELFEKVWENMTEQVDTMLIEEKVDEGGTIRDVAKLMIKVTFAVIGEVG FGEKIPWNIQRSNGKGEMPFVESMEAMDESLLLQFLMPSWVLKVVPSLRRYGRARRDY LKHTHNLYQNRREQLISEDDLSSKSPKDTLGALAHSQIIAERGSSPNSKTQIGGKGYG KSVVGLSEEEVISDMCDAGHETTGHALAFILANLALYSEWQDELYTEIKEDCGDEEPN YRNMNQLALFLAFALESLRQHDVARPIFKYATEDVLVPYSTWDKDGSNVIQRQHLMKK GSLIGLDLPACQKNPYHWTETDKFDPRRHLSGKEGGGEDVSYLGFSLGTRACIGKRFA EVEMVSFIARIIPRHRIKIKKETEGEEESWEQSRKRMIDTAYEDLTLTPGKFDLIFEN RE I203_00634 MSAPPKLKSALKKTPSSSIPAQVSSSKAKAGPSSNTSNSKGKSK GSVTLATKPTRFKGEDLESESEGNASGFEDEDVEMDTDEEIERSKEGKEGKKSTKRKR STTTATEFGSTLTTLLADPLTQKSKSKKAKTVSDTAVQQQQPILALSAHKPPAKSSVS LEAKAKRQLKAEKEEKQDKARVKDVVEGWSTGDGVMGGMEFEKSLRKTAQRGVIKLFN AILLASKNSEAAMTSLSAQAKLKPEVGKKKEKDNILGRGGNKEDVLTKESFLDMVRKG STR I203_00635 MRSLISISAILLASSAVYADSTTYRIHHRFLSHPSPTSPTPFQH LGNLLVQDTLTTLEDGPAGSDHQTDDGKGWYQVGVQLDQYDTQEEWLIGSTKACYLST SPPKIQIHLTTSSIPSSISIIPHSSSISSCQSTSNSTISVKLPAGLNELTFDLIKDIH KTYSPSLAPPPTVDPTTGSPAPPEVEKTFFQKYWMYIVGIALFFAIQMGPDEPRGGGA GGGGK I203_00636 MFRYLPRAARSTAVGSASVRATARGRYFTSSAASSRQRTSLVLA AAAAAGIAITYKTFFIPSIKADEGTDKYPGTKQPSGQKLISFEEVQKHTKHDDCWVII DGNVYDVTDFLEQHPGGAEIILANAGKDATKIFKPLHPPDALDTLAPSQHLGPVDPLS MPEPTEEEPTEEELRIEEARKKLPPVDSMLLVNDFEDWAEQILSGTAWNYYKSAADRE ITAAENQDAFRRYYFRPRMLRDATHGSLNTEFVGMQTALPIFISPAAMAKLGHPLGEV NLTKGAGKCGIVQGISINASCSLDEIMSAREQNQQVMFQIYLNKDRKASEALLKKVTE LGASAVIFTVDAAWRSKRTRDVRAKAAVAPPPSSKDQSKSKAPLGVSQAISGYQDTNL TWNDLDFIRKNTNLPIIVKGIQSVEDAELCVQHGVQGIILSNHGGRQCDFAPAPIDLL YEMRINKPELFDKIDVMMDGGVRSGADVVKALALGAKAVGLGRSFLYANGTHGEDGVV RLCQILAEEITNTMRNIGANDISDLKPELVGPAGPWVYGNAPPWAGKSKA I203_00637 MPTPPSSSRVNMAPRSTPPPPYRASSPSEPLHSTHTDPSSSSSM RRSSSSSISTHPSSAHPTPDLSTAPTTPSQSTPAIGTRSWRPTANVPSHIHRRHRRVG SDDGEANRWLSEDEGVGSSSSTRRRRRSMGSRNEDRDSGGGSDRDGAGPSTLPNRKVT NTEEALDVINRSKRSLANRPQALRMASFDSHPTRSAPSPSDARQSQAAAAVGSDPPQI AQLIPPPISSETGIGLGIRSNDAGPVPIIPTSQLPIDPNGGIIPLISSAGAVPDFASG RGDDGIGGLNLPVATGVAEDIESAASRLEKRRDMVDKLSRVLGCALCPSVDGHTPCLH HPITLPCGHTLSSNHIVIPSPPPLHFTNEPPHEIFAAQQRQHQQRLAIWANVMCPIPT CKRFSPTASASSAMTNVELPGSPNAEQDSQGSSDAQRNVTSASGVHYYPPAPTPSTMP APPPAYSSEAPSAATASPLLDVTVDKILAIIQKEKVRLENQAVRIVGEEDTDVESSDS SDNEAESSVLPPTTSQSSLTDDFSHLNHSSSSISLNRTGSKRRRGDRSERTTRRLLPR SSLGQNNQSQNQDNFEKELLATLECDVCAMLLYNPVTTPCQHSFCSKCLSRSLDHSSR CPVCRQDLPSFAFFQDHAVNKVILTIIKIAFPEEYVERQQAIERDERDARLNTPIFVC TLAFPGMPTILHVFEPRYRLMIRRCIECTSPRFGMVLPARGTGSPQLQGLMEYGTMLE IQSVQMLPDGRSMVETVGTHRFKLLEKGNLDGYTVGRIERIDDVSPEEEIAMEREAVL ARANATVSRTKPAGPSGSTSSPVIASNTTSAELASGSGSGSASTTGIQSGVPAITSAL PAPSVFGGIPTFVAGPGSVDFAALAASSAQSQTQTATSVDDTPETTEELMAICQAFID QLRSGSAPWLLQRLNNTYGSMPTDPSEFSYWMALVMPIDEYEKARLLPIRSPRLRLKL IVHWVESLRSSWW I203_00638 MDVITLSTGYEATYNASEPGGRNKLSRYVSTLQKELDNSLDNLN TLDTTGYYEGASAAKILYENNDDFHNHFKNVDNATVITLTRQLVEGAFLRLNAQSHKK SKSQGSAGVHLVPGESSTVTARGNQGHFLEPSVQYTSGTYGHQSRQAQPWPQGSLDPR HHTTHQGYYVSQPAATGFPPPPPTGYPPPQPGSDNYSYAQGSLPPILPPNYNQMPPPP SQQSGDYTYPYQHPPQSGDYSGYYYPGSGTGYDPSRHQH I203_00639 MDTYDIILSSHHQATYDKSTKRGKSLINNITSELISDSHLDLST LGPSVDVTITNTLAESSDTYHKYVLGHPGSDVVARQMVERSMDQIKLASVKKAKGRKR NNKPLFGVSSYHSQVSRNTLTTQQRVDVEQMTRYDQPAVGQGSTSVAVPRSQLQLPQS QVHNPFGHAASYSTPQSQGVIPLSEYDSNFSTMNYMNIRPMRDYTETYNSCDTATQAW LENLSRRMSKFDYPFLVAFANDEKVFTQGLHSIFSERSTIIQELYGDTGVGSYSNGKV LMSQILSRAKEFRAYEDQQRSYYQQRQQGYGGFASTSEERSNDETSTADHTGRLYDPV RDRHL I203_00640 MASPAAAQTTSKFQAFMNHPAGPKTIFFWAPMAKWGLVAAGLKD LSRPADKLSVSQNVALAATGFIWVRYSFVITPVNYSLAAVNFFVGSTGVAQLYRIWDF NRNKPLTASITPSA I203_00641 MIPAPHLILSGILSIISGVTSAPASAVTDSDQLVLGTKQPRPLV IWHGLGDTALSSGISSFIEDIESIHPGIFVHSVQIPQGGSLDDERRAGFWGNAEEQGW AGCEQIASIPELKGGFDAMGFSQGGLFMRFYAQYCNDPPVRNLITFGTPHFGISALIP CPTPPTLSCLLAARAARAGIYTPYAQSHIVQAAYFRDTERLDEFWDVNTFIRDLNGEK GLGGDDGDENDEKGRNGSGLGIEGLDNFVAVMFDQDRTVSPAQSSHFATYSPLNKTVI IPMTDQPMYIGDWIGLKSLDEKGGLTLEHCPGEHMDLGGEGGCGERMVRGWVGWKE I203_00642 MSGNNNDNRSIDEIERALPQIQTQDWDASPNDNEKEQDAENVEQ VQAQTSDHTRFGEEPEMPKNNLWIVMPSIGLIGFISALDQSIISTALPTIAAEFNTTP SEYSWIGTSYLLSQVMMNPVNGRLTDIVGRKPALYMAVIILLVFSALCGAAKNATWLI VARAFAGLGGGSVVSLSLIVVSDVVPLEKRGAYQGYMGATWGVAGTLGPILGGVLTTK ASWRWCFYINIPICAVALVLLYFFLNLKRTQRSDISGLRKSFDFVGLILIMAAAAMVV VGFSNAADEGFGSKTAFPIIIAGVATTALAVIHFLTTKKNAIIPARMLKTRTPLFFTF GLFFQSLMFMPANFLLPQFFQGVGGASSLKSGVDFIPFCVALTIFSIIAGEISTRFHI VRPVIWAGFVLSATGYGIWYAVLKYDVSYAAQEGVQVVVAAGLGLAISTPMLVIQASM PGKDMAASTAAWTLSRSIAACIGVAIFTAVFNTGLRSRFSKIDGYGTSFTAPTSTAGY HALHDLPEGPMKNAVMEAFANSMRVCWIIGCALSCAALGITLCTKSYSLRRSYATASS TQDIASGTDEKGQAQGEDEVGGVMIDQATEDRQNRELLRIEDGLRDTGGSLPPTRMNS RI I203_00643 MDLSPPTSHSSANGQTIVEPAPTTTVPPAEPATVAHPPVQKDLP ATPSASSQAVNVHPASDPNVAGQTASGPTVDSSNTDHPAAQQILHEKPHEAAVPSVPS TETHPSDPSRGTTAPAAHAGQAQAPTASIDPNKPSSESAPTALPTNAATHPEEQKEAI QNENPAVVHREVEKTKAEARELKGEKPKGTVVAGIEDDRLWAMLRRFDVQITHVLHPA HHLPPKEPDLRPSTLPNLPSHSDVLRSNLERVFAAVGPSSVMGMREVRRMMSWAPEER WRTGSFCAAYFICWIFGYAVAGVVSFFIAIVCFPSCRRWFFPPVAPAPFTPPSATDPT NQKGDESILGNVDGKTVHRSKAEQAEEQAFEATSILQAYTTRLLFDGRKKGKEAGNSS VGEKKRKSYTESESDSNPDDDVPPGQPGVTREKHGKDQSQGLESGAVVVGGETVTPDK PLSEKEKKKLAQREAKRKRDEMVSKMTKATEEGLGAFADTMERMTNALSPPAPYPDSY ARFKIAGAFLVPVMFLFTFVPPWVFARSATFFFGVGFWGQPLLIRAGKEMIKYLPPNW QELIDIRNSILSGVPTDAQLTLHLLRVAEALNAPLPRPPPPPLEGTPKEAIKDTTPAT VTAEDDAEVLEAEEEGGLTEATTKAKHKTKSHILGAFKAAGKKMAAFHGDVAVDGNKK QARNEAIERGEMDLTTGEKIGSKVDKLFFKGHVKDDGTPHSYPCKLDKTSGHIILENR NDIVKEPRITFVPISGKSEHFVWPVDDIVEIKKSHVSMPRMALGWASGAEIEGLGLTI RFKPRTQQIAEHVGSEKDEGTTIHLTRVGRREELFVRLVSMGVQRWEVL I203_00644 MQDYFSLPIEPENPEREYNETRKSLESCITGISSLTESLGITLR HQQDDQSLLDIYREFQHTFEHTSTQFHQQENSLDAIMTKLEFSSDEKQEELAEWGSRR ESAAAGFLETMRTQSMITSLQVLAQVNKIYEQAIQGSKNNVSDNDIISESYLKEVQDA RRMAEEFGSLIQASVYQASEDSPLGKAFQSYCRMRPQVDELTSQPESNTAAEVAGSSN DVEMDYLADLLATKFTAA I203_00645 MHTSLATLCGLITALTVSAHGTHGKVDPWNDEYANTPDLSFSGV TSFAHLPHVKCLDKPEQAFDVALLGVPFDSAVSFRPGARFGPYALRTGSRRQRPERGY TSRLKVNPYTNGLYVLDCGDVPVTPFDPETAIKQVKAGYKSVLHHPIVNEEEMKRLHM QKGLDGEYHPRIIALGGDHTIVLPILDAVSEVYGPVSVIHFDAHIDTWNPNRYLGSVS LQADVNHGTFFWHAYESGFIKVNSSIHAGIRTRFAGPEDLDDDVTAGFDLIHTFDIDD HGVEWIAEKIKARIGTGPVVISLDVDVMDPSIVPATGTPESGGWTSRELRRIIHSLVG LNIVAFDVVELSPAYDTQAEISAIAAADMVYDFLSILALGVDGKSTQKPDARTVDEL I203_00646 MPDIEMLKDRSAEGLVSTERLPIVDISPYLDSSSSEEARKVASQ TLDKACREFAGFFYVTGHGLAPEYMKDLLKLGHKFFEQPQEKKDSIHIFKSMDRVRGY QKIGENVTYAKRDQQEALDIYPEPENPSTAQLEGSQLWPSEDDLPGFRDTLLDYTEKM KKIGHAFMRAMCDALGHREMFDQLQDDNYWVLRVIGYPPLPEEYDLDKGISCGAHTDY GCLTFLLADDTPGALQVESKDGSWIPADPIPGAYVVNIGDIIDTLTGHQYKSTYHRVI HRGSNYRVSMPFFFEPKRDQVIQTLPGMVPAGEKAVEPFTYFDHLKRMIYNHFVSNDE ALPEKPNANALTQRG I203_00647 MSYEPKEKDLQDYSSEPQSAVVDEVNRQGPEVDLALADDPHRGL RMRHVQLIAISGSIGSALFVSIGNPLTSAGPIGLLLGVAIWCAVVWAASNCLIEMTCL LPVDGGFIHYAGRFVDKSFGMALGWNYVITQLALICFELVSLNVIVEYWTLTLHPAII ISVGLVAFFIIQIWSVRWFGEIEFYISITKVFLMIGLTLYTFITMVGGNPLGDKYGFR YWKTPGPFAGEVNFRKVLTGIFDSLSWATFAVVGPDYISLIGGEVKNPRRILPKAFNS TIYRILCFYLTGALCVGIVSSSTDENLLGAISAGAPGAAKSPYVISMNRLGIPVLPSL VNALILISIFSTGNSFVFVASRSLFSLAHKNQAPAILKRVNRHGVPWVAVCVTLAFGC LSYLSVSSGTVKVLNWWINLVGAAQLVSWTCIGFTYLRFRSGLKAQNLLNTNYLPVKG YLQPFSGWYVVVWSPIVFFFSGYYLFYPGAFAGPDFVFAYGSIFIFLAIYIGSKIYQT VYQKEKHFVVPANEIDFVSDIDHIDALTQASEAKRASKPQTKAQKFSDFFF I203_00648 MVSTSSASAPMADLSFDFGPPPPTFVDKYAEREYVKERLALAYR VIAREGMCEGASGHLTSRDPVDRECFWVNPYGLHFSRMTSSDLLLVDHHGKIVAGGKP HQQRYNAAAFIIHSAIHAARPEIDSVVHTHSPYGKAFSTLGRNLPFYSQDSAVFYNDV ELYDNHNGVVLSKNESQMIIDKIGGKKALIMQNHGLLTVGGCIESAVAWFMLLENECR TILTAEAAAAMTGSKPISISSEVAEFTQREIGTEEAGRFEALPFFDLVEEECGGAHRK I203_00649 MAARASTRVSIAWSGAEPIEDTDTLVLTIDGYSLDLRVFNSGPD EGKIDWSTIAHVGEVEGSTKENPILKWDHIIDSRPPTDMPDQGKFETLPNGDVIEIGI MHNPKTNLYEDYVETWRRMKLPAAAPYIVLASEDGGIQAYLGRVGEHALGLAKSEDGE YYARRDKMENGKWKRVYQFGENIDSLLPDLPTSIPEEWSKNDNVTLAGESKWVVKTIG KL I203_00650 MSPSSGVPPTPDSSSMMIRHSPRASSSQTTGNPPASPAGSSKPS NDTPLSPGRKRRKVTRSKLGCLTCRKRRKLCDMMKPTCGACTRLQLDCNWPPEEPARP ARRRSQQSSVLSPSPSIANPPPTMAAATTHPFAQPPDPRLPFMVPSNDSLSSIPATNT MDDFVGIFGTIDGNQNATTSGNVNSVNGTNILSNAIGNHHLPNNDHLESGDTSLLDWL SGGGNLDEATLQLWAADCLAVPTTQTFNAFDSLNSVLLQQTPPSHPDPVIDPTLISSN GNNGEAGPSAPRPAQSRTASRRQSHSPSYRGSGTPPINSQTDLLNYFHESLSRLVSCT GESAPSAFESFTKLANMTAGRGPAGQSLHLSILAWAARHMVNRGLAKYEAVSEKFSLQ ATTHLDNRMNQLFDKRGKEKAQNSSGDGAEHHDKDTEKMTLLAAALMIMQFKICRGDV WGFNTLVQHLTRLVPYVFTSEDLDSQPDSMHTSFFENLLYHDVLGSFIFTQAPMIPKS IAQKYSRAGLDTLHTLTGVSLPLFSRMHRLAELIRLRRSRRHKGWSDEHLLDVVKPAL QIEAELNEEKIRLDGLVIAKPHIQAHRYLHEAFRTACLLQLRCFVLCEPPSSLHIRLL VRQCLSLLEAMLDERLPGLCSAHWVIFQAALCAIPGGQEAEEMDDRDRSDRIYDDIYA EFGFRNVERSRKIVHELWKKNADGKVFVDWLDVLEENDWEIYVV I203_00651 MAEKDLDRKASFDHVEEIDQDLKEVEAQKTKQHGDAVLDIIGDE RVVVTQEDIDRIRRKTDKQILTILIWVYLLQILDKLVMGYGNVFGLSEDNHLVDNQYS VAVTMNNIAQLAWQPFSTYLIVRVPARVLMPCFIFGWGTAQACMAASSSSMVSKIRTT YQGGSMVLYERYRAMYSGLDVIRIGLKINSDKIASWQLIFIVVGSITVLTAPVVYWRI DSDIAHARFLSPEDKAKAIERLRANQTGTGTNEFKWAHVWELAYDPKTYLFGGLALCL NFGATVTTSFGPTLIKNMGYDKFITALLNMPFGALQFLTIMAASYATQKFKLKGPVLA AFMAPVLVGLAMLYHANRQPVVNQKVSLAGYYLMAFLFGGNPIIVSWMVANTAGQTKK SAILAAYNAFNATGSIIGPLLFNSKDKPRYLPGLRATLGVFAAMLGLIGLCMGLIFIL NKPRERQRVAVGKPAKIKDTSMLTKYEAYGHEGGAGENALKDMTDFKNNEFVYLY I203_00652 MPVDSATELRRADTMSDPYPAGPSRQSALHNSTSRQPNNHNMPK TPKTRFKPSGFSQFWQSVKTHLTPPSHPSTTSESAIGGSSFRNTTDNYYYNESVHGGG SSHLPLELLNPKAGMEGKHKRRMGNSSASRGGGGLRLRARSSQAASTSRYGDDDDLSS SKPNEPVSHIVVDANFEHFTPAMAKSDSGFSNKTPGTNTNNGGLDSKNEGDGEDGGDT TPNGGQMGYDRSDIASTTRRGSRAENWVKTNTAVVWMTERFWPNVKHFLDSEFPEPSK ERSFQKETWFTQKQGAMASSVFFLINWILTVGLLPTPMSTYKYVAYIAVAGLLTLPIP VLVIFDFPRRHPTIWQPWIFGACWVFAYILIIEMRLCGFFSDHNTCGTRNFLNLLGFA FGQPTLGLLTLRENRITAICGASIWLILVGVLVMEQNNSPKLFFRNIVFFALFHAFLI CASFLKERGERQMFALRQQLKIQYRATQSAQVMERRAADSKKRFVSYIFHEVRVPLNT ALLAVQNLEGEHVFKGLAEDQSEMVHGLMGSLTMMEKVLNDVLSFNRMESGKFAQARK PFEFHKSIQLVALSHRMQAQMAGIELEVELDKDIDKIGGVFVGDEMRLRQVASNLVSN SIKFTDKGSVRIVTKLLYPRMEPTPATEIDDPLRQAAMNLQKQQEIEHHERNERLILA SSGGMIDIEKGSVPLEIRRQSRDFEKEKEEEKKKVQKVVVRVEIHDTGVGLRKQDVID NRLFSPYVQTEIGRRQGGKGSGLGLALVRQIVKLSNGRLGVESEFGKGSMFWFELPYS LPPPPKGKTNTLSPPMGGSGPHGGFGPPAYVPTASRQQSYHRPTPSPLGHPVGSTEMT KDASSGTERPAIGTTDSTLPLLPEAHGKNQTPDKSELPTEEIIMHTYPPVDPPTPEDE GIPESDTTFTNPFTSAQSASSGERRSSEWSDGTRLELQAPPIINAPPQVSIQPPPESS PELPLCVLVVDDDKLTRMLMSRMLTRLGHQVSTAENGKIAFDMIKDSFEQKPNAPRFD AIFLDNQMPLMSGVEVAREVRDIGCPVFIVGCTGNALREDQEEYISAGADSIIPKPIH QKAIVEMIKEARKRVAGETKPKEKERDLGIGMGHDERYGSPGGP I203_00653 MPTRIPKFTRLTSVKAVQARIPIRAPAILASQPTSSKSLYPISQ RNSFQPVQLRQIHTSLLIKFSNKELPPTSNPKNSNNGHHPPIEGATDLSHAHFISSAS PSSDFNPSQTPTAGQDQTSSTTEDVNLNLSSTSSPSSPTNGQELEEWQGKLSPTSSHL FKLLIPLPTASASPRQTAFLLHPSQPLSHLSRLIIGSLPGKYVNSEITYLSVTGEAKD LDSHLRNAASEEQRERQEGGPYLDQRQSDGHKFQEVSWSQSTDLSDFIKQSCLNEKFK IVISPASSHSSNDNKSNNPVERKSKEEGQRQGDLVLEVIIPSFSSRTHYIRRRLLSLT KELDRMTKQKKDIDYKAHRGAQRLAVAALGGGVVYWAAVIRWTFFTDAGWDMMEPVTW ATGFAALLGSAAFLIYHNREVSYSSLLDLSITARQRKLYDQAGLDIDKWTEMVSEAKT LRREITRIASDYDIEWKGELESENVSKTEKATKTIRDKREKASNDSPTSTATSTENEQ SEEEEEKQEKQEKIDIDATIQEASDLAEQSEEKRSKEQSAQRKGKVVDTPEDKKDRGT NARKGGEGKSDSEIVGEKKAKQVIDG I203_00654 MSAIASSSSSAPQPNPGGIITQPPPPSTLRESRIATHSHIKGLG LADDGTAMDFSQGFIGQNLAREALGLHLSLLKLGRHSGRPLLLVGPPGTGKTALALAL SQELGSKVPFCAMVGSEVYSGEVKKTEVLGGCFRRAIGLRIKETKEVYEGEVTELTPS EAENPLSGYGKTISHVIVGLKTVKGTKQLRLDPSVYEAIQKERVVIGDVIYIEANTGA VKRVGRSDAYASEYDLEAEEYVPLPKGDVHKRKELVQDVTLNDLDMANAKPQGGQDIM SVMGQLVKGGRTEVTDKLRKEINKVVDKYIEQGVAELVPGVLFIDEVHMLDMECFTYL NRALESPLSPYVVLASNRGICTIRGTEYDGILGSSSEGIRSPHGIPIDLLDRCMIVKT SLYKKEEIKRILEMRCKIENIQITGEALDKLAEQGEKTSLRFVLQLLTPSNILNKTKT GATGGGVSMEDIEELNDLFLDAKRSTGILKDLESLENKY I203_00655 MPRLPRAIFPLLPRPPLPLSGPKPKFSLNSTLRFNPLSSTEPFH PSFSSTSSSQYQSSLSYLFGDHPTIPSSPSPYRTMISNHYSPLSSLTAQPYRARSALG SLRFIAMGTFYQPSQRKRKNKHGFLSRLRGGRNNRKIIFRRLLKGRKNMSH I203_00656 MVTPGMPVRADQAKQLMQQFPQPHPQRSSNPSPHPTSSSPAAGH TNHHRSSMPNPHQKPTPVKTHQMQKSSHASVSGRPLPRTSHAQRSDSSDSSLRETHRA NIKPVGAGSMGPPRYPSANLAWGHQNNKLPSPKEHQAGSQSQTQTPVSRGSMDMGMGM GARESRSDVEMQFNHLLDSLQVPATVRQKFSTVSSDVKSSILLSTLNSNPTILSSLGL PTPTPTTPKVKKRMSTPLLRKTKSSAEVSSPSGSPQVGKTYDVGGEGFVIVASPNLNN EGNNRGIMSPPLGYGSNSRGQSMDLPRASTSSGSGSSSGPSRPLSGLFSPSTSNSSSL GRSSGKGLNISMGEQPDSFITWLNAHKGTDLNMDVGKAKKLRMLLRHESTTWVGTFLE MKGYDLILDRLKDLLDIEWREEQHDDQMLYELLRCVKALSTSEIGKIALRSHFPQPFP SISNLLFSEKKPGDLASRQIIIELWLFLFDLFPPSSSSRNGPKTSTIRFDDKSEIDIV QEVKGLLIPDLPDPTKEQHEFVTKAHRPRVFKAWVGELSDICRDYFWIMCHASNTLWD ISEVDENLVEKPVAPGGATGGVEFEAMNYVTIHFKLLNALCKFQAIENKDDALKLHED LMSSGMDRILVTLRKASTTYYPTLHLELARYVRLLKDICPNGKLPYLIGKMVGPPPEE VRRFGASGSARDWLGSGVAR I203_00657 MSALNLPKPVNTYAGEDELRQIPNGSATNGNGTPAAEEDEGQGG MEEDRPVRASDKLKVGIIYPPREIRNIVDKTANHISKSPTPLLLEEKIREHQKSDPKF AFLNDADPYHQYYRYMLVKVKEDADDVAAGISTSTPVPEEKKDKKEDVINAYEPKPWE FLVDLPGVTAMDLDILRLTALFHARRGRSFLSSLSVKEGRNYQFDFLRPTHSLYGYYN RMVESYQKVMQPPPGLIENLVKEANDPEIKWKTLEEARNRAEWEKGRRKREDIKAKEE EEEAKAFAAIDWQDFVTVETIEFTQNDEQLELPPPTSIDKLRTMSMAEKRMAAMVMEE TGAGPTAETTFQNGQNADDEMEIEEEEEDEEEEEVRLQRIKAEQEQARAREVQRAAME QRGLKIKKDYVPKGIQRGGNVGTAKCPNCGQSIPENELSEHMRIELLDPKWKEQKKNL EMRRAQAQQLQHGADVVASLKNLAHARTDLFGDDLDEAQRKQREEEERQKRREREKII WDGHTASAAKTTETFQSSFNVEDQIKKLHSRIGLTEAPANAAGPQIGPGTPGAPQAIP PPLAAGLQTPGGGTAYSGATISAAPTGPSTKEYISAPYDPSFAPSPQPPSAPSIHPSR MAAINAASASSGGSSPLAGQVRPYEDDGSAEKPVFKRPKIEKLPYGQLYTETDWTNLH PDPINISVQLPNMPEKPEYKLNGSIITITDLPVTTLFSTIREKIKRIVDADLPISRMR LDYGTKVMNNASTLASVNLDDGDMLSLVLKKK I203_00658 MNNSIYPDDPVSSKSVGEMRKGMIGNWINTNTQNPSTNQDMSKE VTNDPTSCTGFREVNAILTELRQSAEGYTKMVNELWAQSSRTSNVNWPDMTEHERWRT EIGTMIEYLTEDLTVDLQVKNEVSGLRARCQTQFDEITQLKKRNSSIEPYRVLLNRAN DLTRSTISSYQGILNGTLLAKGGELDSQFREMAKSYSEINQNSSKINQAVRLVAQQGL KERYTDIFGVNDDSDTDTDSEYEYGNRHHYAQGETYEFQPQEHELITEIESWTQSKSN VQTAGFPSELMDLLPKKYKSLLTSGLASSKGDIPVYKVFKA I203_00659 MQSNDNYDQSIIHHSSDLASLQQQVNRYSAIADEVDTAVRNGRD PSVQLPEAKDLSSLGEVSRQNPSEGHQASELDQSYAQAVAELRNARTMEETITQYHTK IIRACESMKACLEASQRYIASEENIPSTRRDIEGFEYPDHLGEKWREMYEAASDCDYD QNASYVTSVISLDHPLKADMINWDEIQEEYFEAQQSSHKLYKMQAIMGSKYCRA I203_00660 MLVLESQGPSNVMNMSTSIDANDLNTATAEDSIDTTLPWKEIFQ SMSSNHEALQHDYDRFQSVIQNTKIRDTRTEDLELELLHAGEKSLQSYVHNRDSILNF NFIPDPLIAPPDHSMVNTFQKLDVDMNTLMEKWINRTRPHKTEWSRWAIKTVRRAITA IDTFHMSREAFNQAGTNSEDYEFLQADMYDDEMILTQSLEDLQAHESEITKVLELSGN DDPQQHLLASEYTELKIALKEYRDNGRFDMDDMGEALDDF I203_00661 MSFVPKPPASHHHSASYTYGTSESQSQFASGVSQERSTASPTQP SGPNPNQLYNWPPKNPSPVPPLSLQSFVLPPLLSILTSLNTEANIFASRNSISSPSAH VHIGQQPNTMQQSHYSPTQTLPQVQPHYGPTPTTTSSSAPNMMLPPLRLASHNDPRIN PPYASSAPPPLNNNHYGYSYPTMNQYSPYQRPSTYYTGGHITQNSTSSSAGSELWTPL SATPSGGYPMFNSSNTQSNSNGGTPTFGAQPPPHQPNTPSTIQPSAFPSWQPTSDLRS RIDGNGSNGQGTISPLGILGGGENSLRLTDRRSSTPGGQSSTTAGGGNGERWDRPSLG LSPDNSDRLSTSDEEKPKITKKGKGGTGSGTDEPGGNEIISFTTDAEVKQTPELKRMC FNCTNKSPPSWRKSLLHPGKILCNKCGIFERTHHKPRPPQNDDQKLRKQTLVPGLTTG SYRREIPPQLQVMRDDSDGSPAPLSPYSNVPSQATPVSATFQFPSSYKSPSSTLLPSS GPSSTGPRKFISSGMWDQTSPSSHSHLLNTPVSSLSATTLDGGDYSSSSPIRGVGVGV GYHGHGSSPYAHAYANRRTYSHPVRVSPIMPSSAGPISQFNQFNNNYNNHNINNGFDS PGNWNSTRRHSDVQLLPSLSSSSSANSLSQSNNQELQVGGSADGQSQSQVSINDMNNT DSRNGNGCVVKSEQV I203_00662 MTIMSAPAANDPLSGHPGHLSQSQQATLTKFREELTAEGSIPAN RDEVVAKLGYDRFDDQTLLRFLRARKFDIPKAKLMWNNNEKWRKEFGADDIAANGYDY PEQREVNKYYPQFYHKTDKDGRPIYIEQLGKLDISKLYALTTQDRQLHHLVHEYEKFL KSRLPACSKEAGQLVETSCTILDLYNAGISSFYKVKDYVGAASSIGQNNCECPETMGH MFIINAPYLFSTVWSLIKPWLDEATVRKIHILGKNYKTELLQYIPEENLPTDLGGKCN CPGGCSLSDAGPWNPTPSAPTA I203_00663 MAYLGRPSGGDSPFAIGDRAMRDTSSPQPPSQRNPTPRQQQNMP PPGGFMATPSPYGSASDEARRRYEERYAKKRAEEAAKAQAQANPPPPQQQQQQQYHQP PPPQQQQQQQYHQPPPQNHRPPGSGGYNQQPGGYNGPPPPRQQYTSPPPQSQQQGGFD PRQQQQFHQQQGGPPGQGQGGYGQRPNSMGRPPQGYQQQQQQQGPPPQQQQRWSQNQY NQPPPPQQQQQQQQQQQWQQSQSPPPAPPQQQQQQGADDAELQRMFSQFDSSRTGDLS AYDLQRLLAKDTMMDAREDAVKMVNIFDTDKSGTINFMEFEGLYRYIQDWHGIFRRFD RDNSGLIDRKELHNALLGFGFSLPKEMVILLEKRFAPPPTSVNSQQNKGISFDRFLMA CVTVKHYTEGFRRLDTNGQGHITIDYNQFMELVLDAPS I203_00664 MTTYPPYSSLPINKSGPPLNAWGLYGQDEGGQDEKGRLNLITPQ SIKSGKDTITEGIVVNLNLPLSFFPVHASRSPMEHEIKCSGHSNDDILHFNTQCSTQW DGFRHYAYQNWPEEGRFTFYGGMDIKEASNLTIKKYGIHNYAEKPITSRAHLLDIPLY LSKHNLPSIEPFSNSDPIPVSTLKECAEEYGVLIEPGDILLVRTGYTEAILSQSQQER EALRKREKNESCGVKADEELYKWHWDNGIAAVASDCPSYETWPTAPGELSCHQVFLAG WGLPIGELFDLRELSKQCQKLGRWTFFLTSMGLNVERGIATPPNAQAIL I203_00665 MKDISSVETLTVPEGVTVALKARNITVEGPRGKITKNVGHIQMD IQLVKKSKSQQVVFTIWHGARKHVACLRTIKSLVENMITGVTKGFLYKMRLVYAHFPI NAIPGDGGKSIQIRNFLGEKFVRDCPMLEGVTVAMSDVKDELLISGNDIEKVSQSAAS ITDKCRVKEKDIRKFLDGIYISERTTVVQEEV I203_00666 MNISSDLMSVESLKSVAPTEVETFLKSIYLMEKNVKELERYSQP LGALQMSMEGTLGNEEKGMLARVSSSDTSYDAARISGVYSWYKLGDPNCVEIQRAFHI HSRKQTVTNQMDNTILPYASNWLSAALDEIVQETNTISERHREFRQDPEDWKRTRGIE GLGNVHQAMRSYNQTLRAARTCSQALINKSVDELQESKAAIVNLSHIMDQVYLNWNDD IAPYKSESIQLATRILTELKSECEDFLRLFGSVPVFSIGDERSHWERELAASGDRLTT LYASAATHKEELKTLFCIVEPNHEYRNTCEAWGKITAEVKNAFKTLRSRGAQGSSLDL DDLASVLDDL I203_00667 MSSSERPIVALTGLNGFIAIHVALRFLKEGYDVRGSVRSISSVE KIKIHPVWKEWFDQGRVKVVVVPDLTGDLTELLDGVESVMHLAAPVTLNLKSYEEFKG PTIQGTLSVLNQATKFKTIKAISLMSSMAAHFNPVPNDQQLGAVYTEDSYFPYDEETV KNFDPSNPFANVIWYCAAKKYSELAVKEWLKENKPSFSVASLAPPMTYGPLLHLSSVA EFKNGVSGSQPGWLSLIKGKDAKVIQPESTTYADVRDVAEAFYQAAIKRKNGIYLIAS DIYTYQMFANEFRRQRQRPDLDAYFPLGNPSEPTPREQNFWTIDTSKSVRELGLEYHT LEDTVRVTLEHYEKIGVFNEQPGSWAERV I203_00668 MTPPPPLIITTDLDNNNNDINPNTNTPPALAPPIDVDQDPTTPP RGRSGSVPISDPSHLSPSRSHLHPNQNTHSPTPSWSSGTIPPSPTLTNSSVHFSEEAV TPTSPVHRTSLALRENDPTADSGMETLKVIGENDPQRHNRGWSIGTWSSEAGTEEDHS NFAPGKTTSKDKDALSRITTGTTAHTKSAEKSKDKKEKKKKSKKSKKSKKDEDGEGEE EEEDRPQAAHIDPDKDTTDPTPFREKPSRLAMLVDPKSLDELEKIGGVEGLLSGLGVD GKTGLRVGTNEGATETGAPRTSSEMPGGNEPQWHTSMDDRRRIYGRNELPERKSKSLL LLMWIAFKDKVLILLSIAAVVSLALGLYQDLGTPPEIIFNDECPAPVGCEEPQVDWVE GVAIVIAIIIVVMVGSVNDWQKERQFKKLNAQREDRTVKCIRGGNEMVVNTKDLVVGD ICLLEPGEILPVDGVFLRGHNVRCDESGATGESDAIKKFPYQECIEERNAAQPGDKLK KDCFLISGAKVLEGVGEYVVISVGTNSFNGRIMMSMRGDSENTPLQLKLNKLAELIAK LGSAAGLLLFTALMIRFFVQLKTNPDRSANDKAQSFIQILIIAVTLIVVAVPEGLPLA VTLALAFATKRMTKQNLLVRVLGSCETMANATVVCTDKTGTLTQNEMTVVAGSLGVHG KFVKNLSDNASRSNANDVEGEQVREDFAFEMDEINNVASSELTSLLNEAICINSTAFE DKDEDGNLSFVGSKTETALLKFAKSSGWADWRKTREAYQVIQMIPFSSELKAMGVVVK IGDRYRLYIKGASEVLTKKCVKHVVVSQDQSTDNGLQTVEFNEEIMNNIMKTIIFYAN QSLRTIALCYKDFESWPPKGTTQVNATDEVPYEFIARDMTLIAVTGIEDPLRPGVREA VEKCQRAGVAVKMCTGDNVLTARSIANQCGIFTSGGVIMEGPLFRKLSDAERLEIVPR LQILARSSPEDKRLLVHTLKGMGEVVGVTGDGTNDGPALKLANVGFAMGIAGTEVAKE ASDIILMDDSFKNIVLAIMWGRCVNDSVKKFLQFQISVNITAVVITFVSAVASSEEQS VLTAVQLLWVNLIMDTFAALALATDPATETSLDRKPDRKNAPLITVEMFKMICVQALY QIIVCLILHFLGLRILGLPHTDQNNTELGALVFNCFVFCQIFNQLNCRRLDRKLNVLE GFFRNYYFIVIFLIMVGGQILIIEVGGAAFQVTRLGGRDWAISLIIGAISLPIGAVVR LLPTGPFEKMLIKLRIYNDPNKLPVVAPEVEDEKYEYNPAINKVKDNLSTYANIRGGR LRASSIVAKSRSAQMKEADIQLPSLLTMVPTLIAGTVGAGAHWVHQTGSASLSNPAGA DPSRSTAELFQGKVQLHPQTDRNDPLYTKFGITPPSPVEAASIAASAPSTMGRSRGRS QQRDLEKGGHGQNDQEVLRE I203_00669 MSTRFTLLPPESTSSTTPTSLQRDWEGEEELVSEDDDEPPSPDP DQPNNLDPEPQPGPEIDLRPKKKAKRLKNLSSTKTLTTINPTTLNTTSPIELTDTVSS SALDDHLIDPKRPKIKSNNAFWRKAPPPPSSSSSGGPQGEGSMRTKHTEFPFADYELG LRPLTWPSLDDTAVKTLGIPASLPSFQNTLDQDKEYDEPFLYTRSDLIRYRLGITPNY TTQVHAQAQAGGSGSGSGSGSRGSKDMTVAEGAWKKWEEIGGIPKGLAGLIPYSFDGH GNVYKPDLTHVQAIRLVIAASPRGKMTLSQIYQAFEERWPWHKTAGMTWKNSIRHNLS LNDCFINVEKATAQGGGKGGYWIVDNSQSGRTARKLKRSATNLNSNSTSKGYSSSPTK STFKERDLLTKDRLSISGESSPNTETPSVDVFSPGLSNPLDKYDLNKNSDGGMGKGKN KATSITIAKPAVPFPYTLPKRDKSWVPKEVVRKSREIGIQRPSHDTPLDRPQKSSSHP SVDGRADQDHAEGEGCSRSGFLQTANSPLESQFFTTRAGVPVLPMVEPKSNSRSNLSM TQHGERDGISKLPNLLKAMYEPSRLGWGLGDDMRLPPVRVAENQMEDGDDEGGAGGDQ VIRR I203_00670 MPGTFTTHRYPFPITAAAHSPARAPLDEDAQEFERLMARYEGKR KYEILAEGGEAKESQSVGNSQISTTSSISQREVEDLVDEEDEGRTKTLSFLGSIVTNF LSQLHTSQILIADRKDARLKKSPRKKKRYLREQESQEDEEDELLIIDEDSDEDGLEDG SQRWVQTEPGVTMRLKNRKTGNDQVISYPDDPLSSMTGQTSMEKINCILRIVTVLYEA VCSRTVVTLRDIYYRDKVLFKRQDVVDKLVDDIVATAGLKRRDFFVCASAKGLIAAAH LKIIRRTGEELVLSSTIATLIDPIERISRLESARPVEWVLVVEKDAVLQALCSAKLLE DEKLGAGVMITGKGFPDLATRQILHLIAETYPHAKIYALVDADPHGISILSTYTYGSG NTKYSNDHLELPLGYRIEWLGLKATDFKKLGIGHDDLLPLEKVDISLAMRMIKDPTLP GEWKRELSHMLHINRKAEIEIMLESNTGQDYEDGHDLLDDLFDHDRQHHYDINGNDKN KIGKKEKEKSKSKSKLVEYIVERIISSR I203_00671 MATTQNAKIPQAKRMFDGATHKLDVWTIFTPANVPPDAINLGQG FMNWSPPDWITKAHHDVLDENVMAHHYSHPRGRPRLLNAISKFYSPQFTNLGGRELKH EEILVSAGANGGMFAALTAHCEPGEEVICIEPYFDQYYASIHFQGAKPVFVPLHPPTG EGVKDGSEWTLNIDEFRAAFTPKTKAVIINTPHNPVGKVFTQKELEDIAQVCIEKNVL VIADEVYDCMVYDGKKHVRIATLPGMWERTLTVGSGGKSFACTGWRIGWLIGPAQLTS ACLAAHSRIVFSTNSPMQEAVAIGLEQAKERHFFEDQLKAYEERRDILTSYFDQIGLP YTMPEGSYFLLVDISKVKVPEDYPIPETCQGRGKDFKFCWWIAQELKVVGIPPSEFYC DEHVEIGERFARFAFCKDPELLHAAGKRLLRLKEFI I203_00672 MLNPTPTTDPNSTPPRRVSFPVDPIDTSITPDSSTGPLTSSPPG SPTLPPRESNATPNGARRRTTTAPNRQVTLDSTPMSQRRQTTESNRSSNRRNPSFDPN ASLVRRVTTVLFTPPKKVGKAPTYLGSIKAAIMSTWLNVLLVFIPIGWALYLAKHSGG KDSISDTAVFITTFIAIIPLAGLLGFATEEAALRLGQTLGGLLNATLGNAVELIVAIL ALIKCELQVVQSSLVGSILSNILLVLGMCFFAGGVKFAEQAIKSTAAQLNASLLLIAV IAVLIPSAFHFSISSSTSNTDADQLAQGEGADLLAMSHGVAILLLLLYLGYLVFQMYT HAVTTTTASDSTVHSGNVTNAGGAVPATHGPSTVVPENLTVQRQHEQEEEEDDEETPQ MNVVCTIGLMVLITVLVGVTAEFLVDSINGLVESHPSLSAEWVGLILLPIVGNAAEHF TAVSVSVKDKLDLSISVAVGSSIQIALFVIPVIQLLAWTIGKPMTLLFDPYESIVLFL SVLIVNQTLADGRSNWMEGLVLMMLYLIIAVSFWYYPGSSTATLLGCAESSSVVG I203_00673 MDTDEISPTYDPIIRTSRTSVDNDISSKHPQESNQKKTKSASTS NITTSKLIFSGTRSASGISKPSSHKNYQALSRLSNGFRPRYFTKSQDLSFLVEGNDHV GEVVKPAARDEEDMMEL I203_00674 MFYGSPSDKLLSLKLLGRFFKAYPEYKDKAMLGVKGGMIGAFSG CTGDIDRLRKELLTVKELLGEKEIDIFACARVPDDRPFEETIKNLVQLQSESLFRTIG LSETSASSMRLAHQIAGNLISSNEIVVSLQTLLDPLIVESIKTAEELGIIIIGYSPFG HGLLSGQTPSGEGDWRNHLPRFQKENLDRSLALVRDLKELAKKNERKLTEVILGCMNA YSPNVNPPLPGSKSPKRVAENNAAAQVKISEEEMKEIFEILNKNPVIGTRYPEFGMGS LVSVLLIVLTVCNMLTLRVVHNVDELRKLFMKN I203_00675 MLPRLNNFLHLFLLVLPFTFTLAHRIEIDPGEKECYFESLQPKD RMTITYEVGGSTGGGHLDIDFYVTDPQGKTIYTHHKKPQGSFSMSADRSGKFTYCFSN EMSSYARKVLSFNVHGQLYIGDQEQIAPVEQEVRDLSAGLQLVKDEQAYLVVRERVHR NTCESTNSRVKWWAIVQTVILFSLCAWNVHYLKSWFEVKRVL I203_00676 MAEPTEPVGAEEHDPQFEPVIKLTEQVEAKTHEEDEEVLFKMRA KLFRFAKESLEWKERGTGDVRLLKHKETKKIRLVMRRDKTLKVCANHIVSSDMKLSPN VGSDRSWVWNVAADYAEGEASPETLAIRFGNSENANLFKTAFEDAQTANAGSSAPAPA AEETKEEEFAAAPAATEEKKEVEPVNETTAAAEKEELKEEKAAEDTTEAPSTHQPTSE TAPAATSESKEVDELASELKKQAIAEKSETSAPEPAAEEEKKEEAAATPAPAA I203_00677 MAFAQNISRLIVPIAIGATVVQSSLYDVPGGYRAVLFDRFKGVR PEASGEGTHFLIPWLQKAILYDVRIKPRNISTTTGSKDMQMVSLTLRVMSRPDINHLP KIYQNLGLDYDERVLPSIGNEVLKATVAQFDASELITNREIVSARIRDDLLNRAKEFN IQLEDVSITHMTFGKEFTTAVEQKQIAQQDAERAKFVVEKAEQERQAAVIRAEGEAEA ANTISKAINKAGDAFVQFRKIEASREIGMSLVVLYQPHSQSSNMMIKSV I203_00678 MWPFTSSPSSSSTAAPAPTPIDPSPSSSAPSADKCPVDHSARSA WLAAQGSSDTPHPFHSSSSGSSSSSQGLSQDRVISSIPRAPPPSASSSSSEGGGAGPS GHNVPELQKDSDGKWVYPSEQQFFNAMLRKNHNPHARDMKTVVPIHNAVNERAWEQIL LWESRVEGSEKCGGPRLISFVGKPKERSPKAWMKTALGYTPPFDRHDWLIDRCGTQVR YVIDFYTGKSGSGQDASKMSFYLDVRPAVDNWEGIKTRAAGWWS I203_00679 MSYSPLLLLLFLPATSAANDRSQWNQGTITIPTSTVISIGLGFL AFSLLILLFLLSFRVNRIRKLAKRQNKSFKRCWKDEGGLWSFFTSFGEGDGHAASSTL IGATGRGLDYNRQLYRIYRELEGDSDNNKAKEIPKIWDCNWNENVDKNQDWGDDKIQP LSVTPSAPPTSNTSKTYPPLPTLDMCVLITFPSESTNQADLDLPQMIIGTTTLLPTIT IPDKVDPQPDFALSSSIVRNSEKEGLQVGQITKKVVIEHIEYSRVRAEWKQDDSKGVW YIDGLRSE I203_00680 MVSADTSTRLQTKLFNQGGHISPSAISPKAKPPFPDRERKAMPV RRQSRFDPMIASDTDEMMQDDGYGDLGLKHPLRRVKEEENIKDNKITPPESISLPPIK SLFGVASDHTQSPSSSSLFQSPSLPSLIPNSPSGSPSSARTSRYSSLASSAVPENSAG WWAPEFEKGSSPFQPIPHRFNSFPSIPYISDETGQKRRRSDGPPALRDAEESARLRWQ AQSRNASFPMATSPAPPSAGPSNSSSGLRGLLHPPQASSAGVSASMSRSSLSGSTTGG RLSPTLEDEPLSFARRPSQTSRNPSLVGGQLSSHFAGLSASERDKPVSSEMPPPLQAA PPERRGSAMLPPLLAMDDNNNRLFPPPSSLSRSASPASDIMRRQSLTRPPSPEMTGRP ELRRSSLTEIIMQKSGDMNMGLTALSNSRFGPSHPHANELGLATSVSMDKSSFSEPVP PLHQTIQTAPPWATRRESTDSIKSSHEYGPGAGPLLSLRGRKRSVTEIRQNQLDEDSE MSTTGGGDPGMRGMEVLLAAAAVEEERKVRKSSEEDEDEPLMKLNNGNGSAEGGGANG GPKYTCAFCAKTFSRPSSLRIHTYSHTGERPYVCKEPTCRRRFSVQSNLKRHAKVHQL GAAAGLQQSNGHGHGHPHPHGPPGLTMGHHGHGQPIHPGMPRAPHSHPGTVTQGPGPG PMYHPGPPPPPGYCPPPPPGYVHPHPHHAQHYGASPGPSGPPGYGPSPPHLPMGLQQA QPPGPGSGPGPGWRSISSSRRTSRDEDASEGEEEVENEEDEEIDELEEDD I203_00681 MNDTFEKISLLQELGVHIPPWYTALPLAARIAIGFVGSVALIIG LNVFRQVALPRNKSLPPMVFHYIPWFGSAAYYGEDPYKFFFECRDKYGDVFSFVMMGR TLTVALGPKGNNLSLGGKTSQVRAEDAYTHLTTPVFGKGVVYDCPNDMLMQQKKFIKS GLTTEALQSYPPLITSECEEFFSHDLKLSKSNPTVKVDLLKTMSELIICTAARTLQGK EVRQAINGKFAQYYEDLDGGFTPLNFLFPNLPLPSYRRRDKAQKAMSDFYMKIIEERK KGESDHEHDMISSLQGSVYKDGVPLTDRDISHIMIALLMAGQHTSSATSSWALLHLAD RPDILEALYQEQVKHFGKADGTFSPMTYEAVKDLPLMDSVIRETLRMHAPIHSIYRKV ISDIPVPPSLAAPAENSSYVIPTGHFILAAPGVSQMDPLIWQNSKTWTPERWLEEKGV GQTAVDTYTKSEQVDYGFGAVSKGTESPYQPFGAGRHRCVGEQFAYTQLSTIIAYIVR HFTMKLEVPSFPETNYRTMIVLPKNPYVSFTLRKQEV I203_00682 MFRPTILNRSIRLSLSSRNQVRTYADKKMTDKAAETFKEAGQAF KSDGAIGSNFNADGAIGSKAQQVGGPFSADGAIGKQFTDEGAIGGTGQKAAEQVEEAG KEGEKKV I203_00683 MNRSGGEAFARLAQQLNRARMQASGGGGRGAGGGGQFPGGPKGF LAGGGAIGVLLAGGIALNYSIFNVDGGHRAIKYSRVQGIRPDIYPEGTHLVLPWFESP IIYDVRAKPRNIASLTGTKDLQMVNITCRVLSRPSVNDLPTIYRELGTDYDERVLPSI VNEVLKSVVAQFNASQLITQREMVSRLVRENLTRRARRFNLILDDVSITHVAFSPEFT HAVEAKQVAQQIAQRAAFLVDQAIQEKQSIIVRAQGEARSAELIGEAVRTNKGFLQLR RLEAAREIAGTLAQSGNKVMLDAKSLLLNVADEDVINSTSKK I203_00684 MRRHIPRLLSSFRPRPSTLSATRPTLIYNEIRCSSTSTATPDPS ESQIPSLFTPSGEPSSSKPFYVTTPIFYVNASPHIGHLHSLLLTDVLARFSRLRRPDR KVIFATGTDEHGMKIQQAAKARGIGEQEFCDDVSERFRDLAKLANASNTDFIRTTEKR HQKAVEHFWQKLVDRGDIYKGTHSGWYSISDESFYAASQVTKRDSDGVIVALESGNEV IWEEETNWKFRLSAYKGFLNEWLSKPESVHPPSVRQHLLSQINSLEDLSVSRPKSRVK WGIPVPNDPDQSIYVWVDALINYLTVTGYPAPDEGWPADVHVVGKDITKFHAIHWPAL LASASLSPPRRVIAHAHWTMGKSKMSKSKGNVVDPIQAMRDWSVDGVRWYLMRVGGSL TDDADYAPDQVEVHYRILADQFGNLLSRISGSKMLKKATRDLNLVTPESQDRDEELDR LLSGLRDEFENKMEVYGVSGACQTVMDVIAATNKLFTDLKPWSAEDGTKAIIYAYHSL RISSILLQPIIPTKSIEALDRLGVPSEQRTWNDAVWPQIKQISTEEVVERLKQGGREW KGKGHLFPLPDRGKQNLAE I203_00685 MTLSLETPQEVLTSPGPFEGPEKLLEVWFAPSVDQLPQSGPSSS SNRPYRTSSKSNEELKGLRKVPKEVWEEMLDIVKCKVLSVVEGDEIDAYLLSESSLFV SPHLIILKTCGTTLNLLGLYRIIEIAKEWCGFTNVWRCFYSRKSFFFPERQQGPHKDW GDEVRFLDTVFGTAGAAYTVGPMNRDHWLLYLTSPNTVPNLPSSSSSPSSSITLPAPT STALQSRSDGPSFQPTKYQDTTLEILMTHLAPSARSQFFNDDSNGTLKSGLELGKDIS AKLGIDQLFSKSETELDSFGFDPCGYSANAVIGSGMPDSSKNPGGYFTIHVTPEDGWS YASFECNVPLPIAGTSTDPSSQEEEAIKRPELKELIRKVVNIFQPSRLSITLFVSTPA TTSTNDGEEGEEEQQTETEQRAWKSFGTDLLGKGFVRKDRIGYEFDGYDLVFACFEKR GWEEPKGGNMTIGDHNGDA I203_00686 MARTVSDPTGGGGRPSNASTSAGGGGRQSIGEPRRMKNTARKST GGKAPRRSGPYNPAPGRSAPGYPRASMAESEGGTPRAKRRYRPGTVALREIRQYQKST DLLIAKLPFSRVVREVALDLSSNEAGDLRWQSSAIMALQEAAEAFLVHLFEDANLCAI HAKRVTIMQKDIQLARRIRGQWHGLG I203_00687 MFRNVPPNPQQQQQSYPPQQYAQQQQQQQQPQYNQQQSQQQQQQ QQQQQHQQAASTVDGGSGSNGGSGQQEMNLASVLHYLQSEWRRWERDRNEWEIERAEM RARIALLEGQRRSAENLKVDLLRRVKMLEFALRQERTKSVNSASGKVSSIPPSKLALL QDEDRMSTGSKDENKEGSGSEGSQEDRKSSGSVPPPSKMNGIHPASIGKSPQPFRQST TDSNSSYSAWKNIGSAPRDPKSRARSREYLKQCLQEISYLTSPGALNPLPPRPPVDPT YPLPESTNPTNPTNESPVDPLERPRKSIPEQNIPSTFASSTTAAAGTTERSQTTESDN RHEQNRSLPNGESKEGPPGQSSDMSLDPTAKPPSSPAPRTVNLPPSDTHTAQQPPPQP MKSQIDTQVPQEQGNNQILTAIYRPDSKAAWREELRNANEMAEKAKEDRKPLTSSSSD EDQLSSLTLNVEEDESKPTDDSLNNGPEDKVWTTRRSLKSHLDIVRAIAFAHGPGVVL ATGGDDCTVKVWGVDVGSVMSQKPSAQEIEPLITYRGHSAPITSIVISSSLSIIFSAS LDSTIRLWKLPSVHHDRYSNYDSSLAIQTLEGHTDAIWDLKLLPSREVNGKKLNGKLI SASSDGSIKIWIKATSSNGEQGGQGGWQLKSSFSDIFDRSKDEIPTCLEICNSDYGNI YIGTNKGRVLLFDTDSDDGRILQVFGEESPDPSSQINAVLSHPTLPAIATAGEDGYIR FYDIKSNSSTPTHSILAHPSPITSLSISPLSPTCILTSSTDCLVRLWDLGKKTCVQDL SGHRERSGEGVNMVASHPELPIVGTAGADGVVRLWGAG I203_00688 MSAQQFYQGGNNNGYPDQGGYQANSYNQQYAPPPQQQRYPNQGG YPQQQQQYQPPSGPPQSQGQYGMKPSQPYAPPQQGQGQGQGYGNNSYHPESGAPPAYV DTAPFSQANEKTGQRLNPKKRLNDPIFLVLFLASLAGFAVVSGIVIKSFIDVGGLGGG FGNGSQGGTGSSVTLDYHTVYLLLIVCALGLALAAVYLAMVRAFTRIIIEVTLALTVI LNIGICIYYFIIKYWSGAIIFLVIALLSVFFYWSMRKRIPLAKLLLQVTIDITKHHPS VYVVVLLGLIVQSALSVWYTFTCIAVYVKWTPGSATCTGTSCSSGKVAGLIFYSTFAY LWTSQVVGNVILCTLAGGIFGGWYYYGPRVPTGGLPKRATLSAFIRASTLSLGSIAFG SLIVTILELIRLILQAVQQYEAGQGDTIGAIVACCAACCVGCIEGMIAWFNKYAYIEI SLYGKSYIPAAKDTWALLKDRGIDALVNDSLVGVALMWGAYINGFLCAVFGYLYLRFT NPAYNTDGQYSAPIILFSFLIGISEGQVINSAIDAGVSTIFVGLGEDPMVLAERSPAL FELIRQTYPRVVEGVPRR I203_00689 MVFQEERREPSQVTGQLYTAAGVAQQTVASIIPSALGGDSILES GKNLEQSGKLQVDEAKNKKALEATIDSGVGKAKSALGYITSDQTRQSEGNKESEKAQW DYTQATSDSIAAIPIPSKEGLQGKLESVQGMVMGDQEKQKEGNVKAEKAAWKDGV I203_00690 MSSTTNRPALSRDYPSSTAEPSKLFRWPGGDWESTKAVREVLEE NNRGYDIYESARFAHNHFPHSALTRYTLGAPAQLIRNTWTLDRPHLVSLDPNDKSRKK EEVKDVPDKIESANWGDERYLGMKGAYARYLTFFHQEIARLGPLETLNKYVFSPSANW ERWKNVNGEENEPPMMIDRLVGGLFHPFIHVGFGLEFNDRVVLAEGLAETAIHSDELN LPLITPQYAHEIIHPSHPIPDHLQPRLGRSLLEIYSILLHSPDLAPVPYDENSSINDR IKYATEGGKAENVRKLAEDWSLTDEELNDDKDGWKRKFEEVAILVTLLACGTGRKVKE LKIDFFLMHTLTSSIFVPTYMPILSIPNRRLLLKAYLLVLLNTAIARGRPAIDPELIM SYDPFPVAPGSKGLVKPQRGAVVGSPDKKDSRNPWMGIVESSLAYPDSHVPKAIRSLV YFAELYGSTRPGCFIGSYLSGGQTHETIPGLAQVDGTVFVRAAGAIMNQMGWTREGQN EGDWEFSPVGYDEVWK I203_00691 MATSSSSYSNIPRSQSLTHTPCPLQLTSTPVRSQSVSTGNSGSI KSERRLSRKLPPAWTESLENLARTSPPTSTRSIDNFSISISPMSKTSQLPIPELEDSV TTQIGGNGDSNENQARPYVLDVDTPQQPMIDKSASSFSSGSTSAMANNHGSSKSTKTI HPTSISMTNQQTHSSSSSSSTSQSVNMGFLKSSSSSRSDILLTPQYHTSKLRDRGSID STNTTSSYATGFGSSRRRRRDGCGSASCETWSLFDEVKFEDSSSTKSKSTGKKSEKKP KETSKEKKERINQEKLLFDEDRLPTQKMLFEASLMEVVDDKGKKVRFGDLVRNRKTIV IFIRHWYCPLCAQYMNSILAEVSLDALEEANTDLIIIGNGSDKMLDGYRNKSFRCPFK MYTDPTLALYRALGLTRQTGDGGDNDSKGDYLVQSAMESTVQTVKRATKMPLRNPGHF TQLGGEFIFDGTLNCLFTHRMTTTRSHKPIRDICEEAGVRLEFIHYEPGLPPPPVHRH SFFGINKPHQQQVGLEGDDWQMERDQTINRIKALKAARRANPAIDLQDTRSRVVDHVK IVGQDLDEREDEVVLGFSALGIAT I203_00692 MASTILPLELVDRCIGSPIWVLMKNEREFTGTLMGFDDYVNMVL KDVKEYEVTPEGITETELGQTLLNGNNIAMLIPGGQGPKA I203_00693 MTNSRPVFGGMFDMMRRNAPSQQQAPGEGNVGQGQEQGQGQGQP QQAGVGRGVGQGPDQARGGPGQPAPPILTRPRAPALLPLKRDGFEDNVGGAPSGQDSI TLGQLKAHAAAMERKHKTQLFDYRYDDTDTLMNELQEFYPYVEMAHIAKQPEKFKGSF DGDWTEAPLKKRKEYIELQLEYLESPVHDTRRAAQGRLLYLLQGTFAETTSPEMQLHW VIENAKAIRAVDGVSTIVVGLTDAARKYTASADDKPQSSSAPPGTVPAQVDPYDDRSA ELMDLLGMLYFIVEVFRTDEAFGDELMAMSPPLPLVLFQMVASLKDRLPKGYPVKKVL LLLWKTLLACLGGMKEVSKARALSRELAGLPVENKNFTKATPVDISTWRRDTAVKYPT FAPPPSVVAGVSNDKLAEGIKPIPARPNYHSTEIPVSQPRQQSTCASATSAPLPGTPA PSPPPSPRPKKQQYQTDPTRPFVFPYSRASAIAPTSLVPFAISEADKLYHRHEYISLG LYQLWQTREECMREERGLGKSGLIGFTTSKWDEDEDEESEEAMRREWKYEEEEMDAIS QGNKEDARLAREKKAAARRLHRVDIIYKNTLPIQQSCVIVLLKLLLATVTSPGATGVN LATGMPQGVASPTQEVPPAEENVPPRTKEEIDIARHREITSKAVSAILLLLLKWFKAS HILKFHYFAQLLFDSNCALLVLKMFGLTDLLNIVQTKNEVEDSNFFRYCHLNCSKSPP SPEDDLLLRQPTKQSPPLASNADTLQNNVPGATEGEVELISEYSWRNFFSTINFLKIL QKITKHRSHRTYMLTTYKSSQILKRMLKVNHPMLQLQILKLIKSQMPWCGRKWRQTNM KVITSIYLNCRPELRDDWLAGTDQDNELEDALPQEYALRSLVQFYNKRHYSAHLASLP SPEPSHKRSNSTSAVTLEDPALHHVHGHVPHGAPHPRTASTGESDVFPPRKSLNHTGA ELPYNPDGMIEFWLHEYEDVLGEVFGGDIMEHSNEVWDEFGLSHPINTNANLRSESNP DENDDEIIVGPHAADRDDRAWNRLTEIMRSGAGTGDRQDEEISDSESVVSVGELGEDA RLGGATFDIDTGKNSDQDRDEDQDEKQRDEDEDEERERDKERNLSIGGPGGRVRRKSG AGENTWEHMSPTLALLPRSPAERRRSSSGGGGSPLRPVIPGKPHEVILGLGEDVFDDE DEIDTRGPMPIRSNTRDLEEREGGAVDEVEYTYGE I203_00694 MSNSSNSHLMKTTKRGRPFVKDTHDLFCTLVVSLEFETHRNFFK SYPNSFTTDDACSNLSSLKFSQSQRAADPKDPTRIITTTTTTTFSMSREMAKAICQHF MDSHLIENATDLDTVSFKERGIYMLTAKGLHILERFVTKNGISADHLIKIFATQPICM KLLHLERRSVDDEFIITRGVIEVLFRRFAGKEPNLTKMSDDEIQAHRNSRFYMKSPPL PPGETFERSDGMIVRKISNMNLKNASGSNSDDYQFPAQMAVDWILDYTSATGTDEAAE ILGQFVRYGFITLVSDKGKSKENSLVVTVRQGGAGGGAGAAMQEAEFRATEKAIYKFT KEGIQVAKWFDSGKPHSDPNASSSKPNLHAQESTSSLNHSNSNTKGRSSSETPAPSQI QRRNSLSDRLRADFDISGVPGGDNHVKDSHTARLKQILEEPALRSLFREFLRANFCEE NLSFWLDVQDFKRRFQTTSSAIATPGSNKNSKTTGHAAMEKHQQDLIAMAFVIYNTYL APASPCELNIDHTLRAELIGYMNQITADKDAGVKGRIEPGIGNTLHASQLQTMVKLYE RIQVYIFRLMATDSVPKFLNLMSTFFEWTEKGEPTNTSSNGSNQNLTGLEGKAGGLSI DSKRAMALTVEDHQPSPTRAYLTISQAANEKQAALLKAQQQGH I203_00695 MAAYRNDPEDPPNSLSLEKILNPLKYCKKADAYDKLFYGRVGYL YDLQFLRSHLPPSILPREISSLPGESVKLILQSGISLSSEVLPDDPPLLRKWHDKTCL GAAHGMLGILTILMPEHRIFHHGDLLTEEDLRMLERTVDWLIRQRDNEGNLPSSLENS GGNNGWK I203_00696 MPYIFDMPNGRDAYDRRSFEAERPDYADIELLKLLNGGGYHKQA QVPMQSENHMSYGYQQRADEEEEIEVSRKQVCPKGSSPSTDLLTMSSLHSTLPSINLS NSNRSLVTPLPNPPFIVDLTHFRHVKTTDHHKQDDFTMNRRYTRMSFNGRPYPPVPPE ASTLARPLDDWSNEDILPSRHHDLQGSMQQGYSQGGRFFGESGPVYPEGDSNMFAFEP ATYVNPPPGMGYHHQQQQQHSVWTPPHANAWSSAFPADFHAPVLHPRLVQLPERPITP HDTYRYPSSHVEQLYVAPPVPEVSIETINAAFAVWYANQVISLLGTPGSFRPGVGGAS DEIWGIGGREKESWLRVGRSPPDYSKPWGRMGMITTPIPAPRKTRQRRPDLEPRDPWN VAWAHSMKPSSTFVNFILDMIQRMTISPTALVSAVWFLHGLGFHEGDGVKGAELRDFL RECRSYELESIEKRVATLGLMLAGKWLDDNSFLTKSWCEVTTIPVKQLDRMERIALAD LHFSLHVPVSSWVDHVNKLYTALITKAIPDEVDLVITPIIDDMVTEARDVEIEDDCQI ASPPTHYERRLSSDELPAAADQAISRDWGSFARTYGISQQDMRDLEVDVEMERAERDV NALVNDEYLSDEMEKDDEEDDEEFLDYDGAKKWLPSMSELKRSGSNSSDTSLSSYDSH GRGISQPTQPFHVPLDLLETPPRQRSYSDWTQASSRSDHSIDWESNDFLYPIAPSDRK RCHDCQNGVKPARPFQHAAYVEPGMELVQPVNYLQESQKGNTNMNIGSTSKRWGKPVG AVQW I203_00697 MYPEAGPSRPSSSSTTYPGNSSIKLPPAPSGSGNGLPPRVSRWD GEYERERDRERDERIGGGYPPSSSSSSSRPYAIPPWQNRAPRPGGSNVYRPHSSSGSG PGSRPRSLTPSPPRRILYERERERERERDRDREREWDRERDRFDVRDRLSLDSPGAPY RSSNGPDGLPPRRVSGYAPSPRDRESSWSSRWRDDRYSPRERERDRDDHRSSAPSRYP PPPPAPRSPPSAPRGPRSGSASASTAQTPPSYSSRLPSTSGPNRSTHYTPNRDSFISR QFDRSVSVGGTGSGTGGPSTSRPVSPSLSASGAQLRMRGSSSPVESKRARDTDGPSSV PTSQIPLPDVKPPTEEHDLEEGEVISPVQATRVPSWSYDERSRRNFTPPRDRERDRWD RDGARERDRDRRPLSPPLSSFTFSTRRNLDDTWTNNNKNRKRSSSNPPARWNEEVDRG QELSSSVSMPSQRSPRKSIGQPEEGEVATVQSVLDNQKQKEDEEEEKKVDRPPTPPVP PPTTQSDHLDSTLDVQDIPSRPDTPSLPPPPADQPPSSSLPVSRSVSVPESNGQTTET KMDVEVEPVRPISANDKENTEVDVQTEQTRSDGEANMTAQVSENDASGDNAIRNDISS IKAGQQGKQKDRPHVEVEEQDIERSQDTADTPMEVDDPLVPELTPSKESPVKEGEERI LTSPQQALPNGHVDIIAPLDNETAPVMSEDTGNHIAQTDPTSPVPAPLTRSLPKEAAI DSASPIQETSSVPETVPFEDQPNLASTIAVSHDKVEYAVVQVVSPATITSDKVAIPET PASPPARRVTIAERRAVQLPSTNGPLSSPFGDGEPRKDPMPTAYSTSTEAETEAGPKT ADIDEADTPIFEDAPEEIKQANLIAAIKTRQTKQTLTFDPVSIIAWNVSAAPTHSSRI IAKSDKDRSVMLKKTTWPLSRQQRIVAKLVASTLSREKEDLAAKSERLKNEYLELDQE WQEHCNFLDSLMEKRGEVPADLYAVPGALPVVTPGPVAPTTPLPEDLYNARGNRRRGA GDAVHTEAEFEAILAGLADTAAKDPTYRANKTSAVVPDMLLDEERRLRYDDDNDLVED PLAFYDFKGNAEPIWTTEERAIFVRRYMAYPKQFGRIADGIPNKSASDCVLYYYRTKK EVDYKGMLASKRGGGKKKTMPIKKGGKSSALLADMDRQIPTVSNEVNTPGRGGREREE SVIPGTSARKDKTVGTPAEGNGPGRRRKATAPSIASTANDDDEKLDSNVTSRAGSEAP STVGSKAKMRVTMKTAKRPRVSSISVINPTRPSVPSTPSARAVVDPMTSIPTAPVTAA MSTPGPAPVTAIAGATPTNESLDPTTAQSELLPPVKRAGKRRKVLAEPSEGAVDPNAT PVANSISTPGATPTGTGATEKPTRRSATNSYWSVEEKRRVKELVLVHGADVKAIAAEL KGKSERQVGNFLEGHRSELVDGNGAPLPPLNLGGIKAEEDKRSLTGRVSPVASLSGSE TFRSAQPTRTIYDAYPSFMSQDRYEPRLGMFPPSPPHAPASATPTIQSGGVPDSSPLK PVSRSGGMRISALLNDDAPAPSSIVDRRPSTAHSNPDTIDAASDGTVDERDLDGMTRP SPRSVAPVPASAASYGRYDQRPDLDRYRSSSSLPAPSPYPSNTTSWSNGTSRNDIYSH RAATTTPVPVSSLTHRPSWSDTNAHHSPHAVPPVTAPVVHRSNSYARAPNGNYDSSQH HHNHHHQHQHQHHGVSPYPPDRSYARDYPHHHHHHHHQQQHHDQNSLPPLKSTVGPPP LMGPGNHTHTSNHGNSGASTHRTYE I203_00698 MSDVETILASGMTTPSRRGWKGTIAVDMDDVLCQTNATIVHMHN ELFDTQPPLTLDDFKNYLYWMNRGWGTPEETVGMVAKLYQGGLYMRAPPVEGAKEGLR RLKDLGYNLIIITARSENQRTGTEDWIAEYLPDIFDEIHFTGAFQHLEPTREEKEGHV ARKAVVSHHKRSKAEIIHNTSSLFLIDDSSENAYDVSTSTYPHEGPTKVLLFGDYPWN AIVHDPLKKSPIEGMTYHEKQEKGLLDGYEDLREQRIKDGWLPPGVERVGNWGKVIEW VESFDNARQE I203_00699 MAFALATHTLAHHFSKKQSHLQSTPASSSSSSSRMISNHPDSDI IPSVIYDSLSTEQTRGRSTERKLIHSSSPSPPASRRASDSDPTSPSGSSLVNAEVVHS HHTLPPTTDEISADPILYLPPLLSPLPEHHIHEHQHNEKEKDQTTQVLVKVVEALKGF ETRLPDIDPASLALHQALHHFKPWDEDYASTPYDEAFNWSALSLPKAIEREWYCVVFR SRRKPESSNLSLYRADREAHEEAVENGGLVMYWYGVPDHTGLNLATCIWQSRRHAIKA ISGPKHMKAMKETEGAYETYQLERWILSKKAGKRHLQLKKWVSGDVGW I203_00700 MFSINTVPPSSTQSFSDDIPSTHRRHPAELEAMDLDEDEFGAGP SRRNIVTPGEIITSSKEYIRGHGTYVEETNVISSVTGTIERVNKLISVKPVRSKYVPE VGDLVIGRIVEVGPQRWRVDANGRQDAVLMLSSVNLPGGVQRRKIESDALKMREFLAE GDLLVAEVQAFFGDGAMSLHTRSLKYGKLRNGQLLTVPPQLIRRLKSHFHHIPPPCGP TGVDVILGLNGYVWVSNGTSQDKREGGEGFDSEGVYSDKNDDIPPEGRQAISTVSNLI KLLADQGIPLTDTLIEESYNWLNQNQLPPGPLAQPDKERMLAEVVGIEVYA I203_00701 MTTEPKDLLLLVWVHGFKGNDVTFESFPERISHLLATTHPSLKV ESRVFPAYQTRGELTAATLAFVDWLTELVVNLENDHGAGGGAGKAKVVLMGHSMGGLL IADAARDIASNTREGDMMWPRIVGILAFDTPYLGLHPHTFKHHLSQAASYVDQARNIA STVGMLSPMALGLGFGKFGKKTETAPEAGPSNSRTPSSGKGKEKETETAVLPNASSAS TETTTTTGCFWSRIPSLATPSTTTMYGLGAAALGAVALGTAYYRREDFITGWKWGYDH MTFVKNLWDDEGMKSRLVAIHELLVGRKIVFHNYYTHLPPCPPTQLVSRTFAILPPMT HPLYEYFDTASNTIAKDEVSAHMGMFNPKTNDGFYDLGLEVVRVIGEKIEEEGVGRSD VKGLDEVLSELDNTESQANEKENGKWGVEKDELGHEVWVEK I203_00702 MNENEDGTSIAYSGNCTKSDSASALRELEQRWQCAEKDLAYCKI QKWDKEFQRALKDCVQSTNIAKASLRGSISTAHVNEDDMEDIAHRQAVHDDDDSLVNG GEGGDKLFDDEFRSLLDVDLRRFIESDTAIGQDEQKDLRRHISTYNHPSVRVSSQANE TYLSLEKAESSYRRLESFLGQKEQNLFDPDNCTRYNKALDQDVDLWDEWISLRKDVSM IRECHKDISSS I203_00703 MEAFDTWDSRLHKVQAEYMTYALPKYDFRSTFESTLTDCIQSTR STKTKLDSYNWVAQSGILIPTNQMEEVKSSVFETEDKYRKFEEVNGGKLFEEVMTPTS PWTLERTENLDKKWKEWLTLTGDALAIGEAKNYFLRQSMMMNGQMF I203_00704 MSHLQNLEDKISHTFTSGQPGTTGREPFEDDRGEGNPFHREQSH GNHRLKGASGTGHHDIGTGSDMIGQSSTGTGNERDINSGEGLRSHEQNPSRVGESGSG TGTGTGLSGTESHTGTDSGLTGTGSHTGTGSGLTGTGSHHHSGAGAGAAGAAGAGIAG AEYEANKHSGSHGSHPTGTGTGTGSGLTGTSGVGTHENRGVDYPTSGSGLGSGGTAGG AGITAGTHALGQGQGQGHHHGTGSDLTTHQHESGLTGSHAGTTGAAGTLENAARRETG SHTGGATDRVGNVGQDSALYERTGAGGPVTSGAAGTDRFDSDRHHGSGVTGSNVPTGS EGTGEHGDENLSRTSGAGGVLGTSDKDFVGRDRKAGAGGVLGAYADETGPFKGNTSGP GGNTALTGREGTNPSEDNPVARAKSAGQEIGSKPEGTSSHPGVAHGVTNQLEGKEGQE GTSVAQEHGEKKGLLQKIKEAI I203_00705 MPVTLDYHGKIVFITGGGRGIGLAITTAFARAGATIIITYTSKD PQEVVKKLTAEYKVPVHVYHCPGEDTTRVNEVIDLASKEVGEVDIVVPNAGELCWNIL IIVMYPELDWIMKTNLYAPITLCRGFVRHWLGMSTAVQSSEDPGKPPAGERINLNKRI LCVSSISGIVNMNPQRQMAYNVSKAGLTMACKSLAGEWAKYGVTINSISPGYVATDMI KDPPPGEGREWAGKWRKDTPVDRFADASEIGQMVVLMCSSESSSFMTGHDLVIDGGKY QVSLLRNMNDE I203_00706 MSIISFHPSRTVLRYVFLAIHGIFSVILLTLGGIIYTTRLFVPS VMSSILTYLYIPASIYIARWNPSLEFLTVGKEVGYLLVQGLLILVLGILSALSTKEEI CTSIKAEPGYFGYSTKPFRCQEKLALAILSFFHIILVLCWLALLFISVHRYRGHKKGQ NDPDGFEIPIHKFLSSEGNREGEEDEEDRHDLTPIESLTNTTKTPFSPFSPGTTKERD EDEIPLEDDPSPTKSNKHTSEPWRISSLPLPDLKFHFDSVRNSGKSNTSTSAATAGGG FGFSFSNPVGSSGKKTSTLLPTLESARNSVKSWKKDDDLESVRRDHKRIESWRISPIP LPDLNLGFDTKSTKTHNPHDKDRFSIKSGLSGLTLEDARNSIQSLKSSNGARDSLKSQ VSTTIKPVEKAYHRSDNQHHLEVPSLRETGGYRSTFQFRPELDTHRDSIETLRRDPKK VESWRISPIPLPNIRMSFDHQKKEAKIVPQTTIGMNTDGDGDEDDIVVIPGSSANKEG NSTDNGDLGLGGLGIGGIHNKRLLNVRNSAQSGFSLQHARQSVRSQIGEVLDTHADNA SANSKSRRVSWGDSYSSLGFGKSTFSVNFDGTGGNGSKRNSALAEGK I203_00707 MARPYNPKYDSVLGPMREPSPPPPSTEAGQHAHAFPHDPSFLAQ LDPSRVGVNDTEAALTHLFGDVVGQSQQDEKSQGNPPGTDEYTPTLASHEVPNVVETL PVPTPPTGTDNNDEPLDIDPSLQPQDDDTGIGSVEGAGTSVPAKRKATSRANMLARGG ACEYCKKRKLKCSAEVPSCSNCKKINKECVYSQKKQRSKIRVLEDRLQELEKRLDHPN LPPSTPHPITNTVETTIEGEGGLSIDTFPSLSTFEIDEPDLMTLADAAAGDANVEFDA WEGLSPEIIVGEIIKAITSGRSHDDGEGKSIGGKIVSHLIHLYVTPPSIPDIHAALSP TALLRRLSDKGDRPIHPSLLLALIPYLLPLSPSKTLHSPTLPTLIQTHGKNLINLAIT NSDPRVIDLATACVLRAFWYYTESKYFEGWMESSLAIGWIRSAGLDKLGYVGERYTSH QQMTREREARLERERKFRFAFSKPVSIPPPKDGAELGERINLFWFAYMVDRGGSFPGW RWPSSISDEEITTPWPKAEYGTDGSLLDNRTILDFINPSIPEDASQDSLEAASVKALT LVFHAQRLFDTPPSVSTPDRTSLLISITKRYISTYGSVEQTVSPVTCTNGGSKNQVWI TLYATLAFLHAREEVDAPKGTEKNHFLKSIEAIGNVLDVVKIMQNAGNAELEGLGLFS ALLLFHLCRLTIKYIERFSSSQPSEHGSADEENRKVLSDLKGMRLGLNNALNTLVKKT RFAVVGSQLLENIALGSEFKTGEYERPDNIV I203_00708 MGKERVAIIGSGNWGSAIAKLAGNNVKKHSDAFDDSRVPMWVFE EDLDIRVDLPPFALVQYEGRKLTEIINTDHENKKYLPDVRLSENIVAVPDLLEAVKGA TALVFVMPHQFLNKCLDQLEGKVEKNAKAISLIKGVGVEGSDIHVFADVIQDRLGIST SALSGANIANEVAIDRFSETTVGYRTEEEGQMWQKLFQTPHFKVQLIDDVAGVSLCGA LKNIVAVAAGFIDGLEYGNNSKAAIMRIGLLEMKHFCQEFFDDVKEESFLQESAGVAD VITSCLGGRNRKCAEAFVKQKKPFDELERDMLNGQKLQGIHTAKDVHIFLKARDRLGA YPLFDKVYHISWEGLPVEKLTEGL I203_00709 MSVAALRPTHEAGWQPAPCTVFTSPLSHTSFGPNPTSGYNESYI RPHSVSVTSGHPDDDCIANTNRNNRVSGDGYEERYGQGASISTKINVFNIERYLRNLT KNNPDSWKDPTIRDQVSQAISIDLDSKEPILKTPSFQVDLPSVESKIYHDTRSETEAM IKSCIRQYQKSKDTKHIMRADEVSRKEISTNKSLVENWLRGEKVFDQPVKTQLNLMNM DKFLKRHKDLSSDRSYREKIQGFLLGQEASVELSYEDSERLSDGSHYENKVASGISKD QGKDGVREWQREKMRGIDKLTRDWYKSSGITDEQWQSELESMEKDLKAKGKLGGSSSG MTDQSSRGSGDGEGDKTKTSLSILNLDTFLENYSELAKDARIQEAVKRTLKGEQIPIS FGSESIATKLNEATRQSSEVLSKFKESEDKEGMKSWQKERMGKMGKMLAKWEEQSGKS MKEWGESGSGSQSGGSSDSSGGPSASSSLPDSIDKSDLFEIELTRYNLPELLSCYTDP NRPGNSSEIQSFLYKGLPPNHPKVKKMYELISQTDQSQKIKLPSRLAKTLSSVQTRTS HDLDSLGGDQSKIKSWKHFQDLKSKAALHNWKYSHKGDDRTPLGKSTTSVPGIHRRPI SDATRTAGRSDKSRIDQIDRFVSDSNSTDTVDDKQWWIMDQSLRSKYGYGKHGMKVDK EDQLRSKRSWNGIESSGNYLDNLNKTYCTDSSTNSSPGDSDTSTARTPLISASA I203_00710 MATSQQISKKRKFVADGVFQAELNEFFTRELAEEGYSGCEVRVT HARTEIIIRATHTQDVLGEKGRRIRELKALVEKRFKFPENSLELYAEKVQFRGLSAVA QAESLRYKLLGGLAMRRACYGVLRFVMESGAKGCEVVVSGKLRAARAKSMKFTDGFMV HSGQPAADYIDYAVRHVLLRQGVLGIKVKIMKPFDPEGRQGPSKNLPDVINMVEPKPE GAIEIRSEHKEPQVQAIPPPAQQQQPQEAQPAAEGQY I203_00711 MKLTFRWPGGSNPVFSSFLGLLDIKLGAEIVLLFGLVNKVAGLY GLITILVGGSFVQLLFYAYSTATLFAFLWGLKVVKSESASPTLLLSHLYTFDHIILTI FHYVFYLNYWYVIPHDGRRTANSQAQQDIIELALSRGEISQPSTDQDNEGLDELRAAL AGEIWEREKVFAGWTLVVGWLIKIYFIILLYSYAAHLKSSTYHTLPLTFRGKATTIAH PTTQEDEIELARAEEAARVSSEETTKNGTGNKGKGKAKGKNNNEEDDFSWD I203_00712 MKLVNKHIEKDGSGYVTLRPEDDEDMWHVYNLISEGDHVRALAV RRVQTLSSTGSSDSFRVKTNLTLEVTKTAFSPAASSSQSNGTGEKKEPTASLQISGKV VEENEFVKLGAYHTLDLEANRDFRLTKTSGWDSIALERIQESTQEGRGAELGAIVCGE GTAAICLLSEHMTTVRQRIEVSIPRKRKGGTSGHEKAMENFLSTVYQAILRLIPYQDL KAVVIASPGFTKDTLYDYIFQQANLTSNKPLLSSRSKWIKVHSNTSHVHGLVEALRAP EVAKMLQGAKFAREGVGLDKFHKMLATDELRAWYGPEHVALAVDRGAVGTLLISDDLF RSSDPTTRNHYVAMVEAVRSKGGEALIFSSMHESGQQLNLLTGIAAILTYPLDIEVVE MEEREEKERLEKEKNGGGDEGD I203_00713 MSSYIDELFGLKGKTALLTGATRGIGARLALALSKAGADIILVQ RNDTNTATKDDIIKQGGNADIVVCDLASSTDVAKLIPHVTKDLGRTLDIVVNCGGIQR RHPVENFPDDDWQEVLQVNLNTVFTITRDAGRHMLESRGGVAGEAVPEGGVDGNPRGR GKIINISSLVAYQGGLNVVAYAAAKHGVQGIIKSFSNGWASKGVCVNGIAPGYIATDM NEALIADPVRSRQILERIPAGRWGTPQDFEGAVVFLASKASDYVSGETLVVDGGWMGR I203_00714 MPARDPATSPHLPTNLPQPQPSHSPILPTHQQQHPQQPRPLSPD PSASASASSFSLRSAESPTPDQQGGTSYFSKVFRSVSISHSQQQRPMGNDLNSDAGIP NVGMHSGRRISNDFHQSGNGNNSHNEDRIGLGNPPHNHSSNTPQSIRDSTARLASLSF SSRNRATSSAGDSSFSHFQPSVSPLASRQAPSSSTSIPKQQPAAVVGKVNKPVNPSHL NPAIAIGQKTSPSSDESNVNGTGVSPYAGQRSGLSMMLERAKEAESTSNSASTSTSAG DMSRRPPSAAASDNEAEGERTPVPSRKPLIEVDSEVVSISSSNSRPSSKHASASSLRR YLADEVAREDVERANANADENTPLLANGNGSEGKKKRSWASQSLSDVKTWKNSLSKLS PKDVAKGIIVEPIKTIPSVVLGLLLNVLDGVSYGMILFPANAVFVDFGSLGVSMFFVS CIVSQLVFSLGGSIFPGGNGSMMIEAVPFFHILVNTFEAVIGDDDKAIIATTMVAFAF SSILTGLVFFALGAFKLGGLIGYFPRHILVGCIGGVGIFLIETGLEVSRGLKEEGFEY NLATLKLFFESGHVIALWTIPLALAILLRIITHFFHHQLIFPAYFFVVPLIFYGVVLI GRWDLDYLRQTGWVFDVGKNTQSWWKFYTYFDFRKTHWGAFWEAMPTQLALVFFGILH VPLNVPALGVSLAEDNVKLDRELVAHGFSNLAAGLVGTVPNYLTYVNTVLFYRVGGGS RLSGLLLAAATAAIMMVGPSVIAALPVMVVGALIFVLGIDLVIEAVWDTRNRVNKWEY ITIWVIAIGMTIFDFVIGLLLGIILACIFFVVQSSRRRAIRTVFNGATARSTVRRPKS QREFIQQVGSQTYVMKLQGFLFFGTITYVESEIRHLLDLAKWQHDPIRFLIIDLHLVH GMDFSSAEAFVRVQRLLAAKDVLLILCGAAPNGLVGTALQAVDLWADREGTRVEVFVG LNDALEWTENAYLTAFYENQRLIDQEASTAQVIDFPKIAKPPFSLAESFQNSPRRSHL VKAGGDTLPRSTFPSPPKPEPSSLPDLSTIQPLQILYQTFEPYLEYTSSSGGEGATKD SDFLHDLVPFFKREQILSGDTLWTQNDLADGLYLIESGCLRATYAYDDHTNLVQETMV AGTIAGDLSTLSEMKRNCTVVAERDCVLWKMDVDSLSKLENENTEVARKFIKIVLKAV AEEHEVLTSHLIAVLS I203_00715 MIRSSLPILIFDLSFFIFSSSVATIIVTILYQQQTYQQPPPQSP PTSASPFAFAPPPLSSIPASGTSRPVLSSHHSEPAGINQQYPYYQQTHKIAGPTYPTY ATSAPMAYRTYSGETERQSPIQPPLTRTPSLSIVPTSSVPSQSSPITASSYSPYAHTR QIPTTQYGTPASQTQSSPRAGVYPSSYYTTPYSQPSSADMPRSLSYPSNYSAPYPTYL PSVSAPSTLQQPPLLRHNTMAASTIGMDMRQNPNLGYSFANRLPLVDRPFKCDECVQS FNRNHDLKRHKRIHLDVKPFGCDKCGKTFSRKDALRRHWLVKGCRGEEGATAPITPMY PINSQPPALSPPTPEHPSISPTDHVTSVVTLPATSSFSHPSAPPNLSSLPPRDSSEPS QIIVTPDEIGQQQQQQMARVVSDMGVPQSLAEPLVIDTSLGRTNSSMGETPDGYFEGV VGLKQDGSAMLDNSSGNSSPFTRFPSSPSNATHHHPYRRPSAMPSPGQRTNQSPIGGR TFAPGSLGADGKPVFAMPFTPSGQSYSLQQTDGLLAPPLETGAKMEKTLSADAMPESW QRWHRPSFPFPAPPGVGYTYDPSSPIDVGAQGFSQ I203_00716 MSDSQPSTGEEGTTTTVITATTHLTLPAHPIPLTYEELNPRSKP EEFFGPIGTFGITLLAPLFTYIFFYTCNDAVGCTPTTVSGWKYAWSLVGNFPSSAGYL WEWKAFAVYLGWYAYCIVCWKVLPGDVIQGNLLRDGTRKNYKMNGLYTLILTLGLSVG VLLNPGGIELFTWLYDHWVPLVSSSLAMATFQALWVYGYSYYNGELLALGGNSGNFIY DFFMGRPLNPHPPFFPSFDIKTFNEVRPGMILWLILNISCACEQYLRLGTITDSMWVV LIFEGWYTVDCLIQEHTILNQMDITTDGFGFMLSMGDLTWVPFTYGLQARFLAFNPVH MGPIYTSVVVAITLIGNYIFRQANNEKGNFRAGKNPKNLEYMTTERGTKLLTSGWWGR SRHPNYFGDWLMALGWCLPTGFSTPLTYYYIVYFVILLVHRQIRDDGACRHKYGKDWD KYCEKVPYKIIPYVNIEVWMRWGERYGEI I203_00717 MSLEPAIKTYHSTLPSPTPNSPSFVFHLTRLVDTLMIWAGTGTP SDPTAMGDGNVVVSEKKLANDWAVAMPSRGNIPVTSTSVYRATANDHALGMSQRLARK FPNNQIHLSLSLPSSMTSQSGPNLDPYASKLLLVMEKKLGSWLSELLEAEKISGI I203_00718 MAELTPPSSHNSTPVRPPADDLPPPSPTAGLGTKTQHPLMKSTS MDVDDHLSDTDSSGSAGDIVHGEDEEDELEARQEGDEIMGSSSGEDELEPGQVSLSEE GGKGKGIETRDVGVRRGKSRNKRSKKQQPRSTAQGSLLTSSKLSRGGGGGGGKKYGDL WESEIVDRWNIEIGDVCSDDIVAISSNPIVSNQSQPITA I203_00719 MSLSDLAEPSSSSSSSSSSSSSQTSVQPTPTRISLTVPTSLLIL PPTAALIGLSIGLVRGGNRSRLRFLAENAHRQPKTVQGWYFYTKTRNYRVFFGAVKTG SKYALGLGGATATYVLLDESIGYVRESVFGPKGVQDPTPGGRGLLEDKERKERVSWRK GGVGWEDGGIAGGLMGLGVGVGYRLPRPLFVRSIIMGTLLGALTSTMQVAQAHIGKMR EQEELKVARTEPKAVAITTEEVIPTFNKTIGDQSMVIDPQREAMPVDQIPIDDDEGKS WFGKLRSKIGI I203_00720 MSSRKRPSSSLSPPPPTSKMFKKSTSSNKAKPQTRHEPTDEFQQ VTLPSFVDRWRVEDLGYGGDVYYQPQFIDSEEAQRWYDHLLGLDTYQPTLKLYGRTFP QSRQIAAYSTTPNSSLTYSGSSITMHHPFPSILEEIRLRLEKDLGVRFNHCMLNRYDD GSVYIGKHSDNLNNLVIASISLGAERKFIMTPRLPSKTSKNSMTKDVKEGLEDRKKIS WM I203_00721 MSVALEHRRKDGNAIGSGLIQKEKGKDISIWSKEYNIINEMTAP PPPIPARSRLRTVSSDAKPLEDHSESVATQFEVPSPVSGTSTDMKIITHRQSSLSLFK LPVDPLPPPPMTPTSPTFSSSIKESPSNDSTFGFGKRKSSKAVERDPLKVRDGLQAKE STSGVYTPLTFPGAQGPRPSHFPTHVYTPTNFSLYPQGDSPASPSGSSSSSQSDSTAP PKTPLSPTGRLSKAVSSNMRRLSSGIVSLKDRTYYGNSSGTMHQRSVTTPMLESGEYN YQGSLSNACNSYETNLGGTGTGHSRESSSSWASWGDMIGNSKPRIPSTLYHTDTSYFP PVSSPISQNVGLGMGIEEGEGTRKTGAIDSSSDPVNFADGPGPRDLGPGSGSLAGKGK RKPVPRLGGGEEPQVHAM I203_00722 MPHITQSPLSSPSLRAIAHPQLRTSYFPPVPTAPHAYHGRVPPT PHSSLNVVHHSPQPNLGSGLVGCLKHSQHISGRPLPVREAEYIDVGPYHRGEAIKPAM KSINGNEKRRSSLKISQIPIGHSHILAHNVSSPGHRGLHTSSTQQARMVEDAIAHSNQ KRRPSFKIELPPRPITQQYLHQTQGSHQQLTPLNSQPHSAINVNADLHQIPSNGYNSP PIFRDPFTAQNNATTYDYPAGGYMILRAPTKVPHRFMDDFPSPTSTHPPTQEEFVYGV EGIGLGYSLNKRVATPWLRSKGDEEEWLRADDLEGLEGGRDIETRVRGLAIA I203_00723 MSINQEQHSSLATLLYPPGTDARGQEDAESHLLDYLEHDYPHTE TGEVSTHHVRTDQPPLAPLPGEKPPPPTGTSGVSSPAQHRTPQPSFTAYQPGEIPRSR LERSTSPLNPTIPIPNTLARLASQTISNLSLPDPTLLKGQEEIEQAALLADQYDRGEM GGKRRKLPHERAGWAEMDQQQQSSQSNPNGVKRRRTRKSDHNAVGTEGQYPIHVESLS STTNNQSNLRNDKPPSNGTGNGVDPSNASKYENDFRQLTELSHTILNQDIDKTFDSLA SSSVNAKDQAQPGSISASAIDPALSASPHGQSEGTSRDVEAQAAQESPDPKLSRAEQN KRAQQAFRRRREEHMKKLERDSAQLELVKRQMDQKDVLLRDLVLALESSKIETAALRS SIRFLIPHSSVFPLTEQGTLNLGEEVKINNDTPVSEEDVLNAFELLEKQAREVAKRNH ARRE I203_00724 MEPPLTLIINQISPPSLIPNYLPSTTAISPTSPVPPPPPPRDFH FSPTPNYPTPYGNVSLGSTLDLDVSLENTHVGKDDVLGVRMMLECQGPGGRFRLGEVI HSNLTDVKADDTDEPRRDEEQEQVDKLSILRYGESVSLKIDNEIKDLGVNVLIVSVAW ETLEGRKTFQRFLKFNVIPPLSIKTRIQTPSNPNTLLSPTRREQVYLEILIQNVSGES MILSNVFLEPVKGLISNLISEKDQEEQKEQIILLPEDTRQFLFTLWPDPAPIQSEDEN GNGKSKFSFPPVYQPGTILPLGRLDVTWLSGIYHLKGRLQTSTLNRRVPIPPATAQQQ HQQRGILPARTLSSQSTIPTHSSPLSTPQKDRLGVNGANANSLLAPSPIKGTLNTRDV REEDQWEFDLTLSDDQREFEAGSRFSIGFRLGVRSTKYIYEGSSRRPFSTSTSVSGPT SKEEIEKQQVDDDVPLSRISSRADQELPPPPSPRIAIQCLTLTPLAPATQQSVGGPQI SILSPSRTSTPLSRAPSSNTTLVDRRPFSPLSNTGAGAGAGAGAGPSRPMTPNSISSQ LRQAQSQGLGLASNQGSPRIANRSGDGTPFSSDTNTSNNNQIVIDDEQVAEGQDVFPP SPYILNGAGTQKTDGIVNIGNSMKLLEVKPLGKVIQNIGQLAYLIDDNQDENENETKG KYKWEVEYGFNLDFIAFDQGLFDLGGLRILILNDNSEDNKIDGKVLKEYNSLGGIYII G I203_00725 MSFIAFRNALRAQLKPSFARTFASTPSAFAPTLKERLTELIPKE IENVKAVRAAHGNKSFGEVTVDQAYGGMRGIKGLIWEGSVLDAEEGIRFRGLTIPEVQ QKLPTAPGGTEPLPEGLFWLLVTGEVPTEEQVKGLSQEWAARAELPKFVEELIDRCPN TLHPMTQFSIAVNALNHDSAFAKAYSNGVHKREYWKTTFDDSMDLIAKLPNIAGRIFR NVYGDGKLPAIDQNLDYSANLSNLLGFGENKDFVDLMRLYITIHSDHEGGNVSAHTGH LVGSALSDPFLSFAASLNGLAGPLHGLANQEVLRWVQKMQAAIGKEPTDEQVAEYVWS TLKSGQVVPGYGHAVLRKTDPRYTAQREFALKHLPNDPGFKLVGQIYKIVPNILLEAG KAKNPWPNVDAHSGVLLTYYGLHQQDFYTVLFGVSRAFGVVSQLIWDRALGMPLERPK SYSTEAIKKMFEGK I203_00726 MIVQLQNPDDPQAHETFQKIGQAYETLSNPNDRATYDSHGPDGP PRGGGMPSDMGMDMDDLFAQMFGGGGFGMGGGSFEFDMGGGPSRRRKPAKGRDTVVPY DISLEEAYKGKKVVMNLERDRICSGCKGSGARAGVEPKECGNCEGKGTIFTDRHIAPG LLGKVKSPCPSCHGDGKKIRDKEKCKKCKGLKVTKEKKRIEFMIDPGTEDGERIALRG EGDEEPDVPAGDIIFLIRHLPHPSFKPQPHSSGGLSILISIRLSESLLGFSRILFIHL DGRGIHVDSKKGERIIKPGSVYTIKGEGMPIRGTSRKGDMYVRFDVEFPSVDWARSLA LEDGTEGTKVELPGKKPDLKIEGEVVKRELSAKPVN I203_00727 MVDSHHKKGKGPSTKSKGQAQSAKQPSKPININPNEPYKMRITS GGSISSYVDFAINFLNDNPHTPLVLHTLPAPQPNQKNTNTVAGPSTSTSKYSTTLLTC TTNIPRLISVVEIIKRSYIEQLRSSGDEDTKEKGKGKMRMSRGIWQYTESALYHPPIP DPETESEEVLSAEMNGLDRVLKGKLRPRMTHHPYLTITLSTIPLGLEQKSNVSVQYTL VKTKNEKKKKKKKGNKGLQDQTDEREEQEEEMVIEVNKKVNEMNKKGLPKDESQITNY KKGVKRISDTEDQNKIGKKRKITDNSKDTASEKV I203_00728 MSVGQIDPGQAVYAQDENGRPFIIVREQGKKVRTHGLEAIRSHI LAARAVTNIIKSSLGPRGLDKILISPDGDITVTNDGATILGQMEVDHQIAKLLVEVSK SQDDEIGDGTTGVVVLAGALLSSALDLLDRGIHPIRIADGYEKACEVAVQELDRVADK IEFSKEDTTNLLKTAKTSLGSKIVSIAHDKFANIAVDAVLSVADLARRDVDFELIKVD GKVGGSLEDTSLVKGVVVDKDMSHPQMPSTVKDAKIAILTCPFEPPRPKTKHKLDIES VEEFKKLREYEKEKFQDMIKMVKDTGANLVICQWGFDDEANHLLMQNELPAVRWVGGP EIELIAIATNGRIVPRFEDLSADKLGRAGLVRELTFGTTRDKMLVIEECANTRAVTVF VRGSNKMIIDEAKRALHDAICVVRNLVKDNRVVYGGGAAEICASIAVSKKADEIPSIE QYAMRAFSKALDAIPLALAENSGLSPIDTLADVKSRQVTEGNPRLGIDCLGKGENDMK TQHVYDPLISKRQQFLLATQVVRMILRVDDVIGE I203_00729 MAAPQTTLGADLEPPTTDLITLTRHILSRQRALGEEASGDLTML LIAIQVTSKYIASNVRKARLINLVGLAGASNVQGEDQKKLDVLSNDIMVNALSASGKC SVMVSEEVDEAIIVGGSKGTYAVVFDPLDGSSNIDAGVNVGTIFGIYKIADPSKASVA DVLKPGRDLVAAGYTMYGSSCNLVLSTGQGVDGFTLDEALGEFILTHPDIKIPSRGKI YSFNEGNSLHFYEPTNKYLESIKYPSNGKPYSARYIGSMVADVHRTLLYGGIFGYPDD KKSKDGKLRMLYEAFPMSFLTEQAGGVATTGTQRILDVVPKNIHGRCPVFLGSKDDVE DLKKFYAAWDGEKRW I203_00730 MAKNPTAKLQEPTKDEKKGEEEVAAQVEKPVVEEPVLTVEEEIL NNITLIGRAVSTIEPRFTIRVLRTLTTLRKKLNKAVLKNVLDQAFPKGSKTGQSLIAN SIFSSLPSSSSEESMEVDPTDSTTTTTAGDTAATTQKKNFSSPLDNSGNDLIPEGIIY LRLLLILLNLDAGKVVEAGEFALETTEIISSLNRRTMDQIAAKVWFYLARSFELQGRL AELQPYFLAIRQTASLRKDETLEVTVINLLLRSYLASQQYDQADKLIAKSTFLGAANQ AQTVRWLFYAGRLRAIQLNYAQARNYLQTAIRRAPKDEVAPGFVQLIHKYFIIVVLLT GVIPDRAMFRKPVLKQALLPYFQIVQAVRIGDITGFEKAFQTHEQVFLNDSTHFLILR LRHFVIKTALRSITLSYSRISLADICVKLKLDSEEDTEYIVAKAIKDGVIDAFIDHEK GFIVSKQIKNVYETDEPTIQFNKRVNFCTQVYNESVRAMRYPPNAHRKELDTAADARE RDREIAQLIQENENDADDMDDMGDL I203_00731 MKFAISLSLFALAALASASNVIDLDTKNFEEYVGGDRPALVEFY APWCGHCKNLAPVYEQLADAFPSDKVVIAKTDADGVGRDLGSKYDVKRFPTLKWFPKG SLTPEDYSSGRDLEALAGFVSEKSGVKSKIKPPPPPVAVQLDASNFDDIALDNDKNVL VAFTAPWCGHCKNMKPAYEKVAKAFLSESDCVVAQMDADAAPNKPVAAKYDVRSFPTI KFFPKGSKAPIAYSTGRSEQQFIDFLNEHCGTHRSITGLLSETAGKVLTLDTLASNFF RASLPERPDVLGKAREYLATLTGADKKTNTSAEYYVKAMERVIEKGEGWLTKEQARIA GLLASPSLAPTKLDELKIKANILSSFAAQKASEAADAAEDIYEQVVDAAKQVPQQAKD GVDQFADAVQDKAKKIKEEL I203_00732 MGAPDRTVGLPYHLAYPILSSVLLAIAAFSGADSVWYVSVSTQS QVIRFGSQNYCGYNVSNLVITGKLGCIFRGFQYQIPSGYFGFPVPNDINLNTSKVAVT SVVAFALVLITGAHHAYTIRYSFRKSPMPNEDKLYSLAMIHFISVSVCFLFTWVSFIA QAAIIGHAVSQSNNIVTSDDNDDLGNGVAIYWGQSVWLVLASAVIHFGWGYEAVRWRV ALIK I203_00733 MTYSSSGLPPPAVFLHQEALHAIPLPPLASWLISLRKPEHLVRL NDLLQRYKTPQSYHRVDVRLIESSELPPGSPDSQVEELGEDRKVRKGSRDIVELDEGN TLEGYWWSRNGQGQPTEPVDHDQRMTVEGNESRMDKIDDAGSGSRQNITSQPHTETLK KEQERSDTKMDTTTPSLTQTEEALKALRLPPSPSEPPQSPIPTYPLPPIGPTRKVREL RLDLRTLDAAALFALETWRREELGLEKLNMEFPDSVWYKDPTPTPSPSPPPKSIYTST GKKRGRPRKHHTPIIVNPEDEMTETGLGLQFGETGGEAQNGMMTEEQTKGYDHLVNTP QTNQEKDGNIGLQGETQSTINVPDILETFVELAQKGEEVEKVDVSPPDLDDDPVRSLE DDPVNPEAKTGGEMDLAQRQVQNEEEAEVGPSRATPSSPSPDILLNDIFNEKEDDDLD FVPPPSPPARRPRPRRNRKSQVDENAEEGEKGRVDFDMGVVETHQHERRTKSPQFIVD KTSKSRLTSKSKSVSVEVIMLPEIPTKTLDHAKEQAIRSPSIELLPNQPELQKSAKRP RGSTTMSASIALRSPKRARIFTDPPTMISKTSPVAKRRAGFVVEIPSRRAVPPDSEDD VDDEEEEWGFLRSFG I203_00734 MSQSFAVPLANTRNVTIVAHVDHGKTSFADSLLSSNNIISSRMA GKLRFLDSREDEQERGITMESSAVSLRFDMNRIGPGGIASTSKHLCNVIDTPGHVDFA SEVSTASRLCDGALVLVDCWEGVCTQTIAVLRQAWVDRLRPLLVINKIDRLITELQMS PSEAYHHLSQSIEQVNAVMGSFYASERMEDDLRWREEREKRLAARKSAQEEQNDINTE ENDELLDKEFEEKEDEDIYFAPDRGNVLFASAIDGWAFRLGKFARLYAEKLKIKESNL RRVLWGDWYLDPKTKRVVGRKKLVGRNLKPLFVQFVLENIWRVYDTVLNQHDPEATQK IVTALGVRVTPRDIRSKDTRNLLNIIMQQWLPLSTATFQAVIDVIPSPSDAQAIRLPF MLHPEKAAASNTALTASNEMEKGLYGCDQSEDANVVAYVSKMFAVERSELPEFKPKEM TAEEMRQRGKEERERRAALLASRQEIAGTPNGVPLPENGGEDLMKPLDAISLIDKGTE TPLIPIDESSEVLLGFSRIFSGVLRRNTPLIVTLPKYENELGPTHPRNAKHIFKVEAR DLYMMMGRELVSVEEVPAGHVCAIGGLERVVPRSATLWAPNAQGVEDLKEDTELVNLA GVNLLSAPIVRVALEPENPSDMPKLIKGLQILNQADPCAEYLVQETGEHVIITAGEIH LQRCIKDLQERFAKCPIQQSAVIVPFRESAVKAPDMLPPKTAGAPRGTVNGSILNGLV TFTIRALPLPPAIIEFLLSHISTVGSMLVQKRDQSNENEEDQEERDAGEGGAEQSRML TPEQFWSELEELMNKAGGDWADAADRIWSFGPKRMGANILLDPAGKSQLRARAELRLL RDFENSIEAGFQMATFQGPLCSEPVVGMAWVVENVELNKEEFESEQGRTAAVTGALIS SIRDACRAGMLDWSPRIKLAMYTCDIQASTDVLGKVYAVVARRRGRIVSEEMKEGTSF FTIRAMLPVVESFGFADEIRKRTSGAASPQLIFSGFETLDQDPFWVPTTQEELEDLGE KADRANVAKGYVDGVRKRKGMFVEKKIVEFAEKQRTLKK I203_00735 MYITKSLKAITTLLLATSTLAQDDNNNDGESDRDSGNTGVVSST ISTTSTSASASTSSATTSEVASTSTGTISSSSISTASSTTSSTGASETSSTVSLKLAK KYVGEDFMNDFDYFTDDDPTSGYVNYVSKSDAQKSGLIDVQANNVFYMAADSTNVPTG RGRDSIRISSKSKYADGVYILDLNHMPVGCGTWPAWWTVTKSGWPKGGEIDILEGANG LPLSGSAAYNATTGLSANPDPMIYNAAALHTSDTCALNGQTYMNGQIGEWQCSAYFSG NTGCGVKMTGVTNGSYGGPVNGVGGGWYAMWRDLENSGGIYVWFWPRNSQTVPDDVKN SNTATTNVANWGIPNANMTVPCRDNFNNHVIVFDLTFCGDYAGATYASSGCPGSCATF VRSNPAAYSEAYWSLNSLRVYTANGKAASGAGLSGGAIAGIVVGVVAALVIAVLVYLR FKKTRKNRQMVEEATLTEEPIPPTAKGPYAFLASRKPRVGPTKLAPGRTAQHFLHGET PTAVYGRTPVGSDSDIKLAEATYRPHGGNSSWIG I203_00736 MSSSNPLDPTNLLKLIPQLLPRSTSSPLPHPTDSVAILVHAIHI ALRFRLVQSSTATASTSTSTSGPSSSAAAGEEAVSGEDVDDGASETTTAVDAEENESP PQEGVLQEGWNARGEDSYSFLYRHEQSSLAFRTRIGRMGNRVQVDAMAEDGQPHNLSI VLSDLVDSSRFPIPSSATSSSHTDPTSADELAKIWGYKSITSNKDLVEKYKTSVIARL IPGLHIPGYEESRSTGSDPPNPPPSGQSSHAPPARPQPPTNPLIDPLRDPHPSNPASV GRRDLDPLASFLPPGSFNPNRDGGGMLVDFNHPLFDRRRQGLDPDFSGPGGFIQPPGS RWDPVGPSSSGGNGRFPGPGGNPLGGMGVGDDRWGDELPPPGEFGPDLGRFGGQGNRR GGPGSGPGGFGGLGGLGGGRGGGGGGGGFGGGGGFGGGGSMFM I203_00737 MAAWTGSPIIVEEAFNQVWKSTVEIQPSFYFPTSQNINLPSPPL DSPVSSTEDDLATAEILARIKFGTSSPSLESRKRSLTSSNGEEDEDKHAIKRKKESSV ESVVSGSTNGTAGGAAERWPPRKEFVYLTCVVAIPPVGRQKLPLFGKPCGRNEIIAKV VTMATGEGCSRKLISSHAQVLKGRKELSKQLRDLLTTEEGKNNDDEVSPTVYTLGAEW NFPKCLNRLIGLPENLDLRTACTPPLIAQHFVDVVKPPKSSTKRERATPANKNKLTIK TKPSKSLSPSVFSAGTTSPSMISNSDKTDETDVHLPTPRSSYLPSPYLLGTPTPLSYD DRKPSLPSPGDLFSPNYKMSTPSRINISDYSAPPTSIPRRPATANAISSSTTRQLPPP ESPIASRFMVDSPFRTPLSSARRTSESHLTASLGRSSYNTFGRDCLKIMGDSNRRISG VSIEDMKRRERNQQLC I203_00738 MFSSKITFFAVLSLASMVLGAPVASPDGGSAYTGVGGQASGGSV QRISEGGLLNLDILNIGSDNAGSGGSADSGSALGGAGGAGCTLGDLLSGGNGGSAYTG AGGQANGGNVVEQSYGGLINLHALNIGSGNAGNGGSANSGSAAGGNSGCFL I203_00739 MAGAIQDNLFIGRRAQELRGLLKIKYPMEHGVVTDWDDMERIWG WVYGEGLKALSEEHPVLLTEAPLNPRQNRDVAAQIFFETFNVPAFFTSVQAVLSLYSS GRTTGIVLDSGDGVTHAVPVFEGFSMPHAIRRIDIAGRDMTDHLQLLLRKSGYYLHTS AEKEVVRTIKEKTCYLAINPAKEEKDQSGAWEEFRLPDGKVIQLGVERFLAPEILFNP ELIGQEYPGVHQVIVDSINRTDLDLRKSLFSNIVLSGGSTLCTGFGDRLLNEVKKLAL KDVKLKIYAPPERKYSTWIGGSILAGLSTFKKMWVSADEYKEDPDIIHKKPF I203_00740 MGKLSEFLSSSSSNNDPVPPPPPTYNESKTPSSFPVPGPPPTTF SNEPPPHFPHLYGCLHLGRTDRVRLIGLPQNAVPAVEEAIRRVWMAGIQKSEAYYKGW EWKLSGNPWYGQGAEAIYSRRLMCHILHALSAIGWDIHMSCDLTKKSFDKDTLILHSV QPRQKYYFSISFNESDKIRIIDPPDGRVRDAFLRAVQTWPLGIQAQIEKEPGATQVKL RGNPWWTSSGDQVVEARLLVCTLLSAMESVGFELVSSVDMSRGSGDNNYDLDTWFFAT KL I203_00741 MGENTTERGWMKIGHGDSIAYKQQLNQWEFNQSILSQHHENYGL PSNLEELDEDQLDILKDLISHSSSSSSSASSTQVSVSSIKPVSPIFEEVLHIDLFIKE CPITCKNFKHLLLGDKGISKISNKPLHYKNVRIHRLVKDFIIQGGDITRNDGSGGESI YGPKFNDEKPGLKKQFGYGTIAMASGSSKNSNSSQFFICLIPNIQDGDTKEEKERKKK QFGKLDGKYVVFGQVSKESLGLLAKLNALEVKDGGDGLEGCWIDDCGIV I203_00742 MSDPAFTQQVAEKASTIALSPEKEAEYERITRSLQEYTGGDIIR KVLADGETVRAYWGTATTGRPHIAYCVPLVKIADFLTAGVHVKILLADLHAFLDASKS TLQTVQYRVKYYSILLKTVFTVLGVPIDKLEFVTGTSYQLKADYTLDVYKFHALTSTR EAEHAGADVVKESESPLMSSLLYPGLQALDEQYLDVHMQFGGVDQRKIFMYAAHFLPR LGYAKRAHLMNAMVPGLSGGKMSASDPKSKIDFLDTPADIKSKIKAALCPPGEVENNG VLAFIKAALIPVQALRNEQASNKGEKAPVGEGSFVSAGAPEGTLFSITRPEKFGGDVH FSSYEELEKAYVAEQVHPGDLKGAVTDALINLLAPIRKAFEEDQEWQEVEKLAYPDTS AAPAAADKKVKKKDVRKSAPTEEERAALRAAKEKEKAEKAAAKATAEGNPLKSADLQK SSQAAAEASPASTVASGSGLSSTSCVTSTNLPKLKLLAKGKVRDIYALPAPEDQDKLL FVATDRMSAFDMIMNNGIPSKGITLTTLSLFWFDKLKHIIPNHVLTPSPASCLASPAD AWSEFPRSLDEYRDQLEGRSMIVKKCEVVKIEAIVRGYITGSAWSEYKKSQTVHGISM PAGLVESQKLPKPLFTPSTKADQGEHDENIHPDKVKDICGPELAEQIEKVAIQLYTEA SEYALERGLILADTKFEFGLLPDHSSPNKTQLILIDEVLTPDSSRYWSSSEYVQGKPQ ASFDKQYLRDWLIKEGLKAKEDVTLPLHVVNETKKKYEEARDRVMGLGEFGKHGKIGV KAGDEDVGLQTDQVEDAIQAEARDKVTSGKHGKIGVKAGDEDLALQTDQVEDAIQSEA RKL I203_00743 MNSDITRKIQITNRAFLKKWAPAETLPIFGIVGIAVGGASYYLY RLSQGPEVVWDRHGDWRPWDKITHDTNQKLITVNPEFWEKRRQFVKEQKANSERVVDQ I I203_00744 MSAPPGFGNLPPPPGFAQQQPNGEGEDSRMDGDFFGQLSQDEIE KKARKWRQSQKRRFDTKRRQGGGGGIDFGKADLPPEHIRKIIKDHGDMSNRKFRNDKR VHLGALKYVPHAVMKLLENIPMPWEQVREVPVLYHISGAITFVNEVPQVIEPVYHAQW ASMWLAMRREKRDRRHFKRMRFPPFDDEEPPMDYGDNVLDVEPLEAIQLELDEEDDEA ILDWFYDPKPLLDTPHVNGSSYKYFQLSLPQLANLYRIGRQLLSDYSDNNAFYLFDKK SFFTAKALNIALPGGPKFEPLYRDTEAFDEDWNEFNDINKVIIRGVIRSEYKVAFPHL YNSVPRSVHIGPYHEPKNVYIKTDDPDLPAFYFDPLINPISQRVVQEAHTPLVSHEDA VFGFGNEEDEEFEFPDELEPFLDTKDLSNDNTADAIALYWAPYPYNLRSGKTKRAQDV PLIKNFYLEHCPADQPVKIRVSYQKLLKVYVLNALHHKRPKAMAKRNLFRSLKNTKFF QTTNLDWVEAGLQVCRQGYNMLNLLIHRKNLNYLHLDYNLNLKPIKTLTTKERKKSRF GNAFHLCREILRLTKLIVDAHVQFRLGNVDAFQLADGLQYMFAHVGQLTGMYRYKYKL MKQIRMCKDLKHLIYSRFNTGPVGKGPGVGFWAPGWRVWLFFMRGIVPLLERWLGNLL ARQFEGRNSKGTAKTVTKQRVESHFDLELRAAVMHDILDMMPESIKANKAKTILQHLS EAWRCWKANIPWKVPGMPAAIENIILRYIKSKADWWSSVAHYNRRVFSERIRRGATVD KAVVRKNLGRLTRLYLKAEQERQNGYLKDGPYISSEEAVAIYTSTVHWLESRKFAPIP FPPLSYKHDTKLLVLALEKLKEAYSVHGRLNQSQREELALVEQAYDNPHECLSRIKRL LLTQRAFKEAGIEFFDTYDKLIPCYDIEPVEKITDAYLDQFLWYEADKRGLFPNWIKP SDNEPPPLLVYKWCQGINNLTDIWDTSDGECVVMMETVLSRVYEKVDFTLLNRLLRLI LDHNLADYITAKNNITLTFKDMSHVNAYGMIRGLQFSSFVFQFYGLVLDLLILGLQRA SELAGPPGAPNGFLQFRDSETESRHPIRFYTRYVDRIHILFRFTSDEARDLIQRYLSA NPDPNNENMHNYNNKRCWPKDCRMRLNKHDVNLGRAVWWNVKSSLPRSLTTIEWEDSF CSVYSKDNPQLLFSMSGFEVRILPRIRTQHGEQYSLKDGVWNLTQESTKERTAQAFLR VSDQGVNDFNNRIRQVLMSSGSATFSKVINKQVYFHLWNTALIGLMTYYREAVVHTNE LLDSLVKAENKVQTRVKVGLNSKMPSRFPPCVFYSPKELGGLGMLSMGFVLIPQSDLR WSKQTDSGGITHFRSGMTHEEDQLIPNLYRYLQPWEAEFLDSARVWSEYAMKRKEATA SNRRLTLEDLEDSWDRGIPRVNTLFQKDRHTLAYDKGWRVRAYFSQYFRLRNQPFIWT NNRHDGKLWNLNSYRVDVIAALGGVEGILEHSLFKGTAFPTWEGLFWEKASGFEESMK YKKLTNAQRSGLSQIPNRRFTMWWSPTINRANVYVGFQVQLDLTGVFMHGKLPTLKIS FIQIFRAHLWQKIHESVTMDLCQVFDQELEALNIETVQKETIHPRKSYKMNSSASDIL LFSSYKWQISRPSLLTDNRDTFDGTTSNKYWLDIQLRWGDFDSHDIERYARAKFLDYS SDSQSIYPSPTGVLIAIDLAYNLYSAYGNYFPGMKPLLQQAMAKIMKANPALYVLRER IRKGLQLYSSEPTEPYLNSSNYSELFSNQIIWFVDDTNVYRVTVHKTFEGNLTTKPIN GAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQVI VTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQATLKMEKFGDLILRATQPQMVLFNLY DDWLKSISSYTAFSRLILILRALHVNNEKAKIILRPDRSTITESYHIWPSLSDDQWMS VEVALKDLILADFGKRNSVNVASLTASEIRDIILGMEIAAPSVQRQQMAEIEKTTEAQ SQVTALQTKTTNIHGDEIVVTTTTNYEQQTFASKSDWRVRAISATNLPLRVNHIFVGN DDVKDDAGSFTYVIPKNVLKTFIVNGDLRTQVVAYLYGTSPPDNPQVKEIKAVAWVPQ RGSNNGVELPATLPKHDFLLKDLEPLGWIKTQSQELNHLSPADVTTQAKIMANHSEWG PQSICVTCAFTPGSVSLNAWELTVAGFEWGRKNQDVTGQNPGFNPSMANRVQLLLSDR ILGMTLVPEGGVWNYGVGLTQSWSDKLPYSMTLDKPESFWAPCHRPNAFLNFASMEGD DAADVENSLE I203_00745 MPRLQTYKDRPVVLLTNDDGPPCASSPNIFSFCKLLQSRLGWDV RVVIPDCQKSWVGKAYAISDVISASYFYPLEPEGLTGDITTTPRSLKEGETMEWILLS GTPATCTNIALHNLYPGEIDLVISGPNHGRNSSTAFALSSGTLGAALAGALSIPLPGP SNGEPSLHTDHIPCIAVSYGVVTRPVTSRVLELATDAAVDVCEKLYDDWGWDEDHEKG RHLVQVYSVNIPLVEGDLEVGKRKTAWTNMWRNSYGRLFKATTLTRANYDPGDNYNQN RSCPSSSNNQPQNTDIPPNASTTSTAGPGALPTPAPSSPTVKHHDGQLRFHFAPNMKP LLFPPVESLPVGSDAWAFAKGYIGVTPMRAQFAGPIEGGYGFGREENDGKKAGSLWE I203_00746 MKAFQVKEHAHPSKISVSDIPIPKPRTNKGEVLLDVHAAGLNFF DILQAQGKYQTQPPLPFVLGAEVAGTISKSASIPDDCPYQPGDRVAGYAQGAYAEHVI VNWRNLLPIPDELSFEEAATIPLTPTTSYVALVDRARAKAGEWVLIHAGAGGVGLAAC QIAKVLGCKVIATASSPSKRKICQDYGKADEVVDYTQKDWQKEVMRITGGKGVNVVFD PVGMIIPSLKCVAWNARLVVVGFAAGSIEKIPANLLLLKQASVMGVYWGGTAVKDPSS VFRVSQEVLSLLSEGKIKAIIYDKPYIGLDKVSEGLKDIESRKTWGKAVVTINKAQKA KL I203_00747 MFGLLQPSGQSSYSGNTPLHSPPVHKVQSLNSQTSQHVSYHRKR SSAASTDRPRRTSPINLPQYPLMTRPIRDERRLAPLPPLSHTSQPGLSLTFLADAALA DARGPTSLPHPHPNASFTLPPISSLIAPLPTPPAANPDSVLSTTATAPYLNSSGSIGH VSMHTPPISRSHSWNANPALGTDQPVNGWSTTTTAGGPSSAHMTRQGPFPPPPVSQRP LKREKQRKQTQSRARATTSAVQLEYNVRPYNRMTASTSSVAAPSRQHSTAHAATRTQP SRSSKAKLPHPLQGLTLDKTYPERKVEDWHNDAQNLLRPPRPRPNPIPPTSLNHHSAA QSLNPPPPPPPPPPPPHSLTPTTGKKIHPPVESWENYTLPQMPGGPPCLPQFQPVPAP VYPRPSARRHQSCTSVSGTSWNSNNITNAHTHNASPGQDHSYVFVTENPEVFTRSRHR APPISDNIRPAAYAMQRIQSAHTTQYTHTRLPVAKTISEPNSPNGKNEIKEDGQIGGS LPTPITASMDTRWNTPQLEVAPSSTFRHEGLSLPQVQTPTPPLLEPISSHRTSPIVPM EADRQSTSPSFPPTRDITPIDQEMRNYPNSPAPNICAESPCGSFRPPESVGQPELEAG ESSYSESNSEPVSEDNQDQVDVDGHDRDVEIQVRSCGDGEEEEGEGESYSNFGRSSIP NGSSAISSNSSVRARSSSQSTHTSEMIKYEHPSSGSSSASSPTSTTESTSCFSPGKTA SKKPRTKSKKVKKSDTAAKGKGKGKGRSNDNRSTSTSVSANSTANGNGNGQRRNGERR REQNAVAQKKFRWKKKQMAAKMEADLESATALASSLQKQVAEKDQLVNKLKGEVGSLK RKLKTLEA I203_00748 MATATATMDDLVASLGGSMHVSPDLKALQEYLAQNIVRPSSSIP LSSPGISFRPIPPSRSTSSTRKPTSLPSSYSYPDSNMDMIPQAYPSPISQHNSFFGSS LYQDDTTMNVSTPNLQRPGGPLRRSSSYGFGQAPPSPQTAYSNFEADAFAPLWQQQQQ QIQVQVQDPWAKIRSAQGSNVNAFSQYHQHQHAGPSQQPTSGFGSFRPPQGFGLVHQH QNHPMGMSETPPTPPAEDDEEMMDEDSIDAEMDDYDDEEDTVERVMGITSTSVVMQGQ GRGDGDSWARGRRKYQI I203_00749 MFIYIFTILIIATTTLATPLQAKFSSCLSSYSPIAAGQNQMNVS DVYAQIVPADEARELGLTGDGHKVLRVDLTGVVGAEVSGYDNDTNKLATLFTNTKAAT WHVYDSTSWLCNSLFPSELPTPYSPYNTTYCPLPAGNFAINLSIPLYSSYALTTLQTQ VRIVDTSAEAANLACLDIQVSPYIRKGWYYRLFLWLPVATAIGFWIVSWGARFVTGWI VGSGVAEYDQKESSALKIAGMGGPNKKEVRLRKWGTMVISGLSGERLSVSGGLLRFCT PSLKDIMFHLQFCTMLGMIAVNWPEFAYPIFARGAWADLVWNTTLIQGSDAENKRVSA YPANYTVPTAFATQMNDDQYPLYLDTTTFNPLFDSHNSSNGMESFATAVGLRPQDLFG TCLAIFLLLTAAVALISLFIWFLHGLFEYLSIGSSKQQGSPGPKRTSLGSSPRGSLGG KEAYDPRNPSLWSDGPNSLPTRASLAHQAKVNSASPFRRIWLRFRFKGEAGAFHAAAL YGNLIRLILIFHMPITAFSIYQLTLGSRASIVSRVFAALAFAFISVIIPAFILHRISR TASGKLYDATRTLLSLGPMYNIYVENKQMYRIVPLTASLIVGIVVGAGQKSGIAQAII VIIVELAMLIIPGVWYPWGEGASMGASNAFLGLLRLCTMVLVMLLSRPIALNTPTNDW IAYAIFILQAIIFIFFLLMLITKIIEGSVRVFGGVHFDESTHPLDGGIFAAIMDLDCL NGVRGGKAAARKRRKRGSKQLQRNVSAAGSLTTQMMLDRHSQGVSRHPVVSSEVSTPF LTPGYPAMPMQQRQDHSYFPGYTPPLGPPPPEQERLSSESRSAEGHGDAIMDAWRPSP INTSSKGGYAPPGTYVPSATSPTHGMYGQPQQGSTPQRGFSVVRGGRADAENPYEVLA GPEQRRASSVSIGSGGYAPQIRVSQVSHRPVSPPHNRQQSSSAVIETAPPLASPSLTS RFSPNSAAGPSNQTQHQGIRPNNVGLKPPALAIPKRRSLNDLKHDPSPDSKYSNSTVK KHKKNKSSGWFNRSHDKGNVSDESDEESDDEPGPSRRKTKKNNRRKSNLPGTEGFREP LPFEDVPLSPEMQSASPTGAGSGFVFFGFGKKKRSQLDEEIASQARDENKARKAVLAA ESGALFAGVESPSPSAKKAFVVKRQGASPMNSPTPTSSSSNYVKASSTFKVKRTNQPL PTPTPQTHQVPSGNVVSSVHPSPNLGTITTSNSSANVTPGSVNQSLPSSTNSNNGNGN GNGSKGFRVIRPPKFGMTAGSEPTPSTSSQPQTPSMPSATASTANTPQSTGYPPSAFV PISSSPAQHTNRLSGQSFTSDGNGGLALSRPARDPRRLSGEIQR I203_00750 MSSEKITLYEFVGSCWANAPKIALSETGFKKDKDVEWVSINLAE GKNFEPDYLKINPAGTVPTLITSKGEKFQDSISAVRQIIKLAPHPPKVDAHTSTSIIE EIHASAHDPNATLLFAINDEDRKEKSNGLPKGFLQGRQKALDKLAENPPDEFKDFLLK KKKDNQQLLDFYITEPDESTKQAHYSQGQNLWKSVGIAVRGVVTQALKKNDGPFAGGE EPSEVDYHLITWLARTITNTGVEPDSPASVAIPKLQEYTGGHNIDPVVRQYWDAWLAR PSFKDNSIH I203_00751 MSHLLPPNLLRLFAPRPQPPFLKPLTKDERVRGPNKLAGVAPLF HRLKEEADEEEIRQGMAEAQAEKEEQPQEGQPPKRETSTSTNGAIKEEDGEVKESSSK IKKGKKKDLIAEKGVIGPEAVKMRKEIRKKRQEEYKKNAEKNYKPQDDPNAAGDPYKT LFISRLSKKATEVDLRREFEMYGAIEKIRIIKDRKGKSKSYAFIVYERERDMKAAYKD AEGIPIHHKKILVDVERGRTVKGWKPRRLGGGLGGRPKPVEVKEVIQPVVPAFGGGGG FRGGFGGGRGGGGGFRGGPPRGGFGGGGGGGFGGGRGGFGGGGGGFQGGRGGFGGGGG RGGFGGGGGGFRGGFQGGGGGGGYQGGGGGGYGGPPGGGGGFQNGYQQGPPGGGGGGG FKRDYDSGGGGGGGGGYGGGGGYGGGGYDDRDPKRMRY I203_00752 MTSTQPIVVVGAGPSGLLLAKYLEQNHVPVVVYESDPHAGYRPQ GGTLDLHEDTGLLALKETGLLGGARGMMRSEGEAMKVVDKTGKIWLDENVQQDQEQQG PREGRGIGQQDEIRGRPEIHRTDLRNLLIRSLSPSNIKWDHKIHSIIPLSQTSYQLNF VDKPSTTTSYLVGADGAFSLARPLLHDIEPQYSGISMYELDIPPSNLTSHLEAYIGKG ALMMLDEGKAVMPQMNSGRKCKVYLALKVPIDWQKLHPLPEDNK I203_00753 MSPFAGEGVNQALADALLLGQTLVPLFTTSASNTSHGQVAPTSQ ELGSALRTFEQRMMYRAKVEMQGSKDNMDMFFGEEPARHLAEMLSSFGHEGPASGVMG N I203_00754 MAGREGRSQSYYRTIASRFLPSLLILVLGILIGINHPLYLSIYS RRPLNSFSLLPSSLPPSPPATSTTLLGDLPQPSKDIPNLVHYVYGLAPLADGEEGEEF PYFAYLAIRSAMVNLKPDEIWFHCIHEPRGYWWDRIKYYEGHVDPITSEKRGMVKVKK ARDVEFIGKDKRPVTHFAHKADIIRLEVLRDYGGIYLDIDTFVLRSFSAYNLLRQDVV LGMEAHGLTFLRGPGGDDEMQPKGLCNAVIIARQGAEFLNRWLSSYEGFRGDRWTEHS VEMPWTLAKMYPTLLTILSERAFFWPLWTDDHIHAVYETVEYDFEQSGQLAYHAWESK ARPYLSVLDPTTIHQIDTSFTRMARRFVEDDEERRWKGYKENDSSKVDKDKGMVDWDD SIGVRRGGDSRWGREMS I203_00755 MPYCSPSIIAPSSSTPSFLLSPSKVLSPIFTTPSQPPTISGERL TSPILPLTQRPISKIKHRPTTPMPIPKPHANSYHLQHRRPLFIRKSSSIPRLLNYRGL PFRTLEHNGSIEILRHGKHAQSSQSNAAQPESRTSQSGGHRSSKAQHQQQTAQPQQVQ QAYYNNDMPGQGLTATNAQSAQPQSSQSGSKAPMVSPNYREEAERIVADERAQSEKMP VYEGLEAYTLIEKMGDGAFSNVYKAVEKKTGRKVAVKVVRKYELNHSQHGNKHLNANF KKRPRVTERANILKEVQIMRGIDHPGIVKLLAFFESEEHYFLVLELMEGGELFHQIVK LTYFSEALSRHVILQVAEGIRYLHEERGVVHRDIKPENLLFERIPIIPSRTPIHRPYD EEKEDEGEYRPGIGGGGIGRVKIADFGLSKIVWDEQTMTPCGTVGYTAPEIVKDERYS KSVDMWALGCVLYTLLCGFPPFYDESINVLTEKVARGYYTFLSPWWDDISTSAKDLIT HLLCVDPAQRYTIDEFLAHPWIKDAPSAQPPAATPGGLRPPTDNAPLDSPLLASIRAG NREGRSPGVGALKEAFDVTYAVHRMEEEGARRRAYNGPGGAGTRGFLQGLNEEDEDED EAVQLEEARRKHGEAVARQIQQHRGRDAANAAAGVKEQPTQHYAGRGGANRREAEAVL YDGRAGQRDRARGGNGGNGKPSGTGFELDINNATLLGRRGKKIAPSPLGLNQPAQTQT AGSPMQF I203_00756 MTSQITNITDPKLSPDSSPDPSPDPSPSPSPPFPYHCSPPSTPP SENDTMALPPPLIDLDTLVEKMEKVVVSKDKVIKVISISDGKKYEKDVERGE I203_00757 MPPRNTRNLSSSGDEVSEGKPDASTKASSSKRAKDQDDNEGIEP KSKKSKSSDNKETSGGEVEIEENEDGDSFFKLSEYRRLTVRTFKGKVLIDIRETYKDK STGQIKPGSKGISLTKEQWDVLRSNIENVDDMIVKVNEK I203_00758 MAIYQLVNNPAKFDDPYHFRIKFEAIAPLEEDLDWRLIYVGSAK SEEFDQELDNCSVGPIPAGINAFDFQAPAPQHHLLPSTETEEILGVTVIIITASYKEK EFVRVGYYVNTYYEEEEWKENPPPTVQWDKLFRNVLIEKPKVTRFQNPWDTATQASPF DSSSFSNGNGSAQQQLPPSGGNGNFETFSAPLPPPVQKAAAVGSSGVGEDIEMS I203_00759 MSTSTSTSTNPNPIPGSPSEIPYTIPLPEGIPKGHTTHTESTTT IFLPKAGAFLNPVQHYNRDMSVAVIRAWNELRKEELEAKFRKKLAKNGGVSKKQAKKL RQDGTPVDEQSSLPDGAEEVQVKDEQPVAGPSTERKFRAPSINILEALAATGLRSIRY AKEIPNVKYVLANDLSPSACEAMRRNVTFNGVGESSLPPRKPWQPPVKEDGTSATVEN GEGEVKEESTSTDVKRELEETAEVKDGLEPKDKVGRRPGCRGRVKINEGDACAFMYSH RSPVGPTSRVDVVDLDPYGTAAPFIDAAIGSISDGGLLAITCTDLAVLAGQQYPEKCY SNYGGVNVHAEYTHEAALRLVLHSLATCAARYGRYITPLLSFSIDFYVRLFVRINTGP EQVKRLSSNTGVVYTCNFCETSVIQPFGRIVERETAKGVVRESFKTHAGPTAKNGSNC EECGGTMHLGGPLWLGPIQDPEFAKRVMKDISTQEKEYKTFPRMLGMLSLAAQELPDP FFFTANRVAKCLHMSSMPLNKILSALLNGGYKISRSHAQAGAVKTDAPRSFIFDIMRE EAKTTPIRIDKIAEGSPARKLISKPMTHTIDFTPHPDASLERTGKETFYQINPLPNWG PAPRAKSIQVAVPPTTEKRKVDEVDEVKVDSKRSKIEDNGFENDAILPQTQEDVIALK VEKEMNEEEMMNLQ I203_00760 MADPVETPVSRRLSSVKNIIIVLSGKGGVGKSSSSVQLALSLLS QNPSNRVGLLDLDITGPSLPRMVGLDIPEATVHQSSAGWVPVYVDNGKRLGVMSIGFL LKDRGDSVVWRGPKKDGMIRQFLSEVRWGELDYLVIDTPPGTSDEHISLLTHLHPLFT PTLSKPTTPSSILISTPQTTALNDTIKSLSFTRKLQLPVMGLVENMSGYVCPCCNEIS YTFGNNTNTEKIFKEQNGVDILGKVPIDTVLVGLLDSVSKGELNVDVQQQQNGNNEQE ENGDNFQQQSHFPLLDEYNKTASSTIWRSITEKLVEKIESRKQQIKEKLAPSTTNKT I203_00761 MSHIGTVKHIPKEVRYNFLQMAAPASYVAGLGRGASGFTTRSDI GPAREGPSAETIAEAQAKRGEEVPDPEALQDPDDERNLFAGTVYEQDDEEADRIWESV DERMDARRRARREAAEAEQAAKERALNPKLQTQFADLKRNLSSLNDADWDAIPEAGNL TGKRRKHNMRLEENQNGRSYAVSDTVMADLANRNMLLGELDKAQQENGGFDTPAADGT MTDFIAIGNARDKVLSLQLDRASKDAANGSSTSVDPRGYMTALNSQIVQTDAQIGDIK QARQLLQNLIQTNPKHAPGWIAAAALEVHAKKMVAARKIIAEGCEKCPKSEDVWLNAA ELNTPENGKVILGRAVQHVPQSVKVWLKAASLETDVMAKKRVLRKALEFIPNSVRLWK ETVNLEDDPEDARVLLTRAVEVIPTSVELWLTLARLETPENAKQVLNSARKRIPTSHE IWIAAGRLAEQSPSAIGDVKLEDEAEKTRKLAAQVDKLMVGAVNSLKKNQVILSREQW LQEAERCEQDGSPLTAQAIVKATIHQDVEEEDRKNVWVEDAERAAKGGFFEVARACYV VVLENFPTSPSVWRKAAEFEKAHGTPQAVQDILAKGVQHCPQAEVLWLMAAKEKWIGG DVPGAQAILSEAFKQNEDSESIFLAAAKLAAETGEMDAATQILEKARVQADTERIWMK SAVLQRQLGHLDAALELLEQGIKKYSSFDKLHMIRGQIHESRNAVANARAAYTQGCRS CPKSIPLWILAARLEEKAGITIKARSLLESARMKNPKNDELWAESVKIEERSGTQQQA KAVLARAMQECPTSPILWSMAIFMEQPQQRKGRSVDALKKAGEHPAVILAVARLFWGE RKIEKTRQWMQNAITADADWGDAWGWWLKFEKQHGEPERQELVIEKCIAAAPHHGPVW QAVSKDLANIGKTTREIIELVAERLE I203_00762 MLQYTTLLSIISLLSVASLTNAANADQWKGKSIYQLFTDRFAPP SATAPARTSPLPETCDPIQQTWCGGTWLSIIDKLDYIQGMGFDAVWISPVSQNIDVYT PYNYAYHGYWVNDPLVLNPRFGSSDDLKALSNALHARGMYLMVDVVVNNVPSLNAYDA VNSTALKLDGSLWTDPSEFHPQCWIDYSNQTSVENCWLGDDKVALMDVNTENPTVVST LNSWIGNLTSTYGIDGLRIDAAKHVPGTFWTKFCAASGVFCIGEVYGSDINFAASFQT EKWMDSVLGYPLYYGIVNGFGTPSGNMSSFVEIAQQTLATFPQPGLLGNFIENHDLPR WRNTTTDSQLAYNAMVAQFIFDGLPIVYYGQEQDFSHGAGDPYNRAALWPSNYANTTT YQHIRRLNDIRHAVITNNTQFNGKNFLDSQTTIVASTKTDVAFRKGPLLAVLTNRGSP SVAQAFGVPTSGWSAQSAVIDLLSCKQHAVGSGGAISVSYAASGYGGMPYIFVSQTDA AALKICGDVGVATYVSANTTTQASSAPPTVPIQASKELLSVLAAGLVGLAVTLSLV I203_00763 MVSLWFGGISYVKIPNSDWIPSRPAPKPPTETPSGSSGSGGGNN SGSTGSTGSGGSGQTSGQGGGNKK I203_00764 MSHEDVVYGRSFRPANQPNPNISSNSSGGVSNSGTNNTGSSGGN DKSGSSTSSAGNSSTQEAKK I203_00765 MILAEELHRPLAKRSRSSNSTQSQNPSGLLSLPDEILEQVYLFL GHNERLHLRSVCRALRNVYDSSALLQYKFTLETTGYLDVPYEPSSSSLTSPHENSKHT SISSSPEDLSSNSSSSIITLKSPHTGRPRQTVPSVSLASWPKEPSTLIAHDTVISPAD RNKLLKEREKRWETLDWGEKRTFKVQGREGVYELQEGIFLMCDDFNDIDDDKPSSIRL VPLPSMQDPDLEDPPIQTTSHKVDFPISDLTMDPTQDLIVVSEYRPESSDAQRSAPTH RYHLLTLSTLKPHPLANSPTLDFPPFSQAIMDTRQLLQVMGDTLVVQVSRFAPAWVLA GLGFGIGALGGLGHEEEMVAWNWKTGKVLSRISLPENGWFSSFALLTPTTFLVTSTSN ISPVLPSETRSVGSIFPPVIQVYSFLPDPNNPIDPVQPLNTDPMDDTTPRPVLLAQLQ LPHFADNVSIHAFDVRPDPAFPPNSGSGPTLGKTKPFTQNPSKGMMVFELKVVGNTED DNIPIRDRKKSYEIFILRETLVKYAIEGEERLKEAYKNGGDFDGLGIRGVEKNVPWSE WGEGNTRFMDAVMKRRSWVCSCSGYRFVSLMPAIRPSGHGLWPSNEDLNLDDLEDETH EIIPPPKRSDLMIFDFSPVNIRRTHSTEEEPMDFMDYAKKDWSIKVRDTPSMLPKGNI WKNDVKSALPFREIRKDHGGLANGVMCDDQRIIVIHTQARRNGDWSTISQEMSVLCM I203_00766 MQNDTAVNLPSGSNKKNTVYVAGLAPEVNADQLLAAFVTFGDII DIKIPHEIHDQSKHRGFAFITFSHPSDAQDAIDNFDLNELPGYQGRGKFLKCSLANPD RFASEGGGSGRFDKAIWATDQWQQAHGEKPRDEVEVEGQ I203_00767 MAAPMQVDEAVPGDSIDEGLYSRQLYVLGHEAMKKMAASNVLIV GMKGLGVEIAKNVALAGVKTVTIYDPAPTEIADLGTQFFLREEDIGKPRAEVTRPRLA ELNSYVPIKLLEGSGEITPAMVSPYQVVVLTNATIAKQVEINEFCREKGIYFIAADVR GLFGYVFNDFGKDFACVDPTGENPQTGMMVEVEEDEEGIVTCLDETRHGLEDGDFVTF SEVKGMEGLNGCEPRKVTVKGPYTFAIGDTRGLGQYKTGGIFTQVKMPKILQFKSLKE SLTNPELFITDFAKFDRPETLHIGFQALSAFYEKSGHLPRARSATDAAAVVSLAREIA GTSAELNEKVLQELSYQATGDLSPMVAVIGGFVAQEVLKACSAKFHPMQQSMYFDSLE SLPKQLPTEEDAQPVGSRYDGQIAVFGKTFQEKIFNNRQFLVGSGAIGCEMLKNWSMM GLATGQNGIIHVTDLDTIEKSNLNRQFLFRAKDVGKFKAESAAAAVADMNPNLKGKII AHQDRVGPETEQEYGDDFFAAIDGVTNALDNVAARQYMDRRCVFYQKPLLESGTLGTK ANTQVVVPFLTESYSSSQDPPEKSIPSCTVKNFPNAIEHTIQWAREAFDSLFVNPPTT VNLYLSQPNFVETTLKSSGQHHDQLKQIEKYLKKDKPMSFEDCIKWARLQYENDYVNE IKQLLFNLPKDQVNSNGTPFWSGPKRAPDALKFNIDNPLDLDYLIAAANLHAFNYGLK GERDPALFRKVLESFEIPEFTPKSGVKIQVNENEPAPQQDDSECDEDVDALVASLPPP SSLAGFRLQPVDFEKDDDTNHHIDFITAASNLRATNYGITPADRHKTKLIAGKIIPAI ATTTALAVGLVCLELYKMIDGKNELEDYKNGFVNLALPFFGFSEPIAAAKQKYGETEW TLWDRFEIDGNPTLQEFLDWFKNNHKLEVQMVSQGVSMLWSSFVPAKKAADRMSMKMS ELVEHVSKKPIPPWTKNLLVEVMVNDEDDEDVEVPYVLVKI I203_00768 MVSSRSSILLLIASQLLALSPSTSSVLASPSKWTDDQPLEREIL GSATSPSRKLRKRASGYNNPADDGGYMLTIVNGTYPAGLGEPLNVILSADSDKEVLVK STDKGGFLNYMLVAGLGEECLGQHLGSIQEANLGDNQGNVSEVEELRYNYGNPYIGTC QETFNGGLHLRYWIQNTTNAYFMAVSVEMDLNSGHDIVRNGYNIGRDQLIGNLTGTTI ETKNLTNTSTFSGTGTYMNYTYQTNVQYVSGLLQNSSDDINHYITVEEDGLPAIDGLV AVLTVKITDRPPSSGAWSTISGIPFIALFLPLLFTSLITLF I203_00769 MNTHTRHLLRSIPTSAKPRLSNANIVARCISTSQVVGQVPPSSS SNASTSHGKTTHFGFKEVPEEQKETLVGSVFSSVASSYDVMNDAMSLGIHRLWKDSFV SSLLPRLPPSLHQNQVPGSSMEAREPFRCLDVAGGTGDIALRILDRAREKFNSRDIQV EIVDLNQGMLDEGRKRVAKTLYYNTPQITFTHGNAQSLPSHIPDNSIDLYTIAFGIRN CTSLPAVLSEAYRVLKPGGKIGVLEFGKVSNPLFKEIYRQYSFQFIPIMGKILAGDSE SYQYLVESIERFPSQPEFAQLMRNAGFQTGQLREGKGGAWTDYTNGIATAWTGVKA I203_00770 MSEKLTEFAEIPQQFIKEGTQFVNRCTKPSKEEYIQLCRAVAVG FVVMGFIGYFVKLIHIPINNILVGGA I203_00771 MRETSSRSPSIVSIPDSDGSDYTPIADSPAKRTSLKRKRTSVAT TSISTAKKANGKDKVKSEVIDIEDSAGPSVSRDHGSEYHSVDEIVKSQKDLLDWFESC RETRGMPWRKRYDSELIMEEKGQRAYEIWVSEVMLQQTQVATVIAYWKRWIEKWPTIA DLAKADVEEVNAMWRGLGYYRRARSLLAGAKTVMSKSKYQGRLPDDPAVLEKEIDGVG RYTAGAICSMAYGVRTPIVDGNIHRLLSRLLAAHAPQTSPSTVKFLWTSAERLVNHLP EDKGIAGDWNQALMELGSQVCKPVSPDCGSCPLRKGCKAYSELSRPPPLPQEMICTLC ASIPSSSDTDKISSVTIFPMRKEKKTSRSEEESVLVLEWRGESDDDRKWLFVKRPEKG LLAGLFEPPTSPVPTSSSPSKCLAASLNSLQDYLESSAEVGTHRYVSSIPHIFSHINM TYHIHHSVITSPAPPPILASAPRSTVWLDKVEVDHANVGTGVKKVWAEVYGSWGSFDL DNAPKGKKIKKGNSSATKKKPLEEVVKNGKIVKKIMMPAMPTRKVKDVV I203_00772 MKSISTDKIWNYKGKQKATFVDQDPDQNHSETVPTTNPNSVSNT NTNSTGGNHPFIRIHEPSPPSSPSSSSSRRPAKMQTVHEGQHHQSRGLKTKVKNYFGY TDTTPETISVISWAKSATPNARSGVKNYLLSLFPFLQWAPRYNLTWLFGDLVAGITVG MVLVPQSLSYAKIALLEPQYGLYSSFIGVLTYAFFATSKDVSIGPVAVMSLETGNIIA KVQSEFGDLYSAPLIATALAFICGFIVLAIGLLRLGWLVEFIPQPAVSGFMTGSALNI AAGQVPALMGLAKRFNTRDATYKVIINTLKNLRFSSLDAAFGVTSLALLYFIKWGLAW VGQKKPRYARAAFFASCVRHAFVIIIYTIISWRINIHHKTARIALVGSVPSGLQHVGR PEITGELIAAIGPHIPVATIILLLEHISISKSFGRLNGYKINPNQELIAIGVNNTLGT LFSAYPSTGSFSRSALKSKAGVRTPAAGLATGVVVIVALYAVAPAFYWIPNATLSALI IHAVADLVASPKHSYAFWRVSPLEYIIFVGAVLWSVFYTIESGIYWSLATSIVLLLVR LARPKGHFLGRVRIKPESAEGGHQTRDVYLPLCSEAHQDIPVEAPPPGVVIYRFEESF LYPNASLINDRIVNYVKQHTRRGKDFTGISAGDRPWNDPGPKAKDAAILAENDLTKPK LRAVILDFTAVANLDTTGVQNLIDTRVEVEKWADAKVEFHFCGILSPWIRRALIAGGF GQGNTRKGTALEVAPAVIENLENVASPHPVPERDEDGFPINNRPIDIETGQYDQDQQG GSASGTSSSRGSYVDEKDAHGVSLSKDGGYARRRTSENSQKTVPLVDRSTPFFHFDLH DAINSLHLTEDQ I203_00773 MSYLFPPDKPLLYPQFETYKLQSLDPDHDLLEYPLPEPGSTQSR VGYNTSSYLSFKEVRNRIGWDHLSVNADGVGMYVDKEWVVVGFIIGDDLQPTFSKLAS LSLPISSSEQQFEFPSVSPLTSTTWAVSSGSGSLYILETTSPDETGSLRGKFTAKYDL PSSISDSNEPSPFLLRASHTISNEQVSLLLTRSIKANEDRRTIKSSQLTTFEVIEITL DPSAHNAVDDQEEVEKLSVNWKLSGDDLPIHCHYSTEHSGWIILSPEEYKVPSTEDSE KEESKEDKEKWEREAKIAKLGLGASIPPSLVTPENEEKMDFEDSDNGDEDKLYPYQWT QDSEGLNIIIPLLKSITRQDIKLDLTSTTLSLSLSPSSDISPQLDQFVKKQTRALWTN IDPELSTWSYDSSKFQIEIDLIKVDQNVRWPTVFSYDDEEEDEVPETLSQATLAAVRE SFNSIKTRPEGEGEPPSSHPAMPALLREEMDFDLDDDEDPLTENQGSFDETGKIGKEV FVGFIQNQTQNDHEGSINVKSDWSKTTISVLSTPLFNQGYGIIIKSAVDGLLFSPQGQ LQAPENITKNPWKHLSTNPALAFVLSSKRDLRLIKHFTTSVSPQGVHPHGDVEPTSPS LSKRLKPSSVSGGGSRTTVLAFDSGSSVGQGNLYVYYPPAVGDKNTAKQGVIPVSGGE KGALLGVGHVKVRGKDLVIVLTEKSLLIVKNII I203_00774 MGSKRPRRSYSLPSDSSPPETQFVSQSDDADNLWEVSEILDERG PSKTGDYLIQWKGKDPDTGKPWTPSWTKKKNCTNDLVLEWKAKRANMEVEESKVKEEK SGSKKRQMKEEKSGSKKRKMDHKKKGEPSVTFEVNSRSSRSRTRSTPARSPLKSTNAQ ITRINSNTSALTALSSELTSLPTTPSRSTENINRPIAAHIPPEEPEDDSAVVSQRKTR SANDKRTVESHTSSDESTAGPGPSTAANRSRRTLRGSSSRRSTGKQIVTAPQKDRHMV VQPSQASVSYGGSGSINQSQLDPIQQFSSPRSSTRTYGKRDRSAASVHADDSGTESDG VRVVLKSHKTIRRDGEEGVRLDGDSSNDDTASAKDGSSEPSIHDEDLDDLDSNAGEGV GGDRPEKADVNQSQSFNDNQSTPVDQPPNAAPAAEPFIHPDTLALEKAQELIAKLQAD LEQASRPRSHPDTLALAEAKSRIVELELQLQSHLSQPSHPRHPTPPNSDPRVAELEAE VERLNQNKRALMEDTQFLRKQYAEASNRAVTECQLSEKYQAQIKTLKSQLSVGLKQQR LHSEATQNMREREIDKLRSQLEMLLSQSRRTDDDVRKRAAAYTATKREYEELSRQFVA RGREIDSLTKKVNTMSERNEELVDQLKVIRAMKMGVIPDEDEDEDDQETDSSDEYDDP RPKAGTGSRSRRIDSSPHQRDVFQASTPARESTTAPTSGYACAWRDGDRVCRVVCETV EVNL I203_00775 MPPKFDPKSPENASLISLFQSLGLAEKSATELVRQPKSGVAFKS LIDEFQLTDKSYDEKTASALVKLSASGGKLGPAEKGFIVKKIESGDIKSTDQVAAAVK YTEGNPPGTPINEDEFNKACGVGIEITAAQLPELLKSYVSSLPSPPDNWASLGAVLGG IRSGASDLKWANAGEVKSSLETIFTELFGTKESAQAAAKAQAAASAKSKPAPKPKAVE ASSSAEASSSTTPVIPTNIFKEGFLSDFHKPGENPQINSKLKEQHLEFTKGMVYTRFP PEPNGYLHIGHVKAIMIDFGYAKFHGGRTYLRFDDTNPEAEEGRFFQSILETVRWLGF EPWKITYSSDNFDQLYEWAVQLTRRGKAYVCTCSAEKMKEDRGMGKGHPVPCEHRERP VEESLREFERMKNGEYPEQGAALRMKMDLTSGNPYMWDMVAYRVKLAPHHRTGDKWKI YPTYDFTHCLCDSIENISHSLCTVEFIPARESYEWLCDALEVYKARQYEFARLNLQGT FLSKRKIAKLVTKKLVKDWDDPRLYTIIALRRRGIPPGALLSFVSELGVTTSESVTEI KRFESSIRSYLEESAPRLMMVLNPVKLIIENVPDDYRVPVQVPLHPKVPSMGTVETSF TKEVFIDAEDFREVDSPDYFRLAPGKSVGLFKAPYPVTCTSYTKDPITGQVTEIRCRL EDGGNVKKAKAYIQWVNVPESIKVEEVRYFKPLFKTDPPPADFESDIDPNSLEVYKNA VIEPAFYELAKKAILDARKESEERTKKAQADSAPTNTNEHKPLEGSEAAKHMEDEPVA TAEQLVGMENIRFQGMRLAYFAVDRESKIGCLDEEASIKPGKKEGDRIVLNRIVSLKE DSGKKA I203_00776 MKSSILLSLTLALVGVNVDAFNLADVKKTTSGSIVPGRYIVEFD SNAHLTSSGLKREAKSPHEAIYGQLKERNTAYTVHQEYSNDLFFGASITLDTDSDLAN LLSVDGIIDFRQVHLLNLPAQPVTAQSYQWSKCTTSTVTSTPSATPSSSSTANKGFSV LSQIGAESVHASGNKGKGVKVAIIDSGVDYTREPLGGCYGSGCKVVGGYDFVGDSFDG SNDPVPDNDPFDNCYSHGTITAGLIGANANQYGVTGVAPEASLYQYRVFGCNGATTDD IVLQAMQRAYDDGVDVINLSVGETSGWTESMLSVFASRLSAAGVVLAISAGNQGQVGA FYSYSPGAGLGVINVGSSDNAFYPSQLATVSTGYGPIPYYNYKSFTAGTYPLYAFTTD PSVAADGCTIPDGTPNLSGYVTLVRRGGCSLEQKAKNIYYQGGRQMFLINTANTVPLY QNFPLDFGMISLEDGNYLLSQITNHTSTNTTVTFTFNPYQSPNTFTGNITSYFSEIGP TNDLFLAPSIVAPGTNMVVILPATMSNWSIVDGTSYSAPLVAGSSALYIASKGNNNVS PDKVKNALQSTADSLKTSVSDSTIANVAVQGAGKLNIAQAISPNVVVSPSEILLNDTN YFAGTQYLTLTNPSNKIVKYKLSNVPAGTALAYRSGMNQSNDQPVPQVSAQATVKFSQ SSVTLLPKTTWVVIMQFTAPTGLDAKQFPIYSGYIQVSSDNSDNNAQVPYLGVAAKMR DMPVLDPTADYLGINSPTILNESGSVQSSTGHSYTFQNDSYPTVIYRLVGGTPLLLID LVSANASLGFTPNYNSKRSESSIQPEIYKRLVEERKINSISSSLQSEIANWKSTKTQS LISLYCQLTNFKGKGCSSYQSGKSNTFAKVPILGNLFENDYIPRSTDNVDGQGNDYST FALEQAKFANGTSIPNGTYKFLMRALHITGDRTKESDYEAWLSAPFTIAQ I203_00777 MSQEEADGPSATPFDLLINAIAGSTDYVHPTDLSAAREQPQTSN VDQPLNGTLASASAVQTINKSTRRIRQNGSPTPRQKEISKVLSEQIIHQKGSNNTTAT VEIWHPTAGQKSYGKERRRVSPSILAPPPKLCVSGPILSFITSVTLSTTSPSASTSSS QTHLIAPSLPGPLLNLSGTPGVAGIHTEDSTESATKNRSKKTEKSSHQRELIYAARNA GFGATLPRSRSNVEGKDREFLLGDGLNFPGLWIGEEVGKNKEFHLELKVDTGSQFPIT HDIQLLEAGIGAEGNQSEEVRQQDLQEQQEQRQQEEEGSGPFPDLHIDHSTSLSEVLD PSSIEVLQPLVEAVQNTNDETDKQTLQEHPPHSQNNISINPPEMETVLEDSNQQTTTG RDPSNEDTRYSIKPVSTPYFTFLSTPLKLVSKPSQKTAKARSMTSCFSINSSFALWTR IHAQTVRTKYMKLECAGSEAEGKLTSKTGKWTPFRFEIIKRALPPAVEKKSKIRNQAQ PQPQRQSRTEVEADVSNPNILTYGSTVRLVDLQSGMKSDPVRIVKIESSEHKMTGETD GHPISELQRIGLIRLNTDGSDYLSENGERNYLSAPGARLGGGELIDGRSGRAKPTLNR DKKRPLPMSTSSTHDDSGTIPETLDGIEPQSLPEKEVTEKGEPPKKKKKTKRNALAAA VLAEDEDGGLQTILSWVEASISEIVQQSEERVRVEKVEDWMSWIIGGVACSSQAIYQT DSTSVETLKSINPLPKTLVSPTFDPQNNTLDLTLSRFHFPSSINPPGAIDEPLEVYLG PIGPLFVTCWRSTSHKNTPTAAIPYSPIEGNLDDEEKVVSSFPADENHVIVRVYLPDI EEIRTVVKDLSAMSKEADGDESSKGQEGIEGTEPTVQDDLTTIEDDQDQIHSQTHVQD ERSENKLKDTNIPDTEFLANESKLDPSWFNPEDQSHPPDKLDDLSIATALEMTSTLND LGPFVSSSTLSDLHQVQGTTDGDGMIIDPSLSEGARGYTHTQSDSHGTLQDPTHRTIS DGHHNLGENNTIKLHLSPRSQSDGADIDRSRLKVSLPFVLVRQSDGIGFGIDKSVIID ESSNEFRVI I203_00778 MSDPRFARLKTDPRFRRPKQKNLKVEIDERFKDVLESEEFGGKG KGKGRASGRVDKRGRPLASSHHQDQLKRFYRLRSPEQGEEEQDGQGGFVDYARGEGAL ESSGSEDEDEGSEDDIEEEELEVGGKRKSKLPGYISQSESESESESEPASEDEDHLKV DLSEDEQPISAFPPEDGQYQEEEEEEEESVPFTKRIAAVNLDWDNLQARDLFSVFNSF LKNAGASSTGSSSKDGELGKLLSVKIYPSEFGKQRMEKEEQEGPGGGIFVSKHKDSKK KNKGPKEAIVPKKRAEESEEDDQKGSEEEEEEDQAGSESEFDDEEDEQPSEAEGENDD GDDDLQPQRSHDRPREEIDGLEIISDGESDAGSEDIDMDQLRQYQLERLRYFYAIATF STVAAAEHVMDECNGTEFERTANIMDLSYVPEEMEFADDEIRDEANKEAKGYRGNDFV TDALRHSKVKLTWDQDDPNRVKMTRRTLTREEIEEQDFNNLVAGSGSEASLSSEDEDD DDQEEGAKQTKKIKGKGKDKKSKKERTEKLRNLLLAGNDEDGDIWGKAGTSFADMIEE PKNKGKKKESEMEITFKPALSTGLGGKEVDEENMTTLERYQMRMKEKKNRKKEKMELK RATKALNNDDSDDSEKGEGQGRDEFFGSDSGDEDEEDDQDVEIEFEKPKATSKGKSKI NGKDKNQHKFKSEDDDDQDEDISALVGTSSKPDTNFSMKDIMKSEKDSKKRRRRRGTK KAEQGEEEEKELGPEGWKINVSDPRFKAIHEDAEFAIDPSNPHYTKTKAMQDLLSHRT KIRQNRKYNENDEGRSSASGVKRTLPGESNTDNGGNEKDLSQLVKSVKRQMEREGNNK KRRRHRK I203_00779 MSDKEDSVKPTPSQTQSTTITDLFGNDDDTHDDGDVFAQLGSTG QGQDPFQQIADSLPDRTEPTQEEEQQFAEATQSAATISPLQDEHPSPPPPDELPVPPT DGTPALPQPEETSSNPAIDAQDFSDLLAEFEAEKDLDTQVPLSTGDETRKIAQESPSD LNPVQAGSPNDNGAEDLQHQSDGQSGILQVPIAASALFTDDPTDSFADLVPQDSANDA EPTSSGDMETTSRPASPSTVPSLSIEHSQADTRPTGLGIDAAGDTSFQSMFSDASNWL GDTTFDDSIQILDDDRKENDESHSQQAKKEDDGHELLDFEIPQGWYDESGEWHWYTDE EKEQVRQTMLGQGSWGEEADTTADKQTSGQNQTPIAPYSPALQAGASENAARRTPQPE TPSKLTSNSFDPYAPSTAQQSGASTAYPMTGSSQYTSSSSGYEPYSGVSSTAPFSSSS SYTPYNPQTSTQPPYNAYAPAHQQQPNPYAPTAQAPPNPYAPAANTSAHPYNPAPAVP ANTPQAPSIISKSVSSKPPVQRMTSNAYDPPFLKPQKSFVRAPSAAASPSFGIPHSTP PQATSTPPPPPFGPPKRTKPEERPLSRGPESAAPPIPNQRDEHSATFQQNHMSAYDAP PRPPSVSYGQRSVDFNKDHSPKEPLHRPPPSAFDPPLPEPSFRAPSRTTSAVSHHTYA SPPPPAPHPPKFAPPPETSGSTYGPPRPLSRGPPSRQASPMFAARPPSRPDVNQRMRS SPSSTLSLGVEQLLSPPNVHGQIAVHHSRSSLDEQRRSSFEFTGHQNHHGGYQLHQGH SPDSPPSQSERPLSPPVLSRRFDEDGEDGDVVEDLYSPIRAQATPGLHQEQERYRMPA SPQMPRADSHERALPPARATTSEDPYAPSSLGLQTQYSPEKAQSSTSPYAPYAPTQPS PEKRAHASASHYASYEPTPGVIDLNDDHSNPSQQNGNYSNDPYAPSASGISPTKSQGF GHASQPSRASTTYEPSIYSPPTATKPLGISQATNPYALPPARTASPAYSAGYGISPPT NNYFQPMHAPSHSTDETYIPQQVLEQKPVSEDPLGRTTLAARNAPIAVFGFGGTLITA FPGAAESGTFHKGHSRIPSYGYASGRGQLWIRSISEVAAPAALKSDQTSFPGPLVFDP STPKGVAGDKKKREAVLEYLNARAEEIGKGLPYLKSSANKARREEEGRLVLIKALKAL VIGEGKLAGTPEVEEALREALANPTLSAISTAPVGTVSAGNFSSSLYPPVSSTSSAAP AAASAAQLTRISSLLAQGNKRDAALYAADQGLWSHALVISSNVDVDLWREIVTRFTAS EVGERPQGTAGIKASYLLSGGVNPSIVDELVKAATITDDPSNDQWREVIGSVLFNAKP TELACLDELGSKFLSMGLVNAAHACFLLSPLSPFFDLTPVAYERSITLTHNVRDEEAI VFAEIAEYARSLVPTPKGQEAHFAALPQLLPYKLARAWRLAELGEAELAQKYCTAIEA GSKINKNLPSFLSPAYAASLEDLLERLTGQPSIDPPNALGSGRKAAKPGFDKLGSWIE GRLTKFIAGEEGDGSAPKPTAQSGKAAGPFSQFSSISAGTSGAPSRSTSIADLSNNGN GYLGVTSVSRSTSPALQMTPQWGQQPNIPSYGGSSSSSSYGGDKYTQHDNAGGYSPWT GNNNDQGGSETPHAYGDDSDFINPMAQLNLGPSPAPAPPSSNYQPSKNKSAYEEDDQD DLGFGNSALSRDRTPKPPATGEEDDDKKGKGKAPDVKDNKPEPKKNDPPPAKREYPHK SSWLGRIWGGKKEGEPSGPVKANLGEESSMIFDPELKRWVVKGAKPESAGPSAPPPPP RAQTTSPSRSARPDNGANSRAMSATPPPGHRPPVSGPPIGKPMGTGFAEGPDGSIKRM KSSLNESLTASDLPSAPPLPPSSGPPSFAGAGGPRKPPSSAGSSVPPSRTNSGTTPSI DDLLSRPPSKRPASAAAKKGARNRYVDVFQQPEGQ I203_00780 MGIIEWLPWKEGLKCYRTRWDWLMVWPDPVEDRFADVLGEFMLT ILQLQNHEFSQGGMKGM I203_00781 MPHSYPHAEGSNYPQQDRSNQPGRPPPINLPQNPYGHPSHPKPH DHHAHQHHQHQHSDQVPQVQGYYPPPPPPNIFYAAENQPPGPPVNMTLNQPYDSSFQP KIEHDGPSVLIPQHQLPPNYFDHQQQQHQQMQHSIIVSPYEMPSGSGSSHNPNMAEYF PPLHTPTGVPMDSGSSHNTAMQDYFPPPLPPPSHDVMSNVPIDHHQVWQSAVPAPLPT AQSTNSKNNASAGPSGKSEKPGSKTTRQQFTACGACRHRRVKCDLKDKQEAAEKATME DDGVGPSRSKGAAKQKKVSCTNCIERGLNCIDEFAPLKAAKQLRRGKRISEIEMLFGK TAASAAVAHQTGEPTADMSLSPIKSRDGGGIIPDLTKEFFDSAFFRRFQVQRPVLDPQ NFIGRYLSNPIPSASAMGPEGAILCHVLYAWAVSYGVDEYGKLDVPEGGGAPLEDISL LGPGDAEMNRENDRQKRKEKMKFVIEIILKEIDEYGLMRRPTWDGVRVLLMVLPLTDG IASPVERLSMYEAAISQVFTLCSFVAMGYDGQPSGTAGVNGGSDDLDGQDLVRVRVRI YWYAFVHEGITTGLKGGRLHLDDEDLETMQDSIDHRSLVRDSAAFRLSSRFATAPINL ALACRKINKALTGPAAKRRTAVNADLVKQAWEALERCWEDFDQLKYEASASGPSFAQG DEVIRFADGWKIFLFEAQNVIRTNLEDRISKLAQAQTTAFITESSPSTPEAMHNDLLN AQHLLDISKSKCDVQTRQIMEIVRRHVGTRFFEWDASLVRDGTYYTAMLLARAGGSDE DIQICIRALNELRWAHAKAWERSIDLRKEWQERPSPASSQAQTDGTWEAVLSDLAKLT NDSQDTTQPSLPSDAQSRSTTSSQSAHRHHHHQQQQQHYQQQHHQHIHHQHHHHTHNH SHHNRISSHNSEPTLSTTSPPYTSPPIVSPTFDISGMSLVRGYIPASSDQRNAYSSSG AGGLMEPVDESVTYDNWINSNQSDGGQSISTNSRIYNLQPQQQKYQIFTTPTTDDSSH MMPNHLGPPPLNSNQMSLQDGNYPIPNPNPNGQGQGQGQGQYIMKEDGTHVFVPYKFM I203_00782 MSLIETTLGTFKPEPELFYHIDGMPYFTPYHHPLRTLPHIPGLK DDSQFQSITIISLPPTPGVPVNRKILDKVIRTYLHEDDVLSPGLMRNIVHRSQNHGTG LVLEDKLGWPFPEITGSYLYRTENGDLKTGLYPVYRLYPDTSKAFLLGCYAVHDGLGG YSMLNKVDGDGNLMIVGVKDIFDVEGLPTKAGSRVFAHLNGRFQKQETAPSLKIHEEA GAVIVGKVKTSHKSALSISLRAAKMNVKKVNISEIVATKVEILGEDEIPKAIGASQWK DFGKGIYERYQSRHEGRLPPLGHRVRESSKVAQQEEWDEEKFRRMKEKFGKAGKWFLD LLEWNDVDEASCFPLGSYVPASIGGFPHLVIPVGQVPFHSLSSQRMEMQTICASLVGP PGTDLSIIGVLLRLKEEGVIKDVQTGGEAFKHQGAALLDENPAT I203_00783 MSDTATDTNTDASATDTTTKEESTKPIKSSKRSSPKQRFSYKTE DSTTSEISYWPSSSQRDSNKRRKWYISESTASTDGKEESSGAKPTLLSLRKGAPKLKK VDRFTFDDDGKTNTITISSTCTKGDEKNTKYYVHSVDGEGNNTKSQGLTWDEITSEDQ SEGLRSKVAERMRERGREKYIYKDSTTAEGKSSNASKSRRSREGRRARSG I203_00784 MAPLSFAGGMHHVDVNPPMESKQPDKISPPAQSPDVSGPSPRST TSSKSSPVSTNSGSSTTTQKLRPLLPKPIKPSPALPGSGPAARSKPTGRSGSSNSQRG VGSHQPKHTTNNHTGSSGNMDKLPWRTSSGGKKGPFTVDSGGGKHHHQQQHQHVSPRN QRQPERPPSASSSRHSNPPTPSMSPAVPINRKNGSFAYPSQPYPSFPQVSTSAGHHSR EGSYHQSSIATTPTPLTPIDSITALGASAGGNAFSLGAQASSLGPGGPSQSSYGPSSY NSQYARSAPNKRNQPQSQPALSTTPLDPPPSPWTNQNNSGMLQNQPAFDWSNLPNPSS WLTPETTQPAPVEENAVDPGIFDTLAELVQQSQEKATNGAAFDFSAHFPAPGSSSSSI SSVPSISQGSTSLLSRRLQNQQNSNTSPPSNGNNNMYQTSVPPPSGSFCDSISSLTGY GTPGSYGNMNFSQFASPQEHQQQFQQPQRKSSTAPSTPWPLPDRLGGYVETPVTTPGG SDFGGFNSPVEVSAGSHSNRYPPIAPRRREAPQPPAPGYPSLPSNSGSLPSSQMPSRA GSEAPRGESSTGTPQGVSLDTLPPLPAGLSIEHLAQYGTAGLEMAIRMGMGIAMGLNA QQPNQDPNAGSSIWPSMPADAPTPGYFQNVSSPEGSGSQKGRNVSIVDDILNDDFLHG RMPTTPLATPPISTIGSYPVTRRPSQSDVTSPVLPEVGSPEQMARKDPLATQVWKAYA RAREVLPNGQRMENLTWRMMHLTLKKKEEEAAAKEKAEREEQERLAKEYIAEVEESRD AVPTTTPNVEAERGRPKGKSRIVGFAGAASNPSPDGMDIDWRAASRSRSRIPMDIDWR ASSRSRSRSALPFRQNPFSEHHAHQLLASGGTPTAEMGMHMPSQNEWANSTRKAPDLP GPRSAGSSFSRSHPNKSLPPVQEGPLDIQPSLKQPHSLDMLAASAPPNGAITLDEIQA ALAAGLSPTSRDRQPHLPGINGPGLYSETEENFHPQYGYLPRRVRKTSFDHTVQAHEE GDGDLGVSPTSQFNPRKRQAEASPRDGKTIPLPEGDSGFPTSNFTFSFPQSYDNFFDI AAASGTTPAGNEGLNMSEITAEDIAEWASSQPATADTSAFGSPSAFGLIEPTIAGAGL SLPNIGSPTQSSQPGGDNPFDFQQLMHLYLNANSAASPFTHINPSQVLGALQNQLLSN GNANTDASPNAISPSSLNGAPTPGTTTSQSGNTIKPLPKSVGGKAVDKSSMPPPSLPF SGSSNGSGPVRSNSSPNLQTLRIPSQGQGSSLTKGHDKNTSLSGSLGKSKSKSKNRDN NNHNNNNKNDRIDLGGGGEGEEHEEDDNDDDGVPEPESGPGSIINTGESPTMCTNCQT TNTPLWRRDPEGQPLCNACGLFYKLHGVVRPLSLKTDVIKKRNRAGPGPKGEGGSRKN SVSGPSTSSTSTTNTKGSNTNNTGGSGNSKKSGGNTTATTNGTSGGKKARRSSVDVSL LNNDDDQTASLSASASASVSGSLPNDLSPLGIGNGNSMTSLLSISGGK I203_00785 MAIEPGKWAGAEGGGEEESMKVETDKSGMKGGIRFRAYKSLLTC FDTNRDADGCNANEDGIGKKVESDIPPWRRYIGRVFLQFPSPIGEYTPKDEWDIYSRI LSTTFLGFSDIPIGSDVEVIDLSKSTASESRSIDEMSFEIDLNPSRGLVGPDGEQGGD VLYDEPIREAVEVDQGRSNNQECWNCLSTGHAYLSCPHPKNHMMIRHSRDVFLYKKDY AMPEYVQPAFDMYLSMKVTEEEKLRRLELLDQFGLPTGTLSEILQDAISFIPPDDDIY EEGQEEEGYLIREKMEVKRRRKRWDWYDGMMRWGYPPGWITAKDPIQETRRRIELLEI FTKAFEYNKEEDDDDQLEIYGGDLGASPPGSSHDDVGSSSEDASESSSSGSTHDDNND HGMVDMDIDDHEHNSDSVPVRLENVRELSINEFQPPSPISHPIKEPPPSPRPPASPCP PPPMDDRPPTPPFPPPPSPDIPLPPPPDDIPSPPPAPPAPPSPTSHPNPYLRQHYALQ SQRKDFASPPTAPRNLNPHIYSPNELRRLENHSSHLGTQYQTPISQPRSGTDAVSHIP HSPVSHSLPSMPKAMRSFPTPRRWVKYHTDLFDSERLIPYFEGRPFPIGRY I203_00786 MSDKGKGKATNPISFDSDSDDDFFVSRRRPIIRETTAPRSPTPP IRTHSDSEDDDDASPGSQRKKKPRKAPLKKPTLDLPAWTRHGSNGDQKNGSRSRKSSS QIRGSKEERADMIVIDDSDEEIGIVGGSSSKHMKKVTRKRVQLTPPPEMSEKSKADIV KLVREKYGDQAPLEDSASSPEKDRNDVEKVHVTIRMQAPPEKKQTAAPAAIKEYQKAR TLILSRTGPMSTGISILSERIQKRPEDVILVYDDKRVYPRSTPAQLGILDKAEMIGYE KDYWMKLEADKIRALEEDLSFSNNNDDDEEGEDDVIPLNPNGNSPSIFSKPSYTSQLQ TRTQSQSQSQSLPQTQTQTQTQTQAQQDIIHFKISSSFGEERMKGPKTLKLHSVIRFY LKKLGRPVDEADKWYIMFDGEKLDRSLRIEETEVEDGDMLEAGM I203_00787 MSGAPPGPPPGPPPVNSGNVIRPPGPPPGAPPGQPFRPPFGLPA GQPMGLPPTMPNFPPPLPPGWSEHRAPDGVTPYFYNTHTRESTYIRPPLFPPFPPPGP GSASPILPVPVQAEKEKKKKKEKPKVKVPIPGTTWTRVTTTEGNVFYFEKESKKSEWT VPEEIKEQVEALEAEEKAAREQKEREEREQAEKERLERLKEIERIRLEVNEENKQKQL EVERKRKQREEEGEHRDSKIPKVNDEGVQDVEQNGGENGDEDEEHFGPQDEEDEAEWM KAVAAEFAEADQQLEAEKQKTAEEIRKEEEEAAKKVFAVPEKVQVSPEEGRALFKALL IEKDINPFSPWDQSLPLFINDPRYVLLSSQKDRQEVYDEYCRDVGRARRLAKASGASG SGDKKRSDPEKEYKALLREEVTSTRTRWDDFRKKWKKDRRFYAFGRDDRDREKVFKTH LRELGERKRADAQRAEQDFHELLKESDHPISSSSVWPDVKKHIYKDPRYDAVGSSSLR EELFSNHIKNLASSSSTDGSEKKFSKEEEAAKRRAKAEASLRERENKIKAEKEKIEFE NHKSRVGAGKQDAERLFGSLLVDQVRDHTISWNDASQFLSSDQRFNNPSLHPRDKQRL FTEHINRISSKRSNELHNLFEKFTPVLDTSYDAVYPNIVDDPLVRRLGLQGDNLESQW KAWMRSREVEGRKEFDEMLGENSFIEFWGKMRKKTLDEAALGVKRDDQFEEAEGIDVG DDDQEGGKKDITDMAKQIDLNEIKSVLRRDKRYRQFDHVPEKREQWLRDYLENLEAAS GSRTIHNVGFGK I203_00788 MSSEVRTTIASADNYSRPDTTSSSADEGLGPQKDSARFQRGRDG YDTSNVNSSHGGAQNTSAASADSGTIQNSATVTKGGSGYEMTDPAPPPSDGGIQNRIN GRAPNSVDRGITFAAGSRSHSRVMSDPKPDTDSNRKDLY I203_00789 MPSPSEGSSSSLAPSAEGSSSSVTVAGPSRTIHSFFGGSSSTAA TGFSAPSNGNNSTTRKRKKKDENNQAKLSLNGSENGVGWVMGKPEEDKQAEAKRSNGN RRNSGGEEEEMKDMAGKNKAKRSTRGKKKSDVHGITSEGDADGICLQDVDDNSLIDPS LFASTSQEQTPPKKKGRSRKSRVPTTTITTRTGSALNAANSSSFDYPSAASSSSSSSI FPALTGHRHSVDFEVTGQSYPIKGKIANDVIEIDQNSSPDRPILVSSSTSPIKIGVGP KKISFAADKKPQHSFFSRLNVNPDPNSGENGTTDGNRSRASSINSVNTALSGEEPKDT GKSKEKDKKKIVHGFFKNVSMASEGVSKNGWGNGIKEGEELIAPLPRGEWPNHFSPTD PDIQYPAFVQNQEAGPSRKKRPFRKEVMDDGFWYSVLENADSVSSGSRQNMKDQETFS IAPYILQHPAFTSIPSKSAIKSTSNRDSWTDRYRPLCAAEVLSNEVEATYLKDWLSAL SVGQAHRKVIRKVKRALKSNLINGWIVDDLALFGDHPAVDHEEEEKEEIELEELDDPP ISPDPDHRPDSYPNLNGRLTNTILLTGRHGSGKSAAVYAAAHELGWDVFEVFAGMGKR TSANLLSWVGDVGKNHMVSQEKKLTPKKEKGGSAPGALKSFFGKAKAKPDTKLEMASG SQGSAHEPIDIDQDDDETPDDVDEVQILGNLNGIGSDGKIRQSLILIDEADILFDEES TFWPAVISIISESRRPVVITCNDHNLIPKSQLPLQAILQFRPSPSYIALPYLQAIALH EAKHSHRPLSNVQETFEQSIHQERDILDIALPPNGNERIPYFDLRKAIGQLRLDRSRS SPSNGFNQLNQEKGEDDLALLVKKLELISYVDAQISQKGWMQLEVSEVDRHYPTTDDQ LGIHALIKPEIDESYPVLAGYDYCSTISDTLISMGAGEVKDTGNLALDRMRYIRSTLP ILDPLIPLSSPLLPHSSLFLHTLPAILNIVETDDILKAAEEDAVRRGEERINRKTGRP VRGGQGYTRWLADLDEGAEEVARGLIVSGISTGKSSGKFTISGADGSTTTVNYQHAGN DRYSYTVKSGNSGRSSAPVSLDRLYGGNKLEYSIYRSIMGDKAKPPPEDEMFSYTATS GDGTPEERNVRLTADRSEDGIVTYGISESARSESWSTGGQSLDDIRSGKFGKAFTAAF NKYLESRGGGDITSGTGDTASQDTLIPVPTPTSVA I203_00790 MSSKHRTSRFHSQSSFPSHYNSLPPGSRAVKQTNHATISSCNLQ SNDDDDDDDNSQVPGNARIHIDSLTPETHPKLTVADSTGLDKETVKAIDGSEHLVWYG IQGKTMDDENRTSIRSIGLPPSSMEIFVPCNSRLCQVILPDPPSSRSIGDSCSVGYLE MDKETHSELLKASETHPRFSCEIDLTRLNLGEQPKGTEYSAPERVTVMREFTFEPGHA LVQPIHGKVNGFRSTLPGFSGMGFPDVDKQGKEDWFGEIEMLTKINC I203_00791 MPSPPPQPVPAPKQRTSNPHGGLNAPQSIRMGASPMSYGAMTGI SFTNGGGFSFENKPRSVKWGNTTGSGPMGSYGARFYGDAGGDSPTIPFSTSITMEPSS FAASAHAFNLPSGSMSSSYHRRSYAAVASSIPRGGDYNLSNSFASMSFQPMSLGTSYS KSQVTNMMRNKPRSDAELTQAYECCGKTHAGLHALLEHVEDVHPFSDPNIPPDNTNNN NNNPGGFSPVTNAMDLDLDDVEEELPSKNKASTTSARSSISPNLTNAVPVPSYPLPTS GSKATTPTEQASIGKSPLKLSDVLKSPPELESSWTANANASLLGNKASNLTLTRTGSS GSSPPEGSLATPTTSTQPSPVFAQPKINPARQSFLGSTGVGSRPAAQQMRFDRAFNEV VAGPKTTTATTAGDANSTVPTAVAPGVLFASAVSSLGIPTIPPNQQKQNGQNTTNATT NGVTTPQEEIPEGATTGSGNTTPNKNANGISMNDIQLPQPSLFSSSRPWRCPNPGCNK SYKQSNGLKYHQMKGQCDFAIHDAVDLGLSLEEAEERNRPYVCAVGAGCNKRYRQMNG LKYHYLNSGEHGQYGLRMLQNGTHPLPPNVPPSSNTSTPNATPQKPSATGRPVSSTTP TARAGAPYAIPSHANANSTTQNRNGVGAGGHGLPRMGTWPAQRTVNGNAAAQRPPQQQ QQQQGIPIKTQPKPQPPPITKGRDAVLFAAVGGGEDPMDVIGRMDQ I203_00792 MINDIPHFTTQPVLSDLYQSKDLLDFSGPSTPPRASTSSPSASP SAPSLFSSATSIASAATRRAAAAGLTASPSGPSSSSIAKEIRCVEGYGPNMYIGNSDG TVEWWYCEAASATNGMNGWGIKHRHTLFPRRPVNRMYILPKISKILIISDGTLHGLML PNLEPLPSSHVPPLRGVVSVILDDEELEWGGPGSEDLNAQMAVVVVRRKGLGVYKLGN RMNSVKEIPLPSSPTHHALFSSFLCAAITSGQDDTTQTLYSIIDLSDASLTEVLPVSQ IDPAVADFQSNPNIVVIPGENEFLVTSYTGSSTMGVFLNGQGDPVRGTMEWPSHPLSI AVESEYIIALLRDQTVTIHSLADLEKPTQVISLDPTIDAFGLTYSPYGVSVRDIYRDD RLTPYKLKLLDGKLAPGKPIEETKVTLPQVEDPSNDSAEGNTEEPLLSPDVISPINED PPSGSGLTPPSSPKPAHRHPITTQNNLGSSASPSIGPFSTAVSETLIISRHSIVSLLP TSTIMKVERLCSERQFDEAIILVDEERRRGRRGEIDVDKATHHNTMKYLHLYLALHLF EEALFDKSLDYFTRSKVDPRIVVRTFGDLRGKLLGSEEVVDVFEGIKEVLHRMGGVDD IISTSLKRNYSPHVQPNTASAPETSVLRQAMMEEAKDMLTEFLRKTRASRRKGGGARG LDSRKIDIVIDTTLAKLLADKGTTNELLALLAAPNDCVLPELEPFLAQRPYVLATVMR TQGRVDRVLELLREIAESDIADPICEDPVEELAQQLESVKDPQMFLEYALWLVNKNPS RGLSILMAQVSKNGVKLDDLSLVQQLGQIDRDTGNRYLEFAVVSKKSPNRALHEQLLG VLLDEVEELCKDEGVKYHLEELDAEYRLEPSPRPFIIFLADVAPDTPIKRNRLKLMLF LQGSPFFDLEKAAKRLESVIELKFELAVVYGRLLKHRLALSLLAITIGDSISAQTYCQ TKGEIIPPKISKLVSKQVKGLDTWAGLIELGRKKVQVKDEEMRGLVKELLAVYMSDCK GTSKQAAALLNAQSVHLDVLEVLGQMPNDWPLDEVSSFLKRSFRRGLHDRSTWGVLKA FSAGQNMEVSEQYLDKIRRIPPIIRDSSNDVPPDGDGLTPPDEGSIPETEWGTFEEKG LVESAIEQEKRGISVGEKDEIVQRDEGVEVGSKEGYALNSDNSEEGLV I203_00793 MSLTRPIPTTCLRCSRISSSVSKSSSLSGLTYRQFTSSSSSTRS SWLRSHPRLALTILGLTLPTSYFFFPSSPSLTPHTYSEQPISSTQTLSPQHKLITVPI SQPSTSREFFERPYRTDGSIANTEGGEVVVQHMMIKSPDIQIERPYTPINDCLDDGEI RMVVKRVRGGEVGRVVHYLKDGDKVGIRGPIPTFSIYPNQYDKIIMISTGTAISPFLQ LLSKLPSPSPSSSEVIPKLHLIHSNPLEGREDWSNTTIDKSFLPNLQDKFGDNLQITR IDPGLIPKQVIVNSLQHETKSNKDQRVLVLICLPPMLMRPLCGSMTPNLGQGPITGIL GELGLTNKQVWKLE I203_00794 MPRSPSPDYRRERDRDRDRDRDRDRDRRDRDKERDRYKDRDDDR KASSSRRDDRDRDGDRSRRDDRDRDRERRKDRYDDDRERRDRKRDRSRSRSRDRSYKK DKKRKDSRSPSPSAKSKRKEAKAAAKAAARREAELEQSRALAELSMYSATDNPFHDAN LGEQFKWGKKIDKEKKMGMTTEEIARKDAIRRQEAKEELERLNKKRAEREVEMQLREE EENRLKRLAEDEQMKEWIEKEDDFQLEQSRRRAGIRLREQRAKAIDFLAINLRFADPK SVGTNISSAIGALTNPKKGEVEREQEEENWGWADAGFEFEIDEPWKIFDNLNLEDCVE LEHDIKMYLSLEKSPINIEFWEAMQVVCTYHLENLRDPDHAEGGRLFDKEVDESASNI VSGLSLQRLVELENRTNAMLRSGQPVDGEFWDLVLRKIHVEKAIAKLNSIHEVVLKNR LEQFKRRQREDAAKVQAELGGVIVNKNEQFGGDIHADIGDQDEGEDGEDIDVDDDEDD YVEPYEREMSPLPADPKTMVLDERRLPIVDEEDEMRALFAARRSITSSGFVPKYARPS ATTIESVSRPSAADLEAERIYRAEAEKEMRDYGSDEDDEEFGDLDNGLDVPGTYDWSD RYRPRKPRFFNRVHTGYEWSKYNQTHYDSDNPPPKVVQGYKFNIFYPDLIDKSKAPTY YLKPIPDDPETTMIVFTAGPPYEDIAFRIVRRPWEYSHRKGFRSTFDRGVLQCK I203_00795 MPSLDTAGSIITMSSTGTSTYTGSSTTAQSATSSAYVYSYGTSK IVRTAVAAGVIVLALTALVLFIKISSWIRFHQRLRRHTQLTLSLQSEQRATAYEIAAW ADEPNTAPVRNGDYNKKSEKKSRKERREEIKQIKRGGGNAWMVKEWEGVAS I203_00796 MIRAGVSTLSRPIASSSSRSTSAVARRYASHGPSYNPPSGYLFG ERPPKDGKRVKETWENIYYVGMFGGMALAAVIIAYKPDTSIQTWALKEARARLDAKGE EWEYKPKSA I203_00797 MYPRPHKRSHASRSHHSHSARTNPSASTSTSSASTSTTVQIPPI AYVQAYEAQLVYSQDDRAREVTRRDTRTGMGLIRYAGDVEDVAEQGVTEIWADRHDIL HLLPSVVIPSTTLPTPSSPLSSSSSWDSFPSDIEETFYLSDPEEIEAYEQQKKRKWIE ALRQERLKEREKEDEENKVNDGQMRWNESEEPPAPVLALMQHTAKAIFSSPNPSVLEL RILTNHANDERFEFLKGRYKPTWTKIKDDLKKGKQKEQREKEKKKGIGLGGLGGYDSS DNSDDDNEQKEEVDDVQSPPPPPPSEDEDVAGPPPPPPEDGIPLPPTGVEHIPQPMDG DGINGLDAGLNDGEEEKRRLRRMRMEEWKKKRAAEKGS I203_00798 MEIPNVNIRASDHPFWNGVVSFCGVAYFIAWSYSFYPQLILNYR RKRTTGLSPDFIYLNPLGFLALTLWSWGAYYSPIARRQYEERHGEGHLPEVSKSDLAF SLHAFLISTITLGQVWWYSRKVNKSSIYGREEDPLLPHQHHNGDDDNRTKGDSLVSVE PTKPSIPCQIALAALFAAAGISAVSVWLGKYQLLDWLYLVSSIKLIISTVKYIPQVVL NWKLRSVEGFAIGQIICDLIGSVLSFAQLVISSIFISHDPQGIIANPAKLGLSFLSLT FDLIFIVQKYWLFRNAGREEEGEGGPGDEEED I203_00799 METIATPVDKRFIPIPTQYQIYDSYTIDPQWQIKFTIIWTSVLA FSTLVSIPYLIHMWKIGRLYSGLSINESLDETTSLSRIEKEIPARNASAESKGRTFLS RGYIIFRSISQSITLWTLPMPRLKWWKGQIGDCCRRAYFTLSVSQIFLVSAYMVAVIC CFVIGAELDQNSNRPGFIALSQLPVIILLSLKSPLPLPIFLPSLSYEHYNFLHRWAGR TMFISATVHGGMWINQFIRNDEMDQISSDKSKRGILSWTLMCMIVITSLKPVRRKCYQ LFWIAHVMFFVGFFAAISYHTPYSRPWIYPCAAIYGYDLFVRMLRYRIKDATLIPVDD TLTMIHIPDCDSGWLPTQHIFVRVLKGSGVFESHPFTITNATSTTDRGIVLYAKTSGD WTRRIHDLARDTGTFEVDMDVDDDQIVEETQRFLEKEQQIMEGNDNDVGSGFDHPGRK VQVMIDGPYGGLKIDLGQYENILLIGGGSGITFILGSIEECIRLGRSRNGNGPRPRKV DVIWVVRDMSTIQAISPTLTYLHDQSNNLGIELQYNLYLSNPPNPLPDVPSTLPATTK LSPYRPEISQLLRQSLPIPTSTSDSIQLESHMTETGSMRKDIGGGLAVIACGPEGIVT ESKNAIANLSLKDRVMSGGIEFHGECYSL I203_00800 MTADKSPHHTSSTGSKSPRSPTRSHSLNTQQTYKSHSLTDPSSP SSSAHKSHARPAGPRSPEHHRSPKSDRRDGPGSSRTKYRTVPIPTQSEDTPIIQEDFF QLHAPSQPIPSSPPALASAEDAPHAPYRPPEAVIEPPEEHPPGYTPGIVAEHIGSDDD MGPPPESPSILLQDSQTTLGGEKDLKNDIDSWKSDVKSYPMNIDPSSNTASDEPTRPQ IGRGILPRRLLQIIHEHELVQPNITELPQPSKKPHVQGQGQTSSSAGTAWNGTNKNLT SSRPNSIASSSGLAAPPSPSPSTASMRSLPDSERISTLDDVWDALPGEKEGHGEWYFC TTCWGWLRVTAGQGDPPIIQNMEEWEISIRSNVFFENKDDYNKAYDDRLAEYKKYNDL MTSRLLAEETHHHLHDFLTLTEDSKEEKRIERVEVDEKMNVFPHITFGLQDEENPNLT SFDKPQSPSRLFLSYSSDLWIKVDKGLVPGQIPLGLVQTFTNEKMSNPAIGYEGRGAN GVSEAWSLLTTLLMNPLFKGQRGWVKLDNPKFQKQIGASMTSSHLLYQIGFACQQEED GLRVGPFKVSDEPSEEDRRKQKQMDQYMIRAWVEITLYLIAYQQRNTVDANVLPFIQS VPLEDSLKGIIELDKYPKGTTPYGDNVKSALHTLGVTKQDRAQTVEIAYGLQISCDAE GAPSYLSALEKIAEAPIYGRESLQMRVALERSLDKYIYQLTDPNSHVDDLERAYHAIG YTAEYAESIFVPCEEAPESHILELHKQAVQSASSAQEKQNLSRALVIIGRHRKSELMK NMGKNGETLMTVQDAYSALNCPEDAIDDGLLMQYEMAVNEYPGKADHYRKCLSIIADA PGQERPGIKTFLQTGSREPEAPARKDIPVGLHNIGFCHSYLNSILQYLYSIKPLRDAV LSFEQHQTRPVTVKKADEERSKRFVRQLRLLFLQLYKSESPSVRPDEELAYLAITRPE VDAIVEPPQPEQATTSKFSLDDIPDIPDVPSPSSTQVATPISSPELKPAQADSSTSTV TAREDRESSVLGKRASEDRDDSSSPSGGGVTRLKSDETNTHVTSPLEIDDFELVPRPD THDVEAQPMQPDSPSATMDLGHLDLKSPIPETKEEFFQQPGPHEQEEVKYDPPSVPPP LPPRPNLAKKDTLSSGLRFGLQQDSAEVLINVLSQLELALNEPSDQDGQKGSNLIQSL FSCKYKQQIIYETASPSRSSSGPGGRRESITYEAQKPVESVFVHPIIGVEEEGKDLSD CLAELYLKGADIEYEGKKGYMMDLMDVFPDMLYIQMRRSQYDPSTGRERKTNTHIPFS QTLSMGRFLVGADPRKREEAIEVTREMVRVRTRLHQLRNHKPLSIPDTFKHVTSSLRQ LSENPNQSELDIPELSDDLTSDLLKGLEIELQSVESEIVNLQESLPKLKSRMDEIWND NETSGEDEWEYELVSVFMHRGKNSGSGHYWTYQSWLPDHSDTFFKYNDETVTQVPSSE VLQDRTGDDANPALLCYVRKGKKLIDTLHREVLELQQQEQAEGEAVEKMEIEADVQSI QKHNQSVDVEDKKEEVNLIDL I203_00801 MAKRGADNQKTREGGDSDEEQVEDPGIRAAPLAPVEGRVIRGMP KRKGLGGAAPSPAPPASTPNPAPTTSSSSPFSGFSFGSSAPAPSNPFVFGGSATPAPP TAPASTPAPASSNPFSGFSFAKSAATPSAPATTLSTDASKPATPAFGFGAKPAQPAAA STTSDAAPPKPFANFSFGQPAPPAPASNPTESPLPAPSAPKPFSFGATSTPSASSPVA AASASTPAAAAKTPTSGSTSTPATSTTTNGPSPSQPTPPPEGEVSYYTSLRGLNNSIL SFLTSTLDQDQFADLSVILPSLLKQYETHLDEAAKKAGWRPEGTKAPASVNVESSKSV NGTTPSTFKMPAAPPAGGFSLPKAPTANPSSSTPSFGGFTPTATPGAPSSSFTFGGAK APAAATPPTADTPKKPSAEVTKLVEDVISGKADEEKKEAPKPFTFGATSSTSTPDSKK TTSLFSFAPSGPLHPPTPESKTFSPSGTLENATPAKLGKFGPGGSQPQLAFGGAKSSP GGQATPGTPAANKPSSFGFGFGTSSSTIAPPPASGGATFSFGSSSSTPAAAPTSASTA STFSFGSNSSTAPKPATTAPSFSFGNSTSTPAGTSTPSFSFGAKPAATSTTTPSSGFS FAPYTPKPAGDAEGVAAEGNADLPPENAEPSKNLAETTGAGEEDEDTIVEQRGKLNKL ESGEFKLQGLGQFKLKRSKDGKKRRLLMRTDGSGNVILNMSVNSSFNPTVDGPHVKFL GFDNDGKPVPFALRVKNAEVAKKIQDELQKEVDAIKSE I203_00802 MSFVTARPGSNCYSQLSMVQAVPHELDVVHSEPVSDTEGYPVVD ENGEEVVDDQWHRDLYIHVREFSPMEDINVTTAWEATPKQQDDLRFSTYAQTQGDRWH RIWKGLYGETVDDDLRGCHTKNFVPAESYKAALNITTATTSCHRPLSDTQWETTMAGD LQLDQATHAELIAQGDQSRIFRYTLNTSTADLNFRPGQITDPDYDFKDRYLRNENQPR EFQFQCGHAMIQPIDGSSIGFKSTSDNYQGGLPPRRTLAGTPWAGEVETSHLKP I203_00803 MTSQITTHNPGTNCIRRTVEVSITSADRSNLKDSNDEVLDIKSS ARLHDGRQRVWKGIYGRTTDDNATNRPSIGIFVPEASHLCQITVDNPASTGTQSEEVS CTAGYIDMNPSAHTALFISAAEAQNSSLKKFDPSVDLSEASLGNSPQDYKTHNRMFRF EIGHAVTQPQDGQSTGFRSCKSFKEVGVFPHKRMREGAPCYTEVEMVIPLLKIITFRY PLSVVSSLQHGPLVASRF I203_00804 MLNFGQNGGGVQMEMANLKAAPVLNPNYGMVIKYRLPAKSRSHR VGTMVDGGAVVIDFLTEEDVSQNAINTSRENFDHIVCVLLA I203_00805 MGEPKPLTAYQQTYHWRNKNCAPFAHDWVKKSLPGLKVEHGPAS AEIVEVTSVSGDCDLGQRKGKLLTIYDLEVEAKWTGKAGDGSDVEGTLKIPEVSHEAI DGLSDYVFEWRITSASSGPSSELLSHIKSSFPPVLTSKLNTFRAELLAAYGNPSADDS PAPSGTSTPQPGSSSYSPAPPAKPVEAEKKVEETKKDVGKTVTVEQKADLQASAEDLW GLLTDENKVPMWSRSAAKINLTPDAPYELFGGNVRGKIISVEAPKKLVQTWQVRSPSW PSDHYGKMTLTLDQGSSSTAATFTLDSVPVGNEAEVEKALDAFYIRGLKQMGLVLSFS SRSYSTPLSRISSTARSKPKKLRKKTSSSSNSFKWSSSTLIGSGVVVALSAVLVGIVY TSLPSSSSRV I203_00806 MSFATIIQDRRKAPPTDREVIDLWLLPLEQEGDLDAEEEVVQQG FSATVRLLFKPTGTRYDLLSFRGKIFDIKNLRVYDQDVNGDRSYANSNYHHVIRNWMQ ALKGEGSTLSGAAGLRRPDGAMVAHTVFDGSHSSAVRQALPIDQTAVSNSSTTSLLRK LHLKPNETLYATTSLVCEVKPHGQPSIIGLAQMLSYLVASYEVSGTWLGLYVRGRNFL RAILIDKSSVLIEVANGDIAGQQYDVDQLFALPEIKLLPSTIGDLHKVDRAGINDLWQ VLSLSLDILVTQSLHSRLIPIKRPDRSGFTEFLHVCLDDITKPEVITKLAALARGFHK TPKSETNKGESGGGDGGSGGQGARGSGGRGARSPGGRGARRSGGRGTRGSGGRGARGS AGGAVPSEGLANEELRSENRSTDSEIDDESVGSGLGDGISKAVEMPGEYEDSVNAVSP SNANSPIAESSSSTLFAHAQIRSDFTSKVQSLMLDGLSTHATLSDYQATTVHIERLDN GFFEDDLPDQEPEIDMAADEDDEDNAAQGEGWAKFLLARAYLRKTGVRFFTVTSQVMT SLISEAQDSIHTSPHQPV I203_00807 MLYVLLQYDLDDIQYFTPYHQSSCTLRTATTLPIGETFTPIVVI PVSKPDEGIFTPQHLRPLIESYLQQDDVLTQSFFNTVLLHPEDRSTKFDIDISALVYL MREMDAKILILDESLKINLPSPGTVLPSLSVHTVPSGCLSDKTAGPYLARSQLFGNEI DLFPVYRLYAEYYRTFVSGVYPLNDGLGTYRVLGKVDEFGNQMIPVPSRLYTIDSEKP LAGERVGVKDIYYIKGLPTTAGSRTYTAWRGTANTTASSMVKLQNEGAEIVGKAKTVA YASSGMVVSLGLVRYPFSPRGDLYQSCGSSSSGPACAMAAYHWLDFTVGSDTAGSVRG PAAVAGLYGNKPTQGIINLDGLVQVLKWTDTPGIFTRSPAKFKKILDAWYSDSQANRR HNHLPKTLLVPSDDFPDMPENIRSIVVKTLRVIENTLGMKVEMINQTATHPHKHPDDG GFMTVEMFKKTIFA I203_00808 MSSDQVIQLPTPVPKSIPLLASNESHPHELVSDQTSSSPYSPLG DHGEGSISQFHGHLPNEIISIIISFADRSTLGNLMSVCKNTYRLAAPTLYSHITSTEC NADKVFLGLPRSIRYRKIKVSSMNSLTLSKEEMDRPIKLLWPDVPMESEDEEEIAQPD TTSIPYAYPTLLSEERKLALFKFTRIVTLTTQMPTQLCHDIRGWFRRERIKHNSHLFP KIETLLITGKCLKDCANWHDRHLVRADDIVDDQFFGVFPLSCCIKNLCITSPTYDEAD FDDYILRRSKSDAYLLASPAFKSMCSERFKELVSSVTPDLIVNITRWLTPLIKPPKVT LHNIISHTLPLCEVYHTLFLAPYSRTDQPKTLHERCPRVNKTTRTSLMQNLNDTICSF EKYDFNRIVIGVPDAEMEDIDWDKIFEKANGMYEEDMFNVQMMSQLSPCPCCLTEEGI Q I203_00809 MLHRRPGAAAPPPSSSSSTPSSSRQTNSNPTTNKAYTPLRRQSA NLYPTSNSANRNVPRYSIPQASPLNLGGINTYSSGGGLNSPGLDVGYTQSYGGGDWTD GQYIGIRIRGMIDHLRMGLRDAVRLERSWELVWSDRELRTLVLKSTLINILSLISLSL GSLFFSPFLVHPLSELMESKTKKMGMWYNLLLSWPVFVVCFWINASWGPSISKRAQAI LHPSHRFQPSPVSTPTTSAPPSSTQTSTIAPFAKVFQAITRILLISDFTLISRLIGLI PFFGRWMSFTYMCVIDAYYCFEWNFTSKHWPLDHRISYMQDRTAYMIGFGLPATFLTS FGPPLVTMAIFALIYPFFVLQAIQAKPPSPSSSSSSSVLLPSTPSPHASLPPSPISGE MSLNDPFFANHGVLKSTSSLRSGKRRGAGFELKLPIFWLASYALEGVRWLEIAVGRDR SGERMINGNGNGYGYGLGLKGVQSLNGMGLTERKGKRLQ I203_00810 MPDDLSFLDQLEEWLDNQVPNNLHDLPYKMLETMEKVTNELFET LNIHGPPSISIPFPPFGGKDSPPPPPPPSPPSIIPTTGLKAAYCKSERLIKEHPYLFS AAISVGLGLTGLTIYKYSNNLRNLKNDLKMRRKFGVKGRVEDGMLKEAIVILAPSPMP PLLIPLAASLLRAGYIVLVAVPKSRDADQLERRLSGLEEKSALRVLIYDPDDTTTFPP FHRSLLATLTLRFPVSGKYPSGDPYNPSPEQLPHIHSFLSLYPLNPSPPSQPGSLPAL PTLLSPNSDGSTPTLINFFPSGSVVTTPDTFASQVLTLNHHLLGRNLAASSGARIVSV YVGDVDLPTLPAILSGGKHLTRRQAAREQLVNNHSASGKISVIRDYLVGSISNLYGNI LGIVGLGNKVKSYDKFEKKLLKIIKRPSYFNPNVSVLRGTVFRNNESCFIGSKSFFPF FVNHLNLPPILLSKLVGLTSTYVLPTSSTGPVNPVTTSNLLSPSLRHKEEKGLPRTPT TASANSSDHEGNDDLISSIHTTSNSSGNGDEDTSASGSGMEGSWVGLDSAN I203_00811 MPFSDETKDRVNAAINVGKNILTVAWIPMIIYIGYRNSNPQPSL IKLITPLA I203_00812 MIWLIYLTDSLNADIAANLNANVLQASASADHALTPTRTRIRLA DALKPVLAHLVNVPAPTVPPRPRRKNRKVAHVETRAAVLQVNVPAMTVLPALGR I203_00813 MSTPTPEASGVRQRANKLEKEDETKNPASLSTNPAKKVPRNKPH VKPPFIDMSMNKFLTYLVLSLFLVLAFYIWRFVAWAHQVGGYWAVITGNHKTPVSDAA DSAASAASSLSSASSKATTSVNPKSIAPKPTQGGQGEEDIQSQIYHLANSLGIKPAEL SAAIRPLVDPSVPDPAEKAKQEIELLRAQVEAKATEHQGEAQQHEGGVLNMLGEALLD I203_00814 MSGHYNLKALIKAIRSCKTLADERAVIQKESAAVRTSFKEEDSF TRYNNIAKLLYIHMLGYPAHFGQIECLKLVASPRFADKRLGYLGIMLLLDENQEVLTL VTNSLKNDMNHSNMYAVGLALCTFANISSEEMSRDLANEIEKLLGSSNTYIRKKAALC ALRIIRRVPDLMDHFITKAKMLIQDRNHGVLLAGITLITEMCEIDEKVCDEFRKATGY LVKHLKNLVTTGYSAEHDVLGITDPFLQVKILRLLRLLGRGDPASSEIMNDILAQVAT NTDSTKNVGNSILYETVLTVLEIEADSGLRVMAINILGKFLSNRDNNIRYVALNTLNK VVSIDTNAVQRHRNTILDCLRDGDISIRRRALELSYALVNEGNVRVMIRELLSFLEVA DNEFKLGMTTQICLAAERFAPNKRWQIDTVLRVLKLAGNYVREEILAAFIRLTCHTPE LQFYTAQRLYAALSNDLSQESLTLAAVWIIGEFGDVLLQGGTIDDGEEVKQVTDSDLI DLLNLVLSSPYTNTLIRQFVLTALSKLSVRFKELSTPQQSHNQDRIAEILAGFSSNLE LEIQQRAVEFSNLFGLNDVKLGVLERMPPPEIRATIMGTVSERKPVGSTRTDKDTVVD LIGDDLTSPTNGNLPSASSGPSTQDLLADIFGGGSSDLGGGGVTSPTIQQNGKSTSAA ADIMSLFDSTPTPAARSTSTSRSPAISPGLSSGGGAGSLFDLVTPSNSTPQPVVQQPQ TQAQPPKSNLQSYTAYEKNGLKITLTPKVAPNQPGLMQILARFQNTTGEIIGGVNFQV AVPKTQQLQMQAMSSSDISAGSTETQQMRIHAPAGAAIRLRMRISYTQSGQNVTDQQD FSGFPADLAGSK I203_00815 MPPLNIFLTTWNTGLQGSKAQSQDLTSWLLPVLQRTANDPELPQ GSIPDLYVIGVQELLPVHLALAGLSRPVLTILTERIQSLLSAHATSLSPEKQTEKEEY TLVSRVSHVGVALWVFARSKTIEGKLGKSLTSSLGLYYGGMGNKSAVGVRLPVKRGEN GGWEVLTFVNTHLEAHDHNIPRRNTQYQNILSSLVFQPSNPLAEPYQIFDTSHLFIMG DLNYRLSKRPSAESLREGDASDDIQLEKERNEMIMLDTLKREQNAGRVFVGLREGELN RFAPTYKRVVGQVEGYSKKRIPGWTDRILFASHTDDSSGSDTTQIAHYSSTSDLTISD HKPVHAVLSLPALSSSIAATAPHLAPVLPTAFPRIPAASKEIIWAWKLMGMFLDRLIG WPWCVVVALGGGNERTGLGVGAFIAMVWGVWWSGVWSG I203_00816 MPPPSTRASVLRLLNLTSNQGCRGCGRTHSALDHHSHGHGHKGV RGMATPVEKATGGPPPGNTDYAFEMAASTLRFGPHATREVGMDLSNMIKQLPAMDRSN AKIGIFTDPNVVKLPVMEVVEESLMREGLNWVVWENCSVEPTDKSWQEAIDFARSSHI THFLAVGGGSSMDTAKAANLFTNYPESDLYEFINAPIGKGKPIEKKLSPLIAIPTTAG TGSETTGTAILDIPSRQFKTGIASRALKPTLGIVDLNNTATCPKEVAIAAGLDVLFHS LESWTAVPYNERTPRPANPINRPAYQGSNPISDIFSRWALETTIKYLPRIAKDPYGDE EARAQMLLAASTAGIGFGNAGVHMCHAFSYPISSLNKGRPTNLQYHHPSYSPKIPLIP HGVAVSLTAPAVFEFTAPSSPKRHREALEVFLGKERSHEAGNVIDEDLGKALSEEIKK FLDLVEVPRGLEKVGYGSGDITKLVEGCLPQRRVLDLAPLLAKDDLALEKEQLAGIVE GSLRW I203_00817 MSSNTIKFENHQVSNTGIKLNTELFFSLGEFEYFSSTHQPLYHL PKSSLLLNKEGFKPICVIWILIPDNGQIIESILKDTISSYLNEDDVLTKEWLKTILLI PSLQDSAQIIDIEIQDEALSYLLEEVGSTHLILDQSIQYHSDPLIISSISTSELKVKR AAGPYLVKQQMNTLGFYPVYRLYPDTSHAVVNGIYPLDGQGTYRTLNKTEDRGRTLVP VPSRLYSWGNAGKLKGYRLSVKAMFSPIQPKFPLTHVFDIKGISTGAGSKVYLEMRGE VQETASCVRRLLEEGAVIVGKVKTNQFAVTGNSIEQSPDLLYPYSPRDDGYQSVSGTS SGSASSMASYDWLDMSVGSDTGGSIRHPASIVGLYGNKLFSCLDMDVMYPTMGVLPVD GVLPLNGWCDTVGVLARDPGMMKWVLETWYSNSKLIKPHKTSPKIVQIPIDDFEMIPS SIRKLINNFISSLQNVLGMKIEWIDIKRTFERDGGLMSIPEFAKVGEELQYQKWSKTF IDTYKSQNQGRFPPVAYHIQEDWKSTEHYSFGELEAMREKWDNSARRFRNLIGSDDQS VTRTILPEPTNIDRLPLYRESKMNSHREPLSTRKNPISPTHPASIAGCPHYVVPIGQV PFKSLVSDQEEMQPLGMSIIGYPGSDFVLLEIIDKLNKARVLRTVKTGRTAF I203_00818 MSDLTESDPKQSLASLMSSLSNVENSLESLLDDQNQAGPSTWSD KLEKLSMLDRAKMDVLASYTINDLIWIYLKVKGVDPDKHEVTAELDRIKTYYTKIKSI EEPETRRNRIDSDAAHRFVKSSIPRSQHLPPTSAAQLAQQQAESAIAEQEQEESLRRL GKASRFRFIEKEGKETIIPGQDAAEDNDNEDEDEEENMEEESESIEEQGGLDAEDFLK GVEEEMKGQ I203_00819 MTHAPFALFNSNNQNNTAMPSSSSFKVKRKRMSWGMDEEEASKH MRVRSPTMQNSYQLPELMTDHSNSASDDDQMMDTDMDMDNDNDCHMAMGHSGINAHSH QEQSHANNTDHYVYSSGGSGGFLFGPGAEEDELEYEMEMMDDMDASQMKAYPSLTPHP NPHHFSNASLSSHHQHLPSNTNFRPTSPLAAQAFSQSLPAPRNGLLQPSYNGPLPTNA SEIEKARNIHGPHCKSIPKLVMSEYPDATTGRRSMWSVCGDCGACEMAQ I203_00820 MHLPTYALVNIALFTDTPTRSNLLTTSQDFYDAVKPLILCPLYR TVEVGKDNLPAILEGLNYKDIPASQDVTGNPAHVNAISRKLNLLDSCETLIFESLPSP DSCQRLTDVVFGTEFGFSAARVPFRNVKYVQLRSQVIFDLVLWSDENLKPIEDHPFIT RLGQMCKPRSICVFLHHLNLKEREAYVGRVLAKIPIGKFSSEVQTIMRQDLESHYVSL FHEQAQVLMEIDRWLSEDNPVLTIHNSYNNDDVDLEISNIRLFSTHVPPDLFSVGYRP HGNFHRYSERWIRSNVEYESLLAKATPRPSAYPGNEMGISRKTEFVLPRLSEDVAQMQ LDTENAENLRGSIDRYVPKKSPYAVKVSAWGEAEPCVCCGKK I203_00821 MVFMGMARTHPALRPYRSKKPLDMSKIKDENESGQRRDIIWPRR KVESEDEVEDDPTVKTSFMTHTYTTDYPTLRSHERKRTLLSQVRHLTIGSIPNRFVIE DMSTWSFALSSVIRLHRRKRIFSKVKTLVLESHPILEMYDWYN I203_00822 MNVEDDSTSIPSKRSSPDLFFPVSDSEEEEDVITASGALAGPSS SAINPPSTATSSRANGQSGHSNRSKQSQEVFGSQNSDDIIALDDIKPSNGAGPSKFKR TFSASPPIAGSSIPNGFEQGYLGEFVCEGWSLSKGKGYCSPGSKVIFERPKPKAQVEE SRNSIRDTKGPARLVNGKVVGAKGKSIGGKQVTLGSMMSKKTTPVPPKKSNTKPVVDS IIRFRNDRGFVGRLSVQEAGFLTHLLDTGVIELSGHVIDCPQSLSTGSTILLNVKVFL ARKAFENVEKKDERKEEGTFWQEQKETTEEEAMRKRKDALGALFSRIGVKPLRSNALL LAQKKNGAAIINETSLQHFSDSPKPKRSPSPSKGSNGTASEKGKGKASAANSDDDGDG DGDEDSGDEAEKLDERQMNEIDAIYAKAQQGDNRLEETDPPDTFLYTLRPYQKQALTW MSAREKGDESIRDNQSLHPLWEEYAFKKEHIEGQPIEIEDEDDFVDPSRKFYWNPYSG ELSLTFPTSNTRAKGGILADAMGMGKTCMMASLIHTNIDADISSTPPPTAPEDEEPVS KRPKFKQVTLSNQWRATPTVPKPANVNLPRGTLVVCPVSLASQWHDELGKMSEKGTIT SFMWYGNDRIDIDRLLSQEGKKKVDVIITSYGTLASEYQKWKKNKDKPTYEGGNLYDH DFLRIVLDEAHNIKNRTAQVSKACYELKGQRRWALTGTPIVNRLDDLYSLLHFLRLEP WGHYSFFRSFVTIPFLNQDPKALNVVQYILESCLLRREKTMRDKDGKLIVDLPPKTVD IQVLDFSRPERQIYKHLEDRAKRRFIQLDAEGRAMSNYTSILAMLMKLRQCVDHPLLV LGKSTEDDESTDRLLDADTGDEKNNLKDLIALYAGGAKSKVNDRGEEEEVDHAFAEKV LKELGEQETTPICDICSNEMFDEVLLPCYHRCCQDCIVNWIGTCEDQNKPALCPSCSK GPIKLSDLRSIQRKRKRVNPLTGTHEEGEAGVTIGKVNLVQSTKLRALVRKLDLMRQE DPEVKTLVFSQFTSFLDLIETTLTKEGIRWLRFDGSMSQAQRAATIDEFGKKIKEPLV LLISLKAGGVGLNLTMANHVFMMDTWWNEAIEQQAIDRVHRLGQNKHVHVTRYIIKGT VEKRIMKIQRSKTALVNASLSGGASKDKGASLADIKKIFGLDDDDSEDEVY I203_00823 MDEQLAFLRHPPSSGPLPLACSFRSKSGEVELNYWPAKRGCESP PDRLILFILGNPGLLGYYPPFLTHLHSLLPPSHAILATSHIGHSTIIPGPKEPLDLPP QLESKIDLVHSLRKYLDDWSSGNGETGEKPKLSLMGHSVGAWLSCEVMKSLNTSPNQD QWPVQSGYLLFPTLGWISNTWNGWTLWPIFHRPFKPLLPFLSPLVRPILPYTSLPITS ISLVGSPEIIRHVLHLSRSEMDLIRDPDIEWYKSQNLEDQQRGLFGIWAKGNLDGWVG KDGPLVQDALGGEESGRARLLDGVPHAFCLTQENSELVAEVVANWIDPSSSRGPIDSP TIHPKEPLGADMMPM I203_00824 MSIENCYQHVNREIRLKQLTNFGKKRERVHNRRVESIIHCVPSE ARLLEERIAHEKSKKWELICPFTGYKAGDEDDWLGILEEAIRKVTYLDHLNLDMEMVE DADPCIGLYILYMHMTCYIIPLLYGRQRYSMRCLSTVRLPNDRSPDAPVASAE I203_00825 MFPLISTHPSTQRRYERFFCYMILGILIIIIESHFDLDPKIERL LQQVWRVLMSAASLENLLIILSDESSTKSYTASPDRFTLPNDVLRHIAAVSDRATLGK LMQVFWGCHDIVGPILYSKVQISRENARKVFMGLATTPYDSRIVRTKPRKKANAIENI RKSWWGSDYRKRFILGYPYTPYKEEDEEDFEPPHQVHDYPTVKSHNRKLQLLTNVKHL TIASLPSRIVSEDFAACVRHNFHNHGEDKPFSNVGTLVVKGQAVYDMALWIDDPLSRT TNTGHNHPFIYHLLNSMRPKAVCVSYPQLTTEHVERSLDKRLSPISPYLPEDQQTAIR EQASNTYRNYVHRLYRTVNYFTEIPKWTLDSFTIHQHKIGCLPYLSKCVKVFFESCHC DDSPDIHCLQHTADNKRRTMLNSLANRLKSLSLPRNKKKDCYSNLLEHCVLVMPKPRS KPEGDGAKEQWSEWKESIGQSHPSLLWQKDTIIMGWDEADPCSCCGLK I203_00826 MTAPQLYQELIITKTNAEKVFRGLAITPREGRVIRIRQDEGTRS LFERWTELWNLRVRPPYTLTYPYARVEEEDELDDNLTYPYLWRFNHYDRRYSSIASHH RKLTLLSYVKRLTIGSIPSRIVSQDFKSWCLLSSSSPIEQRLLPNIETIIITNQAIWE LAEWTDKYQTNQFDKHPFIDGLINVSSPDKICMTYPRLHQDQYPKFLNDRIGHLKNIL TSDEWSDKEEDLKRLFCRMAYFIDQAVLSFLQVHNASLSGWSPTEITLHGRRAGHLAF NHYHSLKTKSTRIFLDDCKIDHHRSPEPLTLCPQHTIEEDRKVHLGSVISDDCRIAKY KSLDAASHNDQDQWELILPRRFVEEDESERLMNWERWIDEILSKNPTFNKDRLKITTW SGAESCTCCSTKDGL I203_00827 MRIPIALAAQLQSSLASSSSGSVTGEILSISGPSTLRSCIPSTF HWTPTSGPYTLSLIDHTTSEDVEDMVLVTETKATWMVDISPGSNITLLVTDSKGNSAE SLNWVVEEGTTGCLGDLN I203_00828 MSSRVPSGSGSTIPFTPKPRKRTSSSRFSLNDRELNQFVGTLAS VRRVKPNAILEELEQSGEGSGLLARADGPSSRERPSSSSAAQKPSSSRISDRIPSSSS SAQAPRPSSSSSRHRDVSRPSSSRTVHAPLPSSTRPTPEPDSRKRNGKLPERVAELSL ADGPNGEEESRLLEDVPIEIQEAWICEDLLFVLQGVEGSLIRYDDDYDPLDGEQASKG ARWKVDPSLDPSLLSLVERLLPLATYFTAVEASMESHNSPEYGMVTHALSSGIRAMLK EYRVLTAQLESLFLSSPTFTLQTLYFHLHPTLHTMSLLAGLCLSLESEESGQDISDAS DDDDGLGGMAEELGLGGAGLKGLMQSLKAQEGLVGGGGPVLGGEVLGIICEREATMSG DPTASTLHSTLLLHASQPYCKMLVRWISTGYLSDPFEEFMVKESGHITKGVLESDYTD EYWERRYTLRDGSSLTSTKVASGKGTPSLGAGVPPPRTGTTRLPGGACIPGFLQPWKH KILLAGKYLNVIRECGIEVKKPNEVGEEEGDGMVVINEPKFYKRIEDAYIYANKTLLK LMVEEQELIPHLRSMKHFFFLDQSDFLTNFLDLAGSELRKPAKATSLVKLQSLLDLAV RNPASSSSNDPYKDDLKVVMQSQGLYEWLLKIVSKTGGLTEDGELDFAVGDGHDDEGG RRPEKERTLLAIDALAFDYSVKFPLSLVISRKTITRYQLIFRFLLHLHHLESALSSMW LEQKTPSWRSHCGNEDMEKWKSRIFSLRSRMLSFVRQVLAFATGEVLEPNWRALEAKL TKVQTVDQLLKDHVDFLDTCLKQCMLTTSKLLSIYAKLMTTISVFVSYQSSLNTALNK FLADPISESEPTKANSRWTALNKFEINFNHHTKLHLDAVTYNAGSENVALLALVTRLH QTTLRI I203_00829 MISDLIHPSYRLDLENLSNEITHVTRRLLGPPSSISPYLPLNPQ TSPHRLSRLVSLLPSRSRSLRRKGKYEPIDEKPLLSGHTGDPRNSDDSDTTLVPPGTP PLLKAEDILKEMAQPISNLQRYSNRLHHLNLTVGEYKKDDKEELIGLFKTICTRLIDL LESLKTLSRDKSMFDNDNISDRKDEDNGEMEMRDLMIQALIKKINIELTRFSSPIPSF SLNSSMTKNPFVLHSTSLTTDLTAPSSIFSGSGKVTDYDSEILISPAVSQRRESLSTP RPIKMTHHNHLDREIETMSLSSFSSLDKTVEGEYALSSNNNQNWAQNIIRLGSYQASW LFSNTPVAVMKLGGDHDERAKLI I203_00830 MSLYGGIKFASGSASASVSAPASSEEGKPSSSQPSSSINPTPTR PTSAAPQKTGEWSAALKFAPRVNKPKPPTARPVGFSNTTSTIASSSSNSNGNSPVPGV VDAQTQTKSDIIRSAEPVIATTIGVGVGISTGGQEVEDEVQFGPDGLPLAKAPAMTLA PKVVPGKGKREREGDDKKRKKKKKKRNNFGQPYISTFDPEEQYDPNRPNDLGEYQAYR KRMREERRLKLIEERRRKAEGLSSGESSYYTDSEDEAPRRDAPKMFAPPKIYNETPST STPNYPPPPAATSGEDAYTRRAAMASSGDDAYARRAALSQAPSTGDDAYARRVAMSQQ PASGDDAYARRVAMSQNPQPPSFTTSQPPSFAPPAQTVPPPPSSDIPGFASSVPIPTS TAAPAASDKEKEDFAKMLEDRKKAAEAIAAKFRAFGGGAAAGPSVSTPPVPVPALAED SGSGTFAEKMMRKWGHQEGSTLGIRNEGLIHALSAEHVINQPQPKPGETLSKRQIAKQ KTAMANLKNKKWVQAPNSRGRIVNANEDLRAMEAREKKGEESRIICLVGVVGSEEEID EDLADEIGEECSKYGIVERVVLHMVEPPPPEPSDCLRIFVVFSGMAGAWRATKELDGR FFGGRKIRVTYFDEGRFDRGDRDGEILG I203_00831 MHNRAPKFPGRPGPRKSNTSTPAPGDEGPYVPTLADRLSYADSP RPFKSPTFTSKLPSRTATTSTSIVRKNAKQILILERERLSGGDGFLSAVHTAAKARGE VIDITKKKKSTGAVGGKKGNIANLLKGRLKRELSTQQSESGSALGLGIDSQMDSGTST PLIDDEEEEMEVDTPNNEVVKVEGVIDNNIPRKEIITYHTPTAPPSLLPPKKYCDITG LHANYTDPRTKLRYKGLDVWHVVRGLGPGGDQAYLSLRGAQTSLK I203_00832 MSSSERKQIKVGILGATGTVGQRFIQLLSTHPYFVIHALGASSR STGQRYGKVTKWKLNTPIPKEIGNMVVQECKPDAEGFKDCGVVFSGLDADVAGEIEEA FRSANLIVFSNAKNYRRDPLCPLIVPLVNPSHLSIIPHQKKTLGLEKGYIVTNANCST TGLVVPLAALEKAFGPLQTVMVTTLQAISGAGYPGVSSLDILDNVVPHIGGEEEKIEW ETNKILGGLNSDNTQFDLHSNESVKAINVSATTTRVPVIDGHTGVVSVKFSKSPAPSM EEIDRAFREFRCEAQELNVPSAPPQAIVVHDAPDRPQPRLDRDLHNGACVSVGRVRPC PVLDVKFVCLIDNVRLGAATSSIMNAEIAVEKGLIV I203_00833 MVSAPVYDKSDPRSDKVFPYHLPLTPEWSEYKDPYGQTAMTAAG AGMFVKQPIIVWGAFILAVISLVNSQPLRQSKDSTSPLLTLGMGFAGVLANIMPKMML APQGQTDVPTPSP I203_00834 MDETYRAKLSGSPKPHPQVHVGDDHTPPHQDNHGDTIHIQPNHP ANNTSHPIPIQLPNIYVHPYPPRERTPPPPPPQPAPPPPAPAPVMPPVTVNVTAPPKE TVPKGRKIGPFRITKPHPLLWVSLILSVIALVLEVPKGSLPTLTGRHKALRTQEKLVS EKLALLTKLSTFLPPPLSSLVAPLDPTLPLQTSLLALNDHRQLDLLRLAPNLRFWNTA IGVPYGVGVGEWSIEDLGQGASVVRSKDAEREKEVWVLKVAEGSEENTNTLALSTALT HSLLLRDRLQNEPDHGHGHYHLDTSHGHIHGVRDDDDEEAYQSARREEWERLEERKRR DKERHREIEEREREVARREKWVVEEMRKMSDKIHGQATELTLEDRITERLKSYQRQLS HLKENKHDEL I203_00835 MPVPVANWGLPLAALSDIVNRDEESISGVMSPTLAAYSMIFMRF AWRVQPRNYLLFACHATNATAQLVQEGRYLNYWHFGGREKKHPIGAAVNDVKETAKEV VDKVKA I203_00836 MITRRLASTVSVPPKDKSHRKIVLVGAGFLGSYIAKALIADPRN RVLLVSRHPEKLHSRLSHLGSQILPPHPADITSKDNGSLREAFKDASAVVSMVGVLVG SEKKMDLVQRQGTENVSRLAKDMGVGRVVGISAIGADEGGVTAYWRTKAQGERAILND HPAATIIRPSIIFGPGDSFFNRFATLAKWLPFLPVFGGGLVRFQPVYAGDIARAVEIC CRDDPQVVKQIGGKIIEAGGPDVYTYREIMQLVLRYSGYQGRRLIISLPFWVGKIQGF FLEKLPENLFTVTRDQVEQLRSDNIVSPSPPLNSLSFKDLLKSFPSSLPSSAPPGDPG LTPVEKILPTYLGPQDTQQKGKRTHGRNFDTGLEEVRKMSGKK I203_00837 MIRKQRPTFTSSELEMQLQQINLDPTSSTTENLEALAPLIKSIQ DTDSEQLYLRSLDKFVEEKEREIEKICQENYEDFVSSVSTLLTIRQGSGHLRRRIGEL DGQMGDVGRALGEKKRALLEQKKVARNMDDAIETLQTCLRLLDLVHRVGEMIREGKYW GALRSIEDLLHLTPPSISQTPFYAHILSSLPSLRLSIKDAVTASTKSWLFDVRESGAK VGKLALEQMSQRIKKWRAKREKEGGVRLARVGGAMELVHNERVEFDALDNEDVKVDFK PLYQCIHIYEALESKPELQRSYQVDRKTQATLILTSRLSTTPETLLSTLPLLMQELVG FFIIESHLLRTMPDFRTQRDVDELWDEMCKRIVEVVGQGLKGCSEPEVFLESKTNVLL FVQTLEGYDYNITELNGLLITLFERYSELLLRKFSTDFDQIVSEDDNQPMMVNDQEEF DQVAGVCWLAPGEMESLAMQGFPQAMPFSQTYPMCCINIRNFVDQFYQFTDGVAQQHL DIDEVLRKSLDGLLSDHVSKQIAKRLQAMSNLSQIAQVVINLEHFSTACDELEGVLMN LRASQRGGPVHLSSCKQFSATLEQAEKRIDSVINSKLESFFELAEYNWLPTRPQSTAA EPSTYVFEMITFLTAYVDSVLIGLNEGVKTRAYLNALGRINKWLMDMLCGKEVIRFNE MALASVLADVTFIEAEIKRLDKSDLDRVFDEVKHTINIILSDAVSAYMEPSIRSMSYS SVKPIRLAVILAKLGKAHALGGAQSSMAKAERRRREADEVAKLAGR I203_00838 MSQEEDFVLLESSDGYTFVLSRKIAEASGTLKSMLDEEANFEEA KNKTCKIQQRGVILLKVIEYLAYKVQYSEFNAEDIKEDFSDRIDPYIALELLTAADFL EA I203_00839 MATSTAELGEDIRKGLEDLSTCEISDALVKLGNVTGGFIPNLNI YSPSGREEEDVKVVGRVFTVRLVEQERPDQEHSNGSKAEQHFVDAAPSGSVILISPDF VSGAACWGGLMSTAAKAKGIKGVVVLGGCRDFKEHREIGFPVFAQFHSTLGQKTFLRP SEYNASLEIPILPPPHHIQIPHSEPIRKTRVDPGDILVGDIDGVVAIPFHQVEEVIRV AQKGREVDENVKKDLEVGKGVKESMKKWRGS I203_00840 MTTLFQLAPIPLTEKQSSLFASSSKLPDIKPRFVLPPLDDHISG TERLLGSLRGISRYELQDLSINPTPTKAKQPLVQLLTDTEEVNVKPVEDEGEDDIWQK AVEQPEAGPSRRIIFEPLKTWDNVASSPSSNLGNSPFLSEKSTFTFDALLTSLEPPIA LPKLGKLSGAQSTPIHDSKTLLELMMRSTLGTTITDHLKWNGRKARFIWADQGGRPIG VERVTAYSMIERFLDIGSSIRRLEIMVDSQSTLPLTPTHHALLHGVSTYLAFIKERLT MAVEECTKESYAGWNKWLGATKDVRELGETLCEVLCWPLTTSEALALPTRSSALLSHI YNHLLASFATSSPFSSPSSPSALALAFLFRQSIGPFLSLLRAWIGLSDSSTQDEDTDS NSQPWLDLGIIRQLRSSGSWEYTFSSRKMPNFIPKSDRRTFFEAGKNLRLLREASANT HPLCSSNWGVDVSFDWGDGCVDDMKVHSKRVKKEIDHWRRSTKDLSKSLIGSTSSAKL RLSHVASSPQPTQEMDQGVGTFETGLRELDDLWNLFNQSPGSHLNSQIHQKIDKTSQI WTSTPLDKLHQFLSRHSSESILPSDSPTLPIFISTHLLSPLLNHSMMISSSLVSLYLD DLNFLDHLDILYAYFLGGDVNFLGRVSDSLFGKDNAGAGEAMGLGRRARTRVRLGLDP MLNNNHHTLGDGERGDWGIGLGVGLSERSKWPPGGSELAYALRTTVMDERDTRVKVKG GGEGGPWDEVQDRVSFAIKQLDEEDKGRRAKWLDPQGKCLALDFLYLSYSPPSSITPL LPTSLLSKYQTIHNLILRVSRCQIVLRTMYWQVLHQSETKDKPTKTGIDSGFNRPSSR NSLKKSREREVHTLFPPRSNIERKVQVLRFRMSHLVNSFGEYLDSVIGYRWGMMRRRL KRLKRKEIISKGRPSSPTKSEMQDQDDSDGDYLYAAEYGDGDVEDDEDEDDEDGIVEI RQLKSPHSIMVYHQITLNRILDSCLLNHENSQGQQVTFKLLMSLLKMILDLGKALVEV SRGDMGWVDEKEMVERLEDEWEEKEKVFLHALERLSVRTPTQTNRDRREDSEEGEEGK KTEDDLQILLSGNQDVLNIGGRVRGEGDDLKELLLRLGLGHGEGQYR I203_00841 MSRPEEISPPEIFYGDIEATKYTSNTRVKSIQAQMTRRALELLA LPEDETAFVLDIGCGSGLSGELLDEAGHVWVGCDIAPSMLEVALEREVEGDLFLQDIG QGFGFRPGTFDGAISISVIQWLLNADSTSHSPAQRLTRFFTTLHSALKNPSRAIFQFY PSSDDQVQMIVNAAQRAGFGGGLVVDYPNSKKARKMYLCLMVGQQEIPKALNGDEMEV DEGASLGMKKRREEILNEKRRRKDSAGGKKGKKSKKDMDAKEWIMKKKELYRSRGKEG VPNDSKYTARKRKVYF I203_00842 MTYSYPRRSPSPYFEPYPRALDPRMDYRDRYDRPPPRRSGPPQL QEAEPNNVLGVFGLSIRTRERDLEDEFMRYGDVEKVVIVYDQRTDRSRGFGFITMRTI EDATRAIEKLNGLSLHGRNIRVDFSATQKPHAPTPGQYMGVKRPTYEDRYNDRRGYDS RSRGYGDRYDRGGYEGRSRGYDDRGEYDSRSRGYDSRPPRDDRDRYADRDDRGRRDYD ERPKRDDYDDRRRSPSPRRRYSASPDRGSRAARDYESSGAAPAGGAAEAPRY I203_00843 MSTYDSPAPTPSSHQNTFDTRLAYIQGSTSSHHHHQHNVSTSSS STPLNRVPTTPSHPGCVLCSLVASTSDQLQNQSSNSHSAQTALLPPGERSSSPSPLLA RRRSGTSVNTNGKEAVHQDSQVTVYKAEGKERLCSDGKHLVVVVNKHVENVYEFGPSD VPLLSHIIDLSHQLLSSTAGQSSSTPSEAERGKGKGKENDVRVGFVGSVMKDPQIPHA HLHAHAMVGPIDTSLPGATFWRRNVVFGPMNWWGIEDLRAEIREESSNNRVKSGYQHR DRAPIDRVPDAGSIAGLPNALDPSHYTDHSPPGSANKADAMSRTLSVRSTREGGTGSP TSPAQVYVTPSKGKEPDRSGGSESSRRSEVGEGEGEEGYVAVDLDDLSTGDVGGNASV SRVERGGRI I203_00844 MLTTPLLSLFLLLPTLALGDSGHNNRMDIRSHARQRNHARAAAL QENESRMLRREFNDAVEHKMARKLSRGGLEFAKRKADGTQCRPKNGTVPTTSWKNASP SSTATSTSVVASSAAAASSDVATTTTSTDQAQATASSTTDQQATSSSSADSSAATGVS LVSTPASYAGHTPNGNKAGVSAGDSLSWLSGKLGWWYDWSATPSGSCGNAVSVPMIWG GGTADIDDASRLEAFKSLNYVPQYIIGFEEPDCAAGSGSAGMDVSTAISIWNQYVVPK GEAGSILVGPSMCKQAAESGWLGPFMAGVTRKPDIMNIHVNKNSAAGIYADIDHYYNT YGLPIWVTEFACVDDSTSFIPCTDQSEINSFIHTAVDIFESDSRIAGYAYSNGYGLGD VWPMVSNGQLTASGQTYLAALSKYH I203_00845 MFSLYAFTLLTFSSFLTLISAKYVDLVSPSEQDLATQLEQYALT HHQEVYHFIAKTYDDRIVIQIRFENDTVGFEGYFTETPQLLEDLELVQKNIYHATVQA GLEGYSNDAVSIDTLKAYRYGTPPEGHQESKGVLCRPCRECLRDVHGLLEKKAYSRCG LQSIRDTNFSGSNITADNNIMSTSTANSKTPLEDQVLPSERPQALSIVEYHTSHPETY IFIARTTSQKVIIQVRHDDPDDKLGIEGYFTETPELIRHIEQAQEDVWKATVDAGLDK YPGVKTLEQMREWRYDGNNYPKRDEKPPWGDRPAPWERDDEGDIRDD I203_00846 MRSTIALTFLFISSLIYSALADYVDMVIESEKDLAATLDKFVED HPDTYDFIAKTFDGKIVIQIRFEDDTVGIEGFFTETEELLDDLDVAQKSILGSISLSD DYNDQQWGGTTLASLQGYRYGAPDELEKRLICAPCRGCLRDINEHLKKRVSLCGQFCA TRLSCVVPGCQRCYYTGGACLWQKSCQRVSFFHLSIQSDNVVTE I203_00847 MRSIITLTFLAITSLLTTVLGSYVDMVIDSEKELAGTLDKFVED HPDTYDFIAKSHDGRIVIQVRFEDDTVGIEGFFTETPELLDDLDVAQKSILASTVSAG LDEQSGTTLESLQAYRYGAPDEGDLHKRQSCQPCRECLRDVNEHLKKRASFCEDATIL AELVFGKNHIKEVSL I203_00848 MTSTPSPLPSSDQSQSNDTPRRQDRSNSGNPSNDYHSPSAYLEQ VYGQSNPNTPHGHEVSYEVPLTDVSVTHDQPQHHPQQPPAHSIPHLDDNYAFSYSDYP ANDDNEPFLPIQEQATPPAPSREEDDKTPIVTDIHAQPINLPCPPNMSSNDQTIRASS HKKPPLLSPFKTFHDPQQSHQPQAQAQQCLAQPQSPVYPLPIEHQQYLDNAAMYYGQQ QPGFIAYPQQISPSVPMSFGQFPPQYAGIPPQMNGMYSAEMYQPQPQYAFAGPPRSRN GSPTSSIASSGVSLARTASTSSDFRTTRPKVKLTYEDKRNIVNLHRQNSSLRQEDIAR MYGVDRSTISKIILSSHRWTQPQEPQAPPAPKVPKAVGGRFPAVEAKLDEWMDAQLAA GQDVRDNIAREKAKSFAREIGFPMDRFKASAKWLDKFKDRRKAAGKAVVSPSQPEYGY YSYAANPYPVMVSPMEGGVNLSRSQSTVTLSSSDSSGQEQYPAPVYLQTDSVHSRMGS TRSESDLLNSHDSTPNSRSRSQSSPHVLIEPGMQSPSSGKVLKHRPTPLALQRQNSFQ GSTTSPSPRRPGGLIRTNSTQGNARRTGRPLSLAASAFGFTNVDPTTSAQQSPIHSPT IGGGHSRQRSDVSVSNGFSGMTLSPNVSDNGRSSNESGMMPMPLSLGNIPPLTPITPA QGSTGSVSNNGAFPNMEYGDIIPNDMGNVPMIPVHHPHHQQQIHYATMPNKHYISHHP HPHAQQMYTPHGAMVYPSAPSDFGPPTTAQGYIIPYQPHTQQWQ I203_00849 MGSKRASAILSPPMSPSPSAIPRPHSSIGSTRPRLCSDASTVMG DSADIRNVKVVLRLRPSDPNDTSVPPRFRNVLVHPTTSSEVRLDVDPATLAGQAIGTS HAGGNKKHPLFNFDHVLGEQATQTELYDVTAKETVDEFMKGHNVTFLAYGQTSSGKSY SMGTTGEEVDYSGTEFTPRTGLIPRTVHTIFEKAEEIKQQSGPGASWECRLSFLELYN EEIIDLLSGSGVAIQIREERDGRIVWAGVKEVKVKSLEEVMQLLQEGSERRKTGETNM NASSSRSHAIFSLTLVQKKRSGLTMTPPNSSGIPRSETPTRGLRRPSSTIGLPGPRSP TPSGGRGGPPSSFGRATPTTRPLSMQPPPSPGTNEFVITTSKFNMVDLAGSERLKRTA AQGDRMKEGISINSGLLALGNVISTLCDPVKARGHIPYRDSKLTRMLQDSIGGNSLTT MIACISPIEANIGETINTIKYASRARNIRNQAKINQVEAGWDDVEHLQSTVTKLRKQL AMLSEDGKIPTANGAAASEESIKQSEKLIQRLAELQREHTELYDRYLQKCSENMRLSS ELRSREPSDSDASSKFNDMVEPVILEYEKVVSALNQQLDELRGELSAMSELQDDQNRQ LQEARDRQTQSESYVSELRTRLAKLTERNTSSEAYIHDLEAKLKSLADKEESHADVVA ELKKDIAKLRENNVTLNQHTSELEVRLSKSEAHSSNLTAQVEKQEREAERREAAYREL EAHIALLDTSKDNKLLLEELDQRDQKIAELERELEEKVAFEDKERSQLLDAVQAERSI QSELRSRLASMQASASSAATTTGPASPPPEREEDSTESVVMPRSPLRTTKQLTPPESP QEAPTRPVHDGTKDEVEELKRALRDLSEKYSNAKSRVADLTTQLSEARLVNAEIEDTI PPSPSPATEDEEETLSEAETTLQTPRDSMQSTSPSRRRGSMPILAATKAQGGPGPGFR GGRGYGDSARMRPQSLSQELSSAQSLGTSPRTSWTPIQSHSRSNSLLLSSPSPTQSLH TLKPSRSSQSLEAELKFVHRIVEERDEELKDREAYIKQLEENIRTQNKKHNIPSLASS APIIETHNHGNIPSTPMKTPKIKIPDTPPRDIPSPDSPNTPRTPIVKLPETEPVLENG ETPKRDGLAVPPNDEMEVGGLSPKSVKRFSQLKDTLSQLENGGSEKTEAQVKIDELMK EMVDKEESQKKIIEQQFIQIADLQKMNNKLKEELTERELETPSTAEYDELRRERDQLI AEKAQTFPHVPSYPPKTSTVDPLVVERLKAEHAEDLRSLIQGHKDTITALQTEHVAAL EKLKSTVESNESAYRAEIQSLQSRHDVITDNLKSRHNETIEAIQNENNMIAEEMEKSL SQSEETRRQLKMKADQALFELSRIRDEHALQRNTDAKSISELTKSRNTLEKLKVDLEN NISELKNVNGELTRKISDLEVKISRKSVIPPPQGPPPTTPLPPLPKKHSMNNMRSELG SPTSVSGFNRDGGDYFGGAGRQKQISKLINEKDIIAGEREELIGEKEDLKKEMKDVKR KVQDVENKLMEEKVKVTNLTFDLRESQKQNTKLRQHLDESKNQVKQLTDTCNLHITEL NARREEANRFSEEGHKNRDSLQAAQAQVELLKRQLDKAVEKKVNKKLLGCF I203_00850 MSSHAVLPQGEPHPANFKPKDNPAFILYDKLKTGYEEQPIPELG PDEVLIEIKKTGICGSDVHFYNTGAMGLVALEEPMCLGHESAGIINVVGKGALQLGDK VALEPGVTCRMCTDCRGKPSICEHMVFAAYPPSRGGTLQRYYKLPADLVYRLPSSVAL EYGAMMEPLSVAVHAVANKGGMKTGYNILIFGAGPVGLLAMAVAKGMGANRIVAVDIN KERLDFAKGYAATDVYIPIKQNENETRPQYSVRAAADLLLSLGIPARGPGSIDLVVDA TGAEVCIQMGLNAVKPGGTYVQTGFGPPDIQIPMFRITTNEIVIKGGWRYGNGDYPLA IDLVNRGLVNLQPLLTHTFKFKDALEAFEITKAGTDKDGKFVIKCVIDGPE I203_00851 MLTRQSRFLRTLPTSAPSASRLAAAPAVTQRRYKSTDRSSRIHT SSTQNAPREVAPRDKGISLEAKDRVRAHIRTLQSSAITGASPAVRPAPAQHFQTPSPA QTIPKNTPTYESETGTQIKNGLDYSFVGLSGGQIFHEMMLRHDVKQVFGYPGGAILPV FDAIYNSPHFEFVLPRHEQGAGHMAEGYARVSGKPGVVLVTSGPGATNVITPMQDALS DGVPMVVFCGQVATNLIGSDSFQEADMVGISRSCTKWNVMVKDIAELPRRINEAFKIA TTGRPGPVLVDLPKDVTAAILRTPVPAKSAQPGSSPYLPSSPLNPTNSSAPIPGEPSL IAAAAKMINMAKRPIVYAGNGVLASAEGPKLLKQLSDLGSIPVTTTLQGLGAYDERDE KSLHMLGMHGSAYANFAMQEADVIIALGARFDDRVTGKVDTFAPAAKAAAQEGTGGII HFEIQPKNINKIVEAQIPVLGDVVASLGQLLPQIEAVDRSAWIARCKANKERYPFTFT PSAEGQKLKPQEVVQELNKQSEIIGKEKVIVTTGVGQHQMWACQYYRWTEPRSWVSSG GLGTMGFGLPSAIGAKVAAPEKVVVDIDGDASFSMTAMELATASQYNIGVKILLFNNE FQGMVEQWQDLFYENRYSHTRMTNPNFVKLSESMGAKALRCTNLKDLPAMMKEFLEYD GTRPIVMECIVSSEHVYPMVPAGKALHEQILHPLLRTKSN I203_00852 MIIRHEEEMIWEPEHASNIPVHYLAVDTNVFICHLNLIRTIHTL LLGLRPSPVIFLLPSVVIHELDTLKTSRAPPEPNSPITLGRIVQAANTWLLEVHRTRR MTGIGALRCQSLKEQWDTKIKDHGQNDDQILDCCLHFANHGAKVTLWTNDKNLSVKAE SNDVATLGAQNMTLTKFFKSIDEIFPESLWNEVNRLSIYDEPSYEQQNGDGVRVFDDD MDMDMDMDHDLHDNISDHRLDGTTPEEESRRYPYLLPQPTSSPPLSSYQPASLSLSTT PIPSIWNPNSHLPLPTPTQAPSPSPFQQNRQPTSPNSIPMDRHSSSSKSSSKSISMNS RSSVSPTKRPTSRPSSPTSCSTSTSTPAPANRTPGSTSTFNGPSRIILTSLQLSLRPF AITLLRQRPNPPRPTDEPLETQQILPTLVDTLSTLDTTLQHQGEPPSSEIRISLIRSI GAVKTINNYIDNHTGVVFKKSLEKGNRRIRSGEIVQCLEILRKTFKDLGLGVDEDLER LDDVIEDIKKLD I203_00854 MTRLDPPQIPQSNHSLLFATSKGDIIPVEINRYILSILELQGHY KALCKVQQLSSTLYHLAAPYLHRNIHLSPLCFVRLFRQFIQVNPADGRFVLSSHYQSS EYTEPIPTIGINQGKSLIKLSDVMRSRYLLTLVRHITIISKEDLSLDTIYSSTHVRSF LQGLSLLPNVESICFRGTYRRPIRIDFRGEFITLVCQQPKDICIKWLHDDYNVQEIID SLNKDRLESVTIHGANKGFLPPAGRWSVRMSLALPENQLRDRVLKVCAYTIIKASESL RDGKCGRWRIVSGDGTKVETSEMIKESLEEVGPLIDELPKDEW I203_00855 MLVPSFAAPSFTAQYGQHLPPEITSSIIFTLYHDKAFGALAKLQ RTCKAFYGIFTPLIYRHIHLDRQTLHGLMCQFLVKDADSEEWWVPQDWYKKENMAPTV DPLDLDMMDVPFQVRMRSLLALVESITVPHSVNSITQDPFTNKIHAIASSVWSSTNQR LFPNLKYLCLQGTPFQPIAVGPVSIFLGSASEPTHLCLHYPEACTNIPSLLCQKDNTV TNLTIHNSCGVELPILSQVDLTMIYMSITCDPSCEKSRDRNGLCSGHSAEYKARQLFS AGIKQATLSNRDAKTWKIGMVAETPSKAAEELQKIRMEFKRLVVESCGNLDAVSSRNK DVELKKGTRAYIRQCAKQFVDNLRWLTPDELKHEPDCEICGALINLQSCSKQSYRIVT HYLYQNFHLTARALHHFVRQIFDTSLGLQPPLLPKDYNHSDYMGSLIDLDTCRVPTQD VSPSRWIRSLFTHIKRISLTFDKGQIDPVVHHCLSHLTSFARSVHLHTNDFLFPNLTS VSLSPASPNGTIPVYPLSLFLREACQPSHLHIERPHRDPGLGHFVLLIKGSVQSAKLL DVNIHYLPSAGSVRLKIRYLKAKCGNMCSSPDHQFQVGFPFTCRFATYLQRGKKLFNA CISSKVGLDDWKEWNIVHGDEGECEEGISRMVDHLKHLVEEISDWVYEGFNDDDDIYW EGVSDDEREEFLKRGRKFVETLKVINENR I203_00856 MLTKSLLLIVLTFLGIVTCLPVNDDSTGVVGPDGKRCLGQCYPG KRDETEPAIAQTFVASEIGPDGKRCLGQCYPGKREVPPVVPAGEIGPDGKRCLGQCSP MKRVEEERRCVGQCAPPKRDDEPILPKRCIGQQ I203_00857 MLPRSLRTLIHQPGPSSLRPICAECQRPLLPARRALPLLNLPLN VIRTARYASSSIPGSRQNINSNNGNKSANPKLPLSHKPPKRRLEAASQPLRNAASNTR GPVLQCIAHTTAERYDLMTLSGVLRSMGIRWDEVPEGDRDRAFVISPWKGRSGLEKII RQSTTPLSPINLNKSPEVEWVENEDNHHDDYLSRDTTNGKRGEMGFGYGEKGEIWIFN NGSFVTWGLTEEEGRSFLREIIRKKGWKVEVGKYDANEYEVEEVDFVVDPTAKTHILG NLILLGRPPSLSTFSPSPSLASLLARYTLSLSLSRSSALSVLEDRLDNHIAAVSILPR ALQKTGRQPLDRKEVIRKMGELMTLRMNVNTSGGGLDDTPEFYWSEPELESYFDSIAS EFEIKERIDAFNKKLDYAQEVQSTLRALLTESSGHRMEIIIILLITVEVVIALIREGP ELVHKFREFIDEHTNSRVRNYTEEIEKLEETLKEVREKIPPVGLLASTSTSTTSSSSS SSSFSREGRSEVQETRLV I203_00858 MSNLAAQVILHPKLSQSLAVLATTVGRDKAYRLIQYLSRLIAWS LLRRGNVEAAARWEGLKNGLATGRKVMRLFRPAEFLQSAMNLAQKPITNLRGPAQIAQ LAQIGRQVGYAGFFTNDMIVWLGQVRFFKLDKVQLERFQRLTFKFWLSGIIFSLISSS ASIVKLRGDSRRFALSAEISRRESSEKGPDERTREESERRERGRALLAQRQTLLSQLI SDSCDIWIPATGLGYTNVNDGVLGALGTITSYMSLQTQWRKHAAAGLRKSI I203_00859 MLGLGRVGHWMFDLIAISTIIAGVKKNTGYGFHLGMIQDTAIRS FLDQYFQLGETVFGIISGYVVNSRYFKRQVE I203_00860 MPPVHPPPHPLDPPEEEMARRRAAISRRIQFHREMARLHPPAEP PINLDDFKDFMRGLGVFIAAPIVIPMRLIHHAITSPLTISVILKLLLLGFLLVASSIF SVLAVGAFFWSWKIGGNIEVEGWLFYGSKAHRPPHTTVYFPLEKIEQDLRYDVQVELE LVRPTKGTTDEMGNFMLSLELRALDQPDRTLVTAAQPSLPPPPISSTFLSIPTLPTSY IPCILPYPFRSFCPSRLLGYSVPTRKIRERRAKGGFSSRERGKDVVPLKKDLMEGVIL KPGKNTEMVIGSGFVSIGREDLFDERQDGDEKCKPPSREVKTTGWVLIRLIPRPTGIR WILSSHPLPPLLLLPPLSLSLTFSSSILAFTIITFLRHGSSNNKGKKDKYNKTLTDGS GDAKGPFDAKDKDERREGERRKKEWEEIQSSLGGGMEIKKGSSAGRGTTVGGSETAFA SSSSSSFGPTLMTRTISTSSTSPSTSDSAETVTPTRLRDRGQGVVGSGGSSGSSGSER NLRRRGSGSRRGSATGTGIALSAGGSGTERAGNGNQAEVEDEGEETEMDVGRERRSRR GDEDWREFGREFGLHT I203_00861 MSSHPIVSIIPPPRSLPKDHHGPFQSSKQKSKSKTKSPIEEDDE DGCHNPTYHKPKYFKNPWPSYRTASLHDAYLAYQLGAAVALPPHQTPGSSKLGLTDQE PYDEDGNDDPREEDGSLRAETDSMTPKRLYIRPDFSEVKEDDEFDDWREPPVRTVEPT WLSSSAAEEGGEDRREKVTWLGHAGVLIRVPFKDRIGYAGVIFDPIFSYRCSPTQYVG PARYQDPPCKVSELPDIHICCISHDHYDHLDYYTIMDLWKYHQTTIHFLVPLGLRQWF ISSGIPSTRITELDWWHETIISFPPLTNPDESFESSLNLKFAFTPAQHRSGRGLLDHM TTLWGSWCFGVVEDEDRSKVNERGMRDWKGFKVFFGGDTGYRYATALEGDDDAICPAF EEIASYYSPFTLSLLPLSTGSSLPFLRTVLSLSLDQYTLTSSLHCSPLDSLEIHRIIQ SERSLGIHWGTFCDLDEARGTRVDFGRCRRKLGVSGDWDDEGVEERKGRFVVGDIGEI FVLPKKD I203_00862 MSEYQARKARMLELQREKEEELKRELAAKAAREKQLAKEAEELR KRQEAAAKEARRIELMRANEALNKKVEGGQKKELEYDPFAEDARPAPAVVKPSAKPTT HTNAKAAPSSSKQSVPGPSSKSYTTTNPTSRDYAKQKAQSHSPPPLGRKERAAKAFAQ SAKKSAGDSLFSIRSLVESRDQPRSPSGGSGGIPINRTYSAGNLGSGSGSSSSYKGSS SIAIHGLGMSNGLKRDPNQNRLIPGKQPKSTREQLNSQAKIDGLRKLCPDRATRDRRT IEEIQRDIKAKKGGSGSVSPLPPLPSSAGKGKERSPIKHNDNPKSSTSIPKAQISSSI KPTMRPKDHSRPPKRRQSPSSSDSNSDSSSDPSPPRKKFDPYSRRSRSPPVRLNEHSS HLDIRDEIQKLFRRPGASNRPTYRDEYSDSGSDMEAGLSDVEEEERRTARIARREDEL AEREEREHRLAKLKRKKEIEKKGKGK I203_00864 MQVPLELFLSICTILRDDGDYEALAALQQCSKRCYRVVTPFLYH HLRLTPFRLWHFTKQIFDTYGEFEGPLLPRRYESSDRLGSLVDIDTNKVPDLQVFRPR WIRSLFRYVKEITISVDEVDLCPEPFEFDSLASYARDVLLDTNQHLFPNVNTVNLEPH IACRPSRLTIDGPSYNADLGPFVNSFEGSVKEADMMNVGEQNLPAPGTVALKIAYGEA ECGASRVLHRDIKTPPPMSYLAVASQSTAAEETNYQMPVYLRRLI I203_00865 MIPSLRLARLPLQKPTYAFSRRLTTLGLRREDPKRIWERRTALT PDAVKGLISERKGQEELQVEVETCGRRCFSDQLYQQAGAKIVPSLSSDVDVVLGIKEP PVEYVHRLIDAKAGKERKWMMFSHTHKGQEYNTPLLEAFLSPTKGQTLIDHELLTAPS TARDGKPSRTRVAAFGWYAGAVGAGEALSMTGIALLKRGMASSLLHLPRPFASGTLAE FKASLRKCGQIIRSSPAAETKGQGPIVIAVTGAGNVSTGAKEMLNELNVEWVKAEELA GLKDAPTNRVYACMIPTSSYIIGTDGEPYDRTAYYKTPAQYKSVFAEKIAPYLTTIIN GVGWSSVFPRTLSNTDLSALLGASSGEGRKQKLVAVQDITCDKEGGLEFVNQFTTIDE PYFEGPGGILISSIDILPTELAADASAHFSSKILPYVRRALFPNAQGDKAGEDDTIQR AKIVDQGELLESHRWLLPKVEAWRSSSSSTNAAETTPNATPLAQSRQGGKKKVLLLGS GLVAGPAVEVFAARSDVHLAIASNNLSEAKSHMKDRANVEAIILDVGDEVALSEAVAA SDVVVSLLPAPMHPQVAKHCINFSKHLVTASYISPEMKSLDQAAKEKGVILLGECGLD PGIDSMAAMRILDRVKREGKRVTSFVSWCGGLPEPSASNVPLRYKFSWSPKAVLTAAQ NEAHYKMNNEIVKIPGDQLLSKHFPEVNLWSDLQLEGLANRDSIPYAEKYGLGEVEGL KDLFRGTLRYQGFSSLLDSFRKLGLLSNDPLKIVPENWQKFLSLSIARQVGYEKSLKA DDVPRVLSDLVVDQKIREETLEALSWFSLLPSSKSTSISSIPLPNLRAPIPVDLFAHL LSHKLAYNSGERDTCLLHHSFTLEGAGNEEKVIASLLHYGDEQSSAMSVTVGKTLAFA ALRVLDGQVTQRGVTGPYEREVWEGTLDSLESVGVVVKEEWS I203_00866 MSRIPSGSNLPNGLSAEYWSTWTPIRKGASVSESVDKNVGEEWI GGWDGIGLYEGNNKVPSYQILYVHLTNQRLILIPDINASSSLSSSSSTSVPSVQCHLS YVRQTEFYAGFMRSSAKITLTLGPAQPQQSQSQLPSSSSSSTPASASTSTEPNETSRG TGWTCYICGYVNTLHANRTTPLPESKCALCGIPYSTSKLSVSGPPSRSTTPAPSTSAS TTTSTAIDTPPADIGSAQDPTGTEEEDLVSCPACTFLNSSLLPHCEICSTPLPKKKNS AQNTQSNKPGNGSLEGGSKAETNLVRLSFRRGGEKEVYKRLKAVLSDKAWERGLNGSS SLVKPRDGDANGIEGLPRAGAGIDGILQQIDLNSKSHSNHISEAFADLEALMLRAGEM VRLAQSLNSKLTTQLNSSTTNQPKPTEEETTMIRSSLVQLGLTSPAVTKEMIRDEQRY HQGLAKELGELLTGRNGEGLMVGRDGRGVIALDEVWGLWMRARGVSLLPPSTLISILP FLPQHTSPSISSLVLPSSLQVLHTPTYSSPAILSRTLDRLNPSTFDEGGTEKSFTLLE FASIESLPIGLSREFIEILEKQHSGGLVRDDQGSMSEGGVRWYRDIISGWPVQNM I203_00867 MEISPDQLAKEIVEAYRKLPENERLLVAIAGPPGSGKSTLAYPL TDALNALLLHHPPPNPAHIEQPQSLEVAEADAQQGKNDQVAICVGLDGWHYSRKELDG FEDPKEAHWRRGAPFTFNLPSYTSFLQTIRQPISTSEQISFPTFDHSLKDPAISPVRI STQHRIIVIEGLYCLLDKEGWRDSAAHFDLKIWVEVDKKEARERVLKRNYEAGIVEDY KACEERVDAVDMANGEEVRTHLVGPDYIITSVQDHPFSREAFSGL I203_00868 MVNLTYGALLTSSDGSISFEPLGTDILATLQGNTSAFDPGDIAW VLTSAALIVFMLPGLGYLYSGLARRKNALSMLFLSLVSLGIVSFQWFFIGYSLVFSES GGSFFGDGRNVGFRQVLERPVPETNGKLPEIVFATYQLMFACLVPAVLLGAAAERSRI LPAMIFIFCWTTLVYDMLAHWIWSSNGWAYKWARCDITDAGGVPVEIASGTGGLAYSY FIGKRRGYGTERVAFKPSNVGHVVLGTVFLWVGWLGFNGGSCFAASLKAAMAVFVTNL AGSVGGIVWLIMDFRLERKWSMVGFCTGAIAGLVAITPAAGFVGAPAGALIGLVSAAV SNLSTRLKVTMRVDDPMDIFAVHALAGVVGVLMTGLFAQSSVAANDGFSEIDGGWMDH NWVQFAKQIVWALVGLGWTFVVTYAIMFVINLIPGCHFRSTEEAEIVGMDEVELGEFV ADYAFHHRDLEGLFDSNELSRFNSVTRFHLTSMGPKSYTRPSQAHLPPTMPRGGAAVA DAYGQGAQEVEKPRSRSRGRERSRSRSQFRASTPSGMDRLEEVHSSDELELKKLSGNG GGGVGQEQGSVGSSDLSQK I203_00869 MTELDARLGRLLEGRKDKNRFRSLKEYDTSPDSNLVDFSSNDYL SLTSSSSLRRSYLSRLSSTTSIFGSTGSRLLSGCTPSHSSLEKRFEEFFNSPSALLFN SGWDANVSFFSTVPQSTDWVIYDELVHASVHSGLRASRVPLDRRLPFDHNDPHSLEDV LKRITSQGSSTDKSTVFVAMESLYSMDGDFSPLPSLLDTLERFVPRERQCVVVDEAHS TGVYGEQGRGIVHALGEEASEHRRGKGRVDVRLMTFGKAVGCSGAVLLCSPTIRSFLI NFARPLIFSTALPHSTTIALECVWDVLQSEEGDKRRQSLMSLSSYIQSLLDQLLSRIS PTILHLPPDPIIPFPANSSILPSKPGSPILGLLTLTPHALSAFLLDRGFIVRPVVPPT VPPGGERVRICLRAGMEETVIKRLVNTLGEWAEMKLDNEKQVRAKL I203_00870 MPAPTFAPRTLYDKVFDDHVVYSGEGDTLLYIDRHLVHEVTSPQ AFEGLRNAGRQVRRPDCTLVTVDHNIPTASRKNFKDVNSFIVEADSRNQVSALEDNVK EFGLTYFGMSDKRQGIVHIIGPEQGFTLPGTTVCCGDSHTSTHGAFGALAFGIGTSEV EHILATQTLPQAKSKNMRVTVEGKVAEGVTSKDIVLHIIGVIGTAGGTGCVIEFAGSA IRELSMESRMSICNMSIEGGARAGMIAPDEITFKYLKGRPLSPREGEEWDKAEAYWRS LKSDPGAKYDIEVEIRAEDIIPTLTWGTSPQDVVPINGVVPSPEDFPEAQRKNVIRSL EYMGLTPGTPMEEVKIDKAFFGSCTNGRIEDMRSAARVIIAAEKNGGPSKVAEGVYAM IVPGSGLVKQQAEAEGLDVIFKKAGFDWREAGCSMCLGMNPDQLKPGERCASTSNRNF EGRQGAGGRTHLMSPAMVAAAALTGKFTDVRKFMGTHLSEDAGLKITDYSDYLTPVEA PARPAEPTEQTPQGQTPVKAAAAASAGLPKFNVVKGIAAPMWEANIDTDKIIPKQFLK TLLRTGLGAALFWTIRYDVRTNEPLPDFVLNKDPWNKSSLLVCTGPNFGCGSSREHAP WALNDFGIRCIMAPSFGDIFKTNCFKNGMLPLELPQSDLEALYEDASAGLEIAVDLEN QQVIRPNGKPPIPFTVDAFRRHCLINGLDDIGLTLEHRDEIENFEEKRTQVWPWLDGV GYAKKGQKIVAVPIKKSAPKTDW I203_00871 MFTKSTILLTLGALATSALGADLTISTPASLIQCQPALLSWTGG TAPYYLAVIPGGEPSAAALQDLGEQQGNSLTWTVSIASGTSITLKVTDSTGVVNYNQA VTVQAGSSNSCLTAAATSSAASASTPAAVSTASAAAAAAVTSGSASAATTSAAASKAS SAAGSASAAASSARSGAASAASAAASASTSAKSGAMSIKSIVNGAVVAVAAGILGLAL A I203_00872 MTVSLSLSLKRPKSTRGDSRSRPRSSTLSPPSSSSSSSCVEPFD LSVIVNEKEFDNLDSVQQVIDTQDKRPKKIKFQLNDLPASEEKMSSKLIKGRKRFVTE EGKNIPEALNLSGFRPGSDDNQLLPSPLIRPSFPKSPYPFPAPAPSTTQRSATLLPPN SNPRDKKYSHRRSRSFSELITSSSSITQPWRDRETWLAIHTPSQTPHITQRKGSIPAS LEDGAWRARGGGTFFGADMNGPYPSFKVRVKPLSPPTSPRRYFTSKQQDITSEPEELP QEDKTDSSPMEKMIYQHRSHSAWNVGNTQNTSSSPGSSDGEEHPMIPTKYHTSPPIQD DDDDDLLPPLLPRSITRSSSFESTSRNTTPIPWAQRSGTGSNSPGRRTPPKTPSRQNT SPLRKASDDGNQTPKTWRHVDPSPPRSGSVKEKETSPLITPRTPESPTRTISRMKGVG SKKHNIKKVGEVGGDTPLTPSSPTSYGNFWEDVDGRRESERTQQSPSKRFWKALRLAS PGRKHSEKSEVDRDSLHHGEDHVSPSKRSGWF I203_00873 MHSLSLLLQTLAVVLLAPLAIAAPAPDSIFLLQSSTFTPLGCSS TFHPTSILRQVPSPFACFSRCSDKQVAAYSQLQSGVLCACGEEDMLDDNENSKVRCRD NTWFLFKNNHEHVSTHSTETSEESTSTTTGETETAALTIQNQSQMNKKRDRLMPFMMM KKKSLQKVKRTAPMDYEIA I203_00874 MDINGASSAASSSRHTLDSNGSYAEEYPLPTDHLGGRGVDGIGS VNNSGRNGLAPMGGGNNEWKYEMRREAQQIIPGLWLGPFQSSTNLKKMKLMGITHVLC IRDKKESSLIYPRFPTEFRYMTLDISDNTDQNLITIFPKCRDFIEEAMTMGGTVLAHC NGGIALSPAIVVGYLMWKFNLSADNALAYVQSKRYCVSTMSMAQKMVQNAGFGAGTGN VNKRQALDEEDDVEDEEDRRRKQPMRSELISDISMD I203_00875 MTTDNSHHPNPGPSIPNETLPSSSGGITPATHRDSKATPTYGST SDSGNDKNDNENEQPCSPTYTLEGGTETPLMTATSPDASLVAIHGSVMASNHYPFPIP PGVGGRGGKHGKNTMSMDDWAKIAGNITGTSRKGSLTVSAHGQGHNAIEEEGRGTENS KNDGVGAHKEKEEEKKKTTTRTTKNERIIAIDFDDVCSENMAMIVKQHNLRYGTDLTL NDLRTYVFWQNRGFGTPAEVARKVQTLNNLLPLTTPIPGFVEGLRTLHELGHPIHIVT SRPEKDEQGLKDWLSAQGITIGHEPKDVIVQAHFTGSYGDVNKPIEPRGDDDEFKREL NERLKELWKDGVGKGKGGLAKLWILRNINASLFIDDHHGNLEPIITADPPIPCLLFGE YGWNQSRSGITSPVEMMDYNERMSSGLPLPFQEIQFGREQYIHRVKDWEELVQWVREW DEEEEEEEKEEKSG I203_00876 MILLPSIRARLSLLLVLITLISLVLYQRISQSNIEYAFPKDVLA DYTSPSTHCFWPERGATQESKGSLVDWLKWESSPSPSISTTQNGDGADASDNEFQWEG KLPDIIPSSGIEKYMLSHIEDLQSGWNPKHDFEEYGLKLGNTSLEGYTKELISTYKQY LLPSLGGGGVGGFMAPVLSRLSLRPPIQELPPRPKQVMTTDKSDELPYQFERWKEIMP DYQIKYFNDKSLKAWVKGVFGGTKAEKIWEKLPRVVLKTDIFRYMAMLVEGGIYTDSV IAAPIIHADSWGIPYHSETAPLLTHLSRLLSLSTSQHLPSSHPLSSFASEHSTDSVEG MIDLPVDKSKIYDGPLVDDGNELGEPGLVVSVESDAIDFGWTNWREVGLSRAVQITQW TFMARPGHPVFLDALGRTLRKSEEMEKKAKEAEEKGEEFIPETALEWTGPGVFSDCVY RYLLARYGFKPGDLIHQKEPIRVGDVLILPAGSYSSVSPFTDEEQRPWAASYHGFLGR WRESDPAVQEFERLKKLKAEAEEAEQKAKDEAEEAEKKVKEAEDRAKQAEEDERKAKE EADRLKLQEQAEAEAEKGDDGIPRGRIGDVPLSPIG I203_00877 MNTAGSVAYGWGALIVAAGVSFYYAKKEIDARRKDATLRGNRPL EKLSWEEKIAQQQSSTADKHLSPIQNSIPKESFKPPEEKK I203_00878 MADQAEPSFDAIFSSQQPPRRSSTSSSFNAYAFHNPYPSADQHQ MNPSPLGHTGGQFVDEPQQLNRKSSNASISSRPGNRDGASSSTSGSDVMMKGFNLDAN GNGRRQSSLRKESLPFNPEADSTIIATAPRQGNNISPNHQNLTSPPIGDIMFGGSGQR LDNQNQQQPQQQQLQQPYQQHQHAPWTGDNLVPAGGILQQQQQQAPIQYSYVQNNQQQ HSPFPSPIPTPSSAGLEYMTPGSANSLNNPTIYDGYSPYTDPNPALTPNNQANTGGWG QDFISQPESSNGGYSTGYGLGTGNDMQGSGMDDMMGMNDQEALNNELQRIISNTSHHS SAQQSREPSPYPQTAHTPDPNYSQTYQNQPEAMSAGPSRQGSFPTSRSPSPFAPPQQH QHQFDMTDLTSPPSTGQFPVTASSSQGNSPFLNKPQSPPALIIPNQHSPSPVLPPIVT TAVGGPGGNARRGVHAPRQGDLGGSVTGGLLPPANPALEHLTGMAGISPIAPSADGPM IYIQPSTPISGLKDGRGLFDAALRRAGQMTQQNQNGQPGQGEQNQSQQGFNVPPPQSH PLARPGSSDQIGQSDQSGNAGNNGMDFATAMAFQASQGWGQDNLGGLGTGIQIRPRAK SDSFMPSPTADSFDRQALFNFLMGTNPDNVSGMQDQQQQQQQQGVSDQWRSTVNAWRT GINDNDQNISSNSNTTLDPRLLPGQDNSITTQEAFERFLLQQQQQQQQQNQNTDPASQ QHQLNQLHAQRNRLPPLNTNTQGEGQGNGVFKYAPGEFSPTSMAFYQSIGLYPHSASE LPGTTSAPFYTTTFGNISGGAEGNLPNTVGPSQASFLPAPSNLQQHGRRRSFGGEGSN HPAAGAGTPGYGVEFTQNTQNHSSPFGQLRPGSVRGVSGGGHRRAAKSEDFGRVGTGW GVGAGGSTADFLQSITANDGSLLPPMNRGRSMSHSRNSSASSIRSASPALSISSQGSN WSNMERMELPDGVQLPTTGDQIQPVKQVQIQGKGRKRIAKMKVTSVATEVASTSRRTN SGAFKCPVPGCGSTFTRHFNLKGHLRSHNDERPYKCLYDGCPKSIVGFARQHDCKRHM LLHEGLRPFECEGCGKKFARLDALTRHHKSEQGQECAITHPLPVNPDGTPMSESQYKM YKGVKAPQPKTISSGDTPNLTSGGGGRRRSSGVKSEFLSGDDRSGGESDLGGGLSGME EYDRY I203_00879 MTLTTLEILRRQYLSLYPLYLIAPPDPSLLADPQSQKFLIDKLL GERYQPENEYRRKFWRRVVESMEVGLKELESQLELSEEERDELEIDERFYDLLTDLMV TNNANANGEAGPSSSGPKTTYRAFIYDKPNSSNYLSVITNTIDHGIENHTDRDDDRDE DKIVLLEEQIAIQGGTTGLRTWTAALNLAHHILRSPHLVLYDTELSHRGILELGAGTG FLSILLAQLGADVISTDLGIESDAQSINEIGTGQRHEEDGESRTPLGRLKYNVQLSVD QVSVKALDWADGSLLPEERPNIWSKLIEERRTIVAADVIYDPDLVPPLVNTIDVLLDD DGQNQAIISATVRNQETFDQFLITCGQHHLQVSFIEVPPINENGDDVRACPPFWDSAL DKGTEVKIMRINKLRRL I203_00880 MAPVKKSKSAKASESINTRLQLVVKSGKYTLGYKQALKQLRSGK SKLILISKNCPPIRKSELEYYAMLSKTNVHHYDGSNVDLGTAAGKLYRVGVMSIQDAG DSDLLQVETA I203_00881 MASATVIGLSLLGAGLAGRVGYQMFRASASGADKFLKGGFKAKM DKAEALQVLGLRDPITSNRLKDAHRRLMLANHPDRGGSPYLAGKVNEAKALLE I203_00882 MSIHFDPDVSESQLYSDLPAPLLLPPSELLEHYEPNVLAPLVRC SKLPFRHLTSLYETHITHTPMMLAEEFSRAQIARVSDFSTSSEERGVYWMTPSSGSSS STNGKGKEAVRVNHPDDDHLAPSTDELITYYRPPSNTKDRLPPSPTPPNRDAVLVRGS LIAQFASPNAKSLADAAELISPYVDGIDLNCGCPQRWAYNEGIGCALLRKPELVRDMV RGVRQRMGWGWSVSIKIRIDPDQKQTETLIRNALSAGISYVSIHGRTRHQPSTDPVNL KGIKFAVETVKGEIPCTGNGDIWSYEDVVTMREKTGVKGVMAARGLLANPALFCNYPS TPSHAVSQFISLSTCYGLIFPLFHRHLAYMLESDFSRNEKVWFNCLSSSTAAIDWLEG RGLDWRKDRGTIWDARRGRGILSTV I203_00883 MTTAVTETIPPSLPSTTNTISLDTYDEEQVRLMEERCILVDGND KAYGEDSKKTCHLMSNINTGLLHRAFSVFLFRPSDGRLLLQKRADEKITFPSMWTNTC CSHPLSIKAELVEENQSGVKSAAIRKLPQELGIPSSQLKFDDFIYLTKIHYLAPSDGL WGEHEIDYILFSTIDVDLKLNPNEVSDAKYVSKDELEAMFSDSDNSFTPWFKLIARDL LYPWWDEMLSKSKDQGTSGVDAKVLANGPKVGELIKML I203_00884 MSSALNDTSVHPTGAQGVAPGIQNVSTSSTAQSDDVFATGGQLS GHSGVPTESGYEHTISKDAKDVVEGKPGVIESTELAPLGDEKVASDPGLISQATSLAK DAYNAVVGEK I203_00885 MLPTGAQGSQPDIENTSTSSTGRREDQFATSANMSGHSGAAMES GYDRTHNSHGHRREYDHEPGQPHNPFKHPHDSCEAEPGLIESTDLAPLNHEVVAADHP MNKGQQQSQTSRMSKNM I203_00886 MRSLCLLLGVASVLPTVLSWGAAGHEMVATIAQIHLHPSTRQKL CDILPAEANCHLAPIAAWADQVRNRYRNTAPMHYINGIDDFPPERCVFGEHGWANEDV NVVTAIQNFTRIIMDGKAGRDSDIPLRFLVHFLGDMHQPLHLTGRDKGGNGAQFRFEG RQRNLHSVWDGGIITKNIRELSNYTSPLPSKQIESNLLGAIFDPYVRWIVWEGIREWW RPNLESWLECPLEGDPFPHSSHTDIPPSTPALIKEYFRTASSIVLSLLPGSLSALTEV RYPIPTRETEGFEDQAIALHPTNLKLKGVNQTTFPACPYTWSKDVHSLNCQIAWPKEY KNNDHPLIELDTDKYLGEIGRQKVVERLLAMAGVRLAKILNEALAEEGQLVGNGLYFD Y I203_00887 MESVLSPNTFAFLNYLDTFSADNSSTAGKDALANPTSLPPSAFF NNMPVPGRDTPEDTPPSAKEPSASPDKHDRPLSLTDESDEEDSPARELNSNRRVSGSG ANKRKVGLTTRNRNNSIEDDDEEDSDSEVSGHEDKRMHNNDKPTKSSGKKGGRKSNGG EGKEPNKAAKRKEQNRAAQKAFRERREAKVKDLEAKVAELEAKSYGASVENENLRGIL KRLQEENISLKQSAFTFSMPVNGSSSTANNSNSNSTSPFAIPRPQTAKPPTPPLSSVD DGLKSINDVTAPQHNNNGRYVDSPESLVSMNSNNGNTTDSSSQQQQPQADLLQSNAFN AFLFGNNTNANTTTDNNINRPNANPVQSRSDSLSSTQLQSFGTSSSSSVAPSSPSNQS DINALWASLYPQGVEALLQDQSQGNKNDNNQQVASALYGNNVNPTPFTMLNSQPALMS FADAGESMWDPLGLLGANPVNSLPQATQASQQQQQQQQLPQAQVQPVQSVQPVQSQPQ VNDFNRFAFRDPTSEVAAVTDPMNNWNEITDNSVNEFLASLTGSRNNNNNLDTDMNQD DAFNAQLQQIFGGNSPSQLFNLTNSAQPSSSGGNNPFSPTNYLNMSPSPLQSQSQSQP ESNAQSPQSSVSVNDRSSYDSPQSVTSSSASSHPSTSSASAAPVQAHASSFASCMMGG NKSLSPGISTFGPPKTAAEIVHVVDTDGKIVKPSDLWLRFGMKHDHVVDHLMIDDLCD QMRSKATCNDSTGRMEITVKDAEQMFKWEGGNDHQARIERMKNRVTND I203_00888 MADCPAQLRPIVPSSTSHPAPLGESDINLCQHDPKDMILDINSM NPPPLSPEVAHTDKPTTGETKSTKVYENPKEDQDTSSPLSEINEDLAHLAPPQIFHPI TSDMIRLPRIADISQIQKPQTRPESQRDKENQPYQSSLTSQQTKNGGVQSNLIFPHLQ NSLVAGGSSSTLAPDKVTFASSVQAGLNGKKIFVRERSRTSLGVVVKGEKSCLDWPWK DDEEDEVVDDGQGKTGEDDRSLFSAYLTSAQNSQEVGEADSSEKVKEVVDGAQSSPPP AEEGGQIAATMAEIDKKGTEGAPRNVPYMTTGTISTLPLPAPPIRTSILTRLAMPRSN SVPTTDLIRHHIIKDNPSTAPAHHVSLPSTKMIIHPKPVVLPDSHVQACSSSNQPTRS TAAAWAHSIGVSATTEASSSTQQLPLPQQGYQFPIPPPAAAQENEGDREPQVIILRRL LQAKEIIESLQDRVREVEMERDWSWASLFWTNNVADKLVPQHLFWHISNIFAKLCGRY IDGPPTMTTGNLKPLSPSIYTLLHELASAVGSPPPSLHPLATCPTLESIFYRSLRVLM RDYDPLTPVRFDNQDLNEEQEVTIPVDKPIEYFQPPDVLWTFVHIGEPNLYIPLLNAI KTSLWACPDTRRGISLLLLLGRLQGGKMSLGVRNRPWARNLGLYLEQNGCSLPSSPSP DSTTTTTATKPFRPVFGKVDEEEIKGAMKFVRQTRIQENEKRKNEQRMVYQVGEIGNK RKSDVGVIQNKGKGKGKGKAKQSEEDEDEDYNAAVEGSKSKSKRRKL I203_00889 MTPPTTASSSHGQGQGPEQASLLQSARLKLSTMDQEAMKKMRSA MMDYPESTSSKSSPSSARFPPAEYQDLNDNSSGSGSGSGSGTRKLRTRRSTLSKQISL DETQEEGEEKDMDQTPKPDLSGSSELLQTLSPVNADYAIAVLGHEKVGKTTVIARALR TWGMSHPLKTHSAGGHTIISCYSQIQPGGKLKQPWKVEFLEMNMHALNLAPTSNRDQV WPEGVPDVAGVIFCYDASRSDTLSGISEALERLSPSGIPIIILACKSDPDIQLEVDAH HGNSIGEPFNVGLIEVTTQTSEGKSKMRNALRWLIYKLEQRQRRQQRKLNATTLTIPQ HPPPSPALASATLEALSSPIDSDASSSENTKLMWHQRGGFNMTPADRDQDGMSDHRSS GSSFSWVTKGPPPPPARSSLDIEQDNKDKTEKQVIKSEPTTNGEGKKGEVQERVITQS GVQLDAPIWLTAEDLLNRLFAAIVSSQDEPFVRSFAMTFRRFMEPKDVMQEFLVRLKE VEGYEVSRDVKNWTMMKMTGALVDWTTRYPGDLQDSSTQSIFKEILAFILHHTFMAHL TGELIMVEHSLSEVVDLDQSWSVQNTNANAKSSASRLSGSTNTELVIDTEVLYEFTNS YLEKGILLEDEPPDTPFSKDTHDVPNHHSTSTRSVSTSSLPMENSKSNTNSDGGSNPK DFRINSHTHSTDEMGFHKWAQAFNVVVNMDPRSFAVELTKMQWALFTAIRPRDVLRHD LGKETDGPVGEAIMFFNHISRWVSTIILSHPKPKHRARTIERFIMIAHQLRRLNNYDS LYAVISGMRETSVHRLSITQSLVAISPSLEKDYQSHLKLMDPRGGYVHYRRALQADIS NGRASIPLLTNILGLVNRLQNVRKEDKRVVEVVKQYGTKIHTRIDKDKVEIQWDKFYK MGEILNIILECQVRGPIVRGEVVDSFRRIIEDTTIITNEDGLWERSQLLEPSGGGTVG GKVLKRLANLGFS I203_00890 MLGLHPRSLLRRRPVTFHGPTPSASFPRTYRANSTFIPFSEFKP PPIRQRLKPLIPFFIYWSIITSLAVHLLRIRISSKEELDKQKAKITVLSDLVEQLRNG KIIGEDDIQRELEMVGLRERTVLSQKVEVEDTKDVRWTEVLFGKKRQIKDEVEEDEQS LEELVQAVNEASQPTSSPITLIPTTPNITVPERSGQVKRAPSSSVYM I203_00891 MSYYRPSSLAATTHTPPEASSSRLRPRPTLTPTVEEEQITEATA AAPIGAQGDKGKGKEKKVRKMTMEDVERLAGQICNVRPLGACQRPQSSGSLYCTNHSC QAINADGDRCGNWVSNPRETRFCANGWHMENSRHTHLSDLLALRKALNQRRSTEREKQ NAAQLYYIEQRFPSSSSSSSSSTSTSDTPSSTSLSLLRTPTTTPSARSMRDLSEERGW GSHGVDAWWRGNWGLNSEQISERGDVRGIAHDGCQTNGVTSCII I203_00892 MSRTISAGPSRGTTPILSTYAPSAFTPLPRSLLAGTGFRFSPTP PPEAGPSRSPPQRKNDIEPGEIKENIVGADIFIDEIPQISPSLPGTSNAQDVSANSSS DHFQPPGLFSTGMIPSLTTSKKGVNVEFQKPNDVNSKSKGKEKAKEEEELAESSLLLP SHVLVDSTSPSKMRIDEGDDGRADQEDNEDMMEGLYFVDDDISKGSKRYFDAEDDGPK EVEATFLATADQSKICQNCKRPGHRSKDCKHIICTTCGAEDAHERRDCPVGLVCFGCG GRGHRKQDCPDPVSRSSRRTGCDRCGSRDHTENTCHTLWRVYSYLSTDARADIIRDKM SAEGWEKEAIGGRASEEWCYNCAREGHLGDDCSKRRGSLARLTVPSAFSHEMSSRGPF FTTSLRRNADLPPPTHSRFDDDGGQDDYDNLPFISGGYKNFGGSSAGRKTREKEKARQ MQLERERHFGVGGGSDDEPSWFDQSRNSRSGGGSNIRGRGGAYSTPNNRDRGRRHWDS EYRERERERERDPRHSSYSSHGQRNRSRSPSPRPPSSRKPNDTSNKNNDRNRNGTMPF SHGHLMDQNGNGRSVPSSAPAKVISFGKLSQPGTRSNNGAKELINRALGGGGEGESQS TPTGKNRKGNASGSPLVKTPVVEIPTSSSSSRSGRKRKAKGGASGSEKDWEREWRSNG NGGGKVDNWGKELDQVRKAENGGIKIKGKSGEMNAAMGGRHNVSLPAKPTTPNTGGKG KGKGKSKSKGKGDEGGKGQGARAGGTGQRYHGGYD I203_00893 MCSGLLELTIISLLASKPISIPKTEEDVLKYWTDIDAFKTSQKL SEGKPEYSFYDGPPFATGKPHYGHLLAGTIKDIVTRHAHSTGHHVERRFGWDTHGLPV EHEIDKTLNIKGKEDVMAMGIDKYNAACREIVMRYSGEWKSTVERMGRWIDFDTGYKT LDPTFMESVWWVFGQLWQKGQVYRGLRVMPYSTGCTTPLSNFEAGEDYRMTSDPAVTV TFPLVDDPSTSLLAWTTTPYTLPSNLALCVHPDFTYIKIYDYERDQNFILLESLLGTV YKELAGGKKDAKKDKDAKPKFKKVGQFVGKDMVGWRYIPMFDHFTEQYEDRAFRVLSD TYVTDTSGTGIVHQAPAFGEDDHRIAVAHNVVRDDEIPPCPIDESGKFTAEVPEYQGK HVKEADPVIIKELQKKGRLIVRSDIMHSYPFCWRSGTPLIYRAIPSWFIRVANISDKL VANNEKTRWVPANVGEGRFGGWIRNARDWNISRNRYWGTPIPLWVSEDYEEIVAVGSI AELEKLSGVTGIKDLHRESIDNIEIPSQQGKGKLRRIEEVFDCWFESGSMPYAQSHYP FENQDRFQKSYPADFVSEGIDQTRGWFYTLLVLGTHLYDTAPWKNLIVTGLVLAADGK KMSKKLKNYPDPMEVVNKYGADCVRLFLVNSPVVRADNLRFREEGVREILANVILKWI NSLNFYLGQAELFERTTGEKFVYDHDAPKSSNVMDRWILATCQTLIQHVDTEMGAYRL YTVIPRLLDFIADLTNWYIRFNRTRLKGIGGVEDTRAALNTLYEALYTLCLTMSSFTP FTSETVYQALRPTSPAPKDTNQDVRSIHFLPFPSVRQEYFDLVIERQVKRMRAVIDLG RLIRDRKTLKVKIPLKELVIFHHDQEYLDDVKSLESYIAAELNVVNIVYTTDESKTGI KYRATADWPTLGKKLRKDLGKVRSHLPKMTTEECKQYVNEGKVSVNGVELVEGDLIVT RFAEVNPEDNKFDTASDNDVIIVLDIQRHPELETLALLRSLTSRVNKLRKEAGLKPSD KVEIYYTVDENEQDYLKPAIAQQEEYLLKTLGNVPVELSQKSNDKEIIETEKRSKDSE DLAENERFVLSLALV I203_00894 MPASTTPSLASRQRPTPYSIPASASTSEFIPSPSQANFRLIGHH SCSLASLGDSDQTAVLQKALQVAKAFVWSPLGLDITHAALMCNEPPREKGAPAVPMYD WTTATLHPDKMKSLEDFKSSTELETVVNTKTKDYAFVDTDEPTTIHIQYALVAAGGKA YSLREAHPDVAAGHILFLAVTLAHELQHVIRLASLGLLHDTPPSLWDLMHSRPAVLKE KDEKDQEITKEVIWGEGEYYFETAFLGGRLDAYLKDHPLFEDQIDYLGISFMQGGKRY SLQIPPIFIRQLVDTPEQCADILPLTRHRSKAIEGYLSGDRLREREPEPQVATRRIVN PNYFVNISPSPSPKKAKAPQHFTNFEDKLAYMEELKVQHRKFAPDQSLPVIEFDGLSD DRCGTKRLIVGRT I203_00895 MTIDTYDIPPTILTSRIFPGTIPSTSHHNVSWNDDGQCLFVTKK GTPHLTTTFAPPPTLVDPSLSLENPSSAINNEKRRAASAAFVVDDNLSDEDALDNYDQ TPLPSKDGGKGKGKETKVRRPRKGEIKFWNTGVEVDTSRKRDDVYGWDDVGDEITSVA SEKEVTTRQAIWSPSGLSDLGGSLLVAVNSAMQVSVYAPRNDPYTKQWDEIADLTSIM RGSLPPEALSDGLSVEGMLEMRTICAQWSSHLPVPSMIGLDGSLLALSDRAGRISFWS YGLEKRFHQIQFVQICEQGAWVTDMAWSKWRILDDQTCEAHLALALTNGSVEVLIVQR RAQIDQANPKKWALEIQAPIVMIDRGDKRHITSIRWIDDALIWTKSGTIHIFAPEGNQ TVRWSGTVILTLDRVGNWAGANGLGPCTGVNRINHDTLIIVLSSLTTHIIIDFTISPK LAHPHDSLRAALALRDMFEDHLSTDPLIRIRFRTVQLQPEGWTANTAGWTSLGWGSVG SWVTEPTSFHNLDHATEGKRSVTFVLGNMGKAGAAPDETVVEALRRVLADPPNCT I203_00896 MSALTIRSSTTLARSTLLSKPLLCGCYSAYHPIASGSRSFSISA RRAIRSDEPKKSSLALRPEIKQREERAEGKIVGGEGKGVEGPHYQDQSTVASDILTNQ STTGAWTLMNPIYTESELDTVKVVGREPVTLADKSVHKLVKFLRKTFDFLTAYKDYKV PESILEQNPIPVAELRVKGLLLSHHKWLFRIILLESIAGVPGMVGGTLRHLRSMRLLR RDGGWIHTLLEEAENERMHLLTFMTIAQPTIFTRALVLAAQGVFYNAFFLTYLFSPKT AHRFVGALEEEAVRTYSHCIDDIEKGLVPEWNDVAAPRIAIDYWRLPSDAKLLDVIRA VRADEATHRFVNHSLANLDQKKDFNPFALVEADAATRGGKWGFTREESAEFAREQQKK LMEVSHQKRIEH I203_00897 MSIPTQTIKHATIAGVQVPAMAIGTWSWGDKTWGYKPEQLPDIK EAWKTSVKAGLTFYDTAEAYGNGESEKIIGQLIQESSEEEKQKLFIATKFLPFPLPHS LYLFNPPVVERLQASLKRMGLSSVELYQIHSSSGLGSFESLASGLAQCVKMGLCKAVG VSNFSKDELAKFSDLLAKHDVRLASNQIEFNLLRQGPEKNGLLEEMRKRDIACLAYSP LAMGRLTGKYNASNPIPSGRRFSNQYTWEQLAPLLEYMKTLSEKYDVSISAIALNWVI AKGAIPLGGARNAKQAEQNSKALTFELTPEEARGLSEKGFDGKTNWFWQRG I203_00898 MATPTPTTSSLPLPNSNSNLGRVSPIDSLAQQSQSPSFNPNSFT GQPSSNYPRPPPPHISSPATGNGVHPPPHQQPIAGPSRPPPHQQPSNQFQQQYAALSG LPGQQSTGSTTLDPTIHARLLLAAQQQAQASAAVNGTNGGGNQRPPGMPDLAAMASNM PGNREAIMKQLQALQTSQAQRARQALAPTQPGSNPPPPVTGTPAPPSAPSPANPSSQQ SQSQLNQQPNSQSAQNAQQNFSQIDQSFQQFPANAPDQQPQQAQQQTNFVNGTGFNVP SGPQGMPQQQRDFLLAQGEKMRAAQQLQIQRNQQQQQQQLQQQQQQQPPPTPTANESQ NFQSQPTPSSHPGHLPMPHNTAAQGSTNPIRPPGQQQPGFRPPGNATAQRQGFIGSLA GFYKSINQPLPQAIFNGERDGSFLIGGAWVEVLDLTMIIMRLGGIMNAIQQGADQPFW RGFLQQRNIPSPLPEPAVMPKPLNADPNAPPQTTTDPVQYIVSAYIAWLQPFEQHMSR QRQQFAQRQQAMAMGRPPNAQQPGISPTPTMNGIPGMGPGQQMFAAPSPAGAVPTPTS MIPPSPANSMSYMPSMASPITHAPHSETKPSGRGRGRGRGRGRGRGRGQSHDVSSRNV PPPIHTGHNDFTAPPTSAVVGTPESGHKRKREMKDKGSHSAENSTPSTPASAQVNLPA ASAPIERPPTPKRARYKVEYNPIHKPQSNLGGWERNAVAATFAKNSLHQGARSIHDLA IVDMEGILMSLRSRLPHELSYAITVLSMLSMSHPEENVTGLPLVHLQEVYQEILDLMK EAAFGEDGYEDWEKQYQHDESSPTSSSDDLNQMTFLELEQLGRDFDFSFDDDEHLETP KPPKDSTGGQTDIILAGMNLLRNFSMYHDNQEVMASNPDTFELLALLADARLCRLPGQ SQKCNRPFSIIELARVRRDAISVLTNIGTYINLKSYPTRSTISIFRLLSSFLNSGFES FSIQDPLFGPSTLISLNDARAPANHSLNRALEAFSKIMLSDHNREVIGTKIPHKEMIK LFENLVKLFPITKRQLEALHTIEDYLGLYEQLSLCLYSLVFSATIQTRNQMRNQPGLL DILLRLIIDTSLQHKDFKANPFGILSRRLCETLGILNGNIQPNGMAESQISFSAGGID SKNGYKFDSKKITNGYLANKQLEILEMLWRVRNVDGAAFRELEGMCWGGEGGE I203_00899 MRVSQLCFTLVASVVSVDAHIALWDEGMYGWDPNDPNQSEPVLP LMHLPFNEWWFHGYIDKPPADGKIMNLPSGGTYHGQVACNKALTSYGQNDYQQTGIYA CDGDGATGGIGAMHTSDQWASPDPKDVKGCGIAIAYESDVNKIQPADFTVISVNYTCP WFKNVDFQIPSDLPPCPEGGCHCMWGWIHAADAGSEQNYFLGYRCNITDATGITPLPS PKTANKCNYPTDTSNCTVGAKQPHYWYQAERNNNPQGEYDPPFYNNEYGFINGAQTDL FAAVGGNESATTAVSASASSVSASANSASSVAASGAFGDSQPSTTVASASESATSISI SQEGTTQTTVTVQTTMTRSASIAAQVAIATSDPSPSSSSTATSSATSSATATAGSGKT CSVQKKKRHLERLINSPDLIKKHLERRERRKERLRKRRIESGVKIAKMV I203_00900 MIPSTSLAYLALLYLALSSPGVQAIWPFKEKRFRDEAFIDAGSL GLEDVRGRVAGVGDWDGDQKLDLFTLSQDGKTITVQLWDEDKFTYIPSHTLTLTSTIS NIVPRDYNHDGHLDLLVMYVQEDDGYWWENKQDKLGMEVFLGGGESGGFQTTPWKLDS SVPAQPIIFDADNSLRPSLIGLAADDEVEGGVLRTWLINGTGFALGSPPLSSPDQACT LANPHSSAFIDIDGDCLPDLVLHCSKPKSNHHSIQIWLNRGSPGYVISKSYDLPRGSG PLSFADMIDRDGSIDIIFPTCKQHSKSTGIGTECNINIAYNKQVPVCSTEGSQMTKEG KLECRGWGDLCQADDGFDFSFDSSDPDFTSTPLSTLLSAEEDVNSPSGFLLHPPNQPD IPLPLRPGDYNVDGFPDLLLTVHTSIKGGNGILGDKEVNQVKILVNTPCGKNIPGCSV KGTKRGFKVGSGKSWAALDGIYDAEGASWIDVDDDGSLDIFVQRSGSQIGQRATFIQN NFYHDAFFLKAQVLNGACEGKCEPTDGGNSYSALGVSYSGASYKFTVLDTTGHRVAQQ VAQLPQTGYHSLHTPYAYFGLGRTNNYVEKLFIGTSLPPGEHFTYLESLIPNSQIIVN PPYPVSSEGDTDRSLISRSERALFNVSKRQQTGIAPVKNRSKEWKSSLYLKPGDWVPW VGLSVFGTTVLLGFVVLYLNEREKKEDEKERRRKLHSINFQAL I203_00901 MPSSNRIIYPGESPSESSSLANPIQSRALRVNQIDSDDLDRALV GMLSDKLSSSLNNFKSSFSDGFKPELELVIKLVMFKYGIWSSIRASPGAKLQNLKMIS DKTNNPTKRLLLLYLLLHPPIFPSYLINRLKNYGLSNQWSDLPNHDYRKKFWKVLGKL ESISKLWELLGWLGFLWDGKYPSLLMRMLRLRLVPSQSHLTRLVSYEFMNRQLVWSTF TEFLMFSIPLLPTLPSFLNPSTQLSHLKSIFSPPQTIDYTTIPITSFSSNGPIEPKGI YGNLPKSTCAICYSRNSTQPVPLSSSSTGSNLNLPPIEGAGGFGFGHEDDKEDNRIFI PSQTDCVGACRWCYYCIGRELYNHYERVKANGKKSKKREDVVKQKEKENMGTINQEDE DDEDEWDCLRCGGKASRAWRVGAEEGVNS I203_00902 MSARPSPISIPISSLLPLSSSSSSSSHPASQSYLPSPSYISGPL PPTSPIHLALNYLKLADERPYEPFQQPPNDQDSKGKGKKKIKDDEVQPTDLRSKERVL IITGSKWNYVDSIQEEDEDTFRSISGKWEVLKRLKRIDIRSCPTPSHLQLLLTLLTES DSRLPLSSTSNKTPQPQYLESTPSVVILWDIAGMFMCEQSLDENEPPPKDEGMPGGED EHVFTQQENGRKFRSEVCLPDYMSLLAATRAAVDHLNTLHPSDPPVQLMVLEPSLNAL SSLPILPPLSSENEDPKMPKSARERKVLLVDGARWIFGTDSIGMIHQISGDRNTTSYF CFTLDKDREKDQNQSYQIRKRKCSKADYSDPGWQGGDKMMGWSWEWIGS I203_00903 MAHRKDHDTYPPPNWPEGITYLTKPRLSPTFPPQLIPLISPNAN PNSKTFTPRPIKHPSNVHIKRIDQDGHPAKGQCGLFAKSKIKNGELIIPYIGIIHSTI IPDDLDQVLPEQEDEHSSSDYDLSLLRLSSSDIRNPFPGMHISIGVDAARKGNAARFV NDYRGLPGITGPNAEFRLGSGESGELRMEIWSLKCGVGKGEEIRVSYGKGWWGARKG I203_00904 MREHPLTGSSISAFLDPSIDPDLDFASRPYEDDESWPSELGNGG RVGWKEFQTGDDGWLDISYPEINWDQLRSDHGWSSLQYQSILRTSVAIPKVNDQSKTP FTIDVTQGVEYAFIPADHTEHTGPVEWYSGDIYAFSETPTGQREITSKTSNFARSLSL KPGKYTLLVKAIYEIRMFGDPGLSVSPTIRLKVLAELDRVEEMEVIDGLGEMPDMLDG WFMGDWISVGIRVADGKEDIEVIGVESSFGSSLSLSLPGGARVTPGQTRPVSIKLEQR RSLPSYLQSIKIKFRVKVGSEEKDYIWHPRSKHFHLKDNDQIPPFRITFSSSSSTTFS TSVIPASISHAMIVPPPNPNSFPKPAATDQLRPVLLVLHGAGVDITEPMMPQAVPSIP DHWVVLPTGRNEWGEDWHGSSMQDVWSVREAFGRIIQKIGIEVSNETILMGHSNGGQG AWHLAARYPDRIVGMVAASGWLTIQDYVPYTEQTSRHYADPSLMGILSSSLSPFNNDL YLSNLVNIPILVIHGSDDDNVPPRHSRSYLSILSSWAGKQDGGVVKYLEVEKKGHWWD DVIKSTDVVNFITNIPKKKSWDEQRKEGFTLTTANPQENGGRAGIRIVELDIPGRIGR LDVNARQWRDSNPAKPLDLRGMNIKKIELISQLTNEKKILLRSPIQGGWTQSVMNGPL TPPRAYGPMIRILSTAGPMVIICPDIPRLKDVAKGITHDLYVYHRLDSEIIDDREGLL KVAKGQIGESNLVVLGRPDENLFVNWMIKQGKIPLEFPTKGVMLIEDKVVYDRGAGII ALHPHPTSFKALSMLIAGNDDLGLELAARLFPIRTGVMLPDWTVVGPQARWKSAGGFI GAGFWDGEWGWSDSMSWMDR I203_00905 METPNTRSRRKRELENSSQEDGLRVAWVHDSQLRKAVLQATEDD VISPPTPTQRPRPISSLLKSSSSSSTSEPSKANRPPRKRPCRGHRSLPTSIQKPTSSS TGNTTGESSGSGSNSLPNMSILSSCHSTSSEKTRLDYLLDRFSLLSSPMDEDKTNNSS KKSYMDVETPSRNLRPRNTTSIKDKPMIITSTPLKKRNGSISTSNSTSTSNVSSHMKP NPKSRSNPNIEPIPIPLLHQSKSIDVPHPNVPQDKGKGIFTSKPPSTSTTTTHRNPLS PIKGTAPRIGLGSQHKPKSSNTSVTTTTITSNRTGGWTRTNSGKAFRTPFLDSTSTGG VRSSPRKEVLNGVVPVRGQSALPSTRPNNTTARPNISNTSVSPNKRPRPVNPRSNTSA SESSSLKSSLPPTPPAPPVEVGFKNYDVGENDPEDTSFDSFDGIFASGGEEIERLLRS VDGST I203_00906 MSLIHALIARGTTVLAEHATGTAELKPAAQTTILSKIPPNNSKL TYVWQDRLIHYVSSNGVIYLVMADDSVGRRMPFAFLAEVERRFTASYSSDEIVSASSH SLGDFENELAKLMHQYTTSPPADPLRQAQSDLNNVKDIMVQNIDSILQRGERLDLLVD KTDTLAGQAYAFRRGARNVRRQQWWKNVRITALTGFVFVLILYVFIAQFCGASLTHCR S I203_00907 MEQLMRMMQSGRAGMGGPTPQGETIVADNGETVHISALALLKML KHGRAGVPMEVMGLMLGEFVDDYTISCVDVFAMPQSGTTVTVESVDHVFQTKMLDMLK QTGRPEMVVGWYHSHPGFGCWLSSVDINTQQSFEQLHPRAVAVVIDPIQSVRGKVVID AFRSINPASMATGQESRQTTSNIGHLNKPSIQALIHGLNRHYYSLAIDYKKTEAEQGM LLNLHKRGWTEGLKLRDFEEMKQGNKQAVDEMLKLATSYTKSVQEESTMTPEQLKTRH VGKLDPKRHLAEAAEKAMGEQVTQSLAMGVLAEL I203_00908 MSLSSATTLISPTSVKTTAPSTSAAGGGGSHATNRRPSVHAKRR ASTHAAHSHGHGHGHHSHAGNRRSSEGEHGRRALAAGLAMHALDTTDALVKKKKEKRP LPKNSRSDTHLPRLSRTTSITSTTSHDSHGTTTSKSTRPKARRGSTRRSEESVQVLKE SGEDGDEVDEAEEGWESGEDQLEISPEKSKKGKSRTKETQTQTASSNRRRTVSDTSAE PQQQQQRQTVSHGKAVLNGDLTASPLETPHPPALTQRTTGFAGAIQPPDPQVAAELPV QDPHPIVTPHPIRRNTSAKSLVGPISMEPTASADSRRYPSSDREGGRDGSRDRAKSTR PEVSSRLRSTEGSGLPQANEADAISTSPSYPFPQMSSSNAQESAQTEAQQEPSESQRQ RNASQSQPQTQSQGAIRSRQTSQQHPQLRHRYSNSSLRSIQSLRAPPHPLNSPTGYRT HATTATSRPGSMFGSPTKDDKRQRVPSMHQPPVPQPQISYEMAKGQGWDGPIPEESTP RSGKIQNQSQSQSHSRKDSISSQKSIRSIFAPLATPATATLSSPARSTSGTGRRRKTA LEAASLASRMPSTNDPTLYHNSLGHANIPETVYLISRFLPSTKKAIRPRWEMDMRDPD IQQDLSEGRLKVGLTNGDYRESHESLVKTLKELGKDGDSIQQNRNVTGGGGVGGIGRP GTMNRSYSSSAFPLGVGSGGLGGDGVDGLSMRLKDGLTIKKGGFAGKTPFELSVQRCL AQRPGGTAGIGF I203_00909 MSFGQLEANEKVLVGCIGGSGLYHLDNLTVVKKLDITTPWGKPS SPITISSLPSGDLIAFISRHGAHHTITPSEVPARANIAALKHIGCEAIIAFSAVGSLR EEIAPGHFIIPDQIIDRTKGIREDTYFRGEGVVVHSMFGEPFSKKLSEFVAPKVQKIL SEQEGDVKVHTGKTVVCMEGPAFSTRAESLMYRQWGGDIINMSVIPEAKLARECELDY TLICTSTDFDAWRVGEAPVTVEEVIKTLHTNAGNSRAVAAGLLQDVHDVVAEGKLLNE IKGSMKYACITRVEDLKRNFNKTGADAYESHLIQSQPEAARKKFAYILPYFSD I203_00910 MAPSQTPYAVTPAQSHLYLDQTVLSNAIAQLIDEMNEDLTRRAR IYDLGILSIGIAIGLILYSLVHDLIIYTREPDSTAQITDSKDQDEQSEIQCMYRAKRS GRETKEIDEKV I203_00911 MTATKKATSQRLNGDQEATGLILDQNEVLKAMMAAQKGKAKEVL SEDEDGDEEEDAGSEADSEEDSEDEVEAHSSEDEGSEASSSNTDINSKRGLKRSRDSE DDGDEGGSDEDLPIQTSNTASTSRINLSSRISTAVNGHKTPQKSTIDPSAAFTANPKP SSDTTFASLGLSQPLITALGSINIHKPTEIQAACVGPILSGRDCIGGAKTGSGKTMAF ALPIVERIARDPFGVWAVVLTPTRELAYQLSEQFLVVGKPLGLTTATIVGGMDMMTQA QQLEARPQIIVATPGRLCDLLRSDGMSQGKLSRVKTLVLDEADRLLTPTFAPELAYLF SQIPPKRQTCLFTATVSEAIMELANKSPAPGKEKPFVYRVESDTLTVSRLKQKYLFIP SQIRDPYLLYLLQHPPEDIDIALRVDPKKNKSQDSEEKRKKGKKNKHVKIMDDEDENE NIPSTIIFTQRCATAHLLHLLLNQLEIPSVALHSHLSQPQRLLSLARFRAQEVKVLVT TDVGSRGLDIPEVAMVVNWDCPRRSDDYIHRVGRTARAGRGGVAITVITERDVELVKM IEDDVKVKLQELTLPEDTVLESMNKVALARRMATMEMHDSGFGERQAINKAKAVKRMK RDAASKAN I203_00912 MAPAASIPQSGSSDQPQPVILQSSDLVASLPAPFLRLLVLFARP ISFFRSLLEVLLWRSGRRVQSWMVVGAWWALCLGSSHAFRYLLPALLCIPFIPLSSLR LKSPSKQPVKNTSVEPSTSETLLTTLSDINAIYALLPPSPLPQATAAYDRFHQLGPIR LFRGLLVIWITWIIIGQIIGFSTLLGIIGSIFLLLPSPPLSHLFNLLSKSLFVRRSLA LAFLFTFGSPPESSYRFSISHFSPWGWFKSKWTTSRRPSLAFSFRPKMSTSKIPPGSA IDDSPETEGDDKEKKVEQPIYFRFELHENQRWWMGLDWTSALLPQERPSWCDNHLQPV SPPQSFTLPPPTSIVVPSPTEGNPKGKVLRTSSWKWLDDDWTIVKQGPGISSTMNTAP SPTIPQHDDHGFSVPSQGQNQDGKSTSGSRPTSLVSTSPPKTGLEETLSPGARAQSIA EQAFTKGLERLKARTASVALSSGATAGLTQGTSANSSSPRKTSDTSNLNFRQRTMSQT SDDSHHTDIDSHGNVNVQMPLPGEVIVEKDDATDNDGWVYGDNKWENMGPKGGLGKFT RRRRWTRRAILIETVINLKSTNEDEPTIIVSPSGTNTPQAGEPTGTATVTTTPMKSKP APAVAIIPAKSNEPGNSVGMNRVGSKDSKKSDNTTTGTQEVPATVTATGITSGTASRD DVLRSRLKKAMGSVGG I203_00913 MIRLMRITITVEEEEGRGTEKGLKDGRKKQALLFARNFWTLDEC QATPNHTRNQDGLMKYIDDDEGLENIKRISTAEIRRIIIEEQYRYDTNGGSNRTMNRK KRKIITYEDLYAMGSDIEGKSEEGDNHTDKRVRR I203_00914 MIIPLDPPEAFYDLFEPFPLNLPAMPSTASRLSTIPRGLCSPTT SQMLFHIGPPTTPTNTLPKRPVLRKSATRRLKAICQRSNATPYNNPNFSSTARLTNQK EMERNLPEDPWAPRKHLKPEQEVVEMEDKIQEVLEISLTDRSGSTSRVQPTSHILQLT RSLSKLCGTFSPSTLFHTALKEIALDVGMKDHYPWYNYTISLDDVFTKALEKLLEPYD DVQNVSRYYHRIMNDQTLMGKYNPPDVLWVLVQTADQPILDSLYRIIKKRLDRCSNTQ RGIVLVLLLKKVQETRWRKFKGWKSFAESVGLMYVQNGCVIDDSMGIPKLSADDIRLV IGVGGYGKRRREDYELEMDEETGRNKRKRLGSQWNAVSFEI I203_00915 MSPEPPPELIFKRYPTPILKKSSPLNPNPSPTSISDPSVEAFLI TTLDSLRPPIISLDTFAPTMIQAFPIPNISIIPPSPPAVPPDPSSALPSNVPSPFMRE WLDTQQSEYDYDDEIPSPPLPPQNQSGGESDSLVRHLQRGRPVDCPEDLLSDNFATER NHGISGSRRGESMGRTSSLDVYERIGIPSQRSNSAPPRMGNHFLKSGSVPRGHNHVSS ISRDQSRATSPSTARSTPSISTGSSTPERRPISQEEVIEGILAEKEYLKSVLDESLAE NRMLQRRWDKLNEHADYMSCSIDYHELSLSLLSLCACHPDPSPMGIFGPFDGPAEFKQ AIFDLASTVGSPYPRMNSLKSDIVFKEIFSRALQVLLFDYNQQPHEPSSEDDLQWYTP PDVLWLFLHTAHEILLSHLREIIRILKDSCKNSISVRSIVLELMLDSISEDKLEKRRR EEGTWRIWSVRQRVNFENNNRKLMDHESTCIDRKGKGKGRGKDENESGGDIRDDLTRV SWEEIHRTVVVLKNEGEGDEDDQVF I203_00916 MGCTQSTEDAAAKARSAEIDEQLKRDRANLRNEIKMLLLGAGES GKSTVLKQMRLIYNKPYDVEERDSYREIVYSNTVQSMRVLLEGVALMEIPVNPSNQPR WDVIMTAPAQIEGDVFPPKLADAVAGLWRDQGVQQAFERRNELQLNDSAPYYFDAISR ISQQDYMPTDQDILRARVKTTGITETHFKIGELTYKLFDVGGQRSERRKWLNIFDSVT ALVFLIAISEYDQKLYEDETVNRMQEAMTLFESVANSRWFTKTSIILFLNKIDLFRAK LSVSPLQNTFPEYRGGANYDAACAFLLEKFVGLNKNPSKSIYAHYTDATDTKALSFVI SAINDVIIQVNLRDCGLL I203_00917 MLKALGLLGQCEYEYKRYHLDLLIGLLLQQSSQPQLELESDGEG SSVTSSIPTSFSSSSRVSPISTDSDIEELKAKQNTSPYFNKSGDMKTRSQNESQHLPR GMITPHTTPIISTRSSKRKGKGKETSAAGRKDKGNGLRPPTPESIPSKKRKRVTKEVR VEIPSKVEVELKTSKRKKKKKKKKEKDETDLKGTAKLDNEEDREEEVMVESERGVVEH IGKIHLIQEKLRYNPWKMLIATSLLNKTSGRAVKPILEELLDRYPTPQNLAEASISDL AQLLYPLGLYNQRASSLVRFSRQYLDLGWPLFPITSIPIYTEDIPPLNKINTKTGRET NLIDNLNLDLDLDVKVFHGSGIYASDSFRIFSQFHSGQGAPSQESKWISKVERAKDRM RNEGGWNGSVDMLSDYLSDDDEPEKEEEEQDQEEWRKVVPLDKELRRYLIWRWGIEGI IYDIHTGPRIVKQRDKRRLKYLLKENDLENS I203_00918 MTIPAPSSPPRVLRNQVIIFSPPKVVEIDSVISKRPSTPPDAPP ASPTQKESTTKIPVSAKKQPPIKKKPSMTIVMGPHSYQQLDLFSSDESSNEHTHSSSQ SFGRGVHIRSKTVSPTPTPRRPPPIASASDRAFTPSPEPIDWLDFSDPQIAYEEYGSV FEDFGSWSGESSSDGYDSPSPVIITPEVSQAAPRMVFNSHDLSVLFGQPALFLPPTPP STPFIARKRIASKSPDLSIILSVSTPSTPFNTSSTLPIPHSPSSLSAPGRLFNLVSSL DIDLRLTEGVTTETSRDEQVIISESDGVKDKKLQCSACGKDVEYKKAKKMIPCGHITC SSCFSSTISAVSPDRAHSQCVACTTNLTTFERVKNISYVDSCGHLDYCDGRFSRYNIP VAENVENSVVMRIDNIAWASTESSHHPDMTPEIVEGFLPPNTLSEQVPQAIHIPLNRY DGKTKDYLYIEVASLDAAKHILQTRQNNHMPGGPLTGGKKRPVTITIVSHIELVTELR PHTPQELHSLLNLCHMALGPPTPASRFVKSRHGPFYALMSIMSKLSGKGSPAYWDLFH IASG I203_00919 MAANPQFLTYVDDHKDDYIKRLSDAVAIASVSGNLSYVKDVEAM GEYLVQQLTALGATVEKRGIGKHTLEGKEVDLPPVIIGQVGNDPKKKTLLVYGHYDVQ PALKEDGWLYPPFELTPDPKGSGKLYGRGATDDKGPVLGWINVLEAHKNLGIELPVNL KMCFEGMEENGSVNLDKFIESEKDEFFSGVDCMCISDNYWLDTKTPCLTYGLRGINYY EIKINGPDRDLHSGVFGGTVHEPMTDLIALMSKLVTPSGEILIPGVKEMIAPLTDDEK AKFEAIHFSMSDIHGAVGGDVTISNDTVKTLMGRMRNPSLSLHGIEGAFSAPGSKTVI PCQVKGKFSIRLVPNLTVAGVTEHVVKYVKEEFAKLGSKNTCDVYLTHGGEPWIADPN HYSYRAAHKATEAVYGQTPDYTREGGSIPVTLDFANILNLNVLLLPVGRGDDGAHSTN EKIDTSNYINGTKLLGSYMYELAAISKD I203_00920 MLTPPYHFSIVACPSSLTSNGPELLYRGSIPAPRNKSFIERLSL KTIVILRKKALKEDDPLMLWSRRRGIQIKWIKAEEMGEEKLGMGKNEVLEVLKIILDI KSYPLYISDIDGISHTTLIVACLRKLQGLNMECIINEICRFEPEYLDLPLLPFIQSFL SLNTSDPTLILPQTPYPGWLWPGSTTQSGQGNISGSNMGKSRDRSGSTLSSSSTQSTT NTTSLPFPHPLSIRKHPTMKLTFPILPPPPPSTAGQGMTPPMGSSPMIGLSRVNSRRD KIPLHEDGSIIGQSVNNISDTTTNNQQSQADESVKTQGEIGNENKLGRTVSFHSISER QSQSQSLPKQAQVPEPKSKSTTTPTPTQSNQSSPLKLSREQTHTTSPTSSDLQSTEGE EDTVEDGRLPRSEYQDDDDEYYEDEDEDEYEEEEEEDEDDDDEGNEPTSQYISALDLA GFG I203_00921 MPKPTFLQAVLNKPTRSYADPYHSSPWSDQGQPQNPYYTSSPSS RYDDHFNQYSHAGPSKPRSRGPSSTVSSSAMSDGQPGNTISTGSRFPKPARRVVSNIT LRDGQIIHDNPPLPKSPSSAVGTTRKKSIKAPSKAGGEEDGRPVSRAGTIKKKKKPKS TIHENDSISVLSSPTSTTISLPMPPPIHSRNSGSSSSAPSSPVPPLSPITPTHSETIR QKPVRPNYMKPLILTPPSSEMSTPNSSTQQMSTVKSPHASAPIEQTKQIQAPQVVIGN ADSGEEEEEDIFYTPRTSLEDLQLPMPISTESENLTTPKPANPSVAPPSFNFLPPTPA PIPDPAQSPFHSEPSSSNSSSLHPDRPFVSRQPSDTSSSPIQTSNLVQMLKHGDEDDD AQSASGQAGSDEDEESDRQNALDRRKSGAWSKPSSVVGRSTPPSLDGYASRAPSRQST ARHFSRHSSEEFPGVRRPSVPNSEASYTSAPNRPEGSIKGSGSISGYGKGGWAAAHSA RSRPSSPIMFMPASGGDGWAEFQPPPPRQSKFTPLPSASLSPTFDKITDGSRLPVYGT SSRESQNGYPQGLRPPSRGDSSPSEYSQLSDGLEMPSRSYLKKDYNSQATSESTTPFD GGRETTSPEPGSLAFPIARVGSLSISHSTTSPSLARTPSRIGSEIYSSRPMSPSMDYS RPTSSMMSHRPPSVMSHAQSTSPMTFNPPSFLNPDLLTILPEMTNEDSDRLYRSAASE SGGGGKGRRSSMQDWGSYNPSRRSSIFRAKSEVGHDDEERVIPRMPTRRSKSVAGFRN HDYSKDRKWEGGSYGDGVLMESNGRAPESIGGYTNLVLPSGAYRPINPAKSANSNGLD SRILGMPHATMASIVLITTFSRHSSTPAHLRDQLPPLVDFSSHLKPPTKVGDSQLLVQ VYAVAIDQIDVRALDEKARWEVGKYVPGRSFIGRALTVGADEKEVVRGDLVIGILDIR KSGALSEYIVVDRRRISRAPFPTNLSLEQLSLLPLQGVAAARAVRTHLIKHSRALILN AHQGVAALVCQEMSRNGVNITAVIPGGDDSHEHHKACMDNGAKGVLMGSPAAVMLSLE EGAWDHVFDTVGGSRVSDAARRLLKDGGKLISTIKPDSSSSSPTTPTLTSRPSGLKSL KAAFGGSNSRRKDSKFISVEYLPPTGSGEPEVDSSGMDYRDIMEEPCMAIFRPVIPEY ICRKHTSMVLGGEGDGKVKTVVNFEKGHEIFRRDWEGVRVVKVIN I203_00922 MKPSTPTSTPLTGQLHPHPQAETQPHSRPAFIYIPTSNSTAPPT DPTPISIPDSLPPSQPYESRRTILNRAYPLLFLVIIDTFYTYRHFSSLSFSTSFISFI RIITLVYVGFTTRWRYKKSWVMLLCGLTLIYSIWEGCKRFMTRGGDDSNKEAGRDGKY LMITSILSIIEYLSYLLLLRLFPPPPSSHTANLSYKLPLAQTPSSVRYRSTSTRNTPG SVRFHPQSQHRRHVSRGTLRSLVGSDQQGMRYEADQGDVFTSSTEIGMSSGYGLERRS LDGSSLHPHEDEYEEDQLYDDEEEQDYEQEGYDTTEDESSYSPPRNTINLDNLDNLEG QEVYDLDGNEVGEEEDDDQSVSSISSSSIIDLPPPLMTLPSRSTSLNINMNIIGLENS PIVGPLIRRSRSARFVPSSWGSLSPNSPGRWFNNQNHIQNQQNRDQAQEELGDYGTFE G I203_00923 MDANAIQIAVRCRPWHPTKELPFIVQPTNQPFFYGDGNLGTSST RPAAPGALRNVVEVIDSRELDFDKPQDPSGNRRGAFMAGRRYKNRKYVFDQVFSMEAS QEEVFERTAKPLLPGVLDGYNATVFAYGATGCGKTHTISGTEEEPGIIVRTMRELFDL VEDTKLQYDTYFQMSMVEIYNETIRDLLSDEYPACPPGGLKLLENEKERVKVANVTNK TPTSVEEVMALVMLGNERRSTSFTARNSTSSRSHLVLQINVVRNERGSDVDVIKSTVT QCSTSATLSIIDLAGSEKASVNRGQRMKEGANINKSLLALSGCISALCQPPVRGVRQH VPYRDSKLTRLLKFSLGGNCRTVMINCISPSSKDIEETNNTLLWADKAKKVSTKVSRN TAGVELRTAQWIQKIVTLEATIKSLEGQLAAGHNASSGMQQRRLNKARTDASEELGRV EKELDELLPVILEGTEMDALWNGSVLQVEALETRLADIEVEIQSGRSLEDAQREKNHI RSLIQQQDQSYRFNSEIQSGIQNKSLKHITLSNLLKKAEERNFDKDLSEAKYEHQIAI MEHQAHVIRSMAAAKERGLRDYIAQQADMATKSASLLSRFSILLHNEVSSLSDGSADP DTMVKKLHSLLNTVDTSISTLFGESPAPLSVAPTRLPVSLAAPSPRRPSVRQSTSPKR FLKKISPKKIMPLRPSLFGISSGNSSSAPSEKKGLRWRDEAGEGKIDDRSIAPDCPVF SSPSTNAEESIRNDSSASSDWEDIKPVQPKSSSPLIPASLRPLGNPPPPPAPSSAKPP NTGGENTTEIPAWKQMRMMRNMGAQQSIEPIAEESPTKSPIGLGRPSGPGLMGPPARP ARPGPLGELHSAPVPSSSRPSTSLSHSTSMTNLLRPTAASAAKSNLPTSNSSVFANVK IPLSSFASSSNSNSSMSMGNTSSSLPSRRVSMIGPARHDRPKQRLSMLPSSSSFHPHS ASATGSGDLSLSLKGLPVPSSSSGGNTSILASGARRIGGPRSSMLSTSTSGLPRGPRP SIAATAGEASKPQWR I203_00924 MDNNPRIAIIGAGSGGIFVALSLQQGGMNDFVSLRRSFLLQGYG IKIDIQAVDARRKSSTEGDHPAISSTWNPSTSKYNLVLSKGGEQVQEKCTHLISAIGA FSTPSKIDIPGIDLFNGKIIQPIYWPEELGLDELEGKKVVVIGNGCTGTQLITALSKR PSIQIVAVSRSVRWLVPSPAKEGPHTTQWTTTQRFLYSVPPFRCLARLGVYLFMEMYW ILFTKEDKRLPIARKIQKKFATFMYDSAPEGLKDKIVPDFRSPLMGYLNALKQPNVTP ICGILQRIESEGIVLGNGKRYGADYIVLATGYNTAASLNIQGREEYNMTGKRDNLEYY HGMAIPGFPNFFSLQGNNSTAGHFSSLFQLEVQAEYIASLLSKSSKLGNVVIEVKEDS TKRYNEWIDSRIDDTVWADKQSWYHANGGQGRVFTHWPGPATLYWWINRKINWDDWVI TN I203_00925 MTKSSQPIFPYPPKKVDGYPTYLPDQLQPVGSLLDPSVYKQNQN PPKLFEPLTIRGVEFPNRAWVAPMCQYSSDEGKATDHHFVHLGSMAMRGWGSIMVEAT AVVPEGRITPEDMGIWDDSQIGPLKRVVDYVHGLRGIIGIQLAHAGRKASNPAPWTLR QAIEDEGYDGGDVVGEENGGWPNNVQAPSAISFNPGKYAKPVEITTDYINNLKKAYAD ATERCKKIGFDFIEIHGAHGYLLHEFVDPISNKRTDSYGGSLDNRIRLPLELAQLLRE KWEKPLFYRVSATDWLDEELGAEKGKNGDWAWWGIDQTTYLTQKLADLGVDLIDVSSG GNDLKGRVKVGPSYQLPFAEHIKQNVSNILVGAVGIITEPAQANDIIEEGKADVVFLA REVLRDIDFPLKAALELGAAVSPAVQYERAWTRMLVKRDHVKKAAHHHGADEVQGEEG KKEKPKRNAPPEVHTSVP I203_00926 MSQRDQNGTTPPPPSTSSTFPLSSPSFWTEAAAVVLPSPPPLKR VREDLSSPQSDYTVFPEIDQEPRPEVKRGRYDSPSTSTTLSYLPKRSDFLDLDLDDPH SYLANPEYAPNKFGDIGDYMRKKEIKVQTQNRDIALASAIEGLPQIFSGLSFYINGNT TPPMEELRKMILQRGGEVRPVLRNKGMVKFIIAPMLTQSKFKQFQNYKVVREGWITES CKMGKLLDWRNWKLQIMGGWEQTSRKGFEGFLKSQQTPTQLANQEEDEEEIEEWKLDG SKGIIPKAASSKASEANSKLEQIPKEEELKVKVPSPIRLPISTQSLLASHPYRTSAIP ISPQKAPRGTASPVKQGSPSPAPLPPKIQKPEGTWEYYYSKESNEHAAQALKNDQWRI KNTAERGNEGGFIDGYYQNSRLHHLATWKSELKVLVADAQKRSEELSLSAPSSNTISP FSLANSALPSTRNIDPAGSGARVIFHVDFDCFFVSCGLATRPHLKGKPTVVCHSQAGK NVVSTSEIASCSYEARAKGIKNGMSLGRARTLVGEELQTIPYEFDTYKKYSLAFYTVL MGYADELQAVSVDEALIDVTSAVNARAMAPEEALATNQVESFNGNTTNTSKARDPALE VAEKIRDEVRKLTDGCEVSIGISHNILLAKLATRSAKPAGVAHLLHPDIPKFLAPLDV EDFPSIGHSIKSKIEEKFGTTNVEGLLGVNKLSFQRVLGPKTGEMVYGYLRGIDERKL EPDRIRKSISAEMNYGIRFQNHDQAEICINDLALEVAKRMKNVGVKGKQITLKLLKRH PDAPIEPPKFLGHGWCETFNRSSSISGLRNNPTDDPEVLARESVKLLKALNLDPVELR GVGIQVTKLDTEDKDKAKEREVGQGVLRFQPKRLQNAIQAQESIPPSVEKNEPLEPDI PDSVQANEQQSHSLSPSRSPEVEGPIDKSPTSQPEAGPSRLPATEEDEGIDPSFLAAL PPSLQEEVQRDYACAKAQNRSSRADSERPTSSVHVEETATRASTVSPVKKQHAAAHIT RQLRPKLKTQLKANQLANRPLFSAWNKAQAKDDGYVYEQEIVDLTSSDPLPVEVQDEE IGGYKLSELLELGIDKEVFEALPDDMRKEVIDEERRKAGRRKILTRPGNGRLTSNSMS VSPSKAAAAGSRSRGPSVPSNGKKVTDTLAITISKPLKPALFKSTEIEDILGVIEKWI ESRNGSSPAQRDIDKVKNWLIKTMSTSGVIGGVERCIEVVRYMRMILREKYPLQEDMV FKNQDTRTEEEGEGDEDENRVGRSWWVVWKDYQDAVQERAKKSFGAELKI I203_00927 MSSSSSKWVPLEASPDVFNAWSDPLGLPSSLTFQDLFSLDSEFL QFIPHPHRAVLLLFPSRGRLHDEREREEKDSEGIWNGGMEGVWWIKQTIPNACGSIGL LHALLNLPEKGPDALNPDSQLMKFKSASLPLSALDRAKLLDESDFFTQAHTSASQSGQ SSIPDDLDVDTHFITFIEAVNEKGEKRIVELDGGRNGPFDRGHSENFLEDVAKVVQEK YFDRAEGDTSFNMIVLAGQPED I203_00928 MERNQVLRDAFTDFLALKADGELNQHESDAFDLTYSSYQQRFLR LHRPFFLRGYRDTQFDYSRITVVNGARQIAKTHRRLLNDSSDRSIVKSAVFMFQHHIT ALPILLLNALHDRSSSAQMRIELMESSEAFMRSFNSGFQLHTRIAAKGVCIADEMIKV IDNPPENLQNIEDLLQSINVQSKAAEMKLLQTNMDTNTNTDAINSTNINSYLDTRQPQ VDVQAGSNLMTGDQTNMLTLLPNAEQNWWDTDWMLFLRDM I203_00929 MSTSPNSPFACLSSGTPGPCSTSGGIIDVKSPYTPRFHPISVYT SSTHNSSSIDGSPFDGSPDECRKRRASSVSSHIRPARKRNKIIMLSSPHSSNATTSQD VHVPSFSQQSDEGKNNVHSSHKNGAEQTTVQSSADSGMKKAASTHCRQEETGTGVKGR NQGNQTLSIAPKRPTWKTQASPISSPTRGTSTVSSAQVLRADDQVDELCDDVSIKEEE TEVVQNANVDRRKVPPGDGNGVTPYGPTVARSLVELNTLSDNVLGSSSSISPPSHTLD QPLSTGAITPTTSDVAKSTLTSSPSLDDRTNIVNAPFVKPVTPAVAPQARVQSISIVS SKLSCVEIPTMRRARLSKYQKFSIPSMLPDSEANQSIIRNTSNPLSHAPPISFDPNQD SHSADILGSQISIDKEDRLKTKKRKSQVKQDVEQSESPSRKRTVVDQNTVEVKVEPEV NNLSPQESLRRGRAKKFDDYWLSEGLSSDAKRKKIQIERERCRLLVRGHLMILKKKPS SYLRDCKVLVNPSLFPSKMKKTIGKLVQVIRLAGGNFNDFASPNHVKSSVRVVISSSS HTKVKVEPGTQKIYHLDLYEFLQWFDRRSLNPSPSIDTSSRNKVRHTFDPRDLVIHSA KHSKRSRDQAPRPIPSDQFYGNTAASNGVFNVNPNSRSLSGSRAELRHAKRRHITRST LTVRPSIDSSNSRNTSDIASAPTETPLDRLAKLFKRARAPRIRSEWLSVSDSQSLSEA KQKGNEAVEGFYNDRRLKWFKTAFLELAEWTGETDLLRQHVVHRVKGYKIASLDENRT MSRIIKNAGGLILNNEPITQKHRRFALVKPNEISQEIRTLAVQEEVLLKTEFGLCDYL FNRYLKTKSLPEQLAMLI I203_00930 MYTERKVDRQDRDEWDGTEGDVNFRFSSPIYAEEPSLISTIAVK REREPIPPATARTPRPHKFHRDHWSPPSDFKTVTHPPYLHSTQHSSDHIARPTNLDVK VEVDVQDGQTQSMNESLTANSRSIFPGTGPLSQSIPHHPARDNVCSPRRIMNIGNDSN SHSTAPHPQQYHFRPPARSTTFSSRSPPLRNSSNSSRRKRDIAVPHGRRVKTSHDPRT QQNRNTNISIKENAETLEDFLSSSKRVLNPEEVYASPTSQLRNEFFSQSIHESLYVTK WKDALDSVDKLGNGGDSLGEAIKIKGLLEIGIQLLKISISGLSTVADRRQLLGNHRIL IIGSWKQTSIVGELKDQLGKLGGTVCTSEDELFYKGARTYIQIIGPGFSGSSYISTAN RRIERMERWTIQNCLVKLIRWCDAKALRNKPLDARLEILASQCKKNCWDIGAGKEVFV SGSIPDESKVSIRRICDYERFLIHPTVRYSTTSNARDRIVITPSSSTTVTPDRHDLMR MTMEGFYDFVIDLREYARRASRESHQPRMSADEKRFAKTLPPTLATDAICT I203_00931 MAQQDMVALLLRIIKISKAYRKALFPKPHQRQPETDIGPTLEEI CLKLVKQNQEWEQHCLEMGWIRRARDQNWAMSGSWKENIQFQFRLIVDLFKLRLDENW YGYKYGINPSWTTFKDIPDSESRRSFRRLYPYHFLLLDLCKRNDASPPSLNGPDPEDV SDGDVEMTEDDDAQDDQSSDGENDKDDHDSSSSDKSHGTTLHQPFKLKSGKTVYKDPL IHTKNLIKRNSQELLATFIGEKNISRRYRECLVDRHVQKRLNLNPQQFLSRFKLECGI AGCIKSTKQSRKGQPLRGVDILVPANYEQDSVAADIVTILRSAGVIICTDPSAFVVNQ QREQYSLTQVRCEAYSGHANNPLSLPPITLEVCLRKILDGYHINTSPRVELEEALQQA VIDAQTRSTVGTGIGVRIAPEVPRAVRNQLEYIIRRENFTQYNKQKRLLEHPKAQGRI LVSIKAKHRGPHVPTSMFTKTCTMTPLLFAKFVNQRR I203_00932 MSQSSFRLNWEAEPSLTFTLLTLIKSNPLYQHVFFSTSIEQVKD KYLVSQKICIEFFKDSVWMKDAERRGLVIKTEAGEWKATKKWGSNVANPITSRVLHLR KRFNDGWYKTKRGILHNNTKIEDIPDRRKRVLFGKQHPYYFILRELCQGQQPQAGLPV MTPVEEPPDPPTRRRKRSPSTISSDSSDSEGNVPLAAHAASPLAKRFRESHSDIEFIR KTVTPLRALSISLPPKVPPQNETQVFRFGMRPNIAYSDDSNSDSDSEASSASDSSFIC ELNTRPQDRTPGISVTPPSPAPSDSPSVVALSCTSDQWEQPKIIRSPPDKEP I203_00933 MPLESNADKAYMHDLPKVSFRVITDDQSILAPAGVNSEQSRSYG YNDFSEFERTEHYIRYIEPIESELAVQVEYDMDEQDQEWLDAINAERKKEQSGAISYE VFEILMDKLEKEWFNLSKRIPQPVQHLAAEDSKCAVCDDGEGENSNAIVFCDGCNLAV HQDCYGVPYIPEGQWLCRKCTVSPENPVSCIFCPNEGGAFKQTTSGHWSHLLCAIWIP ETGLGNAIYMEPVEGVESVPKSRWKLVCSLCREKTGACIQCDNRNCFTAFHVTCARQA GLLSSMKSFSQDGLLKAYCHKHLPVSLPDNFQPEEISDTASDFSSFSETIPKQTTKTK KKARRSSTANGQAAAVVPTTKKSAQAHSKSFRPGPPIIPRLILDKVLNYVAKVAIRKR QPFVERMCRYWSLKREARRGAPLLKRLHLEPWTASSDSRQQTETEKAQKLKFLQMLRN DLEKVRMLAELVRKREKEKLRQVQLIKDVVDGFIFPYHGRLRVAFEKISAQVLPSSQY CRYMLTLLFRLDRQSLYLHPVNRAEAPDYFEIIKEPMCWLWIDEKLEKNEYVDLADFK RDIMLVLDNAMIYNPKDNPYHRRAAKIKKEAEPILTELDNITETARASYQTYASEKNA ILPVGDLEPTSTVLSTLLQQCSGPDNPTQDHLGSIFSFELEKPKEPTPPPPSKPVKAP RKSSSHAERKQKWEDRENAAKERTLAGSRSTRATRAAEIAFDQEAGIQPSSSAEGSKH TSVEPKEELDARSRRRSMREINAIAGPSTTPASNKKGKGKNRVKSEVVPQTEATAGLR TSTSVSLTTSGSASPTKPSSSFPRRHRSQVGVVGTEIVPILTDRDRRERERAMDIMIE EVGAQDQFTRFNTGWVLPEGMKRKRTERTSDSFPRAPSASSSRKPPSTAASKARASAT PRTLRLSLSPIKSQHTEHAPISSGSGSDLSSPPPSAVPTPRKTTRQTEHGEKRKAPLD EETTSPRQSKRARRTRSAGNTDTHDDVVVDDDEDNEEMTPIPEDLSDQKEISEEIEEV EEVEQVEQPKEEDDKPSPSKAKNNSKSKVKVMSVSPTKTKQELQKDAAQYEPGVLVHT YPYFPAMVVDLNEPEGIPSDVLSIEARERAAAKAAGKKVWLVNFFDNSASYGWVMEDK LDLLGTDEALDALYLSGKTRNKTNKYKPHAIKMVKKGYRDALATLQTEEEDEAEEV I203_00934 MVTGQKSMGDWKGYQYTMYRLKHSANISYPQQARTRAAPLAART YATAKPAASEVSSILEGRIAGASVGGDVQETGRVLTIGDGIARVYGLRNVQAEEMVEF SSGVRGMCLNLEADNVGVTIFGNDRLIKEGDTVKRTGQIVDVPVGPGLLGRVVDALGN PIDGKGPIKADGRTQAQLKAPGILPRRSVHEPMQTGLKSVDSLVPIGRGQRELIIGDR QTGKSAVAIDTILNQKKWNDGADESKKLYCVYVAVGQKRSTVAQLVQTLEQNDALKYS IIVAATASEAAPLQYLAPFSGCAMGEWFRDNGRHALIIYDDLSKQAVAYRQMSLLLRR PPGREAYPGDVFYLHSRLLERAAKLNADYGSGSLTALPIIETQGGDVSAYIPTNVISI TDGQIFLEAELFFKGVRPAINVGLSVSRVGSAAQTKLMKSVAGSLKLYLAQYREVAAF AQFGSDLDASTRYLLNRGARLTELLKQPQYQPMPTEIMAPLIYAGVNGKLDKVPVDKI GAWEKSFTELLKSQHAALLEKLSGGVLTKEIEEEMAKVIDAHVADFTA I203_00935 MPPRPSTGISSAFARLSLSRGLQTTAPLPPPTTPLPPSDIPSSS TSAALAHLPPILPSSSSSSLTTLPNSTSEAISLISSQSASSSGRYVIARLYSRNYLLH PKDILTLPQLKPIQAPGSTLSLTKVLEVGSRDYAIRSQASNAAILKRSMNWKSKRLSS FEGIPEGLVDCNLTILEHTKSPLERILKKKRRKGYKKTIEHKQGYTRLRVGDILLKGE KEI I203_00936 MTDDQENIAPMSRLPRLASSPARPSSIPTLSSAPLVSSGNANKR KLPSSPLAPPSTKRSVSGSSSGLRSSQRGGFVPSAVQEPSSTGRSASAFGAPTNRRTG STESTRSAGSSSTSATTRARTAGTVIKRPPVSASSTTTRNGSTLGRSIGPSARATSRG VSPGLAGTSTARSAIGSGVASAAQFKSHDGRLENVERMVGGFKDLLEREQAKISSLQM SQVDLQALLQSTQNTEREARRDLSSASEELAALRASHAREIDDLERTIARKDREKRAL EDELRDSREEVSRERETIRQLRQSLAEQSTQHITLSAQLQASQMQLTSVQAEVERATL SVSSMKAELAVGLENAKKAEEMATDRVRAAEEERDRRIAEIEEELRTAETIRRKLHNQ VQELKGNIRVFARVRPALAHESSSPEALAEIAYGDERTAQETGQSQIVVKSRSESATG QAREQEYPFTFDKIFAPQAGQKEVFEEISMLAQSVLDGYNVCIFAYGQTGSGKSWTME GDAGMIPRAIDMIFAVSSGLKDRGWKYQMEGQYLEVYNEVINDLLGSGQFDSKKHEIK TVDGKVSVTDVVSIPLNNPRQVSTLLERASSRRAVAATLMNERSSRSHSVFTLKVRGE NPLTNERCEAMLNLVDLAGSERLEKSGAGENKDRMRETININRSLSALADVIGALGSG KEGGHVPYRNSTLTRLLQTSLSGSSKTLMMCNLSPLSVHLNETLCSLRFATKVNSTVV GQVKKQNAK I203_00937 MLRRCSNIPRSALNLSRPSVRAFSKPSAPVSASASQLSTKENVL FELDVKKVGHEIRKRGLTSSIGAGREGGMDRDTIIRLLYSLGSRHEVERYLRIFTQSS KDASPGGVLPEAKFAVLKIGGAILTNELDDLALSLSFLNRLGLFPIVLHGAGPQLNDI LEAEGIVPDYEDGIRITDPKTLSIARRVFLQENLKLTTALERLGTRARPIPTGVFTAD YLDKAKYGLVGKITRVDKAPIEAAIKAGCLPILTSLAENAEGQILNVNADVAAGELAR VLEPMKIVYLNEKGGLFHGVSGKKISTINLDEEYDSLMKESWVKFGTKLKIREIKELL DTLPRTSSVAIISTGMLQKELFTDAGAGTLIRRGHKLYKQTGVEAVGSTQLRQVFTER DPEVISGKRSVAEIFGDFKNTPTTIYGDEPFDVVAVVSHPQGETPVMTKFLPSGNGML NKIADNVFDTIKKDHKRLFWTANADDENRAWHFERADGSFTRAGRSLFWYGVADVKEV EKIIEGFEQSGRIERVFLPVGPSTPPHRLGATRAFSTSARPSLVNSTSNGRRGYATAA EVPRKKVALIGARGYTGQNLISLIDSHPHLDLTHVSSRELAGLPLKEYKKSQVSYSNL SVEDVGKMAESNEVDAWVMALPNGICKPFVDAIDSAASKGGKGVIVDLGADYRFEKDW TYGLPELYGREESKRSTRISNPGCYATNTQLLLAPLMPHLDKSQMPSVFGVSGFSGAG TKSGEKDEEGRPKTVPKISAQDLGLSIRPYSLTDHIHEREASNHLSSLTSQQDFKLAF IPNVAPWFSGIISVLTAPLDKSFRASEIFELYQEKYQNERLIELGKTVPDVRDIEGRH GWKMGGVQVHSSGKRVVVVGTLDNLLKGAATQCMQNLNNALGYEELAGIPLDKL I203_00938 MGKSYIKSYQLQSQSVSGSFSSSFIAGQVGVKLTRCPSQRLKQR MSDQYYKKKYQIADSSTSFDDIPSASKRANFRRLHPYYFILQELCERGEKKCRAEALE LRDMPKAYPETRKTPKRSHSFISNPLSLSASDAGTDSIDSIISTKCSYSSRSAPSRPK KMRPSGPDSSSAPMAEVRPLRIAARPPPFGPSQSSLHTPTMSGDLKSLPGVGASLFKK GNHDSSGSDTDSDSVIGQASAMSDSSSIVFLPGPPPGSFLPRKLEEEHAPERPISAVR KLVVTNKDPLVSMNNDKPERGPDKTPSRDYKKKVAMEVEAEESTSVLGTEYENVHLLN PSELGRLSNLVLRRRFIQSKQELNPHWYDSGILDWMDKYGNRERYARQRATLELGLEL IRRWSERSNEAHATLSTYKFHFPTLNEGDILVSEMSELIVKLGGIDTLGERLYYFARS FRHDPVRVISKGALFVSSSGGVENDHSITSMGISSNFTIGHTETWYRTLTTDPAKRRW QKKHCICVVLDEQNPLSDARSSDEWGCVMTPMGFANYISELREKKKLSNAGRE I203_00939 MPKDSSGAGVRVGWSPELTLKLLEFLKGEKYYRQVFFPRSSTQP KDRHLYARAAVMEFFKKDEWMRDAKRRGLAHWDASEKE I203_00940 MNPKQGAVAANGMMVKRMTPAEFCDYLENLRQNSQESKISINRR KPKRTKKKGKKRGKKVRQPNAKKWKGGASKQKNGVKQE I203_00941 MSPKLAVRVGWPPELVLKLLQAISEDSAALQTYFPISSTQALGK LKQSKKFCALFFKNDQYMIEIERLGYAKRALEEDDWNIEEWLKIDSKDTVYDKVVLLK RHLRSGKFKEDNGIRDEWRSFDDIPDRKERDELLKKIPYYFLLKRLCNPDGDQNHSQP LILTRPPASTNTIRSERRQRSLSVISISSSGSASSASDAPSSPEEITESEDNSQNGFT GSESPDSPLHSPSSDSNHETCSSDVEEVQLPSIFAKASKTRRSVIKNTWPDQACAIPH GPGRGVQDAIDVDDHQHTVDHQESTEEEKPHVTEFVDELGSNPSSEAILSFVICNGAL DQDKLRSTAVASLEREMEKGGLARDLLNPTIKSWVVGQQSTKRTRKNILERARMELGL EAIQRTIDSGLRSRPIEGYGIHFNLMPLGDHVARDLVRLIVLAGGVILDSPRDRKSRD CTTLYRLVWQKEDANAHQGKGLLAKGMNVCLVNTWILRFFSMCKNMENEHYQIEERVD YLVVDSQNEKRAAGTGTLVFVDPPISKLFKKNLRKLAKYNGLPIEP I203_00942 MVSTTSIFVSALALASSLVSSTPTLLTRDGQNMTSSSGGKVAFQ FPLSPKIMLISLFAPEDVWTESLGLTNNITLPGLSPLFPSIGCNDDASICHMTTGESE INAACSTSAMLLAEEFDLTSTYFLIGGIAGINPYMGTTGSVGFARYAVQVALGYEIDS RQIPSNWSTGYWLFGSNQPGEPATTIYGTEVYELNTNLRDKVMGYVGDVKLNDTQEAA DYRSKYDYSPANQSPQIFKGDVATSDVYFAGNLLDEAFGNITSLWTNGTGQYALTAEE DNAIFEAMVRSHTAKKMDFSRVVLMRTASDFDRGYGGSDAVTAFQAEQYGFEIAIANI QIAYTPIIEGILNDWETTFSSGVAPQDEWLYNADIFHTLVQRKREVREFERMMKRSQV GKYRR I203_00943 MAYVAVAKALYEYDAQDPETELSFKEDQIFYVIEKEDDDWWKAK AKDDEAGAEGSVGLVPASYVEEIPPLNTTRAMFAYESTSPEELSMGDEAALHVYSIEE DWLLVKVEGGDEKLGFVPRNYCEPLDASAEVEVADAADTAAEVEAARQVEREKELAEK QRQLKLKDKVETWSISELDGKKKKKGTLGVGNAAVFFASDTDKSAPVKQYPITDLTSV SQPSSKTLDLLFATLGQPLQFHCGSSDTTKAILAKLENSKAAAGEALELINEARTGGY SSAEEETLPPPTRNVPPSAAVAAEPRGVRFAPETAPATGPTSSSGGETATVQYDFDAD GDDELTVKDGEIVTVVDKENDEWWLVRNSRGKEGVVPAAYVQLNDGSAPQAAADEPDS EDEREREAEAAAALEAERQRQARAAAEERRRIQAAAEARREQEEEDRRLAEAIEEEQR EKAARKALKRQEEDRRRREADAEAAREKARSGGLQPPKITKRPSNNDVAAAASKLPSR GQAAPARPPENNRPKPNPNRIRTWSDKSGQFNVEAEYLGLNGNKIRLHKLNGVIIEVP VEKMSSRDIELIRRHETKKRAATLDDDDVPLGQSSRRNGTPVRSTESARRAMPAEEPI PPEAMAMPPPRKPRFDWFEFFLSSGCDMDDCTRYASNFERDRIDESILPELESSTLRT LGLKEGDVIRVRKAIQNRFAKKTPEQQAQIDQDAEYAKQLQEFENSGRKGTAPQPPPG LFTGPGGKLSNNTRRGRPEKKSTGPETVDASAIAAASDQLSKATISSPPTAPTPPPMT VSPPPVIEEKKAPAIAGFDDDAWTIKPTSKPASPAPPPPPAPPAPPIFQTSPAPAAPA NNTDSLLAQINALRPASTGISQNNTGGSGSFDRVSQMVGQPRAPSAPAQNYGLGVQNT GQPMNQLTGFQQQQQQSPQNTGPRGPLAPIPANAGLLNPMQPQVTGMFVPTRGLSPMT AQQTGFPQQQQQQMMPQPTGYQAGFQQGYGGQPQQMAMQPNFTGYPGVMQQPQPQSSF NAIANMPPPQPQQTEQNKFAPSNIFAAMKQSDFGKPEEQQPQNANKYDALRPLTTGYN GAPSQMMPQQTGFGGMMPQQTGFGMMPNMTGFNPNMMGNGYMGQQGQGGQQNPYGYR I203_00944 MSRPTAPASRPGGASKPSSNPGPTPAANIPRRNPGTLPGLARTA SSLRQGSPLTPSSSTLASLPAHLRNLSAPKIPSPLGRGTPARGVRQSLPSRTSKTTER HVLLPEDPQLAPLPKSPMGSQVNLLSSAPPRAAPSTSTRFTSIGTGDERSDAEKMTKR EREENKLPRLTAYATAEGYRLKLLQAFLKREHGVGVVRVFDDCVYAVYNLPLLPGYGA STKVRSSPAVKSPGGVSLLERMTMAEDLGYNDSYFPREDPSEATPAEYILSATPPSPN PIAHGDLLNTLEHGRGESEHLQSEVLGLSSREQEEIAIAQNTLEGELRSEGLDLSSEE IPIPTSEDEQRNLGTHEDNKHPGHLEDIPSQALEPSDLPSPSEHPSPTAESSYSHHHQ QQHEEHRVVSPESEFSPQPQPSKRPRRKSHTTQNVAEAVFFSYGVSVFFGFSELEERE IMEDCETASTWVRGLGEDDWEIEEFHYVYDSDAEQPRIYNDMFTFKSHSHLFKLSLAH AIAQSNKLSIYESVMQETLSLTASFPKELSTTGHLQLTRREALKMTGRLFKLRMDVNL IGGILDTPELFWSEASLFPLYEAIHEYLEIGPRIQVLNDRLAVAGDLLEIIHEYIEER ATHRITWVIIWLIVVACFVEAGEVIARLVFHAIPRETGEFLLYKAPKMIMAGASASAS GQTII I203_00945 MPSDRAVRITHSVLFGLTLLVSIIALIISATLVAHYNNEGYPPV HTGAYTARIRILLVASVWTTFFGIILTVGFQLLGTHLIFGILSHLIPVAIGFILYLIG SASLTGLTAKIDCGKSGDSFSRCGVVKGLVVISWIDTIILLLTLIFIIVLAFVARGRY GVHKSTLYAD I203_00946 MPISPFTLLHVLSLAAVSLSPIPAQAQLANTFKYVGLSGVSAQQ LFLGTMNKVYIVDKTENNNATVNGHPAWATEYDLTTNTFRTMDVLSNSFCAGGTVLGN GTWLNVGGNQAITYGGVSMPTAQQSGQSPYKDWDGGKAIRLIDPCDDESCEWVDNPAM YMTSRRWYPTLETLEDGSAMIMGGCEWGGYVNYADNQNNPTVEYFPSKGQPFTLNFLL KTMPVNLFPLIWLLPSGNMLVQAEFQAEIFDYKNNIEYPINDIPDCVRVYPASAGTAV FPMTPENNWTATIIFCGGTFLEADQWTTTWAINTYPANQSCVKISPDVDLTWYHEDPL DTGRSMGNFINLPDGRLFYVNGAHLGTAGYGPEDWTIGQSYADQPLYQSWYFDPKAAS GSKWSKAAVSPIPRMYHSVASLLPDGSVIVSGSNPNADCDKELNTTYTYFTQYQVEIF YPDYWDKPKPNPTGMPSQITYGGDPFDIHLTLSDLANNTMNINATRAVIIRTGFSTHT MNMGQRHVELETSFTTQDDGGAVLHVAQMEPNPAILVPGPALFFIVVNGVPSNASWIT VGDGTIGQQTIKAKSVLPRSQISAQLMAQYGGSYSRSGSYVVAGQSDGCKSKNIVNTG QMIGLVVMSLGLLGILI I203_00947 MTASKKRGREDDTEGVRRDDKTKLRMKKSSKKHKVSHQDDLTTN YAYSYNVENDRAPITYPTSFERNTNTAHHSDHHQNFPSIHHTPSLPSVTDQCAGMLVH MSKNSSYPSSTTTFSSIPQPFGSSTTGRVERKIELKRSSSSEGEEGDKKNPYVLAEMQ EEDASVLPEVDISSKDLLAHIYGDPGPRSVFITPIKLPKLSDLAINSPSSSSSVECSS DTSDSTSTNTSSSDSSASCHLIITPHTRDNSTDAIALAHHTDKEVSPEQDLCMDNSIF RYVGINTLVWYLFKRYSANETKQAALIDQPFNVPSTVCPIEKESLDAVLGPLLDHFRR N I203_00948 MAPHNIPIFDAEVLLKCQNTLGEGVVWDSTTQLLHWVDIFASTL HSYNPLTGAHSVDKYPSKCLTYITPRVSNKAFLGTFEGSLVELPEPTIPTELTPKPTI NEKQPTKVISEPLNEGLVKDETIRFNDGGVDPKGRVFVGSMGQDETAEVYPGELWRYD LDRTKTKILDNVGVSNGLGFSPDGKLMYYIDSRKDRIDVFDYDLSTGTPSNRRVFASS PPALDDQHPTEGVYDGLCLDGVGNLWVARWRNGRVVGINPQGEIIAMIRVDGSKGATI PCFGGPNLETMYITTASSTQGGPGDADKYPNSGDLFSIDFGPDSPIRKVLGESWKGAE RYRAGI I203_00949 MSSFNPSIYNLPSSPLPTNSHPTSTLDEQQETESLISSLFALLP PPTSDTDSPTTSLRKQEHVQFLASTFFKLPGKFVSLDASRPWLVFWTVHSLDLLGVAL DQGTKDRVVSTLLKFLSHTGGFAGGPSNSQLPHLLPTYASVCSLAISGHSGPGGGWED LKDARQGIYDFFMDCKKDDGGFVVCKGGEVDVRGTYCLLVVATLLDILTPELLHNVDK FISACQAYEGGFACSQFAFSPSAPDDDNLFIRASMAEAHGGYTSCSLNSYFLLSSIPL EASFPAKIDAEAALRWSVLQQGEAIEGGGFRGRSNKLVDGCYSWWVGGGIPVCEELIK REKRARSQGKNNKIEMVDEGDKEEDWVDEIPTPPLFNRVALQEFTLIAAQQDAGGSGG LRDKPGKRPDQYHTCNNLSGLSIAQHSMKHSSSVVSANRAKFDSSKGLPPIIPRSADG GWTSEEERQNARREVWANALGWVEDESQEYVVGGKGNRINTTTPVFNILGLRLKPFIN YFYCQEE I203_00950 MFDQLIAQLPASLVDTFRTILSKLTMDSSKPLLPFIPKPIKAVL LLLFILHSPSWPFTWHIRVWYWGIKAYYLAYRKGRSKYLKDWKAQSNKLGGIKDLRTR IHRIAWLDDCDYNLHLSNSAYAKNSDALKMKWCIETLSPMFTPGAHMALGATHYNFFK EIPLGAEYVMEARCGGWDEKWFYVVIEFILYPKVSSKSRSKTQQAKNAASNTGPLVPS ISDPPTRSTSPVPPTNGSSSSTAATTSKVEEIKRSWAVKRGQRADGGVVCCMTISEYC FKMGRVTIPPRIGLWMSLQSPSAAEQDRARKVVMSKDGGRAFLRGGWKDEPDANTLGS DIGLSQGDDEKDSWVVKGRQGMESVVEGMSAF I203_00951 MGNSAEGYANSTSITLTSLAVPKPSPNQILIKVKAASLCHTDTM VSSGIFETDFPMVAGHEGVGEVVDMGEEARKDGWKVGERVGALLNSGGCGNCAECRYH SKRYCPKASMLRLKGENRVFAEYVLVDKDWTVRIPDGLSYEQASPLTCAGVTIYSAIQ KLELSPGNVLLICGLGGLGSLGVQIAKAQDLRVIGADVNQSAHDFLLTLPEKLRPDLL LNPKYDKAQDLSLEIGALRDAGYDSFDGVDAAIICTDSPSAISYAISLLRPHSKLLLT AGPMDPNFSLLDFLFKDMTVLGALNGTRNQLKETMELCAEEGIKSNIFIERWDEGRRV ERIMSGHARGKGVVKM I203_00952 MNTILASLPSTLPGSLQALVAALKNNAISSLFSSIPKPIKYLII ATFVLHSPSWPFTWHFRIISSALKLELKELRMGRLKYINDWKRELDRVGGMKNLRYRY ERLAWFDDCDYRLHLSNSAYAKNCDPAELYFGMNMFAPALKTGCFLALGARHFNYFKE IPVGAKYVIETRCGGWDEKWLYMVSEFIIYPKKRSTKDRSKPVSNGTTPISGTSTPIV NGNGGDIAKSKLEEIKKSWVSRRTHRDDGGVVCCLSVSEVCIKLGRITIPPRIAFWLT LQHPSKTEQDRAKAILMSKDHGVRFLKGGWRDEPNASTLGSNIYFEDKEDNWVNEGNG NMEMVVRGLSGF I203_00953 MLSTLNVLPTTLLMFLIATIHYLASHSHSTQSDPTEKIWNVERT GLMISLYTSTLNNLPQSLLNKLNIKLARRLKAIYNAGIGFGLLGMMIALGGSCWATMS VWKSVLEEVNLHTLEKVQQGSAGNIVKRAYTENGGADVVMEGSRDFAGGLQPLIPGLT MPWRHLPTLALALMVNQLIHEFGHAVSAALDDIQPSRFSFSLYAGIPSMMVSFPSNID TLDPNAKMRLATSGPFHNLLTWFFIWLLTFGGVGKLFWYDRSNEGMVVKEVHWNSPLY SHLTPGSVLTHLDDVPLSATPSSPDPWSEYLSSDITDNVGDEGRGWCMDKTTFLSQPE PSQSSSEIGSGKMDCRDNSTSSERIAFQSTHGLTKGSYRCLNPLPILNIKSTKCPCPD SRWVCIRPDPSEEILRIGTKDGILLFTGPREEVLRDVRVRKEDARGWKGGVRWGELFF NYASTFSLSLFLFNLLPLPLTDGSQLLESLLEWKSVVGPITSTNPLKATIHNNLSGTT NNDGAGPSIKLYREYEMDSDDEEQYIGIGSELEPIDRPTRGRGKEVGWKRWIRRGIQW FSLFMVGLWGMGWAIVLLLRSS I203_00954 MVHHYAHSNTIGLHDIYITPGAGDRTRSSDRPELSHLASWSVSS HKYGFGVDNLRDGNDTTFWQSEGPQPHLIDLSFPKRVHISAIAIHMSHPRDDSYTPSK ISIRAGTGLHDLQEVRHMEFNKPDGWIPIVLRPMEVTDEGEETEGPPIPCHHLRIIIL ANHLNGKDTHVRGLRVFGSPVQPQNSSAIPLSPLSETSSTTPGSGLKKTSGKQLLELG HDGLSGFTSTQFKMHEFIR I203_00956 MTRTRTRIHILGIGSIGTLVAHHLQLSSPAQPMSLLVRSPNTFP TSLSSTRGSVKTTSSGYYIESSISSSPSLSDRPIKSLLIATKTTQTSSALEPLIHRLN ENSVITLLQNGMGVYQELISNFFQDERQRPHFILGTTPHAVSPSDQKGQIYHHSAVGD GFIKWGLVHDSSKNIGETTLEDWLWDYRSKDADISSQHLEKLEIPNGRDDLVHLKDTM ASLLDMNDLNSQLLPYEDLNHAILLKLVVNAAVNPLTAILGRGQITNGKLKEIPYGIN IVDQIVKESSEILLKYLDLESAVPMYLEKFGYENLKKIVYDTIEATRLNTTSMAVDIR EKRKTEIDYINGYLVKMGEELGFKTDINRMICDMIKFIEEEQKLSN I203_00957 MSIHFAPQWVKPIKPSGTSLTPTTEQPVSLKTNSSHPNTAPNVS FPALSQSQRGAPGGVTSPSSGQAPNNTQPLSYSRVTHTPLSPNFPSDASYFPYQEPNG NVNWVNGDNATSHPFRYGRDQILNLFDESKFKERPIELVEMAEGGGVLVSKSVNRPIG LRDLTEIEKKLLATSIHPPPPTRRQNTHGNTSNVNTNTAEPPTPNGLPSRRTGGFARG EGGAFGGGLGGKIGTIGGGLISPGGLESKAPGALGGGFGGVGKRLGRVRGDAAEIGTD GTRSTGPNWRPARTASGSFEGVLGFGSTGPSALTSGNPLSPNPNVDVSERWGTGQKKW RIAAGLSAPGDGDKTLELPIANEPSSVSVIATPSATPVPERDATVLESVAAPANPHVH SQEGVQQQQAEEKQDLGAVEWFYRDPNGQEQGPFTGTQMHDWYSHSYFTDDLPLRRAS ETSFRPLAELKVATGNAVQPFLSPIRPRQLPPNLPIPVAALQQQVTNGLPESFRNLNV SSPVVADPRVSPQPPIQNTPQQFNQAFLPERAPYSPAGYGQGFNGQLGSPAAFGPSPG QGWGMAPGAAPGGPRLNGPFGSIGMPSPIGSTPLPFMQPQHQQQCFSPQIGSPVRSGD LFSPSAGVGAIPPSPWGMAPQPQTQHSPAYAHQMPQQHQQASPVPVWSNEQQQHHQQT VQPEEQANAEIEQAVAEALSPVAPQDQVFEPVVPEITQAAVANETPVNEQSGPAATEV DQFAETPQREASPQAEAPTPAKAPASVWGQPSSKAPSRKASIASPAPSTPSSAAPTTP ATAAAPTISKLPPAPASLPARPAAPVKQASTSDSVSDPKTVAPATPGPTIGTSAGEKS LASAKTAPWAIKDEKDVKALSSPSLREIQEAETKHAEARRAALAEARAATSSPAPTPS LSTEDFPTSMAWGLPSSKPSAPTPAPSTPSAPVWGGNEATPKKTLKQIQEEEEKRKAK AAQAARASQGAPGLPSAAGAVASQKRGYADLAANATSTPPPAGWTTVGASGKSSATTS TAARVVSTPTSKPATPVKPPTSVPSAAAVVGSGTPKKVNGTASAAAEDPSAPSVEFIR WVKGALNGFKGDVDDFINNVLLQFPIDIPQSTRAETLEIISDGVYANSSTLDGRRFAQ EFYTKRKADSQRKSTNAVSKITSLADVVKTQAPKVKGDDFGFKVVKGKGKKKN I203_00958 MSRDSQESRALIVWRPRYLFFPPVQEPPPPTRVFRHVDLIEEEE ARCKEYESMRSFLGPSTALLHTPNHEIIFPQIFLNNTFLRFLRNPPRQLPIIKLTSQH SSNYDTTHSDSTNVRSDPTRQTEAYIEEIHEDNEEAESSLESIDETSTFPFPSKQIEY VANKPTKITLKRKSQDMHEEDDVFSSIYQPSSANLSSKKAKSDDDAAKEQWRVGRRPG FQGSFVAPKRTSSGEENSNESVNDSGKKRTLSQNITSSAEKVLKKPFKPPTRISPSIK SRSKPQLKSRDLVEVSSPSTSPTEKNHEKINTPSTPQNKFSFPKVDPFFPDFPTPPSS SSSPSRSVQSRKIKPVKPFKSPIRTNRSSAPSPSSISTTNKPGNNTVYPTSKVSTDEK CKLQSKYDELKKLQNEVMISKQAIKYIREDDNTRLKELIDMWKNAGREVVEKLFGIVP EPVQSDGPTNMNYSSSNHPVSSSYWNSSTSSSSMSSAIGEDQMEFIRNARRNDNGDII DDEGNVMMIGEDEGDIQQFWNNLGTNQHYNKSESGNRSSRYQYNYDKYDLNHTKYDHE DQSSSYENQFQVDIQSNEQGWNYARLMKMFSVDPDLFGWDPVEEDWMEQDEE I203_00959 MDSVKIPTENVPPGLKQCEQILKRANELKKVEPVVAYWCCFSAA QKALAVKQRTKEDTLFLMSVIDALEQMKAILANNEAITSEAAGAALVEAFALKVFMSA DNDDRAGITGKATIRKFVVAGQFIEVLKCFEAGMTDEMEQKLQYARWKAADGAKALRE GRTPASGPPIPESENDLNPFPTIPTDDPADHSRFRTSPPVQGRGSFSSQTSPQVPSNS SDTSTPIISPRPSPSPGVRPTLPGIRTSQEDLRTPTRNHSTGSGAWSTVATPGLPEDE GELHFPTNRPDVILPSAPPMTPPEKGSPGDKKNVRFMGPDGAPLSPASTHITVSSYDA PPPPPPTDLASPPGSPKATPPRVVLQPPPQGRSRGDSSASSSSRSGGSGSNHTVSHAP HVNGHPRENSNSTNVPIGKTQSTSSHNSKPAPTSSSITVPPPPPPSLASYPMHPPSQP QPHGLGLSSPQPQTQTPGHGPTRTNLSRREVETVQKHAKWAVSAMEFDDYETARNELR KALNMLGG I203_00960 MFKIRSRPIAEIEIEIEIEIEIKVEVKLKSIDLKYLLCTIFHEG DRLHEGDRPASGAVGMDRPTDGTSISSILENFVRSQHKDRSKSFVNWFKDRRRNAGLT KNDVGFKVVHSRSDFESALPPLSLVSYQLPADEEEFQDYLKSLDGYTFCDLKGEPLPS TVSEGWIWPVNVPRQETAVNSWSSWLSMLSYFQ I203_00961 MSIGSSSPSNLGNSSPLPSDAPSTSASTNTNSVANLDLSLSTSI TYLVQFLIQPLSSHYPHHVLLSLRDELTERSNHLFTSTWDTSRPQFGSGYRSLICSKH LGLPKPLREAAKSVGVEREVWKRAIAGIKSGDRGEEWQCWCDPGQVTWRWGGWEWEDV GYEPGKVIKEPFQIIWQSSSSLSPSISTSTPSASTHTPVRASHAIPIRAPIAVGPPTP GPGPSAPAVYAIPPTPSQHSNHTVNENDELLPAFSTLGLGHPSGLGGGARNHTPSGWT SSTGTQSRETSYGDRSIDSYQTDWDNEEDHENDRSKAPSRGSHRGSESTSSITSSSSM SDSNSGHTQLLTPSSRPNSADPFLIPSLSLKEKDKERSRKITPPSQTLTRGRTPSPNS SASMKENITPNTDTTLTPSTPTVTPYDGGNVTVLGGGVKLGGASRPGSVISSHRSPVD RSRSPSISLASRALGGATSTEGGGGGSTRKQRTRRRIMPTYLGHLGQPGVGGPIMGAF TMPQTFTPSKTPGTGGNGGSVGVGVSPPPVNVGGGRSVSLPSTMPRMG I203_00962 MTEPSSPFLILVATLLDSLPPFLPNTLLLQLHAVFGSMLLSALQ LVDKREVVRVSLPSDRYVYQVASSSGKNYTIHLNPPPPTTNLIPDIPSPEPTATPPKE EPDLLPPAPMGESIPRTPSPTGSQIQTFNPLLSSPAQQHRTPSPASPLVEDEDSESIS QHTISQKDILRRERIARLADDLKSMYCPCAGWSYGCLAGEKTILCKHLLAVIIASKIG REVRADGDVRGAAGLLGLT I203_00963 MNRIFGSNKAKPKPSLNDAIQSTDTRMGSVEVKIKKLDAELGVF KGQMAKMREGPGKAAVQQRALRVLKQKRMYENQLLQLQQQSYNMEQAAMTTENLKNTM ATVDAMKVANKEMKKQYKGIDIDKIESIHYDMEDLIEQANDIQESLGRSYGVPDEVDE ADLQAELDALGLDDEPIGENETPSYLQDSTALPDFVDSAPIEELGQSNTPTAEVAR I203_00964 MNEGEGDVLDEITNMESTFYQQGYQAGYDHGKLHGLFEGRELGK EKAWELWEEIGYYEGWAGTYVDLLEGKVVEGREGRKGKDARALNHAQVLLSLIQSFPT TNPTPSQPNNTITDEPKAEADLDLANLISNIRARYKLLCSSLNVKPRLQVAQIVEVDP RSGAGASGVDGGLDGPVKGVDTSQLRF I203_00965 MRFSSLLFALPLLGSVFAAPAPAPFPETGLTLEKRDVDVVQTVQ ELANTVHGCQPLGASQTEVDVEATLTIIVGALEKCGNTLGIDLSLSVDVDVVLGAVIA PHGDIKNQVANILAQVILDINVIIKSIKAEHKKKPKCVALLARIDVCLTLILKGLSTI ISGLIFLIGTILISLGVILDGVLAFLLKLIIGLLGNLLGAILCGCIL I203_00966 MRFAPLLCALPLIGSVFAAPTPIKENAIATRDVDVLAVVTQLEA DVLAAGSLSNLSVEADVTACLQILVGAFNKCGDALGIAIGLDVEADVEIAAIAKRQDV TQEVAEVLARVVVQVNTLVGFISSDVASISVVAALLAQIDSALCLILKGVEAILAGVL TLVAGILVDLKCILSPLLGGVLGLLDALVGGLLSGLVGTVGGLVGGLLGGH I203_00967 MSDREDIPDTTTPDGGLVTTSPVTDTQIPPEITSTLTEDTSTKT MNTALTSSTDSQTLMPTALAEEATESSTTTAPSQSHTYSSLIESDTNLLQSTSTGPTE PSTLAEPITSSASSSHSSLTANIASSQTTITSRTTEHSSSAVTTDRSSTALTSSSSST TISTSESHTDTTSPTITTSIPPVPPPVSFFTSSSPIPSEQTSLSSTPFTESTYEPSAP TTSEQHDTLEPSQLALANLQVDSTSGQIGSSTSSSQVISITSTTPIGSNAANSKPTAT DSPPAEDGSATSDGTSVTPGADSAHSNSNPDTKDGGGGKLSSVAIVGIVGGVLVGLIL LYLAWYQWRKKKAREALLGISDDPMDEKFSPTMHPSRVTRSSFGAADPITPYIYRQRG NRPGEVTDDDDDEDWYDPNAIDQFVPYSYDNNAGNGRRQTQYMVTSSNPHSVTNRNPF EDNLFYPSKTALPTSDGTTNYTYDTEAEMKMTASLAEDMSPELLRREASQRSRNDATI ENPFVPPIPNGKLGRNETVRTVRTIPAVPSDVDGMSIYESYTTSGQGTRPHSAVSAYT EPPTSNLLPWINKSIDPALSQEVERVPPVPTQMNVDMIPNMTNLEQEIRQPPRAMMNQ IPSKEVPKGHGGELAEIPIPSFR I203_00968 MTVKAEQDLYLDPSIRDWVLIPITLIMVLVGVLRHYVTLLLNSP PKKQPAAAVREQRALGRAALLRATAPLSPLPPTQYRSFSTSFAAALSSGEYLKPTPKK EGDDAAPANPFDNGQMDTMMEGMKKQGVMMVPNMVIMQYINVFFSGFVLMRLPFPLTA GFKSLLSRDIPMPDLDVRWVSALSWYFLNLFGLNGVFKLILGSNNSAVDARDLSAMSS LSGAGAGMMGGPGQPAMSKLFKSEVENLALAEGMYKWVGEGIEDRILKGFNKS I203_00969 MSFADKKPTVPTTAPHPWPSPSDWPASKVRQTYIDYFVNQPGFE HTFWPSSGVIPFDDDTLLFANAGMNQYKPLFLGTADPKSDLSKLIRAVNSQKCIRAGG KHNDLDDVGKDTYHHTFFEMLGNWSFGNYFKIGALTMAWDLLTRVYGLPKDRLYVTYF EGDAKQGLEPDTEAQQIWRDLGVPESHILPGNAKDNFWEMGATGPCGPCSEIHFDRIG GREVPELVNADDPNVLEIWNNVFIQYNREQSGELRSLPAKHVDTGMGFERLVSVLHNV SSNYDTDVFTPIFAEIQRLTGSRPYQGKLGEEDVDGIDTAYRVIADHIRTLTIAISDG GVPDKDGRGYVLRRILRRGVRYASNKFNVKIGNFFSSLVPVVVDSLSGIFPEVTKKIP ELVEILNEEEASFARTLTRGEALFNKYASAALEEKRDVLGGKDIWRLYDTYGFPVDLT QIMAEERGLKIDQEAFEKARLESLEASKAGGKDKGVAGTVKLDVHDLGALEANDQVPK TNDSFKYQLDDIKATVKSIYHSSKFYSSTSELPPNAPFGVLLDKTNFYAESGGQEYDT GVLAIDGEAEFKVEDVQVYNGYVLHIGQMEEGEIKVGDEVICTYDELRRWPIRNNHTG THILNFGLREVLGDHIDQKGSLVAPTKLRFDFSHGKSITTPELVKIEAICNEWIKRAA PVYAKEMPLAEAYKIPGLRAVFGEAYPDPVRVVSLGYPLEEIAQNIENSKWRGTSIEF CGGTHVAKTDDIKDFVIVEESSIAKGIRRIVAVTGHEAHEVSRKAAEFERRLNTIAEL QGKDKEVAMKPYLVELGQSGISLIKKASLKSTFEKMQSELVAAAKAKTAADSKVIQDA IKTFFKENPNDNVYVGEFEVAGGNAKTLSAAVTAGKSLSKAIYVFSTDQETGKVAHTN YLPKEVLDKKVIDGKTWLGEVSQIVGGKGGGKDESATGVGSEPTKVKEAIVLAKNFYL SKVEA I203_00970 MTSGKKRYDPPRHQWTEKQTYHLLETISSSQTYLDTFFPPQLFK STSTKYELEKELCLLILDDTKWMEWMIQHSKIRRNGDRLEVLEGWEKMGNPVRSRLDL IHKDARDARRLLGKATSPDDLEHNSQERLIWNDYRNSGRYTWYFLYVQAQLVHNPNWI NSPQTTGDSRSGSSSTPDPGLASSATPLRSISTNHPRDTEARRSTRGNCPDERPISSN QRTTSSLPRPSLSNVSPSTPVRPLISSFPRSANTTTKRTLPPHSTTFTTPSSSSSPRL APLSLDSRLSKRPRVSPPAQRISLRTTPLIRRVGNLAPDVISISSDSDSDSNDDPNGS FSNNEDEDNDDSTDLVEIQVTTMIRPDPSSNIPFIPFSTNRDNPHTRSRTILDQAQNL EAIRNLSRPIMTPRNHDDSDDDSDSDREEQDETTEVSSRPPTPPFPPPLSSTTSAMMT HIEELQGENTLSGAISEHFMEDMFHDPTIRSYRLDALHRNLGKSPPPVQLAVQSIVTV SAGPVEDDEVLRLHAVEHQDEEEEFRYGLVFSPLIITDGTLPDFPIDLGSPKHGERDK PIEISDTEDDEEQDQVVIVQQSDISRSSISILEIDTRPSVNHHPGGGEVEELVDEHED TDMDMDMGMDVQNDADLDDLNSELGVGARDELEDMDLCSDMGQDDIEQRVDDSWEMVD DPGSCNSPQHRPPSLTIASSSTQPMDPLNKSSPSSRSLAHTQPGSTQDTPRTLDAFRP MIRILLLELKHTKSRALVDIEVDFRCMRSPSIKSLEDIVELMGGKVFDANRKQGERKM RYLVVDHGVLEEDVHVSKGRTVTVGELLGVIADLRERELNGV I203_00971 MPPNTKIDRLPTVIHRANDLRTRRTEYQVCILLQKISINPQLQR LFFLKPNDNAPSSIRMEQQLCLDVLKDTKWMERMIKHHKVLKNSTTGELSVRDQWPRN LRVISRLFDELHPLAEKIRCSLGEVRFSEQLRKDPLANRLWSECLLPGKWQDESRHTW YFEYLAIKMTLNPRWIYIKSSLNDSIGIGQDIQSSLIIQTYPGESTQNHIHPSVSGDH NYGRQTASQSTMKPTRSQHRLDLDKILARVEGRPSLNATSPTRSNTFQPPQDSLIGKD EKHDGATCTIGSSPISNREMSEDFSTEAILEVYHSMDDISLDPPVEQQGMDRPSLAT I203_00972 MGKKKKAAPAQEPVKVIQPFEHDWTEPQICTLLTRIAPDETYQQ LFFMKHNKHNSKMVMQEQNLALALLPNTDWMKFMIKEKRVIKTPEGELKTTEEWPKKM RVISKLFDVIHPLADDIKVLLGSSSTSAELKKDNPEGYRLWCDWQKSDKYTWYFSYVA IKNRLFPRLSKDKPSTTSITQLELPKISIDTIASSNSQKSGESIVKSSTSTITTPKPP PSSPPSRSISPFPRSPSRSQHDHLSPYFTGQSEKQSQREVPPHLQKVKPKLAESLHEL IGSLKLDDIPLEPPSEVWKDGDLYPTPATTPIITAGDVGLSRQEKVRTDLGSGIEVKY GDNEELVSAMMMLMIQELNDKRSNCMDKVAIAYEPPLNLSERAVLCLVIKMGGRLISL CDKEKMKEFYRGIVGLEDESAYILWKDTNNFAMMVDVAEANRDTLLIPISLVGVVQMM VGNRKREMERRMVDLITF I203_00973 MPKGNGGKIIQSYLGYFKAHLAKAIRSQLGPVSSPAQLQVGTPA HKAWAQWQQSGIHTWYFKSNDRVLSFDNPVGIESPDADHEVSSSKLFRRASTAMRNKR KTQEDWPKRQVSERIPWAEYIATVNDEKDFPPHLHQIISPTTTTPSSSNLIEMSSVDS DSESINIEERSLDIVIEDQFDHTMFLHTPPRVPPLDQSMIIAPAVDIARNIPLPPSPM SSATSLVETKQDRSYIDLVKVLCGILKLELDKKPSSCMDKTEIIISKHYYELYPHIPQ LITSLGGSIFIDSKSPKPLTCGVQRYAIDIDWSLGRNGSSKSNTLIDDESPKLDFQGL FNLIRDLREKELSPGNDDNGSGDLLTF I203_00974 MTTFLPGNTQITLLSTSLTCYTFYLLRTAHPTHVPYQFMLNFIN VVSVSLYAPLILVKLFERFKLFGRSQVSHSDSHSKKKGQRESLWRRWINNSEFLLCLT LLQFALTLGFIALTFLSIYTLHLSSYPSTYSHSQDPSSDQPSRTYTIPTHRLSSSPIS SIDLLPLLSSFLHLMILSMQLLSFALIIIEIRSSSRYSSIDRSLSGLLERDISDLIKL KNECDLRYSSKKWVDDAFTNGRSLSTFGMYSSPSRSTSIISGDDGTPRRRSISTTSTI LGTPSSVPLYRDNPVDEGDIRFTDPFNFTNRRSSRSANGNGNRRLSVRFDLTRHLNDN QTLITPKNGYDEESQRNDYFSLPHSSSFSGIVKEKEVIRPIPLSIIKSPKNTTALGLG FSPSSSNGSETLEDILEEGPDEVEQEQGERRNSWMDIKGEQSV I203_00975 MSFSRSSAQSLKQALRATAPKAAGRQVAKRSYSLLAREAPKAMM ASRLGATRGVKTLDFAGTKEVVYERADWPLDKLQDYFKNDTLAMIGYGSQGHGQSLNA RDQGLKVIVGVRKGGESWKQAQEDGWVPGETLFDIPEAIEKGTIIMNLLSDAAQSSTW PEIAPLITKGKTLYFAHGFSVVYKDDTHVVPPKDVDVILVAPKGSGRTVRTLFLEGRG INSSIAVYQDVTGQAKEKAVALGIAVGSGYLYETTFEKEVYSDLYGERGVLMGGIQGM FLAQYEVLRKNGHSPSEAFNETVEEATQSLFPLIGKYGMDYMYNACSTTARRGALDWA PKFKEANLPVFEALYNSVRDGSETRRSLEFNSRKTYRQDLQKELDEIDNQEIWRAGKT VRNLRPDANKDEL I203_00976 MTSDTYIPKEMRALRVLKAPSKYYSLETVPVLRAEGSKVLLKIG AAGLCHTDLMVLEGSFGSNLPLVGSHEPAGTVVALGEEADKIGKIKLGDRVAALLPKD VCGKCSDCKFGDWKYCGFSKYGGINTDGYFSEYALVEAKHCVVIPESMSFEQAAPLTC AGVTIYTAIKKANLKPGEIIAISGLGALGSLGVQMAKAMGLKVVGIDARPEPLDLARS FKVSPDLVIDGGKTSPEEAVKEIIRLRPEGYEGWDGVDATILTADPLSSHQFALSLTR RHGQVILVAQPPELHFDFKNFIFQDLTLSGSLHGNEVDLKETIDLCAKFGIESHVKKF SVDDHKLMIDQNEQIGRKGKIVLSFE I203_00977 MMLLSTLLSTLCLPLVLGFSFSLQNTNPTQCSTANITWEGGQSP FSLTIIPAFDYPSTLSIPDSNYDSSSGKGSYSWTVNYPKDTQFVVMMSDGSGTGTGGV SPLYKVGSGSTSCNSRSDETDFLFYLNETSLTQCDPVSIYWDNSAVTPVSILGAIPGG QVFQLVSVNDKTNSLVWNTNIASNTQIIIAAFDSGTHGQGGSSALMTIGSSSDNSCIN DQSPSSTTAGSPTATGTKTGNAGGVKTVTAITTETSLPKGAAGLSTGALVGIVVSAVL VVIALQGALLWFCCRRQIRSLIYHRREMKGSEVKPGGEVDLGLASHHSNSYEDYRDDH GNDPCAALSAPTVNSRYSRSRDDDGYSNSVPTFMNDNITLPPLSSSSQGNGHGRHDSF ALSIGQSIPELDLTPSPSLSTNNGFAFGNSPSFPLINQYPSSSSRPAMSKAQMAASLS TSNPDTNESRFGIGSRLPPQEAPSGGFVRHEDAGSIRAPPEAEQPEVEDLPPLYRPEW ETESQRGRGNNNDR I203_00979 MNPTSPPSNRGLLHDIPAESDDEDCDGLLAENEVIEGDFTFRAV SVGLGVGVILCMTNIYFGLQTGWVSMMSLQSALLGFALFKLLPHLPSLFPASRPLTPQ ENVVLQTTAVATGTMPLAAGLVGIIPALEMMTVKEDGREPIKLGYASLVAWCLAVAFF GVFLAAPLRRQVIVKEKLVFPSGTATAQLISLLHRIPPPSQTLKPSAGAYRRLPRTSR SVSPPGYASRDDVDEEPHNAAGKDSEVMRGSGWWALGWSFFASGSLTVLSFLFPIIFA IPIFDVFSLPFGTSLAAGWMWWFTPSLSYVGQGIIMGFPVTVSMNIGMLVGWAVLSPL SKHLGWAPGPVGSTTEGARGWILWVALAIMIAESIISLLPITISSTSTLIRHYKQRSG GPCIFQATSSPRTSNATEDDYYDPLDEHEEDDPENEPPERLVPISWVKIGLVSSAILG VGLVWAVFGKDGIHPWATALGLVLASMLSLIGVRALGETDLNPVSGIGKISQLIFAVL QPGNVVANIIAGGVAEAGAQQAGDLMQDLKTGHLLRASPRSQFYGQMIGSLASVFVAT AGYKFYTSTYEIPGPQFAVPSAGIWLNLARLLNNGQLPSHVIPFMLSFGLLFGIISAM KAFKKYLTSSSQKWIQYLPSGIAFAVGFLNSPSFSIARLIGGYIAYRASKSSPTGETP LLAIVVASGFVLGEGVLSIVTLTMASTGVRALSCFGCGIAGGGYCSGGC I203_00980 MSGSGSIPSQPPNYSSSSSSLPKGPVPTPTNGPEAMKLQLNIQS LSLDCSIPLGSNLGLQVAVPPAPGTAPQQQHQNNPPTSTSQPVPSTSTNQPQSSSSPS SAPAFGPESILLGLANSFIQRLERVERDLTEERRVNVNYRNTIQRLEERLNQLENNMK DGENHNQNSNGTGNGDVRNGIPQNREYVLDPPSQISIPTSLPTRTTPQRYTATQEIEP DAIDERDTWTSPQPHPYQGVPTGEEEEEEEMDDPTGLGLDDDQAILYGFKPRNKE I203_00981 MPIHQGHNSLAPSPIGVTLNIHLPNASSATTPTPSVPQVDGDII VLEVAGTFDTSTKSSNALAISPEMYHEILARLGLLERQATISTDQIKLLNDHLQEEKE KVRQISREKQDLEMKLQVEREEHHLISLRFLEDQARIDELQIKLGELESKQEQSDKDT IVDRCHFEEMESRMMKKLQLKMKTEEEEGDKELNKRLLSIKNATQDAISQIRKTKKDT KKEDDRAKQYLNSIKDSKNQALSMIRLSGNMMESRLKEKEEELNLMIKEGHKSLEYLK KEISQDFLRQLSNTSLSKPPPSSSPPPHSRLFPIRLSGKATGIGPNNNNYVAPTSKNT EPLSKPVHPSKQKPIRVFVKDVRLGGKEEGNRRIDFDTYLRQTEPQQSDHEEDSI I203_00982 MASISSKTLSCNIPVYRLTYTTTPSSVVDPKQIAFEQYLAQRWK GEKAKEGLGEWNKELPVFWEGAGPEGLGRDRDRERDQERFIKHTA I203_00983 MGGNADPSFSPWQDKIKERFTILGQKIEAAESRAEEAEAENKKL NQTLLERDQEIASLQHKLSLAESELEKSENQIKDLKSASEEGETHKTTGENLARKVQL LEEELDKAEKDLKDTTEKLRQVDVKAEHFERQVARAEQERDEWERKHGEAVEKYQQSK RELDEVVAQMESLVGQSPPVISCYS I203_00984 MSSPSQNRGMVPPPGTWSSSSSTSNSIRHLDGGSGTTRRSIDTQ HNPPEASSSSRRTSMTSIHNSPTSISSSSKHHIVGNRHNNNEHENGNGDRRLPRITTS NTDNEDEVGQGHGQGPRSAPITGSSGPLRPFTNGVNTNNLNGPLRSSSSPDPWTTTHS YSQRSSPSNSPIDHRPNFAGSGSSPNNRVGSPQPPNLGPPFPHGSNHRSLNANPSNRP EPSRIASERDRSRDRDRERTITPQQSSHSLSTDSHSHYTGPSSSSAADQSQAQPSLVW PQPRTRREGGGNTFCGQCGQTVHGQFVRAMGKVYHLNCFRCKDCNKVVAQKFFPVEDG DGMYPLCERDYFARLDLICAKCDQALRASYITACGNKYHVEHFTCSECDVLFGPNDSY YEHGGKVYCHYHYSTQFAVKCVGCETAILKQFVEMNRNGRDECWHPECYMISKFWNVR LASKTFNTPASSATIQPPTPSPNSEAGMTPGELKDRQEAMEMKVQQIWHVLSGYEESS AALIGDMLRAVNERRLLDIILLAERFILHVETLFAVIDDLEAQFAQSGAKGMAHAREA KQLCRKLVNLFSTMSQISPSGGQAPNNSELFTLITQLAHYLKILIRIALTGSIKLERD HFNTTAMTNCLARLNLLAMDNGDPTLKRSIEALTRHPNGYISSTKDIAYGYRSLAPEV TGETTLRGPQSEDFIPPEGCANCKTAIEEDCVRSGMFNRWHSACVICLVCGETSLQPL PKDDTTTDDGSSHSHTDVIPTNTNNNHKPTSQQKRLPARADFFYFEAITRIEEVPSSI YCGSHKTNSCVQGFNAVSRLEQYAFLLHIALRRLYVHFRIHHDLPSVRDHGISDRSEH EVKRMKSVTLDRKLSSTARLPQRSMVVESPAGRMADANGQVVSARAETSQQQSLTAST SNLTITGSNSNIGGPEIVAITSEGEPIDPDDPPSSATVDVLRPPFARNNTSVMIINEN NDLDPTGSNLEADNLTMPNIGPEDDAITLGDIPMLANVTSRASSNNINNERHLPLLST LNPLQSVILKHFALLQLSKSGLGHLIDLDDILELLEVRKNQWWNKIFKGTAKEKQKKK GIFGVPIEILVERTGSDSSLGASNAQLRVPEFIEDIVSTMRQMDMAVEGIFRKNGNIR KLQQLCDALDKDSTQVNLSDENAIQLAALLKRFLREMPDPLLTFRLHKLFCAAASLQN PDDRKRVLHLLVCLLPRYNRDTMEVLFVFLRWVASFSYKDEETGSRMDLGNLATVICP SILYAKGANAAKDESFIGIQAVQQLLENQDEFYHVPAELVFVIQENIYSIFAKELDLP PKEIHRHCSKYMQARGQALSSGLQPPQPQYTSISSNPKYSPVGGNTLLPSSNSQNTIQ QSQQGQQQHQGLRERPSDPRLSTRGEMIPSNSDPSQMNSTYRPGSRPTSWIQNTRGNS QSSLSSPSNQQPNPTGGNWERDRRPPQAPFQQNGSRQSSSRGSAPSSPGPGEEGRRSI NMDRERSWTPTNGLEYQQQQQGQHPFAQQQR I203_00985 MSDPTIKGLPPPPVKYDGSNFRIAIVHARWNDAIIKALLEGTIN KLKEQGVKEENIVVKSVPGSYELPFATKQLIEAGKVQSANAAPSMIASTTNLLSLIDN NTSSQPSEPKPSSTSTGPLTTPFDAVISIGCLIKGSTMHFEYICDSVTHGLMRIQLDT GTPVVFGVLTALNDDQALERAGIGRGEKGKGHNHGEDWGLAAVELAAQNKDWTKGVL I203_00986 MRSLTPLGVHISSLEQFGSSSQAGNIAINPEDGTVYVTVERSSD DGGIEIDILQISTDNSEPEVIASFTSPVLAPFPKPAHRGETLDLHFLPDDRSLVILLA GGDIATLALEGEDGGVAPVEVVGSVDSGIKAAAWAPDDEQIVLMTGEDNLVCMTRHFD VIHEEPLRSDDFGEDKFINVGWGSRQTQFHGSLGKAAAKQPINSSQSLSHPTDSGLPY ITFRGDASYFAISSLDPYSDGESARRQIRIYSRDSNTGFVPKLSATSENLPGLEGNLS WRPTGNLISTLIRYGYPGGGEGREGKWDIAMLERNGLRHGGFELREDKQTWKDGKVKG LQWNSDSEVLAIWIERSDKDVVQLWSMKNYHYYLKQELFSHDSTSPRFKGFKWHPEDP LSIYLYGEDFVQARAFVWDTYTARLPMPHDTASVAVVDGQKLLITPFRTQNTPPPMSS YHLDLPSVPIHVSLSDTTDSLAVLFSDGLVQVWDLNTRLPDPKSGSRLRGGGKVAEPK LRYSTNLRAEGKRLVKQLAFGPNGKVAVLSWAEKEGSIGCQVNVVDEKEETEVENLEG DIERLLWTGEGELLVLTAEGQLTSGKSSFIIHILSCSHVDIVCVVASDHPVDVTLGPH PTALSYSASSDLIFALSPNSKLHLAALSPSSPISTPIASNVNSYTLTPDFLIYTTTSQ TSHYAPLPTLKRLAHGDDLFPHEMVWDERRVERGSLIVTACESSMSLVLQMPRGNLET VYPRALVLAVVKQDVLSGNYRNAFLQCRKHRLDLNVLYDLDPAQFMKYLKDFVEQVPE VDYLNLFVSSLNSADSARVLYGDHSKDPSSSSSKLIDKVNSLCDSLRSILEQRGVEQY VETILTTHVCKQPADYESGLKVLLTLQADHPEIVEDAIKYIIFLSDVNRLYDVALGMY NFQLVLMIAQYSQKDPKEYLPFLRELRALDKWDQRFMIDDHLARRESALRNLKQCGPE RFEEASSYLSRYELYDEAFVLYADEPEHLQVIHDLYGDYLYDRRDFTDAAISYILAKK EEKALKAYEKAHAWRELFTLAKKLGVSKDDTIQICERVTDHLSSRGRHLEASQVFIEH ASDVDSAVDVLCRGTEFSEAYRLTSLHDRSDLIESMIHPGLEEAHEALMETFEEMEGQ LDKEVNRLKDLRRIRENDPESFFIVDTEPAIEGVDVATNATTAVTGFTRYTVAPTTVF SQTTKMTGQTAKSKKSRKRATGRKGTVDEYEYLVASIGRLVVRVDDKSNEATSLLRHL RLATSDHIELSKSLQTTIIAFREKLNQSIEFAWEGREDILKEVVESGGAGLEEGLDKS LALVRPVVGGWKGLGILVGQ I203_00987 MSSTFYSRFSSFARSSSFSPNLGRSKSWTSGGQSTAGTGRTGSN NSYFHQRPKIRKDGDKKTLDTLINLRSVGDEYENALSTENHGHWGFLSSNQTDQLPRG KFDHMEVP I203_00988 MRPIACLLVVLACVACAFAWTKEDYEIFDLVSALEAAEGKGIDF YKHVGVDSSASTAEINKAYRKKSLELHPDKNPRVKNIQERFARLGVIAQILRSPEGRE RYNFFYKNGVPKWRGTGYYYSRYRPTLSHTLLFLVFLTSAFHYLVLNLNYRKHQRRID YFQNAARNSAGVLGVSSGQNGEKVTVPVQGRRRKVRVPMIEGIDQGGTLELVVVGNDV FIPHGDGTLEPIAGLAHAPSVSQTWFLSLFSSLYSKGLAKLPPSVQDSVPPFLRPSVS AQEADLIIDEEEAEDDGGSTIDTPTPVNRAQRRQQNKKSKNSSASASANATPKDSPTT TELESGDEGVQKKKLVGGKAGAARRRKMGLKK I203_00989 MQSEGEEDNMNLGGINHGDLGRTYRITPIQSPIIGASFQGAYLS RLPLAPPLILQLDCWDSDGDLIIPYDELPFLICHLSLQTPEEEDAAMIISPEGEQVSM LYGTLVAAPAEMNDTSQAPGIYFVFPDVSVRYVGRFRLHALLMRITGAFEAKLTMKYR GPPLDTATTEPFDIVRESDYIAPPITELTRHFDSQGVVRFGLPRSEW I203_00990 MPPLSVKDLTMPAAAFTMAIILTAHVYNSINHARLDAAIARDQK LTEIEEKRRKRYEESLRTIERKEQEKTFQNNPST I203_00991 MSVQSRASDAWEMLSESGASLISPKKQGGMIKSSSCSSWKSATN GEAMSEFGGHHNEKVSSTSSMKALATSLTRRGSKILLGRSTSASSHLSQAAEPEPEPQ PETEPGPVEEVVESLPPSPASTVGVPRRHSRITLLERPSTVRLCSPTPTSPKFDPQSD QEDEELPFSGKAKNVHNLKERRSMAPIRSSIGSTTALSQNGLGRKSLPALSGMTSASV TSIYPPLPVLLPQFMKATSPPVQTVPGSFPPLPPTPSRMVFGSPTDAGVSNQQFSEAA QAILKEMNSKLPGGVVFGEELLKGRKAEMDKLVHVNKQLGTGGWGLSSSTGSTDRFAE AHHKEFSNGAPLTATLFNEDGRHAKRSRLSNGPNYLGSLREAGRSIANLLGEEKGKST DDMMKVMKERRDKRRSSLLRKKGRGLSSRFGFLRNKKSMALPPAMPTAPAVSSPPVPA PTMSRKTSVYAPRDPPHGRIPFDLEASLARKPTASRRRSTDLTNVIKPHPEVLEDIRS PTSQAVPKGQKDRGRSTSAQTVLSQSSSQAPRRARIPDFAPPAGMHKAASGSTNTLGL PKSTSVSSGLAMSKKASQAEIIRNARPAPPPPPPSAFETPRVGGSSTRPVSINRSSTL YLPTASSLARMQATIKPNPDRPLPMLPPPAHHHPSTPRITSTVQPFGSAQSRNNQSFT SNFNMSKPAPTTLKPRTSQSAIGKSQSTAAARIRARQSGLSAVKSKSNLREDMEVKRK RSEIKARMERREEEKELREMLGDVAR I203_00992 MSELYCTVASHIHFVHSVPPCTKNANGKMLELCRSGGQGPYHLS LIPTKVVTGGHIENITIPAGSTEHSFQLQQPAGLDFLVTMSDSQGFGTGGTTSVLTVG SSDDSSCVPSSLNYDFTFAISPDSNPTSCSSMSITWLENATEPVSLFGLIPHGTAFQI PIDQSSTSCDWTVDIKENTQFLLFMSDSGQYQTGGSTDLYRVQSGGNDNCITSSSPTT ASGDSMTYATSATAQPSASVSGVGGSSQGGSSGGGGSSTNTGAIVGGTLGGVAFLVLL ALLLFFCIKRKARSKDDSRSDSGLRAYGLGGAEKNGRRSNQLDLAEEGEGIDGDHTHT PEGARQVEVNGDVYEPSPFRYPSPPETPPTHQGLSSIPPSSQNTPQMLALANEKASNR NSSLGVGVPNSPTTTTHTGTRTSGESGMGRPPAISEGVPETEDSNRATVGHGGASGAG RMSSIRKTPSSQQVGSGAATASSNNAVNGHSNVSSGEGEGETRYVQHEDAGVMRVS I203_00993 MVAPAGLFSNRYKVEDMPDLTGKVAVVTGGSRGIGEALVGDLVQ KGCRIHILSSTEQHAEETIQHVSEHTPNSRDLITFHQVDLGKLKDVVSLTSKLSIQLD RLDLLFLIAGIGVAPFGLTNDGIGNHFGVNHLSQMVITDGLLDLMKKTSELKNKGSAD DLEKFSTRIVSESSELHRAAPSDVKCESLEEFSQERDATVLYGRSKLLNILFIRHLAQ SHLPSLTSSSPILAASVHPGGVATEQEKGAAQAYPILGNVLEAASKVLFMSRDQGAES ALWAGVGQTFAKRREECQGRYFTEADGKVNSESNQAKDDELAKKLWQLSERVLKEKIG YEMKH I203_00994 MAAGRSVARVYANVNDKLGRSWWDYDNLVVQWGIQDNYEIVRKV GRGKYSEVFESIHLPSNSKCIVKVLKPVKKKKIKREIKILQNLAGGPNVVGLLDVVRD NQSKTPSIVTEYVNNVEFKTLYPKFTDFDVRFYMFELLKALDFCHSKGIMHRDVKPHN VMIDHEKRTLRLIDWGLAEFYHPGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLG CMFASMIFRKEPFFHGHDNADQLVKITKVLGTDELFIYLERYEIDLDSQFDDILGRYP RKPWSRFITSENQRYISNEAIDFLDKLLRYDHQERLTAQESQEHPYFGELYHYSHTKR SADMEVDCVAPVREAAIRQ I203_00995 MTWTNKSIHVFAIVSLRICAFAIIEYVLKVSGVVSGLIADVLKV VAGCLAACMAVWVTVVREDLSSKDEPVGLKTKVFGFVGVCCVTVSAIFYTNNYTPSKT ELQRQKNWTNITTTLTEI I203_00996 MTVPDTNSNSPNNSNGLDPSESPPMLNGDRPNGKPTSQEVVILP SSFLLLICIIVWVLVFIEILILYSSIGYSDDASFCVSAFQTIFFILSLISIYAAFFEP GLEFEGRTTDPQWKFREKNWLIFFAKVGLVMNAMHGFMLCKEITVFN I203_00997 MRKGPGLSALSRQSAYSNSYSTLSTSISTQQIASLTESLESFKS TLIEFSLKHKKDIKDDPAFRFQFQKMCSTLGIDPLVGSTSAKGSGSGGLWGMLGISEF EYELAVQLVDICVSTREKNGGLIRVDELIRKIERLRHGGKTMEGEGGITEQDIIRAIK ILEPLKSGYKLHTFSSDRYVRSIPNELNTDQSILLSLAATTGGRLNLREIEVQTGWND DRIDLGLRDCVMEQGLGWVDEQCSTEGGRGEEIRGDVWIIAVTGFDE I203_00998 MLSITRSTTRSWRKSPIRFMKRVFSEQVRVEEHDPSILLREVMR NVAQPVAIAVTCAPSTSPLHGKANYHGATLTSFTSLTLYPHPLVAFSLRLPSRMADCL RPYRTHGDHHQQQQRHPSSKSKPNTLQQEAIMNESLENTPMIKGITLPPKSELPWLLS KLPMPEQPPEWAKSLFAQIPIPNTLGGDTSQNPLRSTPSLTAYIPPTQHKTINTAHPT PLTISLLSKSNETMADSLSLPRTDHSSIFNMKDTWTTHNNHPPSLKGSIGSLQCEIVG SVLLKDLCGSAPIDAKGGEGSEMFICRVIGVHISDEKGLEPLLHWRRKYVGIRDEGET SEV I203_00999 MSLTSSPSSPRPIHQRQLSSSSPSHSTPLHGLSQSAASSSSSYS CPRFRSYTLNSTTTGSSTNGNGSPTKNGYFTKSEPTSPNGRVVIKGLTPIGNINGIQL DQYKSQPQPQPQARYGASQNGLMGPPTNIPSSSHPHQIQHSHSHSLPTSASHQAPNQN QVPMQITQRHQQQQQQHAGPSRSTTIPIPILVPGHSAGVRPSYNPITSTAPFAKYKGR QIPAKPKHNVYPITYRNGNGHVAGEDSGIDSDATVRPGRNKGSLSQPDLTALRSRRLE GWTEGLRAGSGRPSQVQPIPISQGQGQRVEHTEGMSSGNGDIKRRPSNTRTKTPSSTN KPYGSAQTSTDLKESWLPASPTHSRSHSSQSLSSLSRKSPSTSPRSTPLNFPVMSPIT PSGQTQLYSSSEDEGPPTMFSTNKPPSGLGPFIPLDRDNTSSSGGEADDDYSHSPSSG SGSGSITFSPKRTKFKSSSSGGLRNRFLGDKRYSPTSNSSTSVSSSTGLGLTLNDNAN NTIGTGTETDMDLDVDENRTNSPDQLTDGMSRSSRGVGVNILDKLENIFQKSTLLPLR LLAIIPSLWGICVLIEALVTGGLWVDVWPWGVDLTREALERLVAGGEGSPGEWRKIDR GDVGLCVAWAICTAHFCFSLTTGLTHRWRSYYSLPSTITRLVSLQCLCWPATYLTLWF LGVDRLLLCWVVIGVTTGWSRTVQMWVTSNVIPPPPRPTDLDEFAAGGAGDITPNLRK MNIGPPEIPEGLSTWEAFRWGRKWDWDNVAREVGWKVGGLLLVTCAWLFWGIEKGTRV RI I203_01000 MDMVEEKSYNSYPPVGEPSSYPSAGAAGESQLPTHQHYVYTTSR GRGHGQGRHRSVSLSLNEMWDKSRSVCSLRGEGGLGKSMILGWVVTTLLVLGVLGWYR EELFAFLDSLSRYLSEQGYYGHLIFFLLILITTIPPIPLYSTLIVLSGYTFGVWTGFV ISYSASLVGAVLVFGVSRWKLRDVIGRCVSCSPTSSTLLSLLSSHPHLLLLIRIAPYP YNLLNVILASSPSLSLKTYTACTALSLCKLVLHTWIGAGIHNLSEAYGHGHGHRHGYG HAPSQGEFDEGGQWPPHNNNHVHHHHHHHPGESPDIEMEMDTSREDVKMYSTWIGIFL CVILFFYLTYLTKKTIRKAQREQEEQEREMRESGLREGLLRSEEV I203_01001 MSCPHITDIAASLKPPTVSQQVHREECTLCFDGQDDPEGVLVCL QCFNGGCFGEGRRHAYLHAEKTGHHLGVVVKRTRKEGKKRDSTEPPMKKLAISAPKDE ELYNVSTSLRCFSCSPVGEAIHSDDEKVKVVVEGIMKALSSAQQSEVKAWEEEILPCE HTLTLQQEPVINIVPSQCSSCDLTSNLWLCLTCGLANCGRQQYGGIGGNGHALEHFKE TGHMLGVKMGTITPEGTADIYCYACDDAKVDPDLPRHLQAFGIEVLGQTKTEKSMTEL QLEQNLKFDFSMTGDDGKELEPVFGQGLTGLKNLGNSCYMASVLQTLFSLPAYRSRYT SETAQSHFQTCSKLPAECLECQMLKLGDGLLSGRYSHRAKLPPPSTTDFDGDAETPKF QEGIKPSQFKALIGKGHEEFSTMRQQDSEEFLQHLLTKLRSEAKSQGRSDDNEPTRMT KFGMEQRLQCTGCKKVGLQVEPVDLASLPVDAIEAGTDEEGRKVWKEVQLEDCIQALC GEETIEGYECETCGGKRTAIKSTKFKTFPELLVLHMKKFQLVNWVPTKLEIPVTVPDT LNLDSLVSQGLRPGEEEMAVKSGSSAPSLPEFNATAMSQLEAMGFPIVRCQKALLATG NSDAEVAMGWLFEHMEDPDIDSPIQLQNASQAAANEPSPDQIAMISDMGFTANQARKA LRESDGNPERAIEWLFSNPDDQGEDSAPLGVTAPSSESKPEDAIGGSDSLPAQYRLKA FISHKGPSVHSGHYVTTIRNPQQSEKEDEWVLFNDEKVVRAGSGGGEDMREKAYLYVY ERV I203_01002 MTDEYQKAYYQSPPQQQQPQQPYQNYQSQNPQSPSNASSHQAFQ SPYTSNQGFSSPQQGYSNYPQQQQQQLTPQQNYQQSSQQGYGQQQGYFGQPSGGAGYG QTPQSPPVEVTHSPFVRTDSSARLTFSEMARMAGRPQTFDEMYAVPESFLEIEIRNPM THGIGRKMYTDYEIVCMTNIPAFKLRHSVVRRRYSDFEAFRDILERESTRVNIPPLPG KVFTNRFTDEIIEQRREGLQRFLEIVAGHPLLQTGSKVLCAFLQDPSWEKSQWV I203_01003 MVKLCVYVSMELEGIKEVVPLDEDYEYFFTVQCSSCREVHPKTV SFNQKEEHEISGSKGSAHFVWRCGNCKKEHSASFAPSNPSPKSKSKSTSPIPYSSTNG AFEPLISLDCRGLEFTEFHFRGRWAAKAEEGKEFEIDWDELHKENEDRWDDYDDEAGV AVSVSELKSKIGRA I203_01004 MSSPMDIPPDLVGQSGLYNSADPLLRRIRLEEPSGKSIDDVEKH FEGKEVLVLYAGSEYGENNIRGFHRDLTTFAQKYKSSSVIYISTDVLPQQANNVLQGK PWLRMTFFDNSDFAPVGEPDEKDWSVGMEEVKRGEDFLQAGEVEMGVEKIQFGQEENG KSLHLAQDHCPSSCERSMIEI I203_01005 MLSFFLPFLTTSAWSWYIFHCPSSPSTPSMVDAMVNINWTIVPS YATNFFVNLIPDDLVGSIAAVNFTQIDNVHIQNQFKDRLIEVENVLVTIRWDDLPAST IKWVEEHPQEAAFIAMDIGLFIAPWLLTEPVLVGLGFSRLGPVAGSAASIAQRAIGKL TERGFFSHIQSAGMKGYGKPVLDRIVRGGAALVGVGWKWLWGKKDEGGEAASSSVAKV VGNAVHENLGGGRGYRELRRFI I203_01006 MSSRKEAYQTILNTLPTLPTATTIAASSVAAATTAIPAIHSATS NIIDKTNSIEWAVSAEKVKEMVQEGGKARFQYAKDHPYQSAAAVGTIAVVACPGLVVG PAWNIVGFGAGGVQAGSVAAATQSAIGNVASGGLFSICQSAAMGGAGGTTSNTAVQGT VCMAAGGSHMTRKYWKRASKL I203_01007 MALTDIDQGTETKQKSWIKINDISNAIKQYIQDHPYQSAFHASN VVVFFAPGSVWGPVLGVLGFTDLGPAASSIASAVQAVIHPVVPRSMFAIFHAAQMGGY GMEIMNSVVRSGIEMITGGYWYSKYSRNGEADTRKGPSKQIKDDDKLQVKSMLTKIPP NSRDKEDHLDIDIIFVYLSIPILFHCYNLKKEI I203_01008 MSETYASIKTLHSSLPSFQPSISTSLLPSIALICLLAFFALTFL FTTLPKSKVPISEITTALLASALAGGGVVALFCTLGVYV I203_01009 MSSANHLVPPARAETAVFQHSPSPDSKLKTEWEPGCQPDEIYDR YLPSWRAWLRRKLVKRLREEKDWMADWQKRVRTDGRDKFFYWTAIFGTHTFFMTFLPV LFFFGFPLKGRVLLHVVGMGIYVSSFAKDLVCTPRPYSPPVIRLSMSTHHHEYGFPSS HSTNSTSIALFFGQWLYELREHVGMPTIIVGWIFLAIYAASVIGGRLYTGMHSTADII GGGLMGTACWLLWIFVGDQTEAWVNTGTWLVPGITVPLTLAMVHYHPQPLDDCPCFED AIAVLSVILGSFLGHWWGVTTNQAVPALLQREPFGRGFFVGLGIAIFRLIIGLGLMFA WRLVVKASLLRILPPVFRVISKLSGTHLPTRRFYKAATDYQEVPSNIAFRHIPSVVDL QIGENDSSTGISPVDSPLLNGKELKSPPYAESEGLRKRMNNGVTTEKEKEKKRKDEEI RVLVRMNKRKGDRAKYDAEDLEAIACQCEGRR I203_01010 MNVNAQKDKIIDGFDEAAAKELFAKDARFMLQCQMASLIIDTFL AGVLLLQVISYFTYQRNDKLFTKAVVLWCSLWSFTITCYYWVYMSYLFVDSYGLWLPW LEVRWLGMMPLFDVLCVCAVQSFFAYRAYLLVRRNKFVYAIIMALILAAAGGGIGVTI VFGSQPSLLGADKSGPTLITWTATTTAADVLIAACILWGLLKSKSGWAHTDRMVTRLI RLTFEAQLPPTFLALAYVIEWSQTPSSLLGAVFQALQSKAYTVGLLFTLNARLSFTVN TTDTKSGGAPQVYAMTDRKPTQLEVTVQQETYIHDDVYAKNNNNQVKSSKGHVAKSDS LSDYDDNSHTVGHENGSRARLTFPEAMAKSCN I203_01011 MPPADSTHVRHRRSRTGCLTCRKLKHKCDEQKPVCGRCQRTVRI CTYPIAVNNNPGTSESALSSSSKQVINLNVLPHAESSRDAQLNAIINAIGTIPPAITL VDLLALSIPDVKERSLASTVNLHAIPHPERPVNCFDMSECFQNRRGSSMEIDSFFLSI ISIAAVHRSSMFLRSEKNYLKQTPMGRWGIPPLPSSNDPPNSSQIKSLRDTSIQSSKA AIEMGKIALNLKFSDIDISTNSPDEADNNAIAAPGANAEIQKITDTILTSIVCICISQ VMLVSTQWKEAYNLGLKLVKLRGGPIKLLEEAKKISLDHLNRTRTLLENLAIIDVWHC LASGAAPTLLEKEFQPWWFDFAEQNADSTHPDSFQFVCGMDRGMLEVVNRINILVHEK EVLSKLPNPTYITIHGQKVQDMLLELDIWEVTIGTADRLSRVQLGNLIIAYTMRVVIC VDLLGYPHSHPTVQTYTSSALNHLESSRSSPCTVNMLVPTLIVGSMMFTREGRYQAKK VIEALRSDASFSYDVEEALRILDQLYKLKDQGVQDPSWRSLLQDILLL I203_01012 MPAQSDIGLPPVGMENRSLANLKLDDEVTGLPLESGMSLSHLLA TVRNNPLLDYRSSEELPSKADVVIIGSGISGALTALNLLESPNPPRFVVILEARELCS GAIGRNAGHCKPDLWRGFSEYAERFGKSQALKVLDNERETRDALVDFVSRNNIDCDVW NGKTPLGGDASLVDVILDPSQAEKISRVKGAKAVYAWNASTLHPWKLVAFVIKRCLKL GLNLQTMTPVTTVSGSRNNWTVHTSRGKISTSTVIHTTNAYSAALLPETKKSMTPIPH MCNLVYPPSTHSGSKALSNSCSVIYKEGLYSVNPRSTSDGAILFGGVQPNQYCLEEYV EAKESRKYDDSLKDFQPITDCVKELGKSGFLWDEYKTPKTGFGVRHDRSWSGIIGLSA DGVPYVGAVPGKSGQWMSCGHNGHGMARTFTCSRGLAQLVQGSEWKDTGLPECYQVTE ERLRGEKYRQHNL I203_01013 MSSSSVHTSSNIPDYGTPKDVVDAVQVQTFDTAEAPAENIFSNH AQRGLKMRHLQLIAISGAIGSSVFLSIGSPLTGGPLALLIGVILWSTVVWAISNCLVE MCTLFPVEGGFVYYATRFLDPSLGFSLGWNYFLCQVSLICGEFTSMNVLVAYWAPDLT PAVIISVGLALLLFVQVFNVRVYGETGKSDLYMFSSPHGSLTPVNNFAQFWISIGKIF MIFGAVIFTFITMVGGNPKHDKYGFRFWKDPGPFADSSGVDRAQAIWHAVQWAAYGIV GPDYIALAAGEVQNPRRVLPKAFNSTIYRILGFYVGGAFFAGINASSNDPSLLGSTGA AKITLHH I203_01014 MDRLGIPILPSILVAGLLISLFCSTSSMAFAASRTLYLLGLEGH APRIVTITNKYGLPYVCVLVTLALGCLSFMALGSGSATVLSWLINLTAATQMITWISI AASYLRFRAGMRAHGLGNEFLPARGYFQPWSAWWALFWAPFALIFSGHYIFAPGTFTV PDFMFTYAAVFIFIVLSIGWKINMVLRYGQKWFGIRANEMDFTTGVAEMEEMKAIAEE KWRTEKKSRLDKSVDHIF I203_01015 MVKLQASFTLSLLIAILSIGSAQAEVAGARNGPRRHDKAASAGK RSLAPRATDPWANFKSFDWSTWQTNWGWAGHTLAYVKAWYYDEYGLNLPSGVRSWPEL SYYVSYFGTYKPTPSPSISSYGGGNTEDPWVTGTLASSSTSSSKAASTSTSTSTSTSS AKSSSSSAAAASSSAIISSTSSSKASSTSSSAAASSSAASLTGSAASSSTSSSVAASS SSSSSSKAASSSSSSVAASSSSSAAALSSSSKTASSSSSSSVAASSSSSSVAASNSVA ASSSSAAASSSVASSSTSSSTSSSASASATATVPSGWKKADIPCIADGKTGRALLGSF TIDYNNTIEGCLARCDAGGFAIAGIEYGNQCFCGSYLSNGASLSTTATCAVACPGNKD QTCGGYYALSLYVSTKLNGAALSSDLLSVAATLPDGWSTASKCMQEVNGRALTDYSWA TDAMTVPLCLNKCASLGYQYGGIEYGRECYCGNSLDNDADLTKTSTLCGTPCAGNPST PCGGWNALQVYNNPAYSYSNTIVNGYVKTACLQEVANRALRGAAYKDETGMTVETCTQ YCADRGFIMAGLEYGSECYCGSALVGGASLLLTSGECKMNCVGNANENCGGANAIWLY INPNTLTASVTLPTGWTYKGCIGEGSSARALNFTATDLITKGTMTGEKCARQCSESGY TMAGTEYASQCYCGNSFQGGATGKIIDTITDGTSQCNYPCPGNAAQMCGGGYRLSLYS SLVTLPGTVTTAL I203_01016 MALKRINKELIDLGRDPPSSCSAGPINDNLFQWQATIMGPADSP YAGGVFFLSLTFPTDYPFKPPKVQFTTKIYHPNINANGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIANTYKTDRPRYEATAREWTRK I203_01017 MSSSYVTSSGPKIRYALFGITTIIFILSLLLNLLLPGFGYDSIG FINLWNRRSRFDQAGGEVAVGVLITISWLACVIVQLIFTSWELCDDPDSGFYTGGEGC QAGAIAFSVLFFLNLLIHFGWTTWIIVLVHRRSNNKKERDEVYKISTHVLVKGGSAQI EHVKISDVDEEGLYNLRS I203_01018 MPSNTFATSGRDLVGHRIDNGRLEFLSTLGVGAYGVVYLAVDLH APRPVYLAVKCLLRAGLDSRQRHFQRREIALHQLASRHPNVVTLHKVLEEGEFIFVIM DFCDEGDLFGMITEKQRYLGNDYLIKKIFLQIIDAVDYCHRMGIFHRDLKPENILCTQ NGERICIADFGLATSERHSTDFGCGSTFYLSPECQGGLFERLESYSTETNDIWSLGVI LVNLTCGRNPWRQACPNDETFRAYVHNPDFLRTILPISKATNRILKGLFALEPRDRTP LKVLREQILRVEIFSMTDDELKTAHSAARAAAAAVRQVAPAPAPPVHVAPPAAPVKTS PRVAVPVTIDEDAELDEFAHQHAQRKHCANAPRYNNRGEPEEAATLSSWSSGSSTYAT LSQAQIDKHVFAQIDFSKVNVVGVNATANSSLESTETPALIAGEVQDPLDIYRTSPRS SSSGDISLPPTPEFSPVDNTSTRPRPINTKGNQQLTRPDLLHVNMNPQSPLSGEFIL I203_01020 MLEKSETRVTNPVVADQISNFVLTSTLDVNIQDEEIHGNDVTSP SILINEITAPASEQKQEITPVEQLHPEAENYKPNEVKSQEQLNSQGGARGWVKNSGKV AFFAITGLVIPVPTLVAVPVLGALGFTSLGSIAAGAQAAGGAAAAGGLFATLQSSAAA DWQSDIGDVSAGSNFADNQSEAMSGGK I203_01021 MAYAYPSGDLGGSSTSLNASLHGGGHGLGHGLKRYEAGFDVLRE FKHKISEDEHYISFFQDRIRVEEQYIENLTRLHDRSVAIDTLHDEPGHNRKQNRTTAR KAWQEVRDYTQREIQSREAMVGALREDVMKELVKLKEEQTRIRGVLKDNMKLANEAYD DHARSHLPKLKKSYYQKCQALEDHKRQEHAIAMQARLLSTPSPPSPANTPLHEHPFAV PAGPSYTSPPISTPPLPAMSNPALPQPEPVHAGREDKKVNRLRAGSASGGESKGKDVL NDIAQQSKKGFSAFIQKLGGDKDKDKDKDKDDYIIVGGGDGDLGGSGNLQRRGTGAGG PNNSNQKALSAMRAVRVKRDADEADKAYRTGIFHLESLRLRREKLHASAMNSLETFND ELSKKLRFALESYIDAMYGTAATNAQATEVAKEAIEGINLEQDMMLFRTRLRSLVSPP IAPIPYENFYVGPCRSLIFGVSLTDYDFTRGDSSDHGRPPIILEKAIAAIDERGQELE GIYRVSGRHAGVQKMIQGIELDEEKFAFDEKDDVASIGSVLKQYLRELPEPVFPLPHP ERVKYTESREAHIESNFSSLRARLRRLPPIHQTTFQAMIEHLGRVNQKSSVNKMDAKN LAVVFNSALFGQEQTPADTTSLMQHHQGKDTVLEDLITFSDLLFGVDSPVVAPTTLPP GPALSRSGVLSHHPIDEGPQPGSSRTKIKISQPPVESTDVKTSPIISDNSSNAAPTTN EPGTAKPLAVPQFADPDPVEIGVFTPDDKLDLLFDPKHIPKSMKEGLPDNIHIRPLAS TDLLRSHFQLLNTLRESPALAPSVYSAIFQHLKACPGTYHILVMVNKDNDQLVASGSL IVERKHINNGGGSGHLEDIVVSDTMQGKGLGQRLVVGLRDMAVGLGCYKVILDCKEPK MPFYEKCGFHKRSAGMAYYIADHQPPSTTDQPSGVISPTSQSQESSSPSHSISPAQPL SLTTDLPPGSPSLTSASSGTGVTYSYPTAENTVLPAWASEGLGSSPLGPLNSASPALL SLPGSQVQSPVNRQENDKTPLPPGAAPASTHAEPERLP I203_01022 MTKTVSEYKYEQTTSLQGSDVRSTFTSTPSSSVSSMGPKFGLAV AGKIEVEYLPDPDEFPGVKFILDTLNPPRLVNRHSHGLNPSPICRGRLRYFERDERHK WWFTRWFHPAPHWLTFFWYRSTAGTRVSCTREELCFAWVKALNGARYHQLLYSHSQQI ADRVIKARYESTIENLAVVAKKWLSLFREIDWAMGILYNIQLHRTIPLFWRYHPEEHD YDISKLSKWKHRLTCLSTAEDLTECFIYCVRETIRLDKKGYDHESRQLATAIGFPGHK ICKVPGIPDEMRYDDDNSLTGNW I203_01023 MKRALAFHIKAIFIHAALHLLELDKVGYNQEFLDLAEIVGFPGI KVCRLPGLSSYDDIAEEEEEVISTGAGGPSTREGEEETLIQL I203_01024 MSSHTHTLNPAPTALSNEPHEAVPPSVVASGTGLPAAPMEHAEA QQAATAPGSGGVVEQPDPNNEEKVTFKDQVNGYAKKFAGAVFRNEGEKEFGEKKLQGE A I203_01025 MPLNGPRANPNPSQGIYNQDSIPSYSSLDHPSDTPTPIYSYPHQ SSPESGTARLEPLPTRGTGDESEAQEIMRYYRISQYRDDGGDVGLLAPGRNQNQDDGY AQGYGYDNPYEDGERDIQHPPRSISPSLGPWDSASQRSLPFHPNQFPSHSIPLSDVGR SGGHKDKAPSYGGLSYIDENGEYYHTNKPRPASTTLRMQDRERDEVEMGLIGNTNRSS GGIEPNPYYSKDGYGESPYPYPSSYTKDGYNSGTGGMRLRGPNTLYDFLLFPTGLDRL LALVGVRFGQYPIEQAIERKRRGIGGQRWPVAAWGLAIVMTALMVYEMVANYQAMGSP IATKPSFNVMIGPSAEVLINIGARFPPCMKYVDALPPTFQLACLNDTANPPTTSCSIE EICGHGGFNGQDPDQSWRFVYPIFLHVGIVHLLLNMLAQIIAGAQVEREMGTIPFLIV YMAGGIYGFVLGGNFSRTGIPSVGASGALFATNACVLVDLVLHWKYEERPKLKAFLLA IEFLIGFGIGYIPNAVDGLAHLGGWAMGILLAIILYPSISETKRHKYTIWVARVIAFV LVILAFVLTIKNFYTDDPNAACEWCRYLSCIPTSSNERCKGTGITVTNTTTTKRSWDS L I203_01026 MSSSSKHPHPNTSLNHTLVSMPTRDQSDRAEALLELSISLIESS IDVLQSNITNDEQLIRQSTLMPGGTLGKHFRHVIESFRAFLLPSIPSSSSTRTPEINY DSIHPTSRRPIARSIQACLHALEEIRDDLITFGDNSRNLTYNVDGVAQGIAGEVGIAD KKNGLAQVMEMKVNVVAITPTKQVMGSTVGRELWYCSLHAIHHFSMLRTIAVHEHGLE LPVEFGTAPSTLLYRGLNWRPPTENKEVRVAVKSKL I203_01027 MFYVIGLGLSDEKDITVKGLEAVKRCERVYLESYTSILMVDKER LEAFYEKEVIIATREMVELEADEILRDSDKVDIAFLVVGDPLGATTHTDLLLRARSLQ IPTQVIHNASILTALGSTGLQMYNFGQTLSLVFYTETWRPDSWFERLEENLRIGVHTL VLLDIKVREQSEENMARGRLIYEPPRYMNPHQAFSQILLTESLRHPKDPSSSSSDDGE IRIEPKPSLLPPSETLAISLSRIGTPTQKLISGTLEELAQLSEEEFGDPLHSVVIVGK KLHPLEFEYAGRFAVGGEQGAWWKVGKEVYGVERETF I203_01028 MLDSPRLRSPSLGPPNEKGITPSGKRRSGLRSRGTQLLALKFGW VVLVIWFEVGDFFHSLSTCRFPDSSLRRSNPHLKSAPTHVVLLADPHVPHPVLSYSED SKPWVNWLKQQIDELFMRKSWNVVTRLGRIDAVIVLGDMLDCGRGVMSDDEYEEYYNL FRSIFQLPPSVPTHFVPGNHDIPLGPNRLFSPHARDRYAKHFSPPNAILPIANHSLIM LDAVGLVEEDYRRYAAEMQFGEWDGVEGGVIEFVKELGDNPPPGPKILISHIPLARPE ASTCGPLRERGRILKGAGPGYQNLLGSETSRFLLEALQPSIVFSGDDHDYCEHRHPQG IREVTIKSFSSSTGIRRPGFQLLSLVPPQPNGYAGSVTHADRPCLLPDQSGSYYRVYL PLAILTFLFLFGTNIRAAWQRWSGSSSKNGGGGSYYGDLKSRLSPGILSSETMPSSNN PGNPSLSTRRGMSDRPVPLTLPSRKSSHQLAGMGSTTATPGLGPNGGGARRFPSNPTS TSASAPVSPFASPRMSFVDEHRPNFPSGSGSSFPSGLTRMDEDPELGQNHSQVFDPSS PTPSVSRRSSYIYMNDNKQHQPSQQYGFNDTPGESGPPGGSYFLPLPGTGNGNASQTA GLGFTTPMGSTFPLSGSSTGGNAQQLRRVSSSTYSLASHNLPTGGGLISPAPIRRVTM PRLLSTNDWTSAASKKDKSLFNFVLSPNGRGGLGGLVDTLKRFVIWMWKARNGVVAKS WKEVWAVAWPAGIVWVLVNALFFLK I203_01029 MSAPTQSQQIKQNFLNHPYTQQASRFATGQVNALDAELNRYPLL RNLEQQTKVPKAYGVLALAASAVVLIFFNMFGLAQPVSNLIGWALPAYLSVQAIESPQ SNDDKQWLTYWVVFGSLNLAESLGVRAILYWVPMYFVFKTLFTIWLMLPATRGAETLY YHVLRPVIGNVKQKSQHTTGQTNPFAKESTAAGFNPAGTTAPSSFERE I203_01030 MLVSRSESGLEPDEQQNPSSSGSGSSSRARAISGTAASTSSYLQ NPSTPPNIPNTLEENYLDVSGKWGGEQETRNNAVRTSRMIGNRVGVSPPPPPGPPPSD PPPLPPAPSSHPFANSSRPRPSARLSSLSSLRKSGMISSRPSSPGPSGPPPSNDLPPL PTSSSQSKTPPTQLTPPKESAPRPNHVRQSSKGKSEWEKGNVTPPTVSPRSSSLFNNN NNQAGPSTTKNDSLGTPISLNKKLPEHVQPNQSLSAATTTAAAGPSHSSKPPRTPSRH LLQTALDLAQKAVEMDKNNDVLGALAAYREAVSRLKAVMERVGVEPTGDKKSGSLKKG KSEEEGRTLRGIHDAYVARIQLLSSYETNPESDIPTTAEAGPSSSSTQRRTPRPSLDD GGMTGIGNLMLTDHGDQSFDNSAISPARPKASAPTTKPPSHVDAQSIADQRSLSEDVP AKQQNVNGDVKSDSVLGSSNLRQKGSIPSIGLGYPTSPPNMSQPPAAHTSTPIRSHVS TQSIGGESESSPSSSKSRLKRPNRPSMGLDMEADLTGIDGVAVEDQVEMLARTPRESH MPSSLGSSSSNQSNRRSGPGHIPDSPQSIKSDVDRPLPPLPNSASSITTEQRKLSLTG SSKPLPIPQGQGQGQGVLLVSPTTTQGTISQRRQSRPLSGTLSTLLDQQLQQPQSQSQ QHQQQQGTTFPVSSPSTGGSSSIPPLPNYQLRHKSSFSSSSQLGVGILPRQSFGLGEN SGLRIETNQHHQGGLAPPANINLTSRSGAGISPRSLPPLPDTALTTNSGGTLISPLPE IQPSEVLHRPFHLLRILHSSMDPEGSGSYLTGSIHVSSSIWNLNHSGGNGRNMIGPPK IIAQEVKMRCLESWILHFESIRITGQGFLNGGGQRKSIRKSTGLTSSGAGGNGSKLAE EFCLSLDELDEEMDNSHKMLSKAGVGVGGWKGKKHSGTTKSWGSRISRSMDKMTNSSR NTNDKLGMEGTDKYVDLLANLCIGAQIINEHLLNFTSHGGECTPAYSTLPEKSYRNIE SRLKRTAEFVGTIIVPFVLDDFKQFLLRYLKSGVKYLED I203_01031 MASQTIVRPTSLVDLSSMKTWGLEGLTKAKFTFDTKTTTATILT LVISLLLLEQLVYRTKKAHLPGAKWTIPVIGKFADSLNPTLANYKAQWNSGPLSAVSV FNIFIVIGSSNEMARKILNSPNHAEPCLVASAKKVLSPDNWVFLHGKVHADYRKALNV LFTKQALSVYLPIQERIYRSYFSKWVSDPSPAKPYMMEMRDLNMDTSLSVFIGPYVTE AQRAEINKKYWLITVALELVNFPFAWPGTKIYNAIQARKTCMKYLESASAQSKIRMSD PNAESECLLDEWVKAMIQARKAQDDGETTKLLSREYSDREIAMVLLSFLFASQDAMSS AIVYAFQLTADHPEVLAKIREEQYRVRGNDLDRPLTLDMVDDMVYTRAVVKEAMRYRP PVIMVPYETTKAFPVSPEYTVPKGSMIIPAFWNSLHDETCYPEPDVFKPERWLPNEDG SAPLAESKPQNYLVWGSGPHKCIGGQYASMHVTATLGTASVLMNWEHEVTPDSEEVKV IAAIFPKDELRLKFSPRAPPA I203_01032 MLAETSNNREQSTDSEKITERLRRRNPVGTTSIPYTPPPQAPPP PPANPSASPPRPPRSPLRPIFPPNFPPSYAAVIAHHASTSGQIDRDILRERSYWKRKD LKWIG I203_01033 MFGMLVYVPATIGLTARRKQTKWDRAWGEVVYLGYQAGLYLANS IVAGVVGGPSYCSERLYESGRTSGVRKYPYEPIGSIRIICNAILNPYERRDTEGNITP FVQTQQEGEEQEPERDIGPYIPPPPYQCSYNDGGQGSSPTDPTDGDAYRGVSPTISTF HDYSHSPPEGDDVQLTSPSTSNYQNSNNDSSDSPLSPTMIEIVNHKMTRHQQNLPQNH NQSETRTSLRRVMDEKEDSKEVVRRALGG I203_01034 MSSTPAPQGLLVTAGKVHTPFKTELLSAMASERFNNTPPKLIGI LATKKDDARTYAEFTKKACEQIGIQFELRLVGEAREGLDGEGVGIDVEEGILEANDDP DVHGIMVYYPIYGGRQDQYLQSVVSPQKDVEGLNHQFLFNLYHNIRFISPLTLRPIPS SHLPTPLTTKTPKSNEEVVPEGTVKSILPCTPLAIVKVLEHIGVYNKMLEYGDRARGK VITVINRSEVVGRPLAALLANDGARVISVDIGSIVEFSKRPSTSTTTSKFNPHHITTP LPEFTLAQALSISDVVISAVPVASYKVPTKDLKDGCICVNVAGEKNFEADVRERSGKT V I203_01035 MAHEDLSLPELIGRAYARALPPKKFTKLIRSRFLETSETQNVDD SISAAILPYLVPSPPSLILSYLSHLLSNTTLITSRTMFIHLLFYIHNHDLPSISSIIS ITNILSTHPTGLDRPIPSLLSPFTSELRIQPDVGPSTSTSVVQDQNQISTLSLLLPLL RISSTNSPNSISSLVGYLSKFISVLSAFPSPTLDVGLEAGQLLPSLPEEIGTHLRGLL SGLMTDLDNQDLMVQANQQHQQPNQDIQMGDDNTQNASTSQDIRKGESKLPLRQTIIF LLEYIKRMSIKRSGSSTTTTMDDFKERYRALVRVGKQITNDAEELLRVLLEVTIQGLV GSNVDTLQCAEHWRIVVEDVSNLLKWWKDNRIDDFGFPDDPSNVLSTLFQSLSPSMQT FSEQLSQRYSNLVQQAENEDDGSTFTPLEGWHLLSLQETLLSKFAQVGIINHEQGSAI APGVNIYTFSPGESLTNRLSSESHPHLPSLVHTIQYSFGASSTFSKEVTQIIESCPVT PPPENIFNYIASQPGLLACLTTQISPLALLDLMQERLLDLGVDEQSRNDDPQGSLTRF GEGVALIEAFVAHHQLPLPPLLEDVRCAISFSHLDEESKECMDGWVKAIFGSDGIEDA ILLATPPQKLYKLSPTLIQQAVAAVTASQIDLDTLHSGLSYFSQPLLSWCLGGVVAWL CKEIKRQGLLSAIHLVVLQDLILGHSCPEALIRVNSKGLNELLSPNSGLTPVFESSNF DLVGIRAKLDSLGLNASLPTSEIDLKGALNLVSQLDLAPAGWEKTLLDSLDAEVAMRG REGCLDIVLEQVEINQNGDLAGFVPLLLALSTSSTGGYTLLESLFGHPKKLLSPQTDD SLKRSLSYASALNSNSGQFVFESLVYELEYLVSLPVKMDDELSQSQDEKIRKKRKTNQ DGGVMNKLNVGQREKLESLIRGLRDDELLRGRFGNGMIERLDRIL I203_01036 MAATTSNPKDRNLLAVIGDEDSVTGLLLAGIGHVDQNQKKNFLI VDAKTQTSVIESAFQDFTERKDVAILLINQHIAEKIRPTVDRYQAAFPALLEIPSKEH PYDPAKDSVLKRVQKLRGD I203_01037 MSLTSPHQPAQPLAPQRSPSLSPEKELPAPRKTSSPLSSPSSIA RGNDLPIDPDADLDAAPEIRSPATSSTLPLTDDEEEEEQPDDGDEDSELTEEEEEEEE EEEEEGVRLDNRTGTIRRSISSESRSHREDSQASTASLTPPPSDKSITPSPQPSPKIT LKLNAPRVDDSQDEANADDSEREGDEDGDVENEDDEDGDVTMRAEPTNVEESDSALEK SKDLLDETVDEGETEDISLNDSKASVQDESTSTEDPPPAEEEEEELEEEKEMGEKIVI DEDEVDVEPPVEIEVEVEQPQDGEEGEENENLAGEEEGEPIDQDAEDEQEHEDVEEND HDHEAAGKISPPPHPPPVLHSPAYLTVPTHGKSSHSTHAPPPTTAAMRSLVQLELKFA ALRDRLYIERMEEAAAEEEMILNGTHPALKYLYKTLSDRREKLHEVASRRHQQTLGEL KRVREAEKHLIWSSWTEDRDRLHWDEFEHTWSKRRRLAREKNEIETPRIVKPVPKVGQ PSTIRAFDWSAGATPSQLSREEGNHDLALMDQHTRRQLQSTRNASPAIFAPQYNVAGS SSSQPVNGGTTIYSYPQTQQQSHESHQPRHNSTPSLPQQQSQAAHTNYPPRIANTSNT NAKSYQPPQQSVSTQQNQNQPVQRREGRTVPTTSDLFAGPRRDSSVQKVKSPEEEPMS PIGLWSRGINGNAKRDGSSPKTPSSLGTPTISHNLPPGNSLASSNTGIRRGSTGAGSG LDKKSPPLSAVNESGPIRSNSTGSTQLGPNTGGSGSAIPAQPPRSNSNPSSSSSTTIS ANDRSGSGIPPNAHGRERPDFPSRFASLADYLASSTGQPGNGLFGMGIGLGGMGLGVP MGVGKGIGGMGVGQNKGRSPCQTSNGNSSPFGTTQSGSLAAESSKPAKSTSPVPPPTL PPAGTVTTAGTGEGGGRS I203_01038 MAIFQSALAPFTVKGFYLITWGTALGTNVWNTVSGYRTYKTLPR QTFGTLQSRLQPLYFTFSSIATSTLLFTHYWFHPGLISSPRVPPHHTNSPEGIQALLI IGSLVPQLLNLVVVSPLASDVMFERHRQERVEGKEYDEPNVSETLQKLNKKFSLYHGI ASALNTVSFLALAGLGLHVSM I203_01039 MSFLRATTTLPLTTSRISYRALSTSAIRSKTLTESVKDAADAVN KKVGQTLASGLESAQHATESAKDTVNAKTPSQSEIDSKASSVSQSAKETAESARQNAN QALGSAAGKARDAADEVNKKV I203_01040 MLLTVSAVLTQNRWDRLKRPAQFLNQTRSTFILHAFGSFLMLLS AFIVTISAWTAKGCKNSDDDPNADLGDDFKNGLKDWCTTKKASAIFDWFSFGAWAALL ILTALVFRKERRSNRHREPAFIPPESTGVSYSNILQADDERYADKGDSGSYAHSHETP ITASNGYGYSRTNVPSSAGGMQGHNVLSRPSVDAYGAFDGDMPGGTTQHSSLSDNIGQ SRTMQLAYTDPYAQIRASLMSSTSSQPGYAAQQQAPQQLYGNGGLPNPPAYGGYR I203_01041 MSVVPTSNGGHGVGTIPEATDEEGIPDDTKTNQATSKVVNVKDL PYMFDTLKPPSSTHTHALGTPSLSSQNSTPESEAEPVSPPNLSHLQHAKQDYHPSPLG GSEAHRSLNGGHDHARPPLSSSLATVGSTDSPKVHHPQPGLPDTSFPFPNFRPLPSTT NSPHPGHSMNIPPPPVSGLTSAQMSRANSSASVYAGSHHTHEKHPEGQTRSSRAHTTT SKPTMLGEHGAKKSFLHKMFHPNEAKHEAKLAAHAQAQAQAEAKAHHENLSVDVSRQH SSSGYTSPRSRSPQPPSRDATRSPPLTPSTPPANVSDSDHSRPPSRAPSFRRQSSGQD PHAQPPTDRDRKGASTPPVPAPPLQRKLSGRSASHGSTGGKDKPVALVGGQPVVVANK QEVTASSGNKFTLKDLVGLGDNGPKLSRKASANGSQKGSDRASTKAGSEYGGGDNAST VSLLKKYGICDKAAIGKGATAVVRLAHKWDRREEKLYAVKEFRKRRKNETEKDYVKKL TSEFCISSTLHHMNVVETVDLVQDEQHHWCEVMEYCPGGDLYAAIKKGGMSSSEVECT FKQILQGIQYLHSMGVAHRDIKPENLLLDGRGHVKITDFGVSDVFRMCWEKKTHLSKG LCGSEPYIAPELFDHKEYDARQVDVWAAAIVFYCMQFQELPWRVAKTTDPTFATYLST YKGDGTTEKPPTPAPLNNLIPRECRNVVKHMLDPDPKTRWSVDEALKDKWLASVEVCV EGQKNSHTHTSAGMDVVKI I203_01042 MCRRQPGIAIGRMCEKCDGKCPICDSYVRPMTIVRICDECSFGT AAGKCIICSSPAISDAYYCTECTRLEKDRDGCPRIINMGASRVDAFYERKKLGLEKGG GFKKG I203_01043 MATKNEIAAEHGLAGHDSHVTDGTYTNGNNGNNAAPGYTGGGGP MARFITPGGHPVDTSQPAFPVFHRKFANPAPLGLLGFAGTTLLLSFFNVSARGVTVPN VILGMALGYGGLVQLIAGIEEWACGNTFGATAFSSYGGFWLSFAVLYIPQFQVTAAYT DKGMLANALGFYLAVWGIITFLFLVASLRSSMALVALFFFLDITFWLLAAGEFTESAT THKAGGAFGIITAFIAMYTALAGMLTKDTSHFLIPVGDLARVGK I203_01044 MEPILGESKRRVCYFFDSDIGNYHYGPGHPMKPTRIRMCHSLVM NYGLYKKMEIFRAKPATKREMSQFHTDEYVDFLHRINPDNAQQFAKEQVKYNVGDDCP IFDGLFEYCSISAGGSMGTRLFMLIEGAARLSRDKCDIAVNWAGGLHHAKKAEASGFC YVNDIVLGILELLRYHQRVLYIDIDVHHGDGVEEAFYTTDRVMTCSFHKYGEFFPGTG EVRDNGIGKGKGYAVNVPLRDGINDQNYQDIFQPVIKRVIEWYQPGAIVLQCGSDSLS GDRLGSFNLSMKGHAACVQFVKSFHLPLLLLGGGGYTVKSVSRTWAYETGLAAGVELQ KNIPNNEYWEYYGPTYELDVRPSNMTDHNTPEYLQKVKEAVFEVLRDKNAAPSVPLQD VPKLRHDDEDDNELEDTEDKDVRRPMRLWDKEKQNENSLSDSEDEGTGGRKHRQSYKN SNGSGSASGSVHKKRRSRSPPIAPMTGTGAQVNGLGTSSTSTNGAVGGINIENWASSV PPSDSTSSAIPVSTAPTTSAAEVNGNGNGVGNGEDIEMTDSSNQPEQITSTTTD I203_01045 MSSSTYIDSLPYYDKHLDDPNLKSAAQALIDAELRRTPQINDED ERLPKSVDVFPKSQALSELLNQYPTKPIRSIDPSKYQPPIIGDEPSLEELKNAEKQSK VAEGHMALRLENTSLLSTYAPNAWLVRNFQLNSQITELTSTLDQLKEQIVDVNRSRRV YQEEKGGQLGKLESKWQDLISSNVQLEMACKAMESEVRGLRSKQEGLEKEVESLEKGQ I203_01046 MHIATFLSPLLFLTLPSLVVSDPTPTTPNHELDIRGYGKCYDLK TRDPQTCKCYPEYEEPKDHGDHWKKRQEADAEAEAEAKKGGYHYEECVCPDYPNTELD DGYGGGGWEWKGHGGGGHPKCVCKGDHQTYNPKTRECECDDGYQPWTPPSHYKRGEKK GGNKHKLICKPGPTQSAGPGGYKRSPSLHEAIKMRKQDERGVENLLGCKDEEKACESH GSWKCVDVSSLLWSCGACPGEGVDCGAVPGVSEVSCQRGHCVIESCRRGFTLTNTPNI EYLSNTTCVYENDQSPSKSWFVAQAN I203_01047 MSRFAGRGLDKLWFWFCALHIPITLLLDLQTIYPKHWLANTPLP ALFEYSISLARDPILGGALSGSKEFNWLRYFLYLEGGFQLPCFAIGAWGLWKNDKRVY PLLLAYGASTATTLIPCLGAIFTASPTPPFTNKEIITLLSEYIPFLLIPLGMAIDMGV KLVKIVGIAQERKRV I203_01048 MILRYIYLILATLLAISSCLASNSNIQLDSQLSELFSNSTSTGR HTNNWAVLVCSSRYWFNYRHMANTLAMYRTLKRLGLPDSNIILMLSDDVACNPRNAFP ATVYANKGRQMDLYGEGIEVDYRGYEVTVESFLRLLTGKHDPSIPRSKRLLSDASSNV FIYMTGHGGNEFLKFQDNEEVSAYDVADAVEQMWEKRRYNKLLFTIDTCQANTMYSKF YSPEITSTGSSSLGENSYSHHNDMDIGVAVIDSFTHYILQYLETLGKTSTATLKDFFG IYDPVKTQSHPGISTSLSSVPPEEILVTDFFGAVARVEVSPKRAELSPLSHELRESKW EVVAKPTRRIGRKNEDVDFEEELTEKGQLDTNKWQKPLKDGKSELKKVIGSLVGIGAI GGLLYAGGAPRDKSKRA I203_01049 MSDLENELLGLAEDDPTRRPSKKRHNSGGVGGGKKKSKAFEESE SEGEADMDLESESSDEEDAVTKFASSSSAANNRNKAGGRGMGSNPYPLEGKYKDEADR EALENLPEIEREEILATRLEEMQKFKDSQALDAMFKTIGGDDDDDDDDEGPSRKRRKH TSVTKEASRAMSDLKNKRKAKDERAQRRAARQSQKRHRSASPGSDHSTEDGEISHSQS QSQSQSYSQRYSPPHSPGYDSKKKNLSPSKDSKEDLDGVPANRQEINSARLSRYELVD MMYKDGFEEVVIGAYVRLMAGEPDEQGRPKYRIHRIKEVDTSEKFGAYNIEYKGRNVR DARGLLCSYGKMTRLFRIADVSNGDFEEKEFSRFSMTNKVDGVKLPKRSELKEKHVEI KALRDRPMTDAEVNRQINTRKAYDPSANRSALLKISQLLSTRDLATRRNDVHTVEMIN SEIIKLGGDPATGQLVNNGEQLAEGDDYELRIQKINENNKRKTKEMMLKAHQAALARK KAEEAMIKAKAATPEISMPIPKPDVPPASGLRKGETPQEYVARTIDLDLGDF I203_01050 MAIENQQTAAAPAQQESTSFFSSVMEFFVPTAHAEAPEESEEPA EEGGDEPAEEEEEEEEEPEDPAPAIREECEQKQCAEHVHHFKHCQEKVEAGNGFPGED CVEEFFHVLHCVDACAAPKVFKKLA I203_01051 MHRLVKARSQHFASSPRKTATPLITKHTLYNGKHPPKRRRLGSE AFVISRPVITSDSSDDEDISLDVTDDDDEEDGLPRRGESSRSRSQKILPLSSEIGQQI INKSTKSNNNRKSISRKPKGKGKAKAEIVNGHENDVFGDGNVNLENNEEVEDDENGSS STSPRKRKRSHIDPDSSADSGSWVEMEEDEEEPEFIAERDQHLIDSAPANALHRLRKA ELIRLWKVAGMWNEDDDMRSVTSVDDENDAGMGKKDLVDGLIAARKSFDRLISPLPSS PSRMSPRRKATLESVEIHHLRRSTSSSQQHSPDSSSSSGGRPVRSRDAGDTDATPKAI PRTRSRVRLAETTLIRTAPRRTKDRRKSMAKNGFRGRSKSMGEDKGDKKARFGDDITR DADEETEDDEPTPLVNRLRSRGGRASYIKETSSEVEADDEENENEHDADNSTVQGEDT EGSEEENSPAPSRNLRSRDKLIDSDVSMVSSKKGRSLPSRGAKKKAIEALKGGESDTE MEVDEDVEIPIEGDDDEEMEQAHTSPPQPSTPPGRFTRRLSRHSPTTADSDEETAEPP ESELTATPDSPSPPPEEDVLVTPGQIHTTRSGKAFGVMQSRRKRLRQEARDDPDMEVD EDDEEEEDEETDEDESFEADIDLTDATVSSLTRLLRDELVRMCEARGIEVGGTKPQLA KALLEWREEQNQSESVNSNPSSSATAKPSSSSGKEKSNKNKKSRSKKIIHAIGSNAHV PGKTTPVLLRDHIHAEDPATPPISDEDNKPVASEAELNLDLQELGLEDSIIKPSQLIK LEKIGSGGFKDVFVGKLRGRKVAISEFRGHLSEMDIRELKLLAEFSHPNIVRFRGICI PEDSTHVPCMLVSELCENGDLFDYIRNVPCPSLRRVLNLMLDIARGLEYLHTRKPSII HRDCKSSNILINRSGQAKVGDFGLARVKNSTRSMIRSLVGTVNWQAPELWHPHPRYDY KVDVFSAGMVYWEMMSGWIGDKKYPWEGHNEHYIYDVVGAKQKRPSVAGLRKHWGDEP VNLMERMWHQDPAERPTMTDVVADLESLISELR I203_01052 MPANAPIPGKFEEDPRVHFDKTAGKWQYEDEDGTEYEWTGQAWI PLIDEELWKAQQAAYSVAGVDESTPANAVLARDEKRNKKRKKGEKDYTSNTSTLNAAS NGSASGAGGPSSSQTQQNPTAKKTAVWVSNLPPNTTVELLSSVFSKAGVLLIDDEGQP RIKLYYDDEGNFKGDALIMYFKEGSVDLAITLLDDTELELGSGYGNMRVKVAEYENKD TAEHAYGRVEKKKLTAEEKQRMSKRIKTMQNKISWHSDDDSDDPAAPIGGAPAPLSNR FNRVVVLKGMFALEDIEKDPGLILELKEEVRDEAETLGQVTNVVLYDKEEDGVMTIKF KDPVAAQACVTKMNNRYFDGRIYAGIYTGKERFRKSGGIHSFGDDEEADQEERERLDN FAQWLVEGEEENNKQ I203_01053 MSAPLYAVADFCLIPMGLPKPSVGPEIAEVQRVLEKSGLEYKLH GYGTNLEGPWDDVVRVIGECHQAVHALGCQRIATDIRIGTRTDKSITSGGNDSKVKRV VDILASEKK I203_01054 MPPKGRKTKAEEALDFLSNLDNLDAPGDEPNSSSPAAPNSATSG GSAAPAGVVEGTPRGSSDSTRKSTSTRDTASTNGANASAEGDEEAQKALDFLNAQINQ KRTKPLPLSSSTPRSSTPVSTSAATVLPAPVAAAEPANVPSQSPNSGGWGVSSFWSSA TSALQQASKVADEQYKKVRQEGVQGVTHQLENLGVKGVTVPNVVDLNKLRKGAEERLG GIVKGVDLEKLRQDLVNTTTSTLTSILDTVAPPISAHETLELWLTHPMIGYGGVEGVI YRAWVRILEQTESGELVIVWSPGEKEGSSAEKGDDDEGEGEGRSINPIEGWEKAWESA KKEIESVKGREEKDPKGRNKSPNPQVPVTTIPIFLHLQPLLAPLPYPEPPIHASSSAT EAQPPKHLYFLLTLQDPQHSLSFTTITQPSPSDWMDVEYEQSEWVEERLVEILRTGVE VIAQDYVATRMGLKPSAPTAAAVTTALAEQATQEKGAESTGTEKTSH I203_01055 MFNAPRRMGGGSLSSSAFLTSPGLSSSGYNDPLASTVPAPGAGG FGDVDPWSAVPSPARSGTPRASVDEIRDGISGGSAGREGLNGLINDPPAIYVSLFDQI DTSSAGHVSLASVQRLLTTSRLPALTVEKIINLTSRDKSSLARQEFFCALALVALAQS SSEDDEISIERLSASIPNLPLPDLKPSTSHNTLSAPPTGYDLGGSPSSSSASHGLTPS ASTGFNAWDTTPRSGNQHASSGYSANGSAFRSDGVLDESQLGYWKDLEQVEVALIPEK EGWFLQKYRVESDKRSSGPVSRRYSDFVWLLDCLIKRYPFRLLPSLPPKRIGPDASFL EARRKALKRFINFVVNHPVIKDDGALNVFLTEGAFESWRKRTKVSTDEESHSKKLNTA QEMAIPSDLDEKLGILRDNLPSILTSYQKLVLLSEKSLNRLINHSADSSRLSLSVQAI GESLNKSCFRCTNSTDEHGTITNGNGSSCSLCKGVSKGLEDVGETWIRIAEETERRVT IITSHIESLKSTRDLYLSFRDLFIRHDKLSKDNVDGLRKKVESRNKKIAQLKNAGKPG WEIEVDKLVAANDQDNSSITSLLSRRVFTKACMWHELSVVHHSRQAAQTTLGWKDFTN DQIEGIKRLEGVWEGLKDRLEGMPVE I203_01056 MSSAQEKENPAADGPSQAEWIQIRESWTNQAVEDGDVETLRKIS ALPGGFGGNDTRKKAWSTLLQTHLIARQTARKVNRDDNSRQGEDSSENGDKLVDRGNK EETTEQEAGPSEPKPHPNERQVRLDTDRSFVTYPKGIPAQSKLELQADLNDLIVGVLR KYPALSYFQGYHDIISVLYLTFIPSKPIPQRSRSSSTNRIRKDRGNQRTPEALSTPAE KDQGSHEVKVEEADEKLSGNVSAPVQSEKDDLRLSEGTHEISPPPSYDAISRDTPEWR ELRKCAEMVSLNRVRDAMGSGMEGMMGLLWILKRILKAADPELSKFSSKISPVPKLPF FALSWVLTLFSHDCDRLQPIQRMFDFLLARNPISAVYLAASILILKKPQMFEIAHQLG SEYEEDPTLLHPLFVRLPPLCADTPSEPDPPPTLTPSPKTSYDDFEEDSVNPYRPIKL SELFLLTDTLMEQYPWDGEVIRGTEVMGEGSVVNTYHKERIEEEWSAEEMLGMIDVQV VKPGAGDLSDDEELDEEPVKQKRRRLPIVNPRNKINTLLAFGVVVLGVGIAVYGFKAD GNEASWNRWWSMVIRGLIGKEGRGLEGLLGRGIGWVKKAVRDVM I203_01057 MVYTGPGDVTLGRSRSRSHSSHGSRTPSLHQPGLSRRSSLSAGH PQGLKQVDTSKIGVPIVTPRKERPGHVRSLTGSYFPAQKGVVTMEDEWPIGDEKTWKT ALKDMEFDHEDEQKVANTVVRHVTTSLARQAYNIDAIAAYQATALSVRDRLLERWNET ALHHTKKAPKRIYYLSIEWLIGRSLDNAVLNLGMRNTYENATQKLGFNFEDLLNEERD AGLGNGGLGRLAACYIDSMATLNIPGWGYGLRYNYGIFKQLISSTGEQLEAPDPWLDR ENPWEIARLDVAYPIRFYGRVENIPNSDKAVWSGGMECLAVAYDTPIPGFNTKNCANI RLWSAKPVQGFDLNSFNAGNYEASVAASSEVENITRVLYPNDNMYAGKKLRIMQQYLW VSGSLQDILRRFTKLDLPWTALPDYVCIQMNDTHPTLAIPELMRILIDEEELSYETAW KITTKVFAYTNHTVLPEALERWQLDLFETLLPRHLQIIYRINYDFMQAVAKRWPGDMD RMRRMSIIEEGTPKYVRMAYLAIVGSFKVNGVAELHSQLLQATIFRDFVEFKGRDFFT NVTNGITPRRWLLQCNPQLAALITHTLGNDHWLTHLKTLKQLLPMSENEEFRKAFTSI KMENKQRLADLVEAELGITLDIESIFMTQIKRLHEYKRQTLNLFAVIYRYLRIKKASP EERKKIAKHTAIFAGKAAPGYYVAKLVIRLINNVARVVNSDPDVGDLLKVVFIPDYSV SIAEVLVPASDVSVQISTAGTEASGTSNMKLALNGALLLGTVDGANVEIAEDAGEDQS FLFGHLTEQVEGVRFTNSYQPTPLEQRSSELAEVFKAVESGTFGEGNTYEPLLKTVYE HDYYLVSNDFGSYLAAEKLMDECYANNRTEWTKKSILTAFNMGDFSSDRSVQDYADGI WSVEPCEVPNGDNL I203_01058 MDPSKIMTPATGSIGSRFESQASIDEAKENKQKAWREAYARIGQ EPPPEEPEEEYDPRTLYERLQAKKDLKKEEWDSKMKLSNQWRGIDSEEQRFLMEKDQE KKEEQRKLEERDAEELRAYREKQAAKASQPIDTPPATTSSVQPKKVIPTKPARKDVKS LMKGVVVKKKPKPSTKGTSSPTKPISPSVGTKRDAPEDEDTAKQKDGDEVEEKKRKLS VTDET I203_01059 MPPKFDMSAFGGSDSEDESFPPKRQLELNALKSKTFSHGITKKT KKDLEREAEEKKRKEEEEALRLVNAEYEEAFEGPSSYNAPLGGTRQPSRRPIGPGGGF VKAGGAPMDMPYQPPRGPAGHDIEQSYQPPRGPSAMGYGRPRPPPQMKAPSPPPTSGS STGPMRKGKRAMDSFLEEIKNNQIAQERRLGQQAKQAGSSVAALAAWESEKGSHIVGN QETTNLFISNLPSNITEESLGMFFAKLGPIGTVKIMWPRGDEDTSVGASMTITRRSKP GLSGFVSYMKRKDAERAVREYDGLDWGGSVLRVGWSKPVPMPLRPIYDLGSGRESRDK RGRSASPVRHRDSDRRKDDKRDYDDRRKGGRSRSRSRSRSRSYSPPKSSKYSSSRKRS ARSRSYSSSSSRSPSPPPRRNKSRRSPYSSYSSDSYSRSRSPSPRPRKTSAKDKWLSG ISEDQKKFIKTVAGRVKDNGRGFEELLRKKEKENPKFAFLVNEDLPEYHFYQLSVDSR YRIPTPPPDDFADEGYASLYSSDSAEESEKERVVRGKLGKLARKRFEAMLRAMSGKRA EIARAMEFALKKAEAADEVAEIVCQSVKLDATPVPRKMARLHLISDILHNSASSLPNV WKYRQAFESRLPPVFSHLSTVYQSLLAYSGKISADVFRGQVAAVLEIWERWIVFNSET AEYLRGLLDGTKTLAAPQDAKAIQEEKEKQATAEEKKTEEQEDSGRFKTSGFKSSFKP ISATVPIPPSNNGDDLDGETMEDVDGEAMEMDDDLDGEAMDEDLDGEAM I203_01060 MAPQELILSACSSDPSSSTSAAPQQSTPSIHLHDLLTSTPIQSF KNSASSSHSLGYVQSQNGQGGGVFAVQERKGILNIWAWQKDQMHSKIHLPEKMTCFAL SPNGMWAAAGSPNGQIYLWEIASGLLLASLTAHYRSLTSLTFTPDSQILISTSLDSST HIFLVSRLIDPEDQASAGKPYGSLTDHTLAVRGVGLGRLAGSMGGRIWTCSDDGTVKM WSLHPPFPLLATFTLPPSSSPTCLAIDPTERFFYVSTSQGEVYHIPLFRRKDTLSTAA EYEAVGGGGNASIPLKVEGSVVSLKSPITSMSLSISSTILLIGCKDGTINLYSLPSHQ HLRTLSSHNGPITHLSTLLKPVDLITSSSGSSGGVMEQWPIMEIKNFERMKSQNYKSN HTVTIKLPQNNLAAVSTSSLLSQLDDLRPQTKKSISGGQGNGVEQDQLEALLAENKRL RTSLDKATKINEKMWNGIVDMKLANGDQ I203_01061 MLRSQTAAGSQPIKQPGPYDLFPRPAVASWLDGIQAKIQQGLNP PESPGPSESPSPILRDSIEVEDVEEKEEEDVQDPTVDIVQNGLSSVDQHQDYDETDEF GEEFDEDEELEAAYHEDEDIPGEQEYDDQEVESDGDIQEIVSSPEQLEPLFQTYDEEE GEEEEEDEEAEEDGVEENGQNVQIVQEGYEEQDQDEDELFESRSQSEYDPAYGVVPEE DGAAYVGSDGDVYGDEDQEEEDEDEEDEHQDEEEQEDDDDEEEAEEEEQVEQSHGEED EESDDGIEYMGTSESPAPKSDPHQYASPPNTLYPTLPLPPPPTSFVESGQTPQSEEQI SQLPPFSEDAIDPSLLAQIVQQVHNNMPEDDAGPSIFGGNAVSYQPQSSTYQDLLPIH DVFQQPSTAYGSGYGEQDEEEQDQDEIASEGQEEEDEEDELGDEEDSEGSYDDQEEEQ VDTTKRPVFTEVIEIGSSSDEDEDNEEEEEEEEQVEEDQDEGEDEGEGDEEEEEEEEE EEIEYVEDRNHQSGRGDERYEVRQQAEGPSADRQEDEKEEEAEATVFEANDVILNEFS EEVSENFDETQKPPEEAIMYDGEVIEDRHSVPSEVQEPSYVQSEAVTPSSILPMEMEV IEVVEVTEVQATGNANIITEPETVIIPSILEPIISEVIDQAQIPVDEHVSQPEDLSTP KEDAIESQQEDLATPQLTDEFTMDVDEPPIKDGNLEEANFSPFDTPTASNPLEPFHAE EFVAFDSVEAVETSDGPSVVNTPTVETAGTESMTVSTGPDSQTTIPAVLDVQQPLSEF SPSLVEPPGHLPPGEIVAPAEELSAETPTDLPDPKLSPPDANIAMPFIPHDLRPSIDR SPSLAVEPPERDPVPGDVPTAAASRSSTPVSLPDPTVPPPNTSARQPLDIHTMVPQDH VTPSLVVEIPQNPVPADITSAISSRAATPPALPDPGLPPADTLVTGPLDPHDLVPHPP SSPSLIVEPPGKDPIPSDILSAPGTRAATPMELPDPALPPPDSYLLAPITPHQLIPSE YPQTPSLQVEAATDSPAQTMSRNPSALGSFVQFSSSDGDVDVMSEGDDDIQVHVRWIE PETSTQDITTNMEEQVEASEGNEVEDLSIQAEAAEMESEEQYSLPSASGEEKEAIKAA KETSETALSGEESPSEDDIQVDVQQLSSDQQMDRPEEAEEPLPSNDNEADRTAETPLE SIQNGLEPTSSTMLVEERKPVLSTNEERSEEEATETGSPQKEKPPFLPQVSESTIMRL FHRHGSPSNASVPGPETTAHRRTRSRGRASTSLEPPTTRSHCYYEKLRVSDDDLTAVV LVPHCAIPGSTQLEQETSHVEGRSSESEETEGRNLQMDHDHPILLPQLTTKIRRLVGN QLVDEGHCYVLYAKDDAKVPEIEENGTPKGTPLRGHRKRKSMGGSASRNSTGGDDEDS TVLIKVESYETPSKKRATLSPPATRSGRKRGTASASVEPESEVGATPARRGRGGRPSN SRKGKGRESSVTSDTGSRKSNTASPGPALRKSARMSIARGENIPELEEGTPVPAEDEL NGKSVTASPGPQLEGSESQSPTKAKPRRSTRMSSRGKQDASTATPEPQAEDQADTEVD DTSRSELATPSGSTRLKSPRKTISSASKADEAPYRPESEEDDDDTEEEQGGDDKPPSS ARSTLSVEIPSSKKRKSRASEAQNTNEEDLKDDSAPAASTRKRKARAASKEPDLIANP DETTVDQGETPMRETRGMKRRAIESKLVPGTPVPEPDTTGEEGENDDIQVKAETSPTS SARTSSRSGGWSGRLMKKFGWGR I203_01062 MAYFETPDRVLKRVQQLEDMELPSLPSFQHDDDVDFDSMSGTES TSDDHQQYYHQSSIIDEPQSDMTTPHPLRKVMGPPPSSTATATTRSSADSFSTASGSP FPPIDSSPSEGASSPYAPTTALTSTPQSHQTRSMSGSLRTSTTDRTATTNRTSRHERT LSGTGSLGNSTHASRCQRWQTPGEMSRSFSGEEIVDRMKLGAEQSIPLNDYSDQQYDE SHSQSIPELPSLTVPPEETPVTRRLSSGNVLLERPRRRGPSAAIHHLQAVPETPSDPP TPATRNVVSSQASVPTTLPVSQNERIPSLSRSEVSATDVSEAASTPEGPVRNVSMMTP RADAHQEYEITGEYQYEIDSAPEDHGENPTWEYNQENEYTAQEDENVSVDPSQYSSPH KQMDTYSPARQAITPSIALYSTPGTIRGQPFRYNNLDASSAPSTILYDVTDSIQNTPS GPVTPSPFGMISKTSDMSNPRAPLDDAERRKSHVLAVLGSSSGPSTRIVRPHVRGTPH PLRRVSTAPDTESIAEEGSDVTQSQKSIRRAITPGMTSRLTVDQSVDQSFVSIASSAD LTSDKRASHMHSRLSRGNTSFPTILLPTNHASPGGGSLKGLSDQRADGIKIHKHLNAM NKQLLETNDDLAREAEAWRDEADRLRGILEDHGIDVEDNDVLGGLNAASTSANVSQQL PERPTKSPNGRDSTKGDHSELISQPSALSGRRGSSPHANKASTSAQDLLEGLNPEEYA AVMQEMAEKLDNLEEGLNEKDQLIAELEEQLERARQANTPEQQGLLDEIEKLSAQLEE AERARVALHSDFSTKTEQHAQQFGEICSGFEKQVRSLEKDLSDARTEVDRVKNDKSRL EKISFVEGGVEEQHLRKRVSDLEEMLQQATTDNHKQLIEVESLKKHSAHMLEEKEGLM HRVEDAETEFEELRARISEMQDEGTGLESLEAMKGELKIACEAQLVVEDKLTKVQQEL AEAQNTISHQETEIGHQHDHIEELNRVIESREADLAVVQNNSRVNGEAEEELRHVQQQ LNLVNEVLASKESEIESLKGKLEVANIATQAIRTSQRRSTSPAPTMSPTTNTAGTDNN PSYHDSFVAAMEERLDEAYREIGRLKHELNATPHRKSAVEVRDAKIQALEREKAALAD RLASSSRSMTISASPAPAGVKDAGSPFKRPTPLVHRAIASLRTPKTPGPLPELSWLQT TIGDANEPILQAQLEYLQKELRDANDQLDHNFSRLEAAGLGGVALAEKLAAAEERIGE LEGEIRTLVQRNKASLALVSAQKEDRERDNEGRMQKALEAVHSQMEQLKYDIANERSR LQRDNGRLQNLVSEMRLKSQAQVESLQSEMQRMAEKAEGDLKATRDDLSRVIRERDQL KKEFESRGTQIVQLERDIAKEQRAYESLSRRNVQTTQSAALQPELTQKARTIESLQLS LRDAQRESDDLRNALSQRTQTLEDTKSRLAKFQNERTHVMKELEEFERDLQTQRSESR EFGLQLSLLKKEQNNASAKHRAGLDALERELKEARNNERWTMKDLNDAKRKYDDLESW RINHQCDTGLSQALIDQKTHFKTQSRSLASQIRYLKAKFTRESTFRNALALQKRYLLL LVGGMSLDQQSTLRAIAQMGYPVPEPSRPRRTFKAVALAVCSIIRARNTANDWKKEKE LKLTISSNGSGERRRVSART I203_01063 MRPFHPPTPQSHRSSYIPSTPDSPTVEKESHIGGTTPDVRQARE AADGVVRDYEEKRDRLAKAIDSSLYLLSDLKNFNGEQWTVRYPHLKPIDSSSQGSPST RPGMSRRTLTFADEPSQTTDVVLNSTPTVRRTLKRSLTLAPSAPCTPITTVNSTVEEP KNIDDNEDDFSILRLNLNMGAARHAKGLISHLEKSSISALLDTRISASLDHLTHLQKR IFDAQSRVLVTGDLNAGKSTLINALLRRDEVMPTDQQPLTTRFVEVVSAKENEDKEEI HILKHADKYDPSDESTYTTEDVSKLYEMLVDAEDDASSPSLRVFLKEADANMANPSIL HNGVVDISLIDAPGLNRDSIKTTANFARQEEIDVVVFVVSAANHFTLSAKEFIWQAGH EKAHLFIVVNRFDQIKDKARCRRLVLEQIKQLSPKTYEDAADLVHFVDSAKVALGYGE TEPEGDELDEAFSHLEHSLRSFVLVNRAKSKLGPAQNYITHLLADVELLAAANSLVAG KERDAAREELARVKPVLEKMKKGKEGLEESLVAEEEAATDKASGRTNLAMERGLERVG RGELAAPAPGLSLPAYPGLLGAWDFAAEVKRVLLASLDFAVSLAEDDARKLTSEGVEE VTKLGDAHLPADVERTNRKFNPQAMFSPRAIRRPSSVTSIGLGLAGQSQLVEVNVTDI FDLQHHIHLARSVLPSTASTSHELVPFSTEVGAASLAVGAFSMISGKTLGLRAVVEGL VHFSDFVSNPATRRWIGPVVGVFTASAIAYVIYDLPRSIPRNVGRHIQSTLTISSGQP SQIDDASIPFAEYQATRVSKEVRKVMRLAAWDLRERFRAAVEARGEVVKASEEIEKKA TKALEWFDNVEKRVENIREEVGIKV I203_01064 MGIVEKIEEIEKEMARTQKNKATEYHLGLLKAKLAKYRAQLLEP EKKGPKGEGFDVMKSGGECSVDARVCMIGFPSVGKSTLLSKVTKTESVVGAYEFTTLT AIPGVLEYEGARVQLLDLPGIVQDAAKGRGRGRQVVAVAKTADLILLMIDATKSAEQK KMLETELEAVGIRLNTRPPDVVFKQKTAGGITINNTVKLTKTDERTLRSILQTYKIHN CDVMVREDITTDEFIDVLLGTRKYIPALTVINKVDGVSMETLDSMAREGDGRTIMISC EIDLGLDWLLEAIWAVIESHRVYTKRRGDQPDLSDPICLRQGATIEAVCHGIHRGLAS HFKYALVWGKSSKFNPQPQKVGLSHLVQDEDVVSIFTK I203_01065 MPTFNPPLAHTSHIPIPRTASQPYVQLNFFAAFPDGEDESGSWE LWTDLPRIDDQGNIISQSGEWTAAQFQPYIPPSPQDTKQKHKHQQTNGDATASPIYLK ASAGYPPLTTPVQKTYYLSIAVPALPDQQYSYTYRHITSSGETHWLGGMGGNGSIKLN GDDVEPKIEGPKAGSWSENLEGVKESDWYGVAIELQKSNGKLKPIVHTVPSETQVTAQ LALLTAQQPLHSSTLSTPTSSPTATFPSATLPHLSILSPSSPLDISPSSIPSAPADSF YGLTTGSTAVEALTGAFKAAKAKEDIFPLAEVSADHEDVSAIFISSGQQEPQQVYLII HAPSLAYPVDIAITLPQDILDPVPIALFTNSEGPVSYLSNLESKSGNRKIHLKLEKGA IAEIIQLAEFTELRGSGGDDPIWICAPDAINVEFGEEELSQPEFTAKSAPITTYNEVT PVKIRDEEEEVISKPPRTQTTDSQSSTTSEEEESSVPVQPHAEAAEPSHGWWLFRFIG RFFVNIWELLLWPFRSSPAIADGASQAEQREGEQEENDPDFPANERTPLLGSQSMSRE TSSSSTAFDPLATPTSVTTVKEESKPKYPTDGSITPVGHNSSPNWNNIIVSDELPIIN SIRVRSYAQMTFNHLPPFQFLLPPNSVDITSRLRFTVKEKAARRWEEVSPLFEGQKDV TKCQEVTLEGGNATGGTDWDVQLERI I203_01066 MPRRKNAFLSDGSDSEASNSGESIADYDSQEDDDSRAERRLFEH KGKRRKTAGGRSGKESAWEGIFGEEPEEGHGGRGLGSRSKGASSSKRTDWTKAPAFVS SHPPKVGEDEGDKPVDEDSDEDEDEGDSADSSGSEDEDGDQDVEDESRQPSPRIRDDE EAEDHPKGRSGLGSGNGFAPAGTIPADSKAETSRSSGGRGGIGSSSRGRGGIGSSSRV SENASTPIEVSREGPTGGLGSSQAPVQPIDESLPSTNVPSAFGRPPPSLTASSGPSRK QQSFISRPQPSAAAKSAELTAAERAHFSKISSSFGARMLAKQGWEAGKGLGAQEDGRA VPIQVGKVFRGQGIQKGMRTEDSKREARRQGHVFSDDEDEKPKRSQRGRGPKVPKGPK EEVEQGWKKQKKVKVKVEHKTYEQLLAEAGDTATFGGVGLVLDARGGELKEVQSLSSL SLSTWTPSSDNMKLPELRHNLRLIVDVAKGDVEGLIREGKSVNERRRWALREEQVSRA KGEDTDKRLTRISQIQALVENVSNIAASQSVAANPSLAPLTESFNALINDYGDEYKSQ SLDDVVVAAIAQVQRRAFSEWEPFDVSTDILLSSLKTWRKAYNLPRSNDPDEFALAVN SADGIGRAARKQENGERPMSAWESLLWSLWLPKVRSAINNDWDPSSPHAAVHLLESWE PILPAFIRDNVMDQLILPKVKAAIEQWDPRRSKYGQQAGSLASIVFPWLPLLGDRGDD ILEGAKRRIRSVLRNWVVKDGVPDELARWKKNVYSSSEWDKLIIQFVLPKLGASLRDD FSINPRKQDLVPLEDWVMPWYKLLRQSMFSHLLESEFFPKWLDILYIWLVQPAYKPDE VANWFVWWKGRFPEAVLEMPGINRGFNTGLELMDQAIRLGNDAPHKLVKPDFKPLPPS SKTTSSKSSKHKNTFQEIKRPLVESIPTEITFRSIAEDFASQHDLIFLPIGKSHDKTG KPLFRISKNVDGRGGVTGYIGDNAVFAQGEDGDFRAVSLEDMIKRAGA I203_01067 MTPDSQLDLLFDPSLIPSAIQEQLGPDLHLRPLASDDHKRGHFD VLSVLTAAPSLSPKAYKGNFDELKACAQTYFIIVIVDVASDKIVGSGSVIVERKFLRA AGLVGHIEDIAVSKAVQGKKLGLRIINALEEIGRVRGCYKIILDCSEKNIPFYEKCGF KHKEFEMVRYMADPKDVAKSPTPSKL I203_01068 MSQYNNNSDQGLSPSSSSNSEYPKYSLPAAVCQLLLSHRLSANQ RRPPFLGLAGPSISSPITTLSSFNAVPGPSTHTPVKKERPSNQAGPRIIRTFEEIKVP VKSEEWYEELECAICSQVLGATQSIVPCGWKWIKSGDHPTCPSCRIRVSESTPFIPNI MVDQIIERKLANMSDSAEKNAMVIERKEKAQAWKVIQASMPAPKPTQPKRPRGLDDIV HEFIDLAAPMPIIRSGHARRASSQIIELGAPIDAMSAEEADIVSRARTERIQGRLAEM RRLREERIRLLTDQVATTRPVSEDTEMEMTDAIRNYQQSAPANNRSLQRGSPSRSDHL RSPALARRQARGRDRGTREDPLVVLSDED I203_01069 MIMTPPQSTSDQYELSCILAPPHQSDVKAVLAISDDMIASASRD QSVGIWTRSGKTKFELKALLGGHHAYVNSLAHIPSSNDRDAEDFVASGGNSSLILLHS LKTFSPESEHCLIGHSLNVCTMSYSTKRKKLISGSWDQTARIWSRSLESESHEQAVWG VTIIDEGPKANCYLTADRLIHLWNEEGQILQRFKGSPEPVRSLAILPGGETFASACND NLVRLWNFDGAVLERLKGHKDYVYQAIPGKMGVELISCGEDHTARTWTITGGSDGGIR IWSKEKDRIAEADVREAYMKLVKANVQSEEEDSTSQNQPEQTSLTIDIDLSFSKQRQF PANSRRTADPRSTAEAFGQEHGLSENYINQIEAFIKAHLDALGSQ I203_01070 MEPADSSSPWRFAQCFGDKGDVEDITEADIISTVEFDHTGDYLA TGDKGGRVVLFERNEQKRGCEYKFYTEFQSHEPEFDYLKSLEIEEKINRIKWCKRQNA AHFLLSTNDKTIKLWKVFDKQIKVVAENNHSDGYAGGGNGPSQPPLRLPRMTTHDSIT AAVPRKVYANAHAYHINSISVNSDGETYISADDLRINLWNLNISDQSFNIVDIKPVNM EELTEVITAAEFHPIHCNLFMYSSSKGTIKLADMRDSALCDQHSKQFEEEEDPTQKSF FSEIISSISDVKFSQDGRYILSRDYLTLKIWDINMENKPVKTINIHDHLRQKLCDLYE NDCIFDKFECTFSGDGSQVLTGSYHNYFRIYDVNGDNDVVLQADKSAFKAKKIGGARG KAPGKKEGMQTEGIDFAKKILHASWHPKENTIAIAATNNL I203_01071 MSKASSLGAIQGQSQRRKPLQQIGPVMSAALNDGGLKELWNDDE DSFEVQVPDFHFDWELEKGNKGIGQASENEPPVSRFSSLSLGKINSRPNSLSPPPAGI LSSSSTNTRTTPPPRNIHSARSSLSSVQVASLTDASTATGSPLIPTPPGSGFSSGTRS SSSHHLDSGGSGSGSGSSSRIYGGSRRFQRVVSAPVTRQKYEGDDNEMLTIEESSNLS SSTTSHTATMRPVLTHTSSSSSIPLESSSSATLTSTSTYMTPGITERTLTSTARSTGR RLGGLSKFGGPARRVIPALEPGDIQEEAERGSPVLLESPPRNEPLPLQEPDSALPSHQ QSSSTGSTHFAHLPPRNQYHGSPVIQQSKSPVIPEEDMFISQRSQEPFQGRLEQLHRS SERRSSLQSTNPNIPDEEDRPFRPFNSQVDRGNGRSTEMPNYSQPQQSQYDPSYRDDV GISAYPQPIRKSPPAPDRYSPQPQPQPLRAVRPAPAVNNIQNSQTSLANPVTAPPILG QQARLDVQGLSAIPVAAARTSFLVNSIPYERLQRLGKGGSSTVYSVLYSAPPKKRIIY ALKVVQLDRADSETYQSYTNEIELLKRLRGHDRVIQLIDHQITFGQGNRPHRLMMVME CGEIDFAALLDEQRGKAINMNFVGLYWEQMLEAVQAVHKENVVHTDLKPANFVLVKGR LKIIDFGIAKAIANDTVNIQRDQQIGTVNYMSPEAIQRMNNQKVLKLSYPSDVWSLGC ILYQMIYGNPPFQHIGGGPLAKMQVIANPQHVIEYPEVAVPKATVGVALDGHPIDPAS LAVSIAPAAIDTMQRCLSYRKEHRLTIPELLHHEFLKPKIRVPAVPPGSTPITQKQMR MMVNFILRENGLPERSDTDYTAEDLFSQLQAQNALSNGE I203_01072 MPPQLFSNLSKRACAYHITDSGGKLYDSDGNVCESLGVDDKIFL AIALSICGVVLLFLGGIYLRRLQKSRAQDRAMQISSEPKMSSMGTPLMRHAGSFASLS RQVSRAPPSPLSSDSHSSQFSSPNPGSPYSPSSENFHFPILSADGHLLPPPPASSRKS PVELNSVGLDRPLPVAPASMAAPLYAPVPKSSQRWSYRTSSEDSHGYPAGLYSGVEIR GDGSVEVMRDDYGIETTNIRSSPTISRVDHSLGGYAPQPQRATFYQSLSAEGDDYSVY DYVSDESHRRY I203_01073 MSTEQQYKQASIFTADGVLFDMDGTLTDSIAAVEAAWTAKAEEL NLEPEEVIRATHGRRASDNLMELVPGLRKEHVEREVEKFERSILAFADTPPHSRKGSF SSARSRQSSITSGSTRRSSIAPMTPVSNSNTPDVARHPSFQFSTTDTLNLTSLKLSKT SVSEVAVEDESPFADEEAEDLIDMSVRILPGVRKMINSLPEGKYAVATSGAKTYCHGC LNRTGITIPKVCVTADDARLLRGKPFPDPFLLAASDLGIAPTRAVVFEDSPSGIKAGV ASGATVIAVCTSHTRDKIENLGAHFVVDTMDQVKVDHMEDGQLRFTVAY I203_01074 MAVKVGINGFGRIGRIVLRNAIEHGDIEVVAVNDPFIDLEYMVY MFKYDSTHGRFKGTVETKEGKLFINDKPISVFGERDPTAIKWGEAGADYVVESTGVFT TIDKASAHLKGGAKKVIISAPSADAPMFVCGVNLEQYKPEYQVISNASCTTNCLAPLA KVIHDNFTIVEGLMTTVHATTATQKTVDGPSNKDWRGGRGAAANIIPSSTGAAKAVGK VIPDLNGKLTGMAFRVPTSDVSVVDLVARIEKGASYDEIKAVIKKASESPELKGILGY TEDEVVSTDFTGSTESSIFDAKAGIALNKNFVKLVSWYDNEYGYSRRVCDLVAYIAGV DAKAQ I203_01075 MAHMIRRDTYPSPGALGFIYPTPRTYNATTAGTRPCGVAAVSAT RDYVPLNGGNLWLLSQAKVENIQLNFANSEDPTEQTDFDNLGMAMTGLTTGDMCIPLP DFTDLGLMLGDKITLQVAYALEANSGSKLYQCADIELISAHNYEAPPYSCTNTSTTWS DSDASSTDGAAATVTVTSSASTGGKVSPRDGSELSLPWQSWQLPWD I203_01076 MKVTLSLAAVAGLSVVLAQNATTLSTYQQSCVDSTLAANGTCGS TYTACACDNTFVQNVKICLYQGSCSSDVATWFDTATAACDASGQEANYTSTHSENYTY SESGGSSSTAPVSSAAAATSAAASAAASSSGSSSGAPQQYGAVGTAALTLVGVVVGTA MLF I203_01077 MSLSPSTEEKINPSDAQALAVTEAHHNEEYEEFLILEKQMEGAP HRKLMRKLDFRLLPTLSVLYLLASLDKANAGNAKLFGFLEEIGMTATQFNYALMYLFF TYGLFEPVCNVCLRRLGPKIWFPIAVTTWGLITTLTCVVSSYGGFVAIRLFLGAAEAS IYPGGYLLLSMWYKPKELATRMALFYGANTAAGAFGGVIAYGVGNMDGMHGWRAWRWL FLIEGLITVFFGLASYFMLPPFPHTYKAGTKNSWLTPDEAKYARLRTKYANGPHAPTY VFQWKDVLAAAKDRKTYFMMMLFWWGGSIPTYSLSYTLPTMVKNLGYTAVKAQALTTP PYIFATIVTVTIGIISDRFRRRYFAIMSAYSLGLTGIIIIWITVHHPHLTGVSYFAIF LAAAGYSAQAPIVGAWTSENVPNPSKRAAAIGFLMLFGSIGGGSIGSNIYLANQAPYY PLGFGFSVGATVLGAMVPATIHWTLLKRDNMRKDRMDEAEVLATYTAEDLSAMEEYSP LFRYST I203_01078 MPLILDQPKVEQTPVSLKDINKARLSRPKNDDGSPLYPDYMPFY DPLEKVEDLGEFEHFDPGHRADPSFPNLLEGATKFFDLSPHVGTEIHGVQVSKLDSKG LDELALLAAQRGALVFRDQDFGDLGFEKQKGIVRHFGPLHIHGWAPHPAAGSVEHMII YDHKDDLRVRRSWAGKSPVQWHTDQSPEPQTPGTTFICMLESPSTAGGDTLISSSVQA YYSLSPKFRKRLEGLTAVHSNNDGAAAELKNGKDAVMRREVLSTEHPVVIVHPVTKKK ALYVNPVYTKYIVGFDKEESDYLLNFLYNHIATRQDFSCRVRYEAGTVLVWDQRITNH SQTLDYPVGDRRHAFRLTPLANKPIPAIVEEDDGECARDVQRVQLNLC I203_01079 MVRALRKGIYVPLPTFFDENEDLDLKSFASHVVYTAKAGILPVV CGSMGEAVHLSLDERVELVKTARKALDDNEMKDVPILAGIGAASTRETKVLATRIAEA GADHAILITGGYYAGALIKKPEAIKKFFVDVSEASPIPVMLYNFPGVTGGIDMTSDLV EDIAKSAPNTCGIKLTCGAVGKLTRIVATVNSKHFAETYPRKDPSAPFLVIDGFIDFL LPSMAAGASGTITGVPNFAPLTCMKLWEYCTRSDLHAPEVMREAQDLQALISRADWAA SNANIPGMKRLLQNLFGYGHLPRGPLPEMSVDEAEKLLINHSAILTILETERKLQREG YKFTSNK I203_01080 MQTNSEEYGSRDLVGYGFNPPNPEWPDGAKIAISLVLNYEEGSE HTVVNGDEVSETLAKLGPGVTPHVNQRDVNMESLYEYGSRAGVWRILRLFQEKSVKCT AYAVGQALEMNPEVGQVLKEHGHEFASHGWRWIDRSKWTVEEEEANVRKTIRAIEKAS GAPPKGWYYGMVGSKASPRSRALVAEVFREEGIPLEYWCDDYSDDLPYWIPMPGGQKD QGLLVIPYTLDTNDYKNATYQPFTTSDDFYTYLKDAFDELYREGSKGSPKMLSIGLHC RIVGRPARIAGLRKFIEYAQFVPHNKPSERGHSHDDHARDMQRMKSDVVVLQKRMQEA LRRIGELECASTYQMPVQRTGVVGADKRLSLINNAPSNDTSILNLPDLQPTTFQQSFP PQKAHASTIAGTIQNGTFSSAPIETLRGLIARPPTDNSDTTLSCTPRNREVPSTSAHD DSQRNDPISRGILTTDEAQRLFDIFFEACHELAPCSWITGQRDAEKTREKSSFLFTSI CLIGARIRSTHNWLHPRYPSLVSFFDEHIQLLLLHPSSRDFTCEVVQSLLLSIQWPAV DIDLSSTSSSTSTPKSRFTDSYAWLMIGLATRFAKYIALEEVTHVDFGNSRLDEEKLQ KMRVWLNLISVDRHLTLTAGLPATLVAPPTSLLRAFGSHPKAQSGDLKLAGLAELVAI VHRASISCGDVSLKKLDSITLSVANAELDSWEREWNAIFTDPTFKQQMPFTALRWYRL ALNALPIGVELGDIEKGTMSPTPAALKTGVDAAFRLLWQYSTDAVGERPERLAAMVDG SKYTMNFTALSSFMFAVDSYWITHAYAAVFLVLVYDRGCIDG I203_01081 MTQHTLTQDGRSDSSQHGTDEEKKLPDASLAQGQLDTEKMRHQQ IGVTRIEALWRHFGNNRTVLSLLGIALFLVCCVFSLDSSTTYTYETFGASYYEDHARL LGVISTVETIINAVSKPFIAKLADVTSRQTSYLFLLVLYLVGYIIIAASNSGNAFAAG RVISTIGSAGLDLVTDIIVADLTPLEWRGFFGALTSLPFIWFAWISGNISEPILSNSL SGFRWGFGMFCIIAPACVLPVVVLLYWADHRAKKNGELRVAESPFERRWREEHPGEAK PNSFHHLVFWAGEVDAIGLLLLGFAWTLLLLPFSLAPKAKGKWTNPSMIAMLTIGAIL LIVFFVYEWRYARSPMMTRSLLTNRTFLLCAGIDFIAFLASNMRSLYWSSYVYVITDW SIGNWNRYSNAETVALTVFALLCGLLIRWTHRYKGYQIVGLAVRIVGMGLVVLAGSRD TVNNAVFAFIPVLIGFGSWMTVGVRVASQGSVPHQDLGQVMANLALWTRIGGAIGSAI ASSTWQTHMQANMRKFGEPSQDISSLYASIKTAKTKYAYGSPERMAVVRAYNTTTRPL YIAGACTYGVSLLCTILMPNFYIGKTHNTVEKTDIAGRVVEDDKPVTHAEREAYRKET EQLNGKRTLVQRVKGLFVGSE I203_01082 MSKIALILGCGKGIGTTIADSFHSAGYNVASVSRTPRTFTTQDR VHLIADFANPDSIEPLFDQVENRWGKAPDVVVYNAYANVLTRNDPLSASSKDFIAAFN INTTSPYSAAFIARERNNSVRYIYTGNALDNLIDPNITILGVGKSASAHWIQAAAKAE GLRPAQFYYCDQRKPDGSPCYTDLRGDAQAELYLKLAESKEQKEPNIVFRA I203_01083 MPFFLTPSDYLTLAFPDSQERDLPLANPSGNVVMYSVPVQNEPI QFLHLARCLQHSRGFSLESDALLLSLISIAAGHKSSLIAQQEKKYLDKYPPIRWDVLS LSNTEILSSFSASQTAQRAISDHFSTTSLSICQTAVAFRASGEGLTTEMSNLLLTSCL AIIIAQCLNAGRLWKQAFDTACGLIELRDGPSKMLDEARDISTAEVTRIRLLLENFVV VDVCQCLATGAAPSLMKEPFALWWYDYVSEDADTVHNSYGVDRAVVEVASFSQQTEGN LRVMFGNRVMVNMLKVVVYVDLLKMPHCDEEVQEAAWAAMAAFQEGKELDHGVGLLLA AIIAGSVLQDQSKREVARGVITRLRTTADYAYDVDEAALMLDKLYRLRDDGAVDPSWR LVTNSGILVF I203_01084 MSRPAVVHFVDSFFARTLFQPDDDLAATVLSAELAPDAAINING NHLTAETFIGLITSQFRGAFSASVTSIQDLNVVPTNETKTSGIVGQYSKYETRGKADG KVLKQSATTIVKVDERDGKKLITSIWEAQTVDEE I203_01085 MTTPLIISPTDPIISHPETVLLDASWLYEPDPPTRDACREFLDG PRFPGARFWSLDDVSEPHPEGYALMLPSPERFARFAGEHGINQDSHVVVYDSEGIFSA PRTVWTFKVYGHEKVSLIDGGLPRAKAEGVKLEAGAPQEYKVSSGPKIPADWQPTTYP VPTASARAVVDYNEIAKIASRTATLENETVLIDARPAASYESGHIPTSLLFDFAEALL KDPAGYTQLREPEKLKKYFVERIGVQAANKVFSGESTVVNTCGGGLSAAINWLQLQSL GVESRLYDESWGGYSARPETVKITGPNPL I203_01086 MTIPIKPTVLIIGCGPAGLGAIEQFLRKGFYVVAYEARDAIGGL WSVEGNYDPNPPPCTVSFDKQGHAVALTKLERQGKPAPSPTPMYAGVTANTPRDIMPY RSHPYPEGTVVGNPTYKTIYQYQQRFADKYTDYIRLNRVVTRVRHVPDGHPAVKRWVV EWTPSYTSNSPDIGKAFEEQFDHVVVANGTDSRPFMPYIDGLWNWKGEILHSRWYRTP EAFAGKTVMIVGGGPSSADLVREIGMLKVNKSPSQPKKIYRSFRSKLRYDTELEAGWP EHINNVSPMKILEGPSEHSKTGRITTVLEQVIDDVDVIIFATGFVARFEFFKDTDAPF NGAPLVRYPQVSEAAAKPPLELVGEATLEGGHRVHNLDSHQLFYLPDPTLPFLLLHAE AIPWPFSEMQARVVASYWTGTPLELIPHSNEDNDSHSVLVLGHPGEFEYAEGLLKLIG EGGPEEVDSQGRWGAWPEWKRHIRALID I203_01087 MSETQTEQPVDPVDIRWKMAVACDVCRRRKVRCDGERPCSRCKK GNRECTFSMPRHIARKTQDPGPSKRSRSTPSPTEDVPPPKRPSLQASSSRRASQSSQT SNHPTKDHLLLIDPDDQIVYSGPSSGMPLFARLGLLRTVEISESEQDGNEFSSHSSWA IGITSAVSASRDYFDMCLQRCPQELMNSLIGHHLSTPVFFPLLHAPSFLSEFIAVTER RLKCTPQYGAMLMSILAVTARLVEGGRGLVPAADRAGEAYYEFAQDLLRVSKNKLDIR HILALYHLTLFAEGRNASTGVASSFVAEAIGLAYATGLHRSTDDFRMDPVTMQIRTRL FWALYSLDIALAYSQGRPALIRLSECSIELPAVVDNGMITKTEILPQPEDNPPVIMAA AVKMVEIYIVLEQVLSGINAPFTTISQRFNLGDPPRRRSEKLARAQICLDEIEQSLPP YLVRSSLPSDTSLNLIFLQSSRLGSTLLFIRTLIARQSLIDDFERSPGPLANPSESTL VACHLSVDIVKTYSRLRHFGFLQYCNFTAVSHLTAASHTLIACMLRSPNLAFEHRPDL LSAIDMLILFSSRFPCARTVAQLLVQLSRTLDLSSGDTSGTSSEALATRVLARKMAVS PGDVQAMAKDRELPPSPLRIQTTPEQYDYDWLVRAATGGTPAASFNIDRSLPPLSEAV GEVNGFKQGTPRNLPNVELPWDGQLAEELPPDWGDNFSFLNDGLFGSL I203_01088 MNGLTWVQRRYTQYDPSRIEEFSSASRSVKTGMMSVGITSAWVW AAVFLQTGTLTYLYGVSIPWWFGMGGFVEIAAFAFISSKVKVNAGGASTFLQVAKVRF GVLGHLAYMFAAIVANCVVGSEILIGGAGVISGMTGISQYAGIWLLPTVIVAYVLTGG LRATFVADYLHCCILFTCLLVLVLATYTRGDLIGSPGKLYDLLLAASETTPAVGNAHQ SYLSFRSDGGMYYAVTAATSFFGLSFCDQSYWQRSIASKPAGTSKAFIFAGCFFFSVA FGIGSSMGLAARALESNPAFPTYPNPLSLTEIGAGLAGPYASIAILGKAGPAMYTIIA FMATTSA I203_01089 MMTVNHSVVVAWAIFLAGINTVFAHIGLDLNFLFYFMAVCTSGS VFPIGLLMCWKKLNRLGAITGVLGGLIVGFIGWLVSAVKLEGSINTTTLTASKVILTG SLSALGAGAILSIGISLIRPASFDFELTRAIGSGHLPRQDTPQVGGSSEKSGVVDAPN AVDYEPALAKVEGSGRSAAADAEYAEDVIKLEKSQTRFRLITTGFLLVILVLIPAPLA GTGAVYSKGLLTLQCVAAAAFVFLSAVAVIFWPLVESWGELQVVFGRILRKERADVVR PEQE I203_01090 MRPTSQLYKTAAASVKLSPALKTLVNLPSALPSSIPAPSQQVTT KLFDSIRSNAPSDLSRHAWLTVGTAALLTVNSPEAICQLWDYAGRNKEDAGVMREVGL KCISFNGIPRTINGLGALREHLPEDVKQALDIKAYRQPDESNVTRITKQSRELWDAIY APFHEKLLDKLAASHPDLPVHILNSHYGALLSDPPNLPSPRIGRVLTSVIAITCLRAQ RGVGPQLTSHVFGLKKARLEEEAPREIAGGEWLCSDEGATWVIESTDRVGEVVTGGRV TFGGPGE I203_01091 MSADTASITPFGLARSTVQGKPLSAEELRKTDAFMRASLYLCLG MLYLKQNPLLKEPLKKEDLKTRLLGHWGSDSGQIFTYIHFNRLIKKYGLDGLFISGPG HGAPAVLSQSYLEGVYSEVYPNITEDEEGMRLFFKQFSFPGGIGSHATPETPGSLHEG GELGYSISHAFGTVFDNPDLIAVTMVGDGESETGPLATSWHSTKFLNPITDGAVLPIL HLNGYKINNPTVLSRISHEELEALFIGYGWKPYFVEGSDLETMHQAMAATLEQAVLEI KQYQKQARDSGKAFRPRWPMVILRSPKGWTAPRDVSGHHLEGYWRAHQIPLADVATST EHLALLELWMKSYKPDELFVNGKLIPELKELVPQGNARMSANPVANGGHLRKALRLPD FRKYAFDVVPGVTNAPSMSNMAKWLRDVVAANQTNFRLFGPDETESNKLGAVYEAGKK VWMADYLPEDEDAGNLANAGRVMEILSEHTVEGWLEGYVLSGRHGLLNSYEPFIHIID SMVNQHCKWIEKCLEVEWRAKVSSLNILLTATVWRQDHNGFTHQDPGFLDVVANKSPE VVRIYLPPDGNTLLSVMDHCLESKNYVNVIVADKQDHLQYLNMEEAVAHCTKGLGIWE WASTFPDEEPDLVMASCGDVPTMESLAAVALLRKYLPDLKIRFVNVVDLFKLISHLDH PHGLTDREFTAIFTDDKPIIFNFHSYPWLVHRLTYKRPGHNNIHVRGYKEKGNIDTPL ELAIRNETDRFSLAMDAIDRLPGLKGKGSNTREKLHNEQIVARNMAYENGIDADKYRN WRWEFGEKKEGVLDQVQKGLGIGSGSDAPPAAVVGTSE I203_01092 MSTSTLTNVLRNSKSPYLLQHKDNPVAWQEFTPSTISLAKQLDK PIFLSSGYSACHWCHVLAHESFEDPEVAEIMNKYFVNVKVDREERPDVDRMYMTYLQA TSGGGGWPMSIFMTPTLEPFFAGTYFPKARFKALLLRIGELWDEDREQCEAMGKGAVE QLKEMSGSSNVAESLTSILSTFPATKIYTQLVRLHDTRYGGFSGGGSRSRGPKFPSCS MTLEPLARLTSYELSEEIDREKCRKMAMKMIRGIWKGGIHDWVGGGVARYSVDEKWIV PHFEKMLYDQAQLVTGALDFALLSNSSEGEDVDQVKSLEEDKKLCFDLASDILEYALR DLQSPEGGFWSAEDADSASEKGGKKSEGAFYIWAKGELDEILGKDAELVQSFFGVKDD GNVELQHDMHGEMIGKNILYQAREYAEVAGRFNKTEDEVREIIKSALMKLKERRDTRE RPGLDDKILTSWNGLMLSALAQASIKLPDTYPIKSQCLPVAEKVASFVKQRMWDEDKR ELARSWREGKGPGGQTDDYAFLIRGLLDLYEASGMEEHAMWAIELQKRQDELFWDKQN GGYFASAPDEHVLVRMKDAQDNAEPSAMSVSLHNLSRLSLVASDDYELYEKRAEEAYL SIGEELKQMPRAFGYSACGLMDLEKGYDEVIIIGSPDNPLTQQFLHLVHTIYAPNQVL LLIDPSHPPSALAEHNGVVKSLIEGDPTEVTLRICENGTCGLPIKDVEEAKKVLGVV I203_01093 MESKRSLDDYQLPPGKTAVVVGATTGIGAAVARKLSSVGCSRVI ILGRNEERGMEVINRMKQLSKEKVQADFVKGDISYVRGIKDAFDSIKSALSDVQVDYI VMCQNGPPTGTINLNEDGEGTGFTIQVISRFLLAYLFASHALISQGGKVMLFTNPGLS YDGLDIDDLSLKKVAENGRSRVLLTVDQSKRDSTVLDSVTLVSHLSLWDSMLQKVHYS YSTNDSLNISSTMFIQPHIPVQMLASADLGQGKFWDLKLKPKSPGKWASDRGNREKLW DKLLTMTGSR I203_01094 MKLWPYLENLRTARAHVNAGTDHRSRAVTIPLNPDDWLIDLKPG TNYDDKPDVRMYLRLLGRASMPHLRHEKDQIEAGRSYLAEEMKEERGVFVLPSDLSSS PHNALNNPYYLSPSPNKLQWTQLDSRGWWYFASLTPVMMRARCFMDKQGGRPGEKFVM TRMTNVTNFKEKNPGLKFEYVKTNIRAPLLPGVTPPPPGTDRFTLLISQLYRTNIHPA LRYHFLCVADIEEIEEHMDAILDMYNFFTMSRQSGPTEVDQV I203_01095 MPLFNSRKETSTAAPLTRQPIDLQRLLAVYDDYPNVTRELDDTT NRLEEAEEAARVAYTKRDDAEKDLQDERKGRKADNERAASEKEKAAREAKKEKADAEK AREEKVRNELNPKIKDLETKLKNVTEDKNRLDKELREKKTQMENWITSLEKLSVERKS GYEKERKAVEERWASEEKTRKLDEDILEGLKKALKPVETASISEDKKVDGL I203_01096 MVVNTSDTSPRASVSYIETYPFPAFILTTQPAYAGPSRSRIGWK NDKWDQWSGSENLEELMRPETLQQFEQWISANEDDTVFPLKARQKHLNLIKTIVPREE DLNSLYVITSLPSDFRMVSIHPVAPDPPSLIQSTPLVAPETHNEPTHLSLDSNGSSEK VLPPSFGCKSLLERTDWSKTRLGPREKWSSVIEMMIEVVLRSPTQDALWLGEDFQMIY NDNYAQIVDHPHMWGRSARVKEAWGPVWDSVSHLIDRCLSAGEPCYREDDLLLYRRGP KGYWVEKYHTWSFIPLFDEDGKPLGLFNPTRDTTASVLARRRQETMRDLSEQLLTART TNEFYNGIVEVLEQNPKDVPFLMCYSVEVGSELNHASLHLESSIGIPEGHQAAPTDLD LPISADRFSRASFGLKGNQLSSPTLSAISALSSGTGRMRYSYDKQSWPIAQAISTRQA VVVDDCTDLIKGFPLREWEALPESAIIVPISSESSVETPQAVIIIGLNIASPLDSVYE DWIHVLRAHLTTSLGTVRAAEAEINRQAERDRMERAKTAWFQGAAHDLRSPLTLVAGP LDDVLRTNLSSGQRTTLSLAQRNLARVQRLVNALLDFSRIEAGKLTGRFMPLDLGTFV KDLAMMFKPAVERRKIDYKIQIEPHEGMVFVDPTLLETVVSNLISNALKYTEKGVITI DLRYYLTHADIAIIDTGIGIPAAELSAVTDRFHRATTALSRGTEGTGIGLALSKEIVR LHGGDLLITSQTAEESGGPHGSTFTARIPLIERQVVQDNLARIDFGTYGKAVVDEAMH WISPSDADLESIGSENNESNSTRAEGYLFDRNDVLLLVDDNTDMRHYVKNIFSPYCRV VEAVNGKQALELAQRTPPHLILSDLMMPLMNGQELLTAIRSDPQTRMIPMVLLSAATD DELRLAAFVEGAEDFMLKPFKPKELLARVHLHMQIGKKRAHLEMLYAQRQQEIDVILD YCPSGIVRTDATGKIIYGNDTYRAFTGIPHEVDLNKWADYVDESIRDDLESKWNRVVH GDEREITETWKWSNGTSVSGTFIRLDKIDSGLSGVLGCLSDVSYQEEKLLEAERRRIE AEESKQQQELLVDLTSHEIRTPVSAILQCSSLVKENLMALQGQLRAAGQTGFRATPEL LAEIDEDLEALESIHQCGLVQERIANDVLSLARIQLDMLSLHDFGSDLKAEAKKIVSV FASEAKMKKIQLVLEFGENIDRAGIVSIKTDHVRLHQVVTNLISNAIRFTASSKTRVI TVKYEIGFAPPADDTCAPSSTQETAVALPVEEDTKVWLFVAIKDTGPGLGPTEQAGLF QRFSQGNNMVHTKFGGSGLGLFICKRISELLGGRIELESQLGVGSVFRFFIQARTGQP QKTLEDTISTLKLTAPAPTLSYSPVKSITPGPVNIHFLIVEDNIINQTVLKRQIVKAG FTCDVANNGQEALDYIHDPIGKRVYDVILMDLEMPIMDGLTAVKHIRQSEVQGVLKRQ LVIALTGNARQGQIDQALAAGMDDVIIKPYKLPDLIAKVKGKIPLSKGHASLM I203_01097 MFFNAPLTTVLLALVSVTDFTTAKPAPRCSTNAECIQRGLPIRS PSKRAFHNSNLRARQAPSDALSFDYTGAVATYTIESAGDYLFTVQAGSGGTTNAGDYT SIGGSAAQVDATIFLNANTVLNLVVGAQAGTASSGFGAGGGGGSFVYTTDNDLLIAAG GGGGGETNYPDTHPGADANSDFSTSASAGSTGVAGGTGGNGGSALTEYGAGGGGAGWL SSGSTPGTSSSGTGGSTKPSWTGGTTTTGASTAGGFGGGGGGGSNGGGGGGGYSGGGG GGQYYAPGSGGGGANYVTAAGTDSSVTIGHTGNGVIIITQLTEAP I203_01098 MSTDTPREWVEPSGHRVIRLSDESGSSSLYFNFNAYTPEGDGVV ITTPTGISKVEISTFKLSQVILISERFSLLFVGKKNRRAYYRLNESKALYWVDLDTSE THLIGKEPLGDIQTINCDETYLAGVEVDPTYKSDILDVFSKRDPKTDQFVYVANWPDG TPMTYADAKELRLAQRLEARVPMTLFLIDVNTGQRTDVYRATDWLNHLLFSPTDPNLL MFCHEGPWHQVDRLWTLRLDETPIVPRKIHERTMNMEIAGHEWFSHDGETIWYDLQTP RGEDFWVAGYELKTGKRTHYHLNRNEWSVHFSSSPDNSLFCGDGGDPEMVAHAEDGTW LYLFTPKGIPDVAGLKSPDSASLIVPGYFESKKLVNLKKSDYGLEPNANFTPDGKYLI FRSNLHGALHVYAVEVKPRQ I203_01099 MTGMYMTELAPAKIRGFLLVFYSAWYGIGQLASSLPLKVMNDRQ PYNYLTPIYTEWAMLGLMAIVYICIPESPFWCATAGKHDRGRAVIRRLNGGIEGYDVD YHYDIIKRSVEKEKSYQKEIDGESHGFIQELRNVKEVFIGVNGFRTLIAFWPACVQQI GGLAVLSNYSSYFAQQAGFGDPFLFSLLLALVAIVCTLVEASLIDLIGRRSLFLLGAV TSWVMCMVVGGLGIMSNRSATVNRLVLAFSLFWRLGSTLLDSLGWAYVAETGSSRLRA KTAGIAAAGGVCLGLVTHTSVPYMLNNTGANWGLKPAFFFAGISTPFVVASFFLIPDT SRRTAAELDEMFRKKVKPWRFRSYVSDAQKALNEERERGQQAHATA I203_01100 MATAINNQDAIVPAVDEKPHVDHMEPYDADGKEVDDVITKSPFE DLPWKKTWRVFWKAALMCIFASFPAAADGFQISMTSNIIANQGFIETYGTAIDAKTGG PKLDADILAAWGGIQSACQGFGMLTQHFIADRFGRKVAFYTLWVSLVIAVALESFGRD WRS I203_01101 MSQVAPTLDLTSAPVPPPALPGGIKHSDEEKKDSILDADSGVAN GHTLDSKEGVEPTDEEYKTLRKVPATIHWPAVALCLVEIAERASYYGSKKPFANFVRG ALPKGGNGAGAVAKGAAGANQTAGALCMGSVAASAVTSTFTFLSYVIPILGGIVADNY WGRWRTICVGVAVGVVAHVILVIPAIPSVIASGHAFPPFIISVIILAFAAGFIKPSLA PLMCDQSPVKKPVVRTTKSGKRVIFDPQVTIQRYMLIFYWCINIGSFWMIAESYVQRL VGFWLAYLLPGIIYILVPIVLVVVYKRLYFAPSQGSVLVETLRVWKGLYRRNGFWKAF KGGDQAWNEVKPSYIIEKYGSIDDKKIIWDDRFVDEVRQTLEVCAVSWLLPIYYLADG GIGNMENDMSAAMTLNNIPNDLFGNFNPLCIIVFTPIVGLMYRWFEKIGRPIRPMTRL SIGFALATINMIIDCELGVSPVPLAWQIPLSALPGIGEIFIAVTAYEIAYTRAPARMK SLVVAITLFNQAISAAIGLALSDVIKDPYLIWPYVALAAANFLCIFICPTVFRHLNEP IKEFANIDRQAGKDQPNYHKEAAAARIEEVENGNGGKSRWNIFKSGKA I203_01102 MPSKNRPRPGPISISSPIPRSPDGLLATPTSQHRSYPSPGESGS RSPRTSTRGGKKAKPRVYDEYDLSPTHAYLEQFNSYPSSPDPSHMPIQSPSPMDSPGL NNATEKDPVFGQNQFSSNHYLAPTLHPHGERNRSYPATGKKNRNNCIPTNPTKRKLLF FGVPVILVIIAGIAIGVVVGTQHKPSSDSSSSSGGSSKGSTSNTNGGTGRNSQTTWNQ YIKPSSGGDGDTVTTDLGVQFKYVNKFGGHWAQNPYDPYSVSGRAQSWSPSLLEEWVW GTHIARGVNLGGWLVTEPFIVPALYEQYQTSTPQAVDEYTLSQAMGQDLATKMEEHYK TFITEEDFAAISAAGLNFVRIPLGYWAVETIEGEPYLPKVSWTYFLKAIGWARKYGIR IFADFHALPGSQNGWNHSGKSGSVNWMYGVMGIANAQRSLETIRTITEFISQDGIKEV VPMLGLVNEVMAKTVGTDVMQKFYYQAYKTIRDVTGYGTGNGPIIFLHEGFLGVAAWE GFLNGADRVGLDQHPYLAFGEINTAPHSEQAKTACRWGGGTNDSMTNFGLIMGGEWSN AINDCGLWLNGVGSTPSFSLAGNNCDEYDEWMNWTDDFKKGVLSYTMANMDALQNFFF WTWKIGNSSVKGYQTSPQWHYRLGWEQGWMPKDPRSAGGYCKTLGIGGNQFAGTYPAS ATGSFANGTPTIAATQLASHTLWPPPTLGPSFAVNQVSLLPTLTQTGSIIVLPTPSHP SNATNIGDGWANAQDTVGAWTRVEGCDYPDEYDAVNATVPAAVCTGASARKRDGMLSI PTPL I203_01103 MSIPGTHDTASWDYTLLKQLSYLKYTNIIYPSALYRCGTQSIFA QLAAGNRAFDLRVGFAPNGKDLVFFHSEALLDINARFEDVIQGFYRFLEENPTESLLI SVKDENATWGTTQALQQSLYTTLTSSVAQSYVNPSTSISSTALSAVRGKMTILRRFAL DQLSSSQRSLGIDLTNGWADNNADFSLTTPSGDQVFIEDLYEPKADKVGLTPNVNLKL NATTTHISAASSKSKGNGLYMTFASSEQAYQLLFPQVMAQGLIVPGVNQGLKKWLTTG QGKGLKKKGIIFTDFASETSGLVEAIIA I203_01104 MQFKYRPSEHRGGADHGWLKTFHTFSFASYYDPRYENFGALRVI NEDRVAPGTGFPTHPHREAEIFSYIISGQLSHKDTMGNVETMVRGDIQMTSGGTGIAH SEYNDHPSEGVHFLQIWALPNRRGLKPKYFTRHFTDEQKKDKIAHLVAPVGYEGVEEV RECSGLTPIHSPVHFFSSLISPSISLTHKLLPSLDGKPNKLFYVQLVQSSGYNTESAP KDGKGPLIKVTGGGQEATLGEGDGVFITGGKVGEGISIENVGKGVGEVVLFEMDEE I203_01105 MQAHRNGPTPPPLPRNPSRSMSTSTVSTVQTNYTKESGLMPPSP IGGPPLASPVSLNGRQPSYSNGPGGDELDKLGYAYSLRVAVLHHHLTHPPPPTPTHRA SVASAFSLHHTPPPPVPPMPSPPLQGTSPNGSRLTFSSFSPPGSGHGPTTPEMGGSPV FPNTTTPSPGPPGRRKSSGFGLSLGRNKSDDGQSVKLPKEFLLEFWGILANEDGDAIW KSTTANFLGLIKKGTKTPSGLNLREVPTLLEAFTQSIPPSTGPGSSPAHIHQSHLLQL LYNSLPRSSFFSPLAKPQTEKDRDFLFRLRAEVQSYMLAPSPNPELDSNSSALSMSPT TPTSPTQPPLNVRRKSSGIGLGRISTHSQDSIKRKPSPIWDGDVNEMVDTVGQVWGVR KDILDRDVIDIKRSGVLEQMYMSDLKRAMTAISSQPAPLTPSQKNRQAQLSQSISNLL KDFPDLATPGSPNDFSTNISAPLSPTSPRSFSGESFFIPPRSIEVFGRLASKSVEVVG HTTKSRDLLERCRDIWGIASRREKEKELEVVLKRWGDSIGTKEEVGYAKSISESVKLM SYGIRPGDPLSPILSELLSNLLSLLTTSLPTIFPTTSNPPPSPPPSLLVMFNAAPELF EAQPYAQKIIDNASDELKGAAIGEYVQAVEYLTGGVGQQDDGLRTVGSNGKDKLVEGF ENVARWILKEVTDVKRVWGKGLGSTLNPSAIIISRQLPLFLAELQVIDKPRGVASDIF SLYETTGKLLDLWDDLCPNQEHGFELDAFFEPHVMAWLKDTETSQVHDWVSRAVGMDS WVPEGENKHSQSVIDLFEFIRSSAQVILHELPLSEYKRAVFLIDYSKTVSLAVSTYAT TVLALFQHDLNPAKVSTPTSEIQNKLGSKAGNWLAKGQQAVKNLEKKKVDGFAVPPAA CVKLTDMSAAKQSLEDLMYAMEAEDTARIVKSVKSNTPNEKSTRHVFTVTVLRGENLL GRGGSKPADGFVVVTDKETGERLIKTRTILGAEDPRWEQSFEISVGSIKVLELTAFDR QLVGKHDLIGGGSFKLDSRLFAEQPIRDIVLPLTTSNRGGIVHIRISMEGGEKNDVAY HLSIASRALDRSQRDMSRELVDKMSEYIRSQLSSTTLGNLTKPLKDKKKAKTVLTDQD IENSLGGLFDYLNENFSVFSVTLNLPTRLSLMLSLWRRIIDILISLLVPPLSDKAYHG PTLGSSEVDVVFKWLGMLKSFFNASEGGIEHGVPIQRLQSGNYKDLIMLGQYLDLPTP TLKDRTSAAVKVASKHTGITTTGGSTGLTGGFRSMRIDSVSSTSQEVEETSNENERMA EILLRIARTRPDMGEFLSHEIGLLNRGRVEKQAGVM I203_01106 MAPSLTLTDRTPLANQVAGHDGVMSDASGSLVIKPALAREIAFY QTLNSSGREDPIRRLKPFVPKFLGTLRLEGQLSSGGDVERLEGKDEVPESVVLENLSY AFTHPSILDVKLGTVLYDPDASEMKKQRMDKQARETTTHELGIRFTGCTTWHSPSQSY IVTPKSFGKTITAEELPEGMVRFFPTSSDSIKSLVQTTTDKSASAPTTISADPSTGVP PTPITASNSSSAPAEVQTFKDHSIPSTSLVKVLEIIIEEIDRLVSVLENLEIRFVGGS LLIVYEGDPSKLEEALDRYQLNKLKSTTEEGVDKDRSAFSDDGSIPSDLDSDEESDED DEEEDEESRASRRCPPVRVKLIDFAHTWLAQGEGPDEGVLKGLSTLRGLLQGRQKEIE GGI I203_01107 MPLPFLSSKSPTTSRGGRVTNDMSTTKRSRRMRIFLSYAPDWAL TIVLWGVFYLLDKVNGYRRLFDITDTSLAHPHADPERVPVWLLGVLCGAVPAAIIIFT AAIRRTFWDAQSGLLGLILGLGLVATFTNIVKITVGRPRPDFFARCILPDDLTANPIH GLTSWTVCTQTDDSQLQEGFRSFPSGHSSFAWSGMWYLILYLAAKMRINNRTGYTYKS WLLLAPLSCASLITISRTMDYRHHATDVIAGSLIGIAGAWYSYRQYYPPISSPQSYKP YSPRIPKDEEIPLHHRPNRRSSAEHMLGSSNGIIEGGSHHRTSGSSQGTTNGQLLATE GRQGWSTVDIGDRGAYEVGNGNGGGRDNDYGEGKETVQRPVERTV I203_01108 MLSSSHNPSSPTPSINPSKPARSDRWKLLSYNLSSTIPYSPFFH ITSIIVAFLALVIIALWAAATAGFEPYTVFDTDFNRTDDRHWYTPLLPSSVTEGQKGK LCDPVIFNAGSTIQTNGMYKRLSYTISEYDIHAGLKDDGAPAYTSVAYRGSRLDICVI STISFIVDVRSGLVTTTALITCPDPWPATLSTTYTLTPGVTDTGAYESAPWLGQLAID LAYRVGKLFDDPTYAKENTPGSVRNGTGRWSRMSGDLNGWGSSSPNSTLLTEPVFQIR SLLLSNPEDIASEDTSSISGESRGIPPVIYSDMIVPLSNFISALISTIHSDLGILANN VFLNETALHRLIRETDEYVNTSISLRDYDLYYAHMMLHPNSGTIIDPWVTNRTYSALT VADRAIATAYPCHITRSKGLASWLVSVVGLTFSIWGGLWQLYMLIVSYILSKRENSNF SSANVISTSLTSKQVVASKESIPLLP I203_01109 MLGLSTLYGVAALLAGVSAGDPFFIVQHGTATFTTRVDPIINPR TISTHVHHVVGSSSFKNEHTYENNRDGKCTTANVIEDKSNYWAPQLYHKYDNGTFELV RMNRVNTYYLMRRSGPDEEVHEFPEEFKMLAGSSKRSTYDDNDYTNKAISYTCLDYSG PGKPDTHVVLKIYELTSSSRTAEGSKHVAYPVSGGHDSGGPCPATYPQRIMSLFYEFH FSDNYEYKAGARVWANGDDVGYSSHGDFSNGWPTGLFPKIFEAGSSCDVEFSIEKCPV LQPIFTGTGGGTCTPDDPSVIIDEEVGENGLLTALPGNNPLWSANGAPATNNTNASSS SSVNSTTPSTSASAASSATSTLATTSSATLLVTPIGAIQSSAPSSASSSSVIGEINLS PTPTEGASTGGQCKAKKRRLSPQF I203_01110 MLSSALLSLAVLVLSTNHVNAWTDPFFVIQHGPDIITSRIDPVI SPGGISSHVHSIVGSSSFKPTYTYENSIAGKCTTANIAIDHSNYWIPQLYRKRDDGKF DLVKMNRANTYYLMRRGSQNEQVYDFPLGFRMVAGNPSRTTYNASNYADKAISYVCLG VNGAPETGEFPKQSCPNDLRAQVFFPNCWDGVNNWLPNSAHVHYPLSQGYNSGGPCPA SHPKRILSVFYEFHFTDRFTYKDGARVWATGDDVGYSLHGDFTMGWPKGFLPKVFPYG ETCAVDFSLENCPPLKPLMTTNGSACRPDEGFQIVNEDIGTNNPIAKLPGNNPVWGRS GAKKPDPNWKETATFTTSTIPIPAGWTKLGCLAEPKGSRALTGASMTDQSMTPTKCIN FCSSKGFSLAGVEWSVECYCGNTTTKTTLSAINYNLACNMPCNGLDYSAGYCGGSSLL TIYQKSGNSVSKAATSSSSTFKASSTSKASSTSKATSTAAKATSTARTSSAISKAPTS SAKSTIQAKSTSSTAKNSSATSTSTAKLAGHKRREVH I203_01111 MSYPNAPPGPKHQPSSYSSGSSDPFNTQQLPYDNAHHAGGYTHP DAVNIPGAGVAPPGQGGQYAPVFDTEAEMRGRMEGGGRAVETWASDSGYSGNDGYYNS SEYHGQQGYVPSRASTPTFTEGSRDGHRPREPYPAWTQEANIPLSKEEIEDVLIDLAN KFGFQKDSSRNVYDFLMIQLDSRASRMSPNQALLTLHADYIGGEHANYRKWYFASQLD LDDAIGAVQNPGLSRVRSVARRGKGPKGKQAPATAQEKSLDSATNRWRTAMNNMSQYD RLRQVALYLLCWGEAAQVRFMPECLCFIFKCADDYYRSPECQNRVEAVPEGLYLRAVV KPIYKFLRDQGYEVVDGRFLKRENDHDKTIGYDDVNQLFWYPEGVSRIVLNDKTRLVD IPPAQRFMKFDRIDWNKVFFKTYLEKRSFFHLLVNFNRIWVLHISVFWFYTAYNAPSI YAQKGSTKATTPMAWSVTALGGAVASIIMIAATLAEFSYIPTTWNNTSHLTRRLIFLL IILGITGAPTIYIAFWNQTGQVSLILGIVQFFVSVVATAAFATLPSGRMFGDRVAGKS RKYLANQTFTASYPKLPRNNRFASFLLWFLIFGCKFAESYFFLTLSFRDPIKVMVGMK VQNCHDKYLGTGLCTNQPAFALTVMFVMDLTLFFLDTFLWYVIWNTVFSIARSFAIGM SIWTPWADIFARLPKRIYAKILATADMEVKYKPKVLVSQVWNAVIISMYREHLLSIEH VQKLLYHQVQSDQPGKRTLRAPAFFISQGDKGVKTEFFPKGSEAERRISFFAQSLTTA IPEPIPVEAMPTFTVLVPHYSEKILLSLREIIREEDQNTRVTLLEYLKQLHPIEWDNF VRDTKILAEESNMFNGGNPFGNDEKAEAKKADDIPFYTIGFKSAAPEYTLRTRIWASL RAQTLYRTVSGFMNYSKAIKLLYRVENPEVVQLFGGNTDQLERELERMARRKFKFVVS MQRYSKFNKEEHENAEFLLRAYPDLQIAYLDEEPPRKDGGESRIFSALVDGHSEILPN GRRRPKFRIELPGNPILGDGKSDNQNHAIVFYRGEYLQLIDANQDNYLEECLKIRNVL GEFEEFRVSNQSPYAQNGHQQFEKFPVAILGAREYIFSENIGILGDIAAGKEQTFGTL AARSLSYIGGKLHYGHPDFLNAIYMNTRGGVSKAQKGLHLNEDIYAGMMAFGRGGRIK HSEYYQCGKGRDLGFGTILNFQTKIGTGMGEQMLSREYYYLGTQLPIDRFLTFYYGHP GFHINNILVMCSVQVFMLALVFLGTLNKQLVVCKYSAAGDILPGQSGCYNLQPVFRWI KRCIISIFIVFWVAFIPLFVQELTERGAGRAILRLCKHFLSLSPVFEVFSTQIYMHSI LNNLTFGGARYIATGRGFATTRISFSILYSRFAGPSIYLGLRTLVLLLYITLSVFVPH LIYFWITVVGLCVAPFLFNPHQFSYSDFIIDYREFLRWMSRGNSRTHANSWVGYCRLS RTRITGFKRKRLGLPSEKLSSDTPRAPWKAIVVGEIIGPICVAILFVICYLFVKSFTV DGRTQPGLLRIAIIALGPIVWNMAFLITLFLISVFLGPCLNSYTNQFGATMAAIAHFG AVVGMVAFFEFLWFLELWDASHAVLGIIAVISVQRCIFKILIAVFLSREFKHDETNRA WWTGVWFNRGLGSHALSQPAREFVVKTIEMGLYSADFIACHLLLFLLTPPMLIPYFDR LHATMLFWLAPSQQIRPPIYSFRQRSQRRKIVFTYSIVYVLIQAIFVALIVLPLLFKG VIGLTPSDVPFGAVI I203_01112 MALVAALNDWNLPSTSAQGERQYEQLAHALRVAGDYLYPRDVTS SRSAVKPIETPDVTTAFQIALRDGRGSSLYSEFLDAVETRFYLIIRDVQRYIDHLTLV EEEDEQVGPVMIEMLERLAVWQRAWGVPLRAFHDPKLIGTFTQVFHSLLHSTLSPLFP KYLLSFLSESLASLPSHLLDPPSMPQLFQSVPVNYHKVPPPSPHLSRLGIFPRYSGTL SKVAYKEIEKIAREEAEKGWDTRRLTRARQRVGDGVANWLSGMFEGNESAQAALRPMF SRFDYYLCKCFFDIRTDELFDIIVDFPDSMAALEDLKECLFKVDQRLELVNKLNAANL KRLLHPGAETKLVLSIYISTIRSLRILDPQGVLLHKVATPIRKHLRERSDTTKCIVSA LVEGEELGDENENAGLIRDGAEDGMEIEDWSDPKWDPEPVDAAPEFRSGKAGDIVSTL VSIYQTREVIVKELQVLLATRLLAVRDYDAVKEIRIIELLKLRFGEQALQICDVMLKD MADSKRIDDHVQGDIQSTVHPLVISKMFWPNVPGTSLCLPPKLFRAQQEYESAFHHFK PDKHLRFLQSLGTVHMSIELEDRVVEVEANPIQASIIELFEARDILTVGEIKEKLQII EKLIKDGLGWWKEKGVVREEGDGWKLLEIAEEEE I203_01113 MKFSTTPILLTSLALSSTATFGAPLPPVPNSNFNGNAGLDVGTN SQLRSPNPNSGTDEYKDSPRPGGGGDASPLLGIGVNTLGLNVDLANYRNTNRAVDTNG GLGLDVNLGGRGAGGLIGIGGMGRPNKRQLPSIPSPSNPLIPSNADLPIPTSVPMVPQ AAKSGGDITGLPQSVTIQAFGQSGNTPGGVTGQATGLLGGLPLVGGVLGGGGGNPIQG LTGTVGGLTNGLPLQGLTDTLGGLTNRLPLQGLTGIIGGLTNGSPIQGLVGGLTSVSP LQGITGAVGGLTNGLPLQGITGTVNGLTNGLPIQGLTDTVGSLTGGLPLQGLTGTING LTAGLPFQRVTSTVGGFTKGLPVQDLTSTVQGLTSNGPLSGVAQPINGLVGSLDTSNP TGVVNDLSQDVSGTAAGSTLGNIVQSLGSNTYLLSTGQILKLASDVSTTTGTSPATAP VLNGLRTIEIEGKMYIINPLGQLVGTLTSPSSTVGLYSDPSSSTSYDGSVNQQDNGDD NDTDGWNGPYPSVLPLASQPDAIDLVAQSMKGYEPPKPYLSSSTSTPSAVDGATQLGT DIDTESLSQVIPQPDRTWGQMTGSRLTTSLPIPIPTDPITAIATATPTLASTITSTAT ATLTPAEGWGEWVTGVPTALPTAYGNSNGDWNDGAVGSEMVPQTSSGLA I203_01114 MRITFPNPLGPPITVDTAYLDSLRGNGLISSLQRRPHFKLLAFI LLVALLFTVHPRPPFPPSYAVEWRQEASLPQIKNDIGVGEGKNGRYVKFDVPRGTGFN HQLQRVLLQHHLAVLGNRSLAFEPYVEDDTYLPFVVSHWPWRSARIPLSAYISTVISG FERLYKSPRAVPAHYYRNVCPSYKEQLHNIRNDLHPDGDLELVADGQSRIHQIQVLLA GSEQSCVRITGEPFDDDFFDSTASLDLYDTFVKSPVMKHFTFSPTVLAIINRALHSLA PESSPYDLSAVSHSTSTEPHKTTVWKHILAMHLRRSKNWEQVCNEKGERAAPFVSFNK LPKLPGNENVPPPSSMVEATRMGLYRAKCLPATLDIIARARRMRKNHPLLRSVYILTD ADDDWINEIRMWLQSEGWDNVWIGKYDIYPEWQDKEVGVAVDMEIARRAGVFVGNGFS TTSSNIVLLRSRDGIHPDLTQFW I203_01115 MSYSTPPFKPTIPLEPFTISIPDKDIEELKTLIKLSRLPKETYE NIDAEEKGFGVSRKWLEDTRKYWLEEYDWRKQESRINAQPAFKATVKNSDGLEYKIHF AALFSKRKDAIPIILSHGWPGAYIEFLGLMEVIRAKYSEDELPYHLIFPSTPGYLFSS STPNDREFAIKDVGYLYDQVLQGLGLGDVYIAQGGDVGSHITNELGRSHEGCKAIHLN MRIIKPAPPGTPEHPQTWGVPEFLEWMQKLGYNLEHATRPSTIGLVLGSNPISLLCWI GEKFVEWSDETPSLDTILTFISLYWFTDTFPSCIFSYRYGFGSKRNVHTADKEYQHKP TGYSYFPKEISPIPVHWVKTAHNLVWSREHKAGGHFAALEGPEILLDDVEDFIKQIWP EVSK I203_01116 MITKPEVKMNGHQPQAREIVIIGAGLIGVSTAYYLSKHLDLHPE SKITLVEEENVGTGSSGYASGLLIKEEGYEMSMTGYDLYRSLAKDFGGDLKWGYKAID LYDANLDVSSSSSFTTSHSFLPPSTSIHHRSLPTAICQPSDFTRHLCGLFLTHPGASL MIARATSLTFFPPSSNGKPKERDEGSVGGCGKARRRINGINIVQIINGVEEIVHLKAD TIIVSTGIKTPMLLKTLLGEKTQTMTKEIGQKEWKGLVIKPKERPKPCAVRISQDEAE GEAMVVVRDDGTVYISRPLSSPSSSNNKDKSNLITYVESLSPKFASSNGTLILSQSKW SSPTTPDDQPLIEDLSLIPGGIDGLWVGSGGNTTQGPAIGLNLASRILDGNT I203_01117 MTSSPINAIPISSPQTPTAYSPRERSDTILTTSSSDSFPHLQTP QTSSILGVLNGHQAGSYKPSAVVESATDALTISRHRQNSYGFGSALISSSPETIPQDG HINPSFVEEHQSLFTNGTSPQLEPSIQLSDHSPNNVQPISTLSPTSSTNVDLSEPDAS RLSISTDGTVEHSDATTASRRSKLKAVVRRKLERSKSSLRSLRRSKEDDGDSTLSSPS SLLDANGITPSSSINNTVAIRPRKSRLKSLLTISRAPSSTSVRSTHVTSASHSPTFSK QAQPSHQLPHHIGHHEITVRDFAHPPSAVFPASARTRHFSIDGVAQLDQFTTPAQAIC KYVSNSTEVPTHQSNIANDSSYTHPVRITRTRATSMPLLDTTAADLMGDESGIGIEEK TNYFDTVLPRELRLLVMKTLVEVYKAEGGIKRWSGETGGRRELIKLSRVSKSWQQLCL DGQLWSSLELSPYAHVLHPNTLKRILSSSLPFITIMDLRGLNRLKGSALIPSLSPFDW TPSPRSDSLTVWLPNLRVLDLRGANRLSPSDICSIILGSPGLKFINLKAVQACSSEVI RTIARSTRQLEYLDISRCKDLTLGDIIFLVNAMDDVQASKLKALRFGGLKSYGRHASD LLPLLAKTLISLEVLDAQGCTHLFDDGFEKFALAHQEVGRKSSITHLNLSGCTALKGE FLVHLAGYLPQLRFLELANLSEMFKDNHDDSELDLVKFLKTIPKIEKIDLDQTGTHGG ITDRVLDVLSRYRSDETDETVGKNLKELRIGGSKDVTSEGLCRLIRSCGNLGVLEIDN TPADNSVLRTFLKYHPKGNISVIDCRSITTSELDKLLKNTRTRVGYEGWAFTPFEYQT KELSSEGGKTTVKSFQGWRNTTIPRDWREIRNDLDKVQHANDEGVIEKDKEKEKKERK NSWWSSSPVSTSSGGTAVTGMMDLDGVWEGEGDGEGGGRGCVIM I203_01118 MTDTSKGSTDINTGSWSMCFSVTKGCSLSEQGYTDNLPLELEGS SGKQGIGYDRYELFDGMFIRIGDIASFRYTQDGQPDIEGIVPIIERLEEASRRFSIGE NEILVTMKPHPFDVQSSLPDYQPKGTILPFSIGVRDTRADDETTGTENAEEEEGSNCT IL I203_01119 MFRRSSHQASQSGGKKSTCHTTDSILDTKSTSPANTSGNAYMMK LEVTKLTSTVKPRIAKLLILFTPILDRLQRMEHLEKDKDSNASTEVGTPDENASSSSS STRAVPTIRGTATDVPTYIVLPEGSQVSLKVWKEPYSSDHMTFESRVIPQPEDQKKEE KHKEVESGYFGRLFSPRLAPSQVQTPSISFEDPETEL I203_01120 MRSRPVFLRALKLQQQPILRPRVSTRSATLPLSLRPLSTSSRLL EEAKQQSKENQKKRPPPEDNAPPQSPWKVFTQVLKEEIEKNKGWQDNVKQLQGDVDKM ADSAAMKRARDLYEKTRITNLIKNNSRIQAAVGDLQKAGISVHDAVQHALRDSEVLKA ISAATSRFVSAATSATQPIRDTKTYQVIAESIEDAFDDTTGMSSRYGGYEEKEARRKK RELRAIRAAKAGKKVVKKVEENPEAGEALVLSDRPEPVSRFGFIKESPTYQRWLETYY ESDSPFISALRTVGSKVGSLFEENETAQVIKAMKEIDPSFRMDSWTGELREYIVPEVV DAYLSADRESLKQWCGEATFNVLWATMGQYIKQGLVSDSKILDIKHVDVSSGKMLENN VPVFVITFATQEQLLFRSAKTGEVIVGSERDVEQCRYAMVITRVEKELENELTGGWKV VEMARRGAKGGL I203_01121 MSEDAERKAKADRAKKLLAQRQKKKKAEAAGASPAATPGSPAST LADHANVPSARTSLSLDDTARTEVLGDVKPGDNDVKAERDKSTEEGKDGKVRPEDGDQ DKVDKVNQEEEKPAAEQEPSEEKGSKKKKRKAKKSKDQAQEEEEDQEEKKAEEDEEDT PSAAQPTPEGSTNAIQPEITSTRPTETSPAPAAQTGTLPPKSPTDPTSELRETISLLI LERSDLQNQLASLQTQLTAAKGDSQLLAEGRTLISKLEEEKSSLESRVGEMQVEVGKV SSLEEELQRISKEVDGLRNERDELSQEKNRLESEMKDLSEKEKDRIEELEKSLERERA RESGLENEVGRLRQSNSELTTNLEKNTNDLERLKSSSTLSNKELEDLRSAHKILEEQH HSLSSEHDTLKSTHDELSTTHQTLQSTHGDTSKTIKTLEESLSSMKSELESTKSKLTT ATKKGETSEKKKFALQKENDELVRQLQEVRDKVVEAMEEKATMASAVESWETKSKNWE KNKSELEARVEEGKELHDQVTSLAEENRSKDEKIVELESKLSEIQTESKGLQDSLAER DQTIAKNELSISEKDKIVEKQTGTIEFNESTLEKAQSDIKRLEGEVESLKEELKSTKN SLETSQSQKVESSSTNNVEQGDTTSSASVSRELEISSLTSKIRQLENQLYDSENDKHN LQKNLTDLNLDYQKIKKEYDNLSTSSPRGKGGYFLSPKDEYPSSPRRIPSSSGNAGPS AVDAILPASVRHKRQVSLNALKARMDYQIVQTPSKLSTFNEDSQENDIDGVQQKQTQK QIRKKHQQFGDEIMFCCPACEGDLITL I203_01122 MIPPIRIPSSTASTTRFTCSRSRQFASSQIPTPKSQPRSRGSKW AKRGAVVGVVVSGLWVYDHQFNASAVTRSLRTAYIGLLCTLDYKINFSPSKADQIEAL HERVANRLKWVIDTNQGLYLKLGQALGLQAALLPKPYREAFGHVFDRAPAVPYDEVIG VFQKDLSLNPLDVFETFSEEPLASASIAQVHKATLKPRLGGEGKEGRVVAVKVQKPAI EKQMEWDLFSYRSLMWMCEKLFDMPSNAKYVSSQMRLETSFTNEANNARRCAELLAQT PELKDDVYVPRVYGEAEGCKESDRIMVMEWVDGCRLNDKKQLEKWNLDLRETMDLAIS TMSAMTFSWGFIHCDPHPGNILVRPHPTKKGKPQIILIDHGLYISLPREFREDYCTLW RSLFVLDVPKIENIARKWGIALDANMFASAILLRPFQVNKHKNKKQEKIPEKSQYEQQ VELKARMKKMLENEQLIPRELIFLTRCQRMMQANNQLLGSPSSRVNLAARWASIGYTN SLTGSRSLNSVGLSTWLKDRLDAFVFRLTLSIVDLVFWFTLQKQRFLPKEKGGWEDKL QKQFEAMAKEEFGIEIDDTVFLG I203_01124 MTNNNEETQVGTSEGSTSTTTRDSDRPYRSKYLDPESPSVSVSD SEKHDTFDSSFPTTATRPRAASRPKIPHLGTGSTTQNYSHGDKLSRMASGLSRMSSHQ ANELEEDLRRHISIHGKRRHSEGILEDRAVVDLGNGEEEVIIVDWIPDDPDNPFNWAP ARKYAILLTCVFITFTGAASLVSVGILATWGTDYFDVSREVFLLQLTLPMMAIAFTPM VLAPLSEVIGRNMIYQITSILNLLLFIPQCLSKNHDGVLAARFLQGMTQSVGNSMVGG TVADMFYPKQRGIAMGVFSVMIFCAQGSGIPAIGWIGQNLGMRWSYIIQTVAAALNVI LNIIVLRETRADVLLSRRAKKLTKKTGKKHICAADLQKSSFLSVMRISLIRPFQYLLT EPIVSALSAWIGFAWSCVFMFGSSVILVFEAYGFNPAQAASFEVTLAIAAFFGFACQF HQDYLYRRAAKKNGGKAPPEARLYWAAWGGLMFPIFCFIYAWTGRAGVVHWAVPAVCL VGCYTGIFMMYTGVFTYLADAYEVYSSSAQASQSFVRNLFSGLFPLFSRQMYIGMGYQ YASTLVAAVALVLAAAPFLLILYGKKLRKRSKVCSTLYKDD I203_01125 MIPQLLFALLLFSVGVQAQPPQDDSTVYGTFVGCATTSFIPSDG VFTGDAFTQAEDWAVLNCYYNPDGEYMWAYFVYGTGQCLCSNTYYPSNQLAVMLEQYV NTLRCADDDYTGIVSDPEVCLHNCQDYSYASTQLQGDAEGASMNCWCGSQTTYLGANG YEVPCDYNTYVIFQHPVGTVVNSVYAKRQLKERLIRIKNRKRALCPGKMRACKVQGVS DSFECIDTTQELESCGGCSVGEFNVHNTTLGIDCTALPGVPRGAITCSNSKCSAFACK KGWTLATDGTCVRS I203_01126 MRFFLLTILATLSLVLALPTHPSERETSLLGRFTSLLFGRRSIT LNGYETEDEHSPPLPRDHDQNENRVKRRYKTSSRYPRCKKKTPRTGFAHYSGWKLVGN DLSGALPVSPRDNCINLCDNYGDACGAIYFDDKSYRCFLKGVKTESWEFVETNNEGDA VDLVGGCAAWSDLVPENMDDICCRD I203_01127 MATLRFTSAGPGTNPVFVGCINDYTPGDYIATFDQDSIAGSENG PYTYAGFIPEYNAGGIPGGRKRQVVSLCGCSNEAPYPQDYGNTATEDGTASCYSNQAA VYTLDSTYTFETCVPSGDLYDTSNGLPTGAYSPGTVKTPEDCLFACRPYELAAFQPDP MTNDYGCYCGPESSFDITTTGTCQPNAYFVYTHTANTVVSSQFAKRQLKERLLQAKRE KQALCPKPLTACRVNGVADSFECLDTTSELESCGGCANGNFNANETSFGVDCTQLIGV APGAVTCTQGQCKSFKCLPNFTLAANGTCIAA I203_01128 MFTLLAPAALVALASVKTVRAVYLNLYVGCSNYYPDNTVFIDEM ITSNEECAAACYSAEEGPYMYSYYQNGADVRKRQVQSTCRCSNEAVPASFYVESTDTA GTCQDYNWAVYITATTYNFDGCYTAIGTQEGAPEGVYPAPDQPSPEDCLQVCASYQVA AFQPGTPMSETAYTCTCGPTSAFTYTGQNTCGTGDYFVYDHKATGGISSDFAKRQVKE ALIRARNGKKRALCPNGLTACKVSQYQSDSFECIDTTSELESCGGCIHGEFNNANAPL GEDCAALPGLARGGVTCNAGQCQAFARKKGWTLTTEDICVEA I203_01129 MPYLPPNSDQVQPIAIPISKTHQLIIDNIPESDSSDPRTSEDIH TPKPDNHPDAITIPTKESSVDSITSGMTSTSLYSNIPTPPLDHEQDKLVDASNNTSSP AASSIFSLPEQEQDQGNINVKTSRSDSSLRRRQNEINEVMEEEEEEGKKVKGEDVVQS DTDTNSNTNSKTDLSSMVDREEDRNAFAVYRQGLYAYTHTLWIQAKLSSSRAERRRQS VSSQSQFGSKQSGMEKMAAKKALAKRLNG I203_01130 MSLITLTSLSGLLSLLSTVTADPFFVVQHGNAVVTSRRDPIISP GGVSGHVHSIVGSSSFKPAYEYQNSINGKCTSANVHVDKSNYWVPQLYRKLGGGQLEL VKMNRVNTSGPTEQMYEFPKGMKMLAGNPFRNTFDANDPAQAAVEYVCLGTDDTPMNA FPERSCPDDLRAQVTFPNCWDGQNPWLEGSKHVSYPASGRFDAGGPCPSTHPYRIPTL FFEYHFNDKYDYTPGARVWAQGDDIGYGFHGDFTMGWPEGLFTEIVHAGESCAVLFDV GKCPPLAQYFTGVGGGTCQPDDPSVVVNEDTGLTGPVAKLPGDNPIWNGGAAPNKSGS SSGSRGVATSANSAAGNDPVPASGSSSAVGAAASSPAAAVAVPPTGSSTTPAALASSA PVSAPAPAATTSSKHGGWGGWGGKRRLGRSH I203_01131 MFTSLDMSSIIKAFVQRITMSTKFNSIRPTQFGSEDILREHDGR LYNGVQGDYALPADQEEIQRLNSQHKALTMASTITKRPIIIKYRITGTRIQSSLLAQS SPRVLDVGCGTGIWSIQVGEKLPQVSVTGVDLVSIHPPTYPSNVNFEKLDILQEFPDG WEGSFDLVHARYLIAGIRDFSLLLSRLTKLLKPNGHLVVVEPQARFRTVDNDIKEVCP MTSRISAVVCDAMLKLGIDPIPGKKVSTYLQENLNLEYDEVETKTLDMPLSPWSDDPR LHQIGQAHLLNSLSLPGAFRRLTVGSGIITEKWYDELTKGCQEEMIKAEGKLVLPVWL IWAKKK I203_01132 MILIDKILCLRSELMDFWFMSLTTISRNEIPINSEKYGEKRRYN TTQEHYVLPVDQQEINRLNTQHRAVTSIFEGLLPNAIRSIYRADQGKGKRVLDVGCGT GQWLIDLVEGYPDVEEVIGIDITLLYPPSFPNKIQFISHNVLEPFPEEWIGRFDLVQS RFLITGIKDFPLLLERLSTLLRPGGHLVVVEPEIKCRSTIGRELKEVAPAMASFGELS YEAMQIFGIEVDATQNIPNYLENCGNFEDIQKEMREIPMSDWSDDPKLKEIGFIQSSN SLALPDTIKRLIISSGLIDETDFEIAKKEYQDEVRIGKGKAVLPIWSTWARKK I203_01133 MQGLVHYSGDSSPEPEQSSNLPGPSRLRDQPTTASPSFFPKTKT RPPSGIVLTPRSPKRPRRSSPGVSTTSLSGNSTPKGKQPSTPVPENLTHTAQSHLPSS RKISTRWGADFEGLSDDEIFKIVTMPDDIEGVDDWGIPPEVDPKEADETLRTKVEHFL KLKYERGEHINTRLLSSPAFANPHIYSKLVEFVSINERSSNFPSSGWLTRRKLEGLIP MYGPQALSSQQKAKQEAVKASQGIGQRREITFAPAKHKDKERDRGKDRNGWDKDEKHS HKHGHDYGHKDRDRQRDRDRDRDRKRDRDRR I203_01134 MSEVTSVQPIPNPPSKRKNANAIELISGSVGGASQVLSGQPLDT LKTRAQTAPKGQFKNTLDIFTTTVRNEGFLALYKGMLSPLLGVAAVNSLLFTAYGASR RIVSPYPDLSIPQVATAGAMAGAANAILASPVEMFKIRMQGQYGGAGDKKLSGVVGDM WKEYGFRNGIMRGYWITFVREIPAYAGFYAGYETSKRWFAKHYAPNPVPIWALLTSGA IGGVSYWIACYPLDVIKSRVQLSKTPPLKGHWLSGGYIAHEFRAILQEGGARALFRGL TPSLLRAVPAAGATFAAYEVAKEYIINHNLL I203_01135 MGFQFKIPKFQLEVPGSEGREKSLENDDLLPIPLERRTWTFWTF NIFWFSAVGTVANWLGGGTFLTYGISVWDGILCNFFGYLLISLFMVVNGRAGSVYHIG FPVYCRSSFGIYGSLWPVFNRALSACVWNGVNTVTGGQCIYIFLHTIFPSIAKLPNHM PSNSALDSAQMVGFFLFWFFTCTALFLSVPKWKILIHIKLVAYVLSCIGMLALALKTS GGVGDTLTQGSKVHGSERAWLIVRFTLLSAAGCSTFASNASDWQRNATHRKDPVIGQI FGFPMSNFITTLVGMIVAASSEKAYGTLIWNPLTYLDRILTENYTPTVRCGAAFISFG FTYSALFSCVFENVLPAGNDISSLLPRYISMKRAFAICMIMTIVINPWYLLGSASIFI SFIASYQIFLFSIIGVLLVDYYILAKGRLDLAWLYTANKEGYTYGCNWRAFVAYVVGA GVNFAGFLNNMGVKGFSTGVVRSFYFAFITTGCAAGLTYYLLATVFPQANYKINKGTK FREWTEEEVELYVAGSEWREKGEMPPVIGRGDSTPELGPLYGSGVVEDVDEKKVEGVS TAVLEARN I203_01136 MPAPISTDQLTAVIGFTLPPNRMDHDLSIYMTWQPGRSKPLEKI RVPVTNLRPELEGTPVEPLEQLKTRGFGIMKHESKWLSEIPSEKGTEAYLKECEGILQ NILGCDKVIAWNSVCRKNDPNEKEKKVEKQKEPEKGFIPTERVQPIAGVAHVDQSAEW GYELCGKAAGKGMSEYKRCQIINIWRPLHGPVTNAPLAMLDPKTLLPEDIGTHASQYG FGHDLHHSPGQEWSYIRHQMPDEIILLKCYDSDQGKNGEVLWCGHVAVQVDNDAEGIP EELLRERESIEVRLVALWE I203_01137 MKLSSLALLGLPFLYLTSALPKITRTGKYLYDESGSRFYIKGVA YQPQGEAAAESEANAANGGFPEPSSFHDPLSSPQNCTRDLPYLKQLGVNSVRVYSVNS SLNHDECMKTFSDNGIYVLLDVSLPLNGSIDRSSPSWSTNLLNEYISTIDAFRNYDNI LGFNIGNEVITQVSNTNAAPYVKAAARDIKAYLKSVSSSALVGYSATDGDADFRNSLA EYLTCGGDDIAVDLYGLNNYEWCGDSSYNASGWNAIVSGMQDIPVATYMSEYGCIFSP PRLWTEVAALFSTPVSDVFSGGVAFSYFPTSDGYGMVTISSDGNTVTTSDDFNRLSTQ YNATSPPNSPAKSSVTAGQTNCPSESSSLVASNTLPPTPNEQVCNCINEKALSCLVRS STANSPTIVGDLLNYACQLLGSSTNGTASCDPIGGNGTSGTYGELSYCSPAIKLSYAM SAYYEFNPVDTSCDFAGNATLSPTRPNTAEDASSSASQCLSSEPSGGVFTPSPVSSSG ASSTSSSATGSRSGSGTAPAGSNGGGASSSASVALSGSVLMGVVGVMGAVAGGALMVL I203_01138 MTSSQVPITPQELDAIPIGYATPSLGLNPAHSLEMKFDAMAQNG WKNVELGFGNYVAWVQSQVDLPPSTCPEEWKVDDEPSPSDHEIWDALYSHAPKLKSLA ETHGLRLLMLQPLNQFDGWPKGHEREEWVKEKAKKWIELCKKLRVEYLQVGANDQPDA SGGDDKTAQDLAWLADIAQPIKIAYEPWCFSPRYPTWERCLEIVKKGARPNLGICLDT AQMALSPTYGYDPLSSTPPSPSNFEELIKRIKDIPKEFIFYLEISDVLPPNPALLDGS PFDEWHKSQGPNNSTRSTWVLCARALPYIGRSAGKGVRDDKKDLDLGVARVGEVTKAV FSTGFRGPVIWEPFEALVMESEDKDVPELYAHAGKVSKEKLWEEVLSE I203_01139 MFRAMLTRGTDPHLAPKLPEYFRNAGIFNDVDYLAFDWPLTPWS QDPKQRSVGSVMMRDIRQFPDTSRLLIIDAAGISPVEYDRIKIRFLQEIELDYKIVWK LWSVWGIKSG I203_01140 MPKRPTSPPIEDAQRARPKPRAKSYGLGLMLRGEDVEDQASNVD SQGQGTDNTTAAVSNDDNNDTKSPPPSWLPTLPPHEFIQEEETILPSLQPPIIPPTHS QTQPQPQPLETMTAPTHTLSPTPSTENSTLPPLTPNTQYAMGGLNGSTGPPSPTGSAF TFTSSMQHAVFREDEGRLYNAVQDDYALPADTEEIQRLDIQHHAIRLLLGGNYLPHVG DYLRTHSPLGKDMRVLDLGCGTGSWCLEMAREFSEAEFIGIDLVPIQPDTLPDNCSFA MDDITKGLPYPDATFDLVTGRLLVMGLRDYPSLLLDIARVIKPGGMYVATEPDINLIL PDGRSTAGLKGWVGWEQGLQK I203_01141 MFHDLRRHMPFRLEEGWRYPEGWEDFSRPDPSEDDRSSSHDEYG QQSGQSTSTSTSTFTSRDKSDLFGNDPDHIQTPPPRYEDIYQSRDTFSRSPFNSLPEE KLSQSVDGKSTHNIGFDESKYPSTAHTIYTESKSSSNSRPRPRPRVRRNIFGNCSSTY PSYPTTPYYPTYNRWYNPHRQININPYNHQVRPSPFPVQLISETYIPNNPHPHSGNIQ ITTQEDDVEAKQRSTARSTESCHPPSIDLEEITIYNTDYSYPHINLNIPPSASSSSSS LHFSTSASSSGSPKVDFSLNLSISSGSSQEMAEGLERVSEGLKGIKEALKGVGARSQG YQTV I203_01142 MPRRDLVRIVLVGDDGVGKSSIITSLIKESFVNNVQHVVPEVTI PPEVTPENVTTSIVDTSSNPRSRAHLLSQLTRAHVICLVYSISEPSSFDRVAEYWLPL FRREGINIPVILVGNKIDLRGGQVTNQGLEDEIAPIMREFKEVETVVECSALLPLNVS EVFYFAQKAVLHPTAPLYDSREHTLKPKCLEALKRIFRISDVDKDGLLNAVELNQFQQ KCFSTPLQYQELEGILDLVRSYDPSAVLPGITELGFLYLHTIFIQQGRMETTWTVLRK FGYGEGLDLREDFLTPRFDVPYDCSVELSPLGNQFLTDIFEAYDKDQDGALSQSELDE LFSTSPGNPWSASGFPDSTITDDMGRVTLQGWLAQWSMTTLLDHRTTLEYLAYLGYSS TPSASTEPPLSTPTALHITRPRKQDRRQKKVTRSVFLCYVLGATGSGKTSLLRSFVNK GFRGHEDGSPGGYGYEPTTKVLSVVNSVEIEGQEKYLVLQEFGSKYESETLRNSKKLD MADVIIYVHDSSDTNSFSYISNLRQQYSLDHIPAIFVATKSDLDLAQQRHEVQPDSYC RRLGLPAPMAVSARLGPMTNLWVAITRVALNPTSSLARGPSSTMSPAQRVRMIASITL ATTTFTAVVGIWMRYQGYTLRGIWGWIGRISGLGRGGQQ I203_01143 MSTSSRPQSVRGLYTPPAEEWVFLPPSVQPPSSSSSSPNPTAPS HVPSSFSAAVEDDENLAIPMMGRPFNLFLSEYLTTAMGMPFEVGKTLLQVEYRPRKRY APDEDVEGIREKEQFELQDDQISNPEEADMYFSDRLAQPPAPLAPPPEILVETDASGY LPDLHPSWLLNDDPEVSRGNGVWGMIRRIRYTPSEGLPGLWKSQLISTIHSFLSNILQ PSIHSFLLILTPNSPVNLDISLSALPNPAIPLALQVTSHLFTHLLLSPLELIRTRLIV LPTSHPSTPSSVTIFKQVIEDEGGFSNLYFHQNLLLPSILEHTLRPLLTLSIPLIIER QFKISPDFSPITYSLIDLSLGLSSLLVLLPIETVRKRLQLQSRSKEGVKHKEMRSIVK LREKNYVGIVEAIWRILQEETGVRRKRKMSERDEGGWFAGVRQLYRGFGMAATAHVMV FGLGLVSQMLSSNDGGGWKEI I203_01144 MYTSTLLSLLPLLAGLPGMTVALPHHSGPKLPLPALLRRQAQGQ GQGALELPPSLNTPTASLSLSISDDTQPTPVPVDLPSLWQINTVPQTLLAQAQPQVES VTTSPTASVTSSGDLFVQNTGDSGVTPTSTAVNMATVEYQGDSLLQPTSISMVMAGMD MDTSTNTNTNTNMDMDITAAAAAAITSMVGILPSGFNGNSGTITSFASSTSTASSTTT SSAGTGASTTSMVSNTSSSSAIVSATATVAEAAGITSTFTSTDTSSTPSTSASDDKSK KIRYKHCSDTKGTVTEIKVNPCEGGKGTILDPCHLQAGKNYTITLTYVSPEDSTSPRA NLVARDKTMSDGQQHFPYPGQSFDACQYTSCPLTNQETKSYTYEFATMNNRFDQLTFN MTNGLDGDSLMCAYFPVTFMPSLAGRSLGRNVPFGGLGARWY I203_01145 MSQPRDQKINKLMSVLHLIPQPLPIYTFFYPLNIIPHLTPNLYE RYPYFSVTREPAGVSVVAALPPGEEGVVVDGEVRGLKELGDGDAGRWFGPWKAIKIRG PLYIGLTGILHEFLTPLRSAEINIYAISTWPTDYILVPSEKLDRALDVLKKDGWQVVE QPNEQTDETGKMVS I203_01146 MATPTSVKRQRVSVTQHHKYMTPHPSSDISIEDNHPIKYTAVPV PAPIRVLSGGPLTPSTSTVAFSSSPNRRLMMSSSSPTKHSPRQQVPEAKFDHELVLEE GMTLIFGRHRHKQSNAKSSSSSLSSTIPSHLLTLLNHPENESRVIHLSKDASHASRVH AAIELVKQNTIRMIVIGQNGMKVKVTKKKRGVRLLQNQKFDLALSSTQSVELDFFGSK VLVKLQPQEKEEERERLFSSSPVKSLRMDMGMGSSMPPSSPPMVSMEMDDDDVLSEPE EVVLPQQPQSLEIVIQAQSQKPQEDEEDRQSRQSSPLSPPSEPRHSPLPQVEDEPITT QVTEEEEKQVKAERIESISHTSHGHGHGHGSRANTPVVTPPVPSDLDLPAIIASTVVF SGSSKLSLPDLVKHMLESQPSLKEHGSEKIWSSWVHDALEGNRMFGKVERHGKDASGH PLLPHYYYDPSSDPDTSRASELGALVRPLRTAQRTGGKTIDWRPVGRGRRS I203_01147 MSADTDADNTQIKKLDILIVGAGIAGLSFVYALRRSEIYQKGLV GYRIIEKKQAPSIDLGYPIHLSSASRQALRDLLTPSDLARFQKAQSTIPIYHDGLTVG NYKGEAMWRTIRDPGVRSMIEREDLMSILRDGNDEDKVEYGKELLSLDEIVGEGRVEV VLSDGETFKVDLVIGADGMFSHTRQLLYPSQNSLEKLPWTIMNSRYTSPEVLGWCKDL NGINTIVGDSFSATIIPLGHQTQAQAQTNPSVYVALTIPSKWLEPSYCTKLSKCTCEP TIHSVFLRQLENSDGWPRRKGFQMYSMNKTVAGRGKVILLGDAAHGMPPFCGAGAGSA IVDSVELVKVLNTGIGEYHHFYNLNSSLGDYMTQSQLRNGPLIKASKRLLWLAQGHTE LSLIARRVVFWGLEMKERLSGSRHRAEVQLRQVIEENRKGSDERDRNGHWNQNGLVPV VRQWAVADEPFANIYG I203_01148 MRISQNGVTVSLFLVLPLIVRARKHHDESDEYGDNGGGYDASSN AEDNDMGSGYGMNGSEDQGDMGYTVSNVNDGYRNGIKWISPSSGDILPSGGSLTVTWS SPRPIYSPSFSLCTSTSGTSTAADCGNENWPDVKDNGDGTYSAIVTMPVISQSIEKLY LSMNNPTNKGRTFNSPVFGVQGDSGVPNAYVASPFNPTTAVIPTPMLTASSLSLTNAI GDELAGTGTGTASETIPVVTSPLTLSSTVAIPISGSTVKVDPTVMKSIATSLTPTIMA TPTIYSNTPIIQAPLQATYSYPYSYTQPTQLSANANANPNANNMTANEQPSKPNIKAI ALPISICGLILIAALIFCARSRVFRKTGLGKDVENDWQSVIKQKAAAASSLAIAPSES KGGVEVRERKEVVADGVGVVPTLGYRGRQYSREYENGRSLSREERFTQVPKVDYERRG SRNQRFMYDERDRGGGRDRRHKHRHRERRELGSERERDESYYYTYPSDRSRRSSARDV YDNDSGRSQGYYSTNRRSSGGIGGIYDREYPYEQTSRTYGKSSSGRPSIAPRESYCAP LSNPYDPPTPTKRTSRPLPEPIIRSSTNSSTRSNMYDHHHQEEVMMPRQKTLPHLSGG LRDDRDPSSYVGDGGRRASRGRGESGRERELESDTEAGWEMDLANQGRYVTGEEGMGE LYESLRRAIQRGW I203_01149 MPALASKALRPTLIAPLVRGTRGHAVAVDPPYLPPNPTANTSSQ ARPRYVDGDVRHDWRRSEIQKIFDAPLMETIYRAATVHRMHQDASRIQLCTLMNIKTG GCTEDCKYCSQSSSYKTPTKASRLIDIEPVLKAAREAKENGSTRFCMGAAWRDLAGKK SGFEKILKMVSEVRGMGMEVCTTLGMLSPDQARRLKEAGLSAYNHNLDTSREFYPEVI TSRTYDDRLATIEAVREAGISVCSGGILGLGEQDEDRVGLIHEVSRLPQHPESFPVNT LVPIEGTPLEKNDPVGVHTVLRTIATARIVLPRTIIRLAAGRHTFSETEQAMAFMAGA NAIFTGERMLTTPCSGWDEDKAMLGRWGLRGQRSFEDSESVSTTPMMTKEQQALHGVS Y I203_01150 MSKEFTTCSKQDLHYLPSDQPVFSCKGCSCVIALQDELCSKGFT GGSGKAFLINSTINTSLGKKEERKLITGTHTCADLLCASCGASLGWKYLKTPTAEQKY KENRFILEQAKIVKENNW I203_01151 MKRPRETSPSSSHRPHTRPRLTGSNLEPVNTNAPRRFPHSAPVP IRGYSTFHASSFESRSLQDLQDDELSAPGRIENENPHYDSIPNPLTGQDFPSDHQVDI STPELTHSPTPPADNEPFPAYIVVDRHSLRPLLGWTTYKRLNRSTGLFEDHLVGVSET NVQVMGLIPQAFNGMDEMKTALWSIFRPCGKILSIIVFKEFEYHSLYTAQIDFENRGS ALRGLRVNNGYFHNFTWHPLKISRRAYNHSLWGIVKSSELSELQTRLLNSNKRNIQFP LELETIYGDQFFTNFDLPMAPLHLENYRSFEDLGEEYRPSLIALDKNEQRQEHEIASF PLSSKRTEIHRRLSVERNRMKEHVHPELVGLVGYNWQTGKLDPLEVQQEEDEEDGGCE EDAGMEMDENMEHGTGEGEGEDGWMELVMDPWDERM I203_01152 MVHAPEITDYIKTRAKAMDEVSDQIDEVNLLKLKQNAKAQTEKS QQDDNDFEGDSKFDSEKDKSVFRQYENACDRVKNFYAEQHTKQTLKYNLRMRKEFRET TRARMGVWEALELLNTLVDESDPDTSVPQIVHALQAAEAARKDGKPEWMQLTCLIHDL GKLLCFFGADGQWDVVGDTFVVGCKYVDEIIYGPESFKENPDYYNEKLQTDLGIYEEH CGLENVMISFGHDEYLYHICKTQSNLPKEGLWMIRYHSFYPWHRAGSNAYRHLMVEED EQALKAVRAFNPYDLYSKSDAPPNVDELKEYYQCLIKKYFPEEIEW I203_01153 MPTVPILCFNDVYRVSQKYNPQPGAPPTSSSDSQHINVSQFAQL LFSERDKWADRSETKGKDTQPVKDGLVLFAGDVFNPSVESSISRGSHMVPLLNALELD IACVGNHDFDFGYPHLTKLIESTTFPWLLSNIIDEKTGQVPETLNKYWITERCGVKIG VIGLVEQDWIDTIPSWPKTFRYRPMGETALELSRELRDPNGPHQVDLIIALTHCRVPN DIKLCNELGAVAAKPGVENEHGVDLLIGGHDHIYYIGKGQTSWEGYSGRHDAPGTTED QGVRLIKSGTDFRDLTSASLELTPTKSGSIRKHLITSLKGKHLYVLPSSPSSESFDTM VKSLLASVSEAIQKPVCFTLSPFDARSEIVRTQENGLGNWIADVLLHAYAESMLDKSK NECADAVIICGGTLRGDSQYGPGKITLGDILEILPFEDPVVCIEIDGAGIWDTLESAL SKWPSQEGRFPIVSGLAVKWDHTRPPNQRIISIHKTKKPKRVEDNDDDEIENPQDFVD FKEQDDGTRVVVKQKKLELGEEIKRDSSKVYRVITREYMALGYDGFTDLKNRKYIVDD ENGQIMSSILRSFLLGSSYIFRHKQLESAAAAHLSSRTDKVLSRARAQHQHSPSSSLS SSPQSNKGFLSPNSNLANSHHSVLSPVSERSASSAWGVLRRHVVQHDWGTIRDALHVA KHEHMSSVDEVAGQAMRQQRQHAPHMPGEWKPRAPTPLPGSQPVKPAENADDDLLVNQ EKKELENLSDDLAIVCPLIDGRMRDVSEGKS I203_01154 MDQDAFRNLLSAPRPSAGGSSSRGVLGAPAPKRGWGLKAKEGYE KKKEDKPPKPEFAPRKYHKREPPPELAYKDRAALRREGRNDEEYENVSKLLEDFEKRK AEARRPEEIEELEKQRAYLGGDVEHSVLVKGLDYALLAARKAELAKQKGEEIDDELDV LQQGLGKREEKEGKTKEEKVESLGKGFKSIAQKKAEADAAANAKGEKKKKKKKKKVKP EAETGPQSESIAGPSTAIKSEVQAIEVDQPQSSKKAEQSDIPSVIGKAEQPAPPSDDD EDIFGDVGEYDLGAAAPESDSDSEDEAMDVDPPSRGRSRTRSPVDRKDGLRPRSPGYR HDRSRSRSRSRSGSRSYDRRRSSSRSRSYDRRRPRSRSHSRSNGYRDRSPVYESRRRR SSSYDRERRRSPSPYRRRYRDRSYPRDRSRSRSRSPYYSRRRSRSPSPYYSRRKSPSP RRGTYRARSRSRSPPSRRRYDSKSISRSPTPPRPIRERSYSRSPTPLLHEPIRSPSIS DDEDDEQGPGRITKLQPLSSSAIPSLKNFLQAENEAAQAEEKRMKKAKWRAQQGLSAQ EGASELLASEGNKKEGGEKQKANREYQLLMNRMNKGESKDKDDNQDKE I203_01155 MSNPLLRVILCGKTTSVGKAVTAGLKPEIEEIPLILSNQYQDLP QPKPSEEIIGTQNFNVKPDAVMLGGGYDASDAQILDGENKIPIFLADRTKPAPPLATG EYGTAILKRAKYALLDWEKDTKRESGRTRFGIDCLG I203_01157 MSSSSDPMPDESSSTWYLHHLDTHIQQSKRQILGQRSILVPNKR NPCSTVVPPSNTVWTPEEKEIFFASLSRHSRYRLDLIASELKTKNQDEIEWYLYLLDL GSEIVGQVDRKRRDAGLERLRWDGVRSWRKGLSPSAREVSDNWIDKEEALADNVIKEL EERENEERDALIKKERRAQKKSVIDGLSPVEEWKKDMEMTPYLRNKLIENHPAYKKMS NQWEVDDYLMKMDGEKLTVVNNLMKPDWSTWYSDRVKMVSTSTSTRSPNKKSVPLEDE ADEDDEEEEEEKGSEVIGGIPTKGDPRGKITIDQQNYNEIINIPKKERTPEQRKLLSK IINRRRNREKYRIQKLIEEGLTRDEIDLAGGADAIFQSREPVTQTDSDQAPKIPQKRI TRRNDTNVQTAHLRRIGMYDHLMMTGLEVFNYEMIERVNRRLNLTDPREMSSGALRGI HSLLVNRLRRLIYSSILIAEQSYLQHPSDNHDGPLPEISPEHVHQALLKEGLVHPSEL ILEFIERLFDNEPNYEQEEQDIEVTEGDNQDTTEEEVGVRVGRYHSSILPPGEIQWND LPYISTHSNEEEEEDGQGLYDDISDAATEIEDKELDEALYKMDEAHDKQYENSIWVAV DDGNDDVDRSEIWTKDRKNEIKSEKEYINLLLSTDSARRKRKHKENIHQRYPTTRIRK LARANKRMKSNAWIIDSDSDSSEDEGNGYVWDPNEGDVGDDDDEVTDTDQEDGRSEDD EQDGEDEGDAGAEGEGLALEEEDELREYEDEKGDEHEDEEEDGYEDDKGEE I203_01158 MTQDTKPFIPSLSPEDDLDLPPPSYDTGLAASSSSSSRPDAGSS NSRPVEERTIPQHLFHLFSGPPNGEPPIGRDGVPPEQIQRIAWGQKGHDIESWDPKLA DPEVMYDFIRAQAMVPPTIKIRCRGRHMETLERDQTVIENGIETSKKRGESYYVTDFD FTINLSGIVNHRTNNNHIHLRTVESDRAAYRGTHTTRYGASFAPDHGTRSFSQGGYRS LNTEMEYGVTDVGRQPTSAEQSDVDAWNVYRAKKGIPGWVNMQNVAEFWDTRIRSKLL SHADVESARTVRDHDNRPSLKEWCKVYCRDKGIFKEFWVHKGVYGWEMESVQTAIRTA ILSTGYQSNYLTVTTDITPLAIVIRPNNILSRAVNNGFIYFLSWITLIWPLIWIFKRV FPRFLGAPWNVAYVNYALKCYPPLPSTYPAETILQAQDRLAALYKLHPELPENPTLQY GPKGVHYLLGRKEGEWFREWEERIRMGVRMKFRGQLEGGQQGEQNAGQGLDGY I203_01159 MPIGPSLPPHLAHLAGDISTSPEPHTEGPVRPPPAPVGDEEDDD DYGPALPPHLAAARKAPAGPAGPSLPAAGPSLPSAGAAAGPSRPPAAYEEDSDDDDVI GPMPVLTNGEEDSAGSAVREFLEREERRRKILEEESRPKEKKREEWMLVPPSSGVLSN VDPLRKRPSTFSKSTREPESVDHSVWTETPAEKAQRIADEVAGIKRKKDKAGERIMSF DEEQEERRKRRREEDIRNTLQAHNRGPSLLDQHASKLSKKKKGEDDEAPAIWDHDRDM GVTGRLLTDNERQKKIKDARGLGDRFGHGKAGAYSM I203_01160 MDQNIIRRRGVGTRGIDEKTVLDGYTVIAPLTGKKVYLINNAGE TVHTWDLPYRVGRYARLLPNGNLVVGMKDPEASAPFPFFNKYGGGIYMELTPEGKVVH ELRDPLGHHDCFYDGCGHFFYAGLEALTPEQQAALPGGVEGTEAPDGKVYADTIREVK DGKLVWEWKVSEHLDPKIFPLQAHYPREHWPLINGIYPLKDGNILASCRSVSAVIIIE KSTGNIIWHLDSTVVAQQHNANELPNGNILIFDNGAFRHRESFQWSRGLEIDRKTKEI VWQWHASPKETFFTPFMGSAQRLPNGNTLLCESAFGRVIEINTSNEICWEYVCPHFAI YPEPEAAGFYPVESNALFRAYKYSKDQIPWLN I203_01161 MSDLVVDHPQYYADYTPEEEKRAVRKVDFLVLPFIVLCFLMLQF DRTNLGNAQTDTILKDIHITTANVNIGQTLFTLGFVLFELPSNIIAKAIGPHRWVPVI IFIWGLLTLCQAFLKNKGGFYATRFLIASGEAGFIPGMAWYITRFYQNGELSLRLAIF WAANSVAGMVSGPLALGILKGLNHKHGWHGWQWLFLIEGAMTMFVAILAVFYLPATPT EGGKSLGFPILNRRDAEVLSARLLADDPKKAIVHGERVKFVDIKDTFLDWRLYGHCIA AFLSSVILTPINTYGPSVIKSLGYAGFTANGMAAPASAIGLVFSVSLAWSSDRTKERG IHIFVAMALSCAGCLWLALAPDGVGKRVLYGGYLMTAGTMGCGQAINASWLSSRFEER KRPIALAAYVAFIQMAGFAGSNVFKPKDAPRYKHGLIICGACAIAGGVVMLVWKALYA WDDRRNLHQETETSNLGVQQLEHGTRAGDNKYYEEDK I203_01162 MSGRKAYTCVQCRLKKIKCDRHRFCGQCKKRGEICEWPANGVPL ENEGSIPRSSASPTRAPVVPRRARHQSSGSTPPTVDVPHTVAHAEQLDHSILNDPRGE PVGWGFGSSKTPRRAFPFDSAGSRTDYMVTADLMRSLPSRAESDTLLRVYLERVEWIH HPLHLPTFLAQYNRFWNMNIARRCETVHARWLALLYIALCLGDHFSDDELTTDPTLET RLVTACEDTLAYSDFLNQPSTETIQTIICLNIYLNNKNRVTAAKSLLGTAIKMAICMG LSRIPDEGRTSNEQDLIDREIGRRLWWSLVCQDAYTASNSGFSYSINLTHSSTGYFAN VEDEDIRSGSHYHSRPMSEITVSTYHLCKINFALTVRSFIDAVNANFPDASYEEIMRL DAQFRSVYNALPVPFRPDLPQAFELSFAGSRRYLVEQRIFMGITLHNRVMRLHRSYMV RGYDDDRYAYSTKVCLESAYATLELVKQSPQTLCRWWVVMVHVWTSGLIISADLVKGV SDVDIRRKQRDGVRMAISLLESVLNYR I203_01163 MTWASSQLLDAFLTTTSEKIIPLTAKGVASGSKVFGAAIFRKSD LSVVVIASNHETSSPLLHGEINCIQHFYALPADQRPPPSECIFFATHEPCSLCLSGIT WSGFDNHYFLFTYEDTRDAFNIPHDIRIVEEVFKVPTAGEPSEDFAKRPLYNKSNAFW TARSVADLVADLEGDEKQQTEERVKKIKQDYNGLSGVYQGSKGSAGIPLA I203_01164 MSSTNTSASTHAQASSTLGYSISSSDESSVSRSGASTGSARNKR KFDHYQTRGGQHDSGFASEAEDQGDAAEAQGLAMCCAEL I203_01165 MPGLRPTQQDIDQFVAITQASPEDAVHFLESGTTLEGAIEDFFA AQTASEPLNPHQQDEEDAELALGSPSMSDDNSNNAPAPTGGPRTLGGAAASEPLPAGW GQPERSRFGRIGESRDEEEEPRGGDDPEELYAGGGRSGLAVQNPDDQRGSGNSLVDNI LRMASQNGPRSPPAGGAPKPPSAFRGSGHTLGSDEAPSTEVPATGSAPSGSTPVPSIP GVAGVTPSMMDNLLAGMFGRGAGAGGNGPPTSVEDEEDDDDDDDENVQTRRLTFWRNG FSIEDGPLLSYDDPQNQALLQAIESGRAPPSVFGVKFNQRLNVEVAQRRREEYQPPPK KPMKAFGGSGNRLGSPAPEVATASSSSMPGGLPQGILAGGSGTSTPGASNTTSSAAPT SQNKFEVDESKPTTSIQLRLGDGTRMVAKVNLTHTVGDLRNYVSAARPDSRSYVLQTT FPSKELSDPSETIEAAKLQNAVVVQRFT I203_01166 MAEPPSKRSKMVGDSSSSTPPVDNPYLAHRDMAASSSTNGYATG INGTSGKASNPLNGLVPRKVSVAQAKSIMDGDVNPFKGLSPFSTSYRKILEQRKGLPV YQKMQEFLTVFSENQITVMEGQTGSGKTTQIPQFVCYSDLPMLRGKMVACTQPRRVAA MSVAKRVADEMDVQLGKQVGYSIRFEDMTEPGTTFLKYMTDGMLLREAMNDPLLERYS TVILDEAHERTLATDILMGLLKDIAKRRPDLKIIVMSATLDVEKFQKYFGDGQPSGIA PVVKVSGRTFPVETFFTQEPENDYVEASIRTVLFIHQAEDEGDVLLFLTGEEEIEDAC RKIRAEGEELSNKGMAGPLLVVPLYSSLPPHQQQRIFDPPPPARKDGLPGRKVVVSTN IAETSLTIDGIVYVVDPGFCKQKVYNPRIRVESLLVSPISKASAMQRAGRAGRTRPGK CFRLYTEKDFVKELEEQTHPEILRSNLANTVLELIKLGIKDLVHFDYMDAPAPETIMR ALELLHYLSALDDDGNLTPLGQIMAEFPLDPQLAKMLIVSPEFGCSNEMLSLTAMLSV PNVFMRPASQRKEADLAKAQFTHPDGDHLTLLNVYHAYKSNEGDAKNWCWQNYLNQRS LAQADNVRTQLKRAMEKFDLELCSTAWEDKNYWNNIRQALTCGFFMQVAHKEGEKGSY MTVKDNQVVRLHLSCGLDTTPEWVIYNEFVLTTANFIRTVTEVRPEWLLEYASQYFDP TSFPENSETRRALQRVLNKKTGKYADGGSGSGVDLKKKKKKRKAE I203_01167 MPASPGKKSQWVGLPFIHHCRVLTDSCLQSEYTNSEGRKYWSHA TTKQSVWEKPDELKTPFEKALAKTQWKQYTSKDRPYYVNTVTKETKWDLPPELKELKA KIDREEERRAQGLTSPERSRSPTPEDIRELREAAANAIAPYGSLPPSSTESPSSRRGS ETPQVQQQSQQTNVPALPVIVMPPGGFADRAKAEEAFIYLLKREGINEQWTWDQTMRK IIMDPLYKALDTLAEKKGAFEKHINTILDSRRQAKQLRISKLRPIFHKLFASSPEIKS YSTMKTAEKIFNSNRYWREAQYDERAMILEEYVDDLRSIEETAERESRDRNIHILSDL IRTLDISVSSRWREAHDLIVSSEEFKADRELQKIETIDMIQVYDTYARQLEIEHEEES KKLKIENVRKARKARENFKVLLHQLQERGDLDRTSKFKDVYKRIKDDERYLTLLGLQG SNPLDLFMDHIDDLNEEFETASQKLVRALNKDGKEIKLETTFEELDEWVRELKIENQF DEKLRKDIYDLIHGKLKQSAEDELRRAERRRRHRIDDLRYALKKVGRHIDLEMTYEEA LPHMKDLPEFKEVVDEEDRKVAFEKFIKRQKEKLKEAESSEVGSTRERERDRDRYERD RKYSYSNSKDKDRDEPMDIDEKDREKDRSSRKDRERDRDREDRDRKDQHRDRERDRKR GSIGPDDIKDKDKERESKRRRMSSSSHTHSRKDKDDVEEGEI I203_01168 MISPARVTSILRSAPRCINQNVSLQSTSSYVRNRSIRFFNSMSD PTFTHEFNHSAFKGKVEVPTGLYINGKWSKSLDKNAKTIDVYNPSTGEVLTSIPEGRQ ADVDEAVKQAHVAFNTTWGLHAPGFKRGELLIKIAELMERDADILASIEALDNGKTFT AARAFDVVESARTFRYYGGWADKIHGKVIETTEAKLAYTRHEPVGVCGQIIPWNFPLL MFAWKIAPAVAAGCTVVIKPSELTPLTALYMTKLFEEAGVPPGVINVIVGYGNTVGSA LAAHPDVDKVAFTGSTPVGRLVMEEASKSNIKKVSLELGGKGSNIIFEDADFDEAVKY AAQGIFFNHGQTCCAGSRIYVQKPIYEKFVNAFKEASSRLKVGDPFEPTTYQGPQVSQ LQYDRIMKYVEHGKDEGATIITGGKRVGDAGYFIQPTVFGDVKPDMKIVKEEIFGPVV VVSPFEKEEEVIESANDSVYGLASAVFTQDISRATRVAANLKAGTIWINCYNELHPQI PFGGFKQSGLGRELGEYALENYTEIKAVQINVSGKCGIPL I203_01169 MSNIDKPANGVMSRPESTLPTPALSPSNSAGPSPAFSTVLQSPA VSEFELENPFDKLQSQARGDGWNIPECWGHRGASASFPENTKASFVEACKAGADGIET DIHITADNVLVLFHDPELHRTTDGQGLIHKQPWNGVLEHVRTKKAPHQPIPKFTEVLD ILLQPENINVKLNIDCKVENDPVKLFGLIKDVVQGYEDWQNRLAPRLILGIWHPKFIT PACTILPYLPRYAISMSLPQCRKYFFDNCHGFSILYEALASSDGARFRAECAAKGKSI CAWTVNNEEEMRQCGRWGVKSVISDKPDLWRSIRKEIETDRVKALKPTIQSYILPFLN PRYYWFYHQRLAREETEYLEREGGTFDIHLPEISLGISKPPNTTTM I203_01170 MSSTTSSRTFIFTPPPDGAPVGWVPSNGDDTSTGGGGGLSKTVL SIVLTISGVVGFWLIAMMYWIYSIRQLNKTKLIAQHSTEGRRRTWIDSTNIPSPPISP MTHTFGFGIGIGRNTHNNVKSEQQHSSSSNSGSSYHRQSITSTLVGSEYTIKSSEGGT KGILKIENGEKDKEERRC I203_01171 MLQTIHLHLPSPLPFQTLHISPQSTISDLPIPSPFDDNDDVYLR TTSSILSPSTSISSLQHNDNLNHPINIHVCARLLGGKGGFGSQLRAAGGRMSTGKATN MDSCRDLSGRRLGTIKEAQRQAELLESAPALRAKLQAEEKSKLEALERKLGISQPDSP DEGEGSGSKRKVDDVNLEELAAKKYKFEDNKFLEESREINESVRNAVSKAMLLKKKKK TSTTTTTPATTASTAKSDPKGKAKVDELTKKEKEKLSMPPPALTNVA I203_01172 MEVNKEEALRCLSISSRHRANSNLPSALKFARKSVSLYSTAEGE AMVIAIEREIESGGSGSGSGTSTPAPASTPNGTSTPTGNGDAGGSKGKATGVEEHITS AHTRPGHSASASTKQESTGSKKSYTAKQLEVVKRVKSCKHHEYYEILSVEKSCTENDV KKAYKKLALALHPDKNNAPGADEAFKMVSKAFQVLSDPDLKAAFDSNPSYDPTQRNPG MSASSGMRGFGGGGGGPGIYQTEINPEDLFNMFFGGGGGGFGGSPFGQANVFTFGGPG GFQAHYGGRSRRPQPRGPATAGGANESSPLVALLPIIILFAFALLSIIPSISTGTSQP DPAYGFEPSTRLNVNRETSNWKVPYYVNGQEWEKSEIYNSIPDSRKGKSNEGLYSSKL RGFERGVENVYARRLQNECQYFLDRKQQRINENSGFFGIGANTEKLKEIRSQKSPACE QLRKWGLITQGAW I203_01173 MVASFDPLSPSFASSSGSGVGVVDKSTFKTLTRERQFRHPPTTS SDVPALDELVQPHIQSFNALIEDEGNKGLLQLGVEDIGEKVVFDGKEWDNDSTGFGSK ISYRIDRVALSKPLVPEKDKLAIERRIFPAEARERLTTYRSRLTVNIRWTVTGPDGVS REHEEIKECGLLPIMTRSIRCNLQNLSAEELISHGEESTSFGGYFIVNGNEKIIRYLI LPRRHHPLNLYRPSFAKRGVSYTPYGCQIRCVRPDQSACTNTIHYLSNGGATLRFAWR KVEYMIPLMLILKALVNASDKEIFEGLIQGEYDNTFLTDRVELLLRGQKTWNLQTGEQ CLDYLGEKFRVVLSCPEDWNNIQVGSFLLSKVVLVHLPNPRDKFRMLIFMLRKLYSLV SGATCADNPDSPQHHEVLLPGFLYGMIIKERFDDCLNAVKLQIQQDLRQGKARSFSDP KYFTSVLAKTNWDIGAKLSYFLATGNLVSPTGLDLQQTSGYTIVAEKLNFYRYLSHFR CIHRGAFFAELKTTDVRKLRPESWGFLCPVHTPDGSPCGLLNHLSHTCKIVVGQLDTS HIPTLLSAHGMTQIFASSIDGRRMVCIQLDGRVIGYASPQKSKQLANLLRQLKTEGNS KVPIDIEIGYVPVTKGGQYPGLYLFSSRSRMMRPVTYLENGKLDHLGTFEQVYMDVAI TKQEIENGVTTHLELDPTSMLSVIANLTPFSDFNQSPRNMYQCQMGKQSMGTPSTALN KRTDNKMYRLQSGQTPVVRPALHNHYGFDNFPNGTNAIVAVISYTGYDMEDAMILNKS AHERGFGYGTVYKSDIFDLKDSVGANRNSSKPRLHFGLGRDIKDEHTCLEFLSRDGLP RIGTRIKSGDPLAGYIDDTTGKTKFHKYKGDEVAFVDEVRLLGSDSGDSELQKIHIKL RIPRSPVIGDKFSSRHGQKGVCSQKFPTIDMPFSESGMQPDVIINPHAFPSRMTIGMF VESLAGKAGALHGICQDATPFKFSDSDRPVDYFGEQLKAAGYNYYGNEPMYSGITGEE FHADIYLGLVYYQRLRHMVNDKFQVRTTGPVDPLTRQPVKGRKRAGGIRFGEMERDAL IAHGTSFLLQDRLMNCSDYSTAWICRSCGSLTSLGFEDTASNEGMKEYCRICDSHLHQ QDQQDQTKKESTATAVGVRMKDGVRKGKMDVVAVPYVFRYLCAEMACMGIRLNVTVT I203_01174 MDFNALGSISGKSSMRRKSLLQAFGKSSSSSSSSRQSAIPLPSS STYTSGGGGGGGGGSLPGSAQSTPLTSTTSTFNHDEIYSPSFSPEYQNHPHYHADTIS LASRTTASHTHGPGPGTYGLGGGYPHGHGHGGGRSTSANYSIKEKNTNNEKGERNIKR PEDVFRLVRERIIGWSYLGEWYQGDTHWLNTVRIPRSTLESSIGPKQLESRARNFHIL GISLSSLFDIPSSNEFLKALLKLLDEWETFSDSGGGKGVKNLFRGQRNNRKVTAGGTV MSDFASGMDSAETYLLNVNMPFIPDFYQTHSTLCSIIRDIYKKLLGMFLPSPPSGNFI PPPFTNSSLLHPSTIIQSAPLEIPFGMGNLGPTPKSPAASIITATFANQQSQVTSPTS EGGHGHVTSYFGGTAQPHTQQFTSPPPQGDALQLFIAGELPSDRTLVGDGQKLTPQIV DMFSKVDTKLKKQFSSLLREGDTLARKVLDDELQIIMQSLNPGSKSFKFDLNAAVIGS GNGWINSASNPSHNNSGYMQGTISGYGGLQGLIEEDRKERDFGTI I203_01175 MSSSSKIARTRVVAPSSRPQSRGLADRTIIAANKNQVPIRTNLR GSALLNTPRLNKGAGFSREERQIFGLEGFLPYDVHSLEKQALRAYNQLLKQPSVILKH AFLASLRDQNQVLFYKIMQDHLKELLGVLYTPGAAEAVANYSNLFRRPVGCYILEGHL LDVNRTADVAYDSNNPHDAIDLVVVTDAEAILGIGDQGVGGITISTSKAALYTLGAGI NPNRILPVVLDCGTDNHALFSDSLYMGWKRTRIRGKNYDQFVDRFIKNCRELFPNAII HFEDFGMANAYRLMEKYKHIPMFNDDIQGTGAVALAALIAAIKVSGASLKDQRIVIYG AGSAGMGIAEQIKDGLMILEGLTEEEACRRFWCVDRNGLLVESMGNNLRHAQLPYARP DEETEHWAKSEDNEGTWLMDVVKNVKPTVLIGTSTHSRAFSEELIREMGKHVERPIIF PMSNPTALCEVDPADALHWTENRALVATGSPFPPVELGNGKQYTVAQTNNALIYPALG LGAILARSNTISNSMLMAGVNSLASLSPALSNPEASLLPDLADVRNVSVDVAAAVVRQ AVQDGNAKDENTIKVVNGKGPLPLEEYIRSRMWDAVYRPLELVD I203_01176 MADFMSAVPQHHEDEGDVCRVCRVEGDEADPLIYPCKCSGSVRF VHPDCLKQWLAQTGKKHCEICGHKYTFTKIYPDQLPERIPPTVYIRQTLLWLCRQQLW VARCILVVVTWLIVLPSLNMFNDTELLSELVQNVTDLVTSNTTDHSTMNITIANHNTT LANNTSDSTSGGPGDTLFGMLKTAIERWMKGDESSAISFVLRGQILSISLAAVLIGLI LLREWITQHNWQEGARPQIVEEGEINPDEWMIFNGMARRTTDVMAAILGKAREHRERR LHRLDREQEEREEREQREEAGMRLAQRVLEAAGREQQDEDDLVEDNSILMDLRREAEK VRNERSEDGQNEETLFKFRPIPTQPDAIASALEEYRKNHRGREELQRLEEISGFQDEL LGIAGESSQQAVIDTQNSPTWSSSSEIFEGEADAGPSRPRLDRVGTGVEVASVPMGDR NDSLKKSKEREEVRYRAPEMLKKDNDGDEMEAGPSSNNNVFRAGETIRFDQDGNLLHD IPQPATPAMDIPIIQPPPEIARPDGDEEGDWEDEPDGEAEIREIEDNEEEEADADGGV RPLEIRPVQVEFMDEMEEEPWDGDDWNGILEVVGLIGPLHGLFQNVLFGVIIMSAAIS IFIGLPLLIGKLFMSTDIIRTVLSTAGRTLYLIRKVTDPVVDIIFEIVKEVVALPLIT SIRAAETILARKLGLSDGGARRDILSKLTSILSIKTSSTASSSAANVEEQGRYIGLLG DGLAWVGQYAYDLYTAYVAAKRRISISNSVSHRLITVSSGYGVAAAIVGLIALPGENG GTISKELKKIVKDHAMFLKLAFFMILELGAFPLGIGLMIDGCTVPLWPGATLLGRLEK LRDGPFGVMFLDWLVGTMFMYQFATLLSHIRKLCRPGTLFFIRDPADPNYSPVKDIVE KSAFSQLRKLGTSAIMYSVIVFTLFGGSCWSLAYMPYIKFLPLRLDPTFGPLTSIPFD LLFLHLVVPPTVTYIRPRHRFRKIMTLWWKSAINLFRLNTLMARRSTTTDHTKLDTGP NKLEKVWPILDPLYQVMFGKYKNESTKARVPASDQVILLPPAQRKAEGGVFIALNEEG VPNTPEDKMRLLKQDRRAREAARDPLRDYEVIHLPKYWRTRIHTFIGTTLLMAATVLA VSAFGPIVVGRLASTLVGNGKGKLVHDGYNWLFGAYIIYLSLSLGLFARRHIVTLSKA GRLRRSARSTRIKRTLIRYLAGAYGLMTIYGIIPFAVGLLGDIYGSTFSWTRKGSAGG RIVVHFWDTWALGTVVCSLSVGLMSNVNRMKPPRGSLLDKLKDQFRTPFPGDLSTTHK IFLPVIGSLLVFILSPFVVTLIVAGVTKGKYGDEVYQAILQAIIPLLLLLFAALAIRE YFTSYWGKMRQTMIDAEYVVEERVENYDPSKEDEKKKKQKQKKTEQKKDNEVEVDEEA EENEDEDDWEDEEQEEQFRDDVVVVE I203_01177 MRLSTLALLATPALAAPGLLNFQSPSEIASQAIDTAQSWLQDSV STAKEKWDFLENDSVEESLKVENIEKDGIEYLTLSHSAFPQHKLRVVKTELCDPTVNQ LSGYLDISETKHLFFWFEESRSNPKKDPLVLWLNGGPGCSSTTGLLFELGGCNIAEKG ENVTFNEHSWNSVANVLYLDQPIGVGYSYSDGGEQVNNSPAAAEDVYAFLILFISQFR EYSKQQFHIAGESYAGTYIPNIASVIYKNNLALDLAPTPGVPKLNFASVMIGNGLTDP HAQFGSVPDWACDHSLSHYAPYEDPEGSECVSLRAKAPRCQNLISSCYKTNSKFACVP AALYCWSLFNEMQQLGLNMYDVRRKCDKSKDADGPLCYKEMSWMETYLNKPEIKKQLG APDNVEFQSCNMQINQNFLLQGDATHNSGALLNDLVDSGIRVLIYSGEADMLVNAIGC SRVVDNLETKYSSAYAKAHKKVFVDAKNVTAGWTKSAGEGAGNLAFVSFRNAGHMVPH DDPVGALTMISRWIKNEPLA I203_01178 MSMSTSDQQVWKKVLAAKNKYMTMPQIEASFPTMAKKAVTQSTA NLVKLRLFSTSKSKDDDKTILFHAHTAEEAKQKSAMTPEQKIVLQVIKQAGERGIASA QIGRQIGHETMPQAILRKTVKNLESSGHIKQFKPVNAPTTIYYVMADVKIPEEISGGI WFDNNQEYDQGLVDALCQVLQHRVYRLTYVDNRDRTGKADPAALKDTKESLLVPYAMT LSNSKNYSLLTPMALRNFVNKSKLTSAELSVKNIMEVMRALELDGLVESIKPFNASIT FQEDDDDHLDEREGSSSKRRKLNLDDGDDDEGLDSEEEAKAKEKAKQKIKEKKRRERQ KEKKKKMKEKKEKKMEKKRKEKEKKKKKKKEREREKAKKKKSMKNDTAISDSDDDQLL SINDESSSKKRKKRSRSSSVSSVSSISSVSTVSTVSTVSSVSSVSSGSSDSVSSVASD EIDDVLLPIKSKSYSTNNNVPNNNIPNFFPGGLGGGITDLSDQSIIYKATNRLVNLLK GQNEIPCGKCPVFSFCEEDGPVNPKGCTYMSQYLDDEVGGWDRRVLGKMRPDLAEPEP EPEAEPELQVEGIEGEINGNGDYDMDEGR I203_01180 MESLSLSSTTSPLIIPSDIPLPPLPIIQDVDIQRKVFTHSSFIA KPKYSIELFENDEESRDNEKLELIGDSLLGKWYGIALSSKLYSVKGSDNRLYPIWEIK SSSVLGLLQDLYPNLSVGQSTRIKSSLVSNPTLREICRRYHLNEKLIAPPEQLPTLIN GEKVLANLFEAYIAGVYYSYLKHGPAPTSSNSTLPTPPRSPNQHAHSLSPSSSSLSVY ISASGEASSPTRGQAIDHLDLWLRPLFQPIAESMLDQLKQQQIAKHLLIENDEDVNTD KNAIGANSRLNQWFISKEGGMPDYASSTAGMQGWKTLCTAVDRYGKNWYGEATRSTKK AAMAVAAYKVIVQFEQERPDFKA I203_01181 MTKSDMSDDIAYNPYRSRITKSLTLQWPRLPAIEDAQFKSYATT HSSIPLSDIDSRYRYERLAFVGEGILFSFVTSLLQDLFPDIDRESVSTLRSKLLSPPG LSSVSIHYSLPSKVIISRHLLEPTRNSIKATSEMSEAYLGGLFYSYEKHFQEEMIDPA LIQNTERIHKSNAGEKQGDNTKDDKKNKKKKRKLNNDTLENSRENQLPSYNNHSSAQS YAYAQIEPFLLPIFTSLAKELYDPNECEHKRLLALSENSKGELHILLGQNKLPMPIYT QDKVLPPDEPNNEGGSESRKVRKSERGLKWKISCIIVLPEQEIFIREEGIASNSKDAG NIAAYLALKKLREIMLDQGKKGTEEGEKEM I203_01182 MSETLHQAGNGNTTDIEVPWPDLPPISDAALQRLSLTHKSIGSI ASKEGYEMLAHVGDAILLAVNVEEFFITCLLRDLFPKANKGDITELRSRLVDREMNCQ IAEHYELPSNVKAGKGVSETLQDSIRQKGELWEAYLGALFYSYVKKFDRQETETTMNI ASLSIGGHSSVTSPTDNHHYSHIQFHGRAFDSLVPFLEAPYIPFARNVVDPGMKHQKD LLDIASRSKSKAELQEMKQKEQIRELVDNKGKENGKPTLVKDEDGNGGKDKFKATCEV TMNDGIILFGEGFGRNYKDAETIAAYMVHVEYKKRTHSVSLSKKMTHKRR I203_01183 MNNNDSPTSALVILDKEYTYPPLPPITDAKLEEVVFTHRSLVNA LPVSMRGDMESYDKLAHVGDSLLSEASMLSYHQPWTIEIIPIYPFHVSY I203_01184 MSSQSDALDNIFQRLASRNEDVRIQAGQDLRDHVTSYTQEYPGH DGMKGVWAEVFHKTFDYTRSNNQFERLGAIVAIDHLLDLTNDDTPDRAQQKVLRLYEY LRPLTTCSDSTVMMAAAHVIGDMVRSAPALHNEAFLLKEVGQALTMLEDSRQEVGRFS GVLLLHAFAVNAPAVFQQWVPKVIEKIWIPLRDSRTMVRERASKLLSACLDIIKTREK SPTETYRKIFEEARSGLVKANSSDAVLGSLLSFGAMLQNQQISMAEYYRSICELTLKY RDSKEVVIRKAVIALIPSMATYDSDEFEAHYLHRSMAYLLQALGKPTDRDIAYVALGH MAVQLGSKMRPFIDDIVKIVREHLRMRGKKNAPFEAPIFQCLAMLTTSVGPMLTRQMH DVLDLMFPWGLSEALFHALEVIASHIPPLLRTIQERLLDSLSMILTGHAYRPLGAPAP RAGVQRDLSLLQSSAGGHSPESLTLALKILGTFDFSGHTLNEFVRDAALPYLEHDSPD VRKEAVLASTQLFINDPICHQTSSHSIEIVSDVLEKLLTVGITDPNPLIRRTVLENLD EKFDRHLAQAEDIRCLFIALNDEVFHNRELAIGIIGRLAQHNPAYVMPPLRKSLINLV TELEYSTNAKQKEESAKLLELLIGAAAGLVKSYAPTILSVLLRTASSAETSVAVQAHC VMCVGELARVAGEELIPNVQTILTLVIDMLNDQSSTLKRDAALKTLGQVVSNTGEVIK PYIDHPQLLGILFRFLRTETSQAIRLETIRTMGMLGALDPFKHKLLQGGADDPNTENA SSRVNDIVLLNQHNGSVNEEFFQTVVIHSLVNVLHDPTYKDHYEAVDAIMMIFRTQRL RCVNFLPQIVPAFLNVIRIAHSSRTELYLKQLAQFITIVKQHIRNYLNDVFDLIHEFW NPNSTLQITIISLVESIAKAVEGEFKAYLPKLLQQILRSFDGELTAKHLPELRLNTLL HILRAFYVFGSSIEDYLHLVLPVIVRSFENPLAPDSLRKAALRTTGQLCRKVNFSDHA SQIIHPLVRTLGNSSEELRSTAMDTLCVLVLQFGPDYAIFIPMVNKALVENKIVHPGY DQLITKLLNRERLPPDLGPVERFANDLSSEQLAPAEQMQLKVNQQALKLAWDCSHITN KVEWLTWITGLGHEMMRESPSQAIRAARTLALSSLSFSKELFNVAFYSCWQELFESYQ EDLWHNLELAITNPSVPPDVVSIILGATQFLEHDEKEVSIESRVLGDYAAAFHAYAIA LHYKEQEFFLDPSTAVVEDLIGINQKLQQSDAAWGTLEWAQSHMEMTHDVMWYEKLGR WEEALQVWNERSEDPDSTYDESAVALGKLQCLHALGEWEELSDFVQIRWANSTQDEKK LMAPLAAAASWSLRQWDLMDDYIAAMKNDSADRNFFKAILAVHRNQFSSAMRHITKAR ERLDGELTSLTGESYGRAYDVVVRVQMLSELEEIISYKDHADEPERQATQRKTWQTRL EGTQRDVEVWQRILQVRSLVLTPNEDMDTWIHFADLCRTSDRLNLAEKTLTSLVGFPY PSMDPESRARAPPPIIFAYLRMAWAKNLQSDEKDERLVTLQHLRDFTDQLSADVGLGA RDQYGRLMLPDAKMYGEYTKLLARCHVELGQWQAALRESQTTSDPTGILQDYSLATEL DPEWYQAWHTWALANFEVISQLEVSQAGLSSAHFTTYIIPAVEGFLRSIALSPGNSLQ DTLRLLTLWFTYGYQHGVSTAISQGIHTVNVDVWLEVIPQIIARIHTPRQAIQQLIVR LLHDIGKAHPQALIYPLTVASKSNVPARRAVAQGITAKMREHSANIVDQAELVSNELI RAAILWHEMWYDGLEEASKHYFADSDIPGMFAVLEPLHEMVERGPETLRETSFVQSFA HDLRIARDHLRRYKVHGDLTEIQQAWDVYYSIFQRLGKQLKLLNVIELQYVSPKLMAV RDLDLAVPGTYQSGKPVIGIQSAIPTLKVISSKQKPRQFSLRGRDGKEYSYLLKGHED LRQDERVMQLFGLVNTLLNADQECARRHLSIQGFSVTPLSPSAGLIGWVPHTDTIHVL IKQYRDQRKILVDIEHKLMQQMSDESYDSLPLLNKVEIFQYALDNTTGQDLYRILWLK SRNSDVWLERRVTYTRSLGLNSMVGYILGLGDRHPSNLLLDQLTGKIIHIDFGDCFEV AQQRDKYPEKVPFRLTRMLIHAMEVCGITGTFSRSCEVSMEVLRENRESLMAVLEAFV YDPLIAWRLNATDKRPGGVPEGDDLDDPAAYAKQRKSKANETEILIEAERAEVKNDKA LQVIERVRRKLTGRDFKPDVVLDVKEQVEKLVEEATKVENLCVAFLGW I203_01185 MVNLMRAAIVKVFSTKHSLTLPASALAYIEQVLLENEIPEDEWV VGLEFWAREYLKGEDSSSLVSLPALKRAYESLQLGTTDDTAQADPSEVNVESHFSVID SFDMPPVHFDAVRGGFTTSRPKPSIAGQASSRSAFLRERWGIIKEIILRNENFTPPVI GGHDRSNYLKLTSTRNLLGRAGQLFLLFGMLSRDPEGRLCLEDGEGRVVLDMEDAVPG EGLFTEGCMVLIEGEYTIDETIRVLAMGHPPSEKRDVARGLHGHVDFLGGGAMSLKEE QKYQPSILANTQVSFVVLSDVWLDHPRTLPALRRMLEGYAEAVEYRPMAFVFCGNFSQ KGWEGEGGLKRYTNGFNALTDLLLEFPLLHSTHFIFVPGPLDPWSSTTLPRPAIPSVF ASRLTQRLPKARFVSNPCRLRYFGMELVICREDLMGKMVRNLVGVKKEGQADMKRYLV QTVLDQTHLSPLPISIRPTLWEYDHALRLYPMPSALVLADKYERYELTYEGCHVFNPG RFVGSGGEGGGEFEWSMYYPATGRSERSALTLDQ I203_01186 MDDYIGMERIVQIGYSGYKRADFTTGEEAAAKKASRSFKKYSYR GVELDQLLDLSNEDFIELVHARARRRFQRGLKRRPLGLIKKLRKAKKEAGPNEKPAMV KTHLRDMIIVPEMIGSVVGVYNGKTFTTVEVKPEMTGHYLGEFSITYKPVGHSRGANM KDSRL I203_01187 MRSSTISLLSILPFITGSALASTKSCSAKGTTGSSVIGVAAVAT DGTTSAVAPSSSSSGDHTPPVGEVVPTSAAGDESAVSSVAAVDTGSSSSLTSASAALP TSTGGSSNSTGGNSNDPTISSGSHPTFEFKQPDKEDCKCGYKVSGLGDIYMPFKFQFN FSDIGDAGPFSGPDDLKQYGWRINQGHHAGGPSSNGTIWDEASGTLVDDPIYQCLGDP GSVSIQGGNLHLTMKGGQTPSGEMKCPEIIHDNATLYGIFQADIQLDNTPGTCQAFWM NHTIPGQYADELDIEALGGSMLEPTTEQPLPGLWSTNWDPNGNPNEPLDLNHTTGTDG KSPTPFPNDPTADFNSYIIAWVPGEYSPRYYNGKEIASPSQYNAIHAQEATFNNWSNN NKWWSGTVPQSDVTMKVRSVLFYYRTEEIQSLIDGCKEEDVCTV I203_01188 MSMRKLAAEIDKTLKQVAVGVETFELTFDKLGHATNSTQKEKTE NDLKAQIKKLQKMRDQIKAWIGNNDIKDKNALLDNRKLIEVQMERFKALEKEMKMKAF SKEGLIAKSKLDPAEKARRDMIDWVGNTTDELSRQIEQTEAEAEALQATRKKKASGDR LSELEELNERRQWHISKLELVQRMLENGTLPVEDVENVQEDIKYFVEANAEEDFDYDQ GLYDELNLQEEEDYIHDYGHVDEGSNVDDASVADVTESVSTGAPKTPAKEDKDSSKKG TPASKATSTTTHEDAPSSPVSAKKVPSRKAPLETKTKSSESIPPVPSVPTPVPAPTPK AASLPPIRYAAAAAAAVASSAPASSATAVSQPGPETSPSKANDSLPPAQLTETPQEEA PTPAPAQNPPKVSSPDQVDGQANGHSPAPPPGISATQSPLPSTQQSTQLQTPAVPPQT AVAPPGYAPQHAESSRAAQQPPQAAPQPIQPGVMGNLMHSFEMAKELCKCGVITIEYI SSYANSVFIAKRRTNDVHELNAALDGSYGNIPQQLDAEPPRYYHPKNPIKTPSYYPQS RLPILEDKSIYSRLDLDQLFYIFYYMTGTYEQWLAARELKRQSWRFHKQYLTWFQRAH NPQAITEDYEQGGYYYFDWENSWCQRRKSDFRFEYRWLSDH I203_01189 MATITSTYSSPTPPAHGHQQRDPSVNSLVDHSYSGNRYKAQRPR SRQPSSSAFNDEGSEVDVTLDGSSMTPNKGEQHMTVDYATVNFTERPETDSKADLSTA NTYKGTCPSYQNSPNHLLQSARPRLVGSGVGAINRHLNGSTPGRASKNVKVSSFTARV SAPPRAINYIKGFVPPAVDTPPGGLNEGPPVETGNMLEQLPNARDRSMVLSNLRGGVP TFTPGAYYNPTSYLHSASLSLVPSAQFLPFTPRSHLLPDLTRFASAEYIASLIAASPA ATTSDGTPITRPFSLAPLPYTDEDIPIPQPYNTEYPHPITAYASPRVNHETVKRSGYG QLLKALVEQDLPLTLGSRLYDAGFRDLDSSVYLLFSFEGSQGNGIPESLWSKLENVTS SRLPVGSNGVSPFARSTTATSNAVEIPPRFAQLNNQAAIEFGMLTPPGSTEEVDYFTK PINNRLPDYDPTPRPSPDYQSIKHASSMANMRVIDHSWDQRGSGHPDQCPPPSSVYQP RTNHSASSETIATGISPFYKTELCAIWQQTGKCKYGGQCQYAHGVEELRLPRHLQNAA RTSSPAKEGMITHPPHDYEANNGIIYPSPTRSISLRPHARGTASGISQAHSQARSEPR RSSCPPQQLAALAEGVEDDHPLPLPARHQSLAAPAPIGAERTFPTSTTRNNTPSTRSS ISSTEKWEDMPPFTLAESSFASSLLRSEPSTMSLSLSTSSSSGYSLFTDYGEKATTYS PIDGDITISDHDGLKHGYSSQPFGGMKGFEASNNGRSHWK I203_01190 MSATMVAGPSTSTSSSSANLFARPDTSICSHLSSLLSSPSHSSQ HTLGTQDGSNASVPPPATAMRKHEVEKRFTEAVRWGALAEGAKRRKTTPPQCHTCSTT LTRPWACLTCSYIGCLPFTGVFSSSSKNCMRNHWQQSNGQCGFAVDPSSGAIYCSSCS DTIYPDQFESILRVVKIRTEEMHDKSREPGAVGGGRGRGRGPWKAWNPSNMVKIEESE VAKSSCRGLRPLLNLSQTCFLSAILQSLIHNPLLKAYFLSDKHNRHVCPNGSKGLSVG KPFLGAEAPNGAPGIDREKGCMCCEMDRAFEEFYNEDNSPYGPITMLYAMWHASAELE GYGQQDAHSFFLAALDQIHAHAKGQLSSCNCIAHQTFAGSLLSSVTCSSCSHTSSTID PILDIQLDFPYSPLSGSSTATITSNASTSSSEGIGGGSNGNSQLTLAGLLRRFCASET IGGSDSGGKGYECSKCGGGPGTHATKNLTIKKLAPVLSFQLKRFAHMTTSSSKIETHV RFPSTLDMRPYVDTPSPPGSASASQSTGEDIKPTIREEQDILPDSLFMYDLFAVVTHE GKLDNGHYWADVREGEEWWHCDDDKVTPTTLSSVLSQKAYMLFYVKRSLAYAQPMSKL LGTTASTTSLPTLNNISSNI I203_01191 MSGPNPLLSPPFYVIPGIDPNAPISAQTEQIDQLNTLLLQEIDA NFARFHQIVTSRILPEIKRFAIAGEPTREAAQFWRSFFEAASSIRTTSLEEPSIPSQQ DISAQYEDQTMTLRRIHDDSSIISNNDQSGSSFIFDPPATSSTPLPNTAHKGKSKINE SWEHSMESPFDRLDRKLRDDLKIGSGNFINDQSGFSSSDLPTPSLPSGYSLPHLGSTS TSNTSKSASLPSMNWNQSQEDEHEGYSTGTVDPQDIQRSQHTSHPSRPNSITPKANKI ASASTSNNPFGPNFNGIVDIRSTPLNAKSMSKSKKTKKPPKQSILPGIDDVESSDEEL PFGMSPPVTMKFSLPPKARAIFDMSRTPGKKSISSHTQSNTADVYGNNTNIQSQNRVQ SGITGDGEKQAKYMIDDLLEEMGSELSPRLDTPEGLGRYSIMPGELQPGEGRLLFSGQ SQHRQLQPVLQEEEEGEDQTYHLTAGVGASQDGRRLNRRSTGVNTSFGSDMIDLPVGQ VYSAEDSFDNEDSFASDLNDDDDGVYSQPTVTATGSIAHTHTGTGTISSVPYSTHEHM IADDSYLSSEGDLTTTSEAGVIFGKQPNYLPQAHQRRQSTLAGVGPVQGHAPRKSHFE LMKMEDMDTYHGGRLEDAAGNDVANSPTNALARGRGG I203_01192 MWSPGLIVSIVLAARFGLSQQLAFSSSYNEPQNSSHQQHIPQID LTDDDISTTAESIVSILSSSSQHTITLHLLQRAKCIPLLAHIGNATVFAPTDQAWNDW ADKHKPKPKEQGDSDDVENDGWLGEGGLEEWLKSEEDVLSLRIAQGEETEEYQRRLMD NQNWLLRQHLLYHMLNYTLSPSAFLASSIGNNITIETSLLYPLDEEPKLPPTPEPGPP WLPRGGEGLLGGHGQRIRLAKITGSDSERGSIGVDWNGQNGVEFWDGKGWEDNDDKPD PNPNKTDSEIEWTSTLMMLNEKERNKLKGIRYARNGVVVGIEGVLDIPPSIEETIRTH PSLKYLSRLLTFNALPSPLPDSFATSPHLTIFAPSDEAFSSAFDDVEKGYLEGPYGEE GTARILAGGVVLGVGKGHVGWSDALGNKSLEATSGLDLLVNSSGPGQLAINGTNAQTV DIFASNGVIHILPNLLIPENFTLLNSAEKMLLSLNATRFVSLLRSANLSDAYIGPSGS DGHRKNKEGEGWTILAPTDDVLDIMDKWDGGWAKKPIQDASPLAALLQYHILPGRLLP LDIKDGMLLGTELKASSLGGGRQRLRVDVSERFQRDRNDWEAIGEGEIRFGGATVLGR PVKSGKSIIYLLSSLLSPPDDVLQTAVSDLQLSTFIAAVYAAELDKAVKRTPATTYFM PRNRAFNQLGLTMRYLLLPEGKDELRKVIKYHAVNEIIYSPDVEYGKKVYTTLEGGMI VVDRTKGKNGSISLRSPTKWEGFDSGESLPSNGELRSARVWHPEALTNTGVIHTIDSV VMPSDVKITIAKLIRGSKQNTMGDLMMRAGLGWILEGREPASGEMSIKQLKGLIEDDD DSSDEDDHEDKDKEPNVEDLALPSYTLLVPTDKAFSRINLTHYLNDKEALLDLVKLHI IPSTASSISAGTGTKDAGKNKEPINPPRDGQPISLDDDLVYKTLLSSDKNKFGELAFR ATGDNSFIVGIKGARGNGKDIGVGNSARIGQTGRATVRWKKNHVSHTDDIHLFEDDHE HRKRGKGKKDDRDEEDEESKRLWKDGMTLGGGVLMIDSVLIPYQPSWFSRWGWLVITL SGIGVVILIAAVSMGWWLMTREKDENQYEPLEGEEEE I203_01193 MRILLFTIIALPLCLAISPLPTPTIASASPANLQSSIYASPTAA PLVERCDEEHCSFGGSESTLQASVVTSTILSTTSVPCYITTYITNSETVTETIYSTEI ITSTMTKDGTVFIIQYSPTPVLMSTPITSVMEITNTWWSYWLTSSGDSYQVTSKGGET IYGGDSNTYTQQGDGWSGNDNNDGWSSDEVGGWSSKSNENGWGNTDTGSAWTHVNNNN AVSATSIPAGGVTVANNNGWGTTGSNGVMGTGTNYDGLANWNGGSSRISRAKGWGTKV TLTIAVAMVVVWEINHFFL I203_01194 MAARSSLVTLRAAARTAVRPAIASRSFRSAAVLREVKPTAEPSV APFTEPAGINPAEKTAPLNSPLHEYGQYILTCLPKHVQQFSVYKDELTLYIPPTSVVP TLTFLRDHTQCQYKQVMDITAVDFPSRVNRFEIVYHLLSVAHQSRIRVKTYADEVTPV PSSVGVFNGANWYEREVWDMYGVFFSGHPDLRRILTDYGFEGHPLRKDFPLTGYSEVR YDEEKKRVVYEPLQLTQAFRNFQDGNSPWEQVGSGNPNVRPEEFKIPPPPPPKEESKD QKK I203_01195 MSESPSNNANDTQEDTKPDALTLSNGTVLVATKDEEGALAEKGE IPIEEQPKGDLTKITSAEDNRPASALDGVEDEKERHVDEISTSESSTEQSSIPQEPIN TDLPSKTESSPPPTPTIAEPLLSENHPSHPSPILRAATPSSRTSTPPLGSTALAKKKF SSVNVNQKFLSKAGSPAPTAGPTKISSLNGRPSASPVPISSSSSRLLSTKLTTVPTSK SSVSPNPPSSASSSPWAKPVVPLPTEPPAPASTPPTITTTTLHQPAPTRARVLGTTTA PAMGAGLVSAMVPPKPAWKAVSGESRKPGLGISRDFPTAKEVADGKKAAQIAAQAQAA HNQAILQELNTFTQLDPGAHRWDEEDEDDELLDFGDGTGEHPHINPSVHDVPESQPVS KSERFAEDFDRSWPRKPLPSLEGQQGLSSSRRPEVDSNRVLFNASSNRLETSRQPPSS TMQPTRLMSRPTDSGTRQPPPHIAGGRDLDRPLPPHLAGQAGDRALPPHMSHPPSESR PAQSISAAPPSTRSAWNVPRDNERHLPPHLAERRDLPSQSNAFAQSRSPEKSLSDLPA RRSFGQAARPSVADIAPPAVDQPLTSPTIPVDAQSAEMHTAAEKAKARRLAEEADREA AAERARRKAKELEERFKSTTSTHEVKTTQTQTPAEILKEIPQITLAQRPRPTHHEKPL PPNLGLPSRPEVGDRHISGMSGRAAESTWRRGPQSSTQAEEASHPAISPPAAHIRSSR PTAESFFEAEMGDVPTVSLSHITGTTPPKKEAVFDDMLARIQAAMAEARATPSTSADA GPSTQGAPQSPEAVRIQSRPVSHNMPSKPVISNVQEYFDVSYPEPPKSPPPAWRTYTI KLPKPQPARIPIPRARLSAAEDPRTPNPHGWLMSFNPPLNGVNGLPLSRAELLLPKPI PNRFQRSAPVVSISPRHLEPFEKKIKKKPSTIEAARTTSDQPTASAESLLPAPTTLKS QSLRDQRTRPDEWRQAESSITVEKPIPPPAEEIPKVEPPPRKIKSPVKTSAAAKAERE GRFAFDGVTIGAPEKERMALSDKPGVRFMVSSELEGDSLLDEVNKMSLETLGEDEKAQ NGVGVETAKIPGAEAPKTPPLPRPASPNTSTWPSTSLSYPTSHSPARNSSQHDHNAIK SVWEAQQAATNQSSELNAAAPMYPSLNAPSAADPPAVQHAAGGGMKMAFSNSQTFSSP GAGPSVPSASSYGSLRPSPATHSQYGQFSTPSPDNPNHHMIGMNYQSMTNPRAGTNGF QQGVWSPTAFGTSMASPGYGYTVPKSSMSIDQKSPVAMGYSNAKSPESMVYPGYSTTA NVYSQQQQQYGVTPGNYGRGGINQSMYYGYGAPGQQTQGVRPVVAGQQQQGRFAQANG EYGTQSPQAQQQYNLDQGGYYGGLNSHQIQQAMYANNGGAYAHQSHGAVGQNQNGRAT MGGTRKMW I203_01196 MLAIYTSASFGVLSLLLLGDLANAKPHHSPLERRMNKHRRRGSL EGSISPSPPPVPLIPRGESDDNVVWIDITSTTTVTASSTQETSSSSDSDKGDGDPPAV VGAVLAGQDGGSNEEPKVVYVDITSTVIRTVQHGDSGGGAQATQPQNSQNSQNQPPPT TTITATRSKAIPTVGAVAISTGKGVTTTIMDTASGTAAAMSTASALPTNGANEEAQGP WVKYHNEARAKYQVGVLQWRADLVNLAKEKAELCNKKHTVAAENLQWGSGMGTPESAV TGWMGEDKLYNWNNPSYSDATGHFTQVVWKNTTSVGCWIAECPQGSVVGPQYDQSFQT ACEYDPAGNFVGEQNFRENVLPAAY I203_01197 MTTMDNQSNHLQVDGQGAQVSPTSFGSRTRIVPSQNTEMEMDSN EVAMMDQNKMVDYSFFHTKKFGICFLALCLNVMIFGLDQFIITVAVPEIVTRFESLEQ VEWLNTAFFIPCAGCILIFSQIMTIASPRWTYMASLAIFEAGTAICGAANSMTMLIVG RAVAGLGGAGMWNATYLIGGEINPFEKRPSLFGLFGVSFIVASVMGPLVGGAFTDMGS SGWRWCFYFSLPIGGVTGLLLLFTLPSVPKLPPFDGKPDNRPVLIKLLRLDWISAMIT LGFVTCLGIGLQYGGITHDWNDPDVIVTLSLALALFLGLIGWSYLMGSKAMIPLSLFR SRHFSAGTWVAFLGYGVVVLYLYYLPLYFEAIKNDTATRAGVLLLALQLTMGPFLVFS GKLGEKTGQAKYPMIIGSCLLAISSGLLTTLKKDTYVAKVVGFMIIGGTGLGLVMNVM VVLVQAKFLKEPHLIPHVTNVFNFWGFVGRIVSMSVGTNIFNNKLRLNLNRLPGLSKS LVIFITTGPKAIWTQVPEKDLNSVLDVYSASIVKVFWLALALSIACLIATLLMENINL KEVAEEAKLVREANQNTSYPMQSSASLSKSKDTNESHTLDGTLAELQPSYQGWKQ I203_01198 MLITKTLFLLLPLLAHAKPEHCNSKSASSTATAPLNQALVATSG RGQRWGGRPTSTASSEPEAESESDSTPPSSAVSSPVSSQPSSTVAESAIGKAGTASPT IDASSAAASSAVPPVSTGGSTTSNSTQTSSGDCSCGYILSAYDNAYFPKALVVSFDSV SSVAELANLGLRVQDGSQVGSIAPDGGKCQASADNVAIDRGILKLTVPGGQSAGGIIS GAEIETMNPVLGGVFTMSAQLSPVHGTCQAIFTYTENKDLYSDEMDIEMVYIEPGLQL TNHDPSGSGLNDFENNPFPNDPTADFNDYTIGWFKDGPKYYYNGAVLTGPTQYPSVNP SKIVINNWSSGKPTFTQGPPDDDTVLQVKSIAYYYQTESLASYPAWPSGCSEADACVV I203_01199 MSLLPDSRQRAPFAHQSSSADLRSAQNLSSLRSPPSSSHSQAPW IPSSISPEPQSNQHQQSYRPEKSSSLWGAPLTRKDSNDLSPGGYADNPYGSSVPGNSY LVDGSTGQRRNSISASSSRASSPGPFSLPQFSIPGFATIRFVSLCFLWYTCSAVSNNT GKVILNNFKYPVTLTIVQFFFVAGCCIICSRPELGWTPRLRSPTRAILKGILPMAAFQ VGGHIFGSLAISRVPVSTVHTIKALSPLFTVMAYALLFGVSYSPATYLSLLPLTLGVM LASSADISFNNFFGLVCALGSTVIFVTQNIFFKKIMPTPGSTETGGATPKLDKINLLY FSSGMAFLLMIPVWLYSDAWRLLDLWLHPIAKSGGPSVSFYFFINGTVHFAQNLIAFS LLSSTSPVTYSIASLVKRIAVICLAIIWFKQSVFFVQAMGIALTAVGLWMYNNAKRDV EKGEKKMRQVEAVREGMLPTTKVDQRILEGRANLDPLAYGGKASPKPTYPTNYNHQLQ MPLSTSTSFKKALYTQPPPPPTSRNTHTNAEASYPSPPNSTTSSPPAEPVFTNSHPRH RRLSESKQDGFRLPPAITARSSTIEEEGSGMSMDTAKVGIVA I203_01200 MIRQRLPQLTRAARRSFYPGRIPCSRSLTTSRASLTESDGVSSN ARVGRPHDISPRASNIPTISSDESKTKVSWPDGRETTFDNYFLFDHCRCPKCFHQQTK QRLKTLSEIPTDIHPISVEVDKTGVHLTWSTSDSHKSSFPLEFLRRSAYDPPLASYRD EKESRILWNSQIEQSPPSVRYTDIMSTEKEGDTSGRAILKLLNRVHDFGFCFINDVPA TGEETKEVIEKVAPIRNTHYGGFWQFTADLSHGDLAYSNEGLPAHTDTTYFTDPAGLQ IFHLLSHPSPPGTGGATLLVDGFYTAHLLSTLYPTSYSLLSRLRIPAHASGTEGTMLR PPLSQPSFRHDEKGQLVQVRWNNEDRGVISQGWTPDEVKGWYLAARRYDELNRSEDAE YWVQLKPGTVLVIDNWRVMHGRSAFTGSRTMCGAYVGADDWLSRRAALTKKNEIRKKN ILDEDWSVGW I203_01201 MSFRATRPLLSAFRNAAARPGFARKSGAVPLSLLVGVSSVVVGY SLVQNQIFKERFTLHADSDSGALDLRDDPNSKTAFRVDPDTNIQFPLDLSPTLSTPSP ILSLVGLGVRKVSFLRVKVYSAGFYLDELAIKELHNIKGWHNFTAQHLLTPPTKVPSA SDPLNAPQLSGEELMKNLFDRPVAIAVRIVPNRNTDFGHLRDAFTRALQARQKLARSK GELTDADEQRITQSIQALKSFFPAQTVQKGKQVVLLRPRQGGLIVEFEGKVLGQLNDP WIGKQLMLTYFADREVVSEKLKEDVAKGLEGFMKN I203_01202 MSHHPPNQQRRAQTYDAYSADYADGAGDRSAASVRSKSMRNPQH QPDIDPDDPPLPSYSALMASESAAAAAAAGGGLSHSPQPMTDSASSNHARVPSLDQTP YAPVDYGPPSSGYSARDNYGYGYDGNPNPNSNPNRLSGGTIGRRPVSDPNNIDFNQLS ITSNSHGSPPHPPLRQQTAPPHQQYPPRGPQPRQRTATGGYPADNMDGAASVYSLDSG MGAYSGVGGQPPSHHPQQQYQAPNYDPYAGGYAPPQAQQPGPGPDFSNPYYNAANDFL GLPPGSEQYAPPVASSSSSRVPTRQQSMTTLARTNTSATTLSNASSLSLSRNVTEQVG ASSTRRRGTQAAVDLNKPPYTKQYVDDYRKRMKDDPDPEAQFAFAKYLIEAAKKIGDE ISQTDSKLGRKYRDVLLQESLRNIKKLAEGKEPYPDAQFFLANLYGTGQLGLQVDHEK AYYLYLMASKLNHPAATYRSAVCNEIGAGTKKDPGRAVLFYRKAAALGDTAAMYKLGM TLLGGLLGQPRNPREAIVWFKRAASQADEDNPHALHELALLHERPNNGGVVPHDPNMA RELFTQAAQLGYAPAQFKLGSCHEFGTLGCPIDPRKSIGWYTRAAEKGDVEAELALSG WYLTGSEGVLKQSDTEAYLWGRKAANKGLAKAEYAVGYYTEIGIGVKQDIELAKRWYM RAAAQQHKRAMQRLTELNNAKNPKGKGNRPTRHEASAECIIM I203_01203 MAHPSPQVVPSLDELINLFATSSSSPATLAPPSAPLFGASSTKS ESQSTKPVENLKPNLIPVFVEIPADLLTPVSAYLKIAKDSKYSFLLESVIGGENLARY SFVGADPIKVIRTGEGFDVEGDPLTALEKELEPYRFVKIPQIGTLTGGAVGFITYDSI VHFEPVTKPKEPLHNPFPGMPEAFFMLSSTNLIFDHIYQTIKIVSHVHLPDGTPPSQI PALYEECVGRIETLRRKLISPEVPLPPQGPVHLGYEATSNVGKEGYEGFVTTLKDHLF KGDIIQAVPCQRFTRKTDVHPFNVYRQLRSLNPSPYMYYINCSDTQLVGASPECLCKV ENRKVQCHAIAGTRRRGKDAEEDERLSKELQEDEKEKSENLQLTDLARNDVHRVCKPE TVKVDRLMEIEKFSHVIHMTSQVSGMLRDDLSRFDAFRSIMPAGTLSGAPKIKAIQLI MGLEKERRGPYGGGVGVFDFDRNNLDTCICIRTMVFHGGNVHVSAGAGIVIDSVEEME YMETIHKSKACMRAIEQAEDHYHSLQQSS I203_01204 MSAEASSSHIAPGGATSGLTINLHPLPILNVSDHFNRSRLTSSG STKIIGALLGTESNREISIVNSFELVIGSTDGDVDMGESSTSAAQSNNSTSLNTTFFE TRKDQFKQVFPTLDVVGWYTVGEKPTAEDVALHRQFTNIIDTPIFLLFNPNHPPSSQT LPVTIYEAALAEGAKDDSTDGKFVELAHGIETGEAERIAVDGVSRGGMDGEGEESTVV ANLTTQRNAIRMLYERVAVLLQYITGVINKTAKPDHNILRQVSALVATLPTMDAKEFR DELTTEYDDVQLTAYLTTLTKQLSALSDYADKHNLLHPPPSDDFGGSHGGSRGGRGYG GFEYGGGGGGRRRR I203_01205 MPPSAITSSPLKYRQPVTRQRSAEPFAPPVPAKPIDLNSSTRST DRETGQKITRRAFLCDIVVENQGEETGNLLSYLGTPLKPLSILPSPPSTTNGRPTTPS RLSVPPDSDDDETMGKSPGWSGHRSEEMSNRLNNLIEELVRTERSYLSRIHALKTSYA DRLRLFSRDPNQQLIPPYEAKAMFANIEAIVPASAAFLTDLDAMMQSGRAEDTVGDVC LKHFKNLRTFDPYRTYLSKQDESQKLFQDSLKKFHGFASFIESTKYQTTGIGNIGLRE LLMEPVQRIPRYTLLWQSMIKCMSPISSQHAKLLEAIEIASGIARCDPDPQTVRATVM YHLERNIDNFPAKLFSNSRDYIDAIDVEDLPAEYPTSPPAIHAAATPLNCTLFLFDDK LMIVKRQSGSISGRKVTGTDDIPRLVRGAAIMDKNPIKKDKLGFRGEVDILDVIASDT GNGDFHLFFERPPMDQSGRWSARPFRSYTTVHPPFSTALDPVATRRDKLRFIQNLWAA QALARTKLSSKEGKNLARALMSEHELSLEGAGEPMGRAKCYWDVWEKSAWLAHRKAKV AVHIDEEGLAENLPVGEDAPLLCIRLQPMTGGLCRFSYSTADAVDEDRMVIDISEIMD RVVTTVHKYGIFKFRTGSTSCPTTPSAASHRLRPSMLNLDAISRNLFGVGSVSGRSDV FSTKASRSTGSRSSTMDLSTDNSRKRLSYRSSSPSDGLFKSSNHLIAGAPYEAELGQS ELDLNARLDMARNNSRSVVSTPNKLGSKSVAEIRQNRKRHCELPVSLDTTRLYTDNTV RSETPPSTTAPLRIRKTPSPVRALSPTMDATPRATVSPIPSHILPTLAQSIANDDPIF SSPRQIPSPALPSAPLSPRPIGPRSPVQGSSSNLPSRLPVLVQGTTIGSAHTRLRIVS GGGRRISVGREMVPLKGCEESESTEAQSTPTTLPAAKRQHSADNLTPRKRSPTRSPLH SRDVSDSSKVSISNKPGSRRSSGRYSARRSSGPLTTPRTVSASQNSVTSIATNATVED IEMKEYQDLETALEGASKKIRDALGSNKRLKSEISGLRKQMTKDTKGKDLVQRLERNT SLPRSPQRRNMNRIVDHDPYDSVSSRHSSSGRQEIDAIVMDECARGITSIVERVDGHL RQAEMGNNQAMNLAKRLLLENDQQSQQIRSLHGQVIRYKEHQDLLQRQLGDAQIELDV IYEAFNTELDGMFNDAQLPETEAFTALQNDLRATKASRNELDLENQKLKRELEEANLQ KEQ I203_01206 MAAPPPDAPPPETPPLLPIPFLDYRWVHAGAQHLDLLPTPITSA STIYKSFSQSESLRIEERWIQPSDDERRKIIREWGSMEGEGAPARTKKKEKEKEKDKA RRESVTSVHSVGSTSSHPLDDKLKEKEKEKKSNGDVPDKEHMRSGEEQSRAEEDLSDS TDQKYREVISKVQREYDDYEIIRGVPVSQDSLFEVSLPTLSLHPVFWAHTGSRVPVLR GTWFVENETRPCSWELAEEIERAYLEIQPWQPSYKHELATALSLGTAGEEKLKYTLPS KFGHGLGIIFEDGEKGRLITTGALTYLTRAFWASLRAKPSGTYVYRGFGPAAAATGKD KDKMENSPGRSRPHSRRGSNSSQRSAKMEKSPSMHHRALSKDKGQRDHLGDKTETVVG AAVKGLGLSAGETLSGVKKSLEDLKDDERRKRPVGLSADTRDALEEENAPLVDAEEDD APCTDLILVIHGIGQQLATQYEAYNFVYAGNQLRQVLRKQSSNPALASIIRDRRCQIL PVQWRTSIDLDDEKTDEDREHGMDNRFTIADITMSKSIPYVRELTNAVLLDIPLYMSH HRQKMIEAVCLQANKLYRLWIARNPEFERYGRVHIIGHSLGSALASQILSNQPTKMPT LTQLPKQVITQTRDRFLFNTSNLFLCGSPLGIFLHLEQAQLMPRKGRERTMHSPQDEA LDRAGKYGCLAIDSLYNIFYYTDPVAYQLNAAVDVKLAARRPPLAITSVTAPFYAPVT DSFSSISKYLPSYLVGTTSGEKKAARPGVIRLPSGIEVKERLEGTRGERRFSALNPHG NVDFYLPSAGVSEYLDMITAHLSYWTDPSFAAFLLAEIFSTRLDLMRTGMGLAEQVMP EGTA I203_01207 MPDIQLIAARDTTSQAPLKPTRSILKKEWNVRVSPAVGRSRNPI RETLASITANQPSCSKTPINLGLGDPTHYPLHPPPPAAITAVAQAAIGGGANGYLNGV GSTEARQAVADYHERWDQVTYDISDIVLTHGVGQGLDLLFSVMIPPASVEKSNILLPR PGFAQYTALLANLDAEIRYYDCLEDKDWEVDLEMIDQLCDDNTRAILINNPSNPCGSN YSRQALKDILVIAEKHKVPIIADEIYGHMTWSAPFTPLASLSNAVPIITLSGLSKRFL VPGWRFGWLCLHDPLGIASSIKNGMQCWGNRFMGPNSLIQAALPTILATESEWYDEVI NKIEALAKIVHQGVSDAPGLSTNCPSGAMYSFVKIDLTAFPTIKDDVAFATALYNEQA VFVLPGLCFGMPGYFRIVLGTPSKVMTEVIKRIQEFCSTHSVINQDS I203_01208 MHPSSSRSLADRPNRPLVIKCAFDGITRKVTFPSAATCRLDSLR LRVEECFSLSASPFSLAYTDDDGEEFHIRSSRDLTEAITYFISGDDDAAPSTYSGSTS GNLMYAFPNQKITIRLDVLVEYDGPSLSDTSSVSSFRTGTGSYDETKSQSEGSWRSGG YEESSRSSRRYSQSDYVQESEVASEESTIQHFNSLELDSQPSGSRIQTLSQESTNSQT TTPFGDHDEDPPSLLTASDLGTRWLREQSRLASRKLVSAPRPVARSNRYDDSDEDSEE SDDERPGDFALVRDARGRYYYSYHTDASSHSSHSEFGEGPSNRPFSSITLSTSPPQTP LPHELVRIAEPAGPPILAPDCSACGIRLDYMRYVCQCCGEGEFWKENTSKGAKSLLWS SDSDSSSDTTSRTSTESRTVYNVATPSQLTASQIQSSPTTATYRYGLTPPDSPSSPNG DLLNGRRPKARGYELCADCIEVHGIAHTRAIAAKAKSSHAERRRRRQGGDVRHTFREK TWAAEGWVDVEYSEVNECTICRNPLFANRYKCVSCPSFDLCSACYHKVEEIHPAHAFL SLPDVLSSTNTSLGLEEVPPPRHEIPQPARHPGAFCHNCLQDIVGPRFHCAVCAVDLC IQCEAVVLDVNGTSHTADHIMMKIPVPISPYQVDDVSRRARDRWFHQDRTMATGTMSG DGETSSRSSSPTNDTVYAPTAARNPAISPPRLAVTTRDALDHGLKCGNCHEWIMGRRF QCANCPSDPEGYNLCSICELRSYRVHDPTHVFFRFDRPVHIPIRSSRPILPPLYRQPV GKVPTSALSTINPRDPTAYLKHVLHRETLCDVHGDQIRGVWLRCCHCAAGYDVCQEAE RASDHDPTHVFVLFKSRVDMNAFRAFADLGASLPKPLLKQQVYIS I203_01209 MPAGPSSRQQSLLSSSSSNNRSVPAQGQPQLPLWKSATDEDGPA DEELFAMDAGPSDYWKWSPSPASAQELAPINWRMPSAQPFDVDTVEDGPSDQFGIPPF RQQISGSNTPSETPRYERRLHDVLNDFTASPRTPSPPSPFPNHDYSRTFQDIIGEKVR ELDGSSLGDEEFGRLNDSAGLDKDSDRTPSIISNNLKKKSFLPSPSPNKRASFIHPSI SRLRSHMRTTSNSTQHTTQPPQLSHLRTASHFSQISETKSETFSVDSNPSQQQPEISS KNPAFAFHPLRRLSVNLFSREETPAVVDVRGMIAVGTDRGTVLVYGFDQNLKYILPNE GSDSPATAVTISSDQTYIAVGRASGNIHLYDLSNPSKASRTTVALTLPQVLSGRREGH LQNSRILHIGFVGARHTSIVSGDEHGRAFWWSLGKVIGVESNDVVRMLGSYPDPEKPP KKPTTLFAALPLPLSDQLRPVGSLNLSALLTPAKLVIVGLKPTPKTWYRKMRDNLGGE YGGSIGCAVWSPLAKEPILAYAWGRLIRLLYIHGKDPEFEEGKTFEASEPVRAMQWYD DNHILVISSSQLLLLSTNTMTPVETTLLQTRLLTSQDSFSHMAKSSSFRPLESLAGSV KCYREKLFLLTKTTLQVGTLQHWNDRILSHVQQGDFIGAIQTALAYYENRATGNTINL PEDIDTRKEVIAKRLHELLLASLRWAFSPDRLTENTHLGQGIDLTSLFEGLAAASIEA CLSMQDLNFLFDEAYEHFAQAGIQGIFLDRLEPHILSGRIRQIPPSVFQALIKSHEDK NQLDKAEAIIWNVDPTSLDINHATTMCEAHGLWDAMIYVYTRALQDYVAPLVKLINVV RDIQQDRLNRPSLVGDNAEHLEQWAPNAYKLYAYVESVLSGLSYPSGEPLPENQAHTA RTDVYSFIFAGRTISWPPSTDLILTGDGPEPPYPYLNLLLRFDTEAFLHSMDIAFEDS YLNDTSGAMNRQSIVNLMLDVMDPEYFHPGDITFLHIFVARNLPKYPQFLFIPPSTLH RILVSLASDPDQSTREDRQLAAEYLLSAYTPHDSDAMLELFDQAGFYRILRTSYRQQH KWGKLISTLLRDPESDDQVFADLDDIITLASASEEVFEAVSEALPHLLAIGVRQTATL LDRDLPSGHSSAIEVLSSAPHKQMAYLRCLLEPETETPSDHVDPPLRHLYISLLCQND PDHLIPFLDSQEPNYFDISQLAAECNSRQIYEGQLWALDRLGKTEETFDMVGNILRDL GLDLGEAILSDDLGTIHMAISNIQSVSRMATRLCREHSSSRIITGEVEDMWLGVLHAI IELVHSVSALRPVQDTTSANAAVAALRSTVQETLASLVNSSSPSLSFPRLFKKLVDAS TTGTNTGGQKGRTYAEFRTILTGMLDSYRAEGEMLSMTTRLVETDLFEILAILKTKRE SGWTLVSSACGACGETFDGEEMVVRANGAGLHRTCVDK I203_01210 MTDRAASGEKEFNAASTWLSSAPSAAALPNEIKLELYGLFKYIN TLAGPTGSRPSIFSPAPRAKYDAWAAQYTKYSSKGDEGKQLAQQRYIEIALQIGWSNN VPNDEEDDVDLENLDDEPIRNKGKGRDDNPIGGVKVSVMSGDMDEDDHDTHPLHLAVS ENEVPKVESLLKQDKSLVHLRDEFGYTPLHLAADRGHIEMTKLLLRHGADKEAEDGDS QTPLQLAEISGRDEIVELLKPI I203_01211 MHQRTSDAQHGIAVRALDTAKSRSVTVLSALLGVLYIWIVYHFY QKDLQESGSWGCEMSWMSPSYKLVEWQDNPIPRYQVYLYREQGLETESSVAGHPVIFV PGNAGSYQQVRSIASSAVRQYREQSSLRQGITKLDFFTVDFKEDFSAFHGRTLRTQAD FIRHAVRRILAEYNHLPSSERPAQVTLLAHSMGGIASRLAVTVEDISSSVDAILTMST PHLTPPLTIEWDMEQIYQTISHPIRPLLISLCGGISDTQVVSDSCALPSTLVSEDDGF ATFTTGIPGVWTGVDHQAMVWCHQIRYLIAKTLLQMASTGSRTAKLSIARRSFLEDYN SGSSPIHGGPQGFPITSTEMTILAEPKTQGSHIDLAVQHCTDKCRDIPLTHSLVPRPR STNVPFPLPGEGIKSDENLLVVDVHLRGVDGTLQVHTDSHRIIEAGSRKVIISRTSTW RADDSSRKATSLTLNFPKSRSSSLLVHRIRTILTGSCDGIRPIIQHISIPSYPFQNVT SESRSYPNIKSDQSIFLHSHVESIPFQSGESIASGVTLRMIQQSDCRVEQLQISIETF GILAKIVTRYRMTFISWPLGWIAVVMLMQLQVLRRDGRFPSFGDGLEQVGRQWLLGCC VLLGVSNIVQACLGTTLHLNTLLLGTVDLALLPLVIFMALWTLGLTCVAWFGVHFINA SLRTMISRAFGRGSQESNHTINTHPSRSVFLMCLIMVLVYLFIPHQLVFVVSVAVLWA NLIWKDRIDISDEFGNTILFCMIFLLPFKATTLLVWGRSLWTNWQHPLSIEHNILYVG PSVLLTALCAGGSSPKQKDISAE I203_01212 MGKTKKVRKQPISAFGPGPDAGPSKSEIASKSAASTALGRLRSG SGNRTVVSRKITQSTITRFHTLLKQQANLKRNLKAGREKDRDTELQGIQRQLDSIEQE MNELGGLNAYQIASTLGQSKERGGDSSKVLVKWLEELGIKREKMAQNQKLRMLEIGAL VPDNYASCSKWIDNHPIDLHSQHPDILEQDFLERPLPSGERDTFDVVSCSLVLNFVSS PADRGKMLSLIHQQLRGESPSFLFLVLPLPCLTNSRYLTLDTFQEMMRITGFTLEKEQ WKPGGKVGYWLWRKTDSICGDSDQWRKKTVIQDGPKRNNFAVVLS I203_01213 MNSHDSNDLNDLILLPDNPRALPVSPTPPSSSSSTHQPSSSADF GSFNSAPVLAPPLQQQQQRNYQDSEIQLHSHPVSQPTGLPVVNTTSLLDDDDLLGSFD HSTLQRVHKASSQRPFQSTTPISMDVDLLGGDVWEDVTPHSTHNNPKVPPSTPHYSPQ PSRRSMSPVHIPLPPRPSEISPDYAPPPPLKSPRRMSSPYFSLSLSSPPIVTDAGNDI IFHPAHQPKDDGAAREMRKVQQHDPGITIMGSSNPSSSSEGSDLRRTITRSPPHHSKL LDTLATTSKIASKWRSAITKSTFTPPHNIVPAENQYIASTKHSEPVPIDITHQSPFAT AEQVAGSYRAPSGAPGFDPRQNASPRYNDKDDEWGKVTLSGRRDSTEPVLTSADAYKL RGNLPPRQRLSGNWTLLFSLDQHGASLSTLYRLVERYGQTHRTSGNLLVVRDGRGNRF GAYINESIVKREGTYYGTGESFLFKLDPVSGVQVYRWTGKNQYFALCESGFISFGGGD GTYGLLLDSTFTQNSSATCPAYDNEILSESSPRKSQKASLFDCVGLEVWGT I203_01214 MSHSQQTELPHQAVADLEPGYVSNNTSQGPLATEDSDKEKNIDN EGSALPQLSHRPPTTEDGYENQYHIEDKPDEDVQEGVREAEALTLTWTKKWLVAAYIL MFLIYMVRAFEGSITGNLGPYIVSGFEAHSLIPIISIVSSIMGAVTYLTVARAVNVFG RLKGFVVLVGLATIGLILSATCTNIATYCAAQVFYAVGFAGMIFCIDIITADTSSLKD RGLAYAFTSSPYIITAFAGPKASEGFYQSNWRWGYGAFAIILPAVATPLCLIMFFNKQ KAKKNGLLQPRKVSDRSVVQSIWYYAVQFDIFGIFLVAGGLTLFLLPFSIVATYADSW KTPSIIAMIIIGGVMLISFAFYERYLAPVPFIPWNLLVSRTVLGVCVFDFVYIIANGC WSSYYTSYLQVVYGVSISVAGYIDNAANVVNGVWLIVVGILIRKTGYYKWLMWPCVLV YILFTGLLIYFRNPDQSVGFNVMCQIFLALAGGTLVIIMQVAVLAAGNHNDSAALLAI LSTFGNVGGAVGNSISGAIWTHTLPEALASRLPAETIDLLNEIYESLDIQLSYPMGDP TRTAIIAAYGVGQKRMLIAGTAIMVICLICILFIKNIKVSEIKQVKGMLF I203_01215 MSEYYQFKQPIKRVAIIGSGPGGVPAARQLRDAGLDVTVFERQD DVGGIWNWKEETTGPLSVPTPPPSVGAFTPSWGQDGVYEDPDRSRRNLFNPPNPCYWN LTNNVPTKTLAYKDFPYPPDTPDCVPHWELANYVRRYWKHFNLAPITRLNTRVENVTK TPEGKWELKLRTLEELKGDRVRETSYKDLFDAVLVATGHYNAPLIPNVPGAGLWYGRW PEAFLHSQGYRRPEVYAGKTVLIIGAGTSGTDIARDLTPHASKILVSARVSTSAPSGY QAFRAAQRSRSTKKTEDLEEIRAFRSIENVEAIPDAEIELVDGRVIRGVDHVIFCTGY QYSYPFLPQFHRDPNSPQAPNSPEPLVECGDQVLNLYRDVFYIPDPTLTFIGISVNTS AFSFFEYQSISIARVFSGKARLPNQEKQRDALEKLIAKKGKGKFRHFMGQEGEREYVR DTVAWINSDAEWSGAEKIEGHSKEWLHESDQIEIKIAAKYGVQLAGLAVLGGGGVMRT L I203_01216 MLLLKRSCATILRNKSTPIRSARFAWNITTPQQIPRPYAAIRNM SWTDSSGATKTVWLERDDLLSKPPSYPQLTQDTKTDVVVIGGGIAGLHIAYELLRSGM KKVVYWRMEVKIGSGETGRTTGHLSADNEYNDFLNLHGAEGTARIAAAQKGAIDRIAT IVDKHKIDCAFVRLPGYMFHRLPTSSREFRLDTLEELYDAAEKTGKLDVSIVNDTCIK GFKKENGGVTAILDTDKKIQAEALAMATNVPLQKLVMIERVEAFRTYAVALKIPTSSV SSDGEEALWWDLGDPYHYVRVIPHKQEGYSLLVVGGKDEKVGQHEDYEERFKRLGSWA RERWTAAGEVEYKWSGQVLDSSDGIAYIGRNPGTNDVYVHTGDNGDGLTYAAIGGLLI TDLILGKENSWAHKFSPSRQHSGSHLKQALKTLPDMVKENLSDQIYYAKWAVACTKTL KDIEDLVPGEGDVVRVGLSPIAVYKDESGGIHKMTAVCPHLKGIVAWNTAEKSFDCPV HGSRFTCKGEVVNGPAKGPLKPK I203_01217 MFTVKNDAFLLLLLTCLGVVVQADNAFAGCFSILPSATTKVTGT FTSATACDSCFCTDKYPSEGYFQYGTADTCSDPTYYDARITHTSFSWSPQCYSTAPGG VTFSTLTGPDTCLKNCGASLGAVFYVSSANGNYQCGCGQPTSFGSTTQCGPGTYFYYY HSAAQASQGLSRKRRLEEARRRAVPAYCPRGLTACQVGETNGAYECIDTLSELEACGG CLYGALGSNNSTVGQDCTTMPAVMGGVTCENGQCVAYKCKSGFELVNQRCVESKSFKS RKRW I203_01218 MQPPNPPRVSPAASGHSGHSMSSKIQYSNGVNKYEHNPSPTGYG DYNHQPGQQPYNPNIPAAFLPPVTSSPPPHPPPYVPPPQHAMAPDPIHSIATGGPGMM GMGIGPISPTGMMSGSWPNHNGPISAPGPNGWRGRVQGGYPAQSQSQPLYGRSGLPNG GHHLPSIPADIYGGVGRSSISGSSIGGLGPRPNSGPGESRERRRDRERREKEEDRDDQ EVISTIFVVGFPDDMSEREFQNIFTFATGFEAATLKYPSGSNRKEPAATLLAELTQLA AAQAQAQAAGEPFDYPLTQPALEEAMASLTMAATASTSSSTTPSAAMSLTPSAPSGPM LGNPPLPTRRQTIGFARFKTRADALAARESLQGRKIDALTGAILKAEMAKKNLHHKKQ TSGEELVGLLLRSGRLASIPNASGTQIGPGIPSQIIPGASSSQPQPSSASAREAWDSW PNSTPSERERSSTDESVVMLPPPQPYSSTDTSSMPPPPPPQSFIPSNNYSQSNPSASP SLSVKSPNQRPTDSKALLALAEEADELEGWSVNGAVGIGITDGYQNNRSFPYTSSARG QGQAQDGMGVPPSIPSYGGNGFGIGRNGQSDATYGTSPPGGTGSDRLSDNGRALLSGN NPADQNPPINTLYVGNLPAISPPTHPPNFLEESLRGLFSRCPGFKRMSFRQKINGPMC FVEFEEVLFASQAIKDLYGHNLGGLVKGGIRLSYSKNSLGQRGNAHPSSLNTSIFGGI AHTVALAGMSMTSPTTTPAHPLPNNNGQASQGPVSAGPNSGYGLQLQHGPPQGQPQPP VTAPIPDHRRGSNGNAGQAQSTSLSPTAQPFNISLPDPTSPRSRYFTPGNSNKVPSPS DVTSSSFTGGSSAVPIPSANSTFQPFSSSNPSSGFSPMSSPIRTPASFSWKSSGAPPG AGSSAGGFGGFDFASTGTALSSLNGAASAWGAGNASSGDRRDQQ I203_01219 MTAKQSNGHTLAPIQASSLYFTPLPPTHVVPPGKESHGRYMLTI PWNRVSGWGQPKIGPRQDLTFDPLAGVLQYAVTCFEGMKCYKTDKGDLRLFRPKKNFD RLKRSAGRLGLPCEWDNDELLELFAKLMALEQPLVPSTDGSNLYIRPTLLETSEGFGI KEDAFAAEALLYVVTTLNLGLGLYPSSEGDKGIKLDACNEYIRAWPGGTGSYKLGANY GTVNISKKPGYAMSLWLHGKEDFISEAGAMNVFIIKEAPDGYLEFITMPLSNGIVLPG ITRESIIELLQDHASDKQDFPLEGVPKKIRVVERDFSMPEIIKGLEDGTLKGMFGCGT GVVVVTVGEIQYQSQTYKIPSNPIIKVLRDTMTGIQRGKIDEGRSWSVQVPEWNPQGK EGEQEEHVTAA I203_01220 MVLHPHLPIYLFVCAQDIPKGFIGCHKLESDGLPYYSYPSDASL PFATVDQCVTNGGYNFALFWHTLDPDNPGKTIPNCRCYPYTADVPYVAGDPYGGCELQ GIGHVYAEFETKPAYTFTGCWSALSSTAPTPFTVFGIAGCLENCIFKNTEKTLRYAIV QSDPRGDWFCRCSDTESDLLPVSQRTRENCDTRKMYIYQCSGNVGPSQAAKRREKYIG LEKRNEEEVLCPVGLEACPISVEGHHDDFECIDTKIELESCGGCIFGTSPTSVDCTSL PGVSPESVLCSSGTCQVLGCEDGYVLIDGTCVSQDE I203_01221 MLSTALISASLLALATITIAQDFPAGYTGCYTFPASGQPTGLNP AEFNLPHNNRAPHAFFWYTIDPTNEEVAIPNCRCSYPPPPIDTWKHGAATGDCIVEAV GDSYGSYLTRPTHEFTGCYDLIDSSSPAAFPVNSPEDCLSTCPTSTSNLRYTVIQVDQ SNNWSCRCITDVTDILPFPETVLIDCDIGAYFIYRYTSTVTPSQAVRKRLHQRRILSG GKKEMISVLLD I203_01222 MTSPFNETLYREQLELEARAQFENNQGISFGPYVFGYAADGFAF GLLALQVLQWYTMSHATERKTIRTLVWWTLFISTGYTVLSARWMMNLFAFGFDVYRNF YNFSWVSTFFLLDGLIQTPITAFFAHRAHVLLGRPKWFLFTIIPSLLVTFSTCLALKI KAPPIVTDRLSERSRLFTGLLQSWLVLTVFIDLIVTGAICWSLLMRKSAVKSFETTDG WIKKSLIVFLEAQVAPTIFSACFLIAFAAIPQWNLSAFFLCVNPGTNIADIGEHMSLI TLNSSDRCTPKVYAVSFLGILNARHFLKRDLAPQGVFHINRPVFPRLASYKSAFAAKM NLQAQPPKRFPPQFRSVNGTETEIHIETETIQEVSGAKSWQTYCHSTT I203_01223 MSPPTPQYSTYDFDASEVSSRSLRSSWRGNDLASESVDSRRSAI LPLQEWYRVQSTLNYQLRHQLSASELVEQKEQVESQLSNATSFKRLAKTALEESSSRT EGYSERDVLLSRVVYAEAELKEYEARESYNSAQTDWAMSILREERLNNDYRDLAQGSK PRRRDDDDDWASRDPDHYSYLKSLDDIARHLGLENRPAERSYNIDRPLTTPPIGSSHF NLKPVEPCSTASSTSTLSRENPAADEYRDGRSSIASEIAKGNFTIPNWAIDASKFRAL THPSQDTKKLFNGKPLRLDYPSDCDKSSSSPPTSNDSNQQLSFPLSLSLHATGPPHTD QLLLTAGPSNDGEDDGHIDYFPQRSTLYSSSSRPWTSEGFLYKPLSRFDPQKGHKQGA EIHTAETASQEDDLSSIFHWFDDRGHRSTETRMKSSLTSGLKSFANFVSSRATQLERF AESRLDDMLSH I203_01224 MQLSSLVALLPFLAIASASPVDRSLGKRYNGVRIKSNRNGQCLS VPGDAFGDGVRVQTVDCAQAQKWNINPGSGSVIHVPSGLALDAGTGRDNNEIVKLWTS YPTLFQQTWYLTGDNRIAITGGDQCLDQGSEWEGTQTWQCTTGNTNQIWYPIDDNGNS LDPNGGGNNGGNNGGDNGSSPSPDVPVKNVYPDPADGSHRLHPNGRDDLCVTVDSAKV DSGTQVNIAYCAPNDSDTSKYQLFWVTGGQKGEIKLREFPDKCLNSNVAGGNGQAVWI DDCNKASETQRWDYTGDKLRVDNGGNTCLDVVEGSGKTAQSPYDIEKRLQTWECFEGN TNQIFTIKY I203_01225 MCKIQPIHSIIIICLLHLSLATPLIKRYSSVKIQSYRSLKCLSP VAPPAEWEDGTKVTTVNCTSAVEWDINPGSDSILISGTNWALDAGTGDQDNAIIKIWQ SYPGLFQQTWYLTDDDMIAITGGTQCLDEGVNGPQTYTCLDGNTNQNIFFPVSVWNII GTTPTVPGVSPSIPSGPVYVDHPVSEGRRIHPYGRLDLCVMVGNGAASVNQLVDIAYC LPNTSPYVDLQLWNITLNTPAHVFLQAHPTLCLDAGQAPENGTRLTTYGCGSPYTRQN WLWDGTALALDSRNPSKALQLCLDVELNSPTTPQQPYDRLERLQIWTCFEGNRQQVFS VSGHEPADDC I203_01226 MYIVTIISLLTLTLVSATPIKKFSGVKIQSYRNRRCLSPVGPAA DGTQVTTVDCSRAKTWDINSGSGSVVLHENPGFALDAGTGRDNNEIVKIWTSYPTLFQ QTWFLTGDNRIAVTGGDQCLDEGDNGSQTWRCTTGNTNQIWFVVQDGNPPPTISSSST SVQPSSTSSATQPSQSSNNNNVGGGVFKDPSNTSRRIHPNNQNALCVTAGNGNLELTY PVNIGQCFANNNTISVAQLWNLAAGSKSDYIRSAFKPDRCLDVGSNPGSGSRVFVSDC AAAGNKVTKWNYVADKLQVDGKNLCLDVELNSGRTPGKPIDTEANLQVWECFPDNTRQ IFTLYPL I203_01227 MVNFRGTSIFPSPSSSSISSTARSISSGSQADLSLPSSSVSSWY DRCRFSSSAASALKQFRTKLARGIAPSGSYQPSYSNSNYNSHSSTGPSLHVHGDIYIY PSRDSESSSDSSDPPSEANASSTSSDAPYHIDNRSALTPYSRQTPYFTPNKPRSILNA YNGGRQTELWGSKSSSYRYAPQSSFGASSALTSTNNNYSSWTGDDSLNGVQPKVNLNS YGTLFGRAAQSKSQTSTTSSSNGFVPKKGGYGFDWGPRLGTGRVSVSGSNFSVASGAD SQISDLSDAISELSK I203_01228 MQPPTLPRTLILDYYDSYTNNLLCLFTQLYSDAEVLNKVIVIKA DKYTWSEFQEQVLPNIDCVILSPGPGRPDNPSDIGFGLDLLRSHPVPILGVCLGHQAI GVAFGAKIINTPRITHGHVVSVSPVIPSKGLFDSPFWQLSGKENDFEVVVYNSLTVDP STVPDELEVTAWSVPNSDRPSTVQGLRHREYPIWGVQYHPESISSTRGLALLQSFLAK VNQFHSSPDSFPALPSQIVASCAYRVSAASKSRPSSALPTPPITPSPSRAPSQRRPRR GGLSISEKRMGDLGKQLRAQDVFESLIKQNRGSRKGKEKAIGEFWLDGQTPSRSTTTS LATPSFLLSYSLSTRTVTLHRPSLPPSRLILSDATTFWDWFSAGSQSLTSSLSATSSQ GFRGGWVGYFGYEMKLESLQGYERAPRVDRSDGLVEEVDACWGWVDCLTERTSEGQWI ARGVLRDEEVPLDGMIDEDDEDLSMLDWLRSHEVSLGASRQGWENYLESIQDVLSNSS PDHTKPAPSFPTFRPNATGSDYRNRIDACREAIRQGESYELTLTTRFTSSCHPSLDPY SLYLRLRTFNPAYYSTYLHFPTLVTPRGKGLSVLSSSPERFLKIDKSRRVEMMPIKGT RARACVEEGKKVDERIGEELRLDQKERAENLMIVDLIRSDLLSCCTPSTVSVPKLIAL ESYGVHNLVTTVQGTLAGNVGSVECVRRCFPPGSMTGAPKLRSVQMLDDFEGEKRRGI YSGCLGYFSIDGVSDLSVVIRTIVAEGEHLSIGAGGAITWLSDRDKEWEEVLTKVGSV VGKLDDVQ I203_01229 MSTQAAFALKASLRKSMLRTLKGMSDGEVEKQSQAVFRILLDQP FFKEAKSIGCYLSMKHGELRTNGIVDHILKRGSTLYTPFIPPPPSRSPEAQSSSSSQD MRMLRLYSSSDLERCPLDKWGILDPGETRNDVEGEDREDVMNRSSPPLDLILVPGVAF DEECNRLGRGKAYYDRFLLSYTSKRPSPLLGKLVEPPFPSSLTIQSVALALSPQILEV SERVPTTEHDFRLDGVISPEGVVWREKKWKDIV I203_01230 MQQRPFGFESFAQPSFPSAFSQQSGFYAPRSTFSSFHAPPQPQT LFSKGWSRPGQSSFTGDPYSQFSQPSPGFRPGFPRPGYPGSFGGPHPNVIYPNQRAQH TGAPSFAGSIQSGMHSESFRTRSQRSFISKSRDEDGFDGPWKGGSVRDMYRDHLQAHR DAQARQAEQASKHIGDCFDSVKAKIDDCLSQSKTDGCTVETLMEKQDELNQAWFGFAS SLQERIEHEQNISELNFLMGNLDAKRYNEASVADLKKNFERYGPISKSDDDGKPVKSP WSFSISEISRDSTFNDDDRIPFSDDSDESESDLRQFTSRGQGSAPRFSQGISGGMNGS SMGSSRFGGTGWMGPSFYPSASATGWNNSTGSGYRPSTVFTSGWGASQQPSAFSGWNG QFRGFGPGAGFSGPGQPSSTAWSRTFSFGR I203_01231 MLIGTGIFSFPSSLLKSLGSVGLALVYWPIGLLISLAGISVYLE FASYFPSRSGAEVVYLEQAFRKPKYFFPVAFAVQTVILSFVSSNVIVVASYIFKMTDR TPSGWESKGVCIAALTILCLPIWLSTKWTLRLSNFCGVAKIITMLLIIIPGFVALGGH LNAVPDPKANFRNAFQGTTNNGYNLSNALVSIIFSYGGYTNSFNVANEIKNPIRTIKK TANTAVIFVAVLYLLANIAYFAVLTKAEIKGSTQVTANIFWQKLFGARAAKGLTILPV LSASSNILTTMVGHTRMIREIGRQGVLPFPKFWVTTWPFGTPTGAIIAIWVVSFIIIV APPAGTAFNFIVALQNYPSSFFLALMTFGLFFVRRDRKNLNLPRPEYRSWTVVLLFFL AANLFLIIMPWVPPPGGINNSSFGFFYGASSLAGLGIVFLCALYFVLWSKLFPKLGGY QLRQVVVSLPDGSLSHQLIKVRNDEVDEWDAKHDLSGRSLREYEFRA I203_01232 MSFSSSQEKSLGSPRAEVTPGGDDNLGVPEFYIDGKVNFVGEQG GNGAQATIQDVSGAPVERQNPLGYSVGW I203_01233 MTTTLVESIPSLQQATATLRLSGGEEPPAQLEKKVNKVPPPDYK YKRFLPTFDQKTKYKPLTPFEHHDPGLDALKHENPREFLDGAIVTSLSPNFGSEVEGI QWSNLDARGKSQLALFVAQRGVIAFRDQDFIDQSPEWQLNEWGKTFGRLHIHPISGAP ERYPEFHLVYRDAAPKKGLPSYNPNKLSSTGWHSDVTHEEQPPGLTTFVLYDSPASGE DTGYVSQVEAYKRLSPPFQQFLETLSVVHSGVAQADFSRAGNRGGVVRREPVENVHPL VRKHPVTGEKALFVNKQFSRYIVGLKSEESDSNLELLYTHIAQGHDFQIRAKWKPKTV VLWDNRITAHTAIGDYSPERDGFRHGSRINPQAERSFL I203_01234 MLNETKKGSWNGSSGPRDDNSLKSGIDGVALPEEYHIGNDRKGA QVTIGPAPLAAGVSSAEVDKAGTALGSAVLRALKLRPPLPKDAPDAVNRHSAHHWDGD NIEELKEKYIRPDWENVEAFDPNFRWTVREEQQVRRKIDWKIMLWACVMFSALNIDRG NISNANSDGLLEDIGLSQADYNLGNTLSKLFFLIAELPSQLISKRVGPDRWIPIQVCI FSIISGAQFFLSGRGSFLATRCLIALFQGGFIPDLILYLSYWYDGRHLPIRLAWFWMS SNICGIITDFLADEDVAIGIASFFLMPTSPAKTKSKRLPKGYFNNREVKIIVNGVIRD DPNKGGMHNRQALTLRMIWDCILDYDMYPLYVIGLLFGIPKYPVGNYLTLSFKELGFS TVQTNLLSIPYEVISIFTQFGITAISELANNRSFVASAEDWWLLPCFIPLVALPDPIN PWTYFAIETVLLSYPYTHAIQVAWTSRNAGTVQNRSVSASLYNMFVQASAMIGANVYQ ASDKPRYKKANKGLIGLLCFNVIILYPGTWAYYKWRNRTKERLWGAMSEEERQHYLRT TTDVGNKRLDFRSAA I203_01235 MSSPHSHSHAVPIPRPAIVTTSSGKYILHTHNKDLADPFGNTLS SQNRFGFSPRSVTSDTSTIHGEQPFAAAHDVEANVLSPNSSNEPLSPLSGRSGFSGSG AGGVRHRKAHKNRHHKKREEYRWLSTTAAGGGTGDEPGVDVKSKRDEEAYGHLKNKTK VTVVDYSSNPDEDNTNLRCEFPGERLREWLDSEHGQRRTGEDGKPTGVRWIHIDGLNW QVIKTLVLHFGLHPLAVEDALRATNTPRSKLDFYRNHLYLQILIHHTTPSDEIRSSIA AEEMAHERESGMMTDDDQSVLNESTRSTTRRGFRGKLSGIFGSGEDDSRETHVPKLPE GVEGVFEPSLVTPKHGGHHTFEKQAHTLTVNELSAKYMVPIRRGILSLFMLRDGTLIS MDTKPTREVLAPIYHRLEDESSLLRRSGDVSMLAQAILDVDLLLCLDSQISQTFESEL LKLEASVLVNPQMETVRHLHILSSQLIRLRRSLTPLLHLCYIVRDQDAQRSVAASAMV PTGTRGTGTGAATPGLSLNGNHHSMGGGMQHTHLGLNLTPQQSNQSQSHGYLGQPISP TPSRAGTPLPGGGFSRSRDRATDGDDGASVLSMFGVNPTQAVHVGFFSPMTKVYIGDV IDHLEITVGSLEQFVNTCDHLTDYVFNVLSFQTNESMERLSIVTVVFLPLTFIASYFG MNFDDFDELHQSVVYFWKVAIPCTTAFFVIFSFGYLRAFAETLYRKVRRWRWMMLLGG KSGSRTVRPRIGNGIKRS I203_01236 MDVAKELADYAAANTTAVLDRPNPIITDAGLLAAFDNTPVDAYE YGSNLNTHLLALTLTSTQALISSLFTLPTKSSSSGPITLLPAPSTVLPREKPLPKPKP LTKWERFAKEKGISHKKKEKHVWDDEKQEWVARWGKDGKNKEKEGQWLTEIKAGEEAD QDPSSTARSDRKARIAKNQKQQAANIAAAANHSSDATATSSRGGGSTLSQEQKKNLRE RRKEELKRSMILSKTSTASLGKYDKAIKDEPKVKGLKRKFEPTVTNKNDFKGEKEHAL DVLHKLETGVGKVKKSKKGGEGDEGGLNVRKAVRFQGKVDRASGGGASAGKKGKRK I203_01237 MPLPSLTDDLESQRLTEMSRKEPPEDCLEEARRRLGSKYIEDEQ VDGMELPYEGINSSGSEKTDKSRSFDDDVGHSDRMEDADGAELVITRTDRSGKSSKTI YRVKEGEEKLEGLSNGNDESLDSDF I203_01238 MGKELPAYSIRIANTPEDIQRCMQIRKDVFIDEQGYDIRVETND DDHRSAHFLLVLLKTDEAVGTIRLINENNQEGEAHSYMAAAALNPQLGRFAILKQYRG QGLGVPLIQALHDHVREKGGKEVWCQSQAADPTQGGVDATGFYKRLGYVNKGEKYIKE GTVHQDMVYFIPH I203_01239 MLPTKLLSLLSLLGASLAIPLERSIDKRALPRLGGVNLAGCDFG MDTNGGSGTTYCPGTDQISHFVSKGANIFRLPVGWQYLVGNNAASTSLDATFFATYDN LVKTALATGSYVMIDIHNYARWNGQVIGQGGPSDANFASLWSLLATKYKSESKVIFGI MNEPHDIDINKWATTVQTAVNAIRSAGATTQSIALPGNQWTHPEGWTSGSNDPLLSIT DPADSSGSLLIIDAHKYYDGDGSGQSTECVTNAVDVYTAFRTWLKQHNKKAIISETGG GNTASCQTDVGQALSYISQNTDAFIGFTVWSAGSFDSSYALSITPVNGQDNDLFVKAV QPYLPGQSSSTTTSSSTSKAASTSIVASTSKSVFSSTSKAPTSTSKIGSSTYSFVTSK SSASAAATSKTVSTSKASASSSKASTLTVLSSSRAPSSASIATPSTTSSSGTNLQKFA GALGGIAAPAVTQSGSRFVTSNQSYNFVIDALDASCYVQLNNCQNEANKTGNKGDVTV GNCNTQVQNCLKVASS I203_01240 MFCFHIEIALMGDLVSLNQFESEQERKVRERLLSNIAQLVTKFV HDVSIKSGMSEKVASEAGGRIYTSGSYRLGVHGPGSDIDTICVCPRHIYKEHFFGEFQ DMLRAWPAVTEISAVPSAFVPVMKTVISGVEVDLLFARVNLAEAGDKLDIEKDEILRG VDDASQRSLNGPRVTDMILNLVPDVATFRTALRTIRLWAKRRGIYSNVLGFPGGVAWA LLTARICQLYPTAAPATIVGKFFPIYYQWSWPQPVILKKIETGPSNMQHSVWNPKLDR RDMAHRMPVITPAYPSMCSTHNITASTMSIIKKEMLRAMQITDEILKNPGSSWMGLFE RADFFSMYKTYVHVVASASTSEGIKDWSGMVESRIRTLVQDLENTDNILTAHPQVGGI SNVFYCLTEEEQAAASQGEVSPELMNRKEEDIKDVQLKEGENGDDAGAGARKIWTKNF FIGLEIEKKPKDGQGSRVLNLFYPSKRFCSACQGWDKYNEMEMSVILRPAKRSDLPPY CFPDGQPKSKKKTKRGQQNGSTDVGMGDTGEESSQGPSKRSKATPSEELSHISLTTTT ESTRPASSPLTNGVPLPNGADPVPPLTTTNGSGSALPPPPPGIENLPPLSSTSLSGFA TAANGVVNSADRNTDGLVVLNASSNTNEAQAAS I203_01241 MQRPPKRPPSSSDTAYEYNYINDDGHTQTYSPTLSFQEESDTSR RVKFRISNHSPDHHVYPSSSYSHQPIHSAESIQQSYWQDDHVPESYDDTTLSRPPYSG GQPQDIPYDQSYQQDIHTYQQPNQTGSQDQQYHDHSQREHDHGQNQYSQTEKIYSETH FDQAQPLFDSSEEDPQIEAYDLPYRTVKRYEISTTRVVDPKVFLNQEGGVASDGNISG LLDRWNGVNDNAQQLDGQGEEVEDREIMDSQEDGGKRECPRVLVVHAPYLDDLAAAWY DPVKRKIEVLEDTKDTSGWDLAMLVIEQVQPDQIVMSSRTAQTLTDKIAAWGQYTQIE LIQVASTRLNILSYKQCKHSAAIATLACVRLPNQTSIVQSTRPLPSSASTNTTSDQPV VNYGYESNDAQEYRLGLTKLGCWVNIDAPLAVVATGILINQVKQSAMAEDNNSEAVGR HYFELNSLESMELEQHLQINKDALTSLAIFDVEAHAYMHARNHKQALSVFGLLDTCVT PLGKKLFHTWHLRPLASLSQIESRHEAISVFTANHNSPITDTLIRLLKKIKNIPYILH KMRNGTAKFMDWRHLIESFEAIVEVRTNLIGLPWPQPVEIIRKVCINLPSNISLEIET LRATIDWDTSRAQSRMAVKVGVSVELDEMKEVYAKLDGFLSEVARMIHGEIPLGTARD FNVIYLPQIGFHAVIHTEEESSPPKISDWEARFSTTDKHYYKNQHMRDLDAHYGDIYV AMTNLEIDIVQDLTEKLQEREPMILAAVDVISELDCLLALAKAVHQFDLRRPHMTNDN SLKIKGGRHILYDQVCHTYVANDIILAGGKYGDNHNMMIVTGANGSGKSAYGKQVALI VFMAQMGSFVPAEQAEIGICDKIFTRLQTKESSSKHASAFMIDLGQVSQALRGATEKS LIILDEFGKGTITWDGAGLLAGVIDCLQAGPCPKTVVLTHFHELITQRFIKEDSGVLF AHMKTIMGAANELHFLFKLAPGSSHSSYAAECALQHNIPKEIVDRAIFVTECVSKFEL HKIQNTHISPAEEAELKANEMLAARFLGWAIDPDIENVRMKVEDMLEETDVSLFATEA TTDNRVGNGHDDGAEETAKLEDRERRDDQEVDELVSDNDMAYSDETD I203_01242 MSDVTSSNPNTITGSCNCGSIKITIPRSDQMVLCHCTSCRKSGG SMASSNFSIPTKDLIVEGSEPKQYKNKGTSGGEVTRNFCGECGPPLWTAVADPSSVFV KGGLFDPHTVPQPNAHLFGEEMEDWEIVQEGAERLETQ I203_01243 MANKTVDNAWEERWKEGRTGWDQSHSHLSLVSLLGSDLTDELGI PKKGKALVPGCGTGYDVYTFASRGLDAVGLDLAPTGVEKAREWLSKQPSTSGSTQVVC ADFFNYQLQEEYDLIYDYTFLCAIPPALHHSWASQLNKLSNSNARLITLMYPLPPVSN EPPPWPLTVEKYHELLDGHWEMLWDREVPSDEMRTSGAKGGERLAVWRKRH I203_01244 MPLGAFASSLSGGFGGGPGFMPMPSSHMNPSSWYSGTSSYGQPR PHPFFQYQNQQQQPPWNQSYSQPWQSQYPSDWSAPPPWSGYGYANEYQDQSNSYWYNG DGAYPPEESIQSESPTRGAGTPVSEEPTLLNNPVWDPSSAWTGSSTVQGSFMEYCQEA YRVKSASKADSSRIREKIEQAISENNHQMVEEYNALARSFRDITASLPEHVKGDLGVT QFSELLKDLGQSIQSSTGLATELTQKTQKEVDDLESMLELSEMMAQFRGPETKGLDVR TAKGLFGKYGSRSRYQNAPDDEPISFYTTDPRTQTPTPDTAATETGTGTGTGRRTNIF AGASAGNPFAQWSNLFGFGNGFNNYNTGNPFGYGSQYQNTAAQIPTLSALFSNLYNRS QNQNLNSPYGGPGVSFGPGSFANLNRFGAL I203_01245 MLEVTIVYSSSQNLLTRVSPSQLAVSVRDSTKASHLAEQGVRVA QATFDDPSSLREAFKGGEKLLLVSVDNFENAVKQHKTAIDVAKEVGIKTIFYTSHIGA DLNSPFLACQHHAETEEYISESGLQWYSLRNGFYNEGLPMMLNNPIESGAIYAPEDGP TSWTAHKDLAEATAILLTDESKLPKDRGNLVPLTASDAVDFQGIAEALSQVTGKAINR VVISDEQFLENTVKCGLPEFVANAILGIYKASTQGGFNKVDPLLEDLLGRKPETVSEW AKRVYG I203_01246 MDVPVDVTPDNKVQDIQVDRQVNHRALSSALRKLDMFLLPIATI AYFLNFLDRSNIGNAKAAGLQTDLKLTNRQYSIALTVTYVPYIVAELPLTLAMKRVGP HILIPTLVVSWGLVTLFQGFVHSYGGLIAARFFLGLTEGAILPGLVTYLSSFYHRQVL GKRLAFFFSATSLAGAFSGLLASAILNMEGVSGKRGWQWIFILEGLLTVVCGAITFFI LPRDLSTARYLTIEERDTLRLAHEIDGGATAAHERFSFRHMVQAMRSPQLWMVFAMFF GNGVTLYSLAYFAPTIVQGLGYRGISIQLHSVPPYACSAFVAVVACYMSDRLRHRGSF IVGASIISITGYAMFLGSTNHHTLYGSLFLQVIGAYTMAPLLSTWMPNNLAPYYTRVT GIAFGFISTNSGGILSTWLFPTTDAPRYKKATWTAMGLSISIAVLAIMNSIFLAIQNK NRGTVPTVQENLEDSVDSLDDLGDKDPRFRYIT I203_01247 MATVTFENIFHGDSPDIGKLRFNAAGFGWKTYASEEAPTTFSGH DVRHATWLRVARNFQLRLAMRQAEKPRITFDGFKREDHDKVKRTLDEFFNIKMETRDT SLKGWNWGKAQVQGNDITFQVQGKTAFEIPLSTVGNSNIAGKNEVALEFNPPPPFPHD PKDLSKRVPDELVEMRFYIPGKSMKSKGSDAGSDGEETDLDEDGNEVSAADAFHNMIK EKADIGAVVGDSIVVFEDILVLTPRGRFSLEFYPDSLRLLGKSTDYRVPFTSIHRIFL LPKLDDLHVQLVLGLDPPIRQGATRYPFLVAQWPKDEEVDAELNLSDEELAKYPDLQR KYEAPTFQVISRVLKALTGKKVTPPGSFRTAQGLNGLKANVKAVQGELYFLEKGLIFI AKQPILIDFSKTESISFSRVGGGIASAKTFDMRVVSKTEVADHVFTAISKEEVQPISA FLKQKNIKLLNEMEETVMDIDEPLSDEDEEMESIASEDEDSGKKSKKDKGGKKAKPSV QDDDDESDDEDFQSESSDGGSPSESDSDEDESGMASDASDPMMEELKRKQAKRAKKDS GSGSDAEKPKAKKAKKGD I203_01248 MSSSSNPSSTATLAPPVGEQSTQKPQQPQTQPPAEQSNPTPTPS SPRSSRTNRAISISAPHYHDHNTVHHPLGRIPTGASRRSTNDAEGTGEPLGRTITSGT TASRRHAPDLSFPYLTTDISRGGITDEYRTETRQGFIPMDDPDNGLRPVQSRLSTLHG DRFVLHDTEKGAAALKNKKLVTWLENDPENPRNWSNFRRWLITAIVSCGVIQVALSSA IVTGDFEDQEEYFGVSSEVIALTVTSTVCGFGTGPLLWSPLSELLGRRLLWAVPTFVY IIFNIPCALAPNIGCLLASRFICGFFGSAPLTLAGGTIADIWGPEERGFAIAIFAAAP YTGPVIGPLIGGYIGKYAGWRWLYWVNMISAGVVWAASLFIPETFAPALLKKRAKKYR QDFCDDTYVTEQELFRKPLNEIVIETLIRPFEMLATEPILLLMSLYISLVYGLLYAFF FAFPVVFGEDYGWDDAKTGLTFIPVFFGVGLALFVTPWLEKKYSAKGDQVTPEDRLPG MLIGGPFVPISLFIFGWTAPPYVAPGGGSWVGPCSAGIPFGFGMVLVYFSANAYLIEA FPDYVASALAAKTVVRSAAGAAMPLFISIMFRHIGNGPAASILGAAAIIMALIPFGFA KYGASIRARSKRAAI I203_01249 MAKYRQSQVTEEQSSTKSKGIDKAISHAHKALRWIENDQQSSVG GEIPWETIVDVQGTIAWRSVEKTPNLPSFDRSEADRFIVTWERLGWPGAGGELESVNA VGVFKYRELESLSRNPPEMMLGHNPGMWNELLQHVKNKVCQSSDLLVRQKPGGVAHSA LKDSTKAGTSTVVKRRQKSVS I203_01250 MSVTSDMFSVGYITGEEWQTIQYRTHEEFDPHRRGTWTYFQVRG LEPAWALSEWHLPQEVAQQEATLSPNVIKALKGHRFWWKSLSRGENTFEELPAIDEYE SDRFLLSYGGRSRRDPSNDHAILRFQELESFALSHGEGSNGLWYKAYKAIGKDMLGFT DDREEADSGTFTYLPLTYPHFYRSARPSESILPSEVGVSPATGVPVTWGADVIDNEQT EHTVYPVEGTRPPGWTGTEEDLDTQV I203_01251 MTPSGSSHLEGFRQHLLTRRPKLKTILAHTHRNTQEEVRYCDNL VQSCKELLSERRTQNRLGNQRTALLRELALLQNYDIQLFKTDFLDWRKIDGRASTHRM MNDTDLFADCVSWDQKVCEEKKCLIRKEVMKLRSGNAGLLNDITMIDKTINMLRIRFS HSQPIKEQTGGIDGRGWLVKISNQGLISRCASRSVACYSASWDEEEIEIDEASHLTIG FK I203_01252 MSSPIHSYLFSPPPSPPRRAMDSDPNIGFTGIKSLLVPTDFIPR SPHLDGGLKTRSPRTPQQSKFTLDNVYPSSFPSRSRILDPEATPIATYSTPTTPRRRS YEKTVSSPPYIPSNVPSAPIPIPSSLPKPLIRLLFLASLLLSSILLLVFVPSARLPSL RAAGMSRRLALDPNGKAFIDVEGITSWSDARDKDYRPPQIKAPHMMRRALEEKAAPAP IFGQQADEFMLAHPRASRPALIPRPLPSSHELLALQSYLLSSAYNVIPSHVDPSEPID ANAVLGVGVHKLGPAGGDLEQAWLDELKGEREDEVIVWYGGNGRPNLPHDVLDFLAST HGSSRKPTLIPCHARSDRLALLSILDRLSLPLRDHPIIMIGNKPIVGDLRNLEELRLS GELESMLAEIGWKKEEKSAWKPKYAKVQKRTLTEVEEALKAQVQAAFEEELEDDVS I203_01253 MTFQPQAQGTKIKVAVIGTGPGGLAAIINLLRLPFVELSAYDQA SELREVGARNGTTGELISRMDPEAAPRSRIERYKLQRALLGQVPPDFIQLSKKLAEII ESPKGIELTFQDGTGAGPFDLLLGADGIRSVIRQHAFPEHKLSYTGKVAYRTLIPQSA VAHIPNIPQSACFWHTRNTHVYTDPLDNGSFEIATRADEPEEEASKVIWGQKVKKNQV VHHYSNYCETVRQVIAAPDEWLEFAMFGGPSLESVISNGHIALLGDASHPLSGAFGSG AAFAFEDAYVLAQALSYTHQRQKPISQALVLYDAVRSPHYKGLYEILNGFGVNVKELD AISPPLEEQDYINERTRRNWGAENRWIYKYDVTKVWKEYTELADVGEDISALTLKRNK EAEKKSVQVISVEA I203_01254 MTVILEPAHTHDFAHAIRTVAVIGSGPTGTPAARHLQDAGLKVR LFERQSQSGGIWNWRPAASLPLSGPTLPPSVGAFTPVFRQSGTYEDPDLQRNVSHKFL ADYVHNYAKHFGLDKLASYNTRVEKAEKVDHKWMLNLRKVVDEGNEKAREEQWSEEFD AVVVATGHYNAPYIPPLNGVDAWAAAWPTKVIHSQGYRVPEPYEGKTVLLVGIGTSGT DIARDLDPYVEKTYLVGRNKLRGPPAYQRQRKLQRFMVSEKGESVAEIQRFVPPNPGQ SIEEAEVELKDGRILKGISEVIFATGYQYSFPFLPRHHSNYQGVRSERAEKHQLVTDG SGVLNLWRDVFYIPDPPLTLIGLSVNTSAFSFFEYQSISIARVLSGKAKLPDEATRWA NYAQLVKEKGEGKFSHLLNKDGERSYVRDTVEWLNREAQWSGAELIEGHSFEWLAESD KMQELFAVKYGITPEQLRQISEEINAGDGQDQEAISKDFATAADETNARAIEGLARRV GAVKA I203_01255 MSGQPAYPFPEEPVGDLEAHDKIQHVQKSTWKGRIWDTFDRPPL ERKLLFKVDAVILTFASIKNLDQQNTNTAFLSGMKEDLNMYGNELVTSTSIWTVGYVI GQIPANLLLTRIEPRWVIPTLELGWGIATLGSYAVKSFKSLYALRFLVGLFESGFYPG IHYLLGSWYTPAEIGKRAMIFWLAGSLGQMFSGFLQSAAYNNLSGIHGIAGWRWLFII DAIITLPIAIFGFFFFPPLPLQDKKSWWLTEDEFELARTRLQKIGRAGRKPWSWKKLK NLFLSWHTYFLPLLYVIWNNQWPQPAIGYWLKSFNGKTNPPVPGKTYTVSQINLLPLP QTAIFVVCAASFAWLSDGVFRGRRWPFVYIGAVYSLIIAAIMRTFPLYKNINTTFALY YISQIGQGAGPLILTFINELCSDDTEKRALLVAAANDLAYVVQAVAPNFVWKTTDFPR AKKGWTWSLVLNILLIVWMTAILLLIRRDNKRRRQDESEGSSQGDLHERYDGKDLDSV DGSQPATSGLTFENNRTTVLH I203_01256 MSTIPYVEEPVGNIHDKKPPREIETIKSTWKGRLWDTFDLPSDQ RKLLFKVDAVMLTFASLGYLKNLDQQNINNAFLSGMKEDLDMHGNELVTAVTIWTVGY VIGQIPSNLLLTRLEPRFVIPSLELLWGIATLASYAVKSYKSLYALRFLVGLFESGFY PGIHYILGCWYSPREIGKRAMIFWLAGSIGSMFSGFLQAAAYTNLNGVHGLAGWRWLF IIDAIITLPIALLGYAFFPSAPLQDKKAWWLTQEEHEHAQWRLTSIGRAGRSKWNKAK FKKLFKSWHTYILPFLYVIWNNGGGQQAMGYWLKSFNATPAPVPGVHFSVPEINQLPL ITRGVFIISAIAYAWLSDGPLKGRRWPFIYFNAACSLIFAATLLKIDLYANITGTKVL YWLQDFGSGAGPLILTWINEICSDDTEKRALLVAAGNDLAYVFQSIMPNFVWKTVDFP EARKGWTYSLCWQCYSVSGISRIDIM I203_01257 MAPIALDTASPAAAPPAKSSLEDIAALKAALKEAKAEIVLPPDN TLRRYQKAGIDLSGGYPYFPPKPDYVQEVGKIRENLREYKDPGLRADKEKKALFGAAK ELRDLTKWIGTEIVGLQLKDLTDQQKDGLALLVSERSIVFFRDQDISPQQQQELGIYL GDGEIERHPQAAQVPGVGGGITLIWEQGRKDKVYSGRSHRVPYGGGQFGWHTDLVHEV YPPGCTHLHQDTVPEVGRDTLWASGYAAYDKLSPAFKKVIDGLNYKDENDPEGNKKFV ERTHPLVRTHPVTGWKALWINQAMTSHIEGFDEAESDAILNYLYNVYERSTDIQVRWH WTPGTSAIWDNRSTIHTVSYDYDGERHGTRVSSLAEKPYYDPNSKSKAEALQLKGWLN TPDVASRY I203_01258 MSLSGVLSTYHPGLYKYPIFTSGDLTSDKAVVFIGGLTNGLGAV PFSYPLSEALGKAGWKFVQFHWSSAYGGYGTGSLTRDREEMEVLVKYLRQTGIKTLII AGHSTGSQNVIQYLSDPSISQASELKVEGGIMQAPASDREYLHLLGSKEWFEMLPLAE QMIEDGKGDELLPKEFCDKANFGGNPLPITAYRLFSLIGVGGDDDYFSDDVPLDPQEP FTHSLSSSFGRLSAPTLVLYSDADMKYQVGDVTEKLQRWEKASNSKLEWKLLKDASHD VEQAEAQQVLCGYVVEWLKRFE I203_01259 MSSATFSNSFNTKKREHRSEPGPSRRQPKQSDDDNWEDLDNNSR RQPKTPERVSNRSGRNSPSGSTISSPSRRPKHSSGTTKSRSTPRKPIATRPQPSRQTR PAIPSPTSASLNDTLNILLLPFRLLLAPLHFLLSPFLAHLANAVLLLTIGSLAAYFVL PVLPSIILKLLGKAIRYLSNDFISRSLGLAQDSDITLGKELLLLPAKTLATPTCLLTG LFCQTSLFSHHLNGTNIPARPFWASWGSGEQQDEDPVDVGHYARALTQEARGARDIFD SVRMLGQGGVAGGLNYVKIWELAVTVNTGSTLEGKGMFAEQIKDLGDMTRDLSDEIVH IDSKTVNAFSWLQWEFRDLVNLLSLPAPTRPTSTVLSRKLHSLLLRLSTELDTIYALT STAAQHASQASVHGQSLDSELSRTATGLRYEKDRSPGWKLLYDKSSHFFVGGEPSKIE LVERDLKITTKTIGDIRRLSRNLEDTRTKVKIYRDHLGMFSASMMGFHLGSSDDVGLG PEEEVRVLAEVVDGLTRAVGMAKTEQRKGRVETLEIDQ I203_01260 MSSPIKISDTGESYIPLNVRSRHAVNEEVHLRSTPWKEDDLDDL IELFNLPNVEKWGRSRPSPFTRCDAEDLIPEIQYHHSFNLSPSLSTYPKTRVRLSPFG AVRTDQGKVIGTMGLKPPSKTGSEWQVTYNVHDEFAGRGIGNAMVGVAIDLARWLGIQ KSTAHTEKGNFASNHVLRKNGFVIQSEMMIEWPEHRGGGQKEVYEWVIEL I203_01261 MFSRLPTLFITFILLLVIVRVEAKTRRSRETPKYIAVSIPDYLD RWDFTTEFNNLCTGIFPDDGESSSPILKETSAEAAKMNRFQAQLQSRASIPGSKKMKL TGTLFTVSCLYRYPDGENHQLGSYIAEQLGGRTIDIDNELAHLERKNKNKNGSGKARR I203_01262 MPEHPPSTSPSPVQQGPDGPYMQLQGNDLRLTLWKDTDVNDAVE LFNHPDVGKWACLRPYPYGPKDYQFIASILPLHRDLASSFLNVTPPPLGNLESCPMFP LSALRDDQGRVVGSANVGPSQKEVGAWEIAYDLHPSLQGKGIGRIMVQTLIEFTGWLG VKMVVAFCETSNIPSSSLLKKLGFTYVGEKLQQYPEDKGGDVRVIHGYELKL I203_01263 MTLTISLWLFFSIIITVSARPLGMGNTKQKPLVYSSPVRILLPT NRADIWDFGSEFSNLCSHLYLDIDNPLEPRFVDAKVERTLLNDDYHPDEALASCIYQY PSGEMVQLAPFVAEELHCFTLSPTNVNKMREEVVSKDKNQGSKMKLSSD I203_01264 MLATKSIIALFFSLALSGTAKSVDPQPNYPEPEFVLRPDDVSMD EFEKQFLDLCPRYYGESEATDRPIFIFQTFQERFEKDIWHGHKAAVQCIYQVPTGEYW NIGASVATDLGGSALATLYDDAE I203_01265 MFSIQVALTLLTSLALTASANPIKRDFPPPVEVIRPENKTTEQF KQEFLELCPKYYGESEVTDRPIFVFEDFQESFDQDQWAGRKADVSCIYQYPTAEYQNI GVDVAMALGGDGIITIDPIETGEGPQ I203_01266 MDQSQVNQDEVHFDHSHLHLVRGQDDNYSNPILVAKPDCQSMDQ FQSTFEKLCPIWVPPPTASDGCYYDSTSFVRGGSDGNSPDYLATVNCLYTTRGGQKNV AFEIVQSLGGAIANEGI I203_01267 MFNKLSIVALLLAVSPPALVYASSDRIKINKSSCIGDINVFGDV FKAYCPAYKANDPAYTFKAVYFNAPEGETEQAYAFCSYTEVASNTTELFTEEVAQALG GGKA I203_01268 MSHRPNGSGEYRTSPPNHRYSHLEESQPSPAGAPARYDVEMEDE TSQPLRQGTSPVHSPAPYQDHHQYPQTYLSQPYQQSPNQPYPQQPPPPGHNVGYEDSP DPNASTVWSAYDKVDPDTYATELKAKLYEDVEAEADGMIVKEKKKKVHATEVIATTRA RRWWIRITWLLTWWVPSFLLVKLGKMKRQDVRMAWREKLAIFEMIILSCGIVLFYIIF FGRLLCPNSDKAWNTSELATHQGEDDYMAAIAGKVYDFTKFYKGQHSDFSGYTTSSDV MLEFAGQDLTNYFPPPMTVACPGLVTNEDLALMRANFTPIVSYAVHTSGKQQTITGTK LNAEDWYTNRLMPDLKQYYKGSYVFDKGTIENGANSDSKQWAIYKKKVYDLSDYLYTV QYYSGSSGTDLPNYSFLNEDISGLFQSSSGQDITKSMTEILEKLPQEEVDNNLNCLDN AFYLGEMDFRKESKCLVQNYLLLAFSIVIMATIGAKFLAALQLGSKRQPELLDKFVIC QVPCYTEGEESLKKTVDSLAALKYDDKRKLIFIICDGNIIGSGNNRPTPRIVLDLLGV DPKLDPEPLLFKSIGEGSKQLNYGKVYSGLYEFEGHVVPYVVVVKVGKPSETSKPGNR GKRDSQVLLMQYLNRVHFEAPMSPLELEIYHQMRNVIGIDPAFYEYIFQVDADTTVTP DSLNRLISCTSDDQKIIGICGETKVANEKESLTTMIQVYEYYISHHLTKAFESLFGSV TCLPGCFSVYRIRTADKGRPVIISSIVIDEYAEPNVDTLHKKNLFSLGEDRYLTTLMM KHFPTFKMKFTPDAVAHTVAPSRWNVLLSQRRRWINSTIHNLAELLFLPEMCGFCLFS MRWIVFLDLLGTIILPATCGYLIYLVIVVSTKQAAVPVISLAMIAAVYGLQALIFIIK REFMLVGWMIVYILAFPVYSVFLPLYSFWSMDDFSWGNTRKVVGEGNQKTVVYEDDEP FNEGMIPYRTFKEYEANAWETASLHSEKSRGTTQTLVSRSLHPYPISNRAPSFHSSVS ELPPGADYWRDSSPLRPQHSSRNLRHAESNPSLRAGFQPRDRVQSMAGMSMWGNGSNY DVHGHGGPPGGLNPMFTGGSFMDNGSMRGSTFYPPPPQPQQYGSPMMNPMMMPFNPMG MPGHMSPMNGMVSPVPTGSHQGQGMMGMGAPRNTIMSGLGGMAGGQNNQSRVSSYSLA TTANPLGGGEKDKLAGLTIDERSAEEIDDEVVLEKLRAWLSKQDLMNVTKRQTRETVY TLFPNANLQSRAGWLNENIDKILSES I203_01269 MSIFNSPKRSSSTGAKPLYASQSTLPHLPVPTLNSTFHKYLETL KPLLTTEEYTRNEGLVKSFLKSDFSKVLQKRLEDRSKEKESWLSEWWNDTAYMGYRGR IIPDVSYFYIHKRGLGQGASQEERAAELVRATVEFKKLVDSEILEPEKVKGQPLCMNS YQYLFNAVRTPTKPADLPVNHGSDHHHIVVLRNNRYFKVDTKGRGKQDLVNAFKEIKK VADAKEGSGLGILTGDNRDTWTDARDHLISLSPANKSSIDTIDSAILLVCLDDGPAPS TDDSRAWSLWAGGHDRSPSGKGFNRWFDKHQIIVDADGESGFNGEHSMLDGTPTLRLN EFTLASLQAGKIPLELPENEKSKDPMPKPEEVEFELNEKLKGIVEKSRKGFGEEMGLQ DLKMVNFEGYGKNLIKTHKVSPDGWVQMVKQLAFHRQFGRPGITYESCQTRKFLLGRT EVIRSASSQSKEFCEAMLDSNAKDTEREAKFRKAIERHIQYSSWAADAQGVDRHLFGL KKLVNTTEGETVPEVFTDKAGLRSGHWELSTSQLSSKLLDGWGYGEVVEDGYGLSYSI LDDKITWCITTKNNDAEKFGNALCAAAEELKEMMERAKKLTGENGSKL I203_01270 MSPSPDPPDPPRSRGRAPGPTPITSTSTRSAQTILHEQEVDERL RPLEGQEVYTPPRSAGPSGAQNDVHGDNGLRRSSVVVDTNDDMDGSTNQERYITRIPE ECEVHNQQNSREGSSKDKNIVVDQTPQQQYTSLPSAQEMEGRSPPDAPRTPISREFSP PTQTNQEEMSSPTFHIEQELGSGHQNRPVPSGPISPQVSDDSHTHQDQSVQHQDQMEV EDEPKDHMRLRGGGFTEILERWHGYGEAEDLRRANWSYQHRHSNNAPLISLPNDPPIV LPNFAQKRAEFLAAQKAAAEGETAIQQDDILSRRSSAETIKIVKETEPDDPSVPSYFL EFPITALFSQSDTLSERKLRLRGGSHFELSSDSGPSTGQQIGNNLGQQTDVASDDRDS EEQESATYKGSKATVPILKCRPPKSKPVHPTPPTGHVTTPANPGLKVQGITSPKKRYT KRFSTIKRGSLKVFDLLFSSRLRGNTHTLAKPQAGPPERRRRFGSDIGDIASPTSAQD TVLGTPPEQHNALGYDVEPPVVPISPSRAVPKPAQSSALTSTFSPMTDHGSRQSTGPS GEAGDDHPGTERPSTPRSSSAPPETIDPGPFADPFHPSSQPLRRSTSDVLWMDKLRGL FRYSRNRSETDTSATRTPGESPTTIFQDPSLVEGRRARGLSLTESGTHTTATTATSAV PTIPSTPARHPAPGPSTEPRTLEQLEEEREAWCCDWRAPRLKQSTAMQRSSGRGPIGD IGSRDSRLIRLSEFLFPCINPRIAEAERRVEEAFSAGQEEGEERSEESSESRGNGRKG KGKAKGKGRKKDAEHKSPRDGGGDGNGGGVGGPSGGDGGGNPSAGEGSGNGRKSPGNN AGDQPRPASGNGTGTSVDECASRTGPAQPQHNPSSPTRNSENSSPRGSANPSNKSLSS IWPLIGPSKKAESFPGANQDPLIAAYLSLKPPPRSRPGSSSGRPESTI I203_01271 MVKSASGTSGKTFGRGAQTPGTGPPHNAPDLPIAGRSDEGMLRS SSDNSGNTPLQPPSPPFLDQPPTQTVLGPVVRPISSATPTPTSPLGMGQPRSPGISRS NLGEATHNHPSIRPQPAADVAEREGDGDMESIKARKKGGEEERIEPSAGPSGTSGITQ FPSEGPVGPVRPIPDRNITPPPQGDISSPEGSPLSSGSTSPGPLTTSGQLIHPLNVPE QHSSLDPRSTFDPETPQGSKGGLSSISERSGEGMSTHDAHGTLPTSESITLIPRPNEE PMESIGQSVDAAADRLAEKERQMERERLAEGTASAATTPDIGERPPTPVDKDIQLDTK VTRTGDRPSEESSPFQKASSSTQAQRPTLPKETTDENVSDVGTTANPELPTGEQGPGP TETAAGYHVGTGEGENTAGNVYPPAPAQAGQVPLVIKPKWYRRWWGKAKRMLN I203_01272 MPPPTDFFSSLRPKSRSRRQGKGSGLLKTSGTAYTLNNWVDLDH NGNASHININDTQDMSSHHDQERYNAVHMNDENVDPRTGSRRSSGSKLKRRSMMLLRM VERKTSSYRNKEEERGELKRSIRHPTMDGTDLYEGSMAGPSKSTSSSTPPHTPLTTPS LPSDLYHPYHSQTHRTPNHAEYPGWIGDSDSSGNRTTLKPHRSKVPYNTPEDSPLGIF DVNRNIHLNHTSSPGKTHNGRKGKMTYEELLDMPLPLPNGEPSRYHHSQPWHLHDYEE SQNSVYHQRSNSANHQEDLGGGITNLDNLVLQDQEDPSAQTIFESSSALEALWEYGSS TSSCSSLAMAKSTQGLDLEVELDLDIDAEEYPLPPGMGLLNLPTEMSLSKVDIPPQHP KTTARSLKSSRRSNLNINNDLPSPLSDTLSFEEEEEEEEPEIKAAIKYKIPLKCQREK DEIRSNPGTEDAV I203_01273 MSHYTKPKPRRTPILGGPSSSTNNQIHPTTSTSNNQHRANGLTS SLTEDQRGEIKEAFELFDVDKDGAIDYHELKVSMRALGFDMKKSEVMKLLKDHGGEDG LMDFQAFERIMTEKILSRNPETELRRAFELFDDDRTGRISLKNLKRVARELGENLGEE EL I203_01274 MSSTSFPKEIHFTSPIPQEAQHILSKDAVEFLAVLHRTFDKRRL ELLENRKKIQAELDQGRPLTFLPETKHIRDSPSWACAPPAPGLEDRRVEITGPTDRKM VINALNSGSKTFMADFEDSNSPTWSNMVLGQVNLYDAIRRQIDFETGGKQYKLSEKPA VLLVRPRGWHLPEPRLLIDGKPISGSLFDFGLYFFNNAAELLKRGSGPYFYLPKMEHH LEARLWNDVFSLATSHLGLQQGCIRGTVLIETLPAAFQMEEILYELKEHSSGLNCGRW DYIFSFIKKQRAHKECVFPDRSDVTMTVPFMDAYVRLLIQTCHKRKVAAMGGMSAQIP IKNDADANEKAMAKVRADKLREVTAGHDGTWVAHPALVKIALDIFNENMKGPNQYHIR REDVNVTDKQIADPSVPGKITEKGVRDNVSAALSYCAAWISGNGCVPINYLMEDAATA EIARVQLWQWVKYSSKTDSGKTITPSYLQTIFSEESSKVSKLPGIDPSHVKIASEYMS SQVKAEWPSDFLTSDLLVHLEGVGTVGGATKKSNL I203_01275 MSKQQRRGVSMNRPPIPRYTPPPSPFLSHQTISFLASWRGQLLV CTIVLVIGAIYFFVRPPIDRWHRRRKEALSRKRELELMKMAEKEEQSNKAEEKDVTPI KAGNGGLIKDRGREKNKNQSGNRKRLNSHLKPPTTDTGTGGSSKESSPAPPPSKSLSS PTPTKSKRSLSNTLPNNASSSKLQQKSDVQSSKPLPLCTPSRQKPPPPIIVPRPCINS MDPWNIPLPPSPIAGPSKLNRVNGVNGLGMEEISDDAGSVSVNDHTEEEPFEVPAKKR FEGFSIYPDEGYLPPAVQTPGNANKKKKRKNKTPNGISAGINGSTAMMETSSSEKNPM NNSDEIIENHINGNSLVTTSTSLTNEGRRVRHQHTRTSSITLLPNLNVTELREIVERR DETIDQLRAEIGMAKAEESKAKEEAVRARMGEEKIRGEMERSKKGSYVRSDSQASSVQ GQAQGHEMTGGQERRREAELQSRLAQMQQLYTTALSRLSTYESTLRDSGIMLPPLPSP IPMLHPQSPLPLMPTSPYVPSPSPGRNTPIMGGGFIPYPSPGMYPSPRLHPTHTHYHH HTHSHSPSPYRRTSSFVGAPNGNGEMISYPMDSMDPMGGPMDIGSSTMPIGLGHPNGH GQEGEKDRERRRQSIESSVLKKKVPHLSTVAVENDNDNGNGEVVEEEEVKSSDKPTSS SSNGNQDEGSIGESVEGVLSTEERNFSSSVNGNVDAETNADTSTVIRVMLDSERGNVY YHDGVITDNENTDTPEAEIEGDESESGSREKGDFQPIFASLAHTPEQIEEMRQISRKQ QDQKRERGTSVSSSLSSTKGVGVGAGLGNGGLLTPSPCKSPVPLRG I203_01276 MSTQPLLQRTAKKRIALPVRVEPKVFFANERTFLSWLHFAVVLG GLAVGLLNFGDKVGKISAAMYTVIAMAVMLYALVIYQMRARSIRLRTGAPYDDRLGPT VLCICLLTAIVTNFILKAVYE I203_01277 MLIIFNDELAAYVDDQLVATGKITKGAILGKQGGVWAASSGYNL SQAEQDAVVKTAFTQPDQVRANGITLNGFKFMTLRADADEVIGRKGERGVFIIPTNQA ILVAEYDAPTSAGEANVVVAKLADYLKSVNY I203_01278 MPLYKLTEKRMKKRAREDEDGITDLKAAMREMGEDVDGSESGSG SEGWSESDSDDDEEDEDEDEDKNEDEDEEQDEDEDSDGDEDGVLDVDVEGLESGSDEE DEDVDEEDDASSSSSSSPIFPISLESALTDPIYPSPHNTSENLCVLCPDKVLKNDQMT KVHLESKLHKRSLKRYSVQLSTNPPPRDADPREVVDEILAEMDSGEIDVEPKADKKGM STAQKESGDMDVEKKDKKRKRSNKKQRQEEKAKKLISSNSKDTNQQEEGQEAGEKLNR KARRLLALQNGEIDTKKETKEKQKQK I203_01279 MAAGIQCGSFLLFAAFALLLVSTLSAPVFRQISFLDIRTGSQKL AFGVFGYCTNVNGNGNHGCSSRALGYDIASVSGEVSDFTYVNDKLEHVTKALVLHPVA AGLTFISFIIALLSDHVGFLFAALVAFLAFLVSLAAMVIDFVMFGIIRHEINDNTSSS ASFDNAIWLTLAATVILFFSTFIVCFETCTHRRRRSKDVNRAYSEPYAGNGGYVGNQG PMMAQNGGYTAAPKRHFWQRNRY I203_01280 MLDLKNERSKRGEGKLHAIKQIKTLNLQDAKSAMALVEQCKKFG RTKSPLDVKGLTPFEGIRHLKLEWSVFRGYMVSQLRSDYSPTSDDDDDQPSEVGKVPN GPFINAISKYICGTIETFCIEWGGGYTYDHSSLREDVSYIGSQLLRSIMKKSPNLEKI VIHTDMKDIDEYCEMNFERLSDILKDRVKIQFQLYDDLLENKETMLKWRMNNVWRLYE KLCYVNKKNLIFTLKRFDKRQVESALKKNEKRLDEQRKYARTYYEASEEFRMKRKEWY NDFKECWKFQTEEQDLLCRCNEKMFHKKASEGYRNWKDPDWAKNRYRSCSYDNAYQFH RSIKYGCQCGKCYDSDSECGSVLEGWDPVKRRVVRDDEPERPLGGPDADLALNW I203_01281 MPSCSSNAKSKKKTKSKPKPRYQITPQSFQKLHPVHYQILEVLK EEIPVTFIQTCRCLYDELIPKIYERIEINENTYDGITQGVYIDTESGSEQDDDDEDED EDLADDQTSSGAGTDSSTLPTPGLKNEALTHTRFINFTDAQGAISFVKDCSYCYDWYC ILCSKDYTLQGQILSNVEHVHLEGNLVCLLAELKLESHPPFRECWETHRLDEFLDLIS EYMTPRILCLNWPEDWSSEGWSYNPDDEEDEDDDWAIKRGMSELLLDISRTLHSLKHI VLNICVEQLHLVHFDMEELTVISDHKFTFCISQKPKTKPAEVIQALWDHFKSLRDYHS TFEYCLPSSKSYRRPIRKLRNKIL I203_01282 MSSSNTSFDYEITAENFEKLHPVHYRIFDLLKKEIPVTLIQTCR QMYDELIPKLYERIVITEKNYDSITGASIELKEWYLEERDEMVEQEEISEEELEQWDQ HLPDLKDKALSHTKFLSFADIRGATHFLNEIKCCYEGCCEPIKYCLRNTVFPNVQIVH LGKDVVKSTVNYHVEEDYYPPMEDDFEHRMAEFLEVLLGERNPHTLCVDWPEGWDRDE WPTDEEEDENWAIRQGMRNFLMELASFECIRHIVLHLKIHHLPHVESALDDITKHCDL TFYLTVTQRQARKAKGHLILSDIHNHFGSLCEDYDIHYLPIRYSLPNINELAGFIEEY GDHLDGEEAEIYDILKERLEIREEECECKVN I203_01283 MVKSEPFNPPSADAPGKPKIADWVPPPVTKETHNLAKLTCIDLS LLDSDDPAVVQDPIEQVKRAIREDGFLFLENYGVSLEQLQRQFSIANHLHTHLSDEDK ERLLFHSDTGLWGGYKHPYGFSRHRGAWDGIEQFNWYKKQWENWDLVPKCVLPFMDEI EAFSKYLSYSVNKRLLTLFSRVLELPYDDWLFDNVQLKGSPSGEGYFSHAIFRPVDEN TDKSFRGVRMQGHTDYGTTTLLFSVPISCLQIYGKDEEWHYVPYKLGALVINIGELLE ILSGGHFKATLHQVNRPPADQMTFERLSIVQFNSGIGDLRLQPIKESKLIQREGILDY QGVYHEFKRLVDAGLPIPTNGQWREIQISQTVDPTDTERNKVGKDFEIINGKFYQVRE FHGVRTVLPV I203_01284 MSIERLQNDEFPPKPWNVPAVKVPGPIIFLAGQTAKGDIKTATQ TSLDNLAKVAAIGGSSPEKFVKVNVWLKDMGDFNAMNEVYIKFFGCNPPPRSCMQVGK LPGFDEVVIEIEAIAQAEGG I203_01285 MAEASTSQSPIQQIHQLQHPEQQSQQQQQQQISPQPTTPLHPFQ CTVCLRRFTRHENLKRHAHRHRKPEEEVKYACNHCNKVFARSDLRRRHIRKKHPEFAP SPLPSQRSNSQSNIIARLSNSAITFSSSSVAATVDHHLRWFLTYLDKTQDVTKEAPWM AIYSFKAVLIAYQQVSSGNNDPLNTLGLSNSDDMLEWIKGIFEKRSYWSVGKIVLDIL GELDHDD I203_01286 MFAASNTAPPYISQWEKYSSTLNLDTFRKLLPVHDNILKVLGQV IPATVIRLSKKHYNQIIPILYRHIKFDSFNTERFLRGWTLPGHPQEEIHWNNRQKEMM KHVKTISFLDEGSMIVFNRMIFAYHQLIKNDDILTNFFVQITHIQLGQQLVIASGRKW SEGDDSSAALAILLGSFYFYLNRYNVCFDVPEDTCAEERNNLSPHTIVTEEEHNRTCH IIAFWDGIHAIFEIVEGLRNEPQSYIFHWPNEGYTMMRGISNLITYRNHHFLNSPIIL DLSEMEDEVALQLPMYIKPSSLFSFVDHEGNKRDTGIHLIASEKKELALLMAVLMGSE NPDERQGAEEILEMVSYHEERIMCWKNEDEEKAWKCPCGHGRRKPLEEEEEEVGEDDA K I203_01287 MVHSDELIFPYLKHLSLGENVIIDSLSSTDEGGIYTLQPKFNIF TSAIKLSSPTTLCIDWPETYDKERSSDPDDGGSLIDWFVPELLHNLDENTCNIKEIKV HVRYNNIGHLIFKIMGYGPGWRPKSKLDMVNMPGEELKGIIQHVFWSVVNAMNGLIEE DLHFDSTMIGEPFSFFLLDDEGNKDQVIEEVLEEVTDDYEALYDTVQDATTWKSQDNE DEWKCRCGRHIPDWKATNMKRRSPTPEVEVMDHTQRKIDEYFKPLKNNSQMGEAWR I203_01288 MTRATLFFLATTLFSVLTLITAQNCNSTVSCPSTAPCCSEYGFC GTGSYCLGGCEPLYSYKSTSCRPNPICESQETNFTDLSKVQMNGTKYDGNATAYDWVV NTGTLVPSPSGDGVRLTLRESDQGTKISSTHYVHYETIDFVLESSKWNGVVTAAITMS DVKDEIDWEFPGATTDKVQTNYWFLGVANYSATQGASSDISSDTSSNFHTYTFDWQED YMNWLIDGQVVRTVLKTDTLSDDGSQYKYPSTPSRIQLSIWPAGTSSSAQGTIDWAGG MIDWTDPDYTSNGYFWNTIQSVKISCANEDDQSNSTTGWAYQGNDTQGIPIVTVTNAS TLLSKSELNNVPVQNSRVLALVGILSVFVLSGITLS I203_01289 MHLPRQYASTIANPTASEANIGGVSAADLIQQAERHWDVSATSI LAAWVACMAGILLILLLYSTVRLKWRRIYLPRWKLRKPSQLKEEKEEDRLRLAQMSRR GQKEYLMKKFKEEPEYYPGFIKKKDPGDEKGYIWVEKEDDGVWIGKAPKAPINFFGWI KPNWEDTMTELMAILPKWFCCCWSLLGLRLCVRKRGQKKRKTILEQDIRGLRMLGLDA VIYLMFLRLLKYLFAVISILAVCMAFANYYINTETIYGSTNALSSSSSSSNNSADNNT KFDKENMTNIIDNPNLLTAANIKSNGLLVHVSFEIIVTMLVIIFVLKACTHHARLVKE WAKMNRNEISFKTLFITNLSISKTTTTGAKKQITNLVLGENLQNIPCEVWFAMHKLNP LEKRIEKFKKEAFSKAIEAVAMETFYEGQEGQRNHVYDSCWDRVMGRSKQLISMYISN EALQEKHQIEEVQELIRYEQDGSNWKDLNGTVTAAFVTLPTARQAKTILSQKKGDLAQ AGYTLQKAPRTHNVLWKNLEKDAKAKHSSAIFGKVALIFVCFLNTIPVMGIVFLTNIG ILKQMQEDSQFWKVVFMIIEGVLPAAVAAIFAYFLPYIMRELNMWSGSITRGHLDKDQ IRQVFIFLLVSNFVVFGLIGVLYETISGIYSKIGEKSIGDIYKSIGDLPAKITRAYIS QSLYWFSWYPIRSIVMWLQLLQVPRLILKTPQLLKFKTPQDLAEVTLAIHFELGKYSF LLPSTKFFVTSHAEMICLSLIYAPLAPIVVIGATIHFWSAHIVHSQALKFVNDTKETD GECWWVIINRLLVGTVFMQCLMVLTVTLKTQSPPMAVAAALPIFWIVLFKLYLNKHYK DDNLNILYDGQSEFGQGEQKVLEKYVPDILRYDWMPRGKTVKNRKLMERAKQKIPGLK ELMMVDTNNSNTGGKLRQKRRMFRKKN I203_01290 MAPIKHHDTLVLHKHRSPHPFFTPTYLSFIDPHTSERKGSWSSR RARKGRYSPKSHTIHYAGPGSPSKDEEQGGGEIRKIESLTTGRIKREESKFKPHLKAD VSFWLAVSFTLGSMVWVVNGYLVWFPIIRPSLDTEPFSKTAAATAFLGGSIFEIGAYL GVVEVLDRGREINFGTALGELLNDRRSVPRETGFKLDRIKSRSHSASTPPATSDSGDD GNGDESWLSDKKGFIWWGKPMWHDMGYLAAIVQLFAATIFWVSTLTGLPGVIPGFADG GGSQAIIDIFFWTPQVIGGTGFIVSSLILMVEVQKKWWLPNLLEIGWWVGLWNLIGAI GFMLCGALGYASSSSKAEYQSGLATFWGSWAFLFGSFCQVWEVIWREPSDKDT I203_01291 MDSLLVVHPSTLAIPTLEPIPQSVAPTPSLRESPPNEVELSTSN PAVPVSSEQPLPTPSDFAGSSRRSSISSYYPIPGSYFPAAGSSRYQYAASSGTNTPSE RPRPSPINIPAGTPDEPLFSAYPDTGSSQPDVKGKGKAFHLPLKPSFDLTSASLSRRS KGEEAEESSADELQMPLTSSSRYAQPHPQPHHHPHHSHDSPSHSYHNSPTRPRSPTSS RRPRKRAVTVSSSPLESLTRGHRKSASTSAAEDQKQSQVSGKSTLRLGSVPRPRSLGG FRRRRASVGSASAPIEVEFEPPGSTNQWKNMPKEVAHKFDSPPIPFSYQQPTRSDYTL QSVSTVATVRPLALGSAGEGGDMVPVIAPRQSSLSGLEPPVVLGLTNISRPLPAGNVE IVIPEDMLMPHDPPPRRSSLEYDEPVLVEIKSKVDPAVSASASAIMVDYHEQSVLSAS LTNTDTSTSAFSPSVSSKEHPLSSATTSLSRSAKSGKEGFEGENNEASLSAVRLQRSL EWEAKQNRLRRKLEKRIMIILELAETEVAYTEDLRTLCHVYLPQLAALPSVNERNAKM IARNTDELLVFHAAFAGKMVDILKEEGLGYESPRNLEVGSAGQVDRVSRRLATLFVDD ITHFSLYKDFCAESIIATTLVKHVSERVDYEGFEKRCQIIGAAQSFTTLRDLLDESVP PVHKHRSRLHFKDYLITPIQRICRYPLLLGQLLDAAGPTSPGSGSDYYDEGFDVGVDL ERALGAMRGVAEEADEARRLKDAEVKSATVLDRLEAHPNLTPSFIRSLGTCRLIGSLD VLHHHPSLAPLVPPVKVKYLAAFLYRGYLILAKVKKGKNYEAKHFLPLEVFELIDITE GFLPHSIRLTLREHNFDLAASCEAEKEVWAAAICQARDESVIPPFELPASVSPFPQRS RRSSTAFTGDIDIYATSHPVPKRHTLVGAPSELDEFSAKAANPTTTPNHTSGSKPSTP MISPIKSTFGFTPERKSNQAGTILLRRASNGQRMLVERGLSDLFSDICSTVRSKAQLQ HHTLFLPDLPAFPQSESGHGDGTSTRDSSTILRRRKSFLDHRARKESIDIAITGEVKG SVIELRPSRPAGVKYRTLPSSSRRRTGSISSIVRGNNEESGDDESASVTATAISDFGT LGRTGNMDYSRNNSVSSLQSYGSPMITPRRSLSSLRGLTSVSQTGGIEEETLMIRSKD RDATNGSGRQRYHSQPPRSKSYRSDISNSASGRKNKYNLNLSSISSMPHTFRARSTPV SPILSPTNEIPPVPKMPPLVQKSRSDESPRKLHHSVQIPKSPQKHSIKDSDSGSTSVS GSTSATGLGVMEYFIPPPHGLALDSIGSNVPLTSSISGSGSTNKANTVDPDSPIATST WGTLRRSMSFLPLRRGNSVTSMSDEFGGGANMENSASASGSGSGSEESHERHAASEKA SNEDQSSISGSGGYTENEKDQGEGAGGGMIMRGWRSVPNTPKRKRSLRLFGLKGFTPM I203_01292 MALKLLLVILACPIITSTIAQTTVPTGNGVSFQCSWDPSAIQAF AGGSYCDSYLTSKAGSQWPLANEVVTVSYSKAPTSYYSTAVGDIYLIGKIPVRRAISG CRYNAGAPSGDTFATGIKYNIGGLGFQQSCPVVQANKPRPSPGLYYKKRFGLVTTFAC PIIQEGGPYYLTNTQYSLSSTGQNYICTYRTTSPGQFQCRYDANGQLLPNSATVPANV NCPLSQCQAVQPYMGKRGLPQPADKRQTSRERIIARQKEIWGTFAEERL I203_01293 MLKSSLIALVTLLGPSSVQAQSPKYLTSNTGVQWPLYIAEVSGS YSQAPSSDGSQTNADELYVVCNWQDPSNSGNYFGCRYDGAASTGSKFSSGRLWNGGGT YSSQSCPTIDSDKPRPSPGVSYRKRDVQASQFVCPTQQVDAEDPVFLTYFDAMVRVSS ETTSCYVGCSYQTDGTLQYANEACPTQLCQAAQPYTRRRRGLPQPAYTRQTSRQQIVA RRKKIAQRESARA I203_01294 MGHGLGGHLGFFTLCQEGIIRSRDARHGYLEPLKGQQVTLDLND ISTWRGLYDEIEDELPNGLRKLKIYGEEIKIPRIKGTILLSPVSDVIRQIRYESSIHL EHISPLRRSHGPSQTACMRHSLGHLLFASKRILEVDRLPEKLLIIHGAQDHLVPLSSS HWLSELLYGLGVPTAFRPYKDVGHFDLITHLMKGLEDAEGEQNGKKNHRKWLESDLKR FIEET I203_01295 MGTGEYSESRQRGVRAIESRCISTVIDILPRYLWAIGRWIIWEN LKRQVDYQDEEPEAFRASRTARPQRGGLAEDDFSVEHPASSTHVKNRGKEGIFREFAE I203_01296 MSHHPTYPYGYTQQSTSNDHPPPSTSSSQSQPHSQPQSQATYND PSNLLSVYPFMTYAPTARVAQHLSPHTTTYPPTPSSSDLYPQYSDILSRLDNPTTVED WAMRQAAEARLRELMSNSTGHRYSRQPPPHTPQSHSQPPTPTSANAYGAEGPASRTLP LPYSFLPTYSSQPISNGQLHTPTSTRGHSPVSPQRQPPAFQNSPQDYLNNFVNNTLAR NTEPHIQTPSASSSSRSQPIVAPQPVPITSMPLQAGPSKERRASPRRSTPNQLTIAAS SQQTQAQVGMSIQRRTSPRRSSASMTDMRSPNPPSSVASTPTHERIVHSSPASSPDPL GPSPTKKSRNNNNGYTDRSSSRDMSPSIFGRKQTPDMEISSLSVNDRRSSSSEETPRA KSHGKVVQVVIHTRKKVNKEDQASESNDAEEDEEDDLDWGEDKDGDWNMDNHQNGRYK SPPMNAAVLPRGSGRTGERDMRTFWQKLINLLEDIFEESDTFPANPKPGDLAGSRYFA TTSRDGSSPLLSFGTIRKVSSYVIRVQSARKRQPSGAQDGGVGQWDNEMMGSILRLLD RSMRDMESLAVFPEDRKPAVSASAQASGEGKKKTSSKKKKEPVNPDDNDDDQEFTLSE DALQKHERTSDRVRDGVAAAECVLILLDSEGLSKQMYSEDLLTISISIIKEQMEKVIF PIVEGLAGEKISSNYLAHIVQAETMSLKKGKSKALSPYFAHPSFSAIATSIISSIPRL TSMIGRPQLAFSDSLVIQIVYLAIGPLFINEPVMKRGKKDGMGKEGGGVMKGLKMEAL GCLRGAFARYEDQRQWIVEEILSSLVRIPEQNHVQNKFELANGKSIHTVSALLLQLIQ ASAHGTIDRIRKLHSSAAEAEMMGMSDKKVDVPEEESRICVDTIESALRSARIVAGYL VQKSTSTKATKTSHDTEYKAILDLFLKDLMLVLYRPEWPASTLYLTVFSRILIVSLED QKTGPEATAAKGIALDYLGDIAARLKGLGLEMNGEGKIATLDEVIANVDMAGLQKLIE AQKAIMTFLSSAAREDGSLNSSRDMACIVWAQELQNAIKKSTSVVEKLTSEKDEEAQE TSQRLQNIITTLKNTLRNIWMGDEGLFEVHDPRQAEIATSASIAISRGRQLQNAVDPI LIALLGVLDNPVVALRSKALRGVGSVIVVDPEVLGLPQIRQALEDRLSDPSPAVRDAA VELVGKYIVQKPKLAAEYYPHIAQRALDSSSGVRKRVIKLLKGISPNMEIRDIKIDIC CKIIDLTDDQDEGVKDLAVKTLTELLYTSGEDAAGLLVDILGDFRGSNGLLERAMDGV SNECDSTNHKDRFAQTIDSLITRLIDATEQSDFDSLSHVRAIWLLCTSDPGQIDTQKA GVLLSYLRPPANSDDQATNELLLKIFSKCIPQMPRTASTFASDLTKKLMPMISKPAGG FQALRETIGCFCAVINFLTRDWTRLINVLRACEAKIRPIRSQFLSTGTTTAPSQAASM MLYITALIAEGCNLDNVALEDDMVDLELRKITSSPTSVYLYEVYLDFAKLPSYQSAAT ICIGSLFRSYPFLLQREETSEWMSDTFKSQNEDNRAQLLGVIHEFLASEVERRTDKGA DSGTKGKKGDKGKKELGFEMLRGDAKELQDSDHSTTIVQNNIEQIFECARSQDPVTQN AALDVLGFVVNQGLYSPVHTVPILVTLETSSDPQISERASSLHQVLHQKHASLVTVLY MESAKASYTYQRGLTSEPSGHRNGVALLQNWYNLLSEKRVWKIDFLKALSRGFDRDLI GDLDANFVLYLAENLATFEYKLQEEPMTIIVSLQKIISTCTNLISTMEKINLTQIQPH QLNEPVGGKTIRIGDNDQENTIKIDTLVESSIITSLAVILKNHLLNLYHLPEDKCAIH VPGKKSAIGDKPTQRRGSAVLDLTRMPYVRGIQTFGEFRTLQSSFLRLIQEDGSLAEL D I203_01297 MPPKKHQPPKAESKTKADNVDEAPLQAVILADSYNRRFEVLCSD QPRVLLPLCSTPLLAWTLESLSLSKVRQVFVFCGVHSDKIRAFIETSPYRHTLDIQCL SSQTAMSAGDALRELDDMSVLNAENPFILVHSPIISNYDISKMVEAHKKRREEDKNFI MTMGVGLGGRRHPESPIMLVHPPSSRLLHYVQNPLSPSQPRLSFPSQLFLDPFPANID TYEIWDLGVDICEADVPALCTENFDYHDLRRHFVNGVLTSELLGKKIAVHLVDVRSGN GGTYVERIRDTRTFGEITRDVLRRWAFPLAPDNNEPGGVQYELRAGNVYIAKDNVVLS RTTTLSGPLLIGPRSALAHNTRIHQSTLGADCSVGPSTTVNQSYIFDDVRIGANCTLK ECIIGEGVIISDDVIVGKGALIGNGVRLGRGTKVPDFARIGRERYGGEGFDSDDEEDE EDEDERGESYHNRLSTLGPDSIGFLWPNEEEEPASDSEDEGEDPYEHPRNKRLLQLGR RLSNISTSTVSLSTLSKASSSEASSPLSVASSASTLPDLAPLSLNQGPREEFYIEARE SLLRAYEEDHKVENAALEMRTLVMGENAGQDAAREEILKFFLSKLTLSGGAGEILKST VGVFGKWGQLVRSFSTTDYTLIAVDTQKFCVDNEPYRPWFGVILRGLYDSDTLTEDEL IDWRDSSVSKGEGTKDENEKKIWLEVYNKGKPLVDVLESMESDDDEDEDDDEEEDSE I203_01298 MPVPWEALIPFGLLTVMFGATGTLFNTAKRLTNDGKPPRYNLDS WETMMMERDRRLTGSLRGQSTDPIAPKEFATNSVWDTERVH I203_01299 MFFTLDKSITSLIYDLKLDEKSRPRPKAGTMWPTDVDSVVRRYQ PTLDSITESEKPSACASTTYDTMAEQQHGEAVDEEEAWRGFLDGVGIAHSVGIL I203_01300 MIGSITSLLRPSAIASSSRFTLPLCPKPPTASIQQVRYRGQLAP KRTKYKKAAKGAPGTQIPIGGSLKGTTLHHGTYGLRACSSVRISAAQLSSCQMAVRRK IKPVKGAQMYLRVFPDIPVCVKGNEQRMGKGKGSFEYWSCRVSPGKVIMEIGGGGIRE EIAKAALKLAQARLPLQTEFITLSSSPRLGKISHDNLVSPSYARPVPNPIVELDVKDE GRAKDVVLGREAEEVDELSRRLEGAVLDDVRPATGQEVRA I203_01301 MSYNIAGRAIKNEYLVLGTIAATVGIAVSSLGGGDKSSSGSAPV PVKEDKTITGETPEEEDFIRQFVAEAEGSDKKH I203_01302 MLRQSASRLLTPALRSYRSRPLSTTTPSLIKIRSSNPKPPQPPS SNNQPSPAERPPTVPEDISTSKPFEPITSSPAGATPPKNTAAGPTPTQVEAGADGVPL TPILPEGHPPPKATEASTATPTPEAEAEPATGVPLEPPTPEAAAEELPTDYSKIKLPS LDIDPEAQASIAEPESETEQREKRTGAGKREDKTSAEKTRRVWIRYGYAALAVGGIAA VLTKSSDEGATGSTPENQEGIVTKFTNNISEIFDYFNKPAFKTLLPDPLPPPHQRPYT LVIDLEGLLVHSSWDRASGWKTAKRPGVDYFLGYLSQFYEIVLFSSAPLYTAAPVAEK LDPYQAYLPYRLFREATRYVNGKVVKDLSYLNRDLSKVILLDTNSEHAELQPENSIII KPWNGKPRDKGLVEMIPFLESIGIFNPADVRPILKAYEGKNIPVEYAKKEAEAKQKAI EEWERMHPSAISGAGSGWLSSMFGSVAAPGQTRPNQPMTYLEQKRAQAQKIYQEEQKY WAEHADEFKKLIEEDKQRQIAEMKGSLLGMLTGPKPQESEQKK I203_01303 MRPSSRLLASHIPKVESYIVSSKRTPFGAYGGKLKELKASELGG LAGKAALAELPSGVEVDQVFFGNVSQSDNSTPYLARHVGHLSGLGPTVPALTLNRLCG SGFQAAITAAQHISLGDADVCLTGGAEAMSMSPFTMSGLSRYGTKYGVDLKLEDSLAA ALVDQNPGGVKTPMGVTAENLAKKYGITREECDNFALMSQQRYAAGLAAGAFSSELVP VKLKPVKGVPQTLEADEHPRPQTSIQSLQKLSSVFIKETGVVTAGNASGICDGGAANI VMSESSLQKYGVKPLARIAAYSWSACEPEIMGIGPVVAVRNALEKIGKTVGDMDIIEV NEAFAAQWLAVQRELELPTEKTNMFGGAIALGHPLGASGARILANLTHNLHRLDKKWA LGAACIGGGQGIAVVLERS I203_01304 MSDDLHPDLDEFYDTVAGDEDQWVDEDDGGNYQVYIDPDDFFGD EDDEEDDDDGDYIFEDDIGEIDDEDEDEEGESDEDMGNARLIIDNEDDGSAAALAEMQ TLLQRRRAQELVDELQIEDPEGNLDISTLLQNLIRRGMVTTGRPSGNITSGLRGQQDE RRRRERERNDPKWKAPKEPQAAGLELLRSGEFGRVGQWRIPSKKRPRVTSGWMNGWTG PKKNSPHSHAIVPNTPGTIVASYPAVPYVGQFAREDYSLFYTATQYFTLHLYSMSESR KNRIGYTSNSRKRSRTSRSPTVPTLAIGTPAAAAAVEEEEDEDEDDDDYETEESDDHG YSWGRGGQIVSEDTSLKKIKKVQGVEGSWTVTDCDADKKGEKMIYSSITPYVHMLYTA ESDQEHIELDFSSTRRNDRFGYDRFGIWSIRFSADGKEVVAGASGGQIMVYDIDAQRR TLAVSGHQDDVNAVSFADESSTNILVSGSDDGYVKIWDRRSLSSSTPSGILVGATEGI TYTSPKGDGRYIIANSKDQAARLYDLRKMRSPNEFEGEKDAVINYGQQRFDYRSMQYP RPNRLAHPKDCSIMTFRGHSVLRTLIRCHFSPRESTGQSYIYSGSADGLIHIWSLDGR VLNRGEALPLKQQGEYNDPSASDPPLPSSARRNLDQPYSSYGMGFTVRDVAWHGYEPT LMSTCWETNGHYRAGGNVAKHEWKGLGKNGLRRLEDWVEKKRSEVEETKRMPGGFGVV SDMDM I203_01305 MIISPSSNSISSPVLVDLTPLPGEEDKQIFYPLDINSIDQVEKD KPLPIPPTTATAPSPYGIMSSANHQGDGRSTEFPELPPAPPSRVIGQGYSANNPVPTV QQYKEDQKQHEADAQAYADIVERRRIEAEQREKQAQQEKEKPNAREGAEGGAVRAGKD EETNAVKAQKDKKDEPTPGTGANEKSRLMEQMNANQLKPTERFQKAEKGQRRVRDPIT GAEVIVKDADPKDFDASIPATKGTNALYHAFPPPRPVSVDAMIDKLKLLQYVVFGSMF VIWLSVSFGSGFFKLIWRSILCGTVGFVLMTLISLVERGLDKEIERVRQDMGRQRGEA FSPPLPESVEWLNGLIKLIWGLIDPALFISVADMVEDILQQSLPGFVDAVRITDLGQG SNPLRITSIRALPDQPGDEGYPKSGWINQGNEDIHSKDTAGKDITEDEAGDYYNFEVA FSYAALPGQGAQLRAKNIHLLIEFFLGLYDWLHIPIPIWIQVEQIFGVVRLRVQFIPE PPFVRNLTFALCGVPAVEVSAIPMSKHLPNVLDLPFVSSFVKMGIAAGTAELAVPKSM TLNIQELLSGAAVGDTRAIGVFLITIHHCEGLSAQDNNGSSDPYVVLAYAKFGKPLYS TRIILEDLNPVFEETAVLLLTMDEVKAKEDLAVMLWDSDKMSADDLVGRVQVPVEELM LKPNEMRRREDKLMGFEDANDMPGKIVWSIGYFEKAPLIKDLERGPTVEEAKATPDPP KTAPEMEMLPQDAAPNPAKKDLPPPPPDVQKTKPDPKWPSGVLSIILHQVNNLERQNL EGASGDREGEAGQDTDQPSEQSENLPSGYGEFLVNDDMVYKTRVKQYTTNPYFEAGTE VFVRDVENTVVRVVIRDSRLREADPILGIISVRLSEVFAEASSVTQVYALTEGVGFGK ANISFAFRGMQTTLPKNMRGWDTGTLEVSQVSANIHQDKTQLFEPKASRLRVVTSEKV ETLPKKDAEVQGNSMSWDLDLLRLPVYSRYQSSVVFEIGKGGGPLSALGVGAKPDAVA VIWMQDLTDDVEQQVKLPILVSSNIQNLKQNAINDQTKKFHDFEIVGELTARLKLDSG LDEDHETIKQGQSRRHALEAHDHIEGEAEIARKQARFDDDGVIDKNEQKQIDRAHKRQ LESRGRGLAQVKAYRSAKWMMRGVKDRIPGQKKTRERE I203_01306 MSISGGYTDAHPTDDEMFKRRYVAIQDVINELEDENNLIAYRIA KMRKDRIDKEVAVQKQQQQLQKEKERKNAKQSKSTSKAKPKSKTQIKSEVETEVESET NEREVDIVDVDVEGNKNELEQRNEDVQVEEIGKKGDIVNDQQRSSIPQDLDQDQNQNH KSSSPISHENSKGTGDIESLREEDEDVEMDDY I203_01307 MPKKVKIFVLTSALMIRLASAKPQKNRDGVVSTVIAYSTVIVDP TISESVTPDSSISDATSSEGTISGASVTTTSASSIESTAISGVAAADVGTTTTVSAIK ADKTSAPLAGNEVKTGCEQLWANVPSEDWLFATLCSWSTADASWPLVSFDYAWEFKDP KDLPAVNVSTYDDDLKIRDPLMMSYDGVKGNGNSNANDAWWGGAFRNALLSSASLSMV DTTGKFKNNDDDNFREWAGYHALVNLLGMYPVREDSSQLNKVIWEMWLDKSSFSPMII LSKTANLECLKDGDRYFSITKSDKDSAKVTLWDPTSGGSSGYFQVDSEDLREDTLWLF HLDWPRYEPTPRKIKSVN I203_01308 MNINTSTSTSAGPSRPSPTTRNTNTNQEDGSGSGSGSGTLWTEI LGSTDRQKGLGRKNLIVLFDTDDFGLYLFNLPSTAEKHHGRTHLLSQLNVSSKKKKSF KSSRNGKKGLALGYEVIDISDGDEDSVPPLSVFYPPSSHPSLLKLVSQALPPKSLSDT AAVIMLDWTKPSSMIQELLTWLSWIDQWANHNAERGEVEELKEKLQSHIQHYTEPSPT TTSGTTAYAGAGALLPLGQGTLTLNSSGIPITIVCTRADLMDSVGEEVGMKGGGWEER TDWIQQVLRTICLAYGATLFYTAPTQPTTYSLLRSYLLHRLYTNPPSTTESSTQHVTS TRFPFPHRANVLDRDAVMVPSGWDSWGKIKVLREGFDPDRIGEAFEMSLKTYQNKAEE DNAGETLEVIWDEMIPDVERGVKPSNPSQPMIPETEQSFLSRQLDVLLKDPNRDPRQS FRHAAATVVGPMSGSEGLNLPGVEKALGEMEGLEKGEELKEKFARLSRKDSTRNGPLS PTGPAPSGTTNAMPNEALHNFFQGLLANRSKTGTPSATPAKPSETK I203_01309 MSPTKRPIDYTSSESEDTNTDIKPHISSTPPSTPKKKITPKKTK TPTPKKVKSSAANTSNNSEGWTPQDRLNLFEAFVSTVEVKWDEVAAKMGPNYTGKQCR EQWQRATGKRIRKALGED I203_01310 MPPRASQQVTQKPDPQDVPIIFQRYRTELQNLAQKIGEIESEME EHALVLSTLRPLTKSDPERTCYRLIGGTLVKRNVVDVVPSLETNFSGIKEVLESLVKN YKNKEGEFEKWRNEMGVQMPK I203_01311 MADCIPIAPELPFRQQVIELASHLARSLPNSDQASYREFVGSYE SQVNKEGEEDVAAEQKTGIVKSLVAKVGELKGALDGLKESDVENSHLLLQYTLSTTFD PSTEEYSSSVKNVVEAVKKGGEASGKQSRVDVASRILNNTYNYLPSTSSLRPTVLLSL FSLLSTSSDISILPLSSEVLSKSISQWAISSKEKVDFLLSLSQLYLSASELNKALDVL IIALKESVDTKIVEKAILVNLAISDKFELDEILKIQGVKENLGNAKSVVDLFEGDEIE NVKKGQEWANSNAAWVEGAGISGFTVESVVRKVRLVALLALAARSETRQLEYAPIAKA LGIDESEVEAVVIDAVRSKLLSARISQPLSLIKTQSISTLSSSTQRFGSNEWKLLEKR LNEWKVSVTEARSVVEEAQKLAEQPLSGSGGDKRRGGGGGGKRDNRQREEQGQGQQDG QSTQQQGEEVAAA I203_01312 MDDPLSPNNINPSWSDTPTDHTQSSIFSPTPSQSHVNPQSSSSS SAEDYSREPKVFGAPGLGLVSPPPTSKDGMMNSSITTAAKETPSPFLRVRIGGLERNR KDLLIRFDASTNLPNFRTSIYRNMQRSYVEFQKFAEQARLCCPQTIIPALPIPSTSAI TDEEDDRLVRIALQRWFTRICEDPVLMKDDELRSFIESDFGYTPVPPPSARKSSAASA ATGVFSAALSKVVRRGPLDEDDELQSAKNALDKLEERWGAAATAINGIGKARRAFAVS NADVGAKLVSLSTVESDPHLAAAERKSGRTWEHLSGMIGAQAASENVILSDSLGYQAL NARAAKDALLQRTTLLEDSQTATKSAINKRRNVERLKGSSKIDPVKVDDALHEMEEAN ALETSLTNRLNAISQNLHLSIRTHSRHAHEDVAVALLEAARMSVMYHKQHLRELEALK PDLKKIGTSAPVSVTTPKIATGTPKQANTVLPPQVTPQARQPPPQIQAQPQSQPPMAH MPQGFTPVPPQVPSNPPLMPGPPQIPQQQAHPGLQPSFNQQQQPQTPNSYPQFAPQGL PYQQAQQVPQGYIPQPQQPGFPQQNQSGYDQQPVPVPRGYPTRPPPPGPPNQGFGHMN GTQSMFLPPPNQRPNSAGPIPGTGQGHPHDPLSAGGMTQSMMLPQHARPHTPGGGAGT MGRGGRKLDERQAARMLAGGF I203_01313 MDVLLHYADEYVFDSVYNYLLPHPPIPSSSSLPDLYNKTVLPSP YTQSTTYHPPDLSIGGSVTGSLWARDNIYRQGVSIFTIALTGAYIMYFLFCSISYFFF YDRRLEHHPKFLKNQIRKEIKSSLIAAPVIDLITLPWFLGEVRGYSKLYDNIGQYGWT YLVASCFMFLLFTDLAIYWVHRLEHHPLIYKHVHKPHHKWIVPTPYAALAFHPLDGYA QSLPYHIFPFLFPLHKYAYLGLFVFVQFWTILIHDGDMISGHFLERYINSPAHHTLHH LYFTVNYGQYFTWADSYWDSYRAPEDKLDPIHESIKAMKKKGLIDEDGNPIPQINKKD L I203_01314 MEEAGSDLNTNDDNDDYDYDTDIRLINEAKSILLDPIKKQQWLD SFSSTSTITSAKEPTKTGPHIFRNISLDEFTPHHTSQDDREKGENEDEDEDDQQPEYF TYPCRCSSEFKITLEQLEENVEVVGCEGCGEWIRVGYEVVEEDDQHHPQDQDQNQA I203_01315 MTDNAPIDTQLTKDTPSSDVASSPVPAPINPSASPSTQPVSLPP ASASSTEVKSPTETKAKTKSKGKGRKTKARPRRRVADSESEREDGAASDGSLTDPSSA SDSEPESEEEEEEDEEEEVKKKQDEPQPKEETGKPPVDTDKSGSPAKVTDNAKGKGKG KVGPNGVKREYTEEENKRYQEMKARRKEKQKAKRAELKETKRKEKESAKAGTDGKVKN KEIEADEENTVPLVADGSPPPSQSPVLPNQKGRRGSKSQRRQSVVDDDPKVVPRQGKF WTHDQRSDLQPQSQEAYSAQGGRGLPDWRGRGGFRGGFRGRGGRGFANNPSAPFGRFQ QQNGTAQGQELTAETPVESEKEDGDEPVLAMDRLEIELAKKEKSQSASTTVPTQPKEK KWGHEAFEQIQTEKEKKPVVSPAVAPRGGFRGVPRGRGRGFGPRGGHFGQPFRQPLSS LPFHPANLAANAAAAAKSASSASVTPAPAPASAVAPTFASTPLTQTIVPLPKAEQQST RPDADDLLGESSQAVTIKLPGSTNTVEVAVSHPSEQNSAPAEVATESVTAAVKTPELN ASGQAILYTSPVPPPQPVPTQQSQYQPQVSAPPPPTSMYPNGSAPSPFQPGSENGSMS SAGMSHSQFIPTHPYPPQKSHLQQNVGPTPSGFGMGSEFVPSSRPPQVHLNGNNGPSR PFYPSGAPRSYPAQNQNQTHRPPIQPFYPSQPQSFEYAQSHSQRGSFSGSQQFYPAQQ VHVNGYIDGRGSPYNGGSLSPYPTGNGQMNYFAPARPSQKIQIKSPSASTSGQANDKG DNNAGQPTFASLTTTSLNHADMDNMMQGGYYPQHYNPYQTQTANGYDERYYGMQQQHT GYENWQNGQQQGYTGYEGEYGY I203_01316 MTIQSTEPSSTDALPSGSTHGPSEALHSWNQYTSTFQLSTMEPL ESIRHLIFQILIDEISLTLIRVSKHFYDTITPSLYQVVELDKNNTHKVFWGMLIQLKE KVDNPNPSPNQSKTSAESTGKKKEKEKKNWKIGERKLNLLKMIQYITFEDSQSVDKFF KKVKIFDSVNHLNGLKEYQRILTSVKHVRFGKDLVGSSSTDFTKLRRSFFDRITDYGL VDYLEEIMFHAQPLSICLEWPRTWERDERYLDVEDDNDLFEPTLAYAIDDILEELIKH NGKGNKFKFRIHIHSFYFHEAIYILYEFPLDYGMIFDLDDKPGEGTVHDLIHEMEGLK EELKIEFTGVKEGSVKDDDFISRIHTTEEEELYCPCDSVE I203_01317 MLSGNLLAVFGLCATALAIPSQIPFSSIELIKEIDTWALNPNGD AAVIRVKSGSQHELHLLAINAQFTVPSVSYDAANAQARYTFLDDQTILTASPTSEGQE GQARGVILRSDLKELVHSAQASVLTVVLPEDLVLIQVAQHEEGWAIKGEPQLVKHKNL KIEGVTSNGTHLAYSASNHTASFIYLFDLHDTSSSPVLLRHSTKSQLIAPTFGNDEHI AWLSDRQLWLSTGNDEWEVPLDFDLFPEKIIFSKDGKAIYLLAPHDDQQSLFHLWTPS KSATKPIAPVRIPSNGTIHSAIHVGTTPLDHAHLIGIKSDSAKSEGKELWVISHSPHE DPTYNYENIRLTYFT I203_01318 MSASTQRKDFSLDGLFGVKGLDVVITGAGTGIGLYMAKGFAVNG AITHIVGRRQAKLEEAKETILQLNPNAQVVIHAADISSRSEVDALAKSLKKIDVLINC AGIVLPDPPCTHLTPLPELQKALLASPPETWSQTFSVNVESVFYLSVSVLHLLAAAPD GGRMINVSSIGSTMSDPNTHQPAYQASKAAVNHLTRLLASKFREHGVRVNAISPGYFP SQMQNPNNPNGMLARSKELVPLKRGGEEEDAAGTALWLASRAGSYVDGQVIPLSGGRD WGY I203_01319 MANHEHESEPPPSMSASFMSERTALLSKARHKREEPGFMSRLDY FLVMPALLSNTLLAAFESTIAASTQSSIGAEFHASDNIAWVATSYLIVSTALSPLYGR ASDLFGRTTVYLFAVIIFTLGCLLCGLSKSLGQMIAARVICGIGGAGLITGAQAVTWD ILPSRLRPLYQAFNNTIFGMGAAFGATLGGFMADTIGWRFAYFVPVPLGVFGMTVFPA KVRPKLQQLREGEKRGKIGASDIDYFGSGLIMTAITLLLIVTNLGGHEIPWKSPLIPV ALSGVVISTLAFFRHEKKAPLPVLPLNLLADRHMTSMVLFNFFASMAIFGSLYLIPLF FQSTLLTTASVASRRLLYPTLSAPIGSVLTGIFLHRFREHASITQRLGAFILFSGTLA LLALSFEDNNGKSELQFGVHLIWVHLGMGIGFISSLMNVLDMAGTEHASASSMVFLIR SLGGVIGISTSQAVLQNVLLHQLRSNITGKGSKRTIRAIRESLSYLNSLEPELRAKAI NAYVVACRAAFTTLAVVGGLGFVSLLLGIGAYKTHPINDDLEEEERDAEGGPATNPPL SSGIPTLE I203_01320 MADTLPTTTKDSPSASSSTTPTPTNQSLPISQHVVTRFQNLIDA PNSTNLISIYACFLTGLTSSPSFTACYIWCGFQTGNVAQMGLAIARTFSPLGERTYGF QKPDQQALTSLLSFWIGTSLGRLGDKIGARRRTWLVLATFIQALLAMAASLAAHYCGQ DGIATYRGDPSWTNPLGMTALAFISATMGIQGIVGKRVASPMNTTVVLTTTWVEIFND PLLFAFKYTPSRDIRIAGGFAVFVGAFVSRAILDGGGQAAALGALAGFRMIQCIWWFL IPDKPVKADKA I203_01321 MAISYPIPKWDRPAPTSADLNWADLTELPLDLFDAPEGKLELVR RVKHALEVEDLGFWSVTNTGFSEEEIEHQFAIGQAFYNLPLEERKSNSIDAKNGGYLG YRAAYERTINGTDVLDNMELLNVPKYTKDFANTPRHEIIKAHEAEISDFHRRCWYDVA RKLFILFALALELPENYFVDRHDYEKVSQDHLRYMMYHPRSEEDDAKCNNLWSWAHTD YGSLTLLFSQTVSGLQVKFADGTYRDIKPKKGSIVVNVADTLSFMTKGYLRSTIHRVT RPPPDQAHIHRIGVLYGCRPNDDVPVVVAPSPLLERLGYLTPEDKVADEKNAVTAGEY VAARVRAVHGANTYGKAPGTKFKHGNLEVLENFGEVKNGSGVSI I203_01322 MSSVDSSEAARLREAEKRVVRKIDRLLLPIMLITYGLQYYDKSV LGTAAVYGILKDLDLTRTINGVTYTTRYSTATAAFYYGYIVAVLPMGLLFARLPLAKT AAVCVVIWGLVCILTVVCHNYPGFVAQRVILGFVESAVSPAFVAVCALWWKPQEQAKR IGFFYSATGVFSMFSALINIGLGKTGGSHPWKSMYYFCGSLTIAWGFVIYFFIPDSPL KPGRYFTEEEKQILIKRFEENPWGNTQQTIKPRQILEAVLDIKSWLYLLMGAAIYICN GSVTAFGARIISGFGYSSLQSTALLVPGGFVTVVTIAFFSYFADKYKNIRTLLLPVSC IPVVVGALVVWLAPWHPTVGPLIGYYLVASFGAPYVLLLSLASANTAGATKKGVTSGF IFVGYNVGNIVASYLVFAQEKPIKYRSTWIAVIVCMVFASAGSIALRFMYIAENKRRD RLARGGNKNANPIEGNGSVESAEKLAGEGHTDIPIIENPERYQDKTDKELLEFRYTL I203_01323 MEFPESGPSRIATTPRSDQISNGNPTSADKGKRKADGQHRPKNL QACDRCRTKKTKCEPLDTRRDLCQACNSASLTCTFDLPLTASRTKRIRNGLYQSHGSI WSMDDTARSPRGLEHNDERMMYMDRADSELRQSTPTVIPRARSVLGLTPLRATSTRRE GPTSISYILHSTPTLPIAYLAEYDEHHNLSMILTPPDSGNGYMQVTTANRPSSDSSEP PSHVIEALRSPSWTELVNRLVETFLVHVSSLIPIVTREDMPEVTQTLCHAMAAVAAAR RNCPKEIFDCLNYIVAREMHEQDTLSDQSRQSVQTLLVTCLVDELALQSGAAASESVS RTRLAGAIRLAQDLSMDQSDTGYPDAEADRRIWQCAVILDQWNAARTGVRPIIPSQSL PSDVSDIPGRRENTFFHHLFTLTLILSDILIKIYGPIGINRTKNQEIQDIRVKLLRWK EELPPILTFNGAWSSLPAGILYLLHTTVTFLFYRPFMRWSFICPSHIELSLDIPVWVN LNPATRHALEWATNQDELCDLLLFGPYALGLMGLVQYHSYARRREWDGVVILEKFRET TNRWIEGWGEGRMPLQSAQLQVISLLYSCAQKASQEGFTSYDPVDTSRRGLNPIPGVL NRLPETVVHGVTFLRDPTHPKGGVLVATQKAAMEIKDLPPDTVIIGRHPNPGSAQLPD LSAILGSAPTTTNLSSSSGLQAVSSSNISFNTANMNMNTAGDGGITMSTPGWDAIVSN LNYPLGSNPDAN I203_01324 MTTEKYMTKTNNNLDSSNAEKGISRADTGATATSQSLPFKPHTA PSQKVLDALGANANKGLSESDVQKRLEQHGPNRLKPPKKPSIFNIVLRQIGNAMTVIL IAAMAVSFGTMDWISGGVIGALVVLNVTVGTYTEWQAEKTVANLESVGAPQATVVRSS DGRESTTKVIAVEEVVPGDLVLLKNGDIVPADGRVLEGHCSNLECDEAFLTGESLPVA KQSDPVDEEDCPVGDRLSMVFSGAQVTKGRARVVITTTGMNTEIGKIAQALESKAKKT DTGFAAYWYKFKVIMGVAETTPLQIKLNKLAYFLLGCAILIAIIVVASTGFKNVPLSV ATYAVAAAVSILPASLIAVVSLTLARASTDLANRNALVRRMDAIEALAGVENVCSDKT GTLTVGRMVVRKFWVPALDPRANESAPINTRRGQAYSFETGSDPFYPRGEIRSDREEI SPDSTPENDPDSQELDLQEQVILVDELELGLKNLALCASLCNQATLSRPADNESNWEA NGDPTEIALQVAAHKLGHGKPFLTHSRPHPQRAESVRSGHSGRPPIAGSRGHYEQIIE HPFDSTVKRMSIAYRFVPDDNKEAHVQCFLKGAVERVFELCTTVHGEPLTDERKKDIM VKVDALAAQGLRVLALCGRRLPSGSADEVKAMPRDQFENDFAFLGLAGIFDPPRKESP GAVADCLRAGITPRMLTGDHPATATAIALNIGILEKAYSKEAVMTGQQFDALSDEEVD KLPELPLVVARCAPETKVRMVDAIHRRGQKTVMTGDGVNDSPALKRADVGVGMGTGSD VAKQSSRIVLSDDNFSTIIRAIRKGRSVFKNLAKFLLYLLTGNVSEIIVLLIGLAFKD ENGQSVFPLSAVAALWINTLAAGPPALALGLEPTAADAMDQPPTSFHQIFTLEFYVDL VFYGVLMGSLALVNFVIVLWGYFPGDLGRYCNEGDSEICDPVFQARATCFSTLVIILM IHGLECKHFSKSIMQVDLKDNKVLLWSVFVLALGTFPVVYIPVINNKVFLHGALKWEW GIVFGMIFVYLGCTEFYKWCKRIYFRRTQVPAPSRGPSDKTLKMETTIAPV I203_01325 MSSSPPLHPSTTHYEDEPQPPPPMTPLDPPDVPAILRSKRSWKR QILSLDLPRPLQIALTCFSIAVSAVTANGVYCWGTYGPVVAKLLELDGTQAQTIVVGG ILGVYLCAAPLGALTDKHGPRTGSLVSACLGVIGYQSFSEILRKATPDTPFVHLLLTA AYFLVGAATVGSYFAALTCASLSFPSHPTLALSLPLSLIGLSALVLSSFSSLPIFQAE GSTDLDPARFLAFLGILTPSINVFGWLCMKVIPQPELFGEIKLPEDIESRQHADDYDE GDDSDSGSDLGEMSESIGQLLRLDERTPMLIGGIEAAWEEVEMMEQGKDSWTAKDLVL DYKGFWTFGLLLALIIGPGEMVVASVGSIITSLLPPTSLNPSSLIVNLVTTTDQSPLA LRNKHVFLLSLTSTLSRLITGVLADYLAPPLTATPNPAHRRDPTQPSHLFIRKRPVRL SRSAFAAIAGSTLGLVFAWSAGYLSGKGEDLSVLSGGTGAMYGAIFTLVPAIVSHHYG PTNFGLAWGMISYFAALGSVVYSYLYAYLSVPESGNPSDQCYGPHCFKKTFVVCAISC FVGAVGVVVLGRKWKV I203_01326 MRPIIRFSSTPLSRGISTSAPVLAVAKPKKAAAASKGGKQGFNQ KKKDAASGGSGGSGQATIALKFSMSGQPPDLSDLKRLQPSNYKSENVGKSTTFTKTSF DKLKVFGLSKKVERELTSNGGPASVIRQLTIDLSKQLDGDKGKSSKDARYVLTGERGS GKSMLLLQSVAYALESGWIVLFNPKATEWTNSSSHYIYDSSTQTFNQWQASQQILSTL LSNNKDKLDSIKLTADIDLPQGKTAAKGSKLSELVSVGSKDDRVATQALDAVMGVLEQ QTQYPVLWAIDEAQTLFTTSKYRTPDYTPIEPYHLSAPRLALDFISGRRSFAKGTTLS SLSLSDPTNLPSPSLIQGLTLSSTQPLTPYTQLDPYHLSHASSNLQKIEVPYKMSDVE ISGMYELLVKKGLTSENQSDELFMELKSSSGDNPYELKRGLNRLRASLTV I203_01327 MAPTKTSKASTKPYERPASSSSSSAKGKGKAKATPSNEVNIGAP STLGQSSRKGKKAWRKNIDITVEEGALERGREEERVTGGPVAQKSNNDLFTVDVVGDI EVGKKARRAHKPLRSLSILNDRSAVPSLTSKPSTSNNKTKSKSHISSAEKERLRRIAR RSAVHPDDRISSADIRKIDPSELTKDVWTETQEEEIVVKGGFGEETIIKKTVKVPTTL AKAREIYLNSQVENGVHLEIPQGGLSYNPTLESHQSLINDALQEEIDLLKREEEQLKK VEELGGVVENRRNNWVPSEFAEGMAVGPGELSDEDDQSDDEEGGEVVVKKQNKRKTTA QRNKALRAKMAQQAVKAELEKRKLHKSVSSVAAYKRELEKKMKEQKEKEIIAKLAKEQ KAKMGLQEGEKIGKYRLNKKRVEVQLGEDLAESLRQVKPEGNLFKDRFLALQKRALIE PRVPVLPKKRVTKIKEYEKHAYKRFE I203_01328 MPPSPGKIHQLPVKVLYSIDTSSQSYLTVLHDRQDVYVHPSSPS YATSEEQGQGLVGSCTLKAIARGICYASPECIPNTTSLDFSVYNLDPTVRRPSISSSS RAFPSSPSEHPSSSTSSWVGRGFLSWVLSENGNGSTLIKGRLVREYEFSSIHFAPEGG LEGLMAMANSNGGGTDGEDSDGKGWGLEVGISLRQLNPDGKIEFQNRKEFEEMLSKGK STSISASSPIRGNTTIGGGSTPARSSPLINSTINRTPNVNSTPQQPGPSASSTATIRP PPIAQPTPVPAQQQQQSGQSSRPSSSLSHRPSSSSIPPSSLPPLPSSSNSNIPSSRPS SSIGESRPPPPAPPQQQAEAGPSRNREVTPPPVPRSKSPPPSTPSRHKLHALLRADGM MSPELARHLASNPVLRNLLKAVPSNSNALTALRNITGANRSPTSNAHANGAKRSTAND KDKEKEDSPEATTPTPLPTPQRSTRSAQQGQNNQDGCCNCGTMVSACWRVKKMKDGTP RKVCDDCGLYFNEYKKMRPPELWSQPFKPGQSSTSSVQQQQNGGGEKRKHAIELNDGP ASGLRSSPRLNRTNSDQQTHTQSQSQNQSQSQNQNQIPESPRKRQKVKSHGNPPPPSP RRSTRNSSKNDNVDFGAEVFGFSPSNSNSNNNSMFGTSPAIPITSNNSQDHQQQQQHH HQMQPFGQSTSGHTHGNGHNGNGHNGNLEELDISAFLATFESSLAHTNHHTSANGTGT GDGDGTTDGQVIEENNFNFDSLFNGDSSMGIELSQEMQDLLNGWESQLNDPNYLAGLD VNIPGLGDIGNEPGQ I203_01329 MYPPSSSSAPYLRLKTPRFSHNNLAFSPYLENRFALASGSNFGL VGNGRVHIVDIDPNAPGGSGLRLVRYFETRDCVFDVAWNEQHENHIVAGCGNGAIKMF DVTLEGLPIQSWHEHSSEIMSIEWNNLNKDQFITSSWDSTVKIWTSNRTTCLITLPSS KPQQLYNATFSPHTPNIVMSCGANGFIDIWDLRMGQGIKSPTLSISNSSSGSGQMEEV LYCDWNKYDSSLIASASKDGTINVHDLRSAGRQGSAKVVGRHDLAARKVFWDPHRREG LASSGYDMTCRVWNINQSTPSPIHVHSNHTEFVMALGWSLFDPGLLASAAWDEEVHLY RV I203_01330 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG A I203_01331 MSFLPRLFLRPSLTAIAGPSRIPLPASSSPFSTSSINCVKQKLK SHSGCKKRFFANANGMFKRAQTGKSHLNTAFSTARINRLAKSVYVTKTQGKKLKKMLP YA I203_01332 MKFTSIALLAALAASASATPLRVYTLTSDPLPPLDEIKTLPIEM KKPCHGHSHQASGPLGSLLAKLGLARPSHRHGSGHGFDEKMESIHESLMDHFREHMNE VEDKVIPFLEGGSVRLHPLVNHLTEQESELTLSSAPEELKIWRYLEDDKWLIKSGING EWRVPDEDEVPPKVVVHHNHENENGIGSEMEHPHRHGHGHGRGRWMAKTMTGRLHRAL KNLKPIESICLAFVIGAGLGSIIHFFFMLFLLSFRYLRAGCPSREERRARRQARRERR KASGSVRFADQVEIAEQEELLPPYEAGAVTDVVVNEKAESPRV I203_01333 MSVMLETSLGELVIDLEIDRCPRTCENFLKLCKIKYYALNAFFN VSKDFIAQTGDPTATGTGGESLESFLYSQNPSSTSQQTKPSRYFTPEITNSLKHQSKG TVSMAVAPTNPPGCGSQFFITLADGIEYLDGKHAVFGHVIEGLDTLDKINEAFLDKEG RPLQDIRIRHVEILEDPFPDPPNLIPIPSSPLRPPDSFSSIRISDTEDVHATVPEEEV EEQRRNTAAASSALTLEMIGDLPFAAVRPPENILFVCKLNPVTADEDLELIFSRFGKI LSCEIVRDKRSGDSLQYAFIEFDERESAEQAYFKMQNVLVDDRRIWVDFSQSVAKMSV SQAISGGRGRGRGRGGGGGRGGYGGGGRGGGGRNSGYGGGRDSRDEDRRYIASTPRDV RGTEGYGMVFDQSSGRGSERRKSRSPRRHRDRSRSRDRRDDRRHKDDRDRERDRDRDR RDRDDRRDRDRYRERSRDRHRDKDRYDRR I203_01334 MPVTDEAIQNAYTFYEIWWESPGAVKALFHVALGLPLIALLIKL HKWNESAMFFDGSCIAMHVATIILYLTVHIQSLRTFLPESTTLTTYSILPTPPPREIP PTESEKIEAVRVLSAANALVGLLTLGVIGMQIGQEYARRQEEKEQREIDRKIAVETET KKDQ I203_01335 MGLAIIASLRKALSIAQAYWGGWMGLLRYWDECGTINMEDEVAA LVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGIL TLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKQNREKMTQIM FETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVTHTVPIYEGFSLPHAILRLDLA GRDLTDYLVKILMERGYPFTTSAEREIVRDIKEKLCYVALDFEQELQTAAQSSQLEKS YELPDGQVITIGNERFRCPEALFQPSFLGLESAGIHETTYNSIMKCDLDIRKDLYGNI VMSGGTTMYNGIADRMQKEITALAPSSMKVKIVSPPERKYSVWIGGSILASLSTFQQM WIAKSEYDESGPSIVHRKCF I203_01336 MISLVDTDGFCGFFRNGDYPPTRFQAQAEAVQTVFTAKTDSNPE SAVGLMSMAGNSPSLLVTPTNDLGKLLSSLSKVNISGISSLSTSISIAQLSLKHRENK NQRQRIVVFIGSPLSQEADSKENLVKLGKKLRKNNVLIDVITFGDEGRENDDKLNALI EAVGGDESHLVSIPPGPRFLSDVILSSPILFDGDANAAGGSGDVGGGGFGGDGVDGID PNMDPELAMAIRMSLQEAQEAAARANPQASASSESTAPATTVPADEPSGPSGEPSGSA TLLPSALIQPLSNANDQDIPMVPGDAGVSGEQQIADEEEDEDDELRKAMALSRGDDVE MLDEGDDEDEDDEAAIARAIAMSLEEAKEEDDKEPKS I203_01337 MSPLQLSSSLSSITTSPSSSSSLSSSSSGMRSFPNPVAVFNERF IFTSLTERQVQAHGRHPYVHPETGRSVLLFSLDEEMHYTPFAKDHGPFNLAFTFQACI RIHDRLERTEARKKPLCLYTTTEPEKKSCMTLIVALYFLIVGRQPPWNAFRPIAPLEI MPFRDAGNGPMDYGLSIQDILYGVDKAMNNGLLDLANFDQEVYQKYEQVDNGDLNILG PFIPFASPMEERWLKAIRSSTKTTSTPRGTVRTTVKTVEKARITSNAMKCVMEVFEKE NVGLVVRLNDELYDRRHFLDLGMDHVEMYFDDGSNPSDEIVREFIRLAENTIEVRGQK VAVHCKAGLGRTGVLIGAYLIYKYQFTAQEVIGFMRIVRPGMVVGPQQHYMLINQMKW SVWAARDQLLRELAAEAAAKEPVNPLATPPTELTNLLPATSHALQDAEHAQNIILQEA AVKLSERKTSPRCSTPTTKIVHKAGDAAGQPRKTPARLNLRNSHHTTSSPAKEELSVI LEPASPPIVTEDSPMEKSEPTSEPVMETQCTPEPESNSVPPSTGSMRGTKRTAGRSSI PSFKSSPPRVTLAVPAPTLSRSSSSASMSSISSSDQDHRPPKRRSPTGSPLAKNGISS PPPSRESSPVPVPSSPSGCVDNESSEERMMIDGEPDPEERPPSTPKTRITNKLRRRVI SPSSPSPPVGTPSTTPPPTSASLLPPGETAINALSGLTSPPKAHIPKRSFLPVRKNVT QNQYLDPKTVTLVSQPPVPVSLPSTPSRKIDQCVKSSTPKRLTANAKRSMLTPPRITE MWGQLAKMGSSSPAEAKCEIN I203_01338 MHHKNGNTAIFSVCAHNLVGMHCDIPRLTMIAIAGGSEPHLHLF KRDPELLDHVFMTHSELDLHRSEIYGVSSFDDVCTLGGAKCLTTINYSSSLRSFPRRL QSDALAVHQVSRDLVYVGQRSGHVTLEDLRTSPRNSNIVASTMRGKAVVGVKRIQDSA VPWGVVVSGMSHEMLLFDARFGDKPLRTFEGHFNTFHSNVALTTSPDDKTLFSSTSDR RIKAWSVISGDSLMPTNSPATSSLSPSWDDEPLSDDNPLSKVYEQRVSHLDINDELGL DVVVRGDLYRYGRK I203_01339 MSGPLGSLPGMTYDPIRNRYFPTPKGPIPTPTEQDTRPLPPPRS QAGPSSRHQPQWRNPSPNSISQRNESIDPRSNARSRSQDTRRSAQPEYQPQAQRSQLR RDEQMRNIESLTLPQPDCFTHASKRARSVSVSHDLMDKSKLNRMGKLRKNRFGVRYGG SGEKTDRNLSLQNQILSNLELDVEHHACGCHGEIITSYKTFGDEA I203_01340 MTQPTQAEIFAALSAQSSSSFNRSQPPISTYQSFDQDPVTQLTI PESENGKKANSRRIYCPRDGCGSVILLDGVGEWIDVYEGNVLPHDPSSPFPPSSSSHA SYWYIPTGPFSFENIGFSRPDKTNINPLPSHTPKDIQGEISGKVKYLICAECDLGPLG WSYDGGKAAWLDVRRVRYGEMKK I203_01341 MSDPSSTTLPPLPSDHLDHPEQWTTGSEPATEKQKGFIAVLEKQ NPGLVPDEGIAKGDLGKSEASEIIESLKKGEKVGSGEKEAEVEEDNQKKENEGDTSKK EEREEEEGKTGEKRKDHPSSQEDTKEGKKGTTVTSTQKSEKDELVSSQDIDVDVDDEK DPKQTTLDGVFGGESEEKEEGDRASKKPKLDDSSSSSTNGKANAAKTSDPSISTTTDN NPYDEAHPPVQADSGATYPKPTETDTIPGSPTHLDHPENWATGDQPATDKQKGFIKVL EKQKGVSGSEDVEGLGKSEASEKIEELKEM I203_01342 MESDPWADTPSTPKIPSRPASPGEPSTSKVASSPPINPISSPPN PPPQEDDGIDDFDDFDEPAVPIAGSSGAVEADEDEGFGDFGDFEEGDFDAPADVDEVQ NGISGVRLVDEPIEQQDRWHALNLRPFPPKGELLDQLSTLLSPLYSSGNISQYLTDDQ PRIGNGLSQVLVSESSRDAYAQLTTAPMLKPLDWTRSRVRREHLISMGVPVNLDEVDS HRLSALPPLRITTNASSSNRPIPRRAESLDVNGRYSSSQKGKSREVSPNAQTAPNSAG AKGTRGIGKYGLGVKPEFDMEKAEEYCGLEEDRLSLLPLATLQKLQADIAQTSALASS RLAWELQLKDAQTQDAATYNGMISELIANAAKVKSAQVSSGGVFRKSSVKRPQSVSGT VTPRRTGSPGMW I203_01343 MLATSPKAISPVDDHIPSSPPSSKERSQSITYPRAFLLSLAYPN EHQDHPGAEPRKISRRLSSFTPILRCSVAMTDSDWGISEDQSSLFDNQPISRDLPIPL SGERHPCDRSRSGISRGNSSDDGYGYGYGHGNGVSTRDSETLFSYRSMDDKEGKLPIL VDFDDPFGTNGIGNGQTPSHRHLNDSDQEKDKVHKGIVNGKERERERESIFPRSVNSS TTSLQNLGIRLGPREDLVDQNSLVGGGGKISRSNSNSSITSSKSILNRRSSSPFGGHH GTSELNPFAPPFPLPNPNNGHGNGLIQPKPISLNEAIQRRISTSSDRSNPRSQSNSPE LLAVAGSLPLPKNLPSSLPAKPSPLPPVFVKRESAALPQPMALPDIAPLGKDWHGDNS LSGNEKRRRASLLHTGQAISRGSSPLARGLGGGSAPNSRRGSMVSSNDEITIRARSPG TGDRKELGMGMGRRNSHSNKERW I203_01344 MFIAPFSTPSSLHISYRAATSPQQTTTPTSISDYDSPANSPEMP KRPGGLSDGPNARSKTVTVADGEDTFGVLNRHPAVSDKQASPDKCNDQIANRVTFRVG VSEDKNKRCRRTMEDAHSFVYDFAGVKGQGYFAVFDGHAGKHAAEWCGQNFHEYLLDA ILTHPDQPIPDLMNKTFHVVDSRLSHLAHAGKTSSGCTAVTAFLRLEKGDGPDKSGYI NNGLQARGLMEGKGEDELEAQTALQPGSRRSSMGGGTSGQMGGAGAPGNGSSSSGGMV RKMSSKRIKDFVKSLTGSGSSNSKDDENALAEDEDDVIKAEDGTKVEAIDPANDPNLR RVLYTANVGDARAVICRGGKAVRLTYDHKGSDAQEAKRITDAGGFVMNNRVNGVLAVT RSLGDSSMKEFVVGSPYTTETALDDQDEFLIVACDGLWDVCEDQEAVDLIRNITDPQD ASKFLLDHAMSNYSTDNLSVMVIRFTHA I203_01345 MSDEGSELEMSSGKQTVDPIKSFLSGGFGGISCVLVGHPFDLTK TRLQTAAPGTYSGAVDVVRKTIAQDGIRGMYRGITPPILGVTPIFAISFWGYDLGKRI VYSLTPNRTSQTLSISELAFAGGFSAIPATLVAAPAERVKVLLQVQGQGGSSLYTGPI DVVRKLYAEGGLRSLFRGTIATLARDGPGSAVYFATYELLKKQLSSSPETLPNGEKAP APPLSLPAIMAAGGTAGVAMWSLAIPPDTIKSRLQSAPHGTYAGFMDCARKLIAADGV TALWKGFGPAMARAFPANAATFVGVELSLKAMEKLW I203_01346 MAKSKSKTQPEAPQDLVPSTLAEAQSEPVLPNPDPPQPSASSSK PKSRSSRKKSKNIPIITPPIFSEEQIIQYHLMHPSVSTNTISPYSIDPITLSSKKRKR EGESPRFSHPLPVIWFEGPSHPSSSSSKKKDKKDQEIRRIHLSTGYMQVPDHAVKIES IGNSAAFWLGDLNGLSAGSTLSTDVVDGINKNKMVEDEKEKDNGSGNGSTSDKVKMKT KTKTKTQPKEKKKVANAEGKDKDKGKPEAVEDRNQHSGKTITKSKGKSKEQDTLKTTI IPTTTETPKEHESVNLSDTSDSESESESSTSTSTSTSTSTSTSSSSSNSSDETDSDSD SDFETTTDPSKTSNHNSSSFDRPPPQGPATITTTKSNDTPKTKTNGNAPKSTKKRVSL LDPSTPVQTLPPKRLEQLITPTPTTVATPTPRGEKNKNRKRESTGGKRKKGPKWVTET PKVK I203_01347 MSTPNPPQRVTRSMSNHPPSTAAPPPAVDTAPGPPVQARSTSLN QVDTNPYKVDFVIPYSISVDKSQSRLQAQQEIKDGYEVLLRVLEGEDGLRISTRAKPS NNSAKGQEEIWVFVGAEDGKVVELVEREKSLDQAHNLPPHSNPIPPSPSTRIRLLYNL LTAPAIQDGLGLTPGQGRWKRVKSIMALHDEIADNEWVEKWTTGGDWRIGLLKGLDGK EGQGLGEHQPPPVHLYFNFLTTYTLSLLPLSIISVLFYLLTPSDSYPPIYAFLLSIYS TAFVAIWRIKERRLAVRWGTRGCESVAVGRLRPEYVANLGLDKRENKEDAVDLVQAGN DIKRDIKVTASVPIIIACGVGLGVVLLGIFMLEAFVGQAYDGFGKEVVPLLPTALFAI VVPQIVAAYGVLARSMVKWEDHPTPVGAEKSLTAKTFAMNAIVAYLGLFLSAYIYVPF GSFIMARVQHKLTKQETISVSDTPEKAGLGPRTSGKEQKGIKAGRLKGQLFAYTVTNQ AVNAFLELGLPYIMRFVDDWRAGKTTLKEAFKSKGNNDSTEKVPRDDEEVEKRFLEKV ERELALPDYSLFTDYAEMVTQFGYVTIWSIVWPLAPVFALINNYVELRSDALKICKHV RRPVGDRVETIGSWLETLSIISWIGAITNSTLIYLFRPTSYHADQTPNPNLTPIPGSA SLHYMIDQYNISHNLKNVLPLLIPLGLIALTASHGYIILRWLVDGLSERLLWRNSEEE RLVQKLNANQRQSNLNSAASTLTRREKPRLWERDEKLDRFWNGGKEGGREIGRVLKAE I203_01348 MLRFRPVRTRIRFPSNLSGQTRYRSFASRGGPSTLAQIRPSPHS LRTAYPVISSTKFHTSTPRHAVSPFKLHDIGEGITEVEIIRWYVEDGQEVAEFDALCE VQSDKSVVELTSHANGTVRGIQKSAGEMAKVGQTICEIHTAEETGEQEACQDSVQPDQ RPGTEDLPESKPIANQVESPTVKATEGLTETLEKSQEPENASADRPQPIHEAEEHDPI QLQGEASILPSPPRPKQLDQQTQVEVRQDRSDEYDDKNRKGNIKTSPAVRTLATKLGI DLSMVKGSGENGRITKQDVENYFSVSSGPIPSSEAGVKVRSYRDDLPEFTGVEFGRTR KIMFRALGEQGKIPHFGYSHTLNLTPLLPYLKASNPQTSISIDKQGYIASDIPSELVT NPIIEKKEKTTILSFLVKGLILALQEHPIMRSRLKEDGEKRFLEIGRDGVIGVAVSDP KYGLLTPSLPPLPPSTTISTITSHLHSLRHAPNKPSTPANITISSVGGLGEATGAMPI LPPGGGLAICAVGKAKWDMEWDLAYGDESKGKSVWEMDESMVERGGTRAVLRVPVGWS GDHRILEGAELITFTETWKKYIEQPWRWINVK I203_01349 MEPDRVSHDVRNVAERLISLESDQYGNALDQYFEDNVVYESRGI HLQGLNEFKKYLKLGSIISFDSHLVGQPHYNEHSKVAKFSFSRTIYLPTVPTWVPASD SINKFLNKQHFRPIFDTELHLTAKGQRGNEGVRYVVSQVGPTNRRNATFIERALPFLL LRPLITFMVLILANIIGFFQRHPYNQENPVNLALSAVAESWATYRGQSIDRENYPETI KQAQKLSEKIGQAVTQTLDFTTATAHKATDQARSIGLPIDQTQHLIEMGLHVPSAALH TAGNVTLSALHTAVIIEQASVSAVQSLALNAIGIASGTAHVVEDQAKDLGLPVDEYRE LTFKRAHEVGEWFGVLRAKAEEQGRRGIEAAQETVQQVDQATRQGIRQAGETTQRVAE QGIDVARQAVEQGAEATQRAAQVVKEEAGPTADKAKDVVEEVTPGGDGPSTSTDQGGE DIVPRLSSGGHDPTASGAPSYAAAVQE I203_01350 MSMIRSSFARFSPFVLGNRTRLISTSIRLSKTSDPFPLPFSSPD LAQAQNRLSDDAEEWAMPSPLDRTGEDEQTLRSRLIYQTRKRGTLETDLILSTFAKEF LPTMNVEEMKEFDKLLDEPDWDIFYWSVQKREPPPRWKDTSLLKKLIQHAKNEGKVVR MMPELM I203_01351 MNAVFIAGMSLDITKDKIKSHLGGITDDFIFTDFKKHPHREVML ACMEFASLKHADEVICFVRAHTSLLGGKKVIARYSDIQSNTESTRDILLVREELALCP PYNPRSIWPRSQSQHHHSNSTRYQSYRPYASLNPVKSHGRVKPPFSPTISSSSPPFKP VVCKIDTSDPATNNAFKIPESREIPGSLPRKPAVVGSSKKSDHNVSSDLGPSPCHDPP IPTGPKALIERISFTPRTSSSFPLEHFNYTTTVEAGTPETVVPIDKMRSDQISKALSA LAAMTHKLKLNSDNPTPTAESTPADRDHGNHPEDNDRRFVEPVPPGRNTSARPQKGLS TSARRRHTREVGRRRSSSSSSFHHKGPSGRSSQRRSSYQTYEPDHSAQSRHRHRHGHH NHNRRYDHYRPESKSRPTTTIIKDEMKGFEHYFKVEEEEDSTIEPKYIGISEDRRRLI FGPKRREVGLALLADHKDEEGQGVQSAGEVPAEESQVEYSPSERVEASLLNDNENTSK VKEDVNEESSEEEVEEIEDERVRVKPVANAGVAVEQNENRESNEIGLEGPIGAQTVTE CKSGEEEETNAEESKEEGEISEGLDHFDTANFHDTQLQTKVIEKCRLVGKTSRNASTT DTLSRSYSKSSSPNVDSSNTLTPKLRVYRISDLSSDVLDLMGIQLRYIFENDKLNRSD RWEEISLNSEGKGKVLKLAGRDLGKRLGMNNSEFEIEGLLRNGSSHSSGDEHDRPRKR PRSASGSADNEAEVERVGRKRKRIII I203_01352 MLLYTNHRLSSYFSFLIVLVLVFPQIARSLSISLPHRSQEKLPG RFVPFSKLSKCPSLPHRKLPKNAKDVRPDDFKVVMALGDSITAGLLARGSREDLSQLS SKQRPMGIERIPEIAEWRGISYPMGSDEGAITIPNILRHYTRNVTGVSAGHHPPISCL GVGCGAIHPEGDGLNAAISGSTSKSLMSQVKDYIIPKMVELDVKKEDWKYVNIGIGAN DVCAFCLTPNSTAFPLSGTPQHFARDIKKAVNELRKHVPILADSYICSIVGLLRVSDI YNLTLKDPYCQPPHLPIPHLALECSCALIPGPVGDYTRQKMDELGQAYDQAVWDVIRE WEEEDDPSFAAIWQPGTSVDLASYPIEALSKIDCFHPSELSHQRVASGLWNRLTLSMK DKYRPIPWEEEPMIRCLEEDDRIRIGEISRMLH I203_01353 MSSAAISSSNPAREPGYPEKDCLSCRIIGASAFIGLGGYAIMQA KKQNAFAKVRPAGGPMIAPKVTGILGTVFIGLGIGRLFMPPTRA I203_01354 MSSTIQSITNAIPSLGRARLLINSADDVVVVSACRTAITKAKKG GFKDCLPEDLLAAVLIETLKRSKVDPSKIEDVAVGNVLPPGGGANVARMAQLYAGIPY TVPINTVNRQCSSGLTAVAQIANEIKSGEIDIGIGAGVEHMTAHYGAGVLPERMSDEV LSNPEAADCLVPMGITSENVAKQYGISRDTQDTFAANSFGKAADAQKQGKFKSEIVPV KVKWSDPKTEDEKEIIVDADDGVREGVTKEGLAKLKPAFSKDGTTHAGNASQVSDGAA AVLLARRSVAQKLGLPILGKFVVSAVVGVPPKLMGIGPAFAIPKVLQKAGITKDDVDF YEINEAFASQAVMSIQHLRLPFEKVNPVGGAIAMGHPLGATGARQIATGFAEAKREQK KVFVTSMCIGSGMGMAAVFVNEQ I203_01355 MASKIVSTSSRLFPISRATFASSSRLPRPVVRAISIVLPHPPSS RFQSRHLPSRRYASAAAVATPSPSADVHPIPSRPSIKVIPPSLEEIKEEGYLDDDVQL LPAEEAYLNITPDALQQLVNITSREPPELIEQDKLALRVGVESGGCHGYQYTMALTEE RGVDDYVLQPEGVHSIPVVVDLVSFGLLKGATIHFATELIGSSFRIQDNPQAKQGGAC GCGVSWEAA I203_01356 MFTQLFALLPLLAALPAANAYFILAHPVLETTRLDPIVNPGGIG AHVHSIVGGNNFDREMTYESTQQSTCTTAAVSVDKSNYWIPQLYYYNPSGENYQAVPV SYVNTYYLPRYSPGETTVRAFPDGLRMISGDQNRRTFDNDADSKAISYVCLDYYNNHS GDAAWAERNSFFEHNCPQGMRAQVFFPSCWDGVNLDSDDHKSHMAWPSGGVDGGSCPS THPVRLVSLFYEFIYNVQNFPYNNGTDPTWVWANGDTTGYGLHADFLNGWPSYNNGTN VLQQALNNCNDNNGVGGELNNCAPFVPYLDSASANACSPLNEQVDEDVGFGHYIDKIP GDNPIWIGNSTDKPSYGNYSDDGIGYTDFKSVIPTGYTDVGCIAEGTTGRALAAASFA NDNMTRSVCVSWCQDRGYPLAGIEYGRECYCDFAMRNGASNTTLLASSKCGKTCANNT NENCGGSSTLELFNNPSLYPVSKLPTGWSSNGCYTEGSGSRALTGYSFSTSSMTQETC MTTCQAKGFAIAGIEYSSECYCGNSFATGSVPATDNCNMVCSGNSLQTCGGPSRLTTF KYSNATVSASASASASASASASAKVSSSSSAVVSSSTSKAVSTTFSSSSVSRGSSSSA RASSSSSIRSSSTSSLAKITSSSSTAKSASSSSSVAKLSSSSAAKSSSSSSAAKSSSS SSSTKPSSSSAKPSSSSSSVAKTTSSSSILAKSSSSPSSSAKITSSSSSKPLSSSATS KPSSSSSSIKPTSSTSSKPISSSAAPAPAIKIASTQKASSSVAASSTSSVAASSSSSA AKSSTSSVAASSSAAASSSSAAASSSAAKSSTSSVAASSTSSAVASSSAAASSSAAKS STSSAAASSSSAAASSSSSAAKSSTSSAAASSSAAASSTTSVAKSSTSSLVASSTSSA TPSASASAGAYMAYVGCFHDTSGGRHLNGSTSAGSNMNNEVCTSYCQSKGYAYAGTEY AQECYCGNYLNMTLAANEAKCNTNCRATNPTTGAKEICGGGMILSVYTTGLNANAKPY GTKPANPVKRLTSAKFRL I203_01357 MKSLRLVGNASKARGSRPIHSSVLRMDDESIRLKDLYAKFQDPT SHYHIPPGTNGPEHEEDHSSSRDPRLISRFKAGRDRAHSKPSSARLGVPETRPSIQWS SPSPDGGRSTPHDRPWLEDGSESKKKALEYFREQGYDTAGVLTWPVAWGDCDTYRHVN NVRFLEWIQSARIRYAESWAGEMPEGYIQKMTAGKGTGFILKDVSLKYIAPVNYPDTA SCSPAIHSSHELINDGFY I203_01358 MAKAAKSAPPAVAAKDNADKKALKKSKKDGEKVASAPATTKEEK KSKKSKKAKTPTPPPSESSSSESESESEDDSSSDDSSSDEEEAKPAAAAAAAKEESSD DSSSDGSDSESDSDSEEEAKPAAKVEEKKVKAKEESDSSSDDGSDSSEESSSDEEEDT PAKEEPKTNGKRKAEEEEETAAPAPAKKARAEDNEEASTNVFVGQLSWNVDNDWLKSE FEDCGEVVSARVVFDRDSQRSRGFGYVEFADLEASAKAIAKNGHEIDGRAVRVNYATQ RNPQQAVEKRAKAFGDQQSPPAETLFIGSLSFSVTEDQIYEAFGEHGDVQSVRLVTDR ETGAPKGFGYVQFGDVEQATAALNALNGQAIAGRPIRVDYAPPKRNDGERGGFGGGRG GGGGRGGGRGGGRGGFGGDRGGRGGGRGGGRGRGGPPRGGARTGGIVKAEGSKVTFD I203_01359 MFSRSASRSVVSSLRSLQAPVRHYNSAFGSSTPTSAFAGKKGSD GNYTVTLIPGDGIGPEIAQSVKEIFAAAKAPIKWEEVDVTPILKDGKTVIPEDAVTSI KKNTVALKGPLATPIGKGHVSLNLTLRRTFSLFANVRPCVSIQGYKTAYDNVNTVLIR ENTEGEYSGIEHEIVDGVVQSIKLITREASERVARYAFHYASENGRNKVTAVHKANIM KMSDGMFLTACRAVAKEYPNIAYDEDLLDRVCLRIATDPTPFADRVMVMPNLYGDILS DLSAGLIGGLGLTPSGNIGRDASIFEAVHGSAPDIEGKGLANPTALLLSSLMMLRHMG LYELADKIEKAALSTIAEGKAITRDLGGKAGTREYTDAILAKLK I203_01360 MSYFSDNSSTCSAAPPLTPSPPQHDSQHHSNPYSSPTPYDPTSP PASLRNYSSALVRQMSAGLKEAMKDLKDDRGGPERKFDVEYGYDEDPIERHVRLFLTP RRNLENQKLKRQGQGLPELGLFPTGTVPQPSKAPQHQNQRIPATEPRPSCPNDNMLIS SSDMKDQETLQRFQPGLIHFHRTNSTASSSSSLDPPRPGFSRMSSSMSSGSGVSMLSE ASFEAVKAEDIVSMYGGFTTSSQKEDPFEYDYQSYPETQQEGEGEEEDIELEAGLGRT SIFSTSSTMTVKPDYIQRQQQYNQPRLSPSIVPQQQQRAPTLSSRPSLFRKRSRVHPY ETNAESPSGMEEPPWLANRTISEQMVAQAGLRVQRQRAAVGVRR I203_01361 MALLHILAYAGGLAAFLFVTLSLASGLLWLAELIEEHSKYAKSI GTRAIYVIISLHVLLYFTDSLPIIPVLFSIICHLVYLQNFSSSWPYISLTSLKFILSC VLVIADHFIWFFHFAHLAQESKKFRTNPSNKYRYSNQNRSSTVALGPSFGDVAAFFAV CVWFVPLFLFLSLSANDNALPHLSTLSSGPASPSPHSVDLSSPGPSSGGTSSPTHRQI RTRSSTSLVKSVLNPLLSLLPRVRRSGGRKNEEGLIAPRTPVRGSPLHSPVIMPQQTP SYFPWDSSEDTSSSNSPSHLNLNLGVNGNRSVTPPPPRRIQSELFISKNTNTTNPNPR GIATRNGQPASPIPAEQKTEIIERPKRSSPLGSDNGLTRRKAD I203_01362 MSNLEKSLFQLKFTAKSLQRQAKKANKDEIAEKNKLKQALSKGN TDGARIYAQNAIRKKTEGLNLLRLASRIDAVASRVETAVTMRSVTNSMGSVVKGMDKA MESMNLERISLVMDKFESQFSDLDVQTSYMESTMSDTTALTTPQDQVDNLMQQVADEA GLEIQHGLGEANVPVKEPAPSQTESSLGNKEEDGKLAERLRALRVSKPNNRTRQSKDK DCVDQLTAAVK I203_01363 MSSSSTQVERAADRSYHPKGYGMSPSLQRARKPFVLTNVLIGGT LFAFAVGVYVYSISAVKQDDFSDVEDLLPPLEERRKLVSIEDEQRASKSLQSIASALP LSSSPPPRSQSANTPLPYATSSGSSPSKDIPQPDLTGATLPLLESRPGSGSGWGIKRI SELEWIRKRGLVDPNGNVLVWGAPNVDRIGNITADKSGKRLV I203_01364 MSSLPRIASRIRSLPANTPVTPLVRPTILTRKPILSTASSPSIS PLGKPRTISSSLRSTSTSSSKRSFSSSVSVRVGDEEKPKEEWPERVLPVLKDSDVKRL KRQRNVGISAHIDSGKTTLTERILYYTGRIRDIHEVRGRDAVGAKMDSMELEREKGIT IQSAATFADWVSPPPPTELAEGATLHGTGSEEGKEKYSINIIDTPGHVDFTIEVERAL RVLDGAVLVLCAVSGVQSQTITVDRQMRRYNVPRLAFINKMDRAGSNPFRVIQQLRGK LKMNAAALQVPIGAEGDFSGVVDLVRMKALYNEGVKGNQVVETDEIPESVRALAEEKR AELIEQLSEADETLCDLFLDESPITELDIAQALRRATVSLKFTPVFMGSAIKNTGVQA LLDGVCQYLPDPNEVHNQALDAALPPQAPPVPLVPAAEAPLVGLAFKLEEGRYGQLTY MRVYQGELKRGGVIYNARTGKKVKVPRLVRMHSDEMEDVESIGAGEICAMFGVECSSG DTFTDGSTTFSMTSMFVPEPVISLSIRPEGNETPNFSRALNRFQKEDPTFRVHVDSES QETIISGMGELHLDIYVERMKREYNVACVTGKPRVAFRETITEESKFNYTHKKQSGGS GQFGRVIGKLEPMEMDPDTNKDTAFENRIIGGNIPNQFIPAIEKGFQEALDRGLLTGH PISGCRFVLEDGSAHSVDSNELAFRLAAIGAFREAFQKSKPVILEPVMTVEVVAPIEF QGNVIGALNQRKGTIVDTEVRDDEFTLTAEVALNDMFGYSSQLRGMTQGKGEFSMEYK NHQPVMPNVQRDMMDAFRKKQLSK I203_01366 MISALHAPSTSIDHNIAPTTNTNDSKDKGKAKAKAIVDDKGDEL FYRTFRNEQDDLPGMMRLVEQELSEPYNVYTFRYFLIEWPHLAFLVFPSSSSTNPIAT IICKQDVHRGKTNRGYIAMLSVDRAWRRRGIASKLVNLAIEEMARRGAHEVVLETEYD NAPSLSLYDRLGFLREKRLHRFYSNHKDAFRLILPLETDPPPPPETEEEADQARWAVG TGSDTGLGSIPEEGQGEPQRYFTEMDGDVVMRTPKPPPREISDWGMYI I203_01367 MTGDKNEQEQSFNILPHPAKSNNPADLNSEPAEHGGLQSASYNA YNAKGPHIPSHEIQQGLEKPKTREELKAEAEKLNS I203_01368 MDFLSDEIESVLFVSREVMVYQVPPRTSASGYKAADWNVEAFLW KGRLRVLEIGTRCDIRLEDSSTGELFAQVNYVSPWSQVQPVLDSSRYFVLRVEGEGGK RAYIGMGFQERGEAFDFQVALQSVAKRSSTPSSSANNTSEPSKPAAPPKDYSLKEGQT FKINIPGRENKKSTPSSTSSGGGGGGGGGGGGLFSLPPPPPPGRKR I203_01369 MYRYGNVVTDKPANRAILASQRRRLEHESKLKAQRSRQEKKEQD ERGTRNNQSKSTFRSILPIILFLPLLSHFLTKSYTFGLSPYFLPPLRNYWNEFPLNPY KIQLRTFTPQELVLYDGSRDDRPVYLAIDGVVFDVSANRRIYGKGGSYNMMAGRDASR AFTTGCFETHLTHDTRGLNEAEQASLQHWRSFFMNHEKYHKIGYVLNPPLTDQDPIPE PCRVAEPDAGKDAGQHAPGEQAAKKGKHRPGPVQ I203_01370 MMDFEIDNLTVILLFLLATLAIYHRFFSTPQPLVHPLLLGKQSE VSSVRKSGETGIYRSWATGQGAPLTVRPANSVKVVSDVVNGPKITDRTKQRWILDVPI TDEGLSEIIRLIPIGLSLLFPDLPLLSSIISLLPPSPSTSLPLLLLSIASTKDRPLVI LPTPKLLSSSLKSTAHPAGGIVVTYVSLLEDVIEEIYECRGSSSVGILLVGDPQKKSE KVVGQAKSKGINVKYWEEIWEVAESPEAQTQVDDTTENAYSDVHSYYYSEQGDENVIT KVTHINVTAGIASLLSLFPADKRPSAALHDTVASAVPLTTPLGMTIALASVWTGASFR LIGNHEPTWNPEEVDHASELEVLADNEKGLPKPTILFISPKHHHALLNRLQYTFTSHP FATLAARHKGHSIRAGHIDRDSLWDRVLWSGMRENVLGGIAGQRLRGVILVGDAPPPD ALGASHLLLSLPLTRLHPSPYSTGPVFVTHFYDLQSPGVGHILKEVDMWENKTSTGEK EIVHSGPPASNVEVVLKGDRVDEGHKEEGGNEPIKGRIWIRGPSVLDRVDRGQRGEND GWVDIGEYAKVQTNGTFIVDHSGLKAAPKKQ I203_01372 MPVHSLASAFGNISISQLMFGAFIFALVISVKAWAGGRKCTWER DWKGKMILVVAPPTPTILTLIDTLLHLPSPPQILYLPPLPSPLPESLLTILHTIRLSA TSSNPAAQLHCEPLPPTPETITAFMRQWGSAPVQMVGEGGRRIDSIILGKGWEVTPSS FVKPKDGEWGNDEFKFHFLTSLLPTLLRSPAERDIRVVQLISPTWSAALPSLMDITVE DTQSKKKRGIRKDDLVNSTGRRNLNSLLLFKHFQLILDTLEAAQRGKIKPIPNPDKPE ENLKVRDKDVRSNVKAVSVIMPWARDEVLKGSLVSSWLSRISWILFYPLILLITPSPI SSVQSILFALSAPVRQGEIDDTPKVADQGKEVVDQRRSGIAGGDVVRDCAVVDLPPVL SDPALAKALYDELEKEVEKGVKRSK I203_01373 MPTKQPQTQSPAQSSAALQSSFQTLLDNYQTTIPARVKLIDSFL LFIFISGVLQFAYRVLITSYPFYAFAGGFGSTVGQFVLLAGLRAQVAPGRDGEFKEVS QER I203_01374 MLPSQPLNHGRYWWGSPLDYSRFAWAAPPPPPAAAEAAVGAAEG AAVHLASGAAGGIPPRGPWGGYHHHYYDGYGRYGRRWGRRPGGRLIFLLLGIGGTAWY FKAKEHGREYERRMIESSSSSNNTLPSGSPGQHEWGRGHCRWNQRYQSPPSPSAPVDH ETPIYTNAGSPQIQPQATADDSKMAWGWKSWKERKQAREEAWKAARETYFQQLQSTPS LPPSSVLSQEEIKAQNPEMSSSSNNYKGEMNNLREVVERLWEEKRGDAKVVQDNANEK AKEYAREKLDKLSAALGTLRESLKKDSDTKGRESESEKKWV I203_01375 MTPTRYIATIYSRPTAAPTEGLLLTSNNTTMVRTRNKDLLHRIP VQFTPFPLGLVSPVEMQYNSFDITQVCGMAHSLSRGYLQPPKYGGFYPRPEPFSQWGN TAIFCGGINTKIHHGILKRVFGVVGEIDWIQTLHDGSSAMIKFRYPLDAERALWSLQG LVVDRRELRLNYGNPKIRHVPATPPPSPASVIYAYRYGNDGEEMIDSNGDSTDRETEG STSGGGSPYRYLAELSPEFDEVVSAKWISRECPFGAIEQPSL I203_01376 MAMSANPHMQHPGMNQAMDPATMQQQVAAMNAAAAANGNGPEYG QQPMSDQNRAYIEQQLRMQQQHQQQQQGRDGKVAAQRALGVGAQVQNPQQMLQQQQQM MMQQGIRQMTTANGSVYVDAQGRQISLPMGWQPQMSDPRQPPASMVSQAQLQAFYQQQ QQQQQAQQAQQQAQQHHAQQQQQQQMLPPQQALHYQRQQQLAQQQQQQRASQPPSARP PHAPPPQLGPGQSPALLQVPPPPVKRAVSRAASRSASPIPPHIRPPSAMGQPMQASTS AMATTGAPPTILPLRSATVPVTNGEGSQIHFAENTIHPRPTAVSAKPTDSKFARLQST QLSRPVQYSRAGRVQRYEEKTSRLQRIVDQAQAALIVAQKEAEDAKAAQEKAKVDEDS QIGFDTALLDYLQRAGYGAAASALSSDIAKSHPSRKDGTSSGDVTLVETTEEAKSITI SPNKTGSPVKAPISATDLQGETPSQSKSSPKDEQTPTDSIFGPEKGESSSPSKTNDDR IKEEKSSPHDKASTGQSPQTATSSNGQSALRDLGDLYTWWVIFQDSKRILAKRNAANK ATASQPNTAAPTTGITPSSTPSSAVAARIAMANPAAAVRAASVDFAGQRGQAGPSHHA QDDPVPVTATLQQVEAMKRDQAAKIQAEQEAHRRRMEMQSGMQDGRQVLYQNGQSQGM TPEQLQSIYQQQQQLHAQQRHLQPQNTGLQHPQQQIYQQMPVTTPGGTVRHMPTQMMM QQQNGVVGSPSNSSPQGQKRGADGSPMDNQSAKKAKMVGKQPTPEDHRRQALELAKSV MPAPGILQLPGQQQRSMSQQQEMPRPSLEAQRQYSQTQAAYAKQQELAVRQHIANSPA QMTGMSPAQMTPAQMTEQSPMGMTSPSMQHNAQFEVGMNGMPTQQETPTMGNRGKKGK PGAGLTVDTETAAEAPEATPTGASGKRKASANKKLPKSATEKMPKGKNAKVRKTGSAI NSLGNPPAWLTAIMQNQQGVKGGRPSTADASNSQIDESPSAPTPSQGYGIAQTPTSNN PSIGEMNYGPGPGSAPGSSRSQVFQLPPVNEPVVNPGQTQPMENGLDASGTQLQQPQQ QQQQLQMQVAQPQQVVQQPQPQQQQQQQQQPVEQQPAFDTNFGFDDTADFLKSFDFSA GGMQMPNSADYGSFNFDDFNFTNVGDNQDDWTSLDGGLS I203_01378 MLLANYASDSESEGESSSSRPAAAPAPPVRTTAIASSSSSLAAA KPAIPKARKPVKITLGLPKTGADDDDKTKSKNNGDDVDEDGEEREVKKTKIAGGKGSS SLLGMLPPPKRKLPSSSTSTSKASSLKVNKSMANPPSSSASTSKINIPKPIPSSTASQ TQTNDDDDDDDDVQGGKGQLLPPSLARRAQKKKDDEQLDLFGLSSSSTSSIPTSSSSS SKTTLKPTLISSAPLAPDYVPPAPTPNDLYPGYYQLPSGEWRAYDPAYYASFFAQQKD EEEDDDGRVGKHWKEFNDGSFKDDLVEVNAIRGIEEARREEERLSLMKKRKINENEFE YKPIGQVKGLASQRHQLTSLLNTAYTQREELEERIAQNKKNMRMAGTKYGMFTFRSSD IPIAP I203_01379 MPPQPAHHHHSRYSAFGSHQTARGKPVDRNLLESIPSMDKLSYY DAPVSPSSSSSTSSDDSIETAPRNILSNSGNKLNSHAKFMRRGKMYAWAPQYEDAKSD KLVRKRLKLCLQQILPEAATEVGAQPPQNIIDAEQKKKNRKRKRGNEPDFVLPHLRSP SPPMSTTKLAPMLALPRTYLDILTSPSMRHTLGNDSMETGLQRTAGELLEGEKPLMQA LGRTRDIVRLLQADVPVVPKTEVPQTNGEEDISATTKPDQPQPSTRDPNYIPPLPHIS DTDNLWRVTQELIGPPANGNPTSLPPPNITYTATPAESITPNNADPNSNTNTTEPEPV PTPLQRLFTCPDGITLRSVPNPAHPGFHYPPGHSLHPQTIRYNLDMTNQCRAVDDALE RIGELLADCNEYKERLEEARDRVADVARVRKKVWNVVRERGGWELDRQELGKDA I203_01380 MSELIATKRVDLLAPYLALDQGSKVQAEYIWIDGDGGLRCKTMT LDKAPASVADLKEWNFDGSSTGQAPGDNSDVFLRPVAIFKDPFRGGANILVLCECYDN DGTPNKSNYRANCKKNMELAKEHQPWFGLEQEYTLFDADGQVFGWPKMGFPGPQGPYY CGVGAGRVFARDFIEAHYRACLYAGVNISGINAEVMPSQWEFQVGPCEGIDMGDHLWM ARFLLLRIGEEWGIKPSLHPKPLKGDWNGAGCHSNYSTVEMRTPGKGMAAIEDAIKKL EKKHLEHIAVYGEDNDQRLTGKHETASITSFSAGVANRGASIRIPRHVNAQGYGYLED RRPASNVDPYRVTSILVETTLLS I203_01381 MASLPIYHQVPTDEKSAVDFYHDEQDISKIHPASIGNGAIRLPT EADLEKKKKVLPKWKKALVALALVWFTYTVFGHIGGKGHGHHHGVDQWKGYEYGSKHV FSPCHGDDKFAPQEDELKVIPTIYGTSISLEDNRQIANASFSIPLHRRKAFNVNFGPN VDANIIISKSEIMLQDGEESAQGQIIVESSYENENDVKGVEMKSGEWFNQLSISAEGS INHKVHLILPSSESFISSPISISSAQSLNFEIDSSATGITFRDLKLKSESGDVNVPSI VGARVELESTTGNVGGIYNVSKALILKTVTGDIDAKVHVLPPWNGPSRRPPPPHGGDD KHKPKHPKHDDDEYKPKHHAHDDHDDDDEEEGHREREHRHYKKSKHHKKRDHHQKRHD KHSKRSWLSSLLSPFKKSPPPGPPGPPPPKPAFIGANSINGSVNITILSQGSYTSSEI RASSTSNNVTIQHAENFRGFYDISSSIGKFNVSVPEKYKDHHVLTVGETEHGGFQHGL VGFKRPKGEEPPKKKLPPGNATETDFEVEMDSEVDMEVEKRQWNDQPQDGPEGGHPPP PPHGPPSGPPKGDHPPPPPPPPGPPGPPKGDHPPPPPPPPGPPGPPKGDHLPPPPPPP HGPPGPPPPPPGGDHPPHPPPHGPPRGPPGPGPFPPPGPPPPPGHSRVFAHADIGNVQ IVL I203_01382 MFFSIQTIALVASTGLLAAQGASAISIEERSTSLCNQANYGALG YPWKSNSTPGSFCSKTKPSNNRYWKQMPFSDSYDKVKCSSSSRGRYNVCTGGNKRTSL PKKCNPPHKFPWGYTAPKASSTSSAAPKSTSSAAASMSSAAASAASSAVASSVATSAA ASPAASSDAAASSAVASPVASDDATPASAAASASASAVVDPLSYPVCETTYQVTYQNY TRVAANGVWMGLTMGAATQDASYMTYTLSTSVDDCLAACDQIEGCVFVNTYYDVNEEE NYLPKHTDGILTCAMFSTCVGTDKNDNWGGQDDPNTIVNSNGYCKSSACGAPA I203_01383 MNGSAGEQTAAFDNIRLSLDRATLDAALGKRKVQAIDVNGQLLY DEEKITTDRLTEQLERVWNEYPDGLLDINEERLEKLSPDEDAVKKEESKEDQETERHP SKMMSRGEMDELRTEVHEQLNAARNELWFVLELAKTLSVSSSFTHQPPPPPNQQPDAG PKKGKQRPAKANEVDVNTASGFSTSVPQEPPVLPAGTFSITPSTQPTKPPHTQVHELE LVLKSKRQALDECSALIDSAVSELQMMASAGDRFWRDIKSLKDGQNGRDQWAILPKPD FGRTMVKGEKSKDIIIPYSVDEAPRATRSRCLAAFDLDPTKEDALTFGSRSHLRLRTT LKDVSGAVVGSSPVIVGNSSGVRAQMEAAQMEAFDEDLFSVLRYEASQISKSELEPKS VSFPAAEYTLSFELYDTRTRSQIPTSPLCDLIVSSAQSNLLNLHRHRKSRLVSPMSSS TASPTLLKPIVHALRYRQLCNTINSTLSNFASIFETAGLEASSTCQMIAGQSSSEAIS EFLVGRSDTSKLSDTYRLDIPGCRGVKIDAHAPFRTSVTLTNATFDLSNPEELSHILS EEFATQILTLLRSELRNRISDASIRSRLFLDELEGMIHLSQIGLIRQPELAILNEMAD D I203_01384 MAVHGEVNLLLLIELAAVVIGASAFLFYWNRLLGSIVALIIRLY TWRNYNAYIVIGSLQIAPLAGRISFRDVEYHSSNISVRALHGHVTWRYWKFRIRHETD SQYTNTKRNKLPCRITVFAEGVEAFVFNRTPAYDAIVERMKKHEREEAAAKGSPRSSD DINGDPDSTLRSRLKKVVKTSTRGSATTKAATSENGFADHQPDHHNVNLVKPTPVKPA SEGVNWFREALPIDIKIVTGSLILGSDATPMVLIGDFKRAEGMMEVTDSRSTLDLYKT AINLTFHNASVLMRTNVDYSGPLLAHGKKVYDELLKRQPDLTSKPPSALSIFTGFHLL SKQFRFIHDPKFSTPPVAGLPTDKIWKGLARYREPESGEAKGPKREEREYAKVTTLLE TPKLEFTYYADTPGVVPLPSEAPYIDDQDQIGNVDIPPEYGIDITIHKGNVKYGPWAD KQREAIQRAFAPSLFFDSEIKPKLRPGDTRVHTTLVLHLLLQEETVMRIPTREPSKDW QYDNAPADVERRYGWLDVVVGPNSSISYTQDQVATKQGYDSMLVLQLDSIGISSSVNL DTFIKAKTCKLSMTMPTPLEWDAQRDWGMDVTLDTASISLLRDHVTLISDLSKDWSSG ATGGDYHHFVPNHYNFRVSLINYDLHLYINDYNIVDAPWSRDSNAFMDVYGPRMDAYV AVASTQYRPETSSVPFSVSLSDARVELCVPKWDTHRAFGPDVTEIGKIGDLTAKGSYL YYSIPKPDHQETLDLHLEGKHVVFKAFGWVLRRLFCIKDNYFGTFTQFRTMQEYLEKF DHDPDSVGDPIEEKYRPGRSDPFAVFVTMNVEESLVLMSDEIYNCRKGLVIPVPQLQM NLKSVDHFMDLSLDAPPTYVTASPDLDSAFAIGGCPSIAETDIIFIEGIEVKANRLFG PQPQANTYLCLWEIVIPKVSAFMSPSFVSILRATGRSVGYTFSDPENGPSEVYVPKSA PDVTFFKVTVDEAIAMLSAGDYAISVEMPSGLSLDTSTLGTRSYSSMMDVSLPSLIVN MLERRPKTPWQSVGSARAGAAIDLFKAPSGWREAVTRQQHFIRKEDEETSRIWYMYEE SKPSVSPHVNGLYLPQPMLQSPEGVCPSPVLRSNALQLIITGQSADDDSVNEESERST IGSAHDEIASSSASSSGNDIGYRDFDQSRLRNRRSRSFATARETPDNSTVGEESDTDS RASSIVESISSNTAKAYGDIASAMETKLRSFHTIHMNNGPFAKSDGSFSVTADYPSPV RSIDDGTIIRIKSKAICLDLTPTSIPTISTILTGLSAKDEGFEKRLDALLAEQLSAAE EESKINVPTVLDLRLPSVGINLSTGGKRQISLATQLQGISCHLSQYAPRNKQAIFDVS AKVSTITLVTLAPISPISDICLRDVSSFDDEPFGGVPVLKAAMQGLEIAAHHSQGVRL HTKVSHATIDTVTLAFETIFTLIQPWQESIRRIQMSKPSAASDAHVLYTILQKVIEHG YDAYLPAFAYERAYGLHVQDCRNVRTQSGWWLLARFRDWYRRIPAQQPVEPELPMDQM AEYTINQLCRVEDTVHGAENVIREQYFIQRVFGNTIRESTNTKQKEKAMDLFFYSENL KISHHGHSLGSKKISSSSIFIDKASIGGSKATGRTDDRPITQIQLVVAVQDVRTDIQD SILGSIRAALEQMPEMAVKTEDSNLRSTNSNLVVIGDGQIGTINLDVHGGGLRLHFGA NDFHLTSLARKSVRLNHDPPHRSSKETVNATCASIELSLLQIEETQNQADRSSDRIIV YLKSEGLSTLYDNYHSTSRNSPFTGAKIAVGLKLLDFDSRPQLRAFYAFVQAWKEKEL PLYAANINEIRSIVSAKIPSRPESGRSSSPLQLAAVDVAIEALHMQVRAAKALWLRWD IGKIYASRQHVNDNVRFAIQVAPQVVGAYASIRKHKSTDSSALRLPSITIIGDTKSID DRAHVSVNIELGFFTGVLKPVILDRLLSLHQQLAADITEFVNDWHKDVTRAINKRHTK GISMASVDTASSVHPNSPGLLFDIHIGVAGLRIGLRADDVATTLLFEALAVKGRATNH LTEENALHWRAKIDHFGLSLGHLGSEALSNDTEPVRTHRTAYMLLDAEVHEVPPTPQS TSRLNINLSRVHTVMHPEALSELTDLLKSWMSDLHTLRDHRSAEVAEVKVHTSRVLRR LESAEKVERSEKSWFANRLVFVEVSGIGIAIPLVEGAAIGDTIHSDLPALLYSIRVIS FQNRRNETARFKVQNMVLQFIRKFDQSSSEHFTGDFHESVNRMTLPSIDMEAQMSSTP DIWQLSAHCSATDFKLSLSPEVADGVYKLIDLFHHGKERITKLEAHYKSEMAKHPYES VSAKYDDPSSPAVIRPSQRILVRMSYTFNSGIVELHRGLSESERMALNADIKKSRQWH DTVVLPTVSLWMDYSGPQAMNSASDDNGDSDALLLFNAAVHESRNLLRPTILPFFVQV INRMEGRAKHKVATSTATTQPRPESIPASISEQSVHTMSRTPMEKIRLRFTLRIDKSR LRLSCAPDSNAYVDLKWESGGFLASTTIGGNDVTTVAGTISDVTAYLRHEFAEEGRSC IEAGAKDMAFTIAHRLDDGNGHQKGLSIVLDTQLSGQFRLEQFSAWLTFAAVWIDSAP PLDLPPKSAIVEAATSSAPALAPVPNQQKLAIVALIRFRSIDLDANVGVTNAKLELTP IVLRTISNGEFTEVDLDIGVTQLTAKGDISGDLRSEHLNFHTSRQSSRSAMQAVPTVL SMAIDAGDLTGSLLLQELKVIIFHLEPATVKLADDWKAFNDDQNSQVNLSFTVETGVF RAIARLLAIPSLVNKVYSITNTFDSQERVASQRSKIYKSTKLRKSTEPSPMAAAILHT ARKAGQSMNTSGSVRTSQTMRFDLGGIELGIFNAPVTDEHRGDFYRFMIGKCETDLKR QLSKEGLPKRDLSVLVSFVSWDTSDGPRAAKNAAGSRVVKEMIESASKHGKREIAWLP LVTMTMHSIEEPRPPVIVYDFDLIWGEGDGDVAILPYFFEQAYKTFDAFTKGLEQEQI TKAKRRGEDKPVRRNTSTVNFDIKHNPNNGADKVKEEDDDDGGDEKLTFRTRLAGTRP LPVPRLRLLGEGTRQAMVIIPRINEFSEQLPIMVHKGITSPLEDGMDL I203_01386 MHEKPGVVVLLNGYPGVGKSSVAKELLRILPNAKLLEYHSMRAV IDPLIDKEKDTDRWMEMKRALLQTLLSTLGSNPPSSPSSQPPIYILTSHLCATPRRLS VLHSHLSSLPLIHILLNCSTEENLRRLGSPSRKGLSEIDPNINSSRNGSVGFSTKGYT GKKITDESTLYELRMEEELGRFYAYNSNGLKSTGGGGGGIEELKRKGLLGEYEVNTEN LEVNQTAMLVSEYIIEACREMAWYIRLNPQKR I203_01387 MTAVSLPTIPSSLDNNTLLSSMLNNPIEFSRGIHRQNVNLHNKM KVVQPGPPSPPPRFSSSSKQLVNGFSKSSSQGKEEGEVVEEDNLESRNQSDNEDEDDQ KNEDKDKHVVNGTAKLPNGGSQIQLPTPETTQQPSSSTASPVKKKAPLTIYQPPTNPD DLYRRPIELTWPESILSVKKPAAGLHNPSMACYANATLQVLLHTPPVLRIAMEHEEGK CLRASQRRFCMLCQLRNMATGSHWGGRSYYAPTVHSHLKDIKKGFSRNKQEDTHEFFR FVTDALQLTALAGTKKDLPEKIKHSTWVYKVWGGRVRSRVICSRCQKPSDTFDWFLDL SLDVNRSGSKSIKNMMNGFTREDKLEGDNKYHCDNCKAKANATKSFKIQEAPPILTLH LKRFSVDYHSYSGRARANKYNGHIDYEEYLDIAPYMVDPKVGGTKYRLFGVTCHRGVE LRFGHYTSYVRGPHGQWFHADDNDVSPVKREEVLKDRTAYLLSYIRVSDDHVPTPTST PLSSARKPTANGHLSSPASAKIGEKRQRSDEGDNNVNVLKPKRSVNGLIGPVRPMSIR KGTPPEARNGILSPSQQNQDDLEIDLDLPPELPIPSSKKFGSLMTPKNTQSPSKMTTP THTPTTPTSSLKIIHQPKPIPPGQFYGTKSPISRPHNPKPDVDDEDDEDSLNSRTPYS NSNTNSNGTMESYRGAGKKLSKKEKKALRRMGKSSFRSAGGDNPYKTGQLGTLNRNKI KKSKFDRMGRKPLS I203_01388 MRSVALFSLLTLLAYSASSVTAEQKPITPSSIRGLNPALYDKYE PNTAGTFQCLDGSRIIKYSAINDDYCDCPDGSDEPGTSACSNGVFWCKNEGHIPGQVL SSRVNDGICDPECCDGSDEWATGACANKCAEIGKKFREEEEAIRKTRKTGAKIRGSYI KWAQGEKKRLETEVETMKKELREKEQEVERARVALEKTESKSKEELEKKKTSPLYQSL LTHRSTLTRLRSKTQRLQNELDTLHSILEELSKGYNPNYQDMAVKAAVVGYEELTGKA AAPSEEEKPQVEENKEDEEEIKDWELDELERKDLESLLWQSGLEDEADDDDEEEGGLL WKIDEYIPDSLYDSWESVRDTAIEWMIRLGLIGKKKASKTSVAEGPHVAAARDKHRGL SNELNKLKNAVTNTEDTLEKMDKEYGPQAEWKKLDGVCVDKVSGDYTYELCFFGKATQ KSNKDGATNHMGTFSEWKTAAEQGSFEYYTKQLYKNGARCWNGPLRSVSVDLSCGTQN ALLSISEPEKCEYRYKVTTPALCWPDEQDAGGSVKSEEEEKIKEEL I203_01389 MSLPKSTSSLPRLINSRSAVFAITRPRLSLSTHTSTTSTSLRVG GAGSITQSRSISTSRRRYATEAKFDPDSVERATDEVDVCIVGGGPAGLSAAIRLKQLE QERGGDELRVVVLEKGGEVGAHILSGAVIETRALDELIPDWKELGAPLNQPALSDSMR FLTEKSSFPMPHPPQMNNKGNYIVSLSRFTAWLGEQAEALGVEVYPGFAGTKVLYTDD GKGVKGVVTGDVGLDKDGQPKDSYEPGMEFHSKVTLIAEGAHGSLSKEIQNKFDLRKG KDPQTYGLGIKEVWKVRDEVYEPGKVVHTLGWPLDYKTYGGSWLYHMEDNMVSLGLVV GLDYENPYLSPYKEFQRMKHHPFFANILKDGQCIAYGARALNEGGYQSIPKLNFPGGA LIGCSAGFLNVPKIKGTHNAMKSGMLAAESAFESITSSSSASEDASESENAEPIDMSG YAKAMENSWVYKELKEVRNLRPSFHNPLGLWGGMAYSGLDSLILKGRVPWTFRNNWED YETTKKASQVKPIEYPQPDGKLSFDILTSVSLTGTNHAENQPVHLRLPEEQGARERHT QANVSDYAGLLGRVCPAAVYEYTDAEGSEVDAEGKKFVINSQNCIHCKTCSIKTPTQD IKWTVPEGGGGPKYSEWDKPSTIKFPD I203_01390 MMFSSSRPMGHYSAPQVKMASMNLANVQMNLERQKRLPVNAEAY LDILNRLLEPLAIVQGPLGLRTWLAEVQYFMGLMKQRSFTGRPLTPRERQVLVWYSAR WRELRGGPCDMGRPEAQIVLIALGELSRF I203_01391 MHLLNLTLQAPSNITTAVVGSFSGTKGQEILAVRGGTKLEILKL NTSTGQLDTICSTEAFGTVRNVVGFRLAGMTKDYILASSDSGRLSILEFVVAPTPHFE SLYQEVYGKSGSRRRVVPGQYLAVDPKGRSCLVGASKLVYVLNRNAEGKLFPSSPLEA HRNHALVTHIVGVDQGYDNPLYAALEMDYSESDEDPTGEAYENVQKYLTFYELDLGLN HVVRKWSEPTDRRANLLVQVPGGQNANSDRFDGPSGVLVCTEDHIIWKHMDVEAHRIP IPRRRNPLAQRGEKSRGLIIVSAVMHKIKGAFFFLLQSEEGDLYKVWIEHEGEDVKAL KIKYFDTVPVANSLCILKSGYLFVASEFSDQNLYQFQALGDDDGEQEWSSTDYPDNGN IEGPLPYAFFNPRPLQNLLQVDTLSSLDPITDASVVNLLGAGSDTPQIYATCGRGPRS TFRTLKHGLDVSVLVSSPLPGVPTNVWTLKLTDEDEFDSYIVLSFPNGTLVLSIGATI EEVNDTGFLSSGPTLAVQQIGDSGLLQVHPYGLRHIRGADRVDEWPAPPGQTIVAATT NKRQVVIALSTAELVYFELDPEGSLSEYQDKKALPGNATCLSIAEVPEGRRRTPFLAV GCDNQTVSVISLEPDSTLTTLSLQALTAPPSSICLAEIFDTSIDKNRATMFLNIGLAN SVLLRTVVDPVDGSLSDTRLRFLGAKPPKLVRSTIHGQPSVMAFSSRTWLLYTYQDML QTQPLIYDTLEYAWNLSAAMCPDGLIGISGNTLRIFTIPKLGEKLKQDVLPLSYTPRK FVSHPYNTVFYMIESDHRTYSPQAIERIVKEKQASESRVDTSLLTLPPNEFGRPRAGA GHWASLLRVLDPLTHESLATFDLDEDEAAFSMAIAYFERGGGEPFLVVGTGVKTTLAP KGCQEGWLRVYAIKEQGRVLEFMHKTKTDDVPLCLAAFQGFLLAGIGKSLRLYEMGKK ALLRKCENNSFPTGVATINVQGARIIVGDIQESTFFCVYRSVPTRQILVFADDTQPRW LTCVTEVDYETIVCGDKFGNIFLNRLDSRTSETVDDDPTGATILHEKSFLMGAAHKTE LLAHYNVGSIVTSITKIPLVAGGRDVLVYTTVSGSVGALIPFVSMDDVEFMSTLEMHM RSQNVSLVGRDHLAYRGYYVPVKGVIDGDLCENFNLLPYSKQQAIAADLDERSVGDVL KKLEQMRTSSAF I203_01392 MAYDLEPLPRTDTPSDYTRFKFDPANVGDKRIVGLLACQRDPLL RSLKTRIHAVREASIKTAPPPKGKGNKKKNDTPQETAKAEDRGKLYEVELLDTVIFPE GGGQPSDTGRLNILDPNGGIKQSFVIESCLRKKLDSVHLVRIPTGIEVDMKEDDEVEV VVDWDRRVDHMTLHTSQHLLSAILDTMNLPTLSWSMHPHPSLEAPYVELPRSLTQSEA EEVERKCNELISEGRKVWVDVSIQGQNGAAEVTAEVDDGAVEERLKVGKGIPEDYDGG VIRHINIDQTDRNACCGTQVPTLSLISLMHIIPPTQSSSSATKLYFVAGPRAVRYLQQ SSRQLSNVAKVIGAGRADAVERIETLEKNRKDQFDAVKNLKNELSKIVIENALSEGRK EENQGVIWVRRDNPSTNDFEFLGSISTTFISTAQPQDQKDPLVILTSTPSSKDATGQQ NLMIVHSTNNDLAKEANEQIKKGLGSRVKGGGARGKYMSKVDGKWTSSEDDLIQGIIN NLRKDRSE I203_01393 MTTNKDEKPIIDSDGNARHRSASEERRSLPPFYSSPNTNTLSID VELSRKRKERDGDELLSPGTPNSTSSFLMTPQFNPAESSPNHKRRLSIIEHLALREED KSFNGPGRLVSIKGEEPFPWYKSSYDLPHFQQDPITVFPVQYQIYQQPNQNQNTNGSA QPQGTDNTTGGQQNNNNNSVPLDPTLSSIRSSVAPNSNGQQTQNLIDPSLSAAPELRF PDAETLASATASPSKDIPGEANTQAALAAVNTPTLTAEDAAALLSVPQATDAQGDGAG SKKEQPFSRSPELRVSHKLAERKRRKEMKELFDELRDELPSDRGMKASKWEILSKAID HIRQLKSGQEQMVREIDHLRREVDIARGGTGAYTHSYPTYNLAGTYPPTQNNFTSAAT TPVTANAGNGQAQQPQQQQQPQQQNQQPQQQQVQQTTAQQPDQLNQIKADVPQAASQ I203_01394 MIRIKAIPLIASRTFLPRLPRTVRQYTSYNVAVAGLTESQEEFR NVVHDFAQKEIAPRAEEIDKTNHLPEDIFPKLGEMGLLGVTVPEKWGGLGLGYLEHTI AMEELSRASASIALSYGAHSNLMVNQLNRWGTDDQKSKYLPKLLSGEHIGSLAMSEPN AGSDVVSMRTKAELKGGKWILNGSKCWITNAPVSSTFLIYAKSDTSVAPSKGMTAFLV ERGFKGFEVGEKLDKFGMRGSPTAELFFDNVEIPEENVLGQVGKGASVLMSGLDLERL VLSGGPLGIMQAALNLTLDYTHERKQFGKKIGTFQLIQGKLADVYTKLSASRSYVYAV ARACDAGKVSRQDCAGAILYSSDRAVEVAMEAQQCLGGNGYINDYPAGRLLRDSRLYT VGAGTQEIRRMLIGRGFNELATAEDIRAYNDATINGGVRGLFYGIGLSLPTHYILNSR VTAYRNLPSPAKAFGFVMLIVPCMTITAEKSGEAFTRSQYGGVAKRELDKEAQIEHER WQSLSSVQKLGDWARRHKYGLVGASWVGSLGVAWALVNRNKHQTTSQKVVQARMWAQG LTVGLLMASALLTGFDSSKTEDPRTPHEDHTWRAILESDPHLNEEERKRLHEIKRAVV DRKEQLVKEASNSVSK I203_01395 MSDRTFKIAVLPGDGIGPEVVDQALKVLSAISEYSNLSLDLKKY DFGGAAIDNHGVPLPDITLNACKEADAVLMGSVGGPKWGVGPVRPEQGILKLRKELGL YANIRPASFASENLLKRSPLKEEVARGTDIIVLRELIGGIYFGDRQETDSNGVAWDQC IYSIPEVERITRVAAQIALAADPPLPITSIDKANVLATSRLWRKTVSELMAKEYPQLK LEHQLVDSAAMIMVANPRKLNGVLLTENMFGDILSDESSVIPGSLGLLPSASLAGAPD PKSTTMGLYEPIHGSAPDIAGQGIANPIGTILSAAMMLRYSLGKGKEAALIEKAVQKV LDSKDVGGYDFRTKDLGGDAKTEEVGDKVVEALKGLLGQ I203_01396 MVLSYSSIAKPTADTPNIISIASSSSSAPVPSSSTQVEYDETAA LPSSPVPSTAPVPSHPQHPTASSSSSRNTTIQHLILDAGPLLSLTPLRHLAGSFHTTP MVLAELRDLKAREHWERLGLTGVEVTVENPSSEAMAAVTAFAKKTGDFSVLSSTDLSV AALTWQYEVKVNGLEGIRTEPGQRIKKKSTTQEDIVKEECQNQEQMQDEIAESADGKD EGEGDDIKQNIEEEDEGEIEQVAQSIEQVLLDSNARSTADDLNKSDTPTTQPPAPAPA PTNDEPVQEIDNESDGGEWINPSNLTTHRSRDLGLITPSGSGAKPPSVAAMTGDFAVQ NILLGMGLGLVGEGGKRISKVKSFVLRCHACFKICKDSSKKFCPSCGNATLLRTTVSI DSKTGKQNIHLKKNFQYHLRGTKYSIPDAKMGRAKGQQKGGSGLILREDQQEWNDAVK YQDIQKQKEDRRKAKGVLEGWNDPDWLPEIISVGTSGKGRSHPSNMPSIGHGRKNPNQ ARRKR I203_01397 MLAFALIYLSYFLSLFIVPYFLTLFFSIHFRSIEFGEYCFPTAT LEEERNTVDDRQDQPHRDPPSYIEEDDGDEVEGYQVDFQVLMNDYCGSEAMSVDLDNN DPHPNQAANNQHPPPIIPSRIPDALNLPQPSDGPSSRPAQHVATPTSSLPPQAFYPYM VTPHGALIPPIILYHAYPFCNMPLDYFKKLYEQCRQQLIMLALSNDDPHTHRVKLIFG ETHRPFRLARKEKESSRKVNRYYPYRRRSMNERSRLRQGIKGWPYSLERLWGFRSKSK RRTRNTQVPKLSDILLEPLFPENLLRKKRLESPPPPFPSILNNAYAPIPSSTPDSTFL HPPKNEITQLSAKALGKRPCRDWDHGNEENSDISAKQTRRKTVEAELRGNSPSFHKSK SDEFVITKPPTVLPDKRRYSQRRSPSPSLGRQAGSRKKRRLTENHFLEISELPNEKKE KGNKEEEEKKNAPCTPPRHRDSTPELSNTPGGEEETEVLVTPPQPSSNVVGEEVQVVS PILQGEVSPSTSPTQSEVESNIVQVLRRRKNRPAPLVLGGYFNPAEDTPAPAPTRAGP RAATLLQQPQMVKRDTWPHFMAPSLDGYTHSPTTAMFLDGPANMAGGEVESESGDEPG QGKRKWKPNKLRRLMTHLPYLQPTSPSPATNFLHSRRHVLVQHIQKLLAEKPVVPEAV SVVPPPFKQPDPQDPPLPISPLASNSPKAKRALNPYDLKPWPRKLPKQDPASVNYTFE FLRTIPEPTRVEWAKETDYARVLREKFHHRALMQKEEREAKEAYGEMGDFMRALQNTS DGDALGIDQSSGGRKQLRRTQSSRSISGENAQAGPSKRSRTRSGTEPSTPAIALGSPF QGDVGSIIASEYAFASPSIVPASVIETVSSPLRHEDPPPAGIPLPFSNRPTYQLNTAS APIPPPTPPNDLIPAANTASSVVFPSEPVQESRGPELSTHTSSSTPSPRDNVNIESQE TIFITPSSESTVEAKDVPLEIAEKESIVPEETIPTRENIPEEVADDETVERDTHDASL ATLSSQEEQGTQTRSEGIGLTCSAPSIVELQNDTQTSIAPSEVEPKDNDESSISSISK SASSPSSADTSIIGSQGLETSSPDPKIAEESVLRAGVTEESRQMKFTSSFLTTSQSTS TPDQTPPTPSVAPAPPSPKPQAAVIESSEIGSDAPKSTFDFLTRPIAPTPLEPTSAIS RAINRATSSSRWARGKTRSHGGAIRGGLGGLWAAHAARTANKANPPKVTKKTDDGGEM ERQLGTALKEGETEMQDQDMSEVEEENQDQNMEIVSSDIQGSSRPTWASFPTLSSSFS TVHGQRLFRSQHQSVGIIRPRPRSPQLLTIFERRRNASAPSEVEDEMEVDEICEAPPT CASSTPPAYTHLRVPSPTRFNRFHPIAPQSSQHQASHQPPSSISSTTNEEVEMTNEDL SSNTAAHNQRGSSFAQQMYMPNIVSSYLAQLAHRPRPEPARFVEDTEMQEAILIDTPT QPSFPSHPVKSNFSHNVAAPQPHFTPPAQATRVETLPPHLRFRQPASYDADQADEDEY EEVEIPDPPSSAFITNRMVRETESVRQGISSLSISDPETVSVDIVPEPSRATNVDSEI KITPPPSKELTSSRLLPLQVHLEQPVIADAAVDWTDPLATPRSFMAEMTRAEDSMTTS GTPAESTSTPQPILSTTLGNVIPTPISATVTSPSEDSNMPFSRPSIQPNQDPLEADLT FQNALEPRIINPLKASGHAASANMGLRMLASIAEEKEELDNDLIDMKAVEEVINGDEK KEGSERRSSREEKGKNKAVKVEGVQEAADNVEESTETLSYGDKELLDMLTSGPLPSWL APESEPTVHQEPLITFFDQQCYDPTQAQDSIPSCLDSSSSTAPSLFDYNGHPVWQAPG QEQFAVPPPLPAVAPPDSPPSTPPPSAVEVATEEESDLIQNARSGRQRGMACINNTAA LRKLSSQSSANSIPPIGQSLLSMSPVLTPTQMGKIDEEIDLGNFKAKDNDKANMPPPG FVRFPKQRSSQGSSGTSSGNFAAPPARSSSSHSAFRPVIGPSKSTLLAFPSSSTRSSE VARDSDSWESRTCFQAAKRGSEKAQEEEFRGRADIPIILPVLTPDLILEDTQGPLTRM LARLPARVRRALDAAATDAQPASTNINGVGQLGHPSSSSSSYGSNGTWTGTEPSTGPV DYSALNSLANLATANGPLQFQVVSETPLFDPSIFPCEDHELPEILLRNDIAVPDT I203_01398 MESSGSPILTPLSPSSLHSPPPLSSAGLSSRITSSVSTVHPRLS TLLPNSSGYLAFSSRPKQEIIQKNKKRSYSFSSYFRRLPRRLNRSFSSTVSRGGPKDR LNSPARPQEYVRKEKEKDPVTREEEWKEVEDEWKSQAKGLKEGYMQCLNGARCITITD PIGDLTDEFLQSTVIISSSQDPFPHVSDMTHQSSRRRSSSTPELSRPILPTLPGKFNL SPVPPSPASYTPLKSSKEDHDGVHRDETHEEEVIEDNARILDVRAGTASSSTRRVPTQ KSVHPTLGNKSTENGEMVNHHSIPDMDDSEEEDLKTPRQVDEDVFEPLIFPVPRIQQQ LPDHGNATFSADDESVSLPKADSLTTHSSHWNTVNPHRPARPSAPAPPLTTPSERGSL VLAPDEYEYLLPLQVIDVINTPISESHISSSHSRYSAGIKRSHSTSTSSSSSTARPIV RPNNTLNNDTPLYSLEGNSHSLSVQSPSTTSTYQPNFQGGTRDVYREHLQRAVVQQYN DSLTPRKPPNQGQGDMLVVPDRRGQGKGLRRALGRGIWYKRSRNGI I203_01399 MSLSSDLDKQIAQLKRCEIIPESAVKELCQKAKEILMEEGNVQY VDSPVTICGDIHGQFFDMMELFKIGGFCPETNYIFMGDFVDRGFHSVETFLLLLLLKV RYPDRITLIRGNHESRQITQVYGFYDECQRKYGSSNVWRYCCDVFDYLSLGCVVDGRV FCVHGGLSPQVTRLDHIRVIDRRQEVPHEGPMCDLLWSDPDDINGWGMSPRGAGFLFG DDVVRQFNHENDIELIARAHQLVMEGYKLMFDRKIVTVWSAPNYCYRCGNTASVLELD ENLRQEYKVFDAAPQDARSIPQKRPMMHEYFL I203_01400 MASSRLLRLPSRSNVLLAKSIYSPVQPSITLRSNGVTPSGISCT VLPSPQRASSSGSGLILDRSFTTSLSALKKKTTAKDKELLDPSSEDYLDLPSPTQKVK FLNGIKKDYGDEYDNMTVPTTQLLDALRDMQSWRQLTPSTSTPKESTDYRDALRSISL LAGPDGGISRPSLRLKDIESVLSDENGVTWRLMRDIIEHGLVPELEGLDEKWKAMVKI NRVHGFGKIKAQTYVDNGARTLEDLLNAKDKEYGRKVSDAQKLAIRYHKEMDLMIPRS EVEEFEKLIKNALNKVDPTLGFAIMGSYRRGEYVSSDIDMVVWHESYPKRDREDKSKK GGYAHDSLMGKVMNALIRDGLIQEDQLFSRGEKKVLALTKLPQPNSIHRQVDIRLCPL ESLPYMLLGNTGDDTLMKTLRYRAIQKGWALNEYAMGERVEGSQGVWVAEGKEIIVNS EKEIFEKLELPYLEPTMRSLHKYRHILQIK I203_01401 MTGVLNGNGPSAELAPGHFLFTSESVGEGHPDKICDQVSDAILD ACLAQDPFSKVACETAAKTGMIMVFGEITTKAQVDYQKVVRDTIKQIGYDSSDKGFDY KTCNVLVAIEQQSPDIAQGLDHGALEKIGAGDQGIMFGYATDETPEMMPLTIMLSHKL NAAMAAARRDGSLGWLRPDSKTQVTVEYKKGEDGAMIPIRVDTVVISTQHAEEITTED LRSEILEKIIKKVIPKNLLDDKVIYHIQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWG AHGGGAFSGKDWSKVDRSAAYTARWIAKSLVAAGLARRALVQLSYAIGVAEPLSIFVD TYGTGKKSDAELVQIVQQNFDLRPGLIVKALNLQQPQYLKTAAYGHFGNPAYSWEQPK QLNF I203_01402 MAPTLTGAAGNARARRVRAAAALAGVELNWDSKFDMKANWKTPE FLAKNPFGFLPTLELEDGTVLRESGAIAEYLAELGANSTLLPSDPKQKVEVHEWQCTA DQELFIPGSLANRQLMGVLPYNKPNFDGIVKKINERLSVIDNILASKTWLVGERITLA DVFVVSALSQIFATVIDGEARAKIPNVLRYFETFVNHPKLLEIFNPTNLIEKVTPPAA PAKEQKPKAEKAPKEPKAPKAPKAKEVDEEEEEPLVPAEPKVKNPLDDLPKSAFNLEE WKRQYSNLDTRGAGGSLEWFYEKFDREGFSIWRVDFKYNEELTQVFMSSNQVGGFFNR LEASRKYLFGSVGVLGKANDSIISGAVIIRGQEIEPVINVAPDWESYSYKKLDVDGNA DDKKFFEGAMAWDLVVDGKEWADGKNFK I203_01403 MYNLISKATSPSKPLQRHLNEREELFKNVLGLPLDVKRDLVDKL LWSLPRNEIIRLNEQLNGILQKDIIGSLPPELSILILSKLDLGDVLNCGLVCKAWRCI VEEQSLWALLCASSSPPIRPSQPTWSDIQTTRSILSQPKISHSSEGDEEEEEEYDDRF GYGYIESSNGNGHRSNLDPLGLGMKSGLRKNVWERNSSTEHDGTLPIYLHPQLALNNI SSLEKVGERQDKHKGTFSPIQSHLPIPSTKPQTNYKHLYIIHQIINRRLTTLRPIHQY NTFNLDKDKSVFVPKPLTIDMITSVKNGGLPGHSEAIYSISLINHEMKFDLNMNCIEC HQPTPTSSNSFSLSPGPLMPGQKIPTRTSTPTTIKGKEWLLTGSRDKTLRLWYIGMNG SAMGPRVIRIFQGGHSGSVLTHCVVKVPMPIDIQATTSPTKGIEGINLNGSRSPKKNR EKYKLMAVSGGSDGKICLWDIEDQNQDASSSVNPEKMVQGHKDSVLCVRANDNYVVSC SKDKTIKLFDIHTLEEKLVIGGSGSGLESDDQSHKGAVNAVGLTEDYIISASGDKTIR IWSIHTGQLLFVKEAHSRGIASIDFSFEPTSCEPLLEKGERWKGSLVTGASDASIKVF HLIERRLDSIMDVNSDSTLSEADGDGDGDRKIEDSMDIDTTSSNDTSSKVQYPQRTPI NGKLVYLKEDHTMWSPCVCPPGLGVSRPNLGDTDSHSFERCRRCGNRGHTELVRTVHI GERVVISGSYDSKVKAWNRQSGQHLINLSDSHTGRIFSVTSDKSKIISTGLDCRINIW DFAYGLDTSFVEP I203_01404 MRSWGAEKMETREHEEDSQPVASSSRSNSIVPSPVEADSEVPAK ADRKRSKWDNDDEVESPLPSSAVPAIKRRLIRPKKIRRPDEPDQPASPPTAHTQSEVD DTPEDNTIIAPEPISNPNPSPIVRPHRPPPPSPPSFQPQQKTYLRSKYAPLRSSHPPL ISCRSVFNYTRLNHIEEGTYGVVFRARCNDTKQIYALKKLKLDEEKQGFPITSLREVM ALMQAGEHPNVVGVREIVVGDTLNQVFIVMPFIEHDLKTLLADMPHPFLQSEVKTIMS QLLSAVAHCHANWILHRDLKTSNLLMNNRGQIKVADFGLARKFGDPLGEMTQLVVTLW YRSPELLLGAKEYTTAVDLWSIGCIFAELMQGQPLFPGKGEIDQINRIFQLLGRPNDE LWPEYSSLPLVSKINPIGPMFSTLRQKFKHLTYEGHNLLSSLLCYDPKRRISAEEAGK HPYFSENPLPKHPDLFASFPSQAAGEKRHKSLISPSAPIRFDRIEKDNLTDLESFV I203_01405 MPAAVRPRPSSPSADKPPAHKRAKADATTNLHESINLPTPQQVH AYREGYNQATPYKYAAIEGLLSDDLLEAVVEESWTFGIRGEEGSHPGWGWEQKETDIY SIQQTPDLSSLDPAHLPDETLEALPMTTRLKNALYSEEFRNLVREVTGCGPLSGKKTD LSAGLYTTGSHLLLHDDSISTRLISYILYLPNSPLDAPKADVELTPSADGKFLKGWDP KWGGSLELFPVENGEEVGPPGTKRVAKVDVKWGQIVFFEVQPGRSYHSVEEVIIDEGR QRMGVSGWFHRPTKGEDGYEPLDREKLKAELSSLAQITAAPTIPFTPYTSEPPVGLKP SDLTFLADFIAPSYLTVPTLEKLSGQFAEASEIVLHNFLAPAVAAKLKAETESVDKRD YPNDLIPTQDLGEGDGWVIQGPASKHRYLNLTGNSTSTPVFQSIHQVLFPSEAFRAWL SVVSSLAPLGRRTEGRRFRKGLDYTLANGEDGKGDARLDVSFGATWWADVPSGSDEEE TLVDHGGWECYLAAPDEGEDPAVYQSSHAKKLAKLEAEENKGVRPIESVAPNQEKKDV LPVEASTAVTEHIDTPHPVEAVTASGKTHHAHHHDKSKPHPVEAATADKDGQGPSISI NGTELEFDPDQFSPSDFDSDSEMGDDDDGPLLTQPVSFNKLLLVLRDPGVMKFTKYLS ARAKGSRWDISGEWEVGVMEEAEGEGEKEEDMA I203_01408 MIKPSRRPNRSTKKTLLSLLLASSIASTSASSNLQPKERQVRHR ELSNRRHVLGERDDAAVPGGFKIVGDSGVSAQMMFLGTEKTVYILDKAENNSMQVTNS DGLTHPAWGTTYDLTSNSATAMSVSSNTFCAAGLPVANGSWVVFGGNQPVTYEGVATK DANGANPYLNTDGGAAIRLLTPCDDGNCAWQEGGDALTMSGKRWYPSVELLGDGSLIV LGGDNNGGYVSTFVQNNPTYEYWPKKSSGGIHMDFLNYTVPVNLFPLTYLLPGGKLFL QAAYKTILYDMDTLTETPLPDMPYAVRVYPASAATAMLPLTPANDYTVSLLFCGGSSA PFNKSSDGGAAFNVTAIPADDTCVRISPEDANPQYVDDDSMPEGRSMGNFIWLPDGTL WMGNGVNMGTAGYGDEKYSIGQSYGQAPLYQPAIYNPSAPSGKRWSRDGLGESVQERM YHSSAILLPDSSILISGSNPNKDVTFEQWSTSYEVEQWYPLWYNEERPVASGFPTSLS YGGDSWDLTYTPKSASSDPSNAKVVVIRTGFSTHAINFGQRYLELETSYTQNTDSGEV TLHVSQMPPNSNIFQPGPAMIFLTVDGVASQGKMIMIGSGQIETQPVSAATVLPESST TSSETKSGNSTADSSDSSNSTASSSQQNTAAKSAASLSAGLKLSTTIFGGIVALVGLA LTVL I203_01409 MTWIALTRAPWTIYRSSRCITATRLSAYASKRCNSTSVASNTIS NLVRSTVHKIALTGFGEGTNDLYDRARPSYPSDALNKIHQAISTSSSASDGWRIIEPG SGTGIFTRLLLSPPTTQYPTFPIDTLVSIEPSEGMRKTWQNGLETKVPKQVLEDKTVN VVEGAFDDLSNVQQYGMRKGGVDGVIIAQAWHWCDDHEKALREIASYLRPSAPLILIW NLESPIPKWQGEVRKSYEKYDLGSPQYYKGWWRKMFDTQAYKELFEEKEEWSTKWMKG ITEDELIDRLFSKSYLTSAHLSPTDRENLENHLRKIMRNAKHEWVDEEKGIFQYYYNT DIVILRRKA I203_01410 MTAQPARTNGDIPHSSTEKTTPSSSIPPRVVLAGKTGRILCVAD IRGDYHELNRLIREHEATAVIHTGDFGFMNADSVPRMGDKILRHLITYSPLIPLGTRN QLLSEELGLDRQSLIEQLNNSSVHFPLSQFPHLLSGAINFPVPVFTVWGLIEDVRVLE KFRTGEYEVNNLFIIDEATSRVVDVGGVKLRLFGLGGAVTPHKMCEGFATIAGGSGTM WSSALQMGELIDTAQRVYDASETRLFISSAPIRNGLISLIANALKADLTISGGLHFRY PVSFNEYSIHEHYEQYTQKLLTASRHFTEVYDAVRDKVDSSMSEQQQALLRKITAAVT RMPIESDQTWTNTWNWILSDASCGHMVLSIADCRVSAETKTAGLNFAHRSGQGPSLPS APIPVSTAAPVARRPDAPEAPKTIAPTRAPIGPGGATASKAGQISGGPPTGPNSFKNG INRTNSARPIPPSTTTASPAPVAPANATSSANGSIPVKTPTQPRGRGGNIAGQALAVA GAAKDKIVEAVKPGSTTSTSKPPASAPAKPPTPGTSAKPTATSDKTSKDETKKTAPAT NGASTNGASSEAGNTSTESKEGAKPAHSREGSGEVRTKKANSLYLKGLPEATTEEEIK GLFKDQADKIAVVKIISDRMTNKQKGFGYVDFSNEEDMNAALKVAEGAKIRDKVIQVE VSNPPTRSFPDSGFRGRGGRGGPSGFRGGRGGRGFGSISGGLGRKDGVAGESKEGGTT AAAAAAVSAGEKKE I203_01411 MSFGNFKFGASSLTQPAAPSLSVTNPTPGSTPATAAAPFSFGNS SASKPATATAPTSGGGLFGNLGGQQQQQQQQQQPGQGSTSLFGAKPATTTGGGLFGST TNAPQQTGGTGLFGSTSTQPQQPATSGGLFGSTNQAQQQQQNATDTGGGLFGSTTGGS GLFGSTNQQQQQPQQSSLFGSTVQSKPQQNSLFGSTNQAQPQQTSSSSIFGQAGQPQS SSLFGQTAPINNSNAQNQQLQTSTSNSQSNNGGISKTTKFSELPEGVQKYIEQMDNVI KSQKAQGSGMNIEGLGRAIWQTSLDVKAANEEYSAISHTLKSISTSLTQLRERMISEG RDVERVKEIWDVYRSAEGRMGQVRLGAYREFPQEFFAKIADQMEERVTRYKKTISQLN RAIISLSSEAHTPSPQAIAQTIQNHQQAMLTLAAQLDGLQLRMNGLRSAFAEEWREKT GSVRDPFEIAREEKAVKA I203_01412 MPIDVTPTPIHPHSLPPVSYHRGWSPMPSTGPARVIDILPPKPH MPGSVLPNGLRNPWAPYSGETYIPASESVMAMQNQVGGSVIAGGGRSMVGRSVIAPSF AGSGMTIGREDDGWNGSVGRNHMSHAPDPSSVGAIGPTPVVGSNGSQAGWIPASWGNH QRMTPTIASGLAHSEIYPPSPTVGYGYNQPSPPRRRRGSTGVGVDGCEECHSTRSHSQ PTRHTRKVSFSTVNNSPSPLRKL I203_01413 MSKGTQFLTFAIPSIILYFLALLHILPVPLLSQEIADQILPVLP FWLLVTFGSYSLSSLGLGLVRFHDTPEAYESLLREISQAKDELRDHGVSVD I203_01414 MKSINRWIYGPTPEEKVRGWQAKLRQQERQLDREIRNLEVATSK SRIELKQLAKKNDVKSAKLLAKEIVRANKQRDRLESSKARVRSVGMQLQHQLSMVKVT GAFQKSTEIMKTTNALVKLPQLSATMREMSMEMMKSGIMEEMMEETLDSVNDDEELEE EADAEVDKVLFELTDGKLGQAGKVGDALPVSDTCATSQK I203_01415 MWLIKAIGPVHGQSRFTFALQQGREYVVGREESCDIRYESKQVR PREGTLVVDHWDPTHPSTPPTLRWRVEPRKSGSFGTMKTLNLIDMSDIGSTERGDYDV TEIKDSQGCYLEREGVHGIELAEGMWFNAEWKDLVIQYDKFKYESDEVKESLRQYCIG WTQSFDTTSRPTIVLSATYRSNVECNYAVCFGIRILLPTYLHALISRLRSCWKKMADS QDSFNLPDQDAEVFQPDFDNALQASRKASKAWLPDKRRETLFKGWKIMGLRGRTQSAE KRYLIAMGADYQDMDVLTKPISTAQDFADRIAPWLSYVDIHGGREQAAVVWFAPVKVD LQKKGIDYGAIVPATCQKLGVYHSHGGILWSSVNFGQVREYLVSTASNLPQGASSAQK DRVTSSVPDTQFTVPPAPNQPKSSQALPTPASSQAGLSSRPEFIPSTFPDETERGIVR TARSPSLESRPGKLQRRTRQGTSPLPKARTPEPETPATVKKPLRRRAGKPVDFTTIPE SPPRSHDNTEDENSQASQPLFSQPNLLQDSLPAFSQVSAIPDTQRTQTQSMVPDSIMP SQSLAPGRTASRLQRRARGVQPSLIEEIADTSINIEQCIKDEEKAADIRQLYEDTKTG SFAPMSLSTKRPRVATRESGDSESSATARRREQGSAMEVDGESYGRGVRSKRAASEES IVPPPAQRRRARSPSEEEEQVEHRSIPPSKSLKSSVNSPTKSGKSKSQSSATTSGPNK DEAFLQAIKKSTKARSAIDELDKEFNQLRIPKPNGSSAVVRANEWNASVPDYALLNDF DDDLKGNFIQIVRKDLFRRDKNDIGNREVVRVDDGKPNFKKFKKKNIIRREPLQLALA GPTIQDAEMAYWPTQTINPSRGRGQATQVEDEDDDMPLLPRSRKRLLGTQVTQEDDEA PPSTSRSRQRSRVPDTQPSQTQTPRVTTTQRRTRASSVLSEAESVATNVSASTSTRTR STRNTMTGGRGTKKQPTVVEDLDEEIDEGLDWGTSTGTGTKARSGKNLTATQSKNSAT GTGTRTLEGDDPPSTSTGRRRTTATQAGKQASQFGRRRLLPADDDDDIAFKGLGKKRR LR I203_01417 MSDVKMDEAHLPSDLLVLAHKLLIAAQAAPATEIQKLIDAGAPT WYQDDSLGWSALHYAAERREPQILEMLLKGGAIWNSVDKWGRTAGEICISLGDEEGWE IIRNEGIRSEMLHHALAGPSSPHPDSSTNMKLRVEDNTSAGDNLTFLKSKLTWDIGKD GKERVLDADGNGVMMGWEEPLMVEHVKLMTYDHPNAQPGAEGMTIMNVGFGLGIVDRL FQSTKSPSHPKPLNHTIIEAHPQVLQYIKDKGVDKLPGVRILEGRWQDFLLDPERSGE VLECTPGGMGYDAIFVDTFAEGYEDLKAFFEVLPDVLEPENGIFSFWNGLGATNATIY AVSSSLAELHLEDVGLDTTWHDVLIPESLREEVWKGVKRRYWELPGYKLPIAKMKLM I203_01418 MTQQRSDTGRARKRARQSRGKGREATLDPVENPNKQVNKAISER TKRSWETRRAKSAREGIEEMVNAHGDGRDQADQNEAGTSYHSQSTIPLPTSEFLLSIH HHSSEFYTSNELLFHPSKKGRTVPWGSKKRLLILQDADPGLKNDSRSSGSTSTRSKSR SRSTWRTEEDEEQIEEPKEDELDDDNDENVNVKKELVDEYGEIIVQGDRQTNRSESAN GNWRKERSKGRYKKRDMYMAIEGEGLMALGILLQHHIIQAIHTAGYRKRDSKSVSEET GSSRSGTAPLHTSKGKGRKSKKRKDAPSVGEEEEEREMESEEGQESGPDSP I203_01419 MTTPSTPIPDIVTTLASPDSTKRVWNDSSLIPGGEPLNNVGEEE RSSNDVGRETIREDAPGPSSIYELSIHALAQRGDTPSISALLRENPSLDLSQRDEQDI TPLHWAAINAHMGTCRFLLDNGAEVDAVGGELKATPLQWAARNGHLYVVHLLLAHGAD PNILDSQGFNTLHLITHSSAVMPLLYMLHQPVAIDEKDSDGHTALMWAAYQGDAISVQ LLLRHGASVHLQDNAGMTPLHWAAVKGNKVSIKHLLEAGANLDIREESGKTPRDMAEE LKGLIPFEKGLEEAGYNSLGMKRYGKLSDRNTTLAIFVLPTIFLGLIFKTFDYFPAYM SFPLAIAEFMAMQLTVTHYLLRHIVSEYKVSSSNHFTSIIIASIIWVFYSWAARLVSG TPGHAFTNLVFFVSFAGCSYNLFRAIRNDPGFVPLPVNDSEVKEALEDLVDQGRLNGT NFCIECMARKPLRSKHCRTCGRCVAKFDHHCPWIWNCVGYKNHRSFLLFVLFLIAGIV TFNRLTIDYILEKSPEYNPPSEPSPGITICDLSETLCRASSYDSFLLSVALWSTLQLT WTIVLAVSHVWQVGRQMTTFEVSNLGRYGYMGGRGGSSLRDQSGALSKPSQAFSVGAA PFPGGASEEAQGLPSAGPDGTSSFPPPPPPSSDDIPGHAHGPGCKHGEAGHGHSHGIG AIYKGLGKVISGPLMNILGLDRFTKGKALGGMKKAGRDQNPFDMGFVQNCTDFWIPSR DIDYTQLYEVPSEGWRAYRRKIAMQKKLGGESGKGGYVAVNNAEDEV I203_01420 MKITIHSYHSVAYWKWDISSDEPHKLHNHLDLDDIENGYINPDD PATMEDDDEDDEVCGICQNAFEGCCPECKVPGDDCPLIWGECTHVFHMHCLLKWIDTE SSKQQCPMDRRPWVTADRKPDKLSTTSTGQPVAQVAPGPLPTEEEGGVVMLADLSGEA DMDESEQQEEGEGESMEVDGR I203_01421 MGHTVQLQWSFNPPAGRQISLADIILPRILESYSLHTPARSTIQ FRTYRSTFPAPPSSNSTIDTNATKATSRYLTTITTLPNPLPPGTNPNIPQDDNRKEED ITYLFLDDRSVAVPPPQPQIQTSVNGTNQSTNQTNGSTTTPQPTSQSQTQSQRIDTDK DTLDEDGFEIIDMPKDKSSTSNTNGDAHTTIAPGGNDASQMQSLPDRESQQKHKQPSR FKCLTVRPTSNVQPMLQSLLSPFVLGYTKSAKAAASTTSSTLPTPTPLPGTSLLLTVL TFNPYSDDGSSGFTLRLKVFILPNPNATSIFLQVEYNDTSDLDSNEIEDQNREQICKT FLAGCLIDGLNDHRKWVNIDRSIDEQDELQRNKQSIFTLTKSLRESGFI I203_01422 MDEETVQQQQNFSFPVLPQADLERVAQTILHLLSPSTSSNPELA KHLQRELQQIQSAQEAWGLIAGLAGHDDPNVRFFGAHTAQVKISRDWETLPEDLRPAC LPLLLGTLGNAINPANQHSYQPANGVVVRKLFGSLASLLLRLAFPHFLHPILTVIQTI HSAFASSTALPPSAPGSGYNTPGAGPSQPSFDGVPPQLRHRVRLLALEWCAICIEEIG RAGLAEQQRQPLRRHIESDLSVVVSTIADAMSGDPNLSPHERLKEAEAACKCAESWID WGLSPEELNILLPALYNLLPMPAASSALVEVLSESIFKYGKGTKVLTEPMLAWAIGPS GQALIASVDEEPSEELIGFTKLLAALVEHSSEWLVARIQQNDVQAFLGMILRLTGWQG LGNVEENLSELTLPIYPMIQEAIMDAPMFSAPHDRSPDWAVAKNFFRELVEVTRRKVR WPGEGDSEGNIGGLDKEDRENFESWRRDAGEVIVGAYYILRDEMLENLTRIAGQQVQN GAFWQDIEATLHCIRYSSEAVPLGEDKSLPILFEEQILGQLAHRPIRGKGEERLRLTV VCLIQSYEEWFKFHPSHLPPVLSYLVPSLTSSTTISRSAADALKALCDMCRKKLVEHI GAFAELHGKIGDLGPEEQSKVIQGITSVIQALAPAEAVGPVEGIISPILSRIAQALQQ HSVDPANAQPALVQSTAALTACFKGLSPSEDEMFDTTDEGDEAAREEAIALTREDPRI LELRRGIENAVEGLVGVVGRGEGDAEVADAISSLLKHATLSSSTLISLSPLPLLSLVC MACENSPSALWMSLASTLTLRVNAPVTSFARKKEKTEEVKKQEEDEQVGKWNVIGDVA SRLVVVAGRYLDGEGMKEHPDVVEGWFKFCSSLASRFPGVLLRLPPQIVEGYMSLGLM GLATQERFSLKSVSEYFVALLANTRYPSPLEPLSDPLLTHFGPSILRALLLCAGSEGP RSVIPNMAELLAALVGRIKGEDMSRWLDQILSQEGFPDPRATPASKKKLKEAVLKSRT ARRMREALHEFALVARGLDGTTYGNATAM I203_01423 MSSSFWSSSHCLHWLTTRPSLLISRQVDLQYCTPKQLYCLNIFF TQLIQKLGKRLLLRQIPIATACVFFKRFYLKNSICETNPYLVLAACVFVGAKVEETPV HIKSVVSEAKVVFNEYNIKMFPAESNKLGEMEFYLLEDLDFHLVIFHPYRALLHITGR EPADSGKFPMSRVEEDQMIKKKELEAKKKRDEESRKSNLSVPARPSPSPLPATNHGNG KDVDEIDEDEREAKRIRRLMGRGSTEGIGEVDEGVLQISWFILNDTYRTDVHLLYPPY IIAISAVYIAFCLTSMNNNNNNSNNSSSTTSSTRTRTSSSQVQPISTSINTNQQLGLG QPPNSAAEFLSGFQLNLNIFFACIQDIICLYSIWESFEPATIRQQTKHLANNHTNQSL GITGEEKKEKEKEKFGPEDAEALVRKMIESRMIDMGHPNNAGLNQTAKRSTNTNANIM DNASTTSSGIGKKRARK I203_01424 MSSANGHASSSTNPNAPARSESLLKAADALSYLDEYPRGDGLSL NELMDSRKNGGLTYNDFLMLPGHINFPANVVSLQSKVTKNIVLNTPFLSSPMDTVTED RMAIALALHGGLGIIHHNCSAEDQAAMVRRVKKFENGFITDPICLKPDSTVGDVLDIK ARYGFCGVPITETGKMNGKLVGIVTGRDVQFQDASVPVKSVMTTDLVTGSSGITLEQA NNLLRDSKKGKLPIVDSNGNIVSLVARSDLLKNQNYPLASKVPESKQLYCGAAIGTRP GDKDRLKLLVEAGLDVVVLDSSQGNSVFQIEFIQWIKSTYTKLDVIAGNVVTREQAAQ LIAAGADGLRIGMGSGSICITQEVMAVGRPQGTAVYAVSEFASRFGVPTIADGGIGNI GHIAKALSLGASAVMMGGLLAGTTESPGEYFYHEGKRVKVYRGMGSIEAMEHTQRGSV ASKNAILSGSADNAATARYFSETDSVKVAQGVSGDVADKGSINKFVPYLYTGLQHSLQ DAGVKSVTDLQKEARAGGVRFELRTASAQLEGGVHGLNSYTKRLFA I203_01425 MSTAQRLRDPTHPASLCKLSTHNPTLVHSLKNRVRPEFFAHVAE KTSEVIKIAPAEDADVNMLSPPATPTKEQYVDLNGKPVEWWQQPKGEEDDSNADLPEL SEFIRGLVVQSNVQMPTLSVTLVYLERLKEKLPTVATGMKCTRHRVFLAVLICAAKYL NDSSPKNMHWQKYGRFFSLAEVNLMEKQLLYLLDYNLRVEEPELINHLRDFWNPAPVA VAKALPVPAPSAEVRMPSPPLTPTNLRVSVNIPGPSKSTFVPPSAGPSTQAAAISSWS ARTAQALVRSRSDDVFQASPVSRRSSTYATTPSSSSTLAPSPAGYMYLDAPTPGLARR DSCDSTSSISTTPGEAWGSNYGSLSGAIVHSTSNGQLAVSKPGLPRKASYTAKPGSGS ILIVDTAQAQQTSPSSVTTSPTRDLFKKIRPPTSLRSIRKQIQL I203_01426 MQGTLLGNESETRPLLSPSAPAADYSTQPRSSSPNGSDISEDTV TKQHPTKRKITPLPKFQLFIACFVRVTEPIAFMACFPFINQMILELGIVDDPRRTGFY AGLIESIFAVAELLTVFQWGKASDHWGRKPVLLIGCAGAAISSVLFGFSTTFPMMILT RTINGLANGNVAVLKSVISELSDETNQSIAFSFFPLSMAIGTILASSIGGYFPHFAQR FPSVGDAFPIIQSHPYLLPSLVAALFPFSSGIVAFFFMKETLPPKKVKSTHHQEHEHD HDDEHDGPPVGLRDLMTPDINKLMASFGLLQLQGICFLGLLPLFCFTPITAGGLSFRE SQIGLAMSIRGMATILVQLIAFPFLQTRVGTVRLYKFLVVLFIPAFAILPVTNVFALK GQAWAVWTGLACSMGLYSIGNMAFACNLIMTNDAAPNRRSLGAINGLSQAVSSLMRAI GPGSASALFALSVDRQLLHGHLIWLVLGLLSITSASFAMLLKSDYRKKSI I203_01427 MPIPLARTRRLKPKLRTSRASSRSNGSKKPSRQIPNSKTVSFVN PFDNTSNPPSFVSPTPKTEYISPTTTPPSSPPVLPSGVGKLQVNIPPKADYLSPSTRI SISCASSDQTHKSLSDVYVPLVIPKPRRLSKEELSSPLLTGRYVLSTIKTQDGMSIVR RSFDVGRSTSVDKGHEMSEKVRLDIRMVEGK I203_01428 MRFTRSAITALFAAILANAAPAPVPAGGVGVRPNDTAPEYHTMT DFDFQSLNLALNQEWIELDLFNYGVRTFSVEDFAAAGINEEEISLIQFMANQEVGHAT LLTNIVSAYGRTPAKQCTYAYDFYTVRDFVNFCQRLTRWGESGVYGFLPHLDSRPSAQ LLLQSITTEARQQMAFRQLAGAFPMPVYFETGISQAMAWSLLQHYIVSCPAENPRIEW PIFPNLNVTNDANLLVDGYNAAISHNRTSLTEPGRRVEFTWDAPKGNVSYDGLYNTTV GGNVTDPTKPKYVAWINQLNATYTEFNQTGNYTGYTFQPGGVVFNNTDDGIVNGTSFI ALTDSNPYVTPYNLSLLNDVIIAWGLYQAN I203_01429 MYAGAHQRSSSNPRSYVAPDASSLSGRRDDPAAQGMYGNDGGYS TYQHAGYGGVSNVGGYGGYNSGMNLGGYAGASSSPSAPSGSRRTSGPKLSTPPQVSAS AADPSPSSRYYPTAQGQQTTDASGHHQSPYQPSSAPPQVLSHHHSSMSGQPQTAHPYA NQYQHYYDQQGQHQQSSNQWANYPSTASHSYASAAPRSNAGQSNTTPTLPQSATLGDN HHRSSAAAGSYEYPSTTSSYQSWPTHEQPRSTSGQQQQAWQQQPTSSRQPQTQTTSSS SLQQGAWQGYGGQAPMSQTMPPHHMASGQYGWQPQWNGQQYVYPPAPAHTQNTHAQHS AQPLPGAGPASATAPLYPTGKKPKKEKKEKPPKPEKPEKAPKLPKPPKRSKEDLAADY QGLGKRAIESSTEEEVEGKKDGRKKKGKKDGEKEKEKLPRAHPKSHLHPPRQAQSAWQ LFFTDELNKAKAAASHGNSPGGTPHHVKLNVAQIAKDAGVAYASLGDEQKKHYAQKVQ ESKEQYLKELAAWQATLTPEDIKAENAFRAQQRKEGKSRKGNLKDPNAPKKPLSAYFL FLKGIRENDDIRAKVWGEESETTKQSVLAAEKWRSLTDDEKKPYLQQAEHDKQEYEAA RKIYEKEAAARARGEDVPLRPPVIPESSTHPAPAPMSLLKDDTKPYQSGEHSSSDAVK PSSPAGDHPPSSEPNFAGFENPDNNESNENTPAQNASGDFEMDEFKGFTDPLQDMDLT GLEGITAGGDGNEPQWDELQKLMGTTDDGYDNSSEEKPKNETLEKPNISIAPTVAEAQ AASIENRQFAGIKSEAEVQNLATQAEGVSVVPQTLPQVNQEGSGVPTEEVNELPPAGV PVADDVALPTEVPTTTSEVQPAAEGFSAGPMVDGV I203_01430 MPFTQSDIAPIGTIEDQTPNDDQQSRMVDRPMTIVSSSATLCGL ADNDNDGDTSTDKAKSTDVEGPTSIPEHPNSDSPHLQQPVTGRKRSSTLTQTHRHNTG SGRHRSHTVTHTPNWKFSLRRSNTIDDGPAPPIPAPGDVIAVLDPASVGGGGPLKRIE TARSERYEREAQERAEREAMGLPPDGTGILKKFRSFSTGSARSRRRPTFSTPLPSHPF DDEEHEHEHEHEEDNHHTRYKLRKHKPTLSPTHSRKSSVVVTPGGRSDEGKEGYFGTS SSSDHTPSGDEKDLERQSRFREYEEHVYPDRGYGWVVLVSCVILAGCTMGWNMNYGVF QEYYSTNIFPGQSTAVLILPGCFNGFFMSSSAFLSGRMGDRYGFKRVLYSSAGLFWLG LFLASWSTKLWQLALTQGVISGFGQGLALPLFMSLPSQWFYKKRGLASGIAIGGAGLG GGTITLVARQLLTTVGYKKTLLILSFVELCFMLLAINFLRTRPTSPEARSGKTAPWVD KDVVKTGAFWSIMMGTIVGTVGYGMPFSFLAQYVRVNYTITDPILLALPTTLLAYMVC VGRALVGFVADRIGPLNTYILVFFLWGVIQLCLWLTAKLFGATLAFAVMFGLIAPGFS GILPQIIVQLFGPANLATNVGLILISQAPGNFINGPIGGGLYDATGRTTFKYTIIFGG AMQILGGILACYARYKTSRKIIVKV I203_01431 MPFITRFIASQLKSLPPLPHVDLGGKVYIITGANSGIGLEIAKH LVQRRASKIILAVRDIAKGEVAKVEILKHAKQDRHTEVELWKVDMASFESVTDFPKRC ESLERLDAVALNAGVIMKEFERSIDEHEMSIQVNVLSPVYLALCLAPIIKRSSNFTGQ KGKIIFTGSETTEVAKTKSLSPSEPLATLDDEKNFMASERYYQSKLILQSLIKPLIVQ FPNLLITNVGPGFVNSPLYRDGGAATVAARRIGRTPEQGARNVSFALLTLDKSTDWYV DCGVAKLHQPWLDSANGKLFSQNVWKEALNEFRRLSSDL I203_01432 MQNFPGQLPHQGYPPQSPHIPYNAVPPAPPPIRSVPPPTITPGP YAPTPSIIPGPFILLILLPAIPLLLFSLGARPPDTSHLPFTTSDIFTTGAFVTVTMTI VICLGVYPEAGGAVWAWIREGANGEWDMRYWNEVKSWTGMATTNVMQQSSTYGGGSES DQVQVRSQVQWRWKYVFDERYRRWTKVKVRVPVPIEQVVRSSNSHIRPNPKGFQALST KHLSALRRTFPDWYSEYKPKLIPSLLVISFIVFLMILLVGQILGSAYDTESSGEKRES SSSGSGGSGGEKMSSWVEREIRKRKEEKSNPEKTLKRVKEEGKKELEGCKKKGKEELK KFQKKREAEEELLKKELKKRGLPLVGLEDKKKDQDEKKPKRKKSKDDDQEDDGEGKKE ENKSKSDRMKKLSLVLLGEKGSTKKNAMKEDEKAGPGWEIADNALAQNTLAQSQMNAQ QMAEKLKAGG I203_01433 MDDLVDLNWSDPSKPVSKTTTPQPTASSSSSFDFLSLSKPLSAG SGGNTPNYYSSTPIRSSTPSQPLNTRLNGTSNTNDKPISRSGTPLQNSTPQLGAGAGT GGSDAFSSLLSMPSSSSSADNKNMSMAERQKALEDEKKRKDEEDRKRFEAEGHFWDNL GSSSSSTIKPPAKTVVQQKDDFDDFLKPTPAPTSNARAKSPAQPTSSNDILSPTSSSG PSKSSSAAGTFWDNHHDSDDLLSGSASKPMQAQNSTSPAPPIDPFDFDALSASITQSS SQPQSNGNGHALGDDEFDILGDLGKPVSAKRPKIEPGPSRPSKPHSSSSRSSSPPPHI VGQIVEMGFSPIQARQALAKTSTGLDVQAALEILLGGQTPQSSSRSDDRDQDNFGEDD EDFVERERQRREEEERERRRRRRQGPSRDSVKARSAEEREQEKEASAQEQAEKYLAQA SEIGTNMFNKATSFWNSSKEKAMKVYEEQRKVMEANAAAAGSGGEGRKPIKDGRPKWM TEAHDEEWNGQPSKEEKSGFRDDDHDENEGFQSRIRSKGNQPQSNGAGPSRNPVSAAA IPSSGPSSGYKSSKERADLLFADEAPRYKSPARHPKKPSTPAPAPVPAKPLPSRTLVS ATSSQLEKSANYKAKGNEHFKLGRFAEAESSYTSAISQLPEGHLFLVPLHNNRAAARL KLGDSSPAVEDCSLVIELIGPNYHPSKEGPLPPDAAKEVKLFDGLVKALSKRAQAWEM GEKWKNALEDWEKLICMDLSILGAGASSTKNLAAEGARRSRKMLEGDGDGSAKPKSAG RISLTGVKPRPRPTTAMQGVATARPADVDKSAAVSELRAAAKALEAEDDQRLALKDSI EAKLNNWKNGKETNLRALIASLDLVLWDEIMKTSGMKVGMHELISEKQVKIKYMKVIA RLHPDKLNSQNTTVEQRMLANGAFGTLNDA I203_01434 MTIEPVASTSSFPQQIEQDEEVFPKYTINDNNVPNHPKLGGYDF YRSIGSPKYVVAPMVDQSELAWRLLSKAPLPPSIAGPSTTITTSTGRKLIRYPGGTHV SYTPMIHAKVFIDAKGEGNKRGDGQFNLTYEEEGGEGTIAVIEGGDRPVIAQFCANDP EVLLAAAQKIEHRVDAVDINFGCPQGIAKRGHYGSFLQDEWDLVYKLINTLHINLKVP VTAKFRIFPSLSKTLAYAKMMESAGAQILTCHGRTRDMKGQFTGLADWQMIKKVKEHV NIPVFANGNILYYEDVERCLEVTGCDGVMSAEGNLSNPAIWLAPSHPHFHPSITMLAH RYLDIVEALQSHTSRSAIKSHMFRLLKPVLDTNEELRVKIAQCPVGEGMDKFRELLKE IEKILEPAIQEAGPSFHPPPIDPSTGYRSLPIFCAQPQIRAKPVSTEIGGTEEFVTRP SSPSAESPGNSVAPASSIQGTILFSRSARHDVKNTDRCINEECTGVAALRCPTRACIT HCRIVKAVESGYTEQEATKEALSGGLVGMGCEAHEEKERARKERMDRKRKNKSEAKQR AKQRKIENEQKPKKGANGGEAADGDS I203_01435 MGITSFFSFTQGMATFTQVLLALPMTLDLLGPPSFLLLSLLFTL HHFLYSTLRLAFKNTPLAPIISLLAFLSPFISSFLVLLTLYWYLNPPSSTSTTILPPI QHYLVNVLPFVYAQILRWVSPMFTLLEGISTLLVVQVVGRVGKGWADEEENNDENSIE WRSLFGLILAALVYCAGLAGIIKAFPLSPENSFPAFLLGCALTSVLFLSLIGFTLRRT NVLETSLVFVYVVYSAWLSGVEGAMEPRTYGSGWLPSPTSSLRQPSSHISKSLDSISA LFNYAIHSTFPSFISVSRTLPPHLLLSLIYRVAVLHMAARIVPVIRKSSLGWDDGLSI DGNGVGEQKEGFWDGRSLGQEPPNMRITTVVLSYRRAILIAVYTHLLLLDGGSQTWWR WINIALFLSVWSLELLLDAEGDDNESVTRWKVD I203_01436 MSSRFLPRSTFVRPPRLSSRTIRPIPLPLTHGPRPYSTSTSSSP TPPRIRTTAFLSIAVLTSAYLLYQYEISTSPASGSSEGGKGKSLNEQYGSKFDIRIRT SRNGVQSYEFIRKSEEEVEKILTEHESGNNQVGRKGNPVIRWDTNWVGSNEPCEDRFA SNLIPRRYSSNSAFHKSSTDITEAQGEGEGEKDLMLFSIIDGHAGDATSKLLEKTLHP TLSVALAGLQAGYVPNDPQGKSWYDKLNPISWLYSYTKTGSWNPENVTRTLQHAYTEL DDHICQSPIKLLQTLKQPSNPSDYPTPRQTLVALAQPAASGACAITTFVDSENQDLYV ALAGDCRAVAGWQTKDGKWRCDTLTEDQMGENPKEVERMQKEHPASERDTVIRGGRVQ GGLQPTRAFGDAVYKWTTAQGNAIADAFREEGDKPRGVRPWNYTPPYVTARPEVTYRK LKNEDGDQLKFVIMATDGLWDRLTSEESVLLIASYLDHSKHSDISKTELPNLYPLLPS KGERPYPVQDLPQPKDGSWAYEGDTNAATHLIRNSLAGANRKNRAELLSLNGKVSRWM RDDVTCTVVFFGDDSEKKE I203_01437 MPDNDSRNQPSTNPQSSNGSASSSQTTTPGSGIFSSLINSLVKV ADPKNGIPGDPDGTMIAEYYSRGHENDRSTK I203_01438 MNVFADEATEERGENARLSSFVGAMALGDLVKSTLGPKGMNKIL QSASTSQITVTNDGATILKSIHLDNPAAKILVNISKVQDDEVGDGTTSVCVLASELLR EAEKLVTIQKIHPQTVVEGFRIASKASLEALEQSAENNSNDETKFRNDLFNIARTTLS SKVLSQDKDYFANLAVDAVLRLKGSTDLEHIQIIKKPGGKLTDSYLDEGFILDKTIAT NSPKRIENAKILIANTSMDTDKIKIFGARVRVDGTGKLAELERAEKEKMKAKVQAIAA HGVTCFVNRQLIYNYPESLLAESGIMSIEHADFEGVERLALVTGGEIASTFDAPDKVK IGRCDLIEEIMIGEDKLIKFSGVAAGQACTVVLRGATSQMVEEAERSLHDALSVLSQT VKETRVTLGGGCAEMLMSCKVEEAARTVKGKKALAVEGFARALRQMPTILADNGGYDS SDLVTKLRAAHYEGRSDAGLDMEKGEIGSMKELGVTESYKLKKQVVVSASEAAEMILR VDNILRSTPRRREAH I203_01439 MTAKSRYPDLGRSSAMFTQSSLPTNEELPFLPPLPDTPQHAYKS YLSQDYVLNAKTPLTRQLWEERSNSPVETSAGGMKRTESSRKWDVEVDYPVLTTRQSV FNTPLPINRNPSGDYFKPASRTPSPPKAKDQSPTPEIIDKQFIQYDQVPTPHLQMNSE IPNTEKLAGDQSVPFFKAIDSMKPTRSPAKAADCPQQQPRISASFNLPTYIAEASLLA EESLMGGGASSADGDSFHLGIDKLRPKRLSSEEREEFGDSASLSDTPTKPLSTKSTFL DPLQHQNPLDQSTLLPRSPAKTSHLLEVKNAISNVEPPWSDDSQLYGSSISSRENSIS PEKYSSPYRTLSSLPHSAGMRGFPTSSSSHLVVAPLPKTKRTFPASSSGQSLSSVCED EYHFEGEVSTLLPVSPMKTAHLLTDAEMISKEKLEEGQDARFRLPLPTRATPYKPSLM GKTPRKSPPAKMSPIKTIVTAHKYHPTQRDVRDEQGDITLDVKDLLAKMNKPKRASGT EESFVDLLHDEFMPDGLDASMIGPDESMLPPSLRPRGHRGNSTSPIKTSSYASPIRPT RTPAAALPVTLRTIEVAVAETSSPIKQFPSSQTTHNLARQAEEPSGEPKQQTISRSKS LSRVAEIIERVKSERAATQHTQPPKAGNDHEKEEVLAASPPKTAIRTRTYTSVRTPAT STARPRNSMMPPPATSKKISLSAGALPMPGSASHKSVDLPPARTAPRVSTIASKRPTT TTTTTTTRPTATTTSARLATTGTAKAPVSSQTSMAPPTSRVDPRVRPGSISSTVSSSA MIPSLPTSRTTRPSTTVALPSTGSTTTTISSRVARPSTVSRLNGLPKSADGPTARSAP APVPRSTRVSRPFGTDATSATNRTLNLPSRASKMSTGPSSSTARSAEGMKSRAMSTPA SATVSKATNTDRPPLPTSRITRPSTIVTTAAREGMKKSSLPPPLTGTSAGVQRSRIGS TTTNTATGGLPRPSTKPTSTAGKGSAPPTSTGTSAGAGAGTGLSALRERLDRLHARQV R I203_01440 MVIPNTISREQAYISSLASQPKDIHPDRIYDKLEIIGKGAYGAV YKGQHKPTGHIVALKIINLDTEDDDVGDIQKEIALLQQLMLGGGGGGGGNAGSSTTTP VPNVVKYYGSLMEGPKVWIIMELAEGGSIRTLSRAQPLKELHICLIMREVLQALAALH KNGVIHRDLKAANVLLTTSPPRILLCDFGVAALLQSSTSKRSTFVGTPYWMAPEVVTE GRLYDSKADIWSLGITLLEMAYGEPPMSGQPAARAIMMLSDRKMRAPKLEGDNWSKDM RDFVLACLNEEPSDRSSAEELQKQKWIKNNQKSPLTALNELIGKYQAWKQSGGQRQSL APGVGASVDDDEDEEGMNDVSRDDWAFDTVRSRMSLMVDQKAQEGDIGLSPPTARPAP QSLRRLFHDESASEPDPFQSFAMQQPSTPQSSEDGGSSSIEQVGRFPTPEPEETIHLP PDSPDSESTKGTVRQRFIRNLNSHGSDQLSLDIPTHPSSDSQAPTPIAKTNSNEPLLK PKMSTDTLSATARSQPEDSNRPKPAMKRPGGGGGSMGDGLRGFQFPLVSKGPVPPGGA GSSGPSALPGRAQAPPLSRMHSAAPTIPISSASGESPNKSGITGLPGGLPPRPQMMRQ ASVAVMEGRAMLNNQAHQQALALAQQNDPVGGGSAPLLSPNKVLNIPGTGGQGTGIGL GRPIGPGMTNNPVGGGIGMMRSRSGSRVDEGHAVGLRDLLKLSANVPDLPDLLPPSPS TITTIPNKFQFTPSPLSNPQSQSQSQGINPIPMQINLSNHTISASSPTSPVGGQLQSQ ISPMPTTTPNSTTTYQPASATGPPIKPLRLDLDSDQVFQELMANVDDLGGWLDIVNKG LTDVLKPLEMVNIDS I203_01441 MPPPPLVFHNSPPPERYLSEDSQFIPAYVPGVRRLTQPIPIDPA VPPTTEPHSYSLGSQGSIMSDISDSLRPSPPPLTVTSDLPTPYTLRTPAGTTASNATI RPIITSPVSPSVDANMEDGDSGLVRVKSFPHTGDLLESSNEASSSRRATPPRVETEDV VMDDAEDNVEEGPVLSPRSETGSQIEAEGTVRLGSSAPRGSLTRLLRDIGNPSASANN SSQAPAYLQPLLQDRARVLGSRWSQTPQSTSTESSDGAGSHRAPFTRSLSDRSEGQSE ISQYDFNELGPLPNSGHSVNDFRSFQYDPPHQPSTYAQPVSYSPRSSGGFMPGHWGEA YTSHAAPPPLNGEQQNDTEASRIAPPRLRRPASPTDFRTLPSPITHTQSGSPSSVESR LDTIEARLDRVRGRNQRSPPTPPMLAPMHAYEGLRNRSNASRPYDLASRSRPSSQIAE EGSRRSSYIRPSSVRPSWMTDLSSSTSATVPPPRPRPTASFGWESADINTSPYSRSFS NSDRPSPTLFRRPADEDENGRMTPVFRDDQGVRRENIRSRYLQARMNMLDNVRTGYTI RPYSSSPWTILPGQDRSDTTSRTQEDDNHAQHGSNPSVYNLPTFLGETPSSTRQIWNQ YDEEDSDGGVPFFADIPHPSADIPHPGQSRPGNRRWMSFDEGERERSASRTRQGDHAA NDNNGTGNHTDEQNSLNRDVNEVIGRRLSQPRARARATSITRRLRDPQGHHPNWEDTR LPDTSSSSASTPAPSRDRPIARSRIGNLFGELDDNSRGQPDNASRADVRDLRDPLYAL FNQRERRIATNEFTAPDASGRVRESARFGSAAGEAELLFLERLRSSIPFQGDEGDIVR IGRNGPESLSMGLLEGIMDIGSPDSPFAMYQPLRRFGNGAEPFGNLKITNEMDEKEKM KIVRIVIRSVRTLPHSLRKKGAESTLKTIKYEEFGKEENQACVKGMEKDEYCSVCHDD YEAQSEITITPCKHMYHQGCLDTWLNTPNTSSCPMCRRDLAALSHLAKMVPTKTMEEA LPLWMAVVS I203_01442 MTNTTPTDEELQSAAQSLREHNPSLGITKLLSQLKIDHPEWAVS EKRFKKFITPSTSSTTGTNNKSQSSKGNSSADDGLIAKTGIDPLLDISSIAPKIKVKM FNVQGKGKGLVAKEKLQVGELVWQEEPWIATNDSDLTPYLSSREMCSQCLTLFPQPNP PLSVPCKHCAEAHFCNRRCYSKAVDQGTHNDYLCPGQNRECKALLSFINQKKARDIQG VARIIAQWRRYRDLGDKKGAEEVEKRVWGSMARVSQRVKEVERREWPYIAKDRLEEWR LTHLLILRALNPSLEEDPESYKSFQKFLSARKKNIPSLSKEEEERWFSFDSFLELLGL IGLNQESSGGLYALHSHLNHNCEPNLQVRNLPKNWTPPTTLPAELPPPMTAATRGTNK ISIIVKKTIHPGDELTISYVDQRLSRVERRVKLREQYGFWCGCNRCTREKKEEELADK KG I203_01443 MSFFKRNDPNAPAARRAGAGGPGGGPAGNSPYERLPADNGSYSS LPHRQSPAPHAPQQRDPYGQSQGQPQGYGYDSPQRGGGQQQQPPLPPRNLPSSQQGPG GYEGYYQEKAEYRPTQPQQPQVQQRGYPQQSSGGGGRGTYNIAPCPSDPLALTNRLVV NPNDFPQDVEFVLMRNKFIFSIVRDPTHTLPPRHVGPSKIIRQWVGLSAVGETVDMEP YHPGNGDWASTVELEVGFRLKRKETSDLFDSEEMAAAFINAFPSLPLSPLQPLVFDYR GHELKANVRAVSTLDGNDGVTGIIMEGTEVIWVKDPASGIKLKNSSKRGPTNAILAPN FKFEDMGIGGLDTEFAAIFRRAFASRIFPPGLVDKLGIQHVKGILLFGPPGTGKTLMA RQIGKMLNAREPKVVNGPEILNKFVGQSEENIRKLFADAEKEQKEKGDESGLHIIIFD ELDAICKQRGSTNSGTGVGDSVVNQLLSKMDGVDQLNNVLIIGMTNRMDMIDEALLRP GRLEVHIEISLPDEAGRFQILNIHTSKMRNNGVMADDVDLAELAALTKNFSGAELGGL TKSATSFAFNRHVKVGTVASFEEVENIKISRADFMHALDEVQAAFGVSEEELQQVVQN GIIHYSQRVNDVLNDGSLLVEQVRKSDRTPLVSALLHGPSGAGKTALAATIAMASDFP FIKLISPESMVGFSEPQKIAQLHKIFSDSYKSPLSVIVVDNIERLLDWNPIGPRFANG VLQALVVLFGKRPPKGRRLLILATTSNRSILSDMDVLSAFDTDIPIAPITTLEGIDHC LREVKLFSSSKDHQRLLSILQESHVNDLSIGVKKLLTLAEMARQDPDPINKLASSLIR EVA I203_01444 MSSSTNRDVPLLTRPQIAQRICEGQQLIILHSEVINVSSWSPHH PGGALALLHFVGRDASDEIEAYHCDATLERMKKYVVGRVQVDEKGWKPLTPPIALGLV RHPNGVKNNWVKEGMVTLGQSILQQQVNIPITLNDDKAPIPTITASVDPSDIQLKEEN VEIYRLIVEQLEPPNSELDREIEYKRSMAFQELKKRVRDAGLFKPSGWLYGYETEIIR YMALAMGAFGLFYYTNGWLGQMTSALCLGLLFQQLAFVVHDAGHKGITTDYFWDRMIG MTVASWIGGLSVGWWCDNHNIHHLVTNHPEHDPDIQHIPFFAISKDFFGSLWSTYYKR VMALDGFSKVMISVQHKLYYIVLSLARFNLYANSYIYLLGPKPKRDNYWRYEIAGIAW YWFYYLSMLKSLPSWKMRLGYLLVSHIAASPVHVQIVLSHFACSTEDLGPTESFPSRQ LRTTMDVICSPNIEFIHGGLHLQVTHHLFPRLPRHNLRAASLLVKQYCDEQDIVYKEY GWLNGNQQVLTVLKDVANQLELLKKVADGEIQERMMK I203_01445 MPDIKLRNQVRLPIAMTNEPFDLAFHPNESVIFSSLLTGEVKAW RYDDENGSTSSSWSVRPSKRTARALAVEESGKHIWMGGKSGTLFQLTTEMGTIVREQE KAHDVPINRVFCVNENLIASGDDDGIIKLWDPRKQDVIREYNQHFDYISDFTYFEDKR QLVSTSGDGHLSVIDIRSNKAQPLTVSADQEDELLSIVQIKGGQKAIVGSGLGILSIW NRKLGWGDSVDRIPGHPASIDAIVALTPDIIATGSEDGMIRVLQVLPHKFLGVIASHE EYPIERIKLDRNSRWLGSVSHDECLKLTDVSDLFEDSDGEGEDEEEDEDEETEQDEDD DGKQEEQEGGEEADSDSDEEMEVEQEKPKKKKKKGKGGLGDLGRGGAEKENADFFADL I203_01446 MSSLLKNIPSITHLSQKSILEITGPDSTKFLKGLSCKDVDNLGG GYSGFLNASGRVLHTSFIFPTVKKAIMKNGNVKEEKSYLITHESPIVHPAKLEEFLPP FKLRAKVRIRDVSDQWDLYSAYASTSSLEKGKGPERNWKFGSGGAAESQWSWKDGVQD MELKENEVGCWDLRAGWGQDGLGRQVLVPKGDKPSLASSHDTAPIDEYHFRRMILGVP EGPNEIIPGQALPLESCMDLHGGVDFRKGCYLGQELTVRTYHTGATRKRILPIRLIPL TTNTNPNTSLSDYLSTATPTQIPIGQTDEQLEITYTPSSSASSKKPRSAGKILSLHPS SMGVGLGLVRIEFAERSCWSNINLNQSIKNWYENDENHRLTTKIGDQTYGVYVDKGEA YGEALKAMGRQAGEL I203_01447 MAETATYDPHHPLSHPKAAFTNHIGQPAAEGSRDARIREREAQQ QSNTWQQPSNNYVGPNGSEDIQMDDHFEAVKLNGSASPRINPFHLLSHPNSTSPLPPL PPHLQALTTELHPDESQQQYFQSINPDNSSISAPVHITKVTPTTVEVTSHTPEGTHKR VIKKISSVFKRESNDTISPPGGRSPVMQGPPPSPPISENGDSVFASETTDTLDHLDQH QPNQDEILLSPVDEVPDNNNGVLPPTDSPSIANTFTTEPIDLGGSPTSLAPPVLSATR RVSSGSATSSRAGSLTIVRSGRLAPQRDQPETSTSASASASTSTSNGNIGLGLSKNLP DLPTDQERRGSDGSILSAPKPTRRSTNPSPVVPSSPRSPLPHSHSHFSPSLLPQNSMT PIPGLEGAALASDILAQTELLRQKRMEKRQKKASAQSQNQTQGGTTQVEGDVAQENEA QQSPPQQVSQQPSSRAEERPKDPETKVLVGNLIGEDHVNYVLMYNMLTGIRIGVSRCQ AKIKRPLTDEDYVARHKFSFDIVGNELTPSAKYDFKFKDYAPWIFRDLRDEHFHLDPA DYLLSLTAKYILSELGSPGKSGSFFYFSRDYRFIIKTISHAEHKFLRSILKDYHQHIK TNPHTLLSRFYGLHRVKLPRGRKIHFVIMNNLFPPHRDIHETYDLKGSAFGREYPEDK ARQNPKAVLKDKNWVNRGRTLELGPEKRALLSEQLRRDMEFLKRVKVMDYSLLVGIHN MERGNRDNLRENQLQMFHPEVPPPRRKPSAIKQSNEASNVRKAVRRSDPKMLDVTSQL PSSDSADRRHFLFYQDEGGLRATDEANQNMDVIYYLGIIDICTPYNSLKKIEHFWKSM TEDRHTISCIEPVTYGQRFLNFLSSVMRGGDKSLRPLGLEAPSRAEEEEQPVGEVTQN QTHVPNQSESQAINASPVDVEKQHHPRVRSESQLSQVSLNSNVHKSQPELPQSNAQQP QLNGGASGDRAPECEKEANDIDDERGINPIARDVEKNGVEFVVSS I203_01448 MTDSTTSRQRPGSTPAAPKVSNPYTHSRFGTLFSRQLPNYTGIY PVGVLDVEFPIEPQTIGSFRHKKLHTAGQAGIEIDTVLFSLFYPCDLESGSKETKGTV WFPRASPTVNGFLKMAGIENSLIRALAYAGALSAVHGLKFPSHQRAPILPHPSNDKWP LIIFSHGVGCSRLMYTHICGELASRGCIVAAVEHRDGTGPSAKITSEDGKERDVDFLR WTDIDWPDRPEDQQPKDDNTLRHDQLKIRMVEMESAIEIIRKITEGSLGDSKCHLLAS RTVDWNKWKGQVEVGDGKVCLAGHSFGGSAVIAAAANPRFKPHSIIALDPAIERLEPW NSTIPCPMLSVNSEEFVLSEDYQRLIRVSRTVQNDNRHVFAIAGTTHPAFSDVFLITP GFVGSMTGLSVPPYSVFPTTVQAIEAFLDSNAEAGNFKLDSLGSPVEVMLSKPIGEPG ELLRQILAA I203_01449 MSNIPILGPPIDATHHATQGNKLSEEENENNVNNEDANAEQSEP HVSPKQSTPASEITENDNATLTTTGSQRRRSDQSKDIAVDERDRDGERDSESTVSVPE DAKTGSNGNAKRLDSSSSNEDDERNHGDSQRREVAEDGDDGDEWSYGSQLKADQKLLE SRGLAAHHSLALAYDHLSVRGQGGADDVTYAPTVGGIIAPWTNRRYKKKAAKLAKARV EAEEKGGSDDAGAGESGRGEDMRWKEGDPIPKKGEEGLRKGERYLLKDFNGLVKPGEM MLVVGRPGSGCTTFLKALAGLHNGYAGIDGTIYYGDMSGDKALNPYKADVIFNSEEDI HDPNLLVGRTLDFALRMNTSSPHARLPEEDGGQPMSSKMYQDKTKGELLKIFGLEHTH DTKVGDQYVRGVSGGEKKRVSIAEVLTTKASVQLWDNATRGLDADTALKFNKVIRTLT DIERNTSVVSLYQAGNGIYNLFDKVTVIAEGRVIYYGPREEARKYFEDLGFVHPDGGN TADFLTSVTATNERVIKEGHNGKAPTSPAEFSKMYEKSDIAKKMRQELDEHLKNDQKS QETRDTQDALQKEKHRLAPKKRSEKVDYFTQVRAALIRDYQMRWGDQWTLWARQATTL IQALLVGSLFYSVSDTTGGLFLRGGAIFLTLLYPSLISLSETTAAFSGRAVLAKHKAF SLYRPSAVLVAQTIGDLPIFLGQLIIFTLIIYFMVGLKVDPGLYFIFLLFTYITTLCT TAFFRFIGYSFGTFNNASKVSGLMFSILVTYAGYIIYTPSMKPWFSWIRWIDPVYYSF EALMSNELAGEQLQCIQPQLVPYGQNYMGTPAGCAIAGAQPGSTTLDGQAWLNTALDM YKSHVWRNFGIVVALWIFFLGLAMITIERLPAAGSNKAILLYKRGGGGKFIRASNQNG NAPRDEEQGNSEMQTNEKPGKKGDKDKPEGVADEGMTFGNSTFTWKNLTYKVHNHGKD LTLLDDVFGYCKAGTLTALMGSSGAGKTTLMDVLAARKTEGEIHGEVLMNGQALPVSF QRTTGYCEQVDVHLPQATVREALEFSALLRQPRSLSDKEKLAYVDVIIDLLELHDIED AMIGTPGAGLGVEQRKRLTIGVELVSKPSLLFLDEPTSGLDGQSSFLIVSFLRKLAAA GQAVLCTIHQPSASLFAQFDLLLLLKAGGKMVYFGEVDNLSDYFSKHDIKIPKEVNPA ERMIDIVSGDLSKGKDWAKIWSESEECKARMKDLEDLKKDTSGKREHPEEDDKYEYAS TTGTQLKLVTKRASIQLWRDTEYVTNKVALHIGSALFNGFSFWMIGNKYADLQNRIFT IFQFIFVAPGVIAQTQPKFIANRDIFEAREKKAKLYSWQAFCFGEIVAEIPYLLVCAL LYFAPWYPVVGFSFKPSVAGPVYLQMTLYEFLYTGIGQFVAAYAPNAVFAALVNPLLI GVLVSFCGVLVPYPQITAFWRYWIYYLNPFNYLIGGLVSRIMWDVEVQCAEQEFGLFD PPSGQTCSAYMQDFLSQNPGYIDNPDSTSQCRYCPYSKGNEYLQSLNLGDKVDGWRDI AITALFVLSSYGFVFLLLKLRSKASKKAS I203_01450 MIVYSPSIQHPGRHRNPSGSNLTLPTSSTFRRLPTLARSPFRRS EKTSTPPPTVDITPPNNGTTETVNHHRGSTFPSLSQVVVDDSSVSSAFFASTSTTENK ESNISESGLLPPSRTSSLLNTPDSSQSSLQPPVSPFTSQYQSPSNLGASPMINNRTPT PPGNESDLEPITYPAHMAQMRPDSQPVASASGSTFNSSRTIPRTRDLTPTASPSTTTS QSQPQPPELGPIHRTTNGPPVGVPRRKESIPGNSLEDVTGSGSGSGFGNPIDRLRDGE TDSGTEDTVTTRKRSNTKPSLPSASTSKSRDRDRDRSLTVPAPASSSADGSTIKEKDK DKIRKVSSKTSRQPISSSRILEPSLPNSKNVGKAPASSMYYSPVPFHGRPPNQALRAH SGTLVGERIWIIGGVDKSSCWRGVAWFDTESLLWSTIETRGEQFPPLRAHTTTLVGDK LFIFGGGDGPSYSNEVWILDTVTHRFSRPTIGTPKTPIPPPRRAHTTVLYKNYLIVFG GGNGQAALNDVWALDITDSNNLSWQEWKTKGDIPQKKGYHTANLVGDKMIVFGGSDGH ASFADIHILNLQTRVWTLISTDVKHNRLSHTSTQVGSYLFIIGGHNGQTYAQDVLLFN LVTLQWETKSPKGVIPPGRGYHVALLHDARIFISGGYNGESVFDDLWTLDLSAGAYLP QVTTFEVDETAEQVRRMLESNNI I203_01451 MVNETDPTTTSNYFQVAVEDDQQAIEKQGESSTSISASTNGDTA ALDKAAKAEIRRQRIEAAVQRSKSEYKSEHAYTERGWFNDGENQRNVLSKDKVDKQHL EYITTSLYYASPPDYTEALRLIMKNFDPNPTGSKPKPMGGLNRELLDTALRCSLAISD AESAVKLADSTKNMWKGQFAGISALASDAYLLAGRPIDALTPLFVSASSFGLHQPLLT RLSSILESHVSVSSSSSAEKQTSTYENIHRLIGILDKVIIWKSVHLQKPLFQYQTAGK EPNTNTNTNSTIDMSFSDQPPNSSGILEELQINEHDTQHQDLISGVKGTVNRLNKGFK NDPSEDVVEKSVREL I203_01452 MDTIRITKVDNVVLEHYIPPTTSEGGPSRVKKTGTIHLTPHHLI FCEDSTEVSRQRKNETDLKEDKNKTQEIWIPYPIITLLTKLPQSIQGAYPLKIETKHF QNYVFLFEKDRSMGKSGGAEDVWQSIKDSAVKTSVEQLHAFFYTPPPSSSTASTSVAS TSTGWSTYNPRTEFARQGLGSKTKSWRFTDINKDYSFSPTYPNKFVVPTRISDSTLSY ASKYRSKARIPVLTYLHWGNNASITRSSQPMVGLKNSRSAQDERLVECIFSSHLYPEA AYSAPVYGATTTNLIIDARPTTNAMANVAMGAGTENMENYKLGKKAYLGIDNIHVMRN SLKIIIEAIREAESKSAAAAISGSGVLDRTLLRKSNWLKHLSTILDGSLMIIKNIHLN ASHVLIHCSDGWDRTAQLSAISQICLDPYYRTFEGFSVLVEKDFLSFGHKFLDRSNHL SSEKYFILSENEISSDDEEEDTPNGAAAAKAAQAFFASVQKQFTNSTQSHSHLKEISP VFHQFLDCVRQIQRQFPERFEFNEKYLLDIYHHLYSCQFGTFLFNNEKQKNQYTSLTR SIWDYTDQHKERYVNKEFDGGLDKKNDGDQGVLLYDPKDVKFWFRLFRRGDEEMNGSP IVLNQQAQGVDVVGPIGPNSQDPVNLPSNPIRSISPSHDNTGQTPIRRDMSTSPSSGG GWNWQQFSSGAFNAVQNAGRQIKNISQDAYNQLKAEAGEIDGSDPWDSGIGRSGEMGN GPMASASGNGNGNGNGLEDRKEYKPYTYTPRTNFRIPSESNPWSTGPTTSTSALPSMI NSNFNTTTTIPHSNDVRPTVNRLNSNTNSNPWSTDKAPTTSTLPKPNSDKVERKSSPS LAELSLNENTNSTPKSTTTTDEEMIRAAMGEDKKAWDPLGAL I203_01453 MLPVHRRAAITASRRAFLNIRSQHSSPSTSSDRIDTSASESQDR LTGSAKLFADSIEEESISSTNSTSRDHLIHSQGEIWTGDESTHDAVLRMLLDANKPLR EPGGIKHNSSEDKIKKYIKSLDLNPALGQPLFSSPKVEEVQEDKPNPHRTTIPPHLHR PWHSTYTGETTLKSAPQIKYGTFIKSNKDGDSLTNLLELTLPPGADGKTRSRVKEARR SGKTIRRLDNARENALDYKLGIGSGEQVLIDIGEDEEDETFRGNRQIKGSSVLGAQKG SASGMRAWGGLVEDRIQRAKEAGFFKITNGKGKPIPKDPEASNPHLETGELLMNRIVK RQGALPPWIELQHSLDSTLTAFRSTLLTTYTTHLVRNVISTNALSPLPPLHSIPSQDE AWEAREFKFHQENIKQINDLVRRMNAQAPSPARRNLLTLEGELNRLRGDVLKNEVWNE IKKRAGDAAKLPMKPSSSLPPFIFDNEGWNALKTATRRSFGTIAAPVSAVIGKSSVKG VVDSTRQSSGNGAGSNGPSSNNSDGNSGSKPLRLAVMAGVGLGAVIYLYRRLVKNESA VEMNYIPVHSDEEVSIQEDIVAISPSEPPFTVLRVIQLYIVEPFATVIRFFHLAFLFG PVILTTPMLLVGKPERRRRRSGKPVSGDEEENWGAIWWYSFLVKQMERAGPSFIKLGQ WAASRADLFPAELCDKMSKLHSNGKPHSLSHTKRVLERAFGMKFDEIFEKFNEEPIGC GAIAQVYKAKLKPSVFIDGAASAKHDRVAVDESHTRSVAIKVLHPRARKTIRRDIAIM SVFANIFNAFPGMEWLSLPEEVAVFGDMMNSQLDLRVEASNLERFEVNFQKRGRRVTF PSPIKLGQNESKDVLIEEFENALPLKWFLTNGGGDYDDMIAGIGLDAFLEMLLLDNWT HGDLHPGNIMVRFYKPTTTDYLGPLLNKFSRHPQTPPSDSPPSSSLSNEQLVESLASI AHDHDAWHDRLSELYKEGYEPQLIFIDAGLVTSLDDKNRRNFLDLFQSVAEFDGYKAG KLMVERCRTPEHVIDEETFALKIQHVVLSVKSKTFSLAKIKISDILTDVLNAVRQHHV KLEGDFVNTVLSILLLEGIGRQLDPNMDLFKSALPILRQLGRQMGTKEVINNTPKGNL LAMIKLWVWVEARQVAGEASILDQWIKTIWSAGGKD I203_01454 MPVSPPIHQQRQPSTSPDRSTRSEQEFSDEPWKSLAKIIRDVGP TLSPDEELGSLAAAEAAVIAKDAERNAVVDKTREELRQLTRQLAQASAAAQRPSSHPT ALEHEEQVRQLEHKQYSVGKQLNEEQSAVSKKEVELGRLRLEKQEVEKINIGEDDEDE GQSNWMDGKVIRLKILSESGFSLIPSKDNKTTIKILIRNDLKDDVHTVPIDNSRSKTN TANLIWSLASEGI I203_01455 MASTAPLPKRHTRNRLGERRAARDPSSSRDASEEDNDNIENEFS DVGSTISTHAETVSSASTADSPRMQSTSAASPLHVAASGITAAAGPNATQPLTKRALH QKRVEELSEQGSMSEGLDSPTYDGDIESSSTIGGTPVHPSNLHHHMRGAQPISPTKGS LGLQSDQPAGSSPYGTNRLPTPKASMANLALSDSPPSITPQLPYERPTDVPVAPSKAL AEPRRSQTLPLSAKPSMSSLGPPKMYTRPIELSEVNIKGFVERAIHGKGAEDGVERWW KTNPPPEGKVVRVYADGVYDLFHFGHALQLRQAKLSFPKVHLLVGVCSDDLCASHKSR PAMTHAERCEAVRHCRWVDEILPDAPWVVDQEWLDKYDIDYIAHDEEVYPSKDHEDVY AFAKNNGRFVPTRRTPAISTSDLLERIVRGYRDGFFDSKLEKNGHPELLAADVDWDSS ASVEKRERRREQAAHAHKHHQHQHQHNKK I203_01456 MTRQTNFGSEKPHDFDPLQASATARSNSNAATTGSESEGQSAFR AAGTSFSGGTPSTLTQASSGNDSLQRSSVAPLSQSQEANTTTAAASAAARSSRLAAGE HDPRISMIEADHARVERATSGANGDPEGEWLTLANRYKQ I203_01457 MEALGFNIATGFLEGVVRGYKGALLTQANYHNLTQCENLEDFRL QLSSTDYGNFLANEPLPLSTSTIADKATDKFVAEFNYLRTNAVEPLSTFMDYITYAYM IDNVILLTLGTLHERDTHELLERCHPLGVFDTMPALCVATNVEELYHSVLVETPLAPY FRDCLSAQDLDDLNIEIIRNSLYKAYLEDFHKFCQTLPSPTSEIMTKILSFEADRRTL NITINSFGTELTKEQRARLFPTIGRLYPEGNNALARADDIDSVIAAIDHISEYKSFFD KSNTTGNTGAAGEGGDEGGSSLEDEFFKYDVELNKQSFLQQFQFAVFYSFIKLKEQEV RNLTWIAECIAQDAKDRVNDYIPVF I203_01458 MFISSRASSSRLRPTICTICRSFTSTSAVSVKRPQKPYEAPVAK IDAYGNRIPIDFHSLGDKAEIKRKEFLESLSERRSRSYIEKYGNSEKFGLRRSSNRNY QRDEDLGDRARNSNSDGGLYDELEAEDWRAKIGIKSSYYTTKSLKPGRRKFDRAMESY MEEAKRLNPNADQIKLENEYKKDQKKGRKQKIPGLPPPGMQNNRRDSRLTAPHRIGSD RKKFNNENDRDDKGSKLFLKSPKKTFGLNLITFSNSRGGGYQGGNDHNPSSSLSEPKQ PKSSSKYWRPTKKLTYSAMAGLRTLYSMNPEKFNRQFLSEKFGISREAVTRILKSKYR SNSTDDEGSGLGSGSGLVIPGGNDTLKGTKWDRNPGSSEEISPVPAILRAFGRDK I203_01459 MLSASIRSRGASSTLRYIFQTRSQSTSDTPSPAPTRPSYSKANL ASFAAEGELLTTAHKPSPRISPATSQAQSSSAHSKPLAFPRPVLKSNPYANKYTVSEA DLDAFEEPLRLSPTPSPLESQSSSSTVVTASTITASDHEPAAGPRKGGRIVRTIRRPK YKSKSIRVFTDPELVYQPLELGHEETVELQDGYIIYKNQDVPPAVITWGRLRDACTCK LCRDPSTSQKTFTTGQAMREAYPSGTDVKPLIEVVDMARINGKNSKKGLKITWNPTTS SGEPHTTYLSRFKLRLLTDPQIYKEAFHPPLLFDRKLWNSEEILKTDGLRVPFREVET KDPAILLRMLEQLHQYGLTIVENVPTSPMDDKDCYLRKVMGYIGEIRNTFYGETWNVK SMNQSKNVAYTNLDLGLHMDLLYFSSPPRFQALHCLRNRVNGGTSYFVDSFKVAQDLP DDVFRLLQHTRIPYVYDNDNHLLRYSHAVMSSSSPEKNRMVYDKHYAINWSPPFRDMT GPDLSRPAPRTPALRAKQEQQTLEAIAKFENALSDPQYKFEFLLKEGDLVIFDNRRIL HARTSFSDKSPEELKQAGMELVEGEPTRWLKGCYLDGEVVWDKLAVLKKQVAEMEKKQ DRNEAKR I203_01460 MSFQDQGQDENERLFAFQRLLDGSQHASDPVVDLPTLRRLCERG IPNHPPHLRPLAYTLLLETLPPEKRLWKPTLKTQRTRYYNLVKTFMEELEFQPSSSSS SHDKLILGISKDIKSLKSSFWRKRTNPTKSSPFHPISSSDPDDLAAQSSQAEGYGGDS DEDEEALLESGEYQQPLLSRRALFKRIDLLNQMEHRGGFGYSHNTKQKEMEEDHIGEK QEESEEEIMSPKITLSIDSTPTAPILSRLNPIKPTPLDTSSASSLQPNAIAIDQNDSS VLENGKPNSPITLLSPKPLPNNSTSPSLAGYSGSLYHPETNLESLTRVIYIFVRSNQQ LEYQLNLVDLISTFYLIHAGGGGSTTRTLDYVEENTFWFISSFFNEIDQCTITTEYVL TKLGNRLNWINRPLYDILINKRNLDLKLFAYRWFNELFLKDLPLGNIPRIMDFIISEK LSTPKKKPKIDLVVDIGLAMILLVKDILLEKPKSKVGSDLGGRRNIGLWEQPVEDDEE DENVLFLRSLQILREYPIRYVGGVEVILDMAGQLRRARLDAERNGEIIDLPSITAISH SPLTHNSLQKNESTSIKSGSSSSWSKAIGSFWTTSTTIKQVPSTPAKNKHPASNIHNE SSSDSRHNVGISPSPRDRSDTLESTTSSIQERLSALTTSTPPAHLKSASSPSSLPRPL LLSSSISARRSSNASAASASSRYSMARRDSSSSVISNSSSPVSSSKRNSYESFTNGNG TLSPPENLRSPPPLANGTAGGGGAENPIGNGLYRIGSRQRSRSSLGDHLPRSPRNIVQ RDLNYGES I203_01461 MCDIATLFNTQTNADLCCFEQVECANYLCGEQGVTVENGNGTNY CYLDQSRASEKYDEAPSGVCGGNMACALRALSTEPSVTVNQELLPTSYSSASPSGSAS STTSSLSSSATPVLHQGMMGIWVFAVLSLAVFGKKLHM I203_01462 MSYTTTTVEHLPSKEEIINRVSRLRLVGDHEDKVDTQETARPGP KYPQYLPVWDKTKFPDWEEVPYTDPGSRATKDKRNLFSHGSTHRQITPAIGEEIEGVQ LSQLTPEGLDDLALLAAERGLLVFRKQDFKDIGPEKQLEIVRHFGRLHIHPVSNHVWV MPLSTLYELNHLKTLHRRYVKPNEVQKISSVSWHADHVAEIQPPGITFFFALEAPPAG GDTIFASATEAYNRLSDEFKKRLEGLLVVHTNKDMLAHSEASGGPVRFSPKETLHPLV RTHPVTGEKILAIHGGHATRIYGYKQEESDYLFNFLLDVLAKGHDFQTRVHYEEGTVA VWDNRTVIHSALYDFKGDERRHVVRIAAMADKPK I203_01463 MSKAFQTIKGQIFLNDPSWSEEKRKERVLVRRLDIFFMSYLSLS AIVKYLDQQNISNAYVSGMKEDLSLFGNELNLFTTYFNIGYLIVIPISSYVINSVIRP SLWLPTLELIWGVLTGVLASAKNAKTVFGVQFLIGFCEGTAWPGTMILLLSWYTPAEI GLRLAIFQSFTYLGGIFAGALQAALYTNLNGHSGLAGWQWLFVVNACITVAVAGWGYI GCPDYPNRPNPWSKWLKSEHVETGLRRMGNQGRALPVGWSWKTAKSLVSRPQNWAIWA GYTIYSQGGTGNRYFNLWLKSLKNPNGKARYTVSQINTIPIACSCIYIVSLLIVLTVS DRVQTRWPFLLFGATNGLVWAAVLAGWNVPDGVKMASFMLINFSAPGANLFVAWVGQI AKHSAEERSAIIAAFVTTYYAITAGMPLKVWPAKQAPTYKIGWKYATSMYAAAIPVIL IVLYLEKRQERIDARKKDIEFQDETASTSSEKTDPSLPYDELTRKDIPADQALSEPIP RL I203_01464 MESIVDVTTTTFQAILAVCVVFTAGYAYTGKHAPYLSSTLVPFA RTILLPSLIFTTFAGTQVLSAKGLLQLWPIIIIALLTHISSLALSLVAVRYAKAPGWV AESLTNNNNGSYPLLLLYSLYPIGWGRVLDHLRWRSMDTLATVIERATVYILINLVIT EAARILFAPFIATKHSHLEIDVLDEPISDDQTPNEIVEDEEDADERTPLVGFKRNIRS THSLLAKTRSPIFIATVLGLLVGLIKPLQRFIVGTDDGDTWLWGSIGLGLRFLGGAFA LVEIIGIGAGIRAGEKHIDSEYKTPPTLGTVLTLVAWRLIAIPVIVLFVVYGFRKIPS TKVFLQDPAFASRLFSYINGSFVLALTSIAPPVLPTKLDPYQSSVLFSTFYTALITAL PIAVAVAISGRGVSTHIDFDLVKALKSAGGGGLAGAAAMVVQVLTLMPMRTIMNYQYR YGGSLRHATKTLWDDGGFKRYYAGLAAALFQGPLSRFGDTAANAGILALLESFTWPVL VKTIAASVASACFRMTLTPIDTLKTTQQTQGGGAGLQLLKQRIRDKGIASLWYGALAT AAATFVGHYPWFGTYNWLSAVLPPPHNIIQKLARQAFIGFSASVVSDTASNSLRVVKT YRQVHEGDVGYLTAAKEIVASEGLLGLFGRGLPTRLLTNGLQGLLFSILWKLFADM I203_01465 MPFKDILLNDGRKIPAIGFGSWKIPKDVCAGQVGQAIDVGFDHL DTAQVYGNEEEVGQALKESGLARKDVWITTKWSGVDDKDPKTSCEESLQKLGIEYIDL YLIHHPRLCEGDIEGTWKKMEELKKLGYVKSIGVSNFTKENLQELLASASIKPVVNQI LLHPYVIKSTTPLLQYMSSQHIVPEGYSTLIPLTSKPGGPVDKPVNDIAKKLNVKPEQ VLLAWSKAKDAVIVTTSSRKERLEGYLDVGDIVLTKDDVKAIDDAGAKGELWEERKKQ VGVMAKYVAAASLLGYLLYTKL I203_01466 MVVFDAVSADGLSSVVLIPFSGPIVGSHYPQFLLQVVTPEGEIF NQLIEYGPKGIMYVSTKGDGSSGVIGDGDSVWIGKPDLGKYDLKVDLERYNVSGTVTL ISAADPFVFCDEIGPEASTSGFWFLNWINLMGDAVAVVDLKVGDERVAFTGNGYHDKA SNIINWGPVHFKQYIHHWYWGHGRAGDYSVVWYKMVTSFGMIKSGAWVSKDGQTVVSA CVEDNSVDVIPFGNNVTIPPNRPNNTDNIEGFNITINGGGREGESYVFVFEENVWTPG YAGTYARWIGNFTGGKIGEEWDSGVGVTEQMGPFVGPS I203_01467 MTTSWSCPSLPSEGTDSICCFANSTCAEYVCGHFGSNITTDTTG GLVMHNCLVSNGTEATELYSNPPANGTCSFNTPGNGCIIRTIANESTTTTGSASASAA TSAPATSGSASASASGTSSAGAAQLGGIDQMVLLGIVGMTWVIKRVCH I203_01468 MSFDPPPRRTPAASASSTRPTTPSDPSTPTWKDKVKARGAVWGK KAVDKGVKISDNIGGRVNDIAEKRFGTEAFWPVTGDFPKEMEKCTRILRAFTVDGIVT EEKEKPDPSSPDDAKKKKKVKVIRKIPPSVIASAKGLAIFTSMRTGFAPFGGAGGAGV VVAKLPDGSWSAPASISPNNLSAGFLIGVDVYDCVLIIRTQEALDSFKTHKVTLGAEL AVAAGPYGAGAAVEAGKERAPLFSYVKSRGVYAGVEVVGQVFVERFDENGMMYHWPGV KAGDILSGKVKVPIEAASLQSALKDAETGRAQSLKGDSLDIVVQESADLQLNEGETLK LPPTPDQTDGHEHESDPETEKIHHAIQAGSHNPSRTNSPPLPLVAPVPQHASGGMTKG KGGRLVPPPLPGRNPNRPNLEHLSHSSQSRYSDAMDGQDMVDSPSSIRYDAPHGPPPD HLLPPAHIESHHPSPLGAPPPPAATSTLVPGYDDAPPRAETEEFPPAYSDEIGAVAGS ITAYPQEKKAPLESGLVPPDAMGADGQPMSESERREWEEFLSSGGDDHASAVGSSAAA GDVQGLTSSMEDHHLYENNDRRRHEEREESLKNPYGDEEESGSGKGKGKQEREDSLQN PF I203_01469 MIHPEGNQAVQEQWKKDIGDLHLLYDYDAKNPETGASEKWRYEM WFSHEDRITYAIHGGPMAGRYNYQKADYQCIRPGELWQCNWLEETGTIVSLVYDIPNK KITTLLAFSIGHWSKPESAHGDKRDPKDFERWRGLAKIGDSQTARFLLNDQADIVEEF RGKGDLQPIDPSWPTL I203_01470 MRLSRARPPGSIRLYVRYSSSATRAVEEDASFTGWSVRSPRDLY NHLSKYVVGQEKAKRTLSVAVFNHYHRISPRLPPREPPPPPPPPPRQPPPIILDPPIS TSTSTRPAWDRPNRRDDLDPMTWDPSRAGSQIERDATKVTGADGTDPTLTHDLLTLRS REGQWARDGYFSAKPPPTLPSLLGQTMKKRKNDQGGRKRKESIEIEMESRSSSFAEEE VPGSIEPKEEVVIEKSNVLMVGPTGTGKTLMARTLAKILDVPFASCDATTYTQAGYVG EDVENCVLRLLQNAEYDVNRAELGIIHIDEIDKLARRGGGDFGSWGGGRDVGGEGVQQ ALLRLLEGTTLTLQAKGPAISSTPPNSPSSSNPLGGAGPSSPGTTLGPKAESKAAYGD PPGWDPNNPMNRGLGGKKSVREGLPGFSSGGGSPGKGETFVVDTSNILFVLSGAFVGL DHIVNRRLGKGSIGFGAPLPKTPSTPVSSTSTLPLTGLSTPDLTTFGLIPEFLGRLPV ISVLSPLSLEDMIRILVEPRNALIKQYQALFEKYGSQLRFTDKAIKEIAREGLERGGG ARGLRSIMEERLGDAMFEVPGSSVRYCLITEAVIKQQEPALYFSRGQRMGFLQAIEDE DGVNITAQEIEDSEEPERLSATG I203_01471 MLVKQLLNFIALVTLVRAQSFIGCVDNMPSDTNEVEIEGDCQST CKNQGYEYAFWSAFETDCQCGNSPPKTFMYNVAQDEYGKCLPYDREVTKIHVPFQFHL CAKMIELSSSKLVKATSTIVGTMSECFDLCPEADYIAIAPQWVKTTYECRCGGMPISY NPMICGEGTFYGYSHPSSKGNRRKGTAGKKSKPQRLGKQQVEKVEKVEGRPNKYYGAL I203_01472 MISMNKHILYIILSSHIVLAAQPYLGCVDNYAEGDFAYDVDEGS TCLATCTRQGFAYSFTNFGGQGDCGCGNLPALPLDYIDSGSTDSSCTSGYLAQRTSSQ FDHLDCTGPNGEVFFDQTETSQFRTIQECFDNCQSYTFAVARPNPEIQYFSCFCGNDQ GEFLYTPQVCDANSEYIYQVAGESPSEVVGRRRLNERRELIRQRKMAAAGMAPKCPKG LTACNVPGVQDAYECINTDVELESCGGCVHGRFGERLMGRCKGRGVDCTTLPGVSLGA STCYEGQCEIYACKKGWYWKNGACVKK I203_01473 MSSAPTNIPPYHLPLPIKPSQDDYSSETHSPSTHHAVSLLKSIS QTGGRWASDTTYTTGQDYQKDHPNSKPSSLPQPSKLSRRGSSPQKSPLRRLFHRNILP ISPSTTSTFSSASATRSYEIYLYDYGSHSEIRFEPVINSPQTPSHVISNSMEESPNTG HRIACTKMTEKEVVLTCNNDRVEKVASLNFSVRGTWIKIMGEEGTAWLEEGDKGSDSQ KIHLDGAIYQWAETTGLAMLVDLETRRKIAKLHFNVLARDKLMISEEGFDKLPLIIVS AAKVSSLLLYISVSHYEE I203_01474 MSAPVCRDIMNGYCRFGTACKFYHPPRGQAGVQPRSVSNGSSKY QFNEDTIRQDLTIERPIYFVSDYAAAKYEPNLFFGYDISPEELRWKSVVALREGKGQD YMNEENTLTNQANSTITQAVANLSATNKVAEQLHNTRFTGNSAPGDPRPKDFSESAAL GGPSSNCASNTSGFGGSGATGGAFGGANKPSAFRGQCSTSGGGAFGQSAFGQSNKPSA FGSSSFGSSSTPSAFGGNKPSTGGFGSTTAPTGGSAFGSSGFGAKPAGPGSAFGSSAF GSSPSSGSAFGSTSNTTSAGGSAFGQSGFGAKPGGSAFGSASTSTPSAFGSTSSPSPS AFASTSTPTSGFGTSAFGAKPPGTSSAFGAPPAPSAFGSTSTPSAPSAFGSSGFGSTS SPTTTAPSTGSAFGQSGFGSSAFGQSAKPSAFGSAPQTTSAFGNSAFGQPATSTSTSG GGGFGAFSNNNNAPSPAAPASTSTNPFATSSTTPSNPFAESQPHITPTPAVPANPFGA KPSTTSAFGNSSAFGQPSAPSAFGASSAFGTSSAFGTSSAFGSTPTQQQQQQQTNGFG GGAFGGGIRPEGDVPPGWSYDDPWSYLLPNENGNEKGEKLEGDAIRAFKDGGFGLSGI PLLPPPVELRA I203_01475 MSSSKSRSKHQEEDLKAKRKAYLSKADPSTVEQLVDSDDLGREQ FKEGQWRPSWANVEFDEDACLQNVMFVPPDGYYVPAGSMLPLGAQMPEMTVLQYGGYF PEGTSFPGGVLVPMHARMVNILPEQTIKPSGPVASESICSIQ I203_01476 MTSTHHHHNPHLQSKHDTNLVTSKATHHHSHLPLDSPGFGHAGH PSHHGHKHHQHEEDTSYNQPWSIQITQEELGFLNFFLQMIPHIFSSPYHYELAIAPAL GYERAKELSHAMVTPITHNTFSYVSPDGKKHMGQVDQRHSHTVIIEMPFSPLYGGGGG VIKKEFVEQEFPPNGDIP I203_01477 MSPPEHKMAYFPRITSSLPSEHSEFRNVLWTGESSQLVIMTIPV GGEIGEEVHHVDQHLIFTSGTCKAVIAGEEKEVKAGDLVIVPQGTKHNFINSGPTPLS LFTVYAPAEHAEGASHKTKEEGDKLEDEGKDEAPEWAHKG I203_01478 MPRIPTEKESKIPTTNEPRKIGSKKVRPCDNCRRQKHSCHITIP GKPCTDCAARNKECTFIAPPLKRHCKTPPRNTSSSIAGPSSRPINRERRIHSMSALIR GGRLPIMEEEDEPDGSDDAYYDSLDMEYDDCEESHYLGPSAIAASSLAASLSSGGGGP GQRFRQVSNGPVPALFVRNPALLYGRLGPPEGTQPLLQECVELVGQEKAQELTQLLTL GGSLVYDVINFKETTLLAFPVANRMRLEAVIRREPGSGTYSPTFLAALMSHAIYTYRP MERAVATIMWKKVLAALEDEYRLPRLVTLQTTMMILLCNPHENHAQNSMALGRAVGCA YVLGLHVECIKWKLPRWERSLRRRLWWSLIIMDTWRSYIQGRPPYIHPTDHNVSLPKM WDADWGNETSDEPRQSMLSFIGMAKLTVILERITSNFHTLQAAVSPPRDPYRSVLLES IANDLDAFQDWLDPQLDLPIKRKLTSRAPGVRSMQVAYLGLKVALIRLTLGEPGETSH DLEGTLKAALKIGKELVEFLETLDPVDYQPFWFPYSAFNILNGAALLLRIAVKANTLY PMINLQSGDVLIRLVTVIKAGYISSWTTAITAKTHLELLLKSLESDLPLAQSLLSILA DPPKVHDALSQDQLLDPSMTGVMGTGTGSNPEWSLDINNLFAPMTDVDQQLWSSLGWL WDTQSMQS I203_01479 MSAHQVNGNATKDWPFPNPPVVREEYLPKLAIKPWLSAHTPQRV LKNCKVVDPASGRLLDGLKSITIAEGKIVSVEDTKEQDEGVDVQGAFVCPGLIDCHVH ITATPGVKTMLELVKLPDQLTSLRSTFVLKEMLSRGFTTVRDTGGATKIIANALEEGL LEGPRLFQCGKALSQTGGHADFQSAVSGGNQTGCCGGHSESLGRVCDGVPQVLKAVRE ELKAGADFIKIMMGGGVSSEADPIDMIQFTEEEVQAITKTCDRMGNKISTAHAYTPGA IRHAVENGVKAIEHGNFIDEPTANMMAEKGIFLIPTLACYGIMARKPFEDFLSEVGRP KNLEVMNKGLEALKIAEKAGVTVCYGTDLLVSMHALQTEEFTVRSQVLDSPTILRQAT TNAAKLLKHEGKLGVIAPGAYGDIIVLRSNPLEDITVLDRPEDNLLAVIKEGRTSMSH IKGL I203_01480 MGGILRDAPPSNTCEPFIPPDDQVDQVCFVNMTCANYICDYWHA GIARRNITLDHDGHYAERQFCYVPNGTLANERFVEAKREAGEEICPGNMTTFYKNSSA TLRLRTGAGWEYGYGEEHGWKSRLWLLGMAWVMSVGVKLVVDGMGWGDL I203_01481 MSSSFPNNQSVSSAPYCTSPQPGQLRPGQPPQSYPSPNLAPNYA YGYQNQQGGSIQNLNGGGDQHYFTHPPQPPTVNYNYINQQPQPDYTTAYPHPHQPYTQ AQAQQRVYTVSPQPQPQLYQNPPYYQPSPHLSVSPIASDSPAPAPIPSQSYLSPQPVY PQPPFTPPAGGVDPSLVWSQPPPPPSQSSHSFNPSLQPFTIPPSNISSAPVSSSPRKI ILKVGSRAVAPQVDMVGQEETMYTGRPRRGASQRAQIVNYREDDDDFEEDGQGEDDAE GEEEDDEVPVKPIPIVSPKPTRSGRTTKAPERYGEDDDFENKLMPSSPPEMDPTPKRT SGRARKMVMDPDDEDEEDYIPKPPPRNAFPPRATRNSLGSINAVGPPTAGPSYTNGTS KPAKRNTRQSSRVRHSSADAEEFEPTDDGSVSDEHASSDALGNYEDEEEEEDDLISRS SQDSPRKRKTRSTRRTTRSMPTRRSTRSANKRADPDSDDEYGGGGGRRNLRTRTSKPN YHIPTLDDLSKEISMAEAMAAAARPNGRPAGVGGLSTGVRFGASGGNKGLPWSVKGKD LAQAMGDPDTSDSDDFASPLKSAGAGAGVGPSMSTAGPVQRTNAVGPSDVPNYGRINP KSNMADADPLGVDMNVTFDNVGGLDDHINQLKEMVALPLLYPELFQQFGITPPRGVLF HGPPGTGKTLLARALAASCSTGNTKIAFFMRKGADVLSKWVGEAERQLRMLFEEARAC QPSIIFFDEIDGIAPVRSSKQDQIHASLVSTLLALMDGMDGRGQVIVIGATNRPDAVD PALRRPGRFDREFYFPLPNKAARKKIIQINTKKWDPQLSDEFLEKLAQLTKGYGGADL RALCTETALNAIQRRYPQIYKTADRLQLETKSINVSAKDFMMSIKKIVPSSARSTSSA AVQLPSHLVPLLSAPLERIRKAIDHVLPPRKNATALEEAEYEEEEGDSFEKHMMLQSL DKLRTFRPRILVHGEAGMGQTYLGPAILHHLEGFHVQSFDLGTLLGDSTRSIEAAVVQ LIIEAKRHQPSIIFVPSLSAWETTLSDTARATIKTLLDGISPSDPILLLGVSDATIDQ LPIDVRGLFGFGPENRIGLEMPTKSEKTAYFADLMAALRRPPTEFPDSLPRKKRVFEV LPLAAPLPPRQPTAAELLREDEKDQAARDMIFQSFVHLVRDFVKRYRKPAATIKDDAI AYSNYLAEQAAAKAAAPAPALVSEVVEPDVAAVIPTTDGDVAMAIDPVIPPVDIVSDP LPAAEAPPEAAPLAQSTVPVEPVWQAHPIDIDTLQYKLLKHKYYTPSDFLSDIAKIEE NAEKVAEPDRMGRIQEMASSARYHVQGFDQKWLPEFERYKERMIAKKAERKRQKEQAK GVDKEVIATDGDEPQQEQVNGEMEGEGSSLKRIREDDQDQDESQGREKRVREDGMEVE VGNGNQPQPQASHDNSSTTGLTVNGNSNGNSSSSDVVPSVPPPSVAHPPFILSDESIR SLKLDLDLITSTFNVDQLEQLRASLFDIIWRGRGEWDRTGMVEKMRERVVEWGQEVER WKDSERV I203_01482 MKIAIIGGGVSGLSALWSLTQHSQHEVHIYEKADWWGGHAHTVE FQRESNLHLLLKLDQTHIPKSNVKYGTYRPGKEKCDIDTAFIAINSKNYPNFYKFLVD HGIEMIKTTMSFSLSRDEGKFEWASDDFWALFCQGSNVFKPRVYRMMWDILRFNLFAV DLLSEKGESEILSIGEYLDREGYSQAFKEDYLLPLSAGIWSIPPEKVALDFPAMALIR FFHNHQMLQLYGKPAWLTIKGGSKTYVDYIISKMDSSKLHLNVGIDTVQPTSQGIWVT TEHGKKELFDKVILAAHTDQAVRFLGQNISEEEKMLLGDCQWSANEAVVHYDEALMPM RKKAWTAWNYLTSTAYIHPTHEAKTSASDVNSISITFNLNILQSLPISKRGLALVTLN PPVQPDPSKTLARYVYHHPELTPSLINAQKKLDSIQGRRGVYFSGAWTGYGFHEDGWR SGMEITQRSEFDMQTKEEILYVDGRDVKFGMVEKTLRVMVGMIDWSVKGLFGWMIWMI CVWISIVRRLSGRNTSNNPTHNGRKKLD I203_01483 MPSPSKFSTSSPSRSRLEAELQEATEDRDWDRVHELSERLASST TRSPSTSNGQSRRKHDSTRTHFRHSDESSSSSGGSFSIGESLLSGLFTGIGVAAGTSI FGSLNTPRYECNTFSAPIMRTRTVYRPIRRHCI I203_01484 MPPQRGHSPPVDPFPSLRRAGSSSSSNTPSYASIAARSSSHHTS TDQQQRSERSRRVSEFEDQLRERHTSRAWGAEDVDRQDNLDELNLNMNIHPEPEPEPI DIDPSPPWRSGSGRRSTAAFIGQAGPGVTSTPSGSAQNRTDVRSIADGARNPPQDEEG ISTPPAAGPSRPSNRSPPSPSPNRTARTIPDPVPATRLAPMFTLEELLRTQPPRQNEP SSSNANPNTTTRPTGQTVRAVLNDRRRRREMAYNFPWGMQMERALPSGAGAGAGAGAD LEGDVDVVLNMLDDTTDPIVIPFPTDDRSVTRISTRPLDGSSAGAAPGTNPSQSITSF LRRQRRNPAPTRSNFQFAYDPDEVLPANYPGIEVEDDNLDEDSDEERWASRLRIHTFS DGGENEFDIDGPAPARAQNPGRSNLHRGGNNRRRRSFLERIGAPPDLRFPEEFEDSMD LLPTPRGRTEATRCEPTNKETAQNVVPLIVSSKGITRPREEEQKGSSTSSSSPIRKKR RMGKITSDNLTPSSSSPSRSSYLSLSALPADTPLPSEFIAPLPRSHLAVSIYKSAAHS PRPLITFVGCNPTRRDDDATALHTTIPIPVACGIHYYEVEVIDKGIEGFMSVGWVREG INLKRLVGWDKGSWGWHGDDGRSFEGSGRGENFSETWTTSDTVGCGINFLTGKAFFTK NGKMMGHRFSKLASGLHPAVGLRSVGESLAINFTGPFKFDIDSYVRSIKDDISLEVRS TKVVDIPRLVDQVASSSTTFDEEEVEVAKIKDENNPKSALAQALQRELKEIKFPPTDK QEQGSASESTQTVSDPVAKATSAFVLDYLQYNGHSTALSLLRTGMLNKSRQHRTTSTT NDATLKAQGEPAYTSKSTEEVRLSDFLSKEQAIKWLHTTMTQSFKNPIPTLLVRDLAG SHNFPVTVQASLEIYEFLHLLYLSTQSEQSSNDSALEKVLTKGRSIRIGMDAWSPDDR AVVEKAFGLMGQPKMLDDTFWKEKREEWLDGLVKALRGGSKLLIYDL I203_01485 MRDLEKIVSSREQVIIDLRRKLEEGSLEREGLEKAIEKKQQDIS MLNQKLVDFQADLDRYISDYQCRQQDSPITNRDLKMMAQLKTRHGESVSFTARIMERV TNRADQLRDLLVDHRAMKNEMNYNVARREFEHCSYLLKMLKDLNESERELGKLIDDMG A I203_01486 MDPMYPSLLHSLSPRPMYPLGRSLNRPPDHRGRLIDSWRASSSS TDKRKSVSWDEGVETVEEGIRVFVGQMEDDTPVKKRKLPIDSSPRSSPTRIARQNGLS VTVETLETLEIGGTSIMPQVYGSQSYRVERSLDPCSLPTPRTIPLTTQLSCEPTAHTA AHTAALLRFFSESDIQTAISLLPSMLSPADRQSLVDLKQHMSRMDGERSYLRTKVTSL EDKVDSKFKEMKDFGIIHAGVSSELKIVKEKYRKLKVMYEQKEETVLSLNKSIGDMKD RHESRKEEIESKLLELETQHEKVLRGLNEKHNLEIKRKLEEVDTRYTMDIAMLKSSHM IDMKEWENQAENLLAERNIVRKEQKKLLERQEALIREVEKLRMDENTKWEETQKLELK LLANQREITSLICQVEELGSVVTVKDGEVHCLEIEKDVLEKLMKKKQDEVDKLNNNIH TLKGHFDNHRQLNDQLAISHESVRQENAALKECRSTLEDRIVKLESEAKQDLERSTTT QREMNEQIHIAQIKISSIEDEKKAWIVLAEDRQRDNIEWQKKVDRLEKQLSESAPTVA RGSVRSAKASELIRKEKSTLEEELKELQSERVWFWDELNGYKDKDAAAKAELYQSEDR NRRLEKELGEAKISCDDLKGSLELAELKAQEAEAQLEQLSAKDITSDNTISLLQDQMA SLQANVKKLERCKVAVEKEEKERESGLGSKRLLTVQSPSTHLFEKRMANSEQRVTNLQ LQVAGKDAEIEKLKDLKRSLERRCNSLDQSNKDRDRNKDRDRRL I203_01487 MPGIGEVPLHVCAPSLPVGADVGDTDLKSGKMSIKSANTQAVSK PKKKKRSWKKPIQTPCHQTPNPSLTASSQTPILIADSSIPKLRMDQIIGSPRLSHPGS NASSVRKWDHSLFINQNPTPRLAYRPFKEVLPKNIPPRPRLPPAFPVQQLPAKRKKVP PTAPRLHTTLSESSIPIRPEPRSSWQERSVSASTSTIPERMEAGAVTPGAQTSTLSRD DGSEIQMLERQVQSLTARLSNCQNELQDMRVKLDFANMTAGRGGANLGNARREIKELK DRIKELEYPAVEVKVEDDAV I203_01488 MTQEVSPSQKTEIGLPMKDENAQPGAGIVQNVGAKRRRIDAEVE SGDASHHSAFPPVHSRYPHLSQRPQSTPPPSAEFSIPSPNRMITRSLSKSQSDLLNRA QTASPSNSAVSDSLRQVSSTTTSSRAFTQSSTLTLKQAGPIPRVDYGGQVRRVFSQPN FHPGSVPYHNQMTNHAPFGFAAEQTHPAVQQLHQAQQNIHSLQSQLDTSTTAIRTLES RVINSESLLSSKSVELVQARNEIQSLRFQLHQQSLGSVSRHQLNEEKSRHSKELEDMR ARLGEVVKKVNSESEMAEGVKRGLREDVERLHCEIQERDQVLRELKTKNEELLKDNES VNRDLFAMKDDLAGLKEINKVFVQKVDSLSDDSKKKNRDLSTLDSENAELKETVSKLN RDITLLNASKEALNRALDTYKKTNQKLQSDLSKEKERSEEVRKVGWEQLNTLKREYDT TKNRHQEVSNSYEEIKRLYEIVCQSEKAVKVQLMGERDKFNHEKANWEKDVKSKKHLR DRIDELENDLSNTKQINVDLAQEKDRLVREVNQKERKYEEVSQEKIDLLTRLDNQQKE YDHLDIKYKEQAKLNQVSKAEVKGLKKGNATLTKKILGLEETNKAHKKDKQELNRQIN LLKKEIIGRKQDHKEAGQFRVSIEDLSRTVDDLTGQNTVLQSEKQLLARKILELSESC KDLEETKRRLEERVERNDHKVEELENFVRRIILARSKYHDKIELKDGANSDSSKAQFD GLIRSYDSIWTTPNLISARERKRQDKLLKMELKRSTIPQKLNWLDYWIKLIDFALEDL EEYSIDQVSSHTSKDDHPVHD I203_01489 MSRFVRSSKYRHVYGAKSKVNYENAKISGSAWDTDLVTAGGKYL AVNWQVSGGGAFAILPLFSPATPPQPAGFPTKLPDIIPLARGHTAPVLDTAWSPFDDN IVASAGEDGKVLVWKVQDTLFEGWGEDHWVPEDLSPELRLSAGGRKVGQVIFHPTSSN LLTAASGDHLVRLWDISSGGDSPKITLKGHTDSIQGIAWNAVGNTLATTCRDKKLRLF DPRAGTEAVRITDGHAGVKGSRVVWLGDRDRIATTGFSRMSDRQVSLWDTAGLTNLET TSLDSSAGIIMPFYAEGNDVLFLAGKGDGNIRYYEFEGDSLHFLNEYKTSDPQRGMTF LPRRALDVKENEIARAYKLAGGCVEPLSFIVPRKAESFQADIFPPANSIEPAQTAADY FSGKTARPQVIDLETRATSTNTAPVPEPVKSAPAPTPAPAPAATPTPPPSAPEPVKEE TKTAPVPTPASTKKEIDSVPEVTAKGLEIEQPDSDDDKVKDQKVEPVKAVQESFVGLS VKDEKKENGGKLPAPTNTLLIKKLSPAATLPTRGSPLSAGYDLYSAEEKVIPARGKAL IDLQISIAVPEGTYGRVAPRSGLASKHSIDTGAGVIDADYRGPVMVLLFNLSDDDFTV KKGDRVAQLILEKIVMADIAEVDDLDVTSRGAGGFGSTGGFGQAIKDVASKIL I203_01490 MFSKTIFILLPLLPLFRAAPLPAPLLGLDNLPILNGLTDPLFSQ NDLPILPSIVPDTPTDLLSTNGGLVDTLLDTVESITGPLNVSLNANIDLLGINATLGL NVDLGDDEMLICGPVIGRWADQQYNVPCVCWSESRGIVIDAQLGIDLGLGEVDGLDAF LEAQIRFGGKQFTYPAYSMPTCDGDGGFNCPGGYQPNGKCTKFLAAKPRPRVLIQSVS SPTPTPTPSSDPTVDAVPTATDLIINSVPPTTLDGTPAATSIPTSAPSAVATIASPDQ PQVQAQEDDGLISVKNVALTTSTSTSTIVLPATVFVEMITTTQPMTIWATETQTQTQT QTQTVTSTSIQTQWATQTQTQWSTTTISNCASDEYIDVNSVPEQIQNAGFTPSPTSSS TFSPTPAPTITSSSSTIDEQAVPTPTTPTEETPAAPSATDVDDDAFVPPPRVIRLAKP QSHGELECSNGEEFKSTMCCRVDQVEVEGECKCADGLENVLNLNLCLSICLGERLPSG ECSMLGLGTTLNLGLGDLTL I203_01491 MSNIGGSQSRSPPPPPREYPPAGEREYEDRPGGGFSRGGFAPIP HRHSDLPPIPPPPARFERDDYDRGRRDREFPRRELDPDPEDRYERREWDEYGRRGSHW DDDYDRPKRRRSPSPLGPSHRQRLHSPSPPPIHSRYSNNLLDPASIETLLSFRQFAEW FRASHPQTAKADEEETRRHRELIESGQANEHEAKEKVGMAKRYERYRKEFHSRQLYAL FLTHKDSIWFQERYSHLPEFVSFRRRLNRQGRVPLAEKHLEELRSGVWDTVEFDMAES KSTDKSRLNEHDEPEGLDRALGDGGNWAGDDNLRAEIAPKPKQVFVKTAPPTTSRKEL EELFARVPGFQWLAVSEPAQKKSFHRVAWAQYNDDVDIGEVINKLDGQKIDGFTFHMS VNSTPTIGRLRLTPSLSNTLNRLTSDGEKAKALALKLEEELLGDDEDEEDKAEVEGET SAAKAENGEKKVAGLREKVSDTVEETVQRLLESNGLVGEDLDEDKKLHRIKIILDQWL AYLRHGLATCYYCVAPMSFAEELHRKCIGHMRPHPSTVSEPSPEEINEVNGGEPVETE QQPEPEDTSRNGEGGEDAEGDEDRELRETEQQPKESSTTFNKEGKDQGKKQFFPQKTQ DEKWAEGLDHKLKPLLEEVDVVDYGGRDVEAETKKLCAPLIKQEETSKYRCKDCNKLF RAPEFVIKHIIVKHPEITKSKIDDISTLNNYVLDPQHLQPSLSTPAAVDDKLLSSSIP LPIMSMPQGSFNPSTNTQGGAPDMNMMQQQMMMMMQMQQAMLMGMPVMNPQMGFNNFT HHSHSGRGGGGNDLASRMGGYAPPPSSGNGSRDGGDGGLPSAPPGGEDPRARRGRVSY TDLDEPSAGGGGGLPY I203_01492 MSLLTLRTASKRVPRTFAPLSANIHTSHPARQGNKVTPGGVTAD TDPETDPKKGGDGFFGSLLYGSKEAKAAGLIDPSHQGQHSKIVGRGKYVHEKITHNVI PSKRDEYLAAAEKYFKELIDHNGEFGRVKLTGSWESIVGTVGEFTHILEYEGYRGYDE TNKALRGSKEMQSLQSHILPLINSRQHQIVSEFSFWPSSPPHDSGYPDGGIFEMRSYQ LQPGKLLDWEYAWRRGLEARKRFVQPVGAFFSQVGQLHEVHHIWQYPDMETRKHTREQ AWSVGSWSDTVQETVKLAQSMKSTIMVPCPWSPLK I203_01493 MTQPRTRSNYPYGAKPPYVPPHGPYYSQASMSQNESSAFPGSSF PPSRSAEDTRRPSRPVGGSVDANEEVLPTIPGRSGFPSGSVHTNPPGRTSSHHDVQPR QQAEPQIRQQANLRYQYETNAQTQAHQDQRHAENPTQGRTHSTNQPQPSPRDHGPSSG FPAAGAHQVPLKPALKKTQPSSAEDFIKFKQENIEQSPPMVEPTRGYTGNIEINYSQP KVSPLQERSTHEYPQQQRSKSDSQRLKRESSMPPVGRSSFYQEEQEEDVQSTAYDIPE PRGRRRTGRQDQRTESQPTLGTSVKMGRSKSVINEGAFPRNNVNSSAYQAQHHGEGQE TSPSENASSYNPENEFGSINQTVEEVLYFARDQYDELRIKNEWYPRAVACRDQEIARL LGTNGELEQKIRGLIAAKAKSEANARREVEKAHAKAKIETQRLSEVEQISKERQEQWN RLLQDEDVQAMRDIREKQIAPLQFSVESLGTSFAGIRTACNEIKNEIAHSSKMNEDLD KVREDNDRLKSNLSQKEAENVKLQTKVNQYESNVAQSLQKVDDYVTHLKSARSSDEAS LKILVERNIVLNERVQQTEKELTKVQLEMTKLQNTIESYETLTQESLDLFRDKGLEGD DIKDMLAQLDTKHQNRLQEAKDENKKQSENLKFRYDKGIQLLETLQREHDETLKQMEE NQAVFEERDRLSQKATDLRDKVKQLESTINALQAEKGRAEERCQEYLGQVQESKSRCA QLEDTISNLQARVEARDKQELKELKNDIKALGQQIKIGGRSDGVAENEVVAKVKEDLR ACRQELDYTIAELQELRNKPQEPVEAAIQRYKAGTSTPSEIRLHDWAEEGQKNDLADE RRKFKNEIKSQLERIEKLEKDGVTKDKEIETLRQGVSGASLKPAATPSVSQIPQILTT PGIARSTAKAPNPKKRHLDQHPPSDKLNPPPVSQKRSRVDKTQQEDDHYSDDINDSDL PDPGEYGKDATDKGKGKQSKDVTMVKGGNDQSSELSEDELESTQPTTSSQQPQHSQAI DTTKHSQGRPAKATTKANASKASTTRSKSILDKEDDDDWTPPSEKVAKKGDKNDKKNG KK I203_01494 MPRNSTSSNRKKVLIVGAGAAGMSCADGLSNHPDRFDVTIIDAQ AYCGGQAFSIPIDKKHGANWMNQGVQGGSYIYQHTFHHFRKCGYEEEPVELQVSFGKG DKFWTNLFPTNLVAQHSSDIKKFNRFLKIIRWTELFWALIPIKVSCKIAGLSEEFIDY MLFPSLALFLGTGNATPDLPTIMMERLYTSPTYGMWYPCDPKSMSSNLPPMVVFPESS EFYRTWQGKLEERGVTVRLNTELAAVTSRDPVVKVMLRPRRQQEDLHNPDGADHDLPA TEEVYDEIVLCTLADTAKRVLGKTASKREKWVLGNTKWSDDITVTHTDVDYIKKYYTI EYDESQAVENINGRDDSQRVRKGEKGFQPMYLIKQVPKNPRLLEMCFDCTNFQYQLDK NLPLEEHIFQTIYLNKRDQQTWTKDEIKKDKIIREDWWHQLCHGWTHYAFVVPFVWLL NRNKNHTTYAAAWTLVNAHEVAVISGTAAAYKLGADYPEDLYKNDFARKAFKSYLFLT HGLTLAKNRIRKSSIQA I203_01495 MDPTKAQTQATFAHLKSQKANKQCFDCGAKNPTWTSITFGIYLC LDCSSVHRNLGVHISFVRSTNLDSWSLQQLRTLKVGGNGPIREFFTKHGGANLLPPAN SDARGRYTSRQAGLYKEELARRIAEDATRNPHGIHIDGLELTPLASPSKAAGDDDFFS TWDKPSPASSKPASPAPGSTSKAAGPPSIGSGPKPAASGPGTITSSSLRSGTTASRPV ASSRLSSSTTAGGTTASSGGGKLSKLGAKKAATSINFEEAQRKALEEEERIKRLGYDK KKEEEEARALKEREAEEKRKAQAASSSRSSTPLSSSAPRKEESKPAPVRLGFGQTAGQ AAPAQTKARAAQVDDVHVARDKFGNQKGISSDMYFGRGTYDPQAANEAQTRLRDFQGA TAISSNAYFGRPEEDQEDDGYGGLAGSGGPGGDGLMDNETIQGLERNIRDIAGRVMAN PDVQNLGDQLRMGALKVSISTSTIVKSSYKNVNFE I203_01496 MSSKSPSQPTRPSTLPLIPQQPHWTRPPPSPPSHTPLTSSFPRD ATLALSSLSISQQPIPLPTPSPGPLGSTQTSTKNGNTLSPPTPAPSPSPADRRAFRQD ESSDEEMVGQMWKGKGKGKQSDVRDPQGLLHSFTTLSPSQRFTFLNSLIGELRLNEAL VVSRKIEPLLRRDFLRELPAELALHCLSFVDEPRTLARAAQVSNYWNQLLQDEQTWKD LFDRHNFPNPPPPTSFFNSNRPSIGIRRRSTQTSGSFPTTSNVKNSSIVAVAVAQTPD GSHSQSYIKRATPFGFERRVLNLASSSTTLASRLKEKENGTFKNRFKNAYLTESNWLS GGRKLANHISTDDAVVTTLCFDDSHIVVGMANNKIHVFDAVTGTYLRSLLGHRQGVWA MVLVSASPNGEESDEDESQDLLGGSGNNNNTTYTWTKASDTYRDNGQSRRSSFAGGPT TERHPPLSTSSSAGSFAFGLNSNLPGGIFANNQISDYQYQPIRPNTAMGFSSTNSSSH NNHNGLMGLGIGGNEHKSYSNAESCTYKDGGGEGKKQRSSDPCGCARGWKGNKNNLVV SAGCDKEVKVWNLDTGELIHSMRGHRSTIRCLKVLDRRPIAISGSRDHTLRVWDIENG FLLHVLEGHDESVRCVEIAGNKAVSGSYDYTSKIWDLDTGECLHTLRGHYHQIYSVAF DGQTVITGSLDSTIRVWSGATGECLALLQGHTALVGQLQLSSNRLITGGSDGRVIIFD LNNLSTLHRLCAHDNSVTCLQFNDKFIVSGGNDGRVKLWDVKSGRFIRELTRPCDAVW RIGFRSDRVVLLCQREGRTCLEVISFRPGEGESSRSR I203_01497 MSEYDDYPIDINQIMWMSLSSNSEKAIWWSTFALVIQSFIISSI ISKTFQYFEYFKKTDNVIFLYFIGLGTALNVGTLCVTTAEMYELIYYAKNEFHTIFRF IFLGDNTILFLGGIFNFTGGIYYAYRVWRMCNREWWVIPPLAIGLSGPFGACLAVVVK GYQLPILIPENLAKLEPYFADFVHTNKIWGGMTLSMDATLCVTLTHLLLRSKDSVFAN ETRLFHRLIALMYESMFPPVIFLIIAEASGNMEGAPTTDWRKFVVTCTPCLYFHSVLS ALVSRQTIRGILNSKLASEGVNVLSNGTSGGGGKMYASSSYPSPSTSALNHKQSQSQT HVEEGEGYEMKSEAGSVVTIPGPMVKVRVEQNSAISEPDSYASNHPQLSPLGEFSTTN RDRYNPLH I203_01498 MSSLTDPATAGEGSGSNKPSRRVAYYYDQDVGNYTYYLGHPMKP HRIRMAHNLIVNYGLTDEVEEDAPDVEGEGKREMNDEISNGNGNHVPEHKFIKNSLTG SRSKHMQVFRPQRATKAQMTRFHTDEYIEFLENVTPETAEAMTGGGVRCLIGEDCPAF DGLFEFCSISAGGSLGGAERLNSGAADIAINWAGGLHHAKKTEASGFCYVNDIVLGIL ELLRIHPRVLYIDVDVHHGDGVEEAFYTTDRVMTCSFHRFGEFFPGTGDVRDVGMKRG KGYAVNVPLRDGITDESYHTIFKPVIQHIMDYFRPSAVILQMGADSLSGDKLGGFNLT LKGHGECTSFVKSFGVPVMMLGGGGYTTKNVARAWTNETAVMCGKTLPEDLPYNQYME YYGPRYKLEVLPNNTDDHNPSEYLDKIRTQVIENLRNLPHAPSVQMRQVPAQTISQAI GLTKDNDQVDPDDEIEIRLKKNMQEKQLHGGYSPQDSDDEDIPMNGDSDHDDSPYSIP GRNRRQGGLNGLPRRTLPSRLNGNKRSTNGIANGQSDDEDEDPCGITKRKKRSFFSKK RTSGIQGLVNKKLNGLNGLNGLTVGNGNIVEFWKENNGNGNSINGGLGGMSRGESPMS IA I203_01499 MSEVLAYMSGPFFLGFALESFGMGIVLILSINYFMTLASQPKSP HESSRTLGVCLVGISLVLNIVQTIVDLIRGWNMFATNFTNIAGFLTSSPLFFVSPFLG LIQTTITQLFLLRRITLFISSLDLLWPKLAHPLVKNFFALSVGIAILACFVCGTISSV LVWKSGSLISLGLPGNTGFAKAESVWLATSTAVDTLLSLVLSIELWWARQKLGMQGGV MREIVTRLILVTCHGGLAVSGLQLSSLLLYNYWRYNAFCYLPILFLPKVYNITLILSL SVPHSTEIAPNPSHMFSLPTILDTQVPTNEPNRRFTSPLPDHNAATRSRNGIDKQPQH SGQMAERPDKRQWISWSVGAIPSSSSSQIRNRHSISQNRRFQRYSYNERFREERDRRP SDPNSLSPLIPEQSQPQPQPSNPLAYTHESSFLPDHTILPSPSPSSMTAQRHSAIVTP SSSRPLQSSFDVSAIYPQPNSSLFDVDPFHSTTHRNQHHHSPNESSLPQQEDEDETSL KGVLTSGDRDQRRSEGDRPVTFMDILDTGPDSGEYRGNEKDF I203_01500 MVKRERPASPVSSDVSNSTLTPYDSISIKDEKPPVNNKKVRCSP KKENGGKGGTKVPWSIEEDEALIVILDQIIKCQLWPAIKSSGNSQLIQRGSYGAQYHA KLLLKQGKTSSALSRK I203_01501 MFSLTLTTLLPLVPLLASVSAQYTATYQVGSLPQYSEEGQSGTN QCGTTSSQTSNCQNVFVNAVDDFCLWGPPDTHSEEGDGTSKIGNVEQIVVAYCLKDGY GTRLIPEGTIKGAHFVKVESEKVSYVQVTGNGDLTKLLIPAGDEGGELDPHSWTGLGN PQGGLVFTNAFSGSYEQTHEWTSFMSSDEFCIRACRDGPNAAAYCEHIYDVLSCGFTI PGDMGDGFDQCLGAPTDEAPGVYDGVKFHQDDPTTPAPHPAGATSQCTPYSTIGGGTA NVAAVTQLASVQSSSTSASASASASNSSSSASSTSASGSGSSSAPVSATITSSAVSSR AAASTNAAAASAAASASASASSVNSGAGRLVIPSLGLAMVLGAIGMCL I203_01502 MPTVLLTGISGFLAAHVALNFLEHGWTVRGTLRSPSKKDEILAV PEYKPYVESGKLHLFVTGSLENGDYTEAIKGVDAVVHTASPVEFGDAEYRESHLAPAL QGTTSVLEAAAKDPSVKAVVVTGTYGSIGKHTEHPHTQKGLVLDEKDWNPYTLEDMDK LVENGGKSDNPVFPPGVLFYMSSKKEAELAAWKTQEEAKKNGGHDWSLAVINCVMIFG PPIQPLKSLSQGGMSTEVLYALAKGKDVPIAPTLFTHYVDVRDAAEAHYQAVVRNAQG RFITSAGPYDYQEFADIARGQFPEQADRFSTGNPGKYAYIDPGTYTLKNDKIKKEFGI QFRPKEDTVKDAFTKFFELEKKGLN I203_01503 MSPPPAVPGSPYTSNHISSPTLTSFTQMPNQPRVSPQADGGNDK KEQRLIVVSNRLPVTISKDANGEYHFKMSSGGLVSALSGCKKTMSFTWIGWPGKDIPV ADRDHVNKRLLAEYNCYPVYLSDELADRHYNGFSNSILWPLFHYHPGEMNFDAAHWLA YREANMRFAEVVSNFCQSGDMVWVQDYHLMLLPMLLRSMISGESAQGEMVRKELGRVK EGVDDEVVKDVLGMQPGVAQSGEIDDEGVEILDDVDEGEVLHMKDKPAGAASRRPHFP RGLSTFQKQEMVAKEKGKDGIRIGFFLHTPFPSSEIYRVLPVRREILLGVLQCDLIGF HTYDYARHFLSSCTRILGLQTQPNGIEFEGRYAQVGTYPIGIEPMQFVEGLQKEKVQS RLKALETRFQGCKVIIGVDRLDYIKGIPQKLHALEVFLTQHPEWIGKVVLVQLAIPSR QDVEEYQNLRACVNELVGRINGRFGTVEFMPIHYLHKSVPFEELTAMYALADACLVTS TRDGMNLVAYEYISSQSKRHGSMVLSEFAGAAQSLNGSILINPWDVQSTADAIHQALE MGPEQRKSNWQKLFNYVSKYTAEAWGTTFVNELTRLSGLPPAGPAGPGRKKSGSLSRT SSKASIRRRASQASVVAPIAES I203_01504 MSLPNAFVTLLTTPSYLPGALVLLHSLLDLHPAPRDFKIVCLVT PETVDAKTIGELRKAGFDLVIGVEPIGSGRAGQKGLHLMGRPDLNFALTKLHLFRLDP FFSTLIYLDADVLPLRPLSHLFSSTNPHVLSACPDTGWPDCFNAGVMVIRPRESDWIG LKGILKDVGNGSFDGADQGLLNEWFSEEGGGGQWNRLPFTYNVTPSAAYTWAPAYKRY GHKISNVHFIGPNKPWSNLNGRPAGISNVKGKEPSYDYPALLDRWYHVYDTHVRPSAA HDPDISRRFAVPETIAAWNQSGAATGAPQQPIDRLNLDELKAASAHGVLSFKTGQYTS LPLEGRVDLLMPKPQPKPASITLPPSPPLVDPTALSSPPLEAAPPPPTLQQQVTQPAV WDAVHFAPPAHGKPEMSIRMDTIYQNAWEQPSRSQSSYYSAPPPQAEPQYPTLPESVR KDDWYKQFTGSVPDRSSVHAVFPWEQKGKSRPTPGRVFPRGDTPPPAQQEHSQPQRPS ILVREPTPDYRSPPHQPSPPPVQSPPSRSMAEAMASYTNAWDNIPQINKYMRRMSGMG MSKDIRSFTFHGGLQSVPGTPKAGGTIDFSTSTGRQNKGKSRDKNTGSLTDTSGDGDD EGDESDDEESSESPVVSQSSSGEFEDPSTSYPDHENYRDHSSQTESPNQVDAKVQVVP GGGTSPAVRTFQLPSHHFQQQQVQLRSVPSNRRYNKSPQQGNYNNNQNVNALSSGSST SSDTTPKPLSPPSYQSQSGGLPILQPLPEYGFDFRGSTSHGTGNGNGKGSRVWDPNTD PESRKRDSQEVLSRFMRAGGFGK I203_01505 MDGDGESLNRSLFIKNPGGFDNIQVLNVLATDFSDTHRASSSRN DDQWEFCNIDEKNYQHWVATERYTEDTVTANVTVYKLRSEK I203_01506 MSHPSTAPPSRPSSPAPLYRRSPVRIACVQFDVKLGRVSENAAK VERMTRDLQPGSLDLLVLPEMCLSGYIFNTPTSILPYLEPQRIGPTSLLARSLAQRLR CHVIAGYPEALPSAPQPSSSLEGLSSRSESNAGPSEMKQLEGEGTGVGWNSAVVVAPS GEVVGNYRKTFRFETDKSWAREGDGFMHFDLPEPLGRVAVGICMDMNPKDFVAPWDAF ELANYCRDSAVDMLVVPMNWLSPPAEPPEVTPEEDPEAPSESNLNYWAARLQPLHDPV PGYATPRNEVTDPVGGKDVVFVACNRVGTEEGTKFIGTSSVMTISSDPSRIELVECCN VSEERVLLATVT I203_01507 MTKPRSKTQANPKTPKKESVPLSELPKPTLVKSDKSQKGNARVI YWHRTDLRLTDSPALTRALEIENIECFWPIWCFDPEYVYKHRVGVNRWNFLLESMNNL SEEYTKLNSNQKLWVVRSRPEFVLRKLAKDWGVTHICWEKDSNAYSKVRDEKIFALAE ELGIQVIATPGRHLFDPDEVVKQNKGKPTMTLHQWQGVTSKMGEIARPAPTPTSLPDP GPSELQDNHEEDWGKYEGVDLNADVRTGKDTCFDSLAGPSSFPFSVPTMDQLGFSPST TTIKGGTIEGHKRLDLFLSDKEKVAKFSKPNSAPTSLEPSTTLLSPYIKFGCIGIREL WWGCKAAVEECRKKGGKEETKEPENMFGQLEFRDMYACAEAAVPHFERIRGNSVCKYV AWSLQNQYDKEGNEILPRPRDKDEQAEERFQAWKEGRTGFPWIDACMRQLKHEGWIHH LARHSVACFLTRGQCYISWERGMEVFDEWLIDWDPASNPGNWMWLSCSAFFSQYFRVY GLVSWPQKTDKSGALVRKYCPELKDFPDKYIYSPHLAPQGIQEKAKCIIGKDYPFPIL DEHLEKEYCIARLKDAYHLNYHGDHEDILNGTAEKKLTEIHEENGHTREKIVDGKQDD KKRKRELDGEGAMDKFVKREKK I203_01508 MSVELSPANQLGFPRPLTSLVKRSLLIHNPNPHPVAFKVKTTAP KQYCVRPNSGRVESGESVEVQVLLQPLQQEPPPHAKCKDKFLVQSAYITPDEEMHTLG EMWSQVEKTNKSAIHEQKIKVVYLAAEDGSTGQNGIPEEDDHVGEASRLEESAIFSHA QSSPSQPLPPAHDKPIPVPVQVSPPSTAVAPAPQSPPLADLTPAQAGATNIALEKSLN ATTSDSEKLSIALKEIEKLKSELEEAKNGSGPQVTGLRKRNTGATSGAETVIEKAKEA VTTSGGQQGVPLEVVAGLVVGVFVLTYLFF I203_01509 MSKLSSTLSSFVKSRFTPSCRSDDHIQSPKSKKEQGQGVDEISI PLSTNIYLSYDAVDERYGVHPLIKYERSEDGSGVTVNTNNPNTCTTSGKIDSQVLASA NVNTQEMYDSPLYHNMWRIRPTSKSTNHTDGDTTESVQEVLPMDPIHLDSNRFTSFVP NSIILGQTTSTSNGLGNYEGTIHHPIPISARSLNSRSKFFKGCKAKLLSTAIDFTHTD TSIVHSSKEVGQWTLLMDQNRSEDINTVLDNVLDDKTKKILGKLSGDDKYRLERISWT GHGWDDRDNEDDKVTQGRCVSGMMCVDANWVWERIG I203_01510 MIQTILRRLPTLSNSLAGPSRQSVRQCSSCPPPSSASFTSSLVR PTLLSHIPSITSTPKSTISRVQPLVMQVRGMKVRSSVKRFCDGCSVVRRWNDASSALD VWKGRIYVICSKNPKHKQMNNIVDVRYSENQRQG I203_01511 MPARRQSAVSPIDPVTGLPVEGLTPTSSGRSRGKEGKEKETFAC NFPGCGQNYSRMEYLKRHQRKHQDDRPFQCKDCTKAFARSDVLLRHRRRCHPTPPPTD RNSHSPPAPQRNYPGVPISSSRTDAREASPAPRGRKHPRRSSGDEERDATRPRFDPSL DPSLEDDFTGGEDDNDKYPEGANRFNRQNGMGNGGVYSAGNGNPFYGSGSVPEGSTYT PHLLPMFQQGQPFHSLNDPNHLEDASALLSMAYPGGVPGGENPELPKDLPDWANNPTI NMMMEAAVAANRKQEAENSDGANGDTHVDQSKTSTNVQPVVDPTLTGENPGANPGETN GENFINAMSWMSGMGTQGVMNGTTGPSTSPKPQSPFPLSSLFSPSAFGITNTDSNDSS GGNTQQSTPMSPTILSILDQMAMYEVPQTLENPNPERPLLRLANEEMNMRAGQEWFDK TSPFYLPAERFAGVYQIPHWALPPLRTLSVMACRTYHTVLNHFSFVHMPTFKLNDTAA CLAFAICTVGGIRTGNATAADAAVLQSLGLSGITPPKALDGPVVPDQSWESIYAANWK KSVDDKPYDSENVNNWKNGPLVRNEKTNMLVKSFSLAQGVLMTEYNVALLQALILYHT PNFLSESESERLTANMFMGTIVNITRQIGFFTPEADHFAMKIKLPTEPYTPNELDRCW KEWIQLETRRRTAYLVYQLDTVSALESNIPCILSSCELSYLPLPAPDTLWKASTAIEW MKALKKYRPMSLDEGMRRIFFLPTFGSFDNLHESADTKFYNLLNTHDFGPFARLAMVL TLLRGVIDIGEGKRDRGDWRDLTDLWVGCSWLRPSKRMLAQDGTDLGRITRQSLRGRF GMGLQKWREGWDFDRLCISPSTSNASRANSAGISPQSTSSGSSGTEPELPKETLNYCE DALPFYWLAVALLNQLNNSPHTEPGYNHFAGVRIGDMLKAARTFTRTGEGIPGASMNA TGTSPSFARQGSTSTASVVSANVPTHSNPGSTSSSISPSGPAQNGNPIDELDLSAMSP GTINGFFEALAGSNFAESYANGQVPISSSHMNDSGSDKRVDGGGGIQGQGPLAEYLGS LI I203_01512 MPGSKRRALKKLLSPNSGPSDPSTSTSPSSSPPQSNSTSSNSLS QMISPPSGQALPPPAVPLSQGEIQEDLVLENMHQNEKAIGHSTSPASLRTTEVAAPAT VGVAAAPPPPPSGGGMYGNAFGSGNNGNGGGKKKKSSRQKFEERQARKTQALLESAPP DDPNWNAQLEKERLEEIQMIGDACTVLGREIYEIAPDGHCMYSAIADQLAEIGVLPSK GAENYSVTRNAAAKFMLSHPDDFMPFLPSITGEDSAGATDDGVMTEAGFKKYCQLVAE TGEWGGEPEIQALSRQYNVPIHVFQRGPPTVVSHGGSADAFGGAMTPEQSLAAGDKVV RISYHKRMYGLGEHYNSLRKV I203_01513 MSIALPSVLRTSSGVCSIDGSHPSHLIVAEVDRKRIDGPAYVTH IRSCVNDTSGDDGERSNHTVEFNIVSASPDLSNTESINIVIPSRRKVHHRAWSEIVTL EVDRDIRSEAEMKIRPHIECESTPTPMIMEEDQSRSKLRLDGE I203_01514 MIEGSVHIRIPSTKQGTSFVPDSRSCEDQRQPFEFNGDPLPGMI DRSRGVPWSIEADSVYISGKGYELDMSSGAITKTDTLTKSLIQDAIDIATERNGTMVL ESVVYRDGHAK I203_01515 MTSFNPLPAHVQAAQDQFTSDGIPTPTYMGMVDTGAQWDYIVGK DGRRSRTPSRSPHRSATEPSVHRPSSLSQSQTPDQPPLGSSFPSTSAHPQEPTINEEA AAVVSRSMNAQQCQQQQQQQELQHRQTNGNGTTTNGHENGTYNAAGSSSRSGRSKTRI VGDWQLGKTLGAGSMGKVKLAINVISKEKCAVKIIPRYTEATRREEPKTPEEAEKQRQ RDESKEIRTIREAHISLLLHHPYICGMREFISHQNHHYMVFEFIDGGQMLDYIISHGR LRERAARKFARQIGSALHYCHRNSIVHRDLKIENILISKNGNIKIIDFGLSNLYAPNR HLSTFCGSLYFAAPELLNAKAYTGPEVDVWSFGIVLYVLVCGKVPFDDQSMPALHAKI KRGACEYPAWLSADCKHLLSRMLVTNPAERATLTEVLSHPFLTKGYEGPPDSYLIHRE PLRADEIDWEIVQMMEGFTFGSPEQIYDDLRAVLTSDAYLSCVAAYEACKDRRRGPSM STSSMDVSNVTDSPKKKRFSGFDFAKKKLFKEEKKVEEPVVKEKEPLDPTRGFDPLIS IYFLAREKVERERVYGKGHFASSTMSIDGNDAAQGYGRQVAQLQPPTHAMGGAYDSGS LPQPRQRTEEVPNPVMQHPRADQERSNIADVPHAHSRQPSLSQPPLPSSLQPSPIPIS DEGLTKKFSLLGRGPRPASSAAPSRASSTNVPPSQGMTPSPSMPLTAVGDHRRATTVS DKATKHERRVSVGSISNISSTVGRATGLGRRSSQRERKGTPNEPSWSNTPKEADEYHP AQSSVHAEDAANLEPDLQSELGAGTVSDTHSSSDVKSVYLKGLFSVSTTSTKPATQLI RDVSNVLNRLGIKHRPIKGGFECVHIPSIDLNSVVNGDEANTSLHSVNQQPAQTRRKP SLRRKSSKQNMNGSRTTSPAPNKALQAGSSGTFSMGEPHTPSTPGKRSTPGGPHVPQE EDELDAWALAQSGAAGSNLIVRFEIFVVKVSWMPLHGIQFRRVGGDGWQYQMLAKTIL REMRL I203_01516 MPFLQSISNFFGGQSGTNGSSSRGANRRSVMNSTMDAFSLPTAR YANGPGYGDGGLPPDSAASSPGPSRRGSIVYPPKDAFAGSPNYFPPPTHTFHRLRNAL VDSFPELLETLNGPANPHLLAAFEAELGCSLPRAVRESLLAVDGQDLEACANISGSGG LFMGLHFLPLEEIMREWAFWRQAEHDPMAGNNAAVLATMASVPPNWIKKLYACRGWIP LLSDRTGNYVGVDLDPGAGGSWGQVIVFGRDFDRKCVLWRGDGEGGWGKWLASFVEEL ESGEGWEADKTNNTSDEEEDIGYGSYNGGGSFGESGRGLRLGGEYRGWTVLEAWWDKS VRKWESLGLGLDVEDIEKGLEEARVLAGYSNEPEGKGKGKAVEGLGIGMRVGESAAQV EIPVLGSPSIPAGPGTPVPHDSDVLLPPASPEQPPIPKIRHPAPSPVRVITPATDHPL KASTSASGYLSPPSRSPPRSRRKEPLPLPSPIDLPTRADVQAMAAIAQAEKSGLRGGW VMNLDVSAGNASRRASRVSAGDAEMVNIDLEGGRAEKFGSPRMSDAEMERQREEEKLA LAGLEHRRSPVLIQPSRTPSPLSRESSFDETPSTTGSEQTPRATSRGPGSEGLISVPP SVIAATQSIRPPPRAANNSELNIRTFVNEDERDRPVIRGSNSRPGRKERESSVVSMTS QDELLERATTRSPSPSFTGEIAHSPTAITMSRSNSEQEERLASPLVTAGLRKGEKPVE EELEEISLGA I203_01517 MALFPIYEDGYLPGPNHEQDSSFLYDDYPTSPPVYIQEVPYEDE PYKDINIQDSYVEYEKEEDELPEAWIPYSGHTELPPGSGNQQVIIGAPFPQPSDLKQH YCDLYPEECHKIGGVLERNNTSGNGTIESDMREKTGLSHVDLSGCGLALLLLLLLLLG LNGGWSGDKASKDREGLGKSDGRGGKSDRDSRDKNGRDGKSKKREDEEKNKKNRDRES SGKDDKGKPNREDRSRNKNSETPEERDKRKAAERAERQANGKDGRNRGNKGETPEERA ERKSRERERQKNRNGETPEERSERKARDAAKKDRDKNRGRNGKDKRSHSGETPEERQA RKDRERRDRNRDGKGDRSNRETPEERQLRKDRKTRDRDRGKDRNSNGKSDRSNRETSE ERQARKNREASNRDRGKSSNGNNHKSNEETPEERKARKNREKDRKNGQQRSPKKSDGQ NPLNRSDRKKNGKNETPEERAERHRREGRESPEERAERHRRDRENKDREKKKQKNKKN ETPEERAERHRREGRETPEEREARHKKEREQKKGQNETPEERAERHRREGRETPQEKT DRLKKEKKEQGRSWLSPSMLLGLLVLLALMGFAGRTHIPQGMPGWNWRTPNLFHKPSG IIGGSLLGEGGGLLGKPRSFVKGSPKVIPSRSKWKVNEPAPHIHINNVNSQGNGNPIS FGVEPVVVEEVLDEPVIVKEKIWEPISFQFTGPTNPNRLLNMLFAALIVSLPLILDWI RDYPEIKYDQPDYISSSIQLLISAGLILFGLLIADWHFGWSTTMAPYAEVSVDSVQSG LTPVLVGAVETTEQLVWGLEDVLFGDSRVLLGMGLAALGVFFLAQREPNLDIPSTDFS NYTTQALVFLGALLVGMLLWNA I203_01518 MLEGGLYNYLEAAAFGGQCFGLHMGDKQQSNLGDGSANQTQRSI LRYQYFHNHFLGTCLERIYGGNHIRVFKQETTGAYFLSSSAEEDSSKNHQLGLNASDS GKDLFVGNATNIAIEGHLGINTTFTGETVKRGWRYRTTVNYIDDLVPANRTRWNHYTG VQAIGAEVSDGLVAVLTIQVTKDDPGLLGDQVWSALGM I203_01519 MNSEILDLENQGFVNELPPHITSEEEASMIPQLPAYSEGYQMEH SLHSQANSGPDELNSMTPIGPFAPAAAGGGVNPYQQHADVKIDSTGSEDVSDPEALAY SYSVPSDPLPPSSYIDTQHIPPVGYIKKHFEPAQHLQSYKPANIKQYKTKPQTQIVTQ STESKSQVAEAMQMMMMNQMMMQQMQAQQMQVAQMAAIDQQNMHMQSQMMQPGVQGNK KKDKPCKQSKGSINIQNCAQKVKQKPHKNRSKFVLTSNLVNHLPLPPIANPVQQPSIE TVALDNWQEATIILCFAAVGLIYLICSRAGGEEKKEDVASKHMS I203_01520 MSNTSQAELWISAASPLLSCSLYKGETGGSSWMQLPDGSHQYLG QKQFSIELPEFYSYQITVGLDGSSDKKSGESGMISLSTSFGTHSAKLECQAQTPETFI FHGVQVQTQVVISGGASNNTIDDASSQIRYNGFQSTPASKSDISAIQNGNFYEKTVSY TASGGASASFACQGIAFYIMGMTGPGFGSYQVSVDSKVVGTYNASTTIETYHTLLYFT TYLDSSQIHHISITNQFDGLSFALDYVICVSSESPGNQSSPTATDAASNSGATAVFPS QGTSTNSSTSGDSGGAVIGGVLGTLGGLFLLWVLWKYGQWKKAGGDGSFMAALCGGMR VKKEPKKEENKFHLWPMVWSRPKYAT I203_01521 MSIKPRHLKPFQQGAVVSHLKTHCFQYSSTPKPLGYFTSSQDFK GPRFSPGICKCLRLTSLFAAGGIYYLLSDARYKETTLFSGWPAKIRTIPSEAVEFTYT LDSAGGPIKKTFSHLLLSEEEVEAKLQNGENKVYVNREGNSVKSWEINSLPSSDTGED RYSTDVITESDLEKLLTSEGYFWKNWWNTRTKLYPNKQGEDVVEGDGKQDVMMFSVFD GHGGPYISDLLSRTLHGCLAWNIANFLNRGQNDMRAWEHEVIGGKLWSEMKFSDECIP ASVSDNGPQSFAQMMASSFLAFDANIIQSTWSTLFSPIEDKLPGLPPHSPTQLGLANL FDNGSCAITAIVDVESDKLYEANVGDTRAIAGWWNPDKGEWRCDILTEDATCENEKEA KRRDTAIHNQGYGDTNRVLGGLQPSRAFGDDAYKIGYDDYKDIQRTLFQKEPYKRWKW FEECPSRTPPYVTARPEVAIRDIHPRSGEELKFVVLATDGLWDRVTSEEASHLVASHM MHEIHPDQSKLQVMASCPHTSPLPNNDHPFPKEDMRVEGRWVYEDTNAATHLIKNALG GDDRELRRQFLSLRSPGARSARDDTTAIVIWFDDHKAKKAVAEEEAEVRGDMTSA I203_01522 MGPFPIEDQPVAGPASHPFILDPSPTTPACPLNSACPFLYTTPT ITRPRRNRYTTLRPSKLEQIWEKGQKRQDNADEGAASALESLSGESASASDSLSSTTQ RPLFTGPSPSPSFSSSADIPYNASDAYPTGTYSTSDINSSLAPSKSSSYYRSTSFTSL WSYSASRSRSYSASASQPTQTAYVPGVLLNLTLAGDSDTEAVYSVDVQLGHGANDGST KRRSIKKRGPSAWNGLDIQSVKLQVDLGSSDMWVATTDCTSSSCQSAPALFNATESLD SGISADLTYQSGAVDGDIYWEEIQVGDFGIGYQAFIAATDVTNEDLKGGNFAGVLGLA PPASSTILNAIGGTTSSNPDGATFLDNLFGAGASAPSERLFSLALERREDVRTSSTFG IGAVDQAICPSPCEPPYIPIISQPQLGLTGYLHWRIPIQGVSVTTWADQENGSGPTTT NVTLGPSQVYATKTTPLAVLDSGGVQILTGYRPYADAIYGAMGISMSSDGFYRMACTQ QVSLTFNIAGQAIPVHPLDMTYPDPEDASQKQCIGMIQYSSNLGDSGDFILGSSFMKN VYSVFHYPDTNKQKTWQPTVGLVPLTNASIASQDFYAVRVQRQSLASVSSDQQTSSGG TSTNPGSQPSQGAEKKVVNTTVIAVVSVVGFFVLAAAAFCAWWFWLRRKFGAAGVVTY KSAPERPKLAGYKSDSSFSSLRTKKHTSTQRQKSMVEGFSGSEYEGDSWMSITEGNDS IRLGYLPEVAEEDEGRLTRTADKRSSRGSTLGGGINEEPEEYQLIDMSEPLSPDRTRT RSPPLPVFSPGHQHTDSTDLEMPNSANSETIPLASAPYPSTATSSVSNPQKGRNPSVT MSGPFPTAMSGPFSSSHMSGPFPSPGNTNRHSMRPDVSPMYDIRISDYFSVPPGSGRG REHRRGSSGGGEGRRESSGRRRSSPSKGPPGIDEVVQEEEVERFKDNT I203_01523 MLLSLLVLALSPLIQVQSLTTSDLRSRSIYQVLTDRFARADDQI TACDPAEKKHCGGSWKGIERKLDYIQGMGFDTIWISPVVANIDGLYDHESYHGYWTSN IFELNKHFGTAQDLLDLSAAIHVRGMYLMVDVVANHVGVESKDAFLPSQRYGPFDSPA DFHTYCSPDWDDQWDVENCWLSENMPDLNTESPHVIASLYSWIQDLVKVFHIDALRID TVKHVRKDFWPGFVKAAGVVAMGEVLHGVDPAYLAPYQKESMTSILDFATFFHIRRAF ENPLGSIAELVDMITRVHRLFPDPTTLGSFLDNHDFPRFAGLTNDPSLIKNAAVYPFV NDGVPILYQGGEHGLRGGHDPLNREAMWLYGYSEITNAYAVIKALNQARRSAITSHPE FLTTLMKAYQLGNHTIALSKSPLLSVLTNYGSSVPAIGIHLNPEQTGYKPLLPLIDVL SGQIFSTDPRGALTVSLVNGEPRVFLPLSVHRGLSGKAAWQALPNQIDLEMTMKLPSP GTERGHRMRPSLSGLIGWWSSSRGKFNDL I203_01524 MFLDKDESAVISDDITVKGTEITEIPSTFEELKELNWAQITRYM ETNPKTKAYRALRNEIAREVDKVMISRHSHSYRGIYHIAESKKDTFISAWTEALVGQF KEDMTAMQRCLSSKSIWPELKDPMRKYYKDQVDSMIKSMTSGQVQVPNTAVFNFDTNE GNFVVKTRVTGFESMEQIAQHILDYQKTWAEAAKHVACRDSSEVLAAPVVTDKGLTPQ I203_01525 MSQASWSEIKDIFTNAPKAKAVRRLQNDLTKGWNRARKERKFEL IKDLGILDDKGRIEEITLISTWTQSLVGTDKEQLEMVQRCLSDSKIWMDLRGAERDCL EIVYSRMLTMLDSEQVPTQEKAMFEFDPAKKKSVCDSANNTNSIGRQMRSTININGGR QVMVSQRSLVAW I203_01526 MSNTQAEGMMERDISNASFAETGQESTHNYDRNEQIYDVPTVSH TSISPSSSQDALQPQRSAEATLSRSRSVQSSDLDYIKSDHCRTPFLKRPTDEVGGHWL EQRHEAPEWWNLFYDLAVVAVLTIFSTNHELNQPSAIPVFLSYYAIICWVWTSQVHYD IRYQALDGWHRAAKAIQIMTFVYMGAASGNWNPALIRNDEDHLATKSNIRASEHRTAN ESFKTVLASFVISRVFLACQYLIAAIIGFRAGRKVTPQMFTFISLVISSIFTTSAIIL PARSRVLSLTKVTLFYTGIGIEVIAVWLSLPKDPLGPIRTEAMAKRYGAFTLIIIGEG FISITRAFNLAISGFGITTDVTYPQVILAIMIMYLLFTFLFTRFDPSRKVDSCRALIW ETIHFPMHFNLLLLLAALVNAIVALSFAQGISQVTDHYISTIEAITNGTDISMKEQHF VARYFDQLYLQPDYNTEISLLRNLSNTEIPIEDPTILAYQYLGQIMFQVTNKYGIELD DTMVENLQSLYALNTTWSFNDTIRGEMQSEAFTLLREIIQEPASASLSGILWLFPTAG IALIFCAVRSILWHRHNPIGQTLVHIMWITLGLILACLGLLDIGSKNFDIFADSVKDE LRGVNPMYWLVHDRIPLVIVMVFYVVAYLLNLIILRVMDKWRCSHHSMDKGGRKYDDT VD I203_01527 MAQGTVPTRRTTSLSGSARLSLSVGKTNNNAPSPASGRHPLRQD WSISYVHRPPGAKVEYEKEIRKVATFGSIESFLHLYSHITPPNELPPVTDILVFVSRI GRPGVWEEMRDGGKFTIRLVHPITPLLFENLLLALIGDQFDESDNVVGCVLSVRQAED ILSVWVEEESDSVRSGALK I203_01528 MSYSKFDLSLLKRTAWGGVDADHPFEILSNSDQVPPLLIAILTL ILFGFFFLSNLPKVIYRLSSNSRGGELFNGIKLSRKPPSPSPNVLGGGIALAQTPITA RSRASTFVSTSLPPPLPSSSQEGVVGVGSPTYPSPTYQTPDTATPLRSFNNGSYPSQS TDKLIKRTNPPKSFPSLSSFIPLGHYLDAYVPFIGYTLGQTFVCLLWAGLTALGLFYN CDVVTETVRSGFVATNQLPIVFLLAGKVNWIGYLVGKGYEKLNFLHRIAATFHAGGYL VKWMKKGGIAYVSEASQKSFITAGIVAWAAFAFIGVTSIPIIRRRMYALFWASHWIGF VTAIIALSFHEPYTGLFATICLLLYTQDLILRLILKTRIVPARIVALPAPSSDLSSGS TQIVLPLRSGWRAGQHVFIRIPALREVGGLAWLENHPFTIGSGEGGELVLIVKKAGDW TRNLYDFALRDLALDMGMVERVEQVLGRGCKVLVEGPYGGPCSTVFASFSGIMLIAGG SGITYSLGMFEDVIRKAEEGHLRASTVHFVWAVKTYEDALSLLSHLEDLNSRARNTTF KPLITVYLSRSFRGETFTQGSIRIVTERPDLPTIVREGVSKTRMDSLSYANSPGASNR GLIVGICGPRRLIDGTNLAVRSVSWKEKKDIGGITVS I203_01529 MTLPGGGRLGFFPAVKTRFTGPNVGFGAGLMGMPHPLGSPLAWG VGTGIDNTAAAWRRYYGVGGMLTGYPLHGRLPGKTGVGGYWGGWHMRD I203_01530 MSYQLNTAPTLQAQQPSIEQPYVAKQPSAKTMMNAKSPSSEESQ QKEEAMRLRGGCPGHFCGLPILPCR I203_01531 MQSFLGGDKGGSSNPLKQIGQREGADNSLFKDRYSPAGPSSSQS SSSAFRPPPQITHHQPPSASSAALHSTPHPFNLSHLSAALSQPGPQTGSLQLHQPAPI ASHQFNAQWEQARSNGHSPVPQHIIQARPPQQSAWSGDFQSFHGKGKGRAVEQPSSPQ VSSPYQNGYSSFTPHHGGGFQPSFQPLYQGMIPHQQIQPQAHGQAHRVVDQKEMDDLF ARAEEDYRASSSEAQIDKVQEQDQDGVEEMTSDPKGDLEKVWESLKPEAERLNQLAEW EKDFSQFTNDEDDLFDTLNESLNRSDVGQRPLEQQLHIDRDVGGLAVPDGLDQYNGVQ RDDGIPQNRGYDYASNNIHDSSGLALLWAEANRIVTDGGSLTQAGLMLESFVRRSTTQ DRQQLNVSATEAWSLLGRVHAMDEKEEPAMRAFEEGRKTLGEEGVRGKESVAGEMLTN LAISYVNESLDLAALTVLHQYLSLVHPTHAGQAPSRSSLGDNNSPWALHESMAERFLN LAREQWQNTQSVDPNVQVGLGTLYYMMDNYEEARGCWTNALGEKPDDYLLWNRLGATL ANGGNSEEAVDAYRRALELKPTFTRAIFNLGVACLNIGVYKESAEHLLAALSLHSNQS QSDPDRDSDILSSDSWSIWSTLRRALVALNMNQLAGNAEPGADLNIFRQAGFDF I203_01532 MGPRMISRANSTKSPRSIKPYVPKTGPRAHHGPRAKTTHDIQDL SPALENQKVVVAGWLFSQRRASENLHFFTIRSSTSSVQLVSRLKDASQELMEWPLESV VLVEGTVKGRKQKAKGASAPVDEVEIDLSKLTLLNPADAQLPFYPNRPEIANEDLRNQ HRYLDLRRAELAENLKTRSKVAHIVRNYLHDNGFTEVETPILLNSSPEGAREFLVPTR TPSSDGSPKFYALPQSPQQPKQLLIASGAIPRYYQIAKCFRDEDGRKDRQPEFTQIDL EMAFVDGSAPNAAIAKDGSAGMRSTWAIGGSQVREIIEGLVKKIWKVIKGVDLEGWFR VMPYDVAMDVYGSDKPDTRFEIYTLPIGYYPTLSDASLDKILLDQSPSTVEFMIIPAH QAEGLDIPSLAKSSQFIDYIKITDKNIYTWQNESVLTAPIGLEQDKTLPAGVKPGDVV WVSRRKKIAEGGWTHLGRLRVQISEILSSKGLLQLPTQPHFLWITQFPLFTLADEDKA QLSKGRYASTHHPFTSPLWEDLEDLKKGKVDGVRGQHYDLVLNGQEIGGGSVRIHDAE LQEWVMREVLQLDDEEIGRFDHLLKALRCGAPPHGGIALGFDRLVSILCETKSIREVI AFPKSGTSGIDPVFRSPSISSDEVLKEYGLTSLKGSRKEEVKEEEVEEIEEKEKEKEV IQEKIIEEDGKVKKSEKVLREEQEKEVEVNEKERIVVEEK I203_01533 MALRSQILASSLPFLSTHSFTRPALLQSLRSIKPDITNPDAVID TLFGPGSVGASKALVERWEEEGSKVMNSPIEGKEDLGEVLRRRIEYSSKVGEHLVEAY ANLSTPSSTPSIPLPSLPILKTLLSSITLPPSYSPPSSSSSLSRSTTNPNPNGTASSV LDQLISMTGNRLPLLSINPLGPLGYAWRIADEALFLTEQKGKQKGIVRRGYWNEPTGP GPEWYTKRIGLSLVYLSSESHLLRPYSALSSSNNPHLSHALSSLETNLKRYQSTLSSL ERTEENLGDTAGFFDFVFRSIGGIARSRYM I203_01534 MSHSSHHHHHSHSSHAYPSHGPPSGYPPSSSHSPYPQSAHHHHH SSRHVSNGPPPTAPGMVGGPHPPPMTMAGPPPEAIGPPSIVAMGNGHGHPAGMGGPIS PAARAAKEKMDNLLAQLANANENTWMLIGAVAEGMNNPDRALTAFENALRHNPSSVLG LNAVASIARSRDNFDKAIEYFQRILNINQENGEVWGSMGHCLLMKDDLPKAYTAYQQA LYHLPNPKEPKLWYGIGILYDRYGSFEHAEEAFSSVLKMDPNFEKANEIYFRLGIIYK HQRKFGASLECFRYILNNPPRPLTSWDIWFQLGHVYEQDRDYENAREAYLRVLSHQPD HAKVLQQLGWLHHQPGAPFADQDKAVQYLTKSLETDGTDAQSWYLLGRAFMAGQRYNK AYEAYQQAVYRDGRNPTFWCSIGVLYYQINQYRDALDAYSRAIRLNPYISEVWYNLGS LYESCNNQITDAIDAYSRAAELDPSNTTIKQRLSILQTHGNAPLPPAPGPVDVHPSQY SATPTGQHPPGHSPGQSPHGHPGQLQPGEGPAAGRDLPPPPPGSEFGRPQSPGPFRGG PVPPPLAHVDESRGSMSRHAPLAPMETERPDGPRERGEPPRGGPQYNGGGRFDLAGRH IETPPSPGRRDPFGNGPPNHAGYPPSYPRDREHEEWERSRERSRIPPGGLLDVRGPSP RMQDRVPHPNVDPRRQPSPRLPPDYRGQDYSRAGESPYPPGYPYEHRGSYPSGPDPRY DPKREAEEARRRDEGRRFEERELNGSIRHGSVTRDLRADDVRVPSPAPSTGSKAGSKR RNENEKVGNAKRAKDDKPPSKRGSGDSAKKDKSKGNLKATVDEESRGLSPRPTNASSP SASVRSTPQQTPQKPAPTRTVDEDYDEGAADALMTLHGDRPPTSKDSTTRDGSPSSRS PAAPSVVGSKRLEPPSPSSSPATISKKPKGDKSISPPAPTAASSRRTIIEVLNTPSIG SPLPRSTPAEEKEKIDSDAKKLEEPKVEAEPEKEKEKEKDTSTTAAASDEVEKQHGEE EGEVKEASRPPTPPLPPSSPKVSGVAEKDKKSEEANGDVDADVEMGEPEETGTEVETK TAPASEVVEEGETLDEEVSIDQGKKTGMEVDQKEGEKEEDGELKESEEVGEIEVDANE KVKAKEVEA I203_01535 MSTSETQAQTPLLNIIRSADNFPSFTTPYPTRHPLNGKRLLPLH LTLKDFQSRLPPIGLVPSDLLKDLKSEKGLQFFSILKKLEGKERELAKKAKDKKKNEV AKQQEQEEEQEKPQEQEEGVPEGEQVIESGGKDKEEKKKHKEDYKVIVLAIFFSDEVN KQGLKARTEVMSRVVAKWKDANKFPEAMKLWMNEPFPIYASTKSSMWQQSKEIQQEAK KPFGNVAFEIERAATPILGCTALGVHVTAYEGQGDEMKVWIPRRSANRIKSPLKYDST VAGDIRSGHTPLQALLVECKEEAGWDEGLIKRYVRHAGMISLFQLTKWGGLAPGGYVF DLPLPSSDSDDYIKPQPNDEEVESFQLLSVPEVISALKRNEFKPTSAVVTIDFLIRHG FINPENEANYAEIVKRIHRKTGVAGPGY I203_01536 MPAIASTSASASSSRMSSPAPTPSGSGGSGPTQPIDAYRPISGH VKKPIIPLLPSSATPNLPTLLSLDPITHTSRLSGKTLQASDPTSSSSTTLPNIGSSSI SPLVRGKKRNRGYPSELQKVRDEQQRKEGERRELGLSGMRKVRRRLGGVMSKGTKISY NSLIPLNHLHTNYLFQLLSLPPLPSPSSSKINTTNDVTPTINSEVVLSKLSKADFTGM KITVISSKNPSLVNQKGIIIEETCSTFRIVTEIDNKVKVIPKNGSLFRIHVPAYSPLH GMSSDTQNMDIDMGDQHGSGLDLEEFLNKCPRLQIDLLGSNFLNRSGDRAGKKVKPLQ GNGGGSGWAQDWIKETDWEKTFNLLEDELDETNNRKATPAKGKKRGGGGEGKKRKRNK SRRKDPPAFGNPE I203_01537 MSRPNISYPTPIPGPSTSASSSTSSSYDASTRPNMGLDPSPIGR ETGGNGHGQGGGSSQPPRRSSGSSRSAGQYTSALDSSITRLLVTTKQLLQGLEQWSQA LISETDVSDIYVRLGNGFEVCIQAFHRAGISTHELDAIPQDLRACLEQCLSYDQNHET LEVYLPEIRQIIYNLLHGLKQKQAAYKRLIQDRQRNDPPPAVVMPTAQPTGTAPSAGH QQRKPSKGEVIPTPPPETDYRMTHKSGGSTSSTSPASQHSNLPGTKVPSSSALAERGN NRTGLPSRPAPPDAFRPPRMRAPEQTHQHKRSASPVPVQQEPKRHQLIDNPVPAAPSP PPTIQVHNLPSSKPPPRPDKFSRDSYSSQRPVSRFSADSDITNGSPIRSPPNRSPAKQ HEQLPQIIAEESSTQQPPIPPSLPVLNLPAALDLPDEPQPPAPTPPAPASSQSIDGLP DVPPETRATLAALQRSDALERRASKRFSSYTFNKMLPGSPGKKSGIGSPQRPTRRADR PPPMPALPESITNAALNKAVSADADPLISPTSATHLQANGELARPTTPTGDVLSDDQS DRSVRVVKTPDPDDIGQNATPRPRSSVSAPTSVSVFLQIGRQVKKATVDLPVSMSNLR LLFMERFEYDPGMEDFPDVYIRDNRTGVQFELEDMEELKDGCVLNLNIEPLDQVKQHF DTTFASLMQEIKDMKKTIDSTRRHSMTPSPSLLAVSPSAQMSRPSTIVRRPSSETIAL SSSTTAVPTGPRPGSPSPRSGLSNLTPEKEAELQKQHDELQSLRRDLAVMRQLHVDFL NETKESFGKLRTQNSAMRDVVKTKMGGNRALLDNSKTKLESQCQDTIQSVEDVSDLID KAREDASKRYVTPSKSQMNTIHNDLEKAKKLVDQLSSDVQLADPMWRATWQIELHRVM EEQKLLSYQIKLCTDLKKDLDDVEVIFGNVKDFVTQRTINGGRVASSGKTFVKPDSTE EENTEGGIGNLLMEIRTKEGDPNSRLKAIEQQQRQREKEKMNKIDEFEEELKLGSKKL KKTGGTEEAERIRQRKNENTLRKMINGEVLSPQNTGTTIARALSPQLTGNTPKILSPQ LTGLTGQQQQQQQQRAITPQRTGTGESASKKLSPQMTGGSISQVLSPNLTGGSDSRSR RGSGHASVEGEQK I203_01538 MSISLPNGTLLTAPSSSSTPVTLSAAVTRILHLANFSADLKTRD LQNMFKDWEAEKGGFRIKWLDDVNALVVFADASTAKRAYLSLLLHPPSQFSGLIKPYD RPDAAQIIQSLAARSLGHRSTGSALNGSISFPFPTNNDPAQPQIHSRAMSVTNPLNKG GSGSISIQPGGSISNVLNGGGVGGHVRGSTAPQRIGGGGGGGHHRTSSASSSWNNRAS GSFGGGVLSFSSSSAAANKLPTHNETSPHPHSLAASRSTSDSDGEPNIVILDPSATQG LKTRSSTAPNGNGNADSNGRGRRESVSAEKAMREVEKALASVEAQG I203_01539 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGQ I203_01540 MAASVPKLLVVGGNGFLGSAICKAAVTKGWEVSSMSSSGKPYTT PAGHSPAWSSKVQWAQANAFDPSTYQHLIKDRTSVVHTLGILLEDTGYKSSIRQGDLL GLAGSLIKSTNLGGGGNGNPLKSEGEKKRGYEGMNRDSAIKVLDTFLSTSSSSSAPIS TPSSKAKQFIYISAADAFRPLIPSRYIETKREAELEIARRCQVYNDPERKNGQTEMVK PVIIRPGLMYHPHIRPLTTLPAFLIDLSSKLSLRSGIPNPFASKSPLHGALESMRTFP LHVDHVANSVLRCIEESRALGQEQEEGGGWGGARVVEVQQMREWAGLGGK I203_01541 MHTDGKLDSSTYNDNSYAIEEDFDSIYPPVDFEVHNYSLSSQFL LSTPTSTPSSLDRYPDIPINSPPTSQSSLFNQDSVPELEFSQASQVTTQLQTPPSASS NKTHRYAQVEDPDNRPSPSAPSTRKCKSFNHAIKQLSLTPPASNTTITLRSPIVAHRD DSPSSRLQQLTPTRRHRDEEETEEDRPEIGAVKEELESPLRNLGLGTPRGHHRVKSCH YLAEPGTPPSHSHSPLPSSTPGLDSPFRRLGLGTPRGRGRIPPLSPQPRPQSVCDSDE SSPSSSSSTSPLQKKPKAQLHIPAPGWIPDTPTPYRLYTPASISHKPIYDLTPPRQPI FTPSPRLRDVKPIIPSTPPQIELGTNSGHVEASLTFISHVSMDGGILAALRRYRDHYQ GQGLDMRDCAGLNMFINPPKRGVKKREDDAEVREAAKIFRSFDEEFRRNSMAHAVHTT NLLSPDIQLRDCSKESIIAELELARVLGIPTLVIHLGSEGHDVDPQGDIVDRDRLHML IGDLQDILDRTQGVVLAIENTVHPSPSSLTTLQSLALLLALMPHPRLKVCLDLAHVHI SELDLNDPERQEDLIELLKKIGQGRIAGVHVGGGGNEHGGKVDRHIGIGYGSIELSSL RSILLHPIFHSIPILIETPRYHQYHRWPRSHSRTGTRIKKIPSSRIEELEDERSKLES TFLQYMVNLPDTDWEVNQYKLLKRYQKDKKKIENKIYKILCKRKDRASAMWKRFGEGR KRELKCLRRVQGAKRRRMRDEG I203_01542 MIKPGQPGDDGDSTNAIRDVALNPLGKRKKMLSEQIGEENLKHV GKKDGKEDNDSGSLKIRIQLDLDVEVHLSARVKGDITIGLL I203_01543 MFNFRSKDQNTPTKKPNAATEENLSDTENDNNNNMSEKQQERKV QRQQNGNKPPNYDSDDSERDASDSEGEDDNNDGPLDRLNQAGEAGQEVADTANDVTDQ ATDTVQGVTKTADQATSAIKDVALNPLGGKKRKQLSQTIGEENLKNVGKKNGKDDDEA GSLRIRIQLDLDVEVHLSARIKGDITIGLL I203_01544 MVLGILSAVAACPAIVGTTEAVRHGQKAQAKEAHRGQKVNMIAR LPTPIPGYSEKFEGSLVVLKDNKLYIQHAQSRFPPYSVHPFTGYYLPYPSNQNKWAGA GYKGEGLVSTINEQNHLNWIYVDRDTHEIKYGVKQDAEGNCCGPWDCTSIDKRMTFEG WEGFIAVQEDEREDIWALYFDRFDDGLSSDGLIGDFESTGKQVRMLEIQLIRKERQKH FEMAQEERVERIRAMKGKQKEQQEQGLQEEDK I203_01545 MAQPQPAELEISKHVPIDDVPALNSYEEEEEVDHAYLAAPKQTR IFRGVLLQMILLGALSFVGPSLADAISGLGGGGLKDSDLANLARALSSTMTCIVTLFG GPLINKIGIKTGCVIASFAFFFTGSGYYVNLKYGVQVYLVIARVIVGGSNGFLYVAES TAMLSYPRFQDRGTYISIWSAMRNSGGIIGGAIILATNYKTSGAGAVSAATYLIFLGF EASGPIWAFLLSPTKKTRRPDGTKIPTSKEITWKSELKALALHFRHPRVSFTTMRLQL HAFVANTDSCYLPNFTFLATHFSVRARALASFLGPTGAVCTALLFGRFLDSKRISRKV KIWLGKTLVLVPQLASFIWVGIEWNKYPNKVALDYDLSRSTWAAAYLGQLLMHCSGFC FQVYLYWILATFSNDLKAASRIGGTFRAFECAGQATSFGLVSKYPRALKTFYFNASLI VPATIFMIILAKSVPETPAEVDDMVRGLDQVAEDESVESKQ I203_01546 MPSQIQTETTANVNQATSNIGRLDLRGGDDGPRYPQYLPSVEHA TFPDWEEINYVDPGTRGTADKRHLFVPGSRHKAITPRIGEEIRGVQLTQLTKEGLDDL ALLAAERGVVVFRDQDFKDIGVQKQLETARDFGRLHIHPPYPKGIPEMHVVYKDQAAE NAIKPADLQSVSSVIWHSDHTAEQQTPGYTFFVALEVPETGGDTLFVSATEAYKQLSD GYKQTLEGLKIVHDNTSMIEYAKSKGLPARFSPQKRSHPLIRTHPATGEKILWAIGAG EGNGMPRYIEGFKKEESDSTLRLLNDILQRSGDIQMRATYEPGTVVVWDNRAVGHTPV HDHKGERRHFVSISAMAETPY I203_01547 MSVDKKLKVAIVGAGPGGLAAIIHFLRVPNVVLSVFEAARELRE IGAGIGINQNTWRHLQLMGVAEKIEQFTNRGDGTKIDVENRNGTNGKLISRKYQSTDP NTPARSRIERYKLQNALLSAVPVGLIQLNRRLADIQEREEGVVLIFKDGRSEGPFDLV IGADGIRSVTRSYAFPDHKLSYTGKTAYRIIIPGEEVAHIPNIPQGSCFWHTKETHIY TNPLDNGLFEIATRAVIPDENGTKVSLGTEVPREEVIGHYTGYCETIRQILDVPKKWL EFAIFGGPRLESVIHGGRIALLGDASHPLSGAFGAGACFAFEDAYVLSKAVDQALKNG TSIANALKEYDEFRAPHYKALYQVLDGYARTAEEVKSLNAELSDDEFVEEIVQKGLAG NTQWIYEYDVTKVWQEKLDSALKGKDGLDTSLAPGVAAIAITA I203_01548 MSYNTNNYPIEDKVEISHIEAGDEHLKHEAHHHTTNEIKHGDNA LKYVGEERVELTEEDNVRIRRKTDKRILSILMWVYFLQIFDKTLLGYANNLGMSTTVG LHGNQYSLLSMINAVVQLGWQPFSSYLLVRVPARHLMTGMVFCWGVSQACMAASSTFG GLLACRALLGLFEAGCLPLFSLLTVQWYRRSEQPIRVAAWYSTNGMATIVAALISFGL GHVKSPHIHNWQLIFIISGIITVLTAPVIWYMIDSDVASARFFDEDEKAKAIERLRAN NTGTGSNEFKWKQILELFIDPKTYLWLALTLCNNVGAAVTTYFGPTLIGSFGFSKNIS SLLNMPFGFLQILAIMVGCYAATKFRLKSAMLGVFIGIVIVGLALLYSENTRGHLRIA VALIGYYLLAFLFGCNPMVVAWIAGNTAGQTKKAAVMSIFNAASAVGNIVGPAIFTDK DKPHYIPGLKTTLGIFCAMLACVGLQVVLLFFFNKQRQNQRVANGKPRFIKDTSMESK YQAYGSEEHSDALGQNALLDLTDFKNDEFVYVY I203_01549 MAAVIEGDLLPPTLQNILDQKSLKWIFCGGKGGVGKTTTSCSLA VQLAACRDSVLLISTDPAHNLSDAFSQKFSKDATKVNGFDNLFAMEIDPNGSLQEMIE NSDQSGGMGGMMQDLAFAIPGVDEAMGFAEIMKHVKSMEFSVIVFDTAPTGHTLRFLS FPSVLEKALGKLSTLSGRFGPMLNQMQSMFGGGGPQEDMFAKLEGMREVISEVNSQFK DPDKTTFVCVCISEFLSLYETERLIQELTSYEIDSHNIVVNQLLFPKSTDNCRQCTVR HKMQQKYLNEAYELYGEDFNIVKLPLLTEEVRGTDKIKEFSKMLITPYVPPQ I203_01550 MRSVLPTRYYYFFWLVEPILTIAGGLSAIINPKDFGRYQLPDGI ERLTVGIGGSSRGQMVISQLGSCFILLAMISLSLVYLFKKHLDDKPALLERMMKGLLV PLAIADVSVVVVFVTLIPLPISHLKSPYEWTHIIHCTVWITLSLFVTRVCWLLGIGRP SARSMATASPLSRTGQRRIPLPKTDSELVIEQVVPKDGDSEGPRRRNTPRKTRLVE I203_01551 MSSRRAFKSLSRATPVTPRSPRLIPEDTIRPTAIHFAPSVKVTT FAPIKQHKKSILTSFSYTRRDPLPSPGDSILLSTSSASPVYPVNQWTLTDPTEVTQQA TPTGTCQPRTFTWPTNVHCFKPNSNGHHYHTVGSSTYRIDSMTSYKPFEGEDCTYRPE LQNDKKRMMEEAEKRKKARAARRKENEALRVRGGRKWAKAIVFVLGYQMRRVKDWARS VS I203_01552 MSTVSCPASTSFSMASLDAPQETPGSFEFTPTMMSTSTFSSSPS PSIIYGPTHHSSFGAGFDIDKEAVEVRESQLIMDYNRRRYPNSNRDLALTLGYKLCTG YTNRDGSGESDNPFKIKVEPVMNINWDRLIQDIPFGDQGRTQGPCGVQEVQELMDQEE VYECKGKVMMRRTERSKGKTRSDTKVPEPKNLGKVNRKTQKHTNRKSRNTATESQNKR KARLATYPADYPFHTHQLGNGKYDSPEPFFEPSYTDCAPKSVNKRSSSKSSRTSTDSD KTLVENDSQPKTLQGIVLYKIQNVNIQLVPTYHYARTTLKKAIPLSNSSSSSSLITND DLDREHQQRKVERAKAKRQEREKIRGNFPDLALGAMDMYVKNYGEEVGMERFARWLLF EKEFYEVEYTLEMAIEERRRRSTWYRRMLW I203_01553 MAFGSSERAEERRHEAQEASFAPDTHRPWHAHSRAYMLAAVGFM GIFLFGYDTGLGGGVIALPSFAKDFHISGTKTYVASLQGNIVAILQGGAFFGAIFGAP LEDYLGRKWSLMVGCWIFILGAILQTVASSSLGLVYGGRFTSGFGVGLMSMVCPTYAS EIAPKEIRGRITGMFQVIVVIGVAFSYWINYGVTFMNASRGAIQWRIPIGFQFVPVGF MVMLLPLLKESPRWLATKHKDELALKNLAWIRKLPASDPSVQFEFAEIVAAIREEEAA TKGASWREIGAKGNPIRFIIAFTVFTLQQWSGQNSISYYAPTIFQSIGLKGAKTGLLA SGVYGIVKIVATAIFIVFGVDRFGRKKPLLTGIALMSLFLWIVGAIFKTHIPNPAATT VPPASIGMAVCIYLFVIPYCFSVGPLPWVICSEIFSNRTRHYGLMTAAATQWAWNFGV TKATPLMVIKMPNGGIFFFFAAINIVSFTLAFFFLPETSGTSLEAMDIIFGSVTKEER EAEIARRAGAIEKAFDDEEKGVSSHVEHHDNKV I203_01554 MAPIILSRPNNPHRNSSNTSASSSFRSLFTQPNSKLSQTVGEAK VEDNRILPVKDFPPQAERRRSSAPTLAVEPDSENGTSSPSSQTKDELPEQTNTPRSEI LHKRSHSLRRKPVPSLLLNAESTATPLPLPLPMDHPFATKHNKHDSSSSSLKSFSTGN SATSSINRNPMLTPERSMGRTPPPPPRRTVNSPKEDEESMVIRKKKNARPDTAGTFGG RQSLEEDRPATATENHQGQLSRIDKEDALHARMISLSLDRPLPPTPTGPEDKQLQVPP SATLSPKSNPPRITRPSVDTNTSKSMDLINILRHSPSIPLHTSVPMSKNGSSGSGSGT GSGSKFRSKILNRWDSSEKVKKSSATSSSSKKDTNNVEEEFSVDRIPSKKDLWEAGTC FLRDENGDLKCFGDFFPKYREDTSSTLIDDHQNDKSQSKGKGKEKEFAASAGMEKSAT YSGASSQNQVENKVYKTVVFFIRHFWCGQCQDYTFASLSLLDPVALERAGIRVVVISN GSWKIIKAYKKLFNCPFPIYVDGPRRLYQLMGMTKMTNDFGPLFKGRAAYHQRTVPGQ LVHGLGNAFFRMPLANPGTLTQLGGEFILTPGWNCEFAHRMTTTSDHMEAPDVLRLAG CAHPTKSDAVELELADSQKAELEKLEKEMKEWQEQRVAELERIRQKKAARRGITYEPT RRDSNFTFLEGEKDISEISFENEVNQALSSPKELKEILSRVEEPSEIGARLEDVLQVH ESREREKLAARQLMLARGKGDLEVRVDSAKAY I203_01555 MTTLPRKKAAPSAGPSQPPPVVKAVKVVPDDGAQTIRAQSKKDK TKAANGQDGVDRAGMSKDVVKAVLASPLTVAWPNIPRHLQNATLHALKELVPSEIADY HVSRARCHQREKRKHRKSTRNANGKGVQEKSNDDGQDVEMTEGIRTTSSAGDTATSGV GTKRVSIEPSQDGPAQKKLRLDETGEAEGGGEGVSGSKPVKPEILSHMVLGINEVLKS LESQISDLRIRIMIMGDKLNGVPTTLAGNEKGSNKSYLLPTAPRSPSLSPEPEVEVAK DGDPAKALNQDISPLEFIIVPLLSINPPSLVSPIPQYCATYNTFVYQHNQLAKICKTR LKPGQYEEVVSGAKEEVRVVPLGAVEKEIAELVGLRRVACLGVRSSHPSISLLQNLLP KSILHSPRHSITLPIPTSSLNIHNKAPLTEGAKSTTKVKTPIAGVHYADLHIKGIKTK MPVDNAARKTKRLEEVRKKRVEAKMKKKEMRAKGKQKEKK I203_01556 MVRASVLNDALNNIVNAERRGKRQVLIRPSSKVVIKVLSVMQKH GYIGEFEIIDDHRGGKVVIQLNGRLNKCGVISPRFNIAVDAIEQWVALLLPARSFGKI ILTTSAGIMDHQEARNKHVGGKILAFVY I203_01557 MQHNHHNEFEPEYDEIFGPAKIPTSSNGQGLITSRSTQRWDLDS LTKSSGNLITKASDIGMKALILGTEYATRASRSHLFRSATTVLNDTYSSLFNGSPTDP SDGVFTSPYMEGREIPITFDDRTIDLLYPDTNKISKLRRPYDPITNTFTDSDMVDEKV GEWMIYKLDPSRYKYTKRSERFKYQLVALPRIVIYSDCSCGKESLLRSLNDTAGERDE EAKEYLRGDRWYWSMETGEGKYKLARMKHTLDELTSRNRRKDDGFEVAYVQNDQEQVQ GFLNTLEKAKMKSTTRSISHDNRIENPTAEKTKDKSSSPFSPRASRFLAGEKEGELID I203_01558 MFTTSNTTFGPIPFHIFLKHAGPSTIQSRARTLTSTAKRSARTH GSLSHYDVLKLSKNSTKQQIKARFYELSKQYHPDAKGGDTAKFHEINDAYAVLGDDSK RRQYDTSLTPASHQSHPSRTHQPYGHGHGHSSFSPRDPYLHRAAQGPHRAWSNTNSTA YKTGQAPRTENYQPFGRKTPPNFQYTYEYDFNYNPNARTARPGGKRKAGEDGEGDGEG AGGGGVWKFLVTVGLIFTVISLGGGLTANSEGLYDWEIVRNRWEEELDDRLGLHDRDE VDD I203_01559 MSVIETPSKAAASALAEFNLNTPKKVSEPTLLSKLQAVSKPTEK PVVSAEDKVEEYRTRFVGDVDCEEKDEPLLQETNSRFVLFPIKYREIWQMYKQAQASF WTAEEINLAPDLHDWENKLNDNERYFIERVLAFFAASDGIVNENLVERFSAEVQCAEA KCFYGFQIMMENIHSETYSLLIDTYIKDNDQKNFLFDAIDTIPCIQKKAQWALKWITD DKSTFAERLIAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELISRDEGLHTDFAC LLFTHLRRRPHPDTISKIIKEAVAIEQEFLTDALPVSLIGMNSKLMCQYIEFVADRLL VALGNDKIWNVSNPFDFMEMISLQGKANFFESRVSAYSKSGVNQSVGATSDHSAVKAG FTLDADF I203_01560 MAKTKPEGTPKDAKATKQATLASFFGAPKPGPRPPSTNPTSSPA TTNNGTRRPSSAAAGVGGPASSPVVRTTQGKDLVNKSSPLVGRKQNFVESEDELTPPP ESGPSSAKANSSEKKKVIQVDEDKEMDDGAEEADGSPIKLGRRAKRKVMYVESDSDGS EEEVVPKGMSGRKPRKSLKEDSDGDDFVLNEEDDAAMAAAVDEYEAKGFVAPKPAPKK ATTSWYDNNASTSAEASSSSARPGPRPLINNSRHNSGSGVSRSNDPDAIFLTQAERSK LEAKEQKREAEQRYAFLENPRDKEGHTPDHPDYDKRTVHIPKARFNIADKQNGFTAFE AQFWNIKQDHFDTVLFFQKGKFYELYENDADIGHQEFDLKLTDRVNMKMVGVPEQSLE FWIHKFLAAGHKVGIVEQAETAIGQQIRNKGKGKNNEPKIVNRELRQVFTNGTIVDGA YLSSDEANHCASIKEFVSDLDGTSAFGVCILDASTGLFDISAFEDDVCRTRLETMFRQ IRPRELVYAKGNLSVNTTRMLRNILPSSTQWQSFKEGKEFCSAQETLEQLSEFFAVDH DEIAADKAPLPDAIRQYQENRVAMEALGGLLFYLRSLSLDKDLVSQSNFNVYDPIKEG KSLVLDGQTLAHMEVLVNGDGGSEGTLLDLLQRCITPFGKRLFRIWLTAPLRDAKAIN DRLDAVEDLMNNETSFSNEFRSLCKGIPDLERLISRIHAGSEKESKFLNVMDTFVALQ HGVEKLRTIAENFTSPSVAGLLRSVPDLSAHIEHIQSMYVVEENDKSILILPQPGADE ECDSADATVAEIEQRLDAYLEHAKKDVDAQNIRWWHSAQGAKEIFQIEVPIVKDKIPK VPNNWIKQSGTKNCTRYYTPRLLTLKNEIQEARDIANTTRADFYKRLLAEFDRDRAVW LKTVRVIAELDCLVSLAKASTDMEDPKCRPEIIESPFAFVDFEELKHPSMSARGEFIP NNVQLGDTRPRQVLLTGPNMAGKSTLLRMTAAGVILAQLGCYVPASKARLSPIDRIQT RMGAYDNMFASASTFKVELDECAKILRDAGPKSLVILDELGRGTSTYDGMAIAGAVLH QLATHTLPLGFFATHYGSLTDDFTYHPNIRKMHMQTHVDDQLQQVVFLYKLISGVAES SHGTHVAHMAGVPAEVVLRAQSISDQFFNDFKMKLTQKRKSSLPIPGQADFAWLMRLV NGLMRDDDKTKAGLGEQLEVLRECIGRYEMS I203_01561 MSLFPNPVVAPPHILKLLDDLHAISSQQESQITAERLRDKQGFD EFMRDKFIALDQDKCWFVYQTCLSIGVKNVIEAGTSYGVSTIYLSLALSQSSKIHGHT PGKVIATENEPSKITQARKYWQECGDEVERLIDLREGNLLDTLAKDLPGQVDLLLLDI WSPLALPTLKLVQPSLRKGAVVITDNIVGSADRYKDLIEYLNDGVNGFNNLNVPYSKG LALSVYLGRQA I203_01562 MYIQSLLLPLLATLAIASPITPRAQEGKRIRSLASPDLCLTVQN GYAAYGTIVALTACSQGSGGYLDQFQFFSAVGPQRGQIKLISNEDMCLDADTSNGNGG QVTIEACEDGKDSQVFTVGTTGTGSEPNLRLAIGQDEGELLFSTPIQRKPYGFEKDLQ TWECHPTDHPDAQQQYFELVAPESE I203_01563 MASHSVSRLTKLRELMRDRNIDAYVVPSEDAHASEYLAPCDSRR SYITSFTGSAGCAVITHTEARCWTDGRYWVQAEKQLGEGWTLMKSGLPEIPTWGAWLK SDLPPSSRIGIDPTLIPQSESTNLSSSLTASPTNSSLVPITENLIDIIWSEEQPKPSR PTNEIFHLSTQYTGESVEAKISRIRDKFKKIGSPGTIVTSLDEMAWLFNLRGADIPYN PVFFAYAILTRDDCTLFASPKSLTDPVREYLHKNGIALLDYDQIWNSLGSWQKRLTVE RSQPQTDKVLIGMKTSWAIAKAVGEDNVEVRRSIIEEFKAKKNAAEIEGFRRSHIRDG VALARYFAWLEEVLHNGERWSEYDAALVLEKYRKEGQWFRGLSFETISSTGSNAAIIH YAPSKEGSAIIDRDQMYLCDSGADIGAGTTDITRTFHFGTPTEKEKRAFTRVLQGHIA LDTAVFPQGTTGKDILARRALWSDGMDYRHSTSHGIGSFLNVHEGPQGIGQRPAYNEV PLVEGMVISNEPGYYADGKFGIRIEGVDIIVRAETRENFGNKGWLTLERIAMCPIQTS LVDSSLLSPTEKDWLNEYHNEVFEKIGPLLKEVNDERAYRWLERECRSI I203_01564 MSNFNANASSSSTSTSTEPIIGPPNPQNPPLLPWAFSDCPLDIL VDLLTHMLELLIKHNDQVVLTPDALTRFHSRAAPGISVKDYLGRIVKYTNLEKIPLLS LLAYIDTTCTNLPSFTLSSLTVHRFLIASICAGSKAQCDVFCTNAHYAKVGGIKTQEL NALERELLRVTKWDLCCHAEQLQKYYTSLIRSHGGYAQSPQPANPPFLPFPRSRSKPR ASTAPSPTPEGDTPAPPGQDGEPEPPDEDEAMGEGDDDEGMSDEDESSMVIDASPRGR KRIKKTSDNGGMMDVDTSPTTAQAQEIPIEHDESNTSNHSPISSASTGSSSVPSSSKS SIHRNTPGSGTLKGKRSRLVSISESKIAEPKIDTTPAVADPSPTSSTKLHAPPPQNDQ PKLQPKPPAPIPTPTRKSTSAILTTSTGTSSDPKPQSHSHSHSSGKLLKSLVGGIFRR KSLPGDGNDLLAISPSSTSTNNGNGSLATSSAANANGKSKSQQSTTTSHSGSGPAYNV IPSKPIQSPTSTGKSGITASRPTSTSTTTSPRIYSSTSATGDKSPKPGLAKPVTPKVR TRDETSLDPRERVVLGAPMGMGMGIAVDELEGKRSRIP I203_01565 MPSESSSSERRKAEEKAKAKKKEEEELKAKRKAYLRKCDPSTVD ELMSGDETSKKGFEEGKWRPGWADVEFDEDACLKNVLKNKADGFYAPAGTMLPLGAQM QEMTVLKYGGYFPEGTSFPGGVSVPLHARMVNLLPEETKPKHDPKIDESLCTVQ I203_01566 MTQTHFTLNNGQKIPSIGLGTWQSAPGEVAKAVEHALKSGYRHL DCAWAYGNEAEVGQGIKASGVPREEIFVTSKLFELHHHPEHVPLAIKDTLKNLGLEYL DLYLLHWNINLEVDAPAGTLPQNEHRVKGSSGKFKLDVALSDDVTPTWREMEKLVDQG LVKSIGISNFNINRTKKLLKVARIKPVADQVELSIQCPQPELVAWLKKNDILPQGYSP LGGTGKTNLRDNEIVKKIADQHGVQTANILLSWLVARGVNPVPKSVTPERIENNLKLV DLTKEQYDELESLSQSHPPNRVCDQSDSFEPVYDIYQENDPEFSDKVQFAKEQ I203_01567 MPSSSKIIAISLIAGASAAPLNLFGTTSVSGKTSTSVSAGASVN AATNAIHDITGFTNAFLSSATGGAITTVSKLESQLGSAVGVARTIAMNTWASGQCLAT YADINMCTELSAYLSAVYYAFEQGWSAAQLFAALGADRVNKFQSLISALCAHAEASST CSPLLSAWPTYLTAMAQVDASIVHQATSQLTANGIITLIDAANAGTQLVGGLTSGVMG NVNAMVGATAKESLGTDILGLHLRDEHVQAKRFLGLENLFGHKAEATATASVVATAQA SATAAAAAAAQAQTQAAGALNIANIVNGAGSLTAGTTAQAQAQAGAGAQAGTNVITGL TSNIPIVGGLVNGLPLVGGVVNTVGGVVNKIPIVGGVAQTATGLAAGLTGQASGTLGT ATNLSGGVNVPGLLSLNGQVSGAANAATAAAGTVAATGQGVLGTATHLLGLRDVDLAA EIVEGDVFSHLSDEHKRDLLSGLLGNPTGILNNVPVVGGLTNGLLGGITGGLLNNPTG AVGGVLSNPTGAVGGIVNTVNHLPVVGPIVGNTVNSVLNTVGHLPVVGNVAAQATGAL NSAINAGLNIGQNGLQLGLNDVTSAAAGVAGQAGLHLRRGLLDGLPLLGALPVGNIVN SLPIVNNLPIGGILSNPVGTAQQTVNNLPIVGGLVHGLTANLPIVGGLTASAGAQAQG AANAGLNLGAGGLSATLNGVTSALGNVAGSTGIHLGSRDVNERDLLSGLLGSSSNSQS GLIGHNLPIVGPLVNGLTSNIPVVENAGGLLSGVTNTVGQLTGTVGNTVSGLTHDLPI VGNLVDGLTHSLPLVGAQASAAASGAAQSATNAGLSIGAHGLQGTLNSVTGLAGGLLG SANLHLKREASPEPILGLNLPLVNSLPIVNSLPIVGNGQASASLVDGLNVQLGPIQAQ AQAAIQATQHGLSSVLGAGLKVGDLVNAQTQGVVGALIH I203_01568 MSQPEQPAFAPPPSSSDSVPPPPPPITESAPEPASIKPLLNPLQ SDAPPPSSTLVEPPKSPNPFNPATDDKGNTAAAEVINGDAHEPEPTPAPVASSEAPAV ISPTPIPVSEAISVPAEPSKSPLPPDATVPPTPRAEDEAPPTLPPDAIPPAVPTPSVI TTEEQEAKGGILGVEQPALPTPGPEETAQVPEVPAAVVEGGEPMEIDTEKEVGATPTA SGLQSSQSEGSLKRAGENLDGERDEKRLREESTNVTTIIPASTPTPQSISAAPPAPVS SQPAEPAPPAAAPPAGDLTQPTPAWLTYQPPAPRPSGPTTPLTQHQHKHLLNAVRSLK KKTPDVFAFLQPVDTVAFGIPHYNQIIDRPMDLGTVETKLIASDPRGPPKDQSKARKW DTSKGAYNSYSEVVEDVRQIWENTRKFNGPGHVVTVAADKLDAAFENALSKVPPEPVL APPPAPVAATPPAATPVAGPSSARRASISQPPTIRRSSDDSRPKREIHPPPSKDLAYE ETAGTARKPKRRNDPQLQWGFKVVKSLETTQKFFPAAAPFLYPVEEIIKAIPDYTKVV KKPIDLLHIKDKLEQGLYDEVNQLDSDIRLMVNNALKFNPPGDAVNTAATQLLQIWNE KWQTLPPKQDIRDSSEDPLADNYEEDGYQSEDDSKQLKSLEAQVSNLNDQIAELRAKI AKGRANRPPKSAKPKPTKAGSQQRKPSVAKHSPGVNGNGNSAAKKSKKTKEAVYRDED EDMESEEEDTTAITLTQKQELAEKITQADEQTLQEAITIIQQTTNLGANNEEIELDID SLPVATVAKLYNLVCRGRRGGSKPGRKSLGGASGGPGGSAKKAGKKASGGVTKRSVNE REEAERIRRMEAQLQSFDSRAGGVGQGLGSGGVGYEEGESSSEEESSDEE I203_01569 MGKEEKSKSKKGSSSARPAPYDKRPKVEVKFEGAAPSASTSAVK TKKARPVNVKKESSAPSKASPSADKGKAKAVPLPSKVESESEDEEEEEAEAEILPRTF IIIAGSYEKLLYGIEGTFSSDSISPKLEPIFIFPAHLACVKAVAASKGGKWLATGSED EFVKVWDLRRRKEVGSLSQHTGSITSLHFPTSSHLITTSEDSTLSLFRTSDWALLKSL KGHSGRVNHVDVHPTGRVALSVGKDNTLKMWDLMRGRGAASLPLGSEPEMVKFSQAGT HFAVLFPRKIQIYSLTLKLLHTLETKSRFNTLLFATILPVNGPMSEEELLCVGTEKGI VEVYNIKVGTEEPHSDSEDEDEDEEAEASETKGNSAKVERVGTLVGHTNRIKSLSALP FEVPAEDEEIRPTILLTTVSSDGLINVHDLFWVENSPQFQGVGEDNTIEPIASYNTKG SRLTCVTLADGQDLSKKLAKQRSNGGAPAKTTQVEDAEEEDSEDDEDDDEEEDIYESG EDDGEEDEEMAVEFEDEEEEEEEEEYED I203_01570 MSTLSASQAVSDLLRQPDDLLKLSSYRKKLLKEKSTLDAKLQTG VKAQLDATRDALLKLQASRAAVGLIREEMMAVEKLKGEDMEKKGEAFDKITRVSTVHR NLSQTTKMVQNLRSMSDKVDHISSLLDSDKNQIGGPAGYSPNLLPIHFQLQQLESFRN ETLHQAKKNSNSTNEEREVLTQWFEKLDKIGQDFESWLWEISKNIVDLARKGNGGTVV RLLKIVEVEGKEDEKAVAMRLVRKVATHDAASKFKSMQANARVIKNYRHKLLDVMTNS IKESFENYYLDNQYDMLGFIEGLGWIYKDIIRIKEDIEPLFPEDYEIVPYLVKSYHKH LDQTIRKVVASAPEAKVLLELHAWIKEYRISMKELEIPSGWLQPPLLDGKSQDLIEDY VKLIVTKLEEWSDNLMKEETGKFQWRTKEPEQSDDGQLGMEGVVDFFSLINQQCDLAL DSNQGAVLVRVVNECSKLIKKIQNQWLNLINEESKAQIEKKPEEVPGGLVEYVIALAN DQLKSADYVESLLTRLEPLVSDKYKKQISLCLNESIDGYLDVAKKCTSSLVEFVFNDL KIVIKNLITPNWYTDPLMAQIIETMKDYMNDYQHHLNPSIFEILVEDLLDAFLISYLS ALRRASPRSLRMPVAVTKVKSDMAMSFDFFVNYKQPTDLEGNFEIMEMIISIIQSDPQ MLFMDYWSFAKKHGPNLVFVEGILKARDDLDKIHLNETIEMLRRKIKDEDIVDPEEPT IMVKVQGQSSGLLSNLSNLAGTYASNFSTGTFATGGFAMAGGAHFGAMR I203_01571 MHLVTVATQLDQWSLDFEGNCKRILKSIAIAKSRGATLRVGPEL EIPGYGCLDHFLEGDTILHSWEVLATILQSEEAQDIVCDIGMPIEHKNNNYNCRVIIH SGKIVMIRPKMWMANDGNYRELRHFTPWHKHRQVEQHSLPRIIRNVTGQSLVPFGDAV VSTEDTVIGVELCEELFTPASPHILMGLDGVEIFTNSSASHHELRKLNRRIDLIKEAT MKLGGIYLYANQQGCDGDRLYYDGAALIAMNGQILARGSQFSLSDVEVITATVDLGAV RAHRTTSSRRMQSAQAEAYQRVYVDTRLDGGQGIRVGDEETKGSMEVVYHTPEEEIAL GPACWLWDYLRRSRTQGYFIPLSGGIDSCATTVIVHSMCRLVADAASKGDEQVIADAR RIAGEPEDSSYLPTDPKEFAGRIFHTCYMGTEHSSPETRKRAKDLSEAVGGYHVDLNM DTAVSAVKGIFSLVTGKRPQFAVHGGSSAENLALQNIQARLRMVLAYMFAQLLPWTRG KVGSLLVLGSANVDESLRGYYTKYDCSSADVNPIGGISKTDLKKFIAWAEVKFDLPIL RSFLDAIPTAELIPIGSDNVAQSDEVEMGMTYDELSVYGRLRKVEKCGPYSMFGKLVQ EWGSFLSPVEIATKVKHFFFNYAINRHKMTTLTPSVHMESYSPDDNRFDLRPFLLPTR FNHQFRRIDELAEKLPNRATQPGNDKAKVD I203_01572 MAEQQVNITDLDPVQLQEVKKQLDQELDHLTNSYSQLKQAQSKF RSCVENVNSLTPSSKGKEILIPLTSSLYVPGKLTDTENVVVDVGTGYYVKKTKSEAAQ HYNSKLTFVQSNLDTLQKTIERKQENVQSVVQVLQMKMQQQQQQAAKA I203_01573 MIRSLLPVIEVVDNFPHTPSSQSYVPFHLTLADFQHGLPPLGLL RQDVLREMQTARGIWDFEESTGKPDETGDFHSEVICVYFKDELVKKGKEGISKAMAEV VGKWREEGKFPGPLAGWRNELYTIYASPKSSGLGYNSPSTPLMLGPGTFKNVAFNLER AACALFGLATFGVHMTAYEGEGWDMKVWVPRRSKTKATWPGRLDNSVAGGITAGSTPI ETMIKECDEEASLPEEFVKKRLQNCGVATYFYITDDGFLQPEVEYIYDLPLPPSASPE YIKPKPHDDEVESFALLTIPELIEALHSGDMKPNCGLIYVDFLIRHGLITPENEPNFL EISWRMRRTLQVAMPGI I203_01574 MALAQHVDAEKIINESRDHPVRKHTHDRKATLYDIPYTSRYDVE VDLPRYSIPETGVNAKVSYQLLHDELLLDGNPNMNLASFVNTWVPDECNRLMYENLNK NLVDQDEYPAAQAIHERCISMISHLWHAPKDATALGTATTGSSEAIMLGGMALKKRWQ EKMKAAGKDIHNPGPNIVMGAEAQVALEKFARYFEVEAKLVPVHEKSGYVMDPKEAIK YCDENTIGIFVIMGSTYTGTFESVQGMSDELDKYQEETGIDIPIHVDAASGGFVAPFV YPQLAWDFRIPRVNSINASGHKYGLASVGLGWIIWRSAEYLPKELIFELHYLGQTDYS FNLNFSRPAFPVLSQMFHFLNLGFSGYKRINENNLSKARLISRALEASGYFVCLSQIH RAKNQGASNISPVITKAASDIIHGHVPEIDDPTYYVEGLPVVSFRFSDEIKEKYPRVK QEWIQSQLRAIGWIVPNYPLPPAEEDTEILRCVVRESLSGDLARKLILDIIQVTEGLL NGAGPSYHMSIANRRQSTTSPVDVKRGGTLDTQHISEHTSTYAKTC I203_01575 MAIVFNNAPLDHEHSTLEGQMNSRFWLLVVNQSTDASTVTFDRR TNTDGKRQSDLTQPDYHLRRSTIDEPEETSVLVKSARNGNDGDGRQIDKRGGGLHAYG FGIWGWCEWSNADWTGYAKCTKKVFWSLPKCAVPSWDSIDQVMRDLPNAVTKATSITS FFLLFAPFLVLSFLILLLSAIRFEKPYPPWPVPPKSQWPKGKEMPSKRTRIAWILRDW RTHLIYFMLMLVFCLPTIVTVLVGKSEVNANGGLKADTGYGFIFLWVAWVFLMFSQGL CMWRYGLVYKRKERSKQSTG I203_01576 MGHHRSRSQSHSTSDRHQNSPINHLTCILLTLISTLFLFLVILY NVPFSSSDTGGLGDRLWLVQLTSRGTEYGFGVWGWCEWSTNKGATGGSCVKKSFWEIP EDAGGDSVSSLNLPSEISQSLSISAFFLVFVLIISTSFLFNLLIALHFHSPSQPSANH KIYWLPPRKMEFRTWVAYNLRNLWLRLLTIIFISAWGLPVLIIGGIGMEKIKGDDDGR VEAKLGSGWTMSLVAIITLVLVQISIPLGGLWNDSRRSGKKH I203_01577 MGVLRLGICISGVYAAFLLWAIAQERLSAPFPSTSPHPHTSPVD YPTKGDKFPSSLFLNYAQAVASSLSALCYLLFNAWRAGNLHKNLSKIIGFDLLFTSDS SGKAVEKRTQQNSNDNEKDNSKIAQNGHASSDRKKQIGNKSWRKSLPALLLQVSLFQT LAGPIGFLALRHISYPTMVLGKSCKLIPVLLLNVLLYRRRFSPHKYVVVALVTVGISL FMLLAEGGKKKKGGNDSAWGLWLLGVNLFIDGLTNSTQDQLFSTYPHFSGQQMMFFMS FFTQLLLLPLLLLPLPAHPLSLLTHLPFPLSPSQSTMPISFAKPEILNCISFILSHPT VLSPLLAYALLGGLGQLFIFETIQHFGSLTLVMVTVTRKLFTMLLSVVVFEHQLTKGQ WIGVGVVFGGIGVEAGMKRREMLKRAKKDK I203_01578 MSDTITITVDIPQLGPIEVELPTGSTAQDAIDLTFLEFEERYGE ERLVEALHDEEENDLSWEGEHTGDEWRLKERRERETGKWWDKEEILNYRDGLLEPEDV LTHSVTSYTLSKPHTPILAISLTLPATTSTIPLLTYALVHQTTSFLDILSTLEHELGL PKTSDDLLGPLSTRQTTNRSRSSSLVDHTETRKATGENVRWKLAVGERTLDPKDYVLS LIRGRGIDQIQMSLDEDWLFEKRKDIDEKRQNASTEVEFESPKSTLKASTSQPQGLDA STLSKKPRHAQSNSLSELFSTSTPQPSSSTTSDIDGLPNGSSRDHRKGDNVELYPVKS RDGKFMHISRPLAVIEGTPALPEDKRSSLNPPLNGDGEVEVEKEMNADSWEHLLDDLN LHGDKPEAMSSLSPSRKAYLLARHRQGTPAIPSSPPPVQAISPPRATTFISLSTGTSA GISRLLPQLTGSSSGGTGSTPTTPNRGKEGGWKRFSLVELGVWNSENGSQSDDHLSTP LAAGEEDVTTPRAEPSAAEKALGEVSEIRPMEKQVTGGLWSWWTGANKAEEGTPAGYV ETLKHSRENPQLLLKHLLSLRVTLSTVKLSWINEFILIDGLTAISSLLEKVAREPRQK GDIGEQIITEIGKSLRVLMNTDVGFNATLCHQTLLNNTVLSLRTPSYRIRNQILDLLT AMVTLSPDNESRSVLDALSELKPLNQDKYRFSWFVESIQPVQDEEEIQGVWEWRTGVV ALCSALCNASEEVEERLELRGELRRRGFVKTLQDLEMCEPPSNFLKQCTHYLDDQEDD LMEFRELFLGEVQNADLAVAVGRLLSIVGGDQVKGLVGVIEEVAEIASTPSIRDMVGS ILSCFAGHLSRLDDLSVDWSVLLRSFLVDLNDILPSRGEGSNGITEGALIESFVREVH ALQSQVKIVEDRNQLLEKQAEGQSAELMVLKELNGDKYDGEGVVHHLVVKEKEIKRLQ VEFQALKERIGPQKDVVNTEMRDRERLRFDALMEEVSDLRRKVSHANEALFEKQKEVD YLERAIETIQSRFKIQTQHWDEDAKVKVKFDADFIVDQAVKNWTQQEETIEILRKEVE LLNKSKQRLEELEIVTSNNMNISPPDPSNARCTPPAPSPPAPPAPPAPPAPPAPAPAP PPPPAPALSIAASIPLLNRLRSKTPPAAPSPPPPPPPPPMPSSTTVAPPAPPPPPPLP ATTRPTISASPMAPTPPPPPPPPPLASLHTSHNMPPPPPPSLPTSQAPVPPPPSSGVG PSRGFKLPASANPLQPKLKPFFWSKMPSYAVKDTIWTSLPGSEDLDLDFHDLNEVFSV DSGAKKMDKGKGKGKEVVTVLDITRSNNIGIMLTRLRLSPSKIRRAIIEVDDDLLDMD DLATLSRMLPTAEEVEKIRSFSGDISKLSKPDLYFKEISSIPNLKLRLETMVFRRKFE MMLNEIMPDLMILKNVVKELRGSDRLREVLKIVLVLGNRLNGETFRGNAAGFQLEALL KMKDTRTAKGSGCPTMLHYLAKILLRKNPDLVIWGEDAPALEPAARIVVSELASSINE ISSSLEAAQSFLPLLFAQDNLHDILNSFLSETSPKVVQLKLTYEEIRTDIIGLLRYFG EKSESENDVERLLGLLSSFSRSLEIASNEVSITMLKEQNTGTSVSTTISHTSTSVSTS STIQGFPDSSPPPKMRKPSIETILKRHERLGSTIKRGQVDEAIRTLHSGKTLRKERRQ GNMSTWGTLDVRTGTLGRKKSQGSDDRVRLSKMFLDGGVGGSVKGTIGSRSIRGCSEG L I203_01579 MGYDKKDGHRSSGRHGSSSRKHKTSREGDRERSSRGRESDRSDA QRRVNDTAKTVGEGAGKAYDSVADLFGGERELDRTIRRYNPTFYEFADKTFLGKFGLP NKPSKFLLFIIALGLIQSFIPLLQWPLDFVSRWLSFLFLFGTGLDELKAGFESSRRAP KIKSLLTVFVIVSALQLIPNFLFQTYYHFGALWSFFLPVILFITPFKDSPDQTLASLL CDTFFGGASMMISAMIPDSMSGENSQNMAILAGVITAGLFWIGYLGSMACYILVWAFL SLSTINTLGQPFISKEESSSGFYRQMKIWHCTMAIWSWRYLISAIESISIPGIISVIG LIQYYIPTYFLWMTGWWFAMLMTKKTEKRHRADTWYAKWLMGVGSAASSSRSGSGRSD RDRQSSSGRSSESRSGSGAGKHRSSRSDRDREKDKKRRK I203_01580 MPPVTVPYLCLIFGPRTIASILVFLLVVLSRCVSHFNTLLRIPY YQSISSSSSSLPFLFIPQRAQNPAAVSKDRHSRSGLTKTELSHKGGDGAHNWGSWKTK GQDEISGMEDANGEIFQLDEERGQDQAKGRNDDDENDGVGELRGNNANNDVEGNIARS PAESTGSLDRPNQGQRRMSSYSEEEKKEALLYRGGWHKAGVDLAQIARTSYGIAQSPP LATSPSKVKHGFNFNK I203_01581 MLPSSLLFVLSLVLLSGCLAAESLYSVLGVKKDASEADLKKAYR RLSKKFHPDINPDEAAHERFIEVSKAYEVLSDTEKRGIYDRHGEEGLKQHEAKKQAGN QDPFARFFGGGAPQEQRGPGLITNLEVSLADMYTGRTVEFQIPRKIICTHCHGSGAES DKDIHECQHCGGRGMTVQRHQVFPGMFTNVQMQCNHCNGKGKRITRPCHLCKSNKVID TDHTLAVHIPAGAPEGFEEVFSGEADESTEWEAGDVVVRVRSRREEGQGGWGRKEGGI TGRVVLGVAEALLGFERNLTHLDGRTIPIGRKGTTQPGEVEVIEGEGMPAYSDVPQGD MYIEYSVVMPTEVTHDTQEKLRDIFGYHPLSSHHDEL I203_01582 MSSSSSSTPQPPALQRFQNSRAEYEAYLPLTQRVQEDLRNDAEE LRAQEGWDEEEWNGVEEWTKDMDSIFRHLRRHRFDETKTLTSLLSTLQQRITLSLHSP IPSFPPYTESPLFFILPLPEHTDRLGRPIAVLTVKEVIRDTNGKLDDLKNYAWSALEV ARRTLRDYWVKGIWTKKQNVHLGQGGEGLCLIVDANGAGYRNMEVELLPTLLSVGHNH FPGMIESVYVVNAGWTHRSMWNVIKRVLPRSALEKVAFLDNKENLEAVFDLNKLPRAY GGNHSYSFPSLHNPIYSYYTHHSSFDQPFLASPSRNSSYSSIADIYYSAPTTPARSRR NSSAVNLGGWRFGSGLRMTKSREANSPPEPAEEEEEEEDGDVPQLEVEEPTPTHDISP EMYIPTLSRRSSSRRSTPLIKGNESGSRSGSKTPTLIANGTGVGQSAIQRIKSLSDFH LYLSPSRLANLDLLSDSDSESDSVTEDQMHEEEKPRKVLRPALFEDLNERSLSERRSR PPLRLLGVKNEEGLKNARTYSDRLQKHHAKVLQSYGVSTLSKLGESHTAGDEQQDRDT INLVNDLDTGQISPSTPGALEPPSLGEHYSEEPEGHGGQVVGEYDTSNPWFGYPAVKV PSSSLTGGKSYSIRPKYARNRKRDLIKTLLFLFMLRLQSLRYTVERYLGLDVLFAPGP SNGRYMGGIGPREGLLSTSATGMGKEMVLRKEKDWWWMIIGFLLLRGSWSRLIVTSLE TFGRGKEILGW I203_01583 MNDENQMTRPIPVILFKTPSPSSSLDPYSQSLSSKSYQPTFIPV LEETYDTSSLVPSLEGGSEHWEGVIITSRRGAEGWVRAVTQSRIGTVASPKGKGKGRW DEVPLFTVGNASSDRLSESSMPPEYIPHAVGYEGDVPPKSAVHLIPHIIDRLPRLEGT YKKYLFVRGDKSTEVLQDELRNSGRIVEEFMVYRTTPRLDIGENINKFRESRRPRPQP KSDEDGDGKGNGQEGKGWLCFFSPSGAEVVLPHLKNPKDDEHGFEDGYWKGWKIFVIG ETTKKYFEERGIKVDAVAERPNPQGLMDAVRGYDSI I203_01584 MVGTPVKKKEKEVPPSPPLIIQDQGKGARYDRVGFLGEGGFARV YEIQDAKNRRKAVKVINKNAITSKKNKTKLWAEIKLHQILVHPHIVRFEDCFEDSSNV YMVLELCENGSMMDLLRRRKRYTEPEARYYLVQLIAACQYMHQMNVIHRDLKLGNLFL DADMNVKVGDFGLAALIENPGDRKKTICGTPNYIAPEVLFDQDNGHSFEVDVWSIGVI MYTLLIGKPPFQTKDVKTIYKRIRENRYEFPADKEISHSAQDLISSILNPKPDERPPL DKILSHKWFLDGPFPAYIPASANDFAPDYRHISTSQSRRNFQALCQKSKIGVVPSLAP EVVSRPRAPLGPSILQQERDFKNAVQPDSPISALLTSARQPLVQASAPIKEPSLLRKL SAAGAASTLSPARKSALGREQYGVAGLGPSSARRHASPMERVGEEDDEEQEVEVLEPQ RERGYENAVRERGLANQKARIVSEMAGERHRHKHHEQRLPSVSPKKAAPLGSATTLPR PPATASALTSSKTKDFKTSLFDVIGQNLANGLAMSQNEQGFRTPHIDPNPAPPNVFVV SWLDYCTKYGMGFAMTDGTVSVHFNDSTSLVLAPGKQHFDDIRPTASDDLSHHTRRSH SIDAYPSDLKNKVYLLKHFESYMLDKLFLEQPYTYDDVNLKTGMVFIVKYLRMKHVIL FRLSNDVLQFNFYDHTKLILSQDGLVVSVIDRHSILRTWSLESLLQPIGDDANPKDKK RIEGVVHKVQYARDVLAKIKSHGMNKATDNKPGVNGVPVAAGTRAAVQREKEIMKPIR I203_01585 MNGSPSLVSPIPTFPHQSPSPSPRRGSAVAGPSSPRLPRASMGP RLPSISASHPMDCDGRDSPTLPGSRTSVVMGFSPVPSHQTLPAVPEASSGSPHAPGLT LNTSHRPLSSLSPQLTTPVEQVFQWAEGSPRPLATSPRGQSHELPPRSRRNSAAIVSI SLSSRSRSRTPRGSSAALPNQQSGSGTPNRGSSSATLSAHKDVMVQMGDSWASGADEM DDWQPAGGMLLDGDVEDSALMDDEERDEFDDNDKGRSWTGFSDDSSSSSPHVEEPLKP GMLIGEGMEFQGEIIVPAVGRMPNGTGDDDVGLPLRRGGSEATKFTRTDGKQEKKRYE VVRKLGTGSYAVVYLVREKGGRHREYALKCLSKQDLEEEQLETQLFEAHIHLSLPIHQ NIVTLHQTLQTRKWLFLMLELCPGEDLFYWLEKSRDASPHTHPVPLPGDRNHGFSGSP ASLLFAHHNGHSHAQHFTPSQTPPTPSLLSSFSAGTLLSQRRLRLIASMFSQMCEAVS ICHDAGISHRDIKPENFICCDSIELEAAADGETGDDEDEGKPLDFGPQAKRKVIVKLT DFGLATTEEESGDVECGSKPYMSYECRNNLGPTYFPAPADVWSLGIVLINMLFHRNPW KDPTPGDPNFDNFLMDPVGFLLTKFSGVGKEVASYLADHVLCIDVDARVSAREFGLWI KNLPEMIGGRKALHSLKISRIENQKTPTDKGLFVKSPVATKQETTRKNSSSALTSSAP TLSSLPPPSQLSHPSHAQIPEEELEEPALPTPPLEHDQDELVSATTVDDQPTPVDMSE FASPETIGNDLESYAGDSVADGSERADGDSRSLSTHKRRKRGVRKGKAAKAAALAAAG GDDQPSQEERDALLAELTVASQTLAREVSKFSKPSEETFDATRIEDFPPLGTTPAQAA EAKKSKWKDMMRFSTAQGNNPELAALARRVAERDGSLNLSAPANLQQGQAAKGSLNYS KHALRQTTTVSSSGISSALSSFGNVSSTSATTSAADEAEDWRRPRKLQQIGDFEEAGQ TMVDDSRGRDKNPHPSYRKNEDHSRARKAALAAAAITGGEMGSFGKPSNLSLSQPIHK SPPLPPPQQQQYQQVNRPSNLSKPITANYTIRNSVPIGSSPKQNKAPFKSGLSHTHAM SIDSDASTSPSTARPVLVSKESTSTITQSQPQTPHSATGAAGGPNKPKLKGQISTLAK MLSGLKTKGGKD I203_01586 MSGPSQGRIELIGDDSSKSSRKKACYAGNWDHAPHLIIVGETAF SPSSHDKCGTHVVFVRTDNDGRRRIWERTSHDSGNDIWKKLAATAHLDLITTVKDQSS SQASEPDSSQQGVIDKLHTLLITDYTGHPATLKWTETGEEERVLLLDYKRDNVPHIAE SSEGTACQFGYFARDQSAHMVGSICDDWQLKQHPNDGRYRWRKLEGKYCIETVEEKGL DQTNSSSPVAGITAEQRLEEEDRDSNYEFSDALFQVSSGDDMSGEESEYESAARTPSS TSSTHSLLAG I203_01587 MTEPSFLTPFDDGKRKRRQSAFKVVSDDVDTEGLDLSSALADIS AIHSETLQYSHLLSRGFTGPHSDLMDVVRSGINMYFSAISDKVRDDARARNSLQIHEK HNHTGSWIDPITVGFSKPLGHQCHRSIVAHHDEEDGEQTFWRRTDSGNGGDTWQQVSN DADIKFADSVHSEDGTSEIDSVRGNYQPASPTWASVSDTDVAHGGDLS I203_01588 MNDIGTVNSENTSTGASIADGSSQSDLSLKELGTALDQRATTPI QSTDKDPHQPSMTQDEIREYYRQFRIATPGFSVKYSTGDGTKLGDILDSIVYEASEDE CHWRSTQEFDQDIYDAGGTIEVDGQKYEKRTLTNSELDVSRLAYLTRTDKKMIEGTSP ESWSTLSGYPINTFDEHHEFLRKKDASANTELWVNMNGWELEPAASHVKEAGHANIAD GPGPSA I203_01589 MPSRSSRNGPTEVPSSGGRGTTATAHSADQTFTHAPDTWQFQAG TMLAMHDENRDLGDIQGDWIVHRRTDYDGSQRLVEEPERSYDANTGTITHAGTTYTRS SMLHEEHDERLLVYKTSLNEQMIPVGQELITRDISQYLTGTYNVENHEYLVAKNCQLG KERWAKMAPPDWLEVPL I203_01590 MGATSTVLGQHSEITLDPSMGTILYLSEDNEPYWDVYPPPPPPH GCRSDFGGSKTGSKKTKSEAELYLLREIEDPYIAPAQLSSNGEDPTMPMPSIPHSRAF LERIPVPLSDDQEMKVYRCSYGVGSIVRSDESEKSVASLAPSDVSSKD I203_01591 MTTERDYGAASPSTPSSSRVTNRKITHEGHASITSSVVNLTNTA VGAGALAFPSAFASMGLIPGILSCAGSGLTAMFGLYCLSRCAAVVGTRPGDEGRKASF NELARLTFGKGWATKLFDLAIAIKCFGVSVSYLIICKTLLPQVCYTLSKVFHHPLPED SILLASHFWLIIWMVVVIPLSFLRTLDALRFTSQIALLTVVYLVLVVVGWFAVKGVSP NHGEVVLARFGRNTLSNFPVQVFAYTCSQNLFPIYNELKNKNQKKMNTVIVASMGSAA AVYEVIGIIGYLTFGSKVGSNVIAMYPPTSLIIAIGRLGIVLLVGLSYPLQVLPCRQS IHHLTHGLFRHPKIAKLTNGSGAGNPPDQNTEGENSESDDADDESEINPLVPKVDDHG HHVHKHEMSKIEFIAITSAILVAGFLIAYNVHELEIILGFVGSTGSTIITFILPGFFY FRLFREEKGVTKWWALALGIYGFAVMAFW I203_01592 MSEWEREGSPMPCGPPPVTYGRLTLMKRKGGGDVQTIPLDAERI TFGRDYDCDVRLYYSDVSKLHCEIVFDAISGKAILHVRGTNGLLHSPSGGTGTSYKPP FEIPLDDKDVITIRKKPFRFEYGPSLETPVPFSPAIKLCSTFSADPSPSKQQLIRRRA SHRLSLVPEGKTFVPLSPIKNRRHSTLGLGGMGTPGKAAAKSKLSEEVPQEQEEEENA EESVLDVANGDEGDKIYLEVNEEENKENENPSRSIKRIHENPFITPQQTRKAPLRNTS AVPRTKRMAATENTEPKQIDDKSTSPVSKDAPAPATPPKTPRSVPLPTTGDTPYNPPA TPSYQKVSSTPVPARVALSTPKGPATLRKALLLRSARKVWQESRASGVEGAIEAGNVE TRRKSTSPKTRAGRKSTTPIPEVPAPQPENQDMSDEEEDEAGEPQNGELIWVHEDGTA EVSFESDSSGYDSLEADQSLDIPGQSVIEFTINHPEVEEEYINNDDGFLEHELPEDEV MDIEKEAHEAEVYEEYEQAVNIPADDSVEEVQPQNLNQGEHQDEENEEDEVMSLPGTP QTRQPLSKQFYTPQPERNIHRLPRRSLANIGGPPVRFERLPATPDLFKRERAPPGSMG KPSKRITFAAPDAEDEMKAIDNEPVPEKKVFMTPVKSEAAKAEAKRRGESLATPRQLP APPVSGFKNPIVETRFSNLIAKPSHPALAADSPILERSDESRAVPGTPMDDIKHRLDK MRRQSVQRADRRATVGFVLPSTPSRPKFREAGSWSVNPRRIDGKGPTTPIFPKFKTED RIEESPSELVATASHQESSTMAQARAQLQAPSSPDYETPSSPSTPSYTGIREMLGKPS LPAKTPDMRGLKNLFPATPKEAASPSLVGVKELLRQPSVPATPNFTGMKDMFKQPKIA QTPGFEGIGEMFDEQEEEEKEEKEEKEEVEAAEESVIAVDVEGHVNNVTTKQVGACTS SASSKLPRPATSTSTSAASRSRRAPAPSTTTTAKPTTRVPARKAAFSAHSTAETESKS SRSRKAEPAVDSKVTEEPKSRSTRTKRTTSMDSEPSSAPSRTTAASRSRSLRARSTAE PEDQAQAQAEETSKSTRRKSTSARTTRQATAEAEEASATATSRSSRGKKPLTQLPEQP IEGEKKSTPASTRSKLPTSAAAAKKASASKVEEKRSAPSRRKATTTTNTSSSIVGNKE NDDGQEEKSSAGAGSKKRIVGQGGVSPPVVGTTRTTRSRK I203_01593 MSPPAACQEAREELVACLLRTDCVLKSGKTPTECLHHPSELPLQ CQHLIARFADCKKGMLDMRRRFRGNHLSESAKAAARGEILNQGTVDIIPDRNPDQDTR R I203_01594 MGFLKPHKPSDYPSTLLYILDETHITVTLSTALAILYTRDAHVV WFAVGALNSSLSAKLLKNLIRNPRPPPPDPSSSPSKIRPKRTYGMPSTHSTALTFYFI YLIPYVQYLSFPLSWLAGIGVSGYWVLGLWSRKQLGYHNWQQVLGGALYGTLLALGWK WVYGMHPWIGKGIQVIVDGVCARVFG I203_01595 MAVDYSQFKGKPFQVISKLGVRYTGIFDHINQDDQTICLAQVYN HGTEDRPTPRKLPGSNKSLGWVRFHTESIESLALVENYIPPGEEAPVDPILASVSQNA PSAAQASSQPSQPPSGPAAASSSQPRKQSFDLPPKPTGAAISAATALDRVQRSLSDLH VNEDSGRPRRGPRAQPIEVPDTEFDFAANNEKFEKEKGKEAETNGHVESSSNEIGEPE SQPHPSALASSPQKEDQSKAQPQPVKYNKSSFFDNLSTETARVSRADERHRNFDTFGE AGGPNYNQGGPGGFRGRGGFNRGGQQGYSNRGGRGGYNRGGRGGYGGGQGQQGQGQGQ GYQQGGQRQYYNNRQNQNELA I203_01596 MSTSTSSLLPLMAVGIIWYYLSSKGSTIQTIWLALNVLDTLRAL RHTRPNGRRIGINTRKKAMRESLICWTIYVVAQTLGPAISTLLGWIPFYAPVKAVICV AFLFMRLSVSSHIFYHILTPAVRPYETPVDLSVLLIQSIGILLFHYILQIPFSLIIST LKLFKTSLKSSFNIITNLIRSHSLIHDSSESVEETHQDRDTPTVDEDHIRASFLSPPP QIPGSILLRHPSPRPITPRRSISFLDPPATPKILPPPTPSSPEYVDIASDGPRTPLKA IRAKTQNNLLEVDEVREIRRSPRRSRVRNEKEMNLTDVDTIGEIERERKKPGGSRAKN VLNGSEGVVNPDNMVSSSKKEKGKAIPTLIIPDEDEIDIDEMEMVVAVTTKPSDEKGK GRGKASSFSSAKATQPDTATVNLAKPSVPRIPRSKSISTATVNTTTTSSRLAQSKITS GQMKIPPIPKRSAASFTSTTKPKTPRKTRILKPSEGGVAHDKPLSTAASRAKARTTAK AKVEAQEQGQLVSEVAEEGRKVGEKRRSAQGEVKVGRKRVKKE I203_01597 MTFPSSVEHLPLPRSKQRSRLSRRNDDYDEEEDRRSTPRETEVR TEVGVGGTILDFGRHGGVGLERSKKGWEWVWASEERKDLRYVNGEKAICLLPPTRTVE GAVNLSGKDMIDSSTKYIESLCSPYERYGLREALASILDEDTTNQAGPSNTSKQRGFG IPERDVYEGPRIALIDNPRARIAKTLLAFPVDEVGHCLSEATALLVRLQSTTHLLNLI PDHTYVPPSSEAPVICQRTASLSYEDTEGRRHVDTALDPMIWSRILVVDDSGGVWLWW EEKDNKNGRIEKAWNLRKIRDKVMDEKNQFFRIAFGTKSDTALVISARDCVVIDLNDP DHPSTTLLTLQGKDRQFTSLDKAASERESQHTVIATNHEIMWVDESKPGTPIISWKHD FGHSTDLEVGVIPGLSNRDLCTILYSTAQRFLLAFPNAKSTHPRSLSHPYPLNIPIDG LGSIQPFTPASFRHSRCLIGITPDGAIYSVPLLSANGRSRPARSDLKKPVTEIKSIWD EHVHSLKDKVDGYQKREDAERIKSGKELDLRWAWLEINQSSGMVDHKVYFHPVEFEQY LRELDAPLEHFMTAGDLARDSIYPEPMELQSHLLNPLPIHPQGSKITIDSLTQMNLSK NLPVISRFSSDLPILDNCRPQFRTIANEDISTDSYISPSNTYEILRESFPLSKKNDIA QLALDLSLSTTILSSEPIVMPSDNAHHNTQMTEPDDLFTRAAGLSLSEKEPPKITYHH LIPQLNYIDDDEIGMVIGKEKGNEDELQDLTARGFLNDWKLSSNPLEYTWKSWRRDDE IDHHTISHREPIGSHTQAQTQAQTQTQVKMSLSQTQNERMIKPLPSQSQSQFRYSQPT LSTFARPQQHSQFSYRTPPSLSTIEPQSLSQPNRSRGLAPTFSLPNLRHYPPDLGLSR SSPPLQPHGPGSSQSLTEEVQWAATQVERGPFGGRGDKEKKKKKKEKKRIGGF I203_01598 MAPLTKLLTLLPLLASTITVQAANKDDWRSRSIYQLITDRFAGG GQCDLGSRSYCGGTWRSTIDKLDYIQGMGFDAVWISPTALGIEGYTKYGENYHGYWTV DPTQLNPHFGTADDLKALSSALHSKGMYLMVDIAINALAATNYHIDAQTLSTVNDGKM LFKDPANYHERCSIKWGDHDSEQKCWLVTGGDDNDVALLDLATETPAVADKLKSWVGG YVKEYGIDGFRIDASKHLSKEFQHDFCTSAGIFCIGEVAGDSTEYAATYQGTDGIDSV FGFGMLYGAAAVFGGGKTMGTLQHYINAAATSYSDPTVIGSFLDNQDLPRFNSRTGDR SLVYNAIVGSFMYGGIPTVYYGLEQDIADGPYDPNNREALWNYNNYATNGDTYKNITT LNKIRKFLSTKGEFLNSVGTVLKIQDNDIALQREDALIVLTNRGSGGSGTWSIGGTKF GNNADVVDLLSCDKAKTDGNGALSVTWSTGQPFVWVSSRIAAEGGFCGATATTSPAPG NNLAAEPTSSSTSVDGGEVTDIVEPPSSTSSDTQPQVTNTSGTASGSATISSPDTAAS TSSASASASSSASASPVDSGNGNASSGACKRSRKRMAGTAGKRRSVT I203_01599 MESLQWIGRTRCFPLWQEFSKCYASAEKPVDCVAQKDDYMECLH HTKEKESISANIHDVSIALNLKSRSSHNSTLSLPGLTLQIARAKEIKSHFVQTQISQS SDARKAAEKAATGVIVSLGLVKEEEGQ I203_01600 MRLNENTVIFGDRVILVPYRAEHVSTYHEWMKSPELLELTASEP LSYEEELEMQRKWHFDDDKLTFILLAQPETSTSTSNILEPSEIKKCKMVGDVNLFLPD GKTGQGECEIMIASKEDRRKGYAIEALSLFLSYLTITLPFNPSHLIARIGSSNKPSIR LFQTLGFGIIKHVKVFDEVELNFGVEDDKEILSDLGLEVGINKKVDWINKSLEGRLGK YD I203_01601 MTSIGTGYDLSVSTYSPDGRLFQVEYANKAVEAAGVAIGLRCSD GVVLGVERLLHSKLLVKGANRRIASLDEHIGIASAGLLADGKHLARRGREEASSFREN YNSPVSVQILSDRISAYLQAYTCYGSVRPFGLSSIIGGVDKTGPKLFCIEPSGVYYGY RAVASGKGKALAKTELEKIVNKYLDAEQSGSGSGLTCREAVDEIARIIYLVHDDNKDK EFELEMTWICQESGDKHAPVPEDLLKSAEEKAKAALEEGMEED I203_01602 MSEQEVTSKRPAEDVEVEETSTKRPRVEEPSTNESANIAIPTPT PTPEEGSASAPASGSTTIITTPSSTPIPLPIIPPQESQTTSVRFKDHLNAPHRTIPEP ISRLGLKPVIPILPDSLEYIIGEKIDLTERKGFAGELECGIRGFVGLENKGVRGVIKQ RFTDFIVNEISLDGTVLHLKDITKPSEPESEKPKPTPTPTPSSTTEPASKEDEEEVDI STLPEPLQFAPHPQWSTSTTVKLRNHLSDESIVALYGLLVEGKELPPKSDGGWGSRKP KAEKEEGTMNEEEMVANASSSTSNTGGRGQGRDRGRGRDVRGDRRDGKGAQGGWKAQD TREVVSQPITSKDARTAAHKILRECFGTTFDSSTRETPGEEGSRLVIKYSSGQGGRGG NGTWQRQPDRPKLPPYIHFTLHKTNRETMDALSHITRMLGAHPKDLSVCGTKDKRAVT VQRVCFKRNNKNLTYVWRSVNGIRQGRRNEQKAVEERGERGVRIGDLEYSKQYLELGM LKGNQFVITLRNVQEENREEIDKTMKSVRDNGFINFYGMQRFGTSSWPTHLTGLLILQ SKWSEAVDSILHLREGEHPDCTSARLAWLEDKDPKKAFDQMPRRGVAERCIWDFWTKN RVEDKVGALSSIPRNLRVMYVHAYQSYIWNIIVSERIRLSSTEPLVGDLVFVDKDTLG EGDVPNPDSVPAHAKDRKGRPLRKWATTSSPEVKQLTEEDLLNHTIFDVVMPLPGWDV DYPGGSIGEKYAEALKRDGLDIHKMRRDQRDFSLPGSYRRMIIRPISLNWNHLTYTDP DVSLVQSDEDKILGQNPPPEDIDSEEGKFRALKIELELGSATYATMVLREITREETST WHQIGLTMNGEDREYKGSTGVEKEEKDEEGEEDAEGEGDIDM I203_01603 MPSQDDINPEDFEFDEETHNSSTLLNTMPTSTSTRSQYHLPASE QLRGVANRIIFSRYYILFYGTMMGLSFATLVISLIATHGNKCPPAVWHILEVVINVLM VLEVGTRWIAYGKKYPLTLLNIVDIILVLFCTVTLILVFKNPCGEGTRSEELLDTFLL IIRNTVQFLRLGSILRRSGHSLLNPPKPIDLSQAGQASLSLNLELDDDEESAAERQLA GGRTLRSTNNGARYQRLEQDDGNDEEQRVGTNVKNNQGRENLTQDDEELWDRL I203_01604 MSSYHPFAARPSLTKRHTSPSPAVLLSLPTLEALPPTPRSAKSY DPLTTDFSPIPPTSISVSTSHRSHRSISGKMPLIKSRSKRPTSPRSENYSFLPSIVSA LDPRGLSSSSKSNGDNGKHSRNKSRSNPPAPSPLFPSTNNFDFGIPQKFSSSTPPSTF SKGTSSLNALPSIQGVFESYEDDQELSKRGKGKMRKDKRDTLKLPMMNPSIRDHDPFN ENEYEKEDNWQWNGFNQPAEVVITREPEQSSGVHDQSFPRRRNTSLSINAHLARPPNP REHSSSSQSHNTSSHSHSHHSLPAQSRSSVRSSLVADEQTTQSHWYGPEDEDLEDVEL QALDVLENLSGHLLRDGFGYGTGCQNTNKAEREGVPSLITSGHSTPSKARSRSTTYST QMTSDIFDNTKRYSQVSDSPSIREWRENRKRTRSHGHALGRPVSEQQHQNTETQTQTQ TQSHVRGSMSISRRPPPPPPPPEGSLPPLPTHASQVHVQTIKNNKDIVSEGSKVTDQD QHQHQHQHQHIEENNKKSVISVNRPPPPPIPPRSASRLDSHASSTLHRMPSSGQLRTR PQTPAQRKGSKEKQGVKVPLVLSTNSSPAITTREEGDLVVVIDKRPPTPVRDMSSPTI PVHQRPLPPLPTLPDLATLPSSPHTHPQRSALAQLAHPKLPVRLLRPKRPSTAGNGPT GSSQLLSPGSTKPLIRLVPREEPRRLSFSSRVEGAEGRKNGMTALSFLALDDAAIRNL SSRNTTDGRGDRTSSFDGSSASGYGRARGESPTHCMLDAGYIIPPSERSKIGIDDRRE SRRRREGSQSSLSSIAGSEITNINPVDNDAEDKVEEGEGWTTAYEYGQSKVKATQRLV KREKELRLSLDIGIRPSAVTSTSPIRNNKPPPLNIFSLNGYSLADVEDEGGLRYPKSA GLLPPPRPRRKTTPSAPPQMVQPKSTSPTSPVSPNSRAGNGLGTKYGQLSPRYTHQSS SIQQEQQQQRSKKVDEDEDDQDGMKDFMNLNFPMIPSPNLTTPGQSYMFPPTTSDDVH APTSNQERKVSTSTQRTNGSDEIENSCSSNWSSDTYERERRLITSLWLSSKPTRSTRP PAQGDGRMMQAGEGEDQHPFYSLGKSNKYVGSNAKKGTVVVDGGYGRRNNSGIKI I203_01605 MNLRNGINRELADPSPPVPASSYGFIGYARSFPPGVAPTRYCDT PIEVERPPLRIGSLYNQNREKVGYLSFLNDSEQRIPYISNPNFTKSIRKRTIRPNADL SVNSDYRRRNPELTLPKGTVDNVSSRFLTLPGFTFNGDYTFQSAFLQYPDDGGKNKRE RVHMSLDHAAIWKVGDQLVENNSERELKELCEKIIKDDEISEFGGISLILHPPSIPPS QWPTRNQNMLEEQDSIGNDVPRSRSTGIQFHGELSMDDPLRYAVEIKITSGSGIEDRN FKITLNSEKTFLIHGAREDGEAWRDLHKLFNHPNGLDVRVRSTVTLRPSMAYHSVDHQ EWDDVEVFTMSRSVLDEEVSRQVERIPGSLTSVSGSNVRRDDELHSNGYVSPVTAVTA GGGANADTTDSHQPTTGSSVSANNKGKDPVRSSTGSSSSKSQKPGNCWIRHRKQ I203_01606 MTYRHRSIFPPYNAHDPRSKSAIEICQENDIVISGFGCLQPLSL HDPPLPLNPVIQRIAEENALTVGQILLEGAMHEMKGPVVTETDKPHRMDEYIQAFRTP ALSENDLEAIKRAGKKRYFRRYLDPFRP I203_01607 MADPASHNQPPSDHSHPDPHSYSHTQPSPTLLDTPHLSGPSTPI QPETPHTIQLQTPTTHAEGSSSSSSPVNKMSAIAGGEDKGKGRAEVQNDEDDDDEVMN LDALRRISARVSQIEHGEKIEEGWVLRDELLGMVKSILPIAIEQIPFLQERLSAQRDT IKTMQQQAKLSAQLMSIERSRHTAERDSWHAETRALKHQREAEIAAGTRPRKVLDLDV GYHQELEAANKRLEMDNRLMAPRLADTQRQIDKLVTELRYLRSHVILNSQPISKPGEH SHTHPQPPISSYLPTSGRRHSRSPIKGSSKVTMGDARTEHLLLAAERVRNLRQYDDRV GRLTLDELKRNGVVGPSGGVGFSEGYGGIESEAEDELSEEEEKPLQARRPSMSATKFK GKASQSQSQLQGTPLLPRPKKSRKNHNPPQPPIIPQTPSKSNRKQQHPPPQTTPGGSN FNDLLRAAELATRPGTPTPEDRSQKIVPLSAMSATRSTTRARDESASERGSPIKRVRR DEWSSGREDELPPLGTQQSAIPNSQGSASALDLLAQASQLEVAKSGEMSSSSSSGPLP SATRLGDLIDPNDEAQNNISSLGPAIDLTPFARPARPAQPPSAHGDNQNQNSADPNLT TPKNRPRAYSGTSDLATPVTAREYPSSTVYPTPGNDRDGDGEYDENDAFASPAVPGLG KYVHLTSSLPARRIRSPYLKWTVEEDELLARAVAIHGEKWDLVSKGVPTRSYHQVRQR WLRKTGAFDKKGNDGGNGIINSAMGMGMGMGMDEDDESPTPDEKTPTGKKKRRMSQV I203_01608 MYMYTILLLFLLVYPMSLARPGVRLPYNPDRRLLPLRPHSSDPK RPVKRDEDWSRRMYSQEELRFWSSSEKDKRQLRWEQQIAYEGKTFFDGWEFFTQPDPT LGLVTYVDNSTAFKKGLAFWTGDGKPGIQVDHFSTTPVNTPRDSVRITTKSMFAGGLF IIDMALMPWGCGVWPVFWTLGYQAEWPTAGEIDIVEGIQAMTNNHTLPGCEINQAPGM YTGQLGVSRADRATIGGSGCTIGSDSETSFGMPFNEAGGGVFAMLWNDSGVRMWDWNR AQIPNDIKSNSPTPDQWGTPRAAWDASTCDPYKFFQAQVLVLNIDLCGESTSDRINLN CTDTFDYCPGTCAEYISDPKNLNNTVMLLNYIKVFQQTGISPIPEQAHDNSNLTGAGG DAPLNASEVNASVRQSQSSSAVIPNSSGGSSSGLKGMRISSWWSGLILGVIMILMI I203_01609 MSSSFDNPTDSEGYNARLFMNKLTGGAIHFDNAWPIGRVLALQP TISTFQVPRRPNMTAKLLTSREVTIELPKTTYEGTVHWRDQHIPVKGQISCDLSNVEL MNKNEIDFSTTERSRGYIRVKWDEKTQETTVQRKLMSIDQGVLWNYKEGVDGRFQSVN EDNGNEEGARLISELNLELLNGISERRARTQPTVSITGESVQDVRNSVEEKKLSTLDK ILSYIPCHPTSTSDRLGRSIGRLRRAPYKSERRVVYEDLNVLICIAAQPKMDFDIIPY EDIQWSQRLGAGSFGSVYKGSYLGIDIAIKEVLPSTEYDVHKYFEREWRIMRECRHPN IVLFLGLSKAPGDDGRVFIISEFVPRGNLRQYILSSHPFPWRLRLSFATDVARAVAYL HARQCIHRDLKGENLLITSNERVKVTDFGFARIASRNADEMRRMTYCGTDGYMSPEIM NGLDFDLPTDVFSLGIIFIEIMSRRLVDSKTYTRQAPHFTPDPSEVIRRASPGCPPAL ISLALSCTQEDPNHRPRMPEVLTKLREIEVEVLSKLDDPISEHVGSIRLVHRGGKRAM PIFDGGDRNDHPTNMNQIGEEEKDRKDEEEVLRKLAEIHLDISGKGASSALSNSSSLS GNDHMDDNEKWRTARWNDLGYTPNDSILTFKTASSDVKGQEPLLPSSDSGSSFGSAKD SNGNGQGWSSFLGGGSASGEPVQTASVLRAATPGPPSEVNTDHADEEMGSTMTIKGHP TPTISQQPPLDYRETTLLEPKPSNAVPPVKVEDDTATATVDLTISEIGSIPSLPSSIT PIKRKDQKPKSPNKTSAMATHRFTLVDKDSVPLINGKKALSSNFTSTFAFAFFPRALA PTPSPSKNDNPAPGGGGKCAVCMKKMGGRAGLQCDDCHLIVHVKCSHIAPRNCTGGDG KIH I203_01610 MRFRTGISNVALLHKITRSLAALARSCVIRLSPEQVHFIVPGNE SSTGVQVWSSVSAEHCSTLFDAYKIESNANNEIWVELHLDSLLKILRSADSSVGSINE SRSTAALTESDVTLKLNKKGQQPIWSFEIRGYTAQRKPMSITHEIPVKILSARRQAEL NEPLCPQPDIHVVLPNLLELRNIVSRLSHMADDVKVSANHEGRLELTAKAPRVNLTTT WNDLSVPSSNIDDDIANDDDQPPPPPDQMFTVTVAIKGFLKFLTSHLVGGAAIACICE DHCLIAYVYIGELNEAGGVMTFFVPAKMTDD I203_01611 MHDNIQRVAERGERLDALQDKTDTLAVSAQGFRRGANRVRKQMW WKDMKMRIIIGVGIAVLIIIIVVPIVKA I203_01612 MSRRPQPPQEIYSPIPRRLSLIPSLSELSISSPDADRTRVGSSS SRRYNDSSRGRRIYTATPSSTSISDISISDGEEDSGTIDDVKEAKSWLDMTGPSDKGK GKGKEEEEGLAGRLPPELLVQILRLLPDNKSLLSALLVSRSWCLCAFPMIWTRPQISS IINLASLIRVVSPPEGRTTTLPYATTIRRLHLTHLSNTLTDMLFLRLSACTRLERLTV SNCVGLSSRAISHVISHLHDLVSIDLSGIPQVDDGVLEELARSCGKLQAVNLSDCRLI GDEGVRALGEGCRLLRRAKFSKCHRLTSHSLIPFVQHCTLLLELDLQDVLSITNSTVF TIFLNLPYLRELKLNNCTELDEGCIPNLIDLKYMTDEQLSMLAKSIGLDQNQDQDHTA RPVVEGEFQGTTTALTLRPKMNHLDHLRVVDFTGCSNLGDKAIENLISNAPKLRTLTL TKCSNLTNESLESIEKLGKHLHYLHLGHVRLITDSGITRLAKACNRLRYIDLACCDLL TDESIMELGVNMPKLRRVGLVKVIKITDESIYALVDRYTALERIHLSYCDNLSIKAIS YMLNRLPHLKHLSLTGVSSFKKKELQHFCRAPPDNFTDHQRSAFCVFSGNKVDELRRY LNEVYFVSINGGVESDSTSTRRDSGSSSTSSITVPGTSSPPFIHDHHQHQHHTNTSMG TNHTQGYVYRRGSAPALRSSSNIDNISAQVPGLPSMAFATPTPNFLTPSVHDSSSSRS HRSVGHGISSRMRENYGGGGGLSMNESSREASASSTDERRSNRVRSHRERDRDRDRPI GPRDRYGEHASTSTATATRSHQTTMPMPGG I203_01613 MSRPSPSSSYPLQTLSQRSSFSAPSMTSQSNGNSDSTSRSRLAP GHGKGKKKRVSDADEEEALLGYNGMDGIEEQNELPRSDFPPSAGKSKNGSRTIPLQNT SSKSPFPPNVVRNQKYSIITFLPLVFYEQFKFFFNFYFLVVALSQFIPALKIGYIVTY VAPLAFVLAVTMGKEAYDDYQRYLRDREANSTRYLVLLPQPPSPIPHHAHLVGEDQPI SPSLPRPQTRSTPASSIKVGDMVLLEKNQRIPADMVLLTTSEEEGTCFIRTDQLDGET DWKLKVAVGETQKMGEKTVGSAEGSLYADPPIKDIHTFYGILTLRSLSPGPQEDQSIP LSVENVLWANTVLAAGSAVGLVVYTGKETRAVLNTSEPETKMGTLEKEVNRMAKILCT VTFALSVFLVALNGFRGQWYIYVFRFLILFSSIIPISLRVNLDMGKTVYAHQIHTDPE IPETIVRTSTLPEELGRVEYLLSDKTGTLTRNEMELKKLHMGTLVFGWDSMDEVAHLV AQALNEQDGAIERRKSSMTTAPGRGRRDMSSRVRDAVLALATCHNVTPVTNDDGSITY QASSPDEVAIVQWVESVGVRLVHRDRTSMILRSTSGSEYTYDILYIFPFTSESKRMGI IVRERSSGNITFVQKGADTIMAKLVQKNDWLEEECGNMAREGLRTLVLGRKKLSEGTF MEFDKRYKSAQLVAGEERQIQINKVVSDLLENELELLALTGVEDKLQEDVKSTLELLR NAGLKIWMLTGDKIETATNIAVSSKLVGRGQYIHQVAKLKSSDQVRDMLDFLSAKLDA CLVIDGESLQLCLDRFRPEFIHLATQLPAVVACRCSPTQKADVARLIREFTKKTVCCI GDGGNDVSMIQAADVGVGIVGKEGKQASLSADFSINQFSYLTKLLLWHGRNSYKRSAK LSQFVIHRGLIIAVIQAVFSSIFFFAPIALYQGWLQVGYATLYTMAPVFSLVLDKDVN EDLALLYPELYKELTKGRSLSYKTFFTWLLISVYQGGIIMLLSLLLFESEFLHIVAIS FSALVINELIMVALEVTTWHSYMILSELGTAMIYFGSMAVLPAYFDLSFVLSKQFVYK VIIIVAVSSFPLYVIKAIHRRLNPAAYAKVAGI I203_01614 MITPLPQPGPSQPTYPCVITANRKGKERASSQYFAPSPLEDSGW PPARESERWTTSELWKRSRARAKSDKASWDYVLTSGVAGGIAGCVAKTAIAPLDRVKI LFQTSNADFRKYAGTPLGLIHATGMIYRTSGIRGLFQGHSATLMRIFPYAGIKYMLYD WMERILIPTPEQRSPWRFFVAGATSGVASVLCTYPLELIRVRLAYQTKLSERTSLLQA VKSIYHENDVVHSRKNNISPFIRSIPLYPFYRGFSITILGMIPYAGVSFLTYGTLKKH APEYVPYLRDRPTMRDLSCGAIAGAVSQTASYPFEVIRRRMQVGGTLGNGGINPRQAI QNIYQAKGWRGFFIGLSIGYIKVIPMTR I203_01615 MSSSLALPPLKPLNGMTINTPSPTAGPSITKRKSPTPPPPAEEE EDEENESEDEKKKGSGSGAGGKKKKDQKEKGSEYKYTSEISQMMFVFGEVQDPLPETV RLVEDIVRGQIIEIVTRARLLTHLRSSRFLSAEDLIFLIRDDRGKVNRLRTYLSWKDV RKRAKEDEERGGDVELEVEGADDKAAAKGRKTMMKLPWELLTPFSDYLRTLPSKQNRD DDEEEDEDEIQAHQDSMQRLRDADEITKKMTKDEYVHYSDCRQASFTYRKARRFREFV NFSAYLDVKPNDDIIDILGFLSFEMVRSLCVTALELRESLELTKPQSERNTSPVKRKS TNETSPNNSKKLKLDDGNENKKSTSNQPISLFAPPPSARQPLLPGHILEAFAQIQRTE AASRVGGMRNFKSGLGKGRLALV I203_01616 MDIDKMFKLPSLPASAGQKRKMPDAPTPEMLKKYKATETEGQPQ SQALPTNGKGKGRAATVEEEDDEDQYNAADRDVYEGDEDVEGRFFGGGLNNEQQQILD IFDKAGDEEDGPTLDLPALRRQLGKFERIVNKNAEMRGKYPDDPSKFIESESDLDGAL KQFLPLTQNPPLFFPELVKSGVIALLTNLLSHENTDIAIDVIEVVRELTDEDVGAEVD DLEEEDEGESGQAYKTRLAMGELIDELLNNSLLDLLVANLSRLNEEEETDSQGVFQIL GVFENLLSFMPPLSEQIVNETNLLPWLLKRIQKKEYDSNKQYASEILAILLQDNRDII LKVGELDGMDILLQGLSQYRKKDPGDSEEVEYMENLFDVLCSILSQPEMKKAFVDNEG VELMVLMMKEKLLAKTRAIKVLNYALQTEDGSVGCEKFVQALGLKTFFSAFMGKVRKK KKLNATSSFEDGEHLLGILVSLFTNLASDTPERIRLIAKFVENGYEKVDRLLEMREVA ESKLQAVEKDINMEKRVMQANEEEITDVEETEWYLRRIDSGLSSLQNADYILAWVCME DDGAMTHARLLLSRKDQSFKNIVAVLTEFKDNVGDDEEEEGDTQPNLQKMILEQLIAF LEGLE I203_01617 MFPVPQHLPRTGGESFSSEIPDQDPVLYLLTPLISQGESSKFTG QQVRAVRESLEKAVNDNKGKSHQLVINNFPSISSQIQLSTNLHSDISDVRRKVTGLED EIDHSDSQTSFLPPLINSLNRHFSASSSRSSAQAHIRALKSLSKHTERIKKLEEAVWS GRAADQWVLDELRDEKGYLVDIGEEGEEILRGTKIMKDINTKTALLKSMVMDQLTEGF NAAIAFSHPTSAKGMTLTVQNTITLQHPRTNPPPQLKTTTLSHYIFINVYSALSRLSL LDDLLQTLSKRIQKDLIRPIVSSTHSITISSTDKLSLLRLEPSPDIPPQKVLENIRSI LSFTLNTIFPPSFDLPERQAFVSSLMNSAFQSILDFLILPSLPPTLTDAPTWLETLRQ ATEVESDFCQDESESQSQLIRPFFESEAGSTWAQQRRYAIADEIRRLILGGWGGWESV VEKKEKEVISYFEVEVDVEDDVPMVVESQKDGEEDFGWGFDDSTLPKDNMNTAKVEEK GNQDVNMEDDGWGFDESSTASAGPSSPPKPSNPPLVQEEDEADGWDLDPSPSHSILSA ESEPTIIPVPAPIPKPAKPAREAKRLGKKVAKVKHEEEYDPWGSPDPDEDTNKATNGK DHHHPQIPSPTKPDKVVPSPSIVKQDNADDGWGWDDDSTPASVPSTGTTSASTDIPAL NVPSKPTKRKEVREEKKLDIEHYLVSTSCETLVDIAKSVLKDIEELGSLELSSPSFNV SIIQPILLESIKEVFTFYRALLPTHFANQLRDVPSLSMQAHNDCFYLSGLVSQLSIQD TALNGEKEKLMDLAEHIYETQIDFQRTSMLESLDELNELQGTNEDKIFKRNEKVLKGV IHNLESLDRVIKPVLPSSKHLEFISHLVTTLTQRLMNDVLTLGDITEVESNRLTDLFK LVYPLEHIFEPEGGVVRWVGGGWLKFCYISEILQASLVDITYLIDSGSLIDFTPDELI GLVRALFAHSEKRDSVIERIERDGTGGQPIS I203_01618 MPSIASSSRTRTRKPLISLPLTSFIQPIPSSFPSPISLPSKRGP SSLSVPSSPGSSKARKVSRTEIDGSETVRKARVATSGGGKGKGKEQVDDDERSVEVGV TPRIKNVLEKDDLGVGKSPARRLFVNDRESPISGISGAISTPPKSHRGLAPSPPIADS PFIISTPTSTSDQDEVEIDVQAAPSPTLPSSSLPFESGSEPHCGFTIYHSTSTELREL DEFAASQILQLNSSSGPSSSPSPSLSEIDLNSIENQENLQPLSLPLVNYPPSPNSKSR SNPNSNRTTPSKYSTGTSTADDEIISMYLSAPSTNSSISGTSASGSGTRRRERSRLIN EVLLLRGENVREKEDRMDVDIDVEEEEELTPGKKIVKGGRERLRREVNMA I203_01619 MPSRPHPDLTYPLLPDAQDDLPIPTSARPIRQGGMSLRPEDEEY EWPEAGPSTVKAKSHNRVGPFGAKAVAAMTGAMATSLLMTPFDVLKTRLQTVPPHPRP EITLSPTPLAEECCQTTILSQPPHELRISPLTCQSQSTALHSSSTNTQHLSFASVRPN HILPMEAPEGCLHPSKWAGIWGEALTLKNAIANPSQMRTGGGGVGVLRIPVQQEESQV MRGFFSELAAVRKENGIRGLWKGVGTAITMGIPSSAIYMLGYEQLLTVVSPYFTGSLT PAPLIAGSLARTLSATVISPIEMFRTRLQALPSVERGTPTYASTAKDMTQLVQTKGVT ILWRGLGPTLWRDVPFSGIYWASFELLKSYLISPSSPLPPLSPITTSFISGFVSGTLS AIVTQPFDVLKTRRQVFNPSPECVTTRAATIPLAMHVIRTEGWQALFAGLSARCGKVA PACGLMIACYEGVGRWLGGRNEE I203_01620 MKAYVYDDIPGDQRLPHDSGNPISLNTLSELGVIYKEIPIDEDK QWEKEIDEFAKQRGYKNRDQITVTREGLGEAYEDKIKSFFDEHLHEDEEIRYILGGSG YFDIRGINPPHADRWIRISLTSGDLIVLPAGIYHRFTVDSNNTITAMRLFQDEPKWTP YSRSLPDTDERAARGEYLEQVKSAKA I203_01621 MPSSPPRPGKLADPATTYTLLEKLGTGSFGTVWKASHNETKQIV AIKMIDLESSDDDISEIQAEIAHLSSCWSDHVTKYYGSFVRGAKLWIVMEYLAGGSCL DLLKPGVFTESQIAIVCRELLLGLQYLHDEGKIHRDIKAANVLLSASGEVKLADFGVA AQLSSHKSQRHTFVGTPFWMAPEVIRQAGYDSRADIWSLGITAIELAKGEPPLSEYHP MRVLFLIPKARAPRLEEPQWSETFKGFIERCLQKDPTDRATAKELLQHPFITSARTTS HLVPLIERYQALKARSPNKKSISPSKTLNKLAAGLGNLTIGPGGTMRSEWNFDETIKG TVKGVPVSLDLEDLEDDEEWDYQDEDGDGDDWEGTVREREDLLRASTLNGSDLSLPML ERSSPNPTPSIGSSPQTPHSDVMDLKSSSGSGTSGKSTWKERHNKDGGTVVKEGDLGD GFSTVRPMKKIDTVKSARMSNEFIGTGSIRKLNGMNGLESPIKSKPPLSPRGRAGQAL VEEVIVGVLDNTLSEDHDATTLEGLNLIKKGFSDLGNNDPEIAYKLVMDILGGIRQND IVHSHIRNMSMANVIPRSKPIVEIDNGSKPSTPSHEDIVIKKEKDNDDLIRERSPIAD LLYLRWLDGLRLKWPGS I203_01622 MSINLSRDPLDEVEREPLSSVSLNTPHRITAVAGPSTIKNGTSS SPLAAPSTSTKKLAPIFLTKRRTPTSTSTSIQDTNNENIDPSSSSSSGSPVSRKKIKL DYSIPLGSISLEQISPSSSSSSSSSTPMVGRGMDGGMDIDMDNTNLKNHVRMHSIHDW FLPSTNLNYERLKDGKRTEMHQDVKVDECRNGHGHGVWKRQRKRLGIKGLNSIVMEKH RLITPQVPYLSTLVHSLLPYHPTTPPSLLLLPSIHPPTGRPRDFAPPLSIAFNNIAKN YDATSAKEAGLRRLIAVAGEEGGVRILDVDEGLGSHREEKGFWWRAHGNAIFDLRWSA DDTRVLTASGDQSTRLHALTTPTPTLQATLKGHTSSVKTTVFFDPSRSHSDPSTSSSV IASGGRDGNILIYDIRCRGRQNRDIDHDALMVPRGERERYSDGVPGFVAQPPNRGMEL NPVMTIRNAHGDGRRNGNGRTATRSVTSLVALQSMPGILASGGSFDGIVKLWDLRFPA PTTRSPEPRPSCTAIGSLPDPTVYGTIPSRRARSINALCESPTTGDLYALCGDSKIHS LRPSSFTHLTDEGSDNKEAIGCKPYTDPNLLVSSFYIRLSISPDGRYLSSGSCKGGVM SWDTHQKDGSNATRLALGMGGVQWPEGKEREVGAVDWGKDMLAAASDDLATRLWRSDR DVARWLKDDPNKASEEWCGSV I203_01623 MSKPKPGLGKGLVRNLTVSQYHHSPSGIITLAPVIGQHSHSYST YSSSNHTGSGPITSSKNGWPNNPSSSSISLLPTWDQPNDIVTPYQSSSSSSNRMRLKT TNTNQYTHQSRRYSSLSISPSAFLTSPIPPSLFFDKSLSFQSPPSSTSPAQISLEALL TSLESSSSFTSDPNYGPGNTFDNLPPDPPSSASTSRGNSPNQPLKTLRSVESHTVLSP SPLPISSDSNDSSSSLSFSSNSLLGLNEHSSNTSYDYPLNNENEEDILPSTYSSTLIF HLGCSGLAKERLPIPSSSRRSRPPTPPRQRSYPLPPVDPPTVIKSIGVGEDAYFARSD GLCIADGVGGWSRSALSGEKKADPGRWSRLLTHFVEEEVNDWWVGKEYYLLPREKGNE KGNDKDRNQKSTQKQQGWARESWENNLGGSMKMSSSASVSSAPSSTSSGSINGKVKSL KRERERRPIDPVEIMQRGFEKCLSCINDEGIHGSSTCLLALLHNSTLHIANLGDCCLL LIRKGEVVFRTQEMQHAFNFPLQVGTHSRDEPMKDAQRYDVSVKKGDVVILGSDGLMD NLFDEDILEIVLQYTSSSKHDQPPTTSTTSFPPFSPQQISEALCRRARSVSELVTATT PFMCKAIEEGIDFVGGKKDDISVLVGVIGDREKEGSEGNGELTLHL I203_01624 MASEQAIFDAEVKALEEFQKQPRFARVHRPFTAADVVSKRGTLT ISYPSDVLAKKLWKILEAKKRGEGGGCTATYGALDPVQLTQMAKHLETVYVSGWQCSS TASSSLEPGPDLADYPSNTVPNKVAQLFTAQLFHDRKQRWTRSTALQKGEKLGPPIDY LRPIVADADTGHGGLTAVMKLTKMMVEAGAAGIHVEDQAPGTKKCGHMAGKVLVPISE HINRLVAMRFQCDIMGTTNLVVARTDSEAATLITSNIDPRDHAFILGSTNPDLISLNE IMVAAELEGRNGAALQEIEDQWMKKANLQLYPETLAKALSAQGVDNGKIQEFLKAVSG TAHASHNGALQLAQKKYGLKSAPYWNWDTPRTREGYYRYQGGTQCAINRAVAFAPYAD LLWMETKSPIYAQAKEFAEGVHKESPGHWLAYNLSPSFNWDAAGLGEKEMKDFVWSLG KLGFIFQFITLAGLHSNAYINDQFARAFSQEGMKAYVELIQRKEREIGCDVLTHQKWS GADYADAMMMTVTGGVSSTAAMGKGVTESQFGEDKSKKALHKL I203_01625 MDVYQARSKRARSQSSSSSSSGSSSSRGELKSTYQTPSPPPPKF HKGHSSFNEETKPFLCMLPPTCSQPGTSTSYSSQEELDRHQNTFHKWICHVPIRDREF ASPDEQVPEGFIGGRMNKGKRKKECLKVFPDERLLEIHHTEVHDPITRQKKDNGQRIF ECFLDPSQCGRKFKDPKKRRRHMVDKHHYPSNYFFGITNHGINAIVQEDGLAMSLIRP RRDPTSYTDTSQNHPNGHTASTSLDNDHHSITRDDKAQEVDMDDLTSIMESSLTFIPR GVRKAAKAKEKIMEVETGA I203_01626 MSTVAELCPVYAPFFGAMGCTSAIVFTCIGAAYGTAKSGVGISA MAVLRPDLMMKCAIPVVMAGIIGIYGLVVSVLISGNLASPMPLYTGFIQLGAGLSVGL AGLAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALILNTNSTVD YTVSGIISL I203_01627 MASAAGSGSEVDYLKTLVSQLQDKIHQLENKASSTVSSAVDSAK SAVGLGPKDAPRMVLIGPPGAGKGTQAPNISSKYCICHLATGDMLRSQVAKQTELGKA AKKIMDQGGLVSDEIMIGMIKKELSENAECKNGFILDGFPRTVPQASKLDAMLKDAKQ AIDHAIELKIPDALLISRITGRLVHPSSGRSYHKEFNPPKKPMTDDQTGEPLIQRSDD NVETLKKRLSTYHAQTGPVVEYYKGTGVWTPVDAAQSPKLVWGSIAKILEGTREGKMV RE I203_01628 MFALLPTLALLAIPALAQNSSSTTASETDIEAIEAHFKQAELVP QLIEEFDPEAILTVSFGGSAISPGDKLDKDAVASSPEITVTPESADSDDFQAGKLYTV LMADANAVGTDQNVTEQTRHWLVNSVGISGDSAPYALNYTGATTITDYAGPGPFEGTG SHRYVIALYEQGDDFSAPSNLSTTGVALGTWFLKSYVSEAKLGDLLAANYFQVENGQA TSTAESTTPVDSATLSQAASTTGSGSAAASQTSGASAAASAAGSAGGSATSAATSAAA TSAQSGAGKTGVAVGMIMGAVGVVSALVARFQTAANRDAEATARENRRASLQPGTGTG TLGNSRRISSSGLWSASPSPSPGTTPRLGDSTTLPSVEGTASASVQNKSDGTEDIPND DTSTLDSKIDKLDIKEQTTPEKQKAKPSPAPVEIKEIYDINTSSSPSRPPKSPKRLST AGEDVNGMTALPVPVAPLSETPKQPDVEEPNKTAKSNDSPAKKKGGDIIQEPISITAK PTTTSTPTKKPSSSSIGSATKKTSSSSSATTKTPLPTSTSTSKSSATTIPRSRLSTGP APSTTTPARARTSLGHQSTTSSSASKRPPSTAIPPVGRTHKPLVPSHTGPTHRTPSSE NQSHTVPSPLKPHLTGTPSKPTASSLAKARIPSGPLSSPGSSRGKRESLSLGRSNGRN SIGEEKGRSSPASGESKDTSTPSKTSSTGQGTGSRLLQGTAASRARSAGVQHHESPSK TASTPVKNSTPKTTSTKSTGTPSTSSTRTPITTTRAKTPASSTSAPRVRVRPQAQNSD TPSKGTNTHIHEAKTPAVGKSPIGRLGLAAAGMKRPEGPMSEVGEKAREEGKIGGIDQ KISGQAEKDEDGLEILKDDDHHEPEEPEKAAEEELRELTESVLKSGPPEDDGAEGEDA SGEKAQRDPVDRPRTPSPTREIEKENGATPEKVSDSSGTLDVNINKEKENWDQDGKVE VGDESLEEIPDIE I203_01629 MSAPRILTSLRPQLRLARSALPSASTRLTFSTSIRRLDNKGDSG RPQAAHPSKHAPRPVSSGEPVAEHVKEDESKRAGLPEEPIDGPPPRPKPYTEPPAPLA ALPAHELFYLKNRTILITGGGRGLGLTIAHACLESGASVRCMDLLPEPSQPQWSKAEE LAKSKGLEISYHPLDVTDQNAVSNLFSDLFKMDSKEHPIRGLFTSAGIQIMMPAVDYS TEKFRKVIDVDLTGTFLCAQAFAKEWFKRHPTMDGQAGVHGASIAMTGSMSGHIANLG IECAAYNASKAGVNQLAKNLALEWSRKGIRVNTLSPGYIRTALTAAQLDEKPELNKIW LQGSLLGRLSTPDEFRGPVLYLLSDASSFMTGADLLVDGGHCAT I203_01630 MADSTASNLAGESIDDTFRGVVTKQQTMVSLNQEVDDGMEVLYC EVVAPTDSDGNPPPSLQRELPFHDTKSYLLRFSEEHPPTLKYNGHTFSPSGAISTIDL WDDQGCGHETPYVHCRTSFWAPVDGNGRGRYKVYSIPHGYLESENGMATKTSQDVSLL VRDPSDRFSSVQRLPAKQDSKVIALSLDKEGQGQVWEISYENSKFWEALEKDTETWAP FPSKVTVFTRESGQE I203_01631 MPPPHLEDWKGKTIPEDQEQKSMVFDISQAQSTEITYNGQSFKP SMAIVTLPSGKSLRNERPVGCEIIFVEPINQAKDDRYKIFSGPDASIRCDCGDRGEID LHEASKASLFIKDPSDTLRPVQELDATKRSGVVSCSIRESGEGDIWVIRKDDYNHWTA RYDTKGEWDTVRGEVESFSRDHADQSTTSRAGSSGILDTLYKVGFTLLDYYG I203_01632 MRFALLAALIPLLGGVSTFAIPSSASPVSLIAREIAGLDDVPAA CTSKCASTQEFAQRCDGGQDVDACLEICQPSLLSEYEECATCIIDNFEGLSGDDEALL QFALGQLKEECDSLTAGGNSTSSSNSTTTGSAVISESSTSPSNSATRTLISATSIASS HASSASSATSSAAPSGNADSGASARTPVGGAIAFIGVAVGLAVFA I203_01633 MTESPYCFTYQEILNEDNLHPKLVIGIRSKDLTQLKLTSTNVKI TLSLPTITSGRPSATLDPKFSDNDLMYRATTRSSSEGRVHFPTMKYEQFDTDTKSFGP QYLTKGPLILTHAPEPVKEILDRWSNEASTTYGDQSINYQLKSGTLTDLCSTVRADAD MPDSKGYTWDGALLEENYVLRTTLMK I203_01634 MKPSLRLLTPSPRPVNYPGHTPLSFSQNALLAVGSGLMGVWTSR GDLVASLSESTASTFLPSLHHRMTLHPEGRQILKDRPTISSDTLVGLRDLKRGTLGRE YWEWLDDGKLDPDARAPVQYIDSPTLAYTMLRYRQTHDLYHTLFSLPPTLPHELSLKV FEFSNMSLPVAALSSTFGPFRLKRRETWLRDWVPWALREGKQGKSLVGVYWEKRWEQG IGELRRELGVKRNDQDGVEGRWGGYRKIREEERELRRKGEWIDEPEEW I203_01635 MSDEFNLQESLLSLSDLSLYHIPAEVDIPSQRTSTIDESLSSAI ESIVHDPESIVHSQSSTFDVFQSILKYSDSPNITGPILTKLLDVILSSLTSHANAVLG LVGSQGIQTDDIDAPMVHKQPLEMWAFLLQWFITSAERGAGKSDGGVAPTTTSGKGKK KTTNKNSSSSTSFVWIDQIPFVLGTMHKVLRIPSGRIWRTSSEKESFISCFVKPSYQL AENESYLKNQEIKLGIYKVICLSVKFHGHSFGAQTSIIQNLTYFEHFSEPMAELLSIL EKEFDFTQLSEEVLRDVSTKTFAHNDVKGPRSFSKFLIKLAELSPRVVAKQMPLLLGH LDSDAHPMRMAVVEIIGLLIRDLSLSDEGDEEQKAKQIKKYFELLMERFLDLNSWVRC KVLTTIIKLCDLPAKFPKQRHQITELTIRTLEDKTSSARRYAIQLLCKLLETHPFGAL HGGTLNLAEWQERYDKIEAELAKVDAVELERAKRDVGMVDEEDQDDSQEKDDDEEAED EDEEEAEEVEEDVDGQEDVDGEEDGEGTPRPKAVKKEPKIKKKKPRQSQLDITGIQAE QSTLDPELIHKLRLTKKYYSDALKFINQLEGAIPTLCQLLVSTTKTEVLEAMRFFRIA YEYDLQSNEIGIKTMLHLIWTKDNNSTVPAPTTSNEDGNPTGGSTEAGATKGIRANLI DCYRSLYFDVVPDLNAKQQVNRIAKNMIERTYGATLAELTSLEELMRTMMAEGGVHYD VVNKLWQVYSTDQEIPKAQRQGAIIILGMLALARREVVTERVESLLKIGLGHFGMHDL VLARYTCIALQRLGGSAKKVKAGSLSDKTMRLPMENPIFLKLQEIIEHSPKSPQWFSM AEQAINTIYLLGEQPDALCSEIIKDLTVKVFEKPFSTQDATATTPPQDVNETTNVNNE EMQVDGATPPPDSQDSMATPPPENEKKDQIGSFKLAQLVFIVGHVAIKHIVYLELVER EFKRRKDETAKQKAAAKAAEKDSNDLDAVAGNAEDDIGELISGIRERELLFGDKSLLA VYGPMIAGICASPKRYKNSSLRQAATLSLTKLMCVSAQFCESHLLLLFKILETSRDPV VRSNIVIALGDIAVCWGSMIDDNSERLYQGLSDPDQIVKKNTLMVLTHLILNGMIKVK GQLGEMAKCLEDKDNRISDLAKLFFTELSTKDNALYNNLQDVISHLSVGQYKVDEDTF ERTMRFIFTFIEKDKQAESIVEKLCQRFRLATEERQWRDISFCLSLLPFKSERSMKKL IEGLPFYQDKLHEETVFRRFTEILAKARANKAANKPETELKEFEAILTEHQAKGLEDQ ALEADVLRKTKAAKRRAAKRPQAGGRGKKAVTPEDNEDEEMEEEALPAPPQRSTRGAA TKAQPKKAPPPPKPRRGGRRKVVESEDEDEEEAE I203_01636 MAQPQFFETITKSFTEVTITEAGVDTAEFLEAAENLVKIFGLFG NPAFVVVQNDLTGNIAKVRAYLAHNPESGKTLESLLAAEKASIPKAKDRVATDALMWL LRGLKFTSLGLKINLDNPNEELSESFTKGYEGSLKKYHGMMVRPVFYLAMKACPYRAT FYPKLGEPQSEVLPKLQAWLKALQDIVAKEEGVFKAGGYGEI I203_01637 MWKQPAPANSSFNEFNTFPLPQTQRGQSSHAHHGPVGHTQQPLV TGTSVLGLKYEGGVMIAADNLASYGSLARFRDIQRLHPLGQHTLLGVAGDMSDFQWLK KELDALLREEDALALTDSHPSLSPKNIYELLSNLFYARRSKMNPVWNAVLVGGWDKVK QESFLAYVDLLGTTYTAPTLATGFGAHLAQPLLREAYEAKAGIDGNGPLLTKEEAEKV LEDCMKVLFYRDARSLNKYQIATITSEGVSISDSKSADTYWKFAEGLRGYGAQTQ I203_01638 MSILLLLLRIVSYPFFILLHLLFVLFSLLLRTYETFTSQSTSAD RLQDEPQIRPPKHLALILVPSKTRRKDEKSALGKSILRGIEWSGEWGVEELSIWDGQG LTQSVLPNLMKTLSQNITQHNHNKLPPSPPSTPPNGPTQDISPDEYDEEGPVSPSPQR KQGKSLVKETRVGSGSGSGLGDEVRSVTIYPGPSSNKSLKIHFLPPSASDEVIVNLTK RYVERKKDVSEITVRNVDRDIKEQLHFTSDPELLLIHHLSPPPIWRSYLPRSPPELWG YPFWSLRITEIYQYPSPLPLLHHLNPLILSFRSSSLPFLRKLGYSIALPVNTNSDGYG ILHREEWNGAIGAWSKMEQRLGK I203_01639 MGTSTKTPSTSEIKFKVNFDIDPPDSYSTLPLKITPELGLTRKA SSQEEYVTINKYLPDVQKTVNETFTVWYESEQTQGLLDDRGVWTSEEPTEWGSMVTTD KMGWTSAARSRIEEQVIPRLQSSIPEVSGGMGCKFTFEHNTDIRVPFKYGDGIPAWLQ SLKCGTSDPHKECAKPYEYIYREDGGEWTRA I203_01640 MSSNVNTTSIRTTTPPTCLTISLNFRALSQSSNYNFDHDHALNP PASGYTGEAYSAISDLTCASDAKVVDWLRSPGWDWEIALDPIDIPKGPDRWLDSIPQR SLQSHGSTTGNYEDDDGLSYVEVSSDEGDGSRDDYTGVFYGPGDPASAAILDM I203_01641 MRLFPTRQLENAFPSQCSCDSALQIYNACLNNDVNTCLSVCQQS TFNDFVGCFQCTLDNTEGVTQSEWTQLQSAVDQIKSGCSQTGSSVTGGLQALSGSSSP TAAGGDSSATGATTRAGASFTSAASGITIVASGASAAATSAAAATSAATSAAGAASSA GASAASVATSATSAAASAAASATSPNSGAGPGTSFVGGFVALVSIAAGMAIAL I203_01642 MYPSPPDSNTNLPSIDVKPHDERYQAAARAARLSFLLDKSTIYA KIIGERMERQQIEKKKAEQRAEVRKANKEKKADEPQTAGRGGMRDKKPKVEENEQQQE KEAAGNKRKRRSEGGRGEKRVKIEEEEEADTTKEVKVEEETAVKEEQPNGEADAEVAE DDGVQYSFKQPELVTGAKLRDYQLAGVQWMISLYENGLNGILADEMGLGKTLQTISFL AHLRSKGTWGPFLIVCPLSVLNNWCSEFEKFTPSVPVLMYHGTPEYRAELRKTRLQPP SAAGNDTYSFPIVITTFEICMRDKQFLSGLLWKFIVVDEGHRLKNLDCKLIRELKSYT SANRMILTGTPLHNNLAELWSLLNFILPDIFDDLDSFQQWFNFDEMNNGSTTEGLLNK STVVASLHAILKPFLLRRLKVDVEKELPPKKEYLLYAPLTQQQKDIYQAIASGSIRQF LIDKKSGGNDEENAEAEEQPEPEAEAVSEASNGRASRKKERVNYKIEENDSKFIRDLE NGVKVDEPSGVKERSAAEIGRDWALQQAKKSVNNMRLQNLVMQLRKISSHPFLFDWPI DSKTNEYVVDQELVNASGKMLLLNRLLGELFARGHKVLLFSQFTTMLDVIEDWATLHK GYKVCRIDGSTSQESRREQMHDFNNGGDGPDACKLFLLSTRAGGLGVNLVAADTVIFF DNDWNPQMDLQAQDRAHRIGQTRPVLVFRLVSAHTIETKILAKAGSKRKLEALVISQG KFGRVVDENGRVLLGKKSKVESTAEMAKALLELDGEEIDVVSKDDKIISDADLDILLD RSPAAFARQKGWSAGLGKSGADRKAQIQKGEKTAFEVFEAAKDDAGGLDTMFGGDGEV EGQ I203_01643 MPPTTSVSSASSENIPSLSHTATTSVTNSMPVSPRTASPGLTDQ VKIANGDGDVKPEVDGDQINAEELVQEIQEEGESDPQRGRRMRRLSRLSQE I203_01644 MSPSESSQVYVEHAAAAGTKFRMSLGLPVGAVMNCADNSGAKNL YVISVVGFGARLNRLPAAAAGDMVMASVKKGKPELRKKVMPAVICRQRKPWRRRDGIF LYFEDNAGVIVNAKGEMKGSAINGPVAKECADLWPRIASNAGTVV I203_01645 MDSSLALPPNLVRIISPSTSHALSFLFTSSYVGSLYISQKLIPT SYTPKSSRPTTPKEDDDGSPAIPPISSDIDELQFKHDTGPRPGSRDHPETIKKRMIAV TISTALSLSGVYLTIKNLSTSNNTAGLGQSLTLLGLRLPTLKDGPVGNILPWTLAPIL MTGPLVSLYLDGDLPVVGGRHYGEGLIQRLKRGWKGFGLIELRNYGVAPITEELVFRS TILSVSILGGLSFKSLVFGTPLWFGIAHAHHALETYRKNGSTRDAAIHAILSCLFQLG YTTLFGWFASYLFLRTGSVIPPLVSHIFCNSVGIYLPSTAIARHPKKRFLIWTSYLAG IAGFVWGLRRL I203_01646 MSSDSPSSSSTPSNPLQRAHALSLQASTLVRPSLVPLATLNQAL ASYREAVELFEKARQEAETRGSDEVNTLKMLVIQHRKLLKDVERRMSNAQKDQTSTSG VPLSRPSMEGATRPAQRRLVSESAASSAATATAAGVPSGFGLSGIPPTGIVNRRSIPP FSLRPTPNPSAPTGEPSLSPLYSSSSTSSSTEESFIHFGSPPETLDPFSRFWGMLENM LEEVSGPVMFATAPVDAPAPTATDARSAGKKDDKLSREKTITRKGKQKETEESFYVVK NRRDETINLESTDEEEEEDFVERTGSSTNSAPTKTAEELLLENASLKTSLDALALHAE SVDNTNKTLKKQLEEREKGLKVIMENLKKEAGRVKSVVGQSQLLSNSSITRLPGLGMV GSTGGVGGTAKDDMTSKKRIKELEDEVRMLKGENEKQKEQIGRYKERNAKAKKEAKLA ATAQSDENK I203_01647 MALQTLPNDVAKVASEGSVKLFGKWDSEGVEVKDISLTDYINVN HAVYVPHTAGRYAKKQFAKGRMPIVERLVNALMMNGRNNGKKIMAVRIVQHAFEIIHL VTEQNPIQVLVDAIVNTGPREDSTRIGSQGTVRRQAVDVSPLRRVNQAVSLLTVGTRE SAFKNSKSVSECLADELVNAAKGSSNSYAIKKKDELERVAKSNR I203_01648 MSILRARSVVAPLSKRFASTISVQSTSSNLSGGSLGNIEASWKG LSAENQYEIYQQLEQIQKKDWKELTLDEKKAAYFVAFGPHGPRTPISEKGHGIKVFAG TLAAVGAAYGVFLFARSQAPPPPATMTPEYQDKMTEYMRSQNMNPISGVSADPKKTMR Q I203_01649 MLYLAALLPFLALSASVLAKPLPSGEESVTSSTESTVASTVASG YPSSIAAETLTESASSSEPSSSSPSSVVAVDTSTGSEDGTSATSTAPDPAQSTIDIYS HETVHNVQIQAYRDTKCLSPDPREELKAGAPVDLYPCVDPADPSKSARAWDVVPGEGA LVLSGTNFALDAGGVNASANSSLVLKESQNWEPLQAWYVTNDARIAIAGQGLCLTEDK DTDGSGPDEDKGIILFDCTDENTDQMFFTINKDNQDLVAIAPPDANYTAIAGSSSAPA LASASGTSLAAVQTDLTTSAGSEATPTSESAVPSTFASAVSASEATTASESATSAEVT SVPVSSSEAVSDSESANETASTAIPIATVESTQVASSSAA I203_01650 MLRIHSIWIIVLLHLPVIWCMTNSKREKLRDETASLFKHGYEGY MKYAYPADELRPLSCGPLHRDPDPNNIGINDIHANVSMTLLDVLSALPVIHPTAFPKA VQLVAEKVSFDQDVKVQVFEMTIRALGALLSTYQTLDDLPDDPAQQYYKGRILELAYD LGKRLLPAFQPVTGIPYARVNLRYGLLKGESIETCTAGAGSLLLEFALLSRLTGDDRF ESLAHKAYLALWNRRSTQNLLSNTIGTHGHWLAPGMSGVGAGMDSFFEYGLKAGIMLD EDTYTDIFYDSYAAVQTYIRTSDGFIYRTIQTRLLQPASPSTIDSLSAFLPAMQVLAG DIESAIKSHLVFWNLWRKHNAMPESWLWQERKIEWTGYPGRPEFIESTYYLYQATKDP FYLRVGEKILQDLKTRTKTRCGFATLKNVMTGEQEDRMESFMLSESLKYLYLLFADEP FPNSNTVFTTEGHPLRILKNLLRSPSNPRRAMRKGENPTCPVYQPFSVGGSYTKGIAL GIEGREDYDYARSLIYGLSGTGVEIEDGRRIWYDGGICKIPVESKFAFEIVLSPLNVT DPSAVPPEDPSPGPSKVEQDSATGDYLISDIEGLRLGVRWRFDGKGYDVVNIGPHRIR QGQNVIVQDPAMRGHLPIPSSPSINSESPPELTLRFISYPPSTAFISMPGSATEGQIL LHAIGATATFGIDFVPATGTDSTSTEPAWRIGNQPARLVIPPKIDDQLYQGCSKISLE SLGINSDASEPFVLMVSRGGCTFFEKLEVAKDLGAIGVLVIGYAPLEEGEAVPPEREY ERERGFEDGLIRPSAEYEESHPKYQYIKDVGMVYTEWVIGEVLRRLVETEQNVIGIEI LNIDGHNDGSTPANGSEEAKNFLSSSKFHEEKNKDTLTNQGYLMVGGWPIMNLRVIDS DNKYVFTGGKPP I203_01651 MSYNSTSDPTPLVAVATASYPPLGQPVSGVSTDSTLPNGSTSNP SHYSSNMSYPLAASQPGPNWSSAYITNSQNAWMNWSTAPPPPSTEPIYNASGNTTSYG SAFNYSNSRPNIIEKTSEHIYGEPKIKNFDTAFAAPAINLGTGDRFSQLLEAKMSMMN GNSGASTPVINVVEAGGSSGPMTEAQYPSYDYPMQQFPAQPQNPYQPVSDPSSSSLNP SPNGYLTSNRYSTMIIPPPSTNLSDPFNADMGVSSNPLETSQTQNQTMTQPGFMPDSD KYYYPPPPPPQGDIPEPSTVTSPRSTLTNFLSHQPNMNHQYQEVPPYINRLPPLDPLS IEKRLADWSQVHIQPDLVAPLPASVQEYKRRTSPPVVGDGLRYEQPSTSNTSTDYFQQ YPPAFPQSSAQTQIYSQGSSARQSFNPSPSVNHLQASLSSSTQTSIPSSTSPSILPDA VDQSQSQPQRTPTVTGWTSSQHLPSTSQSVPPPPTAPQEEALPPLLKIRVKDTASALP PPPENLELEPILEWTSTQPALIPKRPAQPKDVSGSTNHKGKKFSFKTSVSRADLGMGS GSATKGHSHRKVNEKEVSQNKGEISRIVEKHTSKSEEKETKKGSGKRKRKSSIHVEEH EEEDAETKNQSVKVTKPPIEKTFIACNNCRAKKLKCNGEKPKCFHCHRRGEETCVYEA ILRRRGPGKHNKEKKPKSKSKKKSARNAEDDSNSDSESGSTSEDANETSVLAKKSKSS SVSLSKSKSSSSIGADMKESVESHFESFGLGGGGSIGRIDTRRLKEDEIQNMSYILKP QTDFNKTDGYDDRELPLTNQGQGNLAMGFGAGLNIIDGGTQHLGGIGSRFVMSTARE I203_01652 MTDKNQPIVGIIGMGDMGRMYAKRLKAGGIETIYVCDKPESHES LKKELMGTGIIPLPNGHAVSRISDFIIYSVEAAAMTAVVREYGPSTKIGAVVAGQTSV KAPEKEAFEKYLPADVGITSVHSLHGPTVTTEGQPLIIIHHRGPESNVKMVEDVFRSF KSRYVHLSYEEHDSVTANTQAVTHAAFLSMGTAWNHSASYPWETARYVSGVEVVKVNI TLRIYSAKWHVYAGLAMLNPSAQIQIQQYAKSVTELFKLMVENREKELSDRVWESRGK VFGWKRNEEPPIPSSSSTSTSKGEEQIRDPILLSEDILNQFSLGSRSTEQQNQNQNQS QSQSSSPNSHLSLLAMVDCWSKLGIRPYEHLAVAGTPVFMLWIGVAEYLFRSPTLLSS AIQAGLADRIHRPDDIEFVVAARGWSECVSFGNFDLYKRRFEETSNFFSPRFEEATKL GGRMIKAIQDMQSGKRTDSQSNVQ I203_01653 MFFVIWEVTQVKKDLEEFRRNSQSTGIPKLPQPKFRPPLIISDK PTRPRPYDLFDYLEDAKKDTFKRTGRCLPIVSSPKYHHKPTETVTEFSVSESHSHAEN DLRSKKRKSVEHGDVKVRMADGTHKTGDYRMKKKLKLSASTKNKFANRQRPINHGMKG TVGKSDGDKTIVDEGNSVGEEV I203_01654 MTNALDALKATGTVVVSDTGDFGSIDAFKPQDATTNPSLILAAT KLEKYAKLIDPAVEYAKKKGGELEVQAENALDRLLVEFGSEILKIIPGRVSTEVDAKF SFDTQATINKAHQIIDLYKEQGISKDRVLIKIASTYEGIQAAKKLEEEGIHCNLTLLF GFGQAVACAEAGVTLISPFVGRILDWYKKANPDTNYTADTDPGVKSVQKIFNYYKQHG YKTIVMGASFRNTGEITALAGCDYLTIAPKLLDELAKSNDAVPKKLDAKDADSAPIDK VSYLDDEAKFRWALFEDQMAFEKLHEGIRGFAKDGQTLKDLLKAKLQ I203_01655 MGIPSFAGRVGLAGGHSHSIRSHEKRACNLANGDLYVYPKSNDT VDATKDVTFKWDTSCAISSSQITLSLYGSGGVIKQWGNKDFSAGELTVTFQPKWWNDT ETAQLQMNIVESDTESWMSSTPAGPVFTVNYPASAMFSTTTANGQVKTSTAAAAATQS KDAVFEDVSSTNTSDKSGISKGAIAAAVVVPLLVVAVLVAVAVKFWRNRENEKRKRWS QALSTHSNLEWEKGALPGEKPRSILGRPSMGGRPSMSGDRPRPSMSTYGGSGRPSSSV YAVENNMAGAGIHQFQRPDLASLRTHSADNVNRSSLAMPDGNVRQSRISFAESARPDR RSRLSFGGDIRPNVHSGVFKNPGASRSAHELNSTPTNRRSAAYATGSAIEDDDEIQIS PSQMQGPHGFDNTDMKRVGKGTRTGRRSFMSLGGGDKRRESSASALSVDDFKSAASAR GSVDELRDMEAVMLMRRSMISQASQRSPNTAMDNNEVEALDNVPPVPMPPSAPSPIAG SSTVAYGPDQMLAVYAARGKVAGGAPSTPTFGTAPLSQNVAQPKPTASRQNSGMRLLT SLGGKKNSEDSTSSSAAAGSMPSPSAPAPGDMRSFVHLNNGTVSSAVIDALPAPGPRG VTSPTGERPITGLTAPSTKTRASGMSDGSRYSQNGEEEEEDIGEAQ I203_01656 MATIVDDSNSNLFWSGSSWKTEHSDDPLTGKYFNSGEYCIVAWE GADIYVYGARRKKSLLYHIVICDTDSSFGPKGLYGVVIDGGDIQYFSGFSQDGQFQAT LFATNGLQDGSHTLKISNENERNQDQYPDYVWLDIDSVAVSGTLINADTSASSSSTAS SSVTSSSSSGNSSESTDISSGQYSETVSSATIISTASETLISSSQSRLPPTEGPVASP SADPSATAENSEQSNDRKTITLAISIPVIVISSIVIFVFVGLYFYRRRKRRYERQYDH GYNGYMEEPQSSWR I203_01657 MPALADLVQRGIVLTSVGLTIYGGALITHGIGYRSLKAKGYFGG PDEDQKTIDTNPSSTTPPTQPS I203_01658 MSTQSAKITLSTLRPLVRSLPPSPLAGESVQLSDALEAIAEKAF ASSSTPSTASASSSSMEALKVAGHQRRITQMRDSIARLKSGNALNNYPLTNSILTPAN DPHYYTRFRNGVRNAEQGIARPWWKIFFDIKGKY I203_01659 MSLLPSLPRRASTWSMSSTSQPSTPATGPKAIISNAVDTFEPQT LFRQTEKVIISQGCRVRRGYAPFTRADALLVISKRDTGEQAAIFIVTPPTAETAASIL YIIPIIPGFKHRLEQTPPSPSNSFFQQSAKPHITLYLSCQDLKLELRISASQSAKVQK LVAELRRQNDAAAQSPCPRSISHSWLSLYPVKPPNEKDDVDDLISPPVETPSNMTPST SGMYHSLSTRPGLANTPTATLTIDTPLASSASKDPAEPSEEEEQYPDPYLPTFSRTKF LRKRLFARQEKWSRREEVKIRIATYNVNDKIPPEGTLELAPLAGKGEEDILVFGFQEA DLRRQSLLISQGNTRAEGWESALLAGLGSRSGEFEKLVITQYVGVMMIILVRKSLKEF ISRVETSERGIGLLGFGGNKAGVAVRLKVHDTTLCFVNAHMAAFATALDRRRADYQVL RTGLTFPRPNEADLTAAFEDAFTHVIADDFVQFWLGDLNYRVDLPDDQVKKLVEEKNW EEMLAKDQLRADIVSEQSFAGFSEADITFPPTFKYVHGSTTHDLKRAPAYTDRIVYDF PTNENTAPSSFGLKCDEYTSHDILWSDHRPVTATFKAEVRVVDESSRGVELASVMKEL DRLEEVYRPSLEIDGTSLEFGEVRYRQPVEREIKLRNVGRVPATYNFKPPSSDKPICK PFMWPFPATAVVEPGQEMSLKVVVNVDEYWSSKLSLGGEDINDVLVLQIAGGKDTFIT VQASYLASTIALPLHILSALPTPIRQVSLADRKILARPLAPSVTNGEAPAKPVRDVWR LLEYLMAKGKGVEGLWVESGDVRATIECLDTGDELPDDQAKSISLALLHVLTALPTPL LPSSHHTSCLAAEDRDAAFAVLEGVPQINTNVLIGLMSVIRLCSSPEEVPAIGILQIG DSEEDQGVTEKVDEEQKIEDKSPEVPREDIKLEKDTEDQPEESVKAQEAEEKAEKGDD DDQVDEDEEATLEFISLTHSQDKPLPEINQEAHDKQDKDKPLKLASPPKKGIAIVEES KTPPSASSTTPSASSITKLHDIAEARTTEHADELINALCPAIFGQTSVGPSVKDKRKR FIKLLLEG I203_01660 MSTSEPLSRIPRGLHHIISTRPNKFAGRLPSRLPHASTKFVQPR DRVRKWNIRPGDKVRLLVGTPKQKFINQQDATEGWNTFTVKQVDLSRNRVFLEGVNNK KSNVIHARPANYDTLTDNQKQSYDDQKNHVPTMRPIHYSNVQLCYDDRKGPDSLFVSR MKTARTHFNVKSQRLDWTRIAARISGGSSSIAELESSRIKLAWPKPEKPYSHPKPDDD LDTSNAVTIQDTLVLPGLEAIEETKAADLVPQHVNAPSPADTSFSDAYINHMNGNRKL NNEESNFVDLLMPLYLSEELSPRFGKYKSYKAYRARREVEELERQRAGKEAVEEWVMN GKDKGLKEIMDLDEIGLEGVFLKPRTRKEVRDSAITEFDLQNELTRKEVNLNVREGRL FDTELGDWVNGPKADNIERKRERRERKERKALERLERLKLE I203_01661 MSGINQRLSRGSTTNADLEPVPLEEPFTPPSPGEDYKEKERDLE KASTGEDSNSANVAILEKEELTPDKAFTVAVEGDQSPFPEVAACVPNWDDPTLPCNTV RAWILVTVFVILFSGVNQFFGLRYPSLSLGYVVAQLLVHPIGKAWEKLPRWRVPLGPL TFDVNPGRWSIKEHSLIAICVNLTGGTAYAMGSLVAITSPVYWNRDYGAGFSFLYLLT TQALGFGLAGLSRRWLVYPAALIWPSSLPSTVLLRALHEKQDHSPANGWTITRYRYFI YLTLGASAWYWFPDYIWTSLSTFAFITWIAPNNQKVNAIFGMSSGLGLLPISFDWTQI TYAFSPASPLTTPFYISCNAFATIVIFYLFLSPILYYTNVWQSAHLPLLSSSTFDNQG KTYNVSRVVNKVTLDFELEKYKEYSPMYVSMSYSLTYGLSFAAVTAVVVYTVLYNGKE IWAKFKDAKHGGEDIHKRLMASYKEVPDWWYGVLTAVILGLGIFVTRYWDTGLPVWGF IVICFGMGVTLIIPEGILEGTTNQRIFLNIITELIAGYIWPGKPIANMMVKMYGYNTV KHGMDFGQDLKLGQYMKIPPRTLFFAQIYSTVLAAAVQTGVLRWMIGHIEDLCSPTNK NRFTCAGAKVVYNASIIWGTIGPQRMFQSGQTYNALMYFFLIGPVVTVLVWSLYRRYP NSWIKYINVPIFFNAAGNIPPATTTQYSLWFIFGFIFNYLIRKRAFDWWKRYNYLTQA AMDTGTALATIIIFFALSYNGIKLVWWGNTVGSNTMDAKGTPWLSVPTGTHFGPGVGE F I203_01662 MSAETSPHLPPHLPPPGYNQHSNPLTSTPSHMSHPPSLHDSPYG PGDTSITSIKTDESSVNDSPGPVASSKGKNGATGPAEGKTKPHVCPICNRGFTTGGHL QRHHRIHTGVKAFKCPFPGCETKTSRQDNLQQHYRTHLSPTLRRGSGSAARAAVNAAM EAAGLKSSSRASRKSKGSAGGTPSSTASGGHLPSPYATPTSQGPAPYAPYMYDPQHGY PAYPIPPPGVSLAQSQSAASSRVPSPVNGHSSGHSSVGSMPPAHQQPFFSQPYTSPYT AYPGVHQQPYRYGPAGGIPSPYGAHPHHGLYSPGLASEHGQHMYSPMQSNFQTHSRES SYGVLTPGYGGNPMANGYPPRTQTSTPLSQTHDDYGRRPPSPGSMNGSRRRSPPHDML GQGVVDPSSMSTRMGGPPGIAAYGGGGGYGHHHNGMPPYGYGQPISQPHSRNPSGHRA SVSSLSEDGSGNGGSEGSKGE I203_01663 MSVKVDIGKSDQSRTDGNTSFKKGRWSEAIGHYTNAIIYNPTNP VNYSNRAQASLKIDKYQDAERDCTTCLSLERNNIKALYRRGLARKGLGKLDEAIEDLQ QILKIDKTNETVKSELEELLDTQRNNEIKKSKSRRPITPPPVNSTTSKSTEASIEEIA DQTDSIDLSSSSSSSSKPKVNVPDTPKEQNPPNTITSSSFASLKQAREGKKKAFVKGT NVSPSSGSLNDKSSTSSIRTPTPSSKSMSHTDVHLNSSSQPQNLPAVTYPLAEETLSL PTDIDINSTSPGAGLIFLRHLSSSPTTTSVKHNWQLISLYSSEVLYRILINLLEPDNL GLILIALEYGLELDQEQGGKERVKGLLDGLKKSKRWKMNSSMLSHQERTVGEKVWREC GGEGGLM I203_01664 MDYLSPPTEEFLASKGRVTTLGMLIIDHYALRDQDGNETMTEEE SVSAILEADMLILETDYDCNDLEDRRRWCIRCKAMISSRMFLPPSQCGLIVDKGDDFP SKFTIILKGYDKEMFWFRPRKGKTTRALNIYSGGKIGQGHQSFKYLSPQLNLLPHDLI LPPSPFATPNLPEYIHVVCNTARAKLIVDEMRDIRENGIDGIGRGWNGKLIWEPMPSS CVPSELDDILSLSRSFTVISPNLLELQSILGIPPTKSSIMELAEEAVQKFHQLLSQGM TDRSEVNVPAIIVRCGELGSYTFSDGWKGWVPAYYGEADQDKVVDPTGGGNSFLGGLL AGLLISQGDMKIASIYAATAASFTIEQRGLPTLTVHEDGERWNDEDVWKRLRELAVRV DKMN I203_01665 MSTKPTGGKGGKSKTSSETKTLTTRSSKAGLQFPVGRIHRFLRN KNANNVRIGAKAAVYVAAIMEYLTAEVLELAGNAAKDLRVKRITPRHLQLAIRGDEEL DLLIRATIAGGGVLPHIHKSLVAKQGVSKKLKPTPAA I203_01666 MSSPPLVEWDSSLFSDLQHLLGRVITQPTTSTLRKLYGKLEEAQ PWLLHLTQLPGQNDADKQYIEKNPIQLSSGTSVHITGDLLTTTNTISNASSLSQLLSA VLASQAEEQRFQYPSRSTPEVAVYLLHRWETDMLDFLRELLRTVLSPDVEIGGAFESL RDWVLELLNTRVSLGQGKGEGALVDQIVVQLDQIQSKIDNLLRSGSAGGADYDLLKFR VEALRAEQNKMSSILAIIAESGHLGRGQVVRILKWLKKCERADGLALMVVSSLFAAWK PLEAIDASDPRYDVAEDWCHDIKFLKIASSLTIQDQWSIPKLRETVKIAWSLFYLSCL RHDPSVVQTGIDAHQMELFLLEAVNGESFQFTYDLVLAIRRERGLEEPDQVKEANLDA LVKVAIASDSSNDTFLFEQLRDLVDLLAGRKQFLRTLRNKEEDAAVRRFQSTPPPANY QGFLALVAIIYKSLPPDSAEDLWDNSTFLGTVLDTRGGFPGPAFWEMLAAISTGPSCS AKSYEKMTDTRLPWTALFKFYQHYIDIMPHIFEPIKTTRNTSLDPMPHDEAQICSGWT KVLTTVVRWSPAARGALLQAKPHPVQTLFDFLNCENLPFELKATILGAITAFCKRTGD PIDDDVLSKAVEYYEKITFRDPGLDTRHLDGAKIPPPVGWLAKMEYSEQDASSYPLSR AYIDFLTSLLPDPAASDLPTPSRGRLMNTLRRGTFYILDGILLTLKIRRYARDSERWQ VIDSLSAFFEKALLSFNMGELLNPANNSRAIGQIASTLAEEPGFIVLLRLLSDANVFA VFASVLDNASATPSPRPTIVNDVLLRMLRIYHRVHDIQLVFVDVLLLTLADPTRNPNN PFKRPFGLQSLDNHLLAHLSNINAIALLVGDDDSAISYLSVKILAALAQSPVFSRSDV FRGEYTSSVNRLAGIIDASDDSIRIAQGFCRRLEAEDADIEDIPAVENIALHGDIQSL TSLPVVIRSNILDILVEGTTADITSPNVAHFLLGYNFRHRDFALQEGESCLHVILRQL CEGAELSGPVGETMINIHPILAAKSAQLIYQLFAHPLTGRSTLSYTMSVTGFSARQLA SFPRQCPEASQPTGTARTFDEETPTTSETLIAYLEFQRWILSAAALETFTYEGHGASA NHIAQTLFRGTTEEEDLDQDELVSQSPALIIDLLSSIDIQWSEGVEEENRNLEFYGGF DFDQYKRVDADWWDLEALEKGLKAFRRQLERQGAVTSASTKSMAAEAEYVIRRLASKN RETDISIAKGNFLTSWNEILKVSLAMLFKNVAEEQQEVVLFDLIDALLLRLEGDLAPG VLDLLCESVLVTMTTLINILVEFEGVNLPIDRLSATLARIIDAAVRPGSTETARGNLY ASITQYLQLVNNSSYNIPDDRSVVSNETLSGGLPTSTIQRPTLTVFAARKERLLPILC RDAMDDREVWKTECFALLNGIISICSNERDRHIISPLSSNGYLPLFVRSIKERELSLQ ECLSPEHENMHAYWVFEAKIAFLVAFASTRKGAEELLDAGLFEIFATCGFINIQIGEE VVDEAAATEITARQHRVLICSLQLLARVLSSLHRSARSGAGHALSFLNAHRESILGLL RETHQNMTSTSIEECRLIVSILCMVVHKVPSEDILSSTGFGAFHLATLATAARFFDRD SWIDELDDDNSQLENEVLLLNQVFLSYLVAATSGMKSGNGNPVLVTGAQRSNGASSKY IASAPSLHMAVNFLSDLAEHVQEISNQYETILDRILDGGELEDEDVQKLRTPDMIGDE PLTEDIIKAAFVTKSQTIFNMIESLLLLIWRHLLFYANDVRGAIEPVRPNNLSASLGS FVSSQAQLEASRSGAGTMRMLERVAASLKGTLVRLDDMEVNLELRRLATTTSSKGNDA YYGMLVRRLKELTAGLTGSGSSMEED I203_01667 MSGNPQYHITHRMVPLICQALIAAPDDETVWLEGLRMLANPKLK QALTLTSSIWLPLLLRGLEVYKPLPVIVSALKLLDHVSEILEPMKSCLVKPLRALGKE KQYEELEELVWMIEKLDLTVWNSEKSLIGRPRSLRTAPNSQANTPLPAGSSVQPAQKE QHPLLAEILAHNLPPKSRTVQQAWSQTLLSSAHDNPNIWLHNLYQATLESSNVPELVI ASKLGPLIHEELFQTSFMKCYVQLESDPAFKNVVDSTLVSILNDISVSQEITIVLLEL LAFFHKDKREFAPSVHEAAKACALNHFNGALNQAVPGVVLWYVEQNAENFPVQENIGN LVETNISRVGSAGYDTAWSTLLWLENDWQVEPEPLWITQLSHWQQALDAQNKLDQGQE GTSFSSFNTKMICYHALGAYQQGYELAQTLFEGLNDTERRNTAHWATAAAWHMGDFET MADYLAFHPKGTSKSLYKAIIDVHNGQYASAFHHISKAQSLSYDEVQVQLDVGPQVAH RTLAKTELLVELQEVIQYKSQPEMRDNIISTWKTRFKKSHADPTTWLKRLELWTLAVP ATIMQLQSCFIDCAKLCESAGMHQAAQSILRKITPEVTPLGCKVEYTRFRFEWKNAYQ TQDQNKLHEILHKLVKHTRDFLQHIGVDQEPLERQGLGLQPLSIMANHTRLDHQILSR RYYRIAEWTAALQGASWASDEQSQVLNFTSLASKLDNDWYAACFSLAERSLSIFEMNE FSRSDSVAVSSYIVPALRGLFLAARTKENPEFVIKALLRLVTLWFRFGESTAVLVEVE NQLSLTPVEPWLSAIPQLIARLGTPHKDLQYTLINLLKSISSQYPHAVIWPLLTATQT SKVEHQEAARVIMSFICTMPDGIRLVDQAELVGKELIRSSASLMEKWRSIIEKVIPRQ DLMETAWHEVPTLWEHDIQYLRAVETPDEEQFVQMFGDQLLQIDKSLRRYKSTRQMSL VNFAYQELYKLYGDLDSQINQWKQPGSKLHLASTAPRLLSLRDCVLTVPGQYDPHLKL DDQAFIDSFAPIVDILSSKQLPRKLVIRSYTADYTFLLKGNEDLRGDERIMQLFNLIN TMLNHNSDAFSRNLHLLPYEVIPLSPSAGLVSWVSNTQQLQSMIQINRAKNKQNDLND RETASLLGMDRYDKLPIPTKIQRLKAALSHSKQSDLKDVLWQKSPSSDIWVRRRTNFA RTVGVSSFVGYIIGLGDRHGSNILIDQLTWGALHIDFGDLFNVAQERSFLPEKVPFRL TRMMTNAFELASRGGLEVPGTRGTFKQASLIVMSVLRDSRSTVLAMLEAFLYDPLLSW TTKKSHVQTHVVPQSLAPGIGGTGGSDIYDRIENSLIATYLETDSYMAKVSSSTGMTN TKALQVLSQIERKLIGYHKDADQPLTINRQVQALVEEATDLKNLSQGE I203_01668 MPRDKGKGKPKAVDSTTENAPLLGSPSRTYHTTQTQPSSEDQII QPQQRRTSRLTSIIYTVLIVLLSFLISFLLFLILLAGSYKPSPSELSTLPKTAFSYSP PGSISIVNVTDDGILLNISLRCGIDADEAFGVKAKVFATPEEKADAEERGERGLGSKW WENIRKWTAHRFLSKLDNPSVSVNIPSSIEITSRQFKSSPLLSVNVLDELEVPLIWDI SPRPLPPGSQPDWLRPISFTALAKPLASTGELWEFVQRGWVEGAIRVTIEVNHVIARP SEIAWWTKYAEVEKEDLTMDITSPIPRLPHLPNPGRPLNLSELVTLQHYSFDTTQTPK ALTIQAIATVPNFAPDLNATLDFSLPFSIALPATRDGLVGESKMAEVITEPVIIGGVT EDILLNISGVITADLSERSSLIGSSPLSLFLQNYLHGRDNPILVQGLSDLPPFVPPTI VHPPNWLLHTLPSLSLSLNFPGPSPTPKIIRSVTIEHMRISESQGKMKASGIVVAEVG LTKDMQNVMVDVVEVLPDVYVFDGPSPPDDEDLPGDGGGDFPPKAFGHIRPTDYLNST TTRGENGRLIVRAPLNQVDLDILPGRDGVLSEFISKIVFKGGALAGVKGIASVGVEIM GVNGKVRLDNLPVRGEFWVGKQRLAVDIERQSGEE I203_01669 MTDPDLDPTTVRQRQITFKRNGHIDYFLRCLRALPTAAQGSDSN RITAAFFCISGLDLLGALDEKTTREQRDGWIDWIWSLQAPTGGFRGSTFMTTFNDKTS PAHIPSTYTALMSLAILRAPLDRLDIPGLINFLKSCQAKDGSFSPVPGDETYPNEGFQ SDVRISYCTSVISDIIGDFSGIDIDLAKSFIGRCKTWEGAYASRPGVVEAQGGTTYCS LATQSILDRHTQTRPEYQISPQETLRWLISRQIGGFQGRPGKLEDVCYSFWCGGAISI LGHSNLINRDADRTFLLSAQFPLGGFGKEPEDYPDPFHSYLALAALSLTHSEIDAKDD NDGLGLKALDVTWNVSLETAQWLKDEILRVKGQVYV I203_01670 MSQQDSDPQITPTASPTPKQGVQSSSSSFSPITPLTSNQYVLSH PVASESLSDLNKASIDTGYTITPTGTGRPSEDIPASAEGSGSRSGSGGSFNSDSILEV LDNDDEEYDEEEVMTFLNNNGHSTNDEETGTEDFEFDVSDPLVNGSGSGSRGRNKRKG RRRRRGRWNEGEEKEEKGLLELIPSLILAHPLPLLPLLALLPYNFLPAGVVLFVPVFC VLALLSACAHVVIVYLAWYLKVSSFEEVFAAVTAKYGKYGLWTGRGFVVCAVFGVVVS WIETLHPLLQPVIETYLPKNAVFESRVFWTIIVSSALLPSLLPSRMTRSLRRSPIVIA LLLPVVAFLVIGRTVEIKKASELPQPIGEDNGTENGGEVITEVLGHLLRKRRFGLAGG SSAGAGLTTLTIFFSPHINTLPIHASLARSKSTSFPIPCLLASSLILILCLPLALVPY YLLPPLDQSSPTPISSPTTPSGVFARLPADDGWVNISRILMCVVILGSTNMWILRGRD TILRSMGVDQGERLKAGRWVGIAIWGVVVLVASISGWIAEKIELLGVLSVLAVGWFLP SLFFIITFHVRSPLSIIFPSRNTPISEHDQTNNPGTPSRRLPSSMNGHGHGHNRTNSL NDPSTDILLARKEKQLQKRRLGRRLWQDLLVYIGILPTGVVCLIWTFGSFLGIW I203_01671 MSDAGSETVSNPPVEVEGAEVEVADKSGPLSVEDALQQVIKTAL VHDGLARGLRECAKALDKREAHLCVLVETVTEAEYLKLIEALCAEHSIQLIKVSDAKV LGQWAGLAKIDREGKPRKVVGCSCVVITNYGQDSPALQVLLDCELITSSHT I203_01672 MIPKAFILAFLIRTLLTLPLPQTYFQPDEFYQALEPAHNYVFGY GYLTWEWRDLTTPLTGTWWDIYMVGGRMRGWIWPGVFVGVYRVLQITGLDKTEWIVIA PRLVGILVAALTDYHTYKLASKLIGPGASSSALFLSLTSLFNAHLLPRSLSTSPETLL TTMALCYVPLPSLIPSKPSSDNLKPSAFEKDKKQIRTEENQAKLDYIAMDRDVPSLYP VICTDNLPLSVILATTALCIRPTTISLWMFLGIHLVIRTLRSSGIIASLRVIAIAAIS STATFAASTYIDYHFTGRLCFPALTFIHHNIIRNISSFYGSTNHLYHLTQSLPIMLFP IWYWWIQGFFSALLPSSILPGRLKQLDTPEPLRLMARAVTFSIGILSLSPHSEWRFLH PLLPAFLIFAISSLTTSYRPTVFGIYRLSDSIRQYTRLTKISFYLILLAPIVPFLYLN LFHGKAQVEVMNVLRRGQLGEVGSLVSLTPCHSIPWQSHLHLKDMEGWFLTCEPPIGV NSETHRTQQSFFYQSPVSYLQEVFPYPPAQLHEIANLTASPARPTHLVLFGEVLRRLE TIKGVSSSVSDELVRLGYERVWHVWNGFDLLQDEDERKGGLTVWRLIT I203_01673 MHILPDSIWALKWTSTNKLLSGSADGHLRIWDPTESELSTKPIY DLTSNPLAISSISITEDGKYALSTSLDGTVVLIDIENGEVVGKVETGREVVGEGEKEL PAFTSAIHPQNKCWAWSGRSSKLAIRSIDQLSPQEGEGVNGNTTRGGLGGNGKIVDTG KGKFGMDLQFSPDGQSLALSTEQGQVIVLDVETQSIVATYTSHGKAVRTITWSPDSQW LYSGSDDHLIVLYDVRAGSTSGSGGKGEGAVAMMQGHQSWVLKVDASPDGKLLGSGGA DSMIKLWDVGQRSCVSTSTGTSEIWGFAWQPAASDTFAAGKQFAVAGDDKAITLFRAA GSV I203_01674 MPGQQGMHNFSTILKRLEAVTSRLEDVAVSSSSPAPTSSLRSPT AAAHENLAPTSSAAPPPPPPPPPPAEEAVTPAVKAYQDEIIDGALQEFIEKSNELGGL VQQHSSLLPALCEAQLQFLKLASNHSKPSTPTALGPLLEPQGKAIQAILETKEKLSRS KEGRDWNVCFNVLGEGVPAWGWVQVEPAPAPFVAEMKNAAQFWSDRVVKQYKDTNPTA VAWAKAFAQLLTSLQAYVKQWHTTGVAWNPKGSPAPSSIPSASSSSSAPPPPPPPPAA SKAPAPAGGAGGTAALLADLNKGGAVTAGLKKVDASQMTHKNPDLRSSSVVPDNARKA PPLKPKPGAAPAKKPAKLELEDGNKWMIEYQEDNKNIVIDQTELHQTVHIFNCKNSVV KISGKINAVTMVGCKKTAVVLDSAVSSLSITSSPSFEVQITGQIPTIQVDTTDSGQIY LSKECMNTVEIITSKTSSLNISVPTGQDGDFEEKPVPEQMKSKIVGGKLVTEIIEHAG I203_01675 MSISLPTPNIAYLTEEDYEHVYEPAAEDSFILLDALELDAQPIR DDQPTICVEIGSGSGIASTFLSQLVGSSSSLVISTDINRYACGVTLRTAQANEISLNP ILCHLLDPLFNRLKNNIDILVFNPPYVPTGMTELMDTQNQRDIGGAWAGGQDGMIITD VILDKLPELLSPIGKMYLVTVIQNKPLEIMRKMESKGLVCKEIIKRRAGRELLSVLRI SRI I203_01676 MSQSLPQSQRYDDYTFEPTQLSQPYFSQTQNSTFANSQVEPRRK YWAIFIPTQSERDILKIPWSKPSIQLGRGPRVLSKNDVILPEKRISNIHCKITLGIQG PNGSGSSIPTIQSWKDGEAEPEVWLEDLKSSNGTFINGTRVTNRRMLIHGDEISLGHS GTLDNHDVRYIFRSVGGKGAKMGQGSSKHDMVGAVYERYQVLGRLGKGTFAEVRKAVD VETGALRAIKQIIKHRFAGNDKTLEFFHREIEITKSLEHENICRLLDYYEDPQHICLV LEYIDGGDLLDYIMNWPNETGGGLPEHHAAELSVQICRAMAYTHSMGVTHRDLKPENI LLTRETSDAPVQVKIADFGLAKMVHAETMLTSMVGTPQYLAPEVVMQTKQQPGYENVV DSWSIGIIVYSMMTKALPFDEDGDAPMEKRIKDRYTQPADTELLVRLGISDLAIDFVS GLLAKDPRQRLTMRQALDHEWLSGPSSQISESQVPASQALGGDSVWSIESFDDQFPLD QNPNQDGYSSDPLNGNDANVDGSTEDIGRWSRPMTASGTNYESLGEYGSEESFSQPMG NLHLNTPLIERRLPPTNMKDQLPSSPPLSSSSNLPVMNVDLQQQQQQQQEEKEVDMDG GLPTPITPKLSNGNNEDISMNGNGNGHGNGTAMLITKRKNPDEIQGFSSGNLSPPPMK SEGDHQVEVVEEEQGEKEKRKKEKAKSTSPRTLPTRQSTRTSRPRKSMRLA I203_01677 MSSSSSPTEGQGNSTSPVRTTIDTTDPTPRPPSPAETIHAAEPS STTPTADVAPTRTVQQALQQSINRNSFEEEVGQVMGTLNSWWGGVKKQSASALTTLKA DLDKTVSQAQADLEYLRTANIEVVRKDPAEYAAEQEAEKAKKAAAKAVKEEEAKTKEK GKGKEKDSTEEESAASATANNLFNKLGINTTQLQQTLQSTLNAAKNNPNLKDLSNPEQ LRQKLAENLKISSAKENLQLSIHQAEKLAEEYLKKSEGFLKDAEKWVEDNVKVLPPDS QQSEGGNDVNEHMVWDGSDFYSFSTSSPATKTTFDNNTGAMGKPKSKPISSLALATSR KDALLRRLREDKKLLMVDPQGDDESEERRKEFVDWVQEHYENQKKDLREQEEGNAGGI RMELVPEHLTDEQFWQRYLFHKHMIEGEEQKRKALLQATTQEEETDDFNWDDEPEESP VTASASASVPAATNDTAEVTPKIENDGKIPSSLPKSITSTSTSPRDSEESYDVVSDQG NVAGKKPAVEPATTGTTAPVNEDDDDSDWE I203_01678 MSGNTVLITGTNRGIGLALAELYIAKGYTVISAVRSPEKQAALS PRSGTKARHLIVKMDVADAESVKLAFDEIKNKFKIDRLHVVINDAAIGVTLKNAFLVR DADPARYAEAFNINLLGTLHLFTAAYPLLPQDGSGKFIAISTLAAVQSMEHWPIGGAY SLSKNAVNYLTRQIHFEEKNLITFTVSPGWVDTDMGYEGATAFGAADGPPEKVSVTAP QIVNVIENGTREREGGRMINYDGTIFDWGALYTLSQTDDQATLISALHRNGTAKYVTA VQTGGKGAGARGPDALQSSDSLLVHDNLLLAVNPASDELSLFSINPAEPWDIQQVGNN TWSGGNYPTSIAVSPDGNKACVTNAGSDSNVRCFDITRNGLQLITSFNFDLGLNQTNP PIGPPKTPSDLAFTADGQILLVTVKGSGNATEGPAGRIELFVVSSDSIPHKSTLYPAT GSGGFPFSITPIANCGAYLVTDPAVGADLIRIERDGSVSYNSSITIPDQAATCWSAYS SVTDQYFTIDLATAKITPLSINSTTLEPTLLPGVNITSISENPGSLVDARIAHFSDQQ DYLYSLVAGDQTARIFSLSAGHQGGLSDSIQTYELGAAFNSTGGAVGTSIEGLAVFLR PSWY I203_01679 MTKSVLIFGASGLMMGHLLPHIQQRHGSGFTWTAYVRPGSKGIA FLKSINVNIIEGSWDDKETISNLVNKYDIVWDFGDSHDPSLPAIIVPALLDGPRTTTF VRFSGTGNWITPTGGSLDESARLYDDSNEGDIRSIHGEMFNGAGDLLALEALDKGVNV WISCTGGVYGQRPAGVSSAGVFVRLYTNNALQLGYTPYIGNGGGHMQLLHIQDLISAF DLFWAQVVSSIDSNGPVPKDVSERYLFAPGYSVEWKRIAETWAEVLKDNFHLDLPVKN VPIEEAGFVSPLMSSEMKAKPTRLEKLGWKLAGPSIEEELSLILPSGSQLPLA I203_01680 MTTFEPTEFLEWFQKAGGWYNDKYLGLKPFKGMGYGAVALDRIP EDAPLFHIPDSLILSPFTSELSTKLSEEEWPRLDHGWCKLILVMMWESERGKESPWSG YLSNMPREFDTPMLWDDEERKELVGTDIEDRIGKEEAEKEYTEHLLPVIKAHPELFPP SSTHHTLDSFHLQGSRILSRSFTVRSSRFNPKDKESDGQSDFSDDDDDEDQIAVMIPF ADMLNAAFERDNAHLFADEEINDEDEDEHERGKEKGKGFTMKSTKVIEKDEQIYNTYA SPPNSELLRKYGHVDILPLPSDLLALLTEEEIGGWGYGNPADEVLIDGEMVLKCVEKV TNKQQGEDLDKWKGKMTKRIDWWLEEGQEDMFPLSLSPEIDESLIAFIRLLIHDQEWL RAKKKGKLPTTTIDNDVAVIIREAIQSRLSRYIGDIRSDLSIIHSLDIDHIPRPNAST TQADGIKAEAKGEQVNAKNLRKCYAAIVRLGEKRILKVALRIVEAQLNKKRKAEDQ I203_01681 MAKLRSQPLDTSSILYPTLTLHPSPIHHNILVLSLSHVSNAPPT LSNDELFNVLLKRLEPWVGEEGEGGYVLIVLADEDHSGKEKEKRKLPGIAWWVWRWKR LPRKYRKNLKRLYIVRPSLFTRTLLPFIIPFISPKSYSKLHPLPSLLSLYHAHKVPLK GIDISLSVVEAESRVLKERPDIIPPTPPTRGKNVGTEAVPTLKRIDSESSLASWGYQT ISSAMETAASYLPIPHFGLGSYDNQQSPLVSGNKGYWGRDLDDLIRAAEGNVNGGIPS LLVDLGKAILQECIRTEGVFRRTSNSHLVPTLIAILDVPISQQPNLPWPEIAKEDPLL PPKILSRFLGDMKEPILSNSVYDLIRRTRTIEDIKTRFIPSLSSSTSLLLNYLIDLLH QLSLYCETTRMNSLNLAIVIAPVLIHGPDPIEDTILCLEPSKPIPPGLRKMAEINGLN LATEEGVDEGQRSNTLVGMLDMWIRDYPIS I203_01682 MSVLHKSLPSLARLASTSAAGKHKVVVIGGGAGGLAAANQIYNA FQAQGKTLGDGDVAIIDAAKNHDYQPGWTIVGSGLASKETYRRSLDSLIPQQFAHIPQ NASGFEPGANQVVLADGSKVSYDYLVVAAGIQINWDNIKGLKQAIADPSKSKVSTIYS YETADKTWDLVRNHKGEGEAIFTQPFGVIKCAGAPQKMAYMADSFWKSQAETSNNHST FITGMPTMFAVPHYSKALDAIRQKKGIDALFNTNLVEIRPDEKVAVFEVVAGEGKGKK IEKEYGLLHAVPQMGPLDWIKKSPLADSVGWVDVDQGTLQHKKYENVFALGDSSSLPT SKTAAAITGQTPVLTHNLVTLMETGKVGDAIYDGYTSCPLFTGRGELLLAEFKYGAQR KESFGRFTDQAVPNRLFYHLTKDLMPRAYFSRMLKGNWYGPRGIFPPQFLPS I203_01683 MLLYLVRHGQTEQNQRGIVQGRLDTLLSELGREQARKLSDWLKL VPFTEAWSSPLKRAEETARIICSQQPRAKLRIDERLQTRGAGDAQGKPWDEVKDIFES LNPESEAALSARLHDWLSVLLSTHTPSASRAATPVSPLTPSFNSGPVLERALSSIKGL PRPGITRTGSVQTNTLSNGVVLVVTHQECLTNLLDMLTCPAPSTTNDEMIKKSPIDLH VPEHVNSSSADGDRQVGNTSVAIIRVWWEDDATEGNELVPRGRLEAWGSEEHLQGDE I203_01684 MSGTLSNVTLDDSSPAIVYIGDWDGKIHQGDPLVSQYSNMTFHA SNKLGDSASFRWTGGQLWLFGAFRANHGWFSVTLDGMEKQYFDGQKDPDAFQRLMFQS GDLEVGEHELVLLNEADHENKDPALSWVDLDYIIVQADPAQFDPSSSSFSTVNMITTG TPRVQAVMPPTGTPKPVYISQAPGEPTMGLTGIFEFVTGKDPGFPSEISRMTSKFPVY R I203_01685 MAIGFGIRIAMTSEPDRLGPYIGTTLFTLLSPCTFLAHDYIILP RLAKWLDAEDELFMRASKVTKIFIWSDVFTFWLQGAGGGLSAAQMELMQKMVYTRRSP KWTNTPQNSQGYVVHLRRLEDWRMILYAVLWSGIGIMIRCIFRVIEYAQGFEGTLRTT EWVFYVFDALPLFLAIAVWVFVWPPAIMRDQNAYFATVQTTSSPSQYPLTVRENPQWN NDEGKHVHGQYA I203_01686 MRTSTFLLALGLFGSAFSAPIAEPNVAPVLFAKDPLAIHKRSEV VPERIKDIIMTPEFQAEVESSTTAQEDSSSSSSSSSSSSSSPPSDDSCTEICGMQRVE GAKSEREALCSSEGLLVTLQCAQCIDQTWPDTSYEDSAMAEYERIVSACDASPQQPFR IRKYE I203_01687 MRPVTRPTPNTTPQNSSDSVPSSNSSRSQRPQSVTMPARPVYAT GQFSTDRQSQKPDSPSQRVANSSGPGQNRKATTPTHEGHGPRYSASISPSMGSAGPLS PSSTYGNNSFVFPMRSVFQGLNSSDQPTGESSGGAPLNRVQSRSSDARGPAPRHGGQD SRRFSTSSITADNDAGIQTIAQMLQEQDENDNQKPKDKGKGSATFNVKPTNTTPGKGV TTPSTPITTTNFPPSDLSVPYKDDSAPSSRRASAEDESSKATTTDRPSLATGGSSGTV KHASDTGTDTPVNPSAEGAGSGLPQKDRTPVGQPQTTPVSVNYKDFPAEQGNAGETTN FSSAGHGESSSRPQHDNKPELQQPKPRHAIANALNDLVEKKRTSTRSGSRTSLEVDES GMRSLINDFSGIVRLGEAGSFTSTGGTGTGGEGNGTREGSGTGTKSSHGHPVGDSRSF GKGGPSGPTTAARLAQQQRQQGHATTTRQSVRDFINQQARTVNMADPNAPTPSPVPEV QEEVSDMSSLGQGDGLGINTGPTPAEAVQWAQDTEENSAPASSERALASGEDEKDEFT ASTSEDGSEPIVTFRFEHVSDNDGHHVVVGREGKLRRCEDEPITTPGAVQGFGVLMVL EEDYDTGVLTVRQVSENATELLGLSPKYLFKLDCFTRILTIDQEDVLRDNLEYLPIAE GGKGSVEEEGPSVFLLSGYGEPGSDDSEEDEESATSTGSVNGRRREWTCWVAAHRPKS KATKQVDEKGVEIPAPDLIVLEFELERDDYNPLIQPFEPPNLSSTNGSDTPESGSFTG ASVGDQSSNGTGSGGASAGSSLTIGSTTRVGGHRGSDGSTVMTAGNSSTPNTSQMASG SHPSSMLPPGAKPMGLDGLEFEVPIEKIIESTTNHAKPLRALERMRRTGQHGGSSTDS GSGSRGARGARRPRRGPPAGTTGTMDVFAVLGQINDQLGSAPDLETFLKITVGVIQDL CRFHRVLIYQFDESMNGQVVSELVEWGKTTDLYKGLMFPAADIPAQARELYKINKVRL LYDRSQTTARMVLRSKEDLDTPLDMTHCYLRAMSPIHIKYLANMHVRSSMSVSIMAFG QLWGLIACHSYGHHGMRVSFPVRQMMRILSDSISRNIERLSYAQRLHTRKLISTIPTQ SHPTGYIVSNADDLLQIFDAESGLLVIGDGCKLLGQNEQGQAMLAIAEYLRVMRFDTC KASNHIQRDFPDLVLPRAQDTIAGLLYVPLTAKAGQDFIVFLRKGQAREVQWAGKPYK DERAGDSASLEPRKSFKTWSEIVTGRSRAWTDDQLESAGVLALIYGKFIQVWREKQSA MASNQLTAILLSNTSHAVRTPLSQIINTLELALSGNIDSDVRSMLENSHQASRALLFH VHDLLDLTRIETGNEQSFNDPFDIRQSLTDAVRLYQTESSRRGLDFRVIMAENLPQYV IGDSRKIKTVISNLVANSVKFTEKGFIEVYCGLQQNTSSDGGGSQELVKNGQVPIEIV ISDSGCGIATDKLEAMFVTLEGADELRGSSNGLGLGLAVVARIVEQLDGQLRAESEVG VGSRFFFTLPMLVHHGRPSSSKDSKSSSKMAIKSRAGSSGSGSVASLRSGLSEVDSFV NDFGNSHMLQEPVAADDQRIKDAEYRMSRPGTFPVTDSSWPVKPSRVDADVDQSVHSE SPPVGRSPEISHTPRMESRRLSYRPSPKASIDHPKLTTGKSPDLSKSTRVKKTSDGKS KLRVMVVEDDMINSQILQKRLKMDKHVVKAVSNGQEAVTALQDDWDFDAVLMDIQMPI MDGRQAAREIRKLETKLSPQTDIDPLRVDGRIPIFAVSASLYEIDRGNLAEHFDGWLL KPLEFARVRVLLAALEDPVKRSAEVYEQGQWEKGGYLRNAPSPSPSQGA I203_01688 MPRDITPPPTNRAPKRTRVYRACQYCFSAKTRCEDVRTDGCFIC RRKGKLCSLAGLNSSANSSGSHDGNANDGRTGFNEIDKNTNGNGNGNGNSDLLEKIER QEKRYKQLEARFNQLEQRFISDHSRQNRNAETSRLTLNEHGHHDYHLDAHLPLPSKHL TKTSHPLLPQSWHGLIPVFDEHLLNATDPNSLPDAVELGLINKTQLEMGFQL I203_01689 MAILSGSTSSETIFALFILSFAPVKKNLSSRQHLSSLRMISLAY NLGKSSGWDQKMRLILRESNRNDLVEHWSTKQLDLVLLVSPSKYHLIQFDRRLNSNPV LVLQWTAIINRYNILHIISTPIGHPIESPAPFIPSDHPSASESIRLTVSHLQQESDLV DRVREFLQTLYEVECEVEIEPDSLTRCIDQVTDYRKRIQEFRDGLHPRKDLVGYHSLE FDSRLIEFSLIMRLSILNQNLPSPFSPDLKLRGLSTIGTNYLPTSSALIDILLSQTNG LPVGIGGLPSYVIQSICLAYICLRRALFYIYSRRNLNQNEQVQYQAQIDLLARSQDIL SSLGGSPALLVDCTNQQLGQLGDLGSFLIDNSMTTENINATNATNSHNNSVVSTNDHN YNHDQHISVDNNHNTLDMTHAHANIPIDWSTWDWSNLLVDPFSFDIGVGPVE I203_01690 MSRYLLLLSLAISSVLSQKSSSSESLLTIQTSPPTPISSSSAPQ SSGSNGISGSTSIVETASAASPNPSSSSSSSTSSFPGNCSGECQDISSALASCGAGDS LNTTCLCTPLVEANYVTCLQCGLSLNPSEDESVVYQGILDSYINQCASAPLSPISLPN VTITLPSSASSSTSSGSTSGTGSISGSGSISTSISSSTSLSDTLTYPSSSISRGPITS TIAASASSTPSSSSTTGSGNSGSSRKVDNGFTSIGLAGVVGVVIAAAAI I203_01691 MAAPSSPPPPNLDPPFRGYIETTFDALLVFEAARRGMIPRVTRR LIERERGMVQSGAVFVFDEHESGIKRWTDGLVWSPSRILGNFLVYRETDKRSPGTPTK TSSTSPPETSPSASTPLGRPALARPRSASEGGGAMDRQRERQLVGSLTNSYKFKEGGL VKKTMSVSVNGFDQHMVSYYSVQDVLAGKLRAPSTIPELSSLEISQEYLNKQNFRFPP MIERGPDGINRYRGEAEEPQSPTSPNSQFSFQSFPPSSSSGEYYDGGYSQMTSHAPRV GSPRNRSVTVPMPVPLPPHAQSMAGSYVGPNSRGSTFYESPTVGAMHYAPSLARQSSS SSIHSSASGAIRPSSSSRRYDPYGPGPGSATSPRSSGGMQYQPNLPHRRQSQPIPPEN LYSQSNSASYDVKPNPYNYHPPSTAPSTFSAFYPPDGAPEPGHTHGGHSHHQHSSHIQ SSVTSPTTTTFAHTPAHAYAAWQSVPHQPAGVPPSSSGSDSTSRLLPTINSRTDFGNP PSSAGSGSTSGGSRITSGQQPSPLQSSQTAGGADSWVTTNPNVNVNDNSSTHSNVWSE GAANQPGGHDGGGGPNQPYIQPLHHQHDDWTRSNAGAIV I203_01692 MGKWSQREYDDVLVRKVVNLFEGSIKRLDKRTRDTRTIPDKRFR TFVQQLDPKDIVTQRAFDSIVGNHFYSRRSDIPVRTSNRLSSATTSMGPTGLPIPADR RLPIRTEPSLRRSTTSLSNLRRDFIDDEFPNGINFSLDQFSGISPPPISLSRARNPRP IPVPMTRSVNANNTNHNIGEPASPPNEASRHWLEEYTQYTNPQPRLGSPSRNTRYPRT VIRSPIPQPEFDSDATSVPDEFDTLLDRPQSPEMVNMNRIIGRRRRRIEIDGTDSESE AFDLARNRNRSRRRLENVASAALREIEEARTGDAQSQPRTESERRLRTASPLPFSIDY VSPPPISYSPTLGNNDVDDLRGRDRENRRNGVVFDEVAMRTLFGETPAPTIEHIDVLP TSAGNMGAERNQTAREGDDDEERIRRELEDDLVQAHYRTELFGDIDRPLPAFDPFHDS I203_01693 MPKIRTQRTKPPPEGYEDIQDVLEDYEKKMRDAENDSHEGKRKV ESVWPVMRISHARSRYIYDLYYKRELITRELYDWLLKQGYADANLIAKWKKQGYEKLC CVRCIQTKDMNFQGSTCICRVPKAQLKKGTVVECPHCGCRGCASSD I203_01694 MSSPFRMTPLRNRSQTQSHIPYKPLSGFETSTNLSTGWRGGEIQ RKCFLLVDYGKDFLMDNRLRTECGEDATGGSLLVILQPTVHQQLIHLISQLQLPSPLG LSLHLTVPGTTHKPRLTDAIWPTFFSTFLIPSQSHPHESIGSLPIVGNVSLRVDKRAA RWYEVWKKDPQDGMIGKWVNINGHTRNPAGVDAVRHSKGESSQFTDSPYDLSVYSINI PANEDHDPESSSKRDVIILSTSPRHESPSSHHLNSPQPEGLKTITLPPLSAFSSPASQ HPAPEISSRSSTPLSFHSIPYSPIHSMPDPEALATQPFLKELYQRINITQRARSNSDL AKRRGKEGLTIHTKKDIRPPWADLGSAMWSVGTSWGPPTTPEGWDLSPSQRQRQRLQA KVEVEEKSSSISPSKIDFTASPRKAPPIPDGPLDSQNTAGSGSQDDHGTWTFLPKGPV TPTPWKFGFPFHQVGALPSDINIEIYKPPVESPHNRGIKFHQEIEFFESQSQVIHDQL EHLQVSPLPLYPNSLHTSAFELDSPFEIEIEEGIEFEETSPSRSSKLGELPMEEINLS ASSPDANPTSYEELLAPLARCPRPSDQIYSQVDISFLQPYTERHVVHPISPFDLDIPV SPFDEDEDEDEGRMDFSMPGLRRPSVLGFEGTQLGIIEEMSNSDASSPASLKMDSSRY FEEASEDHISIPVISVKDDSTHAHLDDGRSIAICEESASIRPLELEEMPIDIERTSTS RVIPRLPTPHARLSEYFLENDQQLGFSSVGSYHEPLDLSCSPVPFQNCIEEDIPSPTL FTTNHLFQYPDKAQHPADNGDDNPRSRTSFMSGIKPIFRSKSQKDRSRSRSSSVGERA LSFTNYHEQTNQSVTSLISSHTAATGREPKRPLKKLSQRLSGFGSCPEGGGQEIPPLA VAVQDQEGNMMTSRLYDDAQVECHCHYPNLVIFSDRTPVDQRISSIKKFTHQRGISSL SSISSSSIVTPVSESPPFSLDDLTIQLPKRTTPSSTPLTMETSSKAIVPDYFANIESS ASHQSEEAAIKLSTQDTSSHVESDSRMKKRSSTLISERMKAFSSPQAANCTPFSPPPA ARKSRNNTYDNLDQSPPTDSPFSPGLGKELGGKKVGRLSRDLIKNWI I203_01695 MAYPNNPSSPTDLLSALPAKFEQARKSGQLYFFPSEARDVYSQG RRFNLRLCPALQDKQKAKSDALEAVKAENGTSGSPERKRARTEETVDKQNGEEPFKPP YVEELYVGCLEGLEGEEGMSILLISVDVQIQYSLLPEHILLCPPSYQPQSLPPTPPQL ALAYNILQAALRHPTRPRKLLGFYNGGEGAGASQKWRHLQFVEVPGGRAPVEEWCQTV QFSRPDQAILLPDVPYLHIIHPLPPHASVPYPPTAETNEQLVDLLAPAMMKMFDLAFD ALRNGGADKDGGWNLLITLDHLHLIPRFLPSFPLPSPHQPLELNSLGYAGMMLVKSQD EQDALTKATEDQGGLMHVLQRCGVPREWGEKAKEVEGIQQGSHELDLGL I203_01696 MTTRPIPIDFTAPDDGPSHSSGPSNWRKVRHAVKVASAVKDKDR LSGADTLAVSSTSSSASAAFARSLVLFTGFLFRRPSKLFRPSRVDTWAGLRQLAISSD QTLSPSFIRDLLRRKTGLIAITLTILPPLLVNTTMGFLLFTGHSFFSLSLAKLSFFQK PKEVGVDQARYHAPLNSNSVTSKEEGAEEEEEINLDTLLRGPSIIPNHPTLLSGIAGA GAGLVQGAAFTPVENVVRFLHQSTTSLTSMAAKFLSLPIRNVPKAFDASQPATPIQAI KNLFASETWRKSHSWWTGWRWAVARDALSYSCFFAAFDVTRRVGLRVKALFGGSIQPS WDNILVLEFPSSEQQSSLSQTITQQPVPTIARVAQATTIVTGGVLASILAELVGRPFR ACQRIMFVDSQMQLEAAKRYKAGVEPILIGGKGFGGKWEPIIKAYRQKGLRPFLQPDK PPVLSTRPIEPMVQRQSKMTRMAKRVGWRLAAVGPWGFGFLVWAWVGGEV I203_01697 MDSNTGIPSNLSTEDLLKLFNTIQTSVDATISSSAPLATKVKNN DDSLDFTNGLSLLLLRPQMLLSSLQNLIILLSLRLIDPSLPFPTSDEIQSTISLSTPF TAPRSHKDIAKGSVGSMMSELSGELMLGQEVMDKVRGMESKLEYQIKKLIGLAESSEK KVTQDDVEEDPLSFRPNPSAIVSSREPTTSSSSTKPSKYAAASDEEDGSSSQIYRPPR VAAVPYLENGTSRSERKERQRQAPALLSEFAASIDSAPILESTSGLSVRPVSNKATNS VSAKRAEELKRIQEFEESNMTRLVTSKKEAKRRRDDEAALAMGFGIGSGRGRRGRNGL EAELEGVLGDRGSKGVWDGVGKLGHRGDTLQRGKKRLNGGLGGEGKRSGESGRFERDM KRRKN I203_01698 MSQRQSQSQSHARSEGIQRRSAAELDRDARAEAGNLNGILSTQP PWSREAEQSRQRCRDIHLLLIFSHPLSPYSQSLDNLWHQTSYLLISAYRNIISNIERS SASSQPQQGRRDRRSDNNFNSHELRKAITRFKQFLSSEESFYKSLISRIYNYHELQDL PGIASSLLQVKIPLGNQFDDDGHDEVEVGRGQEKKDKLSLIYKGLICLGDLERYKEQY NNGNANQKPNRRGGPEERFGEARKYYEVARCIQPDDGAAFNQLAVISTYTSDSFSTIY YYFRASAIRNAFKGIHGILYEYLGKATERWRVRRKEDKEEPSLESGNEVKKWKEDMIV LVGILYLKAGFSFIPTLQPVLFDQMGSLLRSRQLSTENIVKTIIILIGLHHHARNTAG IEQDAKLIQRSHEGEFRSLELLLGISQIIMKIACEEIEEVKSTIINQSALSVENDNDD DNEQEGQSDDLTVYISAILRRILPSLRIISKWIKMDLVYLCRQNQAHPSSTILKEFWI TYKKLIDDLMEVFPISQLPSLPEPLEEDLDMKGFLPLQRGITSENNESNSLESQGDVH PNEEQLMRLADIQVDAKLIMQSAVGSALLGNPRPFALPVQVNSREESDIAYVSTDTED DPVNLAMRATLASESSIDGDEEKELDNEKVILWNKSPPPGTPPGIPLPPTALVPAPAA SSTKKPTAYDLLQNLMLESTPTPPAADSHLPPTTASPSLGIHSSPQAHTITSTTSGSG AFLFGSGGNAPGQGSNSIWAMTREESEKGQKRSSTGVSVGVGQPNIAAIWSTPNPNPG PTATGSTEHTPPATSTYHSQHIQQAQIYNYPPQEGSASQPRGVQQQYYTHNQPQYPYQ PTQVPQSQTQHNRTWGLSNVPLPLPLPSSQQPQSDPTSASYPYDQTPSQNQHQNQSQQ VPYYLQPAYYNAKPWGTSGGQG I203_01699 MGYRNIGQIEEEVKITIVLGGLGQEEMEDQMRVIRAQRKSGGIF KSHTHHNKNPARLRNLDFAEKNGYIRGVVREVIHDAGRGAPLATVVFRDPYRYKLRKE TFLAAEGISTGSFIYAGKKATLNVGNVLPISQCPEGTIVCNVEEKIGDRGALARTSGN YATVIGHSETGVTRIRLPSGAKKTISSRCRATVGIIAGGGRIDKPFLKAGRKHHAMRA KRNSWPRTRGVAMNPVDHPHGGGNHQHIGHASTMARDAPSGQKAGLIAARRTGLLRGT AGKTVDTA I203_01700 MKEEGEAQESDNMVQGDIDESDPSSSSSSSVKTPQDSEAEGDQD DIVMDELKPDGGEMGEEATGGLGDESETETETANNGDVEVDDLDNASNQDGKRVKVYE LQDTSWHDRGTGFCKGIYDDTQDIALIIVEKEEFPSDGEKAESSGGFLKEELLLSARV EKEDIYGKQQDTLIVWTEPATGLDMALSFQDPEGCEDIWQFICEVQRHLLSMTAEVES QQVPSSSSPIANSPMIGPGALAMQMSDSRVPWQTPTLANIREQEMFIRMQAKSPIGRE RAVEHILNEDYIKHLITVLEQAEDLESLEDLHALCSLMQTIILFNDNGVFEYILQDDV FMGVMGMLEYDPEFPGLKASYRQYFQETARFRQVVEIKDENIRNKIHQTYRLLYLKDV VLARVLDDPTFNILNGFVFFNQVDIINYIQQNDAFLNQLFSGFHDPPPPLPATSSSSS PSPSSSSKSPGPLDERKRDVVIFLHQLMIMGKGVQLPGRLALYRNLVERGLLFVCEWA FRRSEAQLLHAGAEILTLAVEHDANVVRLHVFKEDEVKRRTLVLEIIKLLQTTKNLGL MSQMSDTLRTLLETPPENEAFTARTKEGPLSESFSTYFYDNCASVLYTPLMDAPDVKA ELTKNKLFKLSREHIALLQHLIELLSFSIANHAHRASYFVLANPVSKKVVQLLYVKDK PLRHACLRYIRACLKTPNHFMHRNYVKNDLFLPLLELLEEESIRDNMMSSACMDVLEL IRRDNLKLIINHLFENYRDRLDKLSSRAFLKKYISGLRNRWEINNEPPPPVQQSTLSS HEGESSKAQAEEDYFNASDDEEQSASTAEVSSKRKRMFTHGGGPKKRPAGARKQMVVS GKNIGRPAPEPESTTESDRNPASVVERPTSALGLDYDDGSDSDSSTGQKSPRNQPQPQ LPQSLQGAKEEGEGEGEGLEDVQMRMRAKRQREEEEEEGFAGLLVGAKSTPTKDEDEK EKGMVLGDNDNEDAKKVQTTTGTSVKDMGKKIRLNLGFGKKLGGGGGNSK I203_01701 MSRPAQKRRSSSVSYTDQPKKRRKSSPSPQKGVSHMSQSQPSSR SAPQKSHGFLGSLYNTVASFFPSNASQSAQEILKPDPNGSLAMLMSHNKPSSSQSSSS SINQIPIEDGDGEEAGSSAHPIDFSQLDDDEEIPSRSKGKRRSVSPKKNDAYEIAGPS RQKQNKNQLLPMKYNSSPNLFMDNFGSCPQKDPAQSQSIGGYLPTPPDSQQQQQQQQK SEHDRTQSQSMQISSLTQDQLDRHVPTPPDSQVIDTNSQTIPTSFSLSSGKRPESSSA LRKKPTRPDVTLDDTIHPRKGWEIRVPSPSSSSSGSTSSRSTTARKTRHKKKYKSNLR NENDIAVQRSMLKRLFAEIAWMSEKNGDKRSGAATRRVAKMLTGDLDRIGKASEYATK VLSSRGMTEKQAFQTMMMLAPRQVTLEDKTTPQISSFSPLSQSKIAQKAKAEALGLKE VVSFERALKELKKITEEEEAKEREIKEKLKKPKVPPKLKPEQEAKVSEHLNNPAFKAR VSTAECESKSIRRLKNGTWLDDEIMNFYGALMVERANQIGKLKVHAFNSFFYQRISET GYSAVKRWTKRVDIFSKDLVVFPVNIGNMHWTACAINLAKKRIEYFDSMGDYGNHRAG IFKRIRGYLNDEHQDKKKKPFDFSGWTNEFNENTPQQDNGSDCGVFSCQTLEMITRGR DLKEQPFEFASENMPFFRRLMVWEIGNGKLEKREWGNPKL I203_01702 MTRSWRSNLNLLFLSLLFTFLVLGGVNVSATELDDSSTPAKSSS GEGILGTVKHIYKVAVNGPDPNFIYEKDAEDGIERLTDDNYVEKVQRPLALSGERKDD ELWVVLVHGKSTDAASNILLDYHKQASDLVKADPELENVKFARLDYITAWKTCTRWLL NRPPYLIFISSSGRQLRFVPTQSLGKEPERLYKVIKEKMYEVILPWDGRWSPTGDRSY LIEYYIRAHETISKYTNGIPNWMILAFTGIVTQQLMSWLHGPSTPARAPGAGATQVRR EERVLVREEKRN I203_01703 MSAPAPEYKSSIDGTTVNNGSSPVGTGKAPQGEDDLHRYVTDDQ PRQAKMTAAAPKNNEGGLLTVQPLRKNEMQPSYAQDLGTSSIQHGFYGSMMNGLGSCI GNIGMIPCCPLPNPFHNIGQGSVGLISRFGQFYKSVDPGLVKVNVCTESVRVVDVKIQ LTSVPRQTVQTKDNVSVDVDSVICWHVISPYRAAFGINDVRTALVERAQTTLRQVVGG RVLQSVISDREGLACEVAEIIEATAEKWGVAIESILLKDINFSVELQQSLSSAATQKR IGESKVIAARAEVDAAKLMRQAADILASPAAMQIRQLEALQNMARSSGSKVVFVPMNL GGMGAAGMNGDVAHQIAASAHDEHQNAEAGPGPATNAGLISSMANV I203_01704 MSAPEHPHPPPPPATNPNPPPQPNPAPTPTPTPTPTPTPNPSSS KPPPVAKPKPVELKGFRSALSHTGIPHSVLTWKPKLPSRNWLIFWTITASISGAYYYD RRECKRIKEETIKKVESQGKEILQGGSLGLNRKVTVYGAKWPGDEDTDRALRYFRKYV QPYLVAAAIDYDLPTSPLHGSISRQIHAKILAQRRQALGLEPPSPQLSLPGVLSPEEY QKRELEGGVVLVGRASLKEYMEGLKRGWMGRVDSWKWEDEIENKLKNDGVFNQPQSTE PDAPLENNPEVTNTPTAPIPKATTGLGFLSRPQPPSPLQTGQSQQGTYQIPERYHTPP SPLPPQPPILLLPFVNHIGFSQIPQMILSFFTERHRVKEGSEAALALINNHIRPFNPS SGDLDFDVASEEYYNKDSRKLPTKIEEARKDYYDNLKVRIEEARKYENGEREMGEEEK KSGKVVRVDDLMDERKKKELRWMGNEEGWEIIRPESQVSWDERWLNGNGNGDGWLKVF ELPKSESK I203_01705 MSNREDSVYLAKLAEQAERYEEMVENMKSVASSDQELTVEERNL LSVAYKNVIGARRASWRIVSSIEQKEESKGNEAQVSMIKAYREKIEAELAKICEDILE VLDKHLIPSAASGESKVFYHKMMGDYHRYLAEFATGDKRKDSADKSLEAYKAASDVAV TELPPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDTLSEESYKDST LIMQLLRDNLTLWTSDMNDPAEKEEKADEPKEEAAAPAAA I203_01706 MPIYNSVVKQVTRLNTIRDEQSHNQSSLSNNAAASSSTSKPTIQ EANRVDTVLSDVFGLLSLFFLTIGKSRETPATYCQIASMRQILSHMNESGAYTEEILV PIRERLEALKNVIKQDSEDGKHPEPIVRLMLRKLEGVEHQLQDLFSSLSVLSVELVPI HQRLVHLRKQLSALAAEPKPNKAEYKAILEELRKIDSKRVDGKFLGPGGSFVPEGQAL LSGLLESCFEITQDIKAREAEEDVTPSLKPIYERLSEMKAQLDQLLLTHRWTLRETDL YNYALSLREIDAMRVEGKFVDADGNKAEGQYALLFLLRRCYGLLYRLMSESEPISEEL MPVANKLSTIKKCLNEVLKYGGPYTPRDLYPYHLALHQIDSLRKDGKFYADDGSIPEG QAILVAQLSEAHELLEMLKESMSDEEDEDDAEEI I203_01707 MSGRGGRGGSRGGGPNNSNTQSGERKKRESILNLAQYVDQSVRI KFMGGREVTGTLKGFDQLMNLVMDDVVEEYENNSMPPRNLGLVVLRGPNIVLISPTDG SAGK I203_01708 MSQADLSFPLLSLLSKERAVYGLRNGDHERYRRHCTNKIHRLRQ TTGQTCGKGKQYKAPPKLDIEAIKDVRHLQLLLFSAERALAHSHEIKSQLSKNSSTGA NGSHEPGSKRDQISWLRRSLKSSTELFDLINNLSEGTHDHVKINQRTKAEMTIYHLSV RSELSFEKCDFGACLTDLVVIRKLLMVLNENSKNSYDEALTNEFIDLKDPLIRFSAYK LGRSESHDITGIVNDLDNDTLQESLPGFDKLVQGLKNELELDSNDIQKDRFKLDDTTF AGEKVEFRNPELVKIMASVQEVLNRFENKKSNKKNHTTSLKGWDKVLTVLGEAEVIAR RLKDDHEASGSSTSLRSTQITSSLNLAHAYIVHVLLSHRIKRDLSLIDALSSNLNGTI PSNVGDVRIKGGKTKIEEVVKGLGGIIKLLDTVLQSLRGIAELSIVQEKEGVRVGVEG LEGYYHALKCFQLARLHCLHPTPSYSSAISLLERSIVSLRQSRSLLVDPPIEIEESII TIANESIDSLEDDLHKLDIASKKGLFSQSIEKPVFFDMAFNYVDIPFDELEVLSGKKE KPSAGSTVTDNLTLVKDKTVEGLKKLGRETRETTPAVEFRPSPSASVSKTRDDEEEEE EGTRGQGGEGNKKGWLGGWFGRGK I203_01709 MASVPEFNPQHEEDHQLLGSERNPITDATLTIRIIKSFEFRTQK SLVVKHLNLEQLTVGGLMELVREEIKKQSGFKPYRTLVLDTMKLYTVAHGHKTTNLII NLDHDEWILDPTKTLTEIGAQNETEISFFNKESYDKFKANPEVCQSRAALTLTLTFT I203_01710 MPPKRSSTNVNYTAAGALSDDSEIDDLFDELSDDDEVDKKPKKK GPPNSAEIIKGQMSKPHNIMLSTKTLHDMIHTGNVKLDPDYQRDVVWSEPKMMLLIQS LFMNYYVPPIIFAVTKDEAGNTTHVCIDGKQRCTSILWFMDGKIPFESPNTRQKYWYN KFAGHRGQQLPRDLKTQFDLIQMTAVEYYDMTDIQQRDVFQRVQLGVQLSTAEKLQAH GGPWPDWIGELIKKYVTADETLGKQLNWVTNRGRIFQNLLGFVATARESSPNKIWTPG ATALKNFVARGDAPDQDFKNRVSLALSIFINIAYNHFDEAFGTTTTTRIAPVEFWFSG YLIYSRMGFLSVRSLAQEIGKMRAMIRTHVPGNVSANTLVFGLLSDHINGIPKKRRLN EVPAAEQYEADDDVDERDARALKRSRRAEELDPTYNDEWTERPMVEQPKIATRAKATS TNNSNDASSSSTPVVQAQPRPAPVNVAAALSSRPIAGLPTPQTAQPVNGQQNLNGVAQ PVPNGLGNTSQQWRDYTEARIKQQYPQSFSQQQ I203_01711 MASSSAGLEPGPSSSTDGANANLPIQASSSAAPSVIKGGNPQLS DEPWFAYPTPTPEQIEDELPPYFEGENIPLGLLLDRLVRKGYGDLRYLLAEVLPPLSA KHKPKHIINYATATRQALLKYLAVLRWKNAVDIPSTYHPSSSSSGTTGQQGNNNNNNN NNNNSNNNNQNGTTNFPTPHSNGDDTSPPSILSNNKGKGKAYSAGGEGPGEEVTVKGK VSDSRRLAQFMEHQNKQHDDAVIHLKHVTKLVESLRERNPDLLTALSLLTTGTYQHLS TIITEPFIPKPPSTNSFILSLLKRLNRHIKYRLRCVDYLPPDLVVEGISDGQVYLRGG GKNGWKARMTIVGFGEDSKWWLTGVEWCWRDTRKGVYDPGGDSEEGGEGKKFVGEERQ SILDLANLEILPPREVDIQRFYQQEEKGIEVEPQTVNIAAPDESTTTKSMDVELKDQK PKNTVVDAPLVRIYNFLQHLSLSYQLEILFSQAMTLSQGKWRNQLRVEIDRENKTLKV KYWVRPRPAVIPQQPQQAAVGKRPAPTANLNAARTPLVGGVLSITLGENGPRPTETDS ILGDVSSGGLIPNERILRLQLGMKWEVGELGVGGGLKLGDVMDATALNVDPASLDMEN LLMSSARAHAAHLTRVQASSLISSPKFILSLLNSPSLQESEDQTSSRPLTLRIPLPSR QRISSLLIGVSAYNGYIEIEDDGSIGDEARANRVELATKSINDGKTRLVDDIGRLTVA VVMENLEDQMRQSGWKPIRRLALRSQDLAKVQLHPATTILIPLPSTSSHYFVTKVTQG GLAFELLKTIKVPIENGLGVGTKAAVGDRIPLDLEKLKARRQDKDEMTASPFEIGNKD LRELYILSNALVAQTLIEQQLKERNIPFNAQYPPTSGPGAPRSSSALAGMVPTICVDV GDLLRDGKTGAAADVAMPKVGMQIEDWWKGGRCEVTTIVQLRQQSSMSQTSTPTTSQP HSEDISFDPASSIVKFRAKDIERCVPAFLEQWERLSKVIVVAGEVNRLNKLAEFRDIK MLSFDLRTATLSYSKGYNASITYIPTNDSYQVILSTDHTSTSPAFETDSPSPVQKERH NPHEKLSQLLSARLTELASQPLESRGSIGKEFFGLLKNTLPFFEQVDKLEKRNWNLLI MDLRNWRIVRDHESRRYALDIILIPSLTHYLIQSSSKPRGPDQRIVDITGKLNELPLT KIIPKMFDNFKEQQHTPSGGKREIPPLMKLDKNKSMLCKVDLIESVLEGFLNEVDLVI GVKKE I203_01712 MLIDPQTLLNVLERAGKPDRLGGLVKEALVLIETVLSKLGEESV AMSFNGGKDCTVLLHIYAAVLYARHTNACQASLLPQPDESIQIPSSSSSSSTSQPPLT PSSSSSEPVVPPPPIDDQPSSSSTHATHHQPSESESSLPYPPIRSIYITAPNPFPELD EFVIDCTKKYKLDLYRFGGGMKSALEEWLNKGGKGCRSVLVGTRKGDPNDNVDVLAPT DPSWPQFLRVHPILHWTYSDVWDFLLELNVPYCTLYDQGYTSLGSTTNTSPNPLLKNP NTSSGWDPAYKLKDVTQERAGRH I203_01713 MTSSASEPLPPSSITTIATMLDVGEASQSKSISNNGSADGSDRG RSPIMNPAAHLEHLRSSSRTPTSAEIDAVIAAAMANAPPPVPVQPEFPETSINRSVTP LMTNLLPSAVGVDGRVNLFVGNLPYRVRWQDLKDLFRKAGTVLRADVSLGPDNRSRGY GTVLMGSREDAARAIDRYNGYTWQTRTLEVRPDRLPPEYEPQTHAPHPHRPGVFPYHS SGHPPFPMSGHLTPQSNWIPGQGRPPFPGHTGSHGLLVPGLGPSPVAPPMTLSGSSPI PSSQSPSSIYPSLSLPLSVQNTGGHGHHGGQPYPPLVASPLAGSLSAGTTPNTHTSPD PNPTIPLPRSTSPNPNPTSRPTSSHSKTTSPAKGDRAPPPGTLGPLPPPPFAAIKPIV SPTTSNPGLAPSASSVSAESLGPSPPVIPRVPIAPIPQLEGLAHQGLGLGPPETLHDR VIFVSNLPLSIQWQDLKDLLRPAGTIIRADVATDAHGRPRGFGTALFASEGDAARAVT MFNELEIQGHCIRAHLERDSMTEHSHSNGPSPGRDASALGFAHTQTPPQSHEPSISAT PPVRSNCDSAEVLAPIDTSAGPAATPQKSVESSLATKLPWSLNTSLQHQTPGRPGPGP GPNHQTPGKHQHTPNFRQLHHPGPISMPPFPPMQNDNPLSPLQTRGLPPMTPSMPGFV FNAPVYPETPPIHHGFGGMSNDNFGPFSPGIPVTSPNAFGYNPFSAPGAPIRFPQSPH PHQYQGSAVLGTPTTQSFPILNGNQQSQGSISQTLNQDYFPPIGMANNIPDTPTPKLS GRASVGTASNNSSNGNPLNAKERLASTASQDEALIEAANNLTLDGNRKESPDSPSEMR RTLTSNSNSNNNTHLQAGDKNDNDDVKGRISLDGKRPTSLLDPTTSLGKGDIRRASFD DSTR I203_01714 MAMAPPRKGENYELRQQLNSEYREKRADAIKRVIANHTIGKDCS GLFPDVVKNMQTDDLEQKKLVYLYLMNYAKTQPELVILAVNTFVKDTADPNPLVRALA IRTMSILRAEKTLDYLASPLSRCLKDDNPYVRKTAALCVAKVFDLKPELCVEYGFVET LRDLIGDGNPMVVANAVAALADIYEASLNLPDTPPTSPGRSSPPPSSKPNPELFIIDP PTLTKLLVALNECSEWGRIAILNTLARYKALDEKESEHICERVMPQFQHVNAAVVLGA VKVIMIHMKAVTREDLIKSLTRKMAPPLVTLISSPPEVQWVALRNINLLLQKRPGILA NEMRVFFCKYNDPPYVKVEKLDIMVRLASEKNVDTLLGELKEYASEVDVDFVRKAVRA VGQVAIKIDEAAERCVGVLMELIETRVSYVVQEAVIVIKDIFRKYPHSYEGIIPTLCA NLEELDEPEAKASLIWIIGEYAEKIENADELLGTFLESFKEESYPVQLQTLTAIVKLF LKKPDESQTIVQKVLQAATKDCDSADVRDRAYIYWRLLSSDPAAAKSVVLAVRPPISL PQTTVAPAILEELLAEVSSLASVYHKPAATFIGKGRLGAEEMQRRALEPEEENAREKA LQTVVAGQQAENLLDFDADDEPQPSSAGGSQSLSMLGKGGISSSTISNVAKSTNPLDE LMDLFSTASMTTPATQPVAPKSTVSGIQGLQDDRQDTNTTHDAFAGLTGLGSPPQGLG DGNGVTSPVQSQGQGQQSGGAQDDLLGLF I203_01715 MALGWLLKPIALASTLALSTLGILSRKYQRARFYYHLTLYVSTL GALSLWGVVVSILATVTGQRLNINYLVARSFYLTCSPAVGITFDVEGEEHLTGLLTAR GGKEQSAVLVGNHQSFLDILYLGRIFPKRAAIMAKRELKYTPGLGQFMSLSGAVFVNR SNRVDAMAALQAAGDDMKKKGVSLWVFPEGTRSSSAEPALLPFKKGAFHLAVQAQVPI VPVVVENYHRLFDGRTRFENGKLKIRVLPPIPTVGLTADDVTRLSEDTREKMLETLRE ISEPGHSSDAKHEPAVVGQPESEPGIPKQKEKEHVEDREKGTIHLAIKKSSNSDSEDV MSKSGNESTEDEMDDDAVLLRKPKPKFTSDS I203_01716 MVSSYQRLLSTRTVDIPQDVIAALKKFRFTNRKGTAAISVKIVK ASLSMAVEEEFEDQSIEEIAEELPENHPRYVLLSHELKHKDGRISYPLILINWAPSGA PMELMTLHASSLNYFQQVAEVAKVLEVRDGAEGLDTKAVDDKLLAN I203_01717 MMRERSDGDCTWIRVYGRARNLIAGITELWGLPYDELMQHPWES GPEDQSPHFESTPSSTSIHFPVYGTPNSIRDMVLQAQVHPAYRRIELPEDSKASRLKL EHADVDYLIKTGFISIYLIPSGTFSEESNAELLGLSFIQNAVRRAANTVKDIAEIVRS WEDEARHPVSNILSSRANDSMERLAIVTIVFLPLTFIASYFSMVKS I203_01718 MTDTVLPCLSFDRLETGLDHLEIPPEEEEDGEESMSNFPHGYRI AKARVYSRSDVEPGPLGPKIKEMMSLPSSNEILIFVYKIKEMMEGRKKEDSIWKGITG VCGLPPNTIFHHLLYSQHRHHRPESFIHPTYVSMQFPVYGTLTSIRQAVMEAKFNIDY DETTIPKRSEASKLALADTEVDEMIKKGSISVFVIPSLNIVITVTPESYMDPATRMTE YAAYSQPNVPGQIDILVNSELLGASLLHRSIGHASNTVRDIAALLKVWENNAKYHYST TRTAEIHRLINHLITCQDELQDLQPVHEMLLKYYREKDVSGQVSEVIDIDVAARAVVM IEQSQKALHRVLNELQRLIERGIRLETFCLNMLSSRANDSMERLAIVTIVFLPLTFMG FTDFSVLSQTPVYFWKISVPLSFVFFFIFAYSNLKRFLRFIFHFLIKGFRRARSQRVF ESLMKWWWQEKIKRKVEREQKVYSRSLRQFQDSLPPGPVRYLPPSGSSFGQVQWSPPP GPPPPPLAIPLGQPLRPLPDLSPIALGTDGTVPAWSQFQPVPVSDMPNPWSGMPIPWS GMPIPLPEMPIPSSGRKIPRSKRARR I203_01719 MDECNPSNLEGDPSEEDAKPFHFKRQASPTWDNDEDADSDYQAK PTKKKAKKSSNTSRSSTSKAKAQDKGKGKLKQKRGKKIVDPDEEEDKPKKKVVLAKQV YWKDIPNWGDRTDCPLLQLPGDVLDMCFGLKSGLGVRDYVALAGVSRYFRHHFTPDIF HSICWSKGVSHVSTLHTTARQIDKPETPSLANHIFSRNVDDWKTARPSKIYNYGNPIH YIPEGPREVWSEAQYIVYKEEQSKWMENERKTQIKSIRETLEEQMKEDRKKKAWAIVG AHSRKVLATVKGREDGEPAIDRDEHGLPVKVKKVVDQSDTTSERAEIEDQVQTNKKKG KKRSGRLTNNKRWTVPDTDTEKEYEILPQQYVNKGERLIYDHWPNEWRCLAVKWINNK RINKTEAMRVYKVTESELLCLKHVLVTNPMSRKIPQQAFLEAAVEALAWRSHGGVEGH KQYIRARAIQTQKLAQNRRDRIEEAQRDGTYVYKHKRRYVSPYDWWRRDFVYDFYGSC ESDCEACARENGSDYDW I203_01720 MTTMDDPISIPTLDSVLSQLPIDPSLLDDSTPCVPSASGSISRE SSPLSPTPGPSSASGTRSTPSTSIAANAIQKTSKRKRNSTEERENDDEVIAKKKKGRK PLLAKRVEWSDIPDWTGRKDCPLLELPREILDLCFGLAVDVGLSMRDYVSFAGVCRLF RHRMTDTVFRELYCAAEGNGRILKPSTTHSHRIFTSTSSPEWQYPKKERFAYPLKADH YKPRGPRSHWTEAQYTVYKEEQYFWRSKHRLDKAAQEKRDQDYKRRMRGRVEGEEREQ TSVQLGGMNRRIIGRVRGRENGEPPVWKDDNGKPDEEYYLEINKKDDDSLTTKEQKSL YTMPTEVPESFSKRLKKKIKDVRRKTRGGVWKTPVESDDDHEEDYDVPQFTSEDTSKI MWASEYRKKAVQAAHSKHIGKSEAIREFKITDAELLCLKHMLVPNSMIKKSPQQIFWY SAVEALAYRSHGGPIGHRLHVQRWHTMNEKNISTRRKNKEKALEAGTFIQRKRKHRLL PSEWHRAVKEESVASTATGSSGKGKGRADEEEDADEGDDDNDNEKESVGADGNEDAHK DYHADGPASESEGDNEDLDMDGNDEDKDAKADERKGEEAEKDDDEDGKDEKENGQWCK AGCKCWKDPFPRCSSWRNASHEMGGNENDDEEEDDD I203_01721 MPYSPGNRTTSSSQEQSKQEVGTSSSNAAEDQTQVQSQDHSRSS SGGTTDSDTAYSTNEQNGWSQEMWYDLCGSDPDMNPDTPMARFIHHQQ I203_01722 MTDPVKPRRKVASQISLAPSPSPISSSSAASGRVRAHVTPSSIS TSSPASSERPSLRSTPSALSLRSTPVSRARSPAPTSQSSNTTTHPRVTTRTPRTPISS TLPPRSVVGLTPKDGTPIAKIRATKSVVDGHSSPRTPELRRNNTEVNASRTRTLSLRS TAGGAPIATVRTSGTANIITPSTPIQPIQKSESSITPVHRTSTTAHSIPTQDATPTSY AHPKSTAPSPSIQTPPPGPIQQSPIPNIISTPADGGYNLTGLGMDEGGLRILQSTWRD SNTSPERLADSAGDQIEISSNRSSPSRRSPKVLTQTLTSAQHALAYIFQHPTASAPTS PVPSSSPPHHHTSHGNSIHVHPKTKLPYHPHGAPPPSLPRPPTSPELRTVALPAMTPG RSSEELSRTTSSQGAGGLRKFSGTSSDMSGPLMEMRKDESRDRLSGATAVDDVEVRLG EVKIGDQDMDVVLGADAEEAKVNRKIADLEISNASLLAINKTLEATKSKQRTEILKLR RMLRESINGHTLPTLSSLNPSSSLGLLSPTTDSFEEDLDPEGAYFDEEMADPQLEARW DKIADLVGNMKRRAETAVQVGKEEVKIGQGRVLGWMEVEQNRLKEQEHEDGDMSVDSV TPNEHENDEYVGESSREEVDNVI I203_01723 MSTIGDLPPTPVSATSISVVLVTGGSGLVGSALKYLIDNEPIDS PYGRRKDEEWVFLSSSECDLRDIQQTREVFGKYRPREVIHLAAKVGGLFANMSSQHTF LRDNLLMNDSILQVSHEMKVRKVVSCLSTCVFPDKVEYPLTEEKIHLGPPHSSNFGYS HAKRLIDIQNHAYHDQFGDLFTSIIPTNVFGPGDNYNLESAHVIPGLIHKCYLAKKNN TPFTVFGSGEPLRQFIYSRDLAKLFIWVLREYKEIDPVILSVAEDDEVSIKDVADAII EAMHFKGPVEYDTSKADGQFRKPASNAKLTRLMTESGAGEYEFTPFKLALKVSVDWFL DHYDTDARI I203_01724 MSHSDTHPQSSSCKDSQLFPTSPPLPPLPTEEELSPYKTYYSQL SPRTHKPYNLLYSPDHDKLQESIIGSWRSSEPSQERKRNMEDLRVLLTNAINETFHPE LIDALNGENKRRFEVEIVGSSSWGGEIDNSTDVDLCIVDSALPRGYEPSMWLQSPSST KIESNTQARQGRYESSQNLPKCYSLKALSDCIEKVGMTHTKRHPLPLPLVKFVDPERK LGCDLQCNDLAGLYNCSLILAYCQTSPYVLRPLIYTIKKWYKYISGKDPKLSNRQNKF KLSSYCLSLICIGYLQHIGQLPNLQEDVIARRYDTIEEMMKDDELIWGEWGRTQGRAF HTSFSNKPKESWKVRDTKMAVAEVIRGFFQYFAQDTIDTKSESTYAESSDHFNPITHI ISPLNGGIIPRTLIYNDKIYANLEKDQMTYLKGKGYDPFQISLIMQHYRMAKSLQMEM RMGKGDGGIQSYEWCERKLVVQDPFRWMKNQASGMREEVCDGFFDCIKRTHRKLEELG KKATIEDILTIDMDAIK I203_01725 MIEPYLHYTESTTTYEPPTIASLSTSSILLTPLPRLSDLLKVKI PIAQSYVRQVSHAIAPSTKRLDEIYDQERKTGIGPSRLPLDVDEDDDIDTNKKGKGKG RAKWVSTGDAGLDQVLGGGIRGGCLYEITGESAAGKSHLALHLALTFQLPSLTSSPGG SLILTSEREISTDRLVQLGKYLLSTHEPYEFGPEGRVKNLLDNILTNRVGDVDALEHA LNYAVPAILESRLNPNSSTSNQLPIRLIILDSITALLRGGSSDYERPTAPNALSLTER SKHLCIVADVLKALAVKYDLSILVINQVSDVFQKPTPVSYSQPTSSFMQTQPFTGTVN VNDNPDDIAMIYKTQSRWFSGESDTLKKEASLGIVWANAVNVRIMLSRTARRRLVDQR DLTSHKRRKVENHQDKDELVKDEEEDIKPTLIRRLHVVFSPFSAASTIDYVITSTGVH TLNESYKKIDTTSEVIKRQIKEEKERERSQRNFNSSQIDPDDEDEEEGDEPRVDWDEV FDDFGELPEEFWKGEYDLATINRNGSIGEANSVSDQVSYTGYSDLLVDQNGDSVEGQS AVYSALGDGSAMDGRSGGNVEVST I203_01726 MLSSKSFLAIPLMPLLASAAAALSINTTTATPSTNTTATSSTNS STTGISEGTDTNKTKSVRLQLNHNTTKCLSAIMDSDGYAQPELTFVQNCTYSTVWVVP FDFDQQPGEVVCGECNELPILDGGKYGNQTNQTVLAMPDEQRMGQKWMIDSDQRISLR PPTDPTKATFCLGETIDDKGGSYVRTEYCGDGPDSGGSKINQIWNLIDVEKDT I203_01727 MVKSAVLGYPRVGVNRSAKKAIESYWAGNSSAEQLQETAKNIRK ERWESIKNAGVDVIPSGDFTLYDHLLDHSFNFGVIPQRYVEQKLSPLDTYFAMGRGRQ DRAKGIDVVASEMGKFFDSNYHIVKVDHSPSTEFSLKNNQQLNEYKEAKELGITTRPV LFGPITYLSLVRAGRDAPADFEPISLLDKLIPVYKELLTQLKEAGVEEVQIDEPILVL DKAEQQGDLFKKTYEALAPVAPKITITTAYGRVGKSIEFLKDLPIHALHLDLDREPKQ LDEVLAALKPTQIAIELGVVSGRNIWKNDLKASKALADKAIAELGADKVTVSTSSSLL HTPISIKVETKLTPQQVSWLSFATEKCEEVATLAGALNGKESEAFEQNSKDIAARREF ERTSDSAVRDRVAAITEEQLKRKSPFPARREAQKKHLNLPKFPTTTIGSFPQTKEIRV ARAKFTKGELSKEDYEKAMEKEVGSVVEFQEKVGLDLLVHGEPERNDMVQYFGEQLNG FIFTQLGWVQSYGSRYVRPPIVVSDVSRPSPMTVRWSSYAQSLTKLPMKGMLTGPVTI LNWSFPRADVTKEVQSKQLALALRDEVVDLANAGIKAIQVDEPAIREGLPLRKADWDN YLTWAVDSFRLSTSGVEDDIQVHSHFCYSDFGDIFPSIQRLDADVISIEASKADLKLL DVFKSYGYSNEIGPGVYDIHSPRVPSEQEIKDRIASMVNVLPADLMVVNPDCGLKTRG WKETEESLANLVAAAKWARETYA I203_01728 MSSNGTKLSFSFTPSSSSTKLPTNGNSHGAGPSSAPKSNLELLM AKSKPPAQSSKPTTTADTKKGFSFDDEDDDNDNHYGPSKNALAGPSKSTSSSKKAPVA QTNLLSRSERKALKMAESIDQSIFDYDEHYESMKSSERAQEQARKKEAEERKPKYIES FLASAQTRRLDKLRAEEKALQREREKEGDEFEDKEKFVTENYKKQMEEVRKAEEEEKK REEELRKTRSGPGLTAFYKSMLESSEAENAAAVAATSGPSSVAGQGPSLAIRPPSGPT NKQEEFDDEEEYDPLLAREAKAQAQAQAQSIHADTRSSSGSGKNRNPDVEINDEGEIV DKRSLLKAGLNITKKPKPPALPNSLLTGQRSGEVNEGPYKSRAVGTAATYSERMERER RRLADQMREQAERKRREEEEKLKAEEEEARKRREGDNGDAERKRQEARERFLARKRQR EEDEKLQKDKKAREE I203_01729 MTSPRPRRQTTSTSHSSIRAVSGAPHTRSSARLAASTKKKAFTV YDENATSTSAATKSKPAVSSSTTKDRGSRGPLRESSGVNKLNMNALEGSRESGNGKGK RKMIGNDESEKGKKILKVEQRGSGTGTGLSKRQKSIDHQSSTESLRSTQSSNPSTTPS SFSFVAPTPARDLLKKVDGTTSPSDFPSGRVVARPPTPPRMKERPSTQIPQTPTPSST STSPQKTDRPLSKMPASLRKTPGPNFFTVPSSSNPRPIPSTPSHHPTSLSVANPSITP KLAPSPVKVFNTIPSATPPSTLSKMPKSLATPSTQVVPPSPSSSRLSPMVSARKVHQP TLDVFMRKNVSTMDVTNRNSPYHKHTTPGQPFTMEETIHIPSAPREIHPSSIHPSSDK SNDTEMGIRKRIEPQVTPKSSLYDSAGTQTKEDALPLETTDTDVSQSILLSDISHPPR DGQSLVGDDSSSRSLPKGTSTRTLGTMGPPSEIPISKPAKPSTGLGALPERRPSTKPS MMPSRASTTEKENDLSTTIKRKPSYPSSLGSGPLSRPTPRMVSNPIISSRASSDPSPS LEDDMEIPTALVQPHGQRSVSAPMDRSHGNLNSSTREGLTGETSRSLAGLNDALSKLK MKRMESDAPGYSICSSTPSISITDTSNQTMPLSDRPSNLSTSTSTSRSESRTLGSSGR RPKSSIHPGDLSISSDEGEGVGDQSIAAMLCSTNGSNCLNGVRAFVDVRTDDGEDSSR IFVDILKGLGARVFARPSETCTHIIYKSGKPSTISWYRKQKQEQEDRYQDEDQDQDNP KTFIVGIKWVMRCKKLSKKLDEKDYEVDISEEDVFQKKRKSMEPKSLAASQGAGIGLG QPSTVRKALLDVAEARKRSLMYAPRIPSPLKKGYTGISHSNDNSDD I203_01730 MSQNVTRTYYDCRNKESFSATYERATKNGTEGWGRSWQTPNFGM ACAEQEIKTLWEPDPAEPLVPADITNMTQCTIHTDGSVTWVDHIALPDGTFLPTKTCH SVISRGA I203_01731 MTEPYYRVYWGRNIDRSAFRVEHAKSESGDWTYASSCGYDQTSM DATAPRNADTLEECGGREGSSNPAVPTTNKTSTEEDTDKSHEAEVFRTEHRQVQDDEP GQTSLVPFSQVGQTGVGTQTDPAPSDYTPPGDGSKLIGHPELESWVIASGSSADESST SPAPVPNWWETTFRSIFSRGSKGE I203_01732 MSVAYLRVYTGRNPNGSNITVQRSRDSGGRGRLDSTSEIRDTDA SRYGSESKAPAVPSGATQLKEYFKDESGSNGETKHVRDPRTKALAWITLAELVRLIYP GQTQARTGTTYGSELKTPGSCEESHPGSLAFSHSPTGTIVQEVTGDTARPLEDNGWQI VSSSDTTE I203_01733 MTEYSYDPNKPDLPDVVVDYVRERGGVWRSKVAQDPSLADLSNV TSISDNSEPGGAIATQKDLRTESEPVFELIQDDGTPAVPDADLTVSVDDLKGEWLMIN GSSPGEFKAQSSTNGPGKGGWFRFFSRTSGTK I203_01734 MTTLVTIPNVTAYHLPTPTSDPLPLSTGELNLTVIPANPPSHPS STLTLNVGGSSFPLLPNSPVQKVQAKDQHPSYIFAPVPADGGEPVGQVKLRVKDSANQ GEWDATEALTHGLEEALKSNKVWNETTLFVDDEYETGGVAKHTQKWGETIAGAVTSAG QALAERLGAYTDRHVARTNPEHPAPPSDLTKERASAIELGSSRLADSVEVGANNIGSY VHETAKSVGEKLPDSIAKSSEPVKEEDKGQFRKLAEEGWEQVTIAAKGIAGAAITVGS AASQSAHRAVEHNFGKEAEGVAQDVGQTGANLGSAAVSAAKGTSVIMQGTNAASGAQA AKQGE I203_01735 MSPTQKDITSWADKSGSFKRQTSSFREIIEPNGKYAPEKGRYHL YVSLACPWAHRALIVRKLKGLEEFIDVSVVHPHMLEKGWHFAKTSSNPAPQSEHSDDT FPAATEDHLFGFSHLRELYFKAQPDYDARFTVPVVWDKKTNSLVNNESSEIIRFLNTA FNEQLSGQQKELDLYPQELRNEIGELNDWVYNDVNNGVYKSGFATTQQAYEDAVKPLA KSLQRLENILSDGREFLIGGKLTEADVRLYTTIVRYDPVYYVHFKCNFGLIRHDYPHL HKWLQRLYWNNPAFKDTTNFEHIKEHYYYSHKHINPNRIVPYGPNVDIEPLK I203_01736 MPRSPMSPISTAFTTSTNLSPPFTYSTTRPPTSFSELSTRNRSN INSSSSRSRSRSKSYSTTNGRSGSGYTRSPYAPPETPLPPIPTPSAAKNPFDDDSETA SELYHRSDWLSTAGGGGADDESIRSRFPRSRQYSRTSYKPSSRPLNSLPPDTPLKYGS EGLTSPNPNTHLTPCPYTGKTTAKVQFADEQSHVIDSPGEITPTPGSNKHKNRSLPGT SYPSIGPLGNEREGRKGKNSRQSTLPSVLSLSHLTPYQPTVANPAPQLHKEGVGAIGD WKTSSPDIPGSDDFLSSKQVIDPFSHRNLHHSENGEPVQQEMHQRVPYSKSVTPDIHK QQTRLIGPKDQNKLGRMSVAPARYTLPPLRKSRLDTGSSDPSTYPDSTNPHPKSKSTK SPSLSSIRQRTSISSHLQHSPLVKFYMTYRPFISPILSLICALVLTVTHSINSDSPIG NFLLVYKEVFDISRAGGTDVALGAWGWCQIKTGGPDPQCQGYSFRDFGNDILTFTIPG NSSLDSLSSLLTALTVLTWLLAFYQIVTGFLHFYLFFALSIPFNHLVECNLPPCPPIN KNKDKSKKKKKNEKSTGFGSNSGMEKDPIVAEVDLRVKCERFPYEGYLWVWWAWWGHR RSPIGWGFANILGVLGIATFAMTYKFKNDILNATGSDSSKVKFDHGAYMPPMTVLFTL DTFILSFIYFWNFKRNFYILLNPPSPSPRVLLLPASENTVLQHHRQTGIQSFFAPISA VHPATTKERMVDTYLDPTTTTGGEVALNLDEETIRWLRAYPSDEELLPLIADLRKGKY HTHPHFLLSDIGLLYLRPPPGGDEEESALLVPPKGVIRLELLQDAHLDYHPHEGGTLD DRGLEAHNGLEIMIQSLNQNFWWNGMEIDCKEFIENCQFCFERLREENGRLNKVFQMD I203_01737 MLAPPQRHKRPRSPSPPPLQLSSPDLTSPLDVLLKRRRRDERSF SSPNDHHQYHQHNQNHDYFDLHPTTDNADQPHAESSTSALKRSMKGVERRRTKQWEKQ NAPSASASQPTPPPTFHNHAMTPNQRNYRSQPDPLMSSSPIRNVYPSSSPFRAKDEEL IWQTPTNVHSNASGEQYAMAGTEEEGEDGEMKMMDEDEMKRQWGEAYQKQNWLLRNLH LAKIQSQSQSQHPIPTHHQHSHPSHTPLHNTDSTSSTSTYINEPTLVSPHPSYRNQPS YPDSSPFNSHHPSVELHSPSHVHDGDEDMEVLDTDNADPLHLREIEDEVVRKRYEETN RLLGELAVVRQRRWG I203_01738 MRVEALIPVVAFMAAQGATAAPVVQQENLDKRWCLFGIIGTSCG GSSTVVTTSAAAKSSTIAASSSAKVSVASSASAVKAATTTITTAAPSSTAAWSSCKDF DWSAWGSNWSWGGYNLDYVKAWYVDTYGLKPPPNMSWNQVSSLVNKYGHSTSASGAKA TSVYKHGCSNPWTSAAAATSTAKVSTTAAAAASTSKAVSSAAAASSAKASASAVAQSS AAAASSVKASAIASSSAAASNASVSKAPVAASSAAAASASASASASKAAVSAAPVVSS AAVASSAAAPVVSSAAVASSAAAPVVSSAAAAASSAAAPVVSSAAASASATGVPGNGS GSNSGTGVSGTATTSSAAPATTTTAAVTINGFTAGGCVQEVSGRLLSKVQTSSSSMTI EQCTTLCGNYGYGVAGLEYGNECYCGAQDDIDDALVSGQCYMPCAGDSTETCGGPNAI NVFINPDITPDVINLPDGWSTYGTVAEGTNGRALTYTLWSSSTNTVESCAAGCAAAGY SIAGTEYSAECYCGNSFSNGGGAILSDDAAFMACSGDLAQMCGGPSVLSVVSSYTGTI PSS I203_01739 MHPATLFILTLVLPFAGQVYGKAVNHATGGGSGSGTAITSLTRS LTRSECHADIAVALKHSCKQGSEGGESGLTELRKRDIAISFTICSMQSALQHVPVECS SWNPANYNVGTDESDQMYPGTDDGSSDVEHLQEKQTSCLGALHRSPQEWSAYNAFLSD STQLCHVLEARKQADIAHSRYVNATMEKIAFLDHMKKREMVQLSRERKLESELDERSR DLREASSYVVFAQKKLQDDIELSLELRQDLRNAFHKLESERIGIWDSFERDVKDRLFE ADARYEAMLFDLKDGWEAEAWMTHYNGFVLPIL I203_01740 MAVDSRPHTQLDAEAHSQAHNNNPRPSPSSSFRWRSPPSQPDNW LKRKWAIFVVLALACWSFYVVAGRVCTPMIRRYSSTGLGRSTGIGTLVGFIIFWLFMT ITYLRMLFTSPGLAPKHVAKSPEPDLSEYRLEDFTPTAASNRPFADLSDAPEPSELAP IGNLVRDTLHGQRISSESGTEVPLKTQKSVRDWRTVERPVPAVLPNLRWCRHCEIIKP PRTRHCRHCGVCILQYDHHCLWIGQCVGWGNHKFFIIFTFWGAIFCAYLMGLLIAVSA KSPGMDGQVLALIILSALFGLFTFSMCAAHINLIVTGRTTVESYSRRDQHDAESRILQ QEYGYFFHNSERRKVQKKWREEWGNTPVDARWVFGTQRELWEQEMGKSPLGWILPIGR PLGDGLHYGSNPRFGPNGEWLKKKDWPKELQT I203_01741 MSRRIYLGHLPPTAQKADVEDHFRGYGRIVDIKLMGNFGFVEFE SSRDAEDVIRDFNNRPFMGENLVVQEPRDSRRRDVYDARPPRSAGPPRKGVRIAVIGI PSSTSWQDLKDFGRLGGNNIIYADVDRNNPGQGIIEYPTLEDCEDAVKRLAGVDINGA PVTLEINPAGADDARRADTDRRPPRDYDDRRSYRSYDDRRGPRDYERRDYDRRDDRRE DRGYDRRDDRRDDRRDDRRDDRYTPRDRSPRREFDAPRRDYDDRAPRADRE I203_01742 MSSSASTTAPLGGFSALVNSSSDSRDKELVITQVTPDIVTFSVP FSRGMVPIGGRSTAVRISRQPKPSVTEGGIQPAPQVNSSGEVLVYASTPLTKATVEAL KSLGEVKWLVTPDGEHTMYIQEYVDHYPSAQAIGVDRCKEKKSNISWAGIFGPKDDGE SKKYGFEPEVTLHQVSAHINHELTAIHHPSGTLIQADMLFNLPATEQYSRAGGLPTLF KWLGGGKSMSPGGKVHDLMANQISKDKDLLRKELQPILAAKWDRIIPCHGDVIESGGR VAWEKVWGKFEQ I203_01743 MNRVEQDGESSRSLTYQHSVIHCKWDFCSETFLSFPEWQTHFTV EHIAYAQPIDLTGRTLRKRKEMGQWEVIDPSPRPANRLPIHPHPSQTTGDITTTTHTL SFPIPPSFQSLPDPPASGVIPSDYQAFLHRHDERSIQDHETDARLYQSFLRSPSPEIG QSGSGSGSIQLSPPGQRPKTPPWTPSQPSATSQLGSSSASASKPSNPSTHPPASISDF NSPFRTSRFTSSQVSPDQQTINSTGLPATQGAIPLRFGAALGKGEGPSPFKESPTAKG RTVGFDWGGSS I203_01744 MGGNAFNTPAQRLPQAQYDALKAHVFAALATSFEQIESPRNLRF KTDHGDLDILVGSAGSIPGGDEEWVPQTAENIKDNKTDLPKLDDSPDPDPLLLEVIAK LDLKPANAPQAEPSSDVVSPNDGGTIKLMGTGKMLLGKEVEDLRGLCGEMRQNLKATG WRRRGPEISFKVPCQIVTGDEAVESNAEKFYQIDVLLVSPESFDFNHLMSSYSSTGVL LGRVLRQLSKSFTLHLTHLVVRHLPYFGIPPIDITLTSSPEEFCEWLGLDYQKWKTEG ESWVTEVDFWKWMTDAREDSPAAQAIRRMAARSKSTIDEGLSKKRRKRADFADRFYEW LQKESDWAPRIETGDAATLPRDSVPPSPAPLPTLGPDGEGGTSAPSLPLINQVFATPG SGKSGPPASSYNPDNPSFIDPEHPKPLDSRASAAIDYWKKQEVYEAILEERKTVAKVL ADRQRDRVDRNDKATDGENKHQEEVKDW I203_01745 MGDMLTPCLVTAPKAKHSKMVTLIHYRLKVTLNDGRSLVGQMLA YDKHMNFVLAECEEFRTVKGKKTKSTPAGEPAPSVQQKRTLGLVILRGETIVSVSVEG PPPVQKDDGSLLAGPGKGVPAGRGMPLGAGAPGAMAARPMAYARPPPGFPSGMPGLPP GMPPGFPGGAPGLPPGMPPPAGMPPGFRPPGFPGAPAGLPFPPGQMPPG I203_01746 MSSSLSVAERSPDKKIFSNGRRSAFSPLFDNERKEAALGLVLGE EASTEEETSEGSKATVQEEGEDEVGDDLNEDEDEEEEDGEIGSNSEEEKEGEEAEVLE PSQSPIKTNKEQVIPNEQFAPLTNSRVTRRRTVSPEKKPVEEPTTAGVIRLVFGKKRK AEGGPESTKKEAVPASVTVASEAPILAEEPQSEAINEDFREDLRKRLPRKKRKWLKKG EVDPDDPIAVARQKERHRLIDEAIEDLNKQEQLLSDNAHPQLLWLWDELERRRGLQIN WLEARHEAAIGDLERLRDHELQVAKLDFRLKREELANEMIRENRHKMARTAAERTALK RQPGSMPSLRGGRGGGGWQVSTTNLLSDGEQRLVPLTRGDDPFMRRDVSRKIESLNPA EVKADLEKFEAHKVKRQHRSSTPPNIHSKPSASRSDRHSLPRPVEPQHNAKVMRYAAP PAATQRPPSIWDPPKPLMANPTHKQGVSRPRSPEHLPRYHSESVSTIGYGGRAPSRGL PFASIFKPPPEHPTSRQEAIPPHHPSSTPRPRSPPRQLPRHPMETTPSNLWPHPRQRH PWLAYGGAPPRKA I203_01747 MVLDPFSASSKPFIPPDSLPSRPLPFAATLDDPSSSSTLQKLPR PRLHQEGVPSSFPTPIYSHSRQASSASITTTGQLSNGSDLAGFGDLAVSRTREVGSDE WMTDMVAKCVDAAKGDLTITGLGLTSLSTKIAELRDLVTLPSTSSPKPRLSHGPPRSP LANPRYPVDLSLSPGTNHLSANARSFTRSTSAPASSSSFNNLHHPTSYSRTPSGLGVI VQSPASPTTTEASEEQEGDRFSTPDRQLSRRALLASPSLPTPPPSDPALGSPLPGENR KRSFGRSKTGAVHLTQGKAMDIAIYAGQNSLTSLPSTLFEISNLTVLSLRGNKLTTLP AAIGELRHLKELNISLNHLTELPSNIVNLNLDTFTASSNNFPKRPSLDERFSDLQRNY DHPVARLTTICMNKLISPRPPNDLPPLLDMFEWDYPQKGVPHPLLDPEAMHEIIPSHK VSDLGRVLQALRSASTAYKNRKRSAGGGRSLDSHIDPFPRTHKPFPPDDASMNPYYYP CPSPRHLEIDAGTNDRPSRHLFLHAAEERLEWVQICDTKDLPIRWLGCSPGCLDFLDK EDDEDEEWTIEGD I203_01748 MTTETGAIIYKAFAPTIKMMICIGLGIVLTKKCNFQPVNAKGVS ILSLNISLPLLIFGSMVSAFTPENIKAFGPLFMVAVVYQLIGLLFALITRELFYVPKD FHHGILVLGMLSNWGNLPTAVVQTLAKSSPFDPDTDVELGVAYIAVFVLVMTVTLFPL GMHKMCAWDFREDNLLGPPPLPIKERWVQRFSSVKSIFRPSKIKEDDEEQGEKRQDSE MALSSPENAPASASDEVARPSHGASKASKRTSSNDVFDDQEEYGDTVAPDLVYRARFA GGADMSRKKSRASSFHSLMESTRPIPPTAPLEASGIAEPCQDPISPNPNQLNPLCSHH GGESYNYHHLATPSPSIHAPKKPFKQRMWKYLEPFLTPFMAAIVLGIICSTVRPIKAL LVPVDGWSVSRIPNAPNDEPPLSFIMDTATFLGGISIPGGLVLLGASFGRLKLPKKWS DIPFAAILLTFRASIVVILPLIGVFFIEGLRDHTDLYPKEDKMRTFVAILLSGTPSSV NQLVITQLYNPNGTADTLSTFLLLQYIMMPVLSTALAAIALFVVK I203_01749 MYQSHHNHRSPQAGPVSSKTPAQRSNIDEALDDWFAGKDPYSLR FDRLSIEQPYPAPYEDYEPGWRQPKFRPGVGYEKALAKERMLQPQIGGRSKKAQLGSI EESWGSGEPKRVWLGEEEERKGMFELDEDPPSRNASPFREEEDEVNDEDKIRMKKEEF LAYARRGTNYEKMKDPTDFFEGGKQSVEARREIEHLTQIAAGGSEDPHSGRTVRSRDQ KGVYPLVRNSNASAHNGEFRRMSFHAFEYDHSRSQNPHNQHANENRKSDNLPDNGEYT VEHHVRNQIGNPDLSTGRPAHSFRQIIASTQPRDAGRYHQSEDYQVWLNRAQAGRGRR R I203_01750 MSALHSAITSITQNIPSFLRQPAEALIGEQCYDILVYNFDITHV DCLKYALSKGLGLGIVVGGGIVKIPQILKIVGSGSARGLSLSAYILETASYAINLAYA SRNRFPFSTYGENFFLTIQNVIITLLILFFSTPRGAILTSKQSSGGNLSKVITGLVIT LVTGVVLWSENLCPPGMLALLQAATLPLSLISKAPQILSNHKHKSTGNLSAFAVFNAL LGCLARLFTTQQEVDDPLIFWGFAGAAVLNAVLALQMIAYWKNPGGIENDLLKSNIRR HSAEEKGTASHTSPEKIFSNDTGVGKRWARKVD I203_01751 MTTMKTEGFKIPGVDNNNNRPSMHRPFSDGSMTVETNLLTSLMS GSPHQIPMNMVSPFSFSSSLPAQTHTFDYSMNSPLSSSADRFHPAAMFSAMKFGNDNP EPPINFGNNEDQTANDERSRSQSSSRSSHVGKAPSSRSRSARKSMNDARPPPGSIQPR GRSALPGRAQSFSGPGSAQARATSQNGSGKPMGLGIGLDTHVEGEQTDSISPPDFGLN GSFGMSIPRTEIDTVSWGSGSVPSMLQPGSLGSFGETLDDAIIDSPMTPAKPLQALSD ESYKKQRRRECHNQVEKRRREHINAKIEELSHLLPAMYNLPDNDEVLLDDEEEEGKPQ IESGKKKKKTKRAGSMSTKSQKDAVQCKGRILSHSVQYIRDLKHVTDVQAGRIAHLEA MLMSYGVNPAIQPPAMSDAQSSLFWMGDGNSNSNTLNNQPNFDLSGTHNLEVMRPSPE PDRPFSFDHINLDARTWANTMTNGNGHDTAHDVLMSFEPSPNTASTSSVNNLRRSSES VSSSASFDRENTEALDMHSPLSMNMSISDMRGRQRERTVKKDSQAELQMSMSALLSGA GGREGNDGGMRW I203_01752 MGSTMRPPPLPLPKSGDGQPGGTGATRSVSGGIKQVTGTKGEKE KTGRQSFSCAECRRLKLKCSREWPCTSCECEKRGCAQICPNGEMRTGKGKRLILADTA ELHQRISLLEVALAQSHAKQSSKPHPLLESPYLFSPRDSAARPYPRPSIKQEGGTTSP LSAGEDDLVQGAFGTLTIGEEGQARFVGSFAGSEYLKEGDESDEDGSSPMVTSNVQLG APTVNDATRHSQRPPLVTPPATAAGEWNQSQNIYGNDGLGLYSSLFAGGDVKFSLDKL RAELPDYEMEGRALVESYWENVNWQYQPLPKAMFENDHIMNAYDTEAQPNPHKLACVF LVMAIGAMFDLNRPPFHPRGEQLFRLGRSCISIVGLEHASPATVQALHLMGTFILNDK RGNGGEVFWPILGTAVKVAQSLGLHRDGSHFGLSPYEIEERRQVWWEVVSYDRLQALC FGRPCATSNKWSDTKIPEATDLIGDEDGFHRAKYTLITMMERVIDVQTQASAVSYNSV MQLDSELREFKKNLPEHLLPNVAIQDLPLDRSVDPHLVIHRLGIRLQVAQMRLLLNRP LFARALKDNPEDPSRSKLGPSFIALFESAQEIVQLVKTLVIYHPSLVARWWFFWFHAF SSAVCLAAIVIRAPTCAFASPSFHGMSIVCDISAAAREGCRAKKGLPILLRLRKRAHQ ALEAATRSKARGSGDASAEEDDLSHLNGSVKLRRVQASLHKRSVSSPGNNTGNNSTNG ETTPSPQSGTSVATSATLAEPGMFEGLVPTFPGDNSSLPYPIGQPLQTSTTWSDDPIN QYINSSVSPPTTMSSFSNGNGVVGIEPIYGQGQGQSPTMIYPVHAGSVGSIGSANGNS GHNGTGPDFVDMDMSMALGMGLPMNSNMGINMNMNMNINTNVHVDNSGQPQVMNNNNN SNSNNNGDVYYNTNANSNGNNLQGHGNIMFGAEGESMFGFNFEEFVNQMGAG I203_01753 MAPIRRSSTSGVALPIGSRTRSKTNHPSSSLIFPEEPLTKRVRP KTTGFMDPNSTSEGITSRSKAPSSVSEGKKRDSEPKEIPGPVRRSNRLSLQKSQADQE KDQCETEGNHSKKSTRSLTTPSFSFQRNWVGSKRTQNQREYPDPEVSSGSDTSSSSSS HISSSTSYRPTWKKDTKPSITSSSTCQPKVKKGKGGKNDHLPQTNATIEINAELSYVP LKPIKDDKPSLSSSLSNVSISDQIIVTPLYTRTMDTEDSKSSSQSSSAQFPTIQLTQS DLAAIEAIEFQNLRTDEQDVQADAFPARSEDEDDHFDWADDGSDLTSLSQYGDCEAGC YDHHGEREEDEGLNDDWDRADVSGEEEGKIPVAETIDVDRESKMSNVHGFGEKDLAGT PPPYSYIEEGSGEDAEPQFKNDMSEEEVVDGIVAEEDDKATIEVDLIFKEDRKEKLDE EDDEDKENRPIRSGFDADEIPRLEDVSSEWKGPAPAQEDQVSLKNRSGVAVDDQVGHK RAQEGGVTFDGKLNQDLDFQGNTKLTKQVDTKKDAMAREPVTLTWGPSSWTIAFYEPQ RQEQEGMAQGYRAALKYAEPEGFKTRQPSLGPSTTPFLETSQANLRLVDIFSRPESTE TMSLSSDSESDPVRLTSNLPSEPPEAPYLRSELDPQVGNPLIDSNSNPASTPSPRQME GAPLTVHFPVPKIPKSGIPPAPTKPRGRGSRGGRARGRSMTEAPTRGQGAGSESGRGS GRGSGRGRGRGRSKSNKVPSLTITSPGGTTSPIQCQAEDEQVVSTVTEPSYKFWNRPG PAASYQPRTIYDAQPYQPEDTSNLHLLADVALALNNLDKRDQKSPGSGSASYWRTVMG ITPQSTQLDILAAVSNNIDDLPRYGFDRHGEPLNAPRSIIARRETDMSFDRHPPKIPR PPQRSVTFARHNTFAAVPADTSRPIIRLPTSAVRDEPRV I203_01754 MSPSSIPHRLNAPSSSYSTASPKGSTRSRPSPAGSPQSGQPSSP RASPRAGFSGTIDIDAVVRANGGDVQRALEVMVAERNNLQAQNTQLWKLIEKQRTQCA TLASDNDRLRQDREKANEKLQTAGLEPLFGHKRIPNSSSAVGLGLKAEHPQIKRHYSD RDETPTKASIQKRTSHNVETSAPSSPAQTQPPSGLLPSPIPDKKMNRESKMTFPPEVS TFMTLADSPREETHTIPAMPPSNSFNTLSPASQYSASPSVSGREEIGVVPPPSSRALA VSTEDPESSRANEQLTAIPERPPPRVRGVRTPQPDRELTKSPVQSMTDTIFTTISLEE PIRSSFESSQPRKSHDTTPRPSLEPSQAEASNSSASLNDTRPHPRMTSALLSYSRITI PSSTVFPNSSGRDVLCFIVAVTARPPNAQPITWNVAKLFSAFLDLETKIKAKSGKRTK EWKQMVASLPEGRAWKDFAPSKIDQRKAALETYLQSLLVAPISDKSDLCHFLNSDYVK AKAESARKEGYLTKKGKNFGGWKTRYFVLDGPVMKYYESRGGTHLGSITITNAQIGRQ NRPAETTDERNFRHAFLIIEAVKRGTTNRHVLCAESDMERDSWIEMLVRYVDPEPSPA TTTQPPAPPSSSSFTGSNLMRKRSQSRKGSKDVVVTAAQPMTNLGAESKFSGAPSPSM INSMESQKAIHSSQSTSSQNSNPSISTQSSGMPQTSTQEQRPIVSQSVKPSHHSSSSN GSAPMTIITSPSSDMLSSSPPTTSAPTPRANKRQSMIPGRPSYSPAYLTSLSNQGLNA PPGLSAEKERDRKAKSRGFWGFGKTPEKVSRPVFAVPLTESIAVASVANLPAIVFRCI EWLEAKKAEQEEGIYRLSGSSAVIKGLKDRFDAEGDVNLLSVDEFWDPHAIAGLLKTF LRELPTSLLTRELHARFLAVMDLIDSSARVLELSRLVSELPPPNYALLRALTAHLILI VRNATLNKMTLRNMGIVFSPTLGIPAGIFSELVSNFSAIFDDAPSEELVDEVKPTEEE DMEETIKRKRNSMLYQAGGADAMLGLTGRALDPSTEDSASEISNEDIDSDLHSVPSSD NISNFSNPSANLTPRAQQTIPSSGENYPSAAAVRKVKAASRAQERGLAVETTNGVLSN YDSGSGSGSRTAGLPVSPKPHVDASLPSPRKEREVHVT I203_01755 MSFDYVRKIVSGNKARFIDPENAVNLDLVYVTDRIIIMGYPAVG VAGLYRNRRRDVLKFINSRHGEKWWIWNLCPLYENAYSPESMHGRVSRYPFPDHHPPP LPLLPLAVREMTAWLEGDEERVAIIHCKAGKGRSGTLLCSYLLSLPSLPPPPQLDRSY THKDLKRRLEEREKEKLESKTSSVDSEDREGWVYIGSGQEVTGLQVTEDPAELGRAIT PAQSERINVQPVARSTNSSTTTLSAPSITTSTEDGIQVQTDPPYPIDVGDEAEPGLEG QKDRKDGKVDEVFKLHSSRRMKPTSTGRGVSIPSQRRWCRYINLLFTNQAPPSYTFPK PSRVRLVSIILLMSPPSGWQKPLASLVVGGTGGTGQGKAWASVARYDDEYVEELKTRG GTGEGVAGQISWGGVGGQGRFDTNKMFRSCGKMVAKDVDEEALKSLPEGHENYVVHHL TPSNNSLILDRSREFRMKFHLASVPLGWTWLIPAFHLPEPPAKSSAEPPKMRTHILHF PRSQTDFPLGPGQAIHQILVRLEEVPEDDKEETARLMSDEEEKREGVDERQKEKVVED GE I203_01756 MGKIVHIVLWKLKGPIANHEVAKEAISALYKVPGAEVMKLGPPL LDGRAKGFNWGLYSIFSSKEALQKYAVSEAHINVVENNVKPNVEDLIAYDFELEE I203_01757 MRPLSFLPFIPLILSSTIARKKDGGGSSTPSVTIHPYNSPLYQE GVTITGVHDETNHVDKFYGIPYAAPPVGFLRWRRPIPYNYTDDVDARHPAPSCLQDPT NPDIGPGGTSENCLFLNVYTPSGCWESEDPLPVIVYLHPGGWQWGSGTIHDGTNIVSY SQDLEKPVIFVTLNYRLGVFGWPNGPAFDHARAGNLGMRDIIRALEWVQENIWAFGGD KHKVTLHGHSAGAITISHLYFDTEQSLFNSAIMSSGAPSSVPIGLTDKTWLGPYDQLL NLTNCNTTFGQEVGCLRNHTAQQILDAQLTILSNPNWTSSFIYGPSVDSDLIPDQPWK LLEKGVIAPIPFIIGQTKDEATGATPVNITQNTLLDYFNRLYPVPLPANFTDNLTTTW YADVPARGAPFGSGNATFGLDPTYKQFAALLTDARHTAPRRHMLRQANEYFYNRTWTY TFDYVPGNVSTNRYGAPHLSDLPYVFGWNNNWTEPQRNLSRLIQSYWVNFTYFGNPNG ANASNPYIYPDPYVNTTAPVPPTTPEAPVNSTYWTEHDVLAGRKDILKFTLNNSTLIQ DNYREGSNNYLNGHPVELGY I203_01758 MATAFDRRRIPAPEVSIPPVYDDAKLEPEAGPSTKRVDRANDEA RPIFLKTGLISQANGSGYIESGGVKIACSVYGPRPKAPPYTPQGTLNLEVKFAPFASD PRRAPLRDTEPVSLSQLLTQSLTPTIQLHLLPKSSIDVCLLVLESDTPTNVLSAGLTV ASAAIADAGIAMYALGTGSVVALAQSTDKQEVLLDPEQGEEGGAEGKVLLGSMPALGK ITNILLEGSVDVEQAVEMIERAISASRDTHMVLAQSLIEGAQERGTGGEAE I203_01759 MLQHPSQPYTNQNQSLQGQGGAGPAQPLWGGPFPSLHLWPLQDT FVMKMIHLPEGQRIKIGRQTNTKTVPGERNAYFDSKVLSRLHAEIWEQGGKIFIKDVR SSNGTFINGERLSPESVESEPFELKNEDQVEFGIDIVSEDNRTIVHHKVAAKVYCVFG PEDAALSARELVNYQNQDTRGVRRLPPQQNGHVNNQLSQMGPAIMSSGGKSSGLSFDH VLTKLQNELRASKETGTELQNLATAFTDIQDTLGGGLPPSQNGSAAQFIPPQFRSASA EAQAALAGPHGQQAAAFIALQNQLIETQSSLGSQLEKIRLLEGQLKEHESVKSEISLM REQMEESKREMDLLLASGGNILNGGSHGRGRPLTRRNDDDDDDDDDARSVMTLMDNEE AEQRVRDRRRADREGPESRRDRDRNGIERPRTPEPTNLNGDAESDDDDETSQPNGIAA TTSGSTPETKIIQQNAELVGKIAILSAEIQEAVTISQTLRSQHTEAMSAVKLLTERVG ALETGMASKVAEEVEKAEQRWEVWKVKFEEGWKKERESWDHERERLRGVVREWEEASR RAHEEEEERELNESLSKDELDDDDEEDDVNDGERQPNEVLSLDGWQADGNGSNSIGSN KLPSLHTSKKSRRRRSSQKALLAVQALQNVSDPTASTSLDTTTNNGGSSTPKSTSSGL IDNPPLINGASLKNQNSRLKNRNKKNKQNQKSSRSGLSRTAHLNNVEGKDKDNSNSNS SESGRESVSTLKDGDETDVVGTKSGSITGKNKDKKDVQVIRPVPVFTVLVVLVAAGVY WHKFHKD I203_01760 MVVVVEEVRDESAPEVSTNLGEGEHESDYETESEVSSTFSDDED DFNPDDETLYERLVALKDIVPPQTRSSLYSKYKSTTSWALWSVQSTGTLAWWISTSAL LVGLPLALAIEDETRVVQQEREMQMQSQGQQQLLGGPQQGQPQGVLPPGF I203_01761 MTTALSSTPPPSSLPPVQRLLHELGLVSLWNTGPDSWLVLISRT TRMFAYGSNGIFIALFFSSLGFSDFRIGAFMTLTLLGDVILTVILTLIADRIGRRNIL LFGSLMMVLSGFTFIYVENYWILLLASVVGIISATGGDFGPFRAIEESILSHTTAGSS KTRSDVLSWYVVTSALGSAVGTEISGKVVNWLSKKEGWTDVRAYHVMFWLYVLNGGIG AICVLSMSDKTELASSGTTKQLEDEERELEEQERLLEGEEGGGRLSTSTVEHIALNSS SNAHTNTDIKPGAPTSSKWSSKFSQISPQTRAVMYKLWPLLAVDSLADGMVGYALTTY YLAQKFQLSNATLGDITSISYFLAAISSIAAGPLANHLGLINTMVFTHVPSSIAVLLF PAPDSLALTIILFFIRTGLNNMDQAPRAAFIAAVVPSDELTAVNGITSTVRTLASTAG PTITGWLAGGEKFWIAYVAAGSLRLAYDFGLWALFVGMKLNQQGGRD I203_01762 MPYLGLRGNNFPVAISLAAGMGWILFGLDDAILGAVITTTAFER EFDLSTSMQGTVTSLFVSLFGERFSRRALLFIYTVPMIIGSVIQVAAQNTAMLIVGRM VAGLGLGGITSTLPSWQNETSPAKLRGTVICTSLSFLIIGQLIAYWAGYGLLQQFPTQ NITWRLLFALQTISVSIMALMVVFMPESPRWLIAHGKYDQARTVVSCLLNRPEDNILV NEQIEDMTRAITFEQEHGASWADLFTWKKNDDGPREKRRVFTACCIQIAQPFSGSTVL SFYLTTIFEGSVGFSEHLSTLMSGFLQVWFLMASFLTWFLIERAGRRNCFMVTAICMG ITMVILAAMVKVNTKPAGYVATVCLFLYQSFFTWGWMAGVWCYSNEILSTTYRAKGGG LCVALQWLFDFVILQVTPIAISQIGWGMFLVFAVFNFAYAPLVWLFCPETAGVPLESI DAMYMPGVDPVKESVKIRKYMKEEALARRKQGGDGYKGSRGHGLGRIGSLMGENKPEE SRVERMGDLDGQAGKNNTAITIE I203_01763 MLSLLGANSSNLSQRAFQRAIRRTASKVLNGQRELHAGVGRYPL SSIPSRHIINALSTARVCLPRRSNTTAPISQQTHSHFISSSSPRHYHIVQQRHASTGP SPSRPPRSKSGRRIRRLRNLLFVLTLLSILYYTYSPFRHTVMAAVRCARLMKAVLLDV WDYKQVFAAEEKLGAPGRDLTDEEKQIRRKARKDCHTRSATRLLEALKKNSGIYVKLG QHVAAVQVLPKEWTQTMTPLQDQCFPTPTEDIDKMLKEDLGLGINDLFQDFQPNPIGV ASLAQVHRAIDRRTGESVAVKVQHADLKEFAKVDMVTVNFAIHFVKYIFPDFEFSWLG EEMNHMLPLEMDFTNEALNSKRCRDEFKHLKGKTSLYLPKVLWAEKRCMVMEFIEGAR VDDLVYLKDNGIDRNQVSQELSRIFSQMVYINGNFHADPHHGNLLIRPKAKSSSSPFN FDVCLLDHGQYFDIPDDLRVNYAHFWLSLIKKSSKKTTEERRYYAKLVGNIDEDMYPF LESAITGQINMADETNDPTYGSGSRPTSLLELGTGSMSDDQVGKLRAAMMERDDLIVS IFELLRTVPKRLLMILKLSDLQRSLDQSLATTHGHNRVFVIVARYCAKAVWQAGIKSI RQSLSNTGLSFSILRRFVSSLWDYTYWNTTLGIVEYGMDIRARWVKIALWMHGLGKGG LKEAEEEAAGLRTKRDTVKSPLGLS I203_01764 MVRTVREPNSTTPHLLRVSTSSATSSRGSSGGSPSQPVFVKSKT ACLASSPKRNGSTAKKRAVLGEREENIVSPSQPSPMKSKSKPKSKSSLTPRPTDDFSL SALSRFVAQSPTNRLPTPYRHDITSSSPIGQSPNPTFISRPGSTPYGPRRSVPMQINN NDDTLLLNMRPPEMNFSSPGSGLTDDSDVEDVRGGIYELGGLVTPANSQEISVDSPSK SQPIRHPVAAASIARKSISRLPTPPSSQSGSYPSALPPTPQAGPSRISCIRARPSPTP PRRIGAGLSEVLGLGHITTEWDTPLRLGEDVSPNPRRKKSPRLSQPGQTPTRGQPVPV QSGGVEVVRPIRERSNTSCASTSKSTTPATLRPKSKGIVKSRIPNLSPSQSQSQTSRR SSGGNRKSSGGSAKSTTTPAASRSTITKKVPSTAPVGRRKPTSPKTRTTPTSQNDRRA SVPANSNTITPKPRGRRSVAQTPKDVALSGRRIGTLSRPIHGSPGDDPLLLKGEEGDD YDIGHNIRNGNGLSLSLNNGNKNDRQIPVSSPFTFRQNEDLTLLPMDSPGEGYLDFGT GPAWSDDGSDAEMGGIGEDTFIHMKSKKDASGKLLGSLGRLGESILEEEEEVDKTIES YSRDGRSYTPILPPRDKEQHQSVEESISSAVIRSRSSPAQQAADSNEESNMIEEAGDV TQEMDDDNWEISAEQIEPTDNNDDTITIQPQFPHDRSSSPVADAAEDLGDITQEMEGG DWDNTEEDIEFARDTEDKSSSHTPHAETAIVDDNHDMDQQSEKDFGHIEEHALRKEQD QNLNQVVQSTLHDEPSSADEGEEEEGNKIEDGCGETWEISENDITLPTLTTEQSFTPN VLDVAPVHEELGNVEDPHQQPGISNELLDNNIPSRTSSSDHVPSLGESEEFGDVTQDM DSLDWEVSEEGIQASQLDNQERARSRSVSPLFKRRVQEGTSEITQRELTIETPVAHRE EEVDQEEEFLEADARAHQLIEPLEEEMTLNLDAIKEIEQDVQGKLRSQAKRSAITADP IYLVDAVSVASANEEGNVKNRRESEPIDTEISRSNDRLQSPLIPAIQIPTEVTQPVGN IKILQTSTPSPSPAPVLRSTTPVHSPPPTTNYEPPSLRSPPPPRSPFVLIHHRGDLTF TPRPEFSYSNYRSPSPLPPPTPVFSAQERGHAILEEADRALSRLSKLRSLSPFPAITK QEDPGVSHNPETIENARADASAEVLHRDMSVPAQTVSQDGDGNSHNVADDVAAPNATR GEFQDSLQEEEEEEEDQAAGDVTAEADNSAWNLSAEEYEVPLRDRSDGESEEENREKE EERAEETEDEDNSLAQENAQQSEEASPEPVEVPERIVLRLVERGMIKLEAESDSEDVV QDVPFESDIPPVVRQSSSSASRERPCTPSRGPSEIRARSTTRSPIAGPSTPSVYPALS TTQLNVDFTTPKTAPPPSVLQSANSTTQVEGVTSLERTLLHRVGTSKLSQQIVPSSRT TEEASSPVLQQQSSPPNLVNREEGEESVVIRKPRRSLHDELAAVSASVADDDHFDGEG DDSFKSVVEVSSLDPKAAARAAAILKLNHAYIEHGILPRSEEKDLAISTKSTSHSERE KRDLLHEAELEIVESHRRSRSRSRSMSMMRPEREMSIMSFMTEDYPVPGAFVKTPRPD SRKVISPMKRKRDLVSVHETIENEDDTQKKEERWGVKEWKRLEKVYRAEKETWIKERE IKKLPVTESPTASPIPSIPGGLVAWARRSTFGTPKEVHVKKWDIESVVDNFLDEEKKD GRNWDREMLVLRVQAIQRRISKLASSTASTSTSIDLQTPALKRIRPNVPTLPQTPMMT KSTKDAVEPPSTIKRMLGFVWGKSKAQNSSVRTSNESKISSSALNTTSSINKGKETEK DVLPTTQMREIRPAHPTSSRWTPVAPPPPPPRLTTASTSKTASTNFSKNTPAFSKPMS IPSSSLKYVSTTSSSITHSTSLPSLSITSSSSSSLSGSTRLYPPLNPPISQRSDAIAR LFPDHTSTSGKMTASISEKNLKELGQIQKKRSGSVKSLVDSWEGKGIMGPSIKGKEKE K I203_01765 MHPFGKPPSASNSAQPAPANGSAATSPNVPTSQLGSASRNGTPA STPGAGNGLRPSYDFMTEAARRERDLDRARDMTIKPTYSTSYSTSMPRPSDTPTSSAH SHLPQHHHHHHHPHHPGSNPTSASSTPRDERPSPFASTSSSTIGAAGAPRRPDPMSSG FGSGLGRLGAGYGLFGAGPFIRDQEYKEKERLALAREREREKEREREREKERERERER SAKPHSIETSPSHRNPNPTNSYSRPSLPPSPTTSRTLPAPASTGKPSISPQIPPASAT SATGSASRPNLPLPSFGSLGSRSLPSPFERDARERSTSTTVAASPNPTPAIESASTIT GHRRTPSGSSQRGNEPLVSGSKSPVKTSSQPATTVRSLFGPPQLFNEAAKSRDGQRSP VSRIQTTVTPRDRDSAISPTTATAAPPSKNVAPVASATPASQSTRAPFGGYSGLGGPA YHGSFGGFGLGGFGGYGAFGPRWADREREREMLEKREEERKRSQKEAAEAKAKAEREK DDRFADWVKERERERYGPAPGTAYGVEAFQPPRSNVTTAPSATQASSAQRPANEPSWR QTPATSASAAAAAANQKANLTRHIEVIHQPEPKNPVGPITAASSDGSSVMQQVAPSRE PRPYGYKAEPREYQYTPRDKRPRMDAAVEEAQNAHRRSTNTKAAKRRKEEDKKIEVNN NPPVKEKDWSALTNPIRRWPEVGSSQVEQWLKTVPDLNRVVSTQVYEGDQWTLAKSGE CTTSNEGGLIIVRIGGGFLGEDWKVRGENGWDESTPTPSPTDSTDHVICGNVSRERKI WGTDVYTDDSDLGLILVHAGWLRWSRSISSPVELQKKGRNPRDQDVINVTVRLVPRLI RYTATERNGVRTRNWGNGHDGSSIVVERVERIAVDKRYLKTRQRKSRISEWARQRQLV SLLPSPNTDAGDEDGDVQDPTSITDEDVYESIMFCTRLVPDKKVDTESVGFVYTPEAM KDWLISPLETLGRSLWNCDLVFTTDEDAEQYQMSLSESSSIDNPLFDLLLLKPSPDGE TPSSREEIAIERSFDDIHLLKQGIAVRTQGNKGLLIKPKIWRWSNFSLLDKEKRKDWR EEFEKGLTDEDERLGETEVERRGELKVEEAEG I203_01766 MPRLPTSHSGKYKPPPFLYLRSFLPILLLILLFSFGLVSYSLLS HFKSPAVRQHLGWQSWDIVEMSTSKSGSGSGNEVLSSGGSGNATGHMASIPIENWDPL ALHTTGLTEIAVAPCYFPPYLFPEHCSPETTPELDKLKGKWVRVERDLNIRTGLWYLN IYYRRTRRLDADLITDIQLVPEPPSKELQYDLENGGWQKGLGNLHSGVWPSRPEERLW YRTKSQGWDDVWRRQVSDNSAEDLIPEDEGETPNGSVEPIQSGESNKVEYGDYITEVD VIYGDDEPFFGFERIQGGKITESKKDKWESVGLAVRRGNPVAPRAQVPKFHKDGTFKI MQIADLHYSVGEGQCKDTDKTPCSGDSDTAQWLGEALDAETPDLVVFSGDQLNGQSTS YDSRSVLAKFAKPVIDRQIPWVAIFGNHDSEIAEDRAEQMRALQHLPYSLARAGPKDV DGVGNYYIELHSADGSNMHIFTLYFLDSHARQKQKLLWKTPDYDYLKESQIEWYRNVS SSIKPISRPFQPDGGWDLGDIWSSKRSKSKPSRITRRDAEEENDTTLAKPNAMMWFHI PLPEAYMDADHPDFLSEDQLESLDVGVNMDGQGNSKHNSGFFYNAIKVTYEYDDEEEV QTGRRKSEVKVLSHGHNHNTDRCRRTDGIWTCFDGGSSYSGYGQLGFDRRVRIYNISS YGEKIETYKKLTSGEVIDKQVLVGEGAVSGWGEDQL I203_01767 MPPLPSTISTVPGQRDLSDLIYLFIKTTPRSLTFSSACAVAIEG LILGIILVQTTKYLSAFWRTDPLWSVSGIVLGAATLFAQLGMNLWQTYRLIDRAATDL LAIIIGDIRCNMTVLVFVGILNFVAAGFFGRRAWLLSKKKIWSAFPLVIGIFSSLGLS LGVAIKGYMLPSLATNPSAENLMEYDSWRKTDNRLIVVWAAIALVQDILVCALMTIML LKEKDGFQKTETSLLRLLLKLTYETMAGPVVLNIVNVVVVALQGATFAGYSRIVTWIL GPVYFSSILQSLNYRKDVQRVLHVTPTPRSRSTSKGTKRSTLQRVDSPSMSIPLTPTG LGRHTRDDSNITAVGNVKRSSETSAAAAETRRERTVSAGGGSTLSTDIVLDGEKR I203_01768 MSGLTGSSTLDQLIDEAIYQALQSSARSITWYCALGLGLEGIVL GAIFSQVYRYYELFTSHDTRYTLFLVGIGTLGCIGQFGLNLWQTYMFIDKAATAVYIV LEKDVYADMTVLLFVGIYNLAAAFYFSRRAIKLVGNRRTLIPTLSILSLASFTMCLVT ICTGFKISSGTGDLKGWLSNVNKWVIAWTAVSVVTDLCVCATMTYALVKSRDEIKAAA TSLMRKLFMLTFETMLPPVTVTFLLLIFGSIENLTMGNFSRVLVWIIGPLYFHSIVHS LVSRHDVQFILQQQQQQQQKEGILPYHMNNGISSISPKVDNYNDFENGNGNGLGTMPI QMMPVVMDQRARKELGWDEGVDNEFGVALR I203_01770 MRPLIRLPNIALALARNAQRNISNIPPPKRKRELNLLSQFQRPP PRTPLKEVVGESSQSTQDGIEGDEDQFKTSSTTPVVSSIQEIPSGDQGMKIIDAIPAM KNIPPLEVHEGRRMREMVVQGVGIPPRPIPPGEEDDLEAYNEAIELAKERLKKSNIPE KDWPEEMKDQKSDGEMKEDVVREVDPVMSAFLA I203_01771 MSAFAPRPVPVALIGLGGVGKAILSQLLSPPLNSRFNLILIANS RQSISLPLPGGQITPTNYLPILEQHGTPLDIQSILSLLSPSETSGAGIFIDSTGSDLI PSIYPQILGMGINIVTPNKKGTSSALSLYNDIKSKTYPNTPTLYYGESTVGAGLPILS SLKDLVETGDEIYKIEGVFSGTLSYIFNEFSKVEGGDVKFSEVVKVAKDKGYTEPDPR DDLSGTDVARKLTILSRLIPTSPALPEGYASVPTESLVPQVLSNASTKEEYLERLAEG DEYFDKVREEARKEGKVVRYVGVIDLKEGKVECKLGKYPTDHAFATALKGSDNIISFT TKRYSPRPLIIQGAGAGADVTAMGVTSDLLKIHERLTTRF I203_01772 MSITPSLPQLTTTPPPPDPPRRRFGSATATAPKRADRPSFSSSS ISSPALIAPPPDVQRTRSASSASSTASGPNTPTQGQGGFDIGLAADKAQQWLSTWAPR GEGRSREFLTNTLNGVANVASQVSNNLNREGFGSRSNSFASASTPSVQGQASIPSSPE ERFGGSAVVSGSALSISPSPNPLPPIINHTTSTPALPVQRKIPQPANLSRLGHSNSTT STPTTTTGSSALTSKLNGSANGSTSSLPLHGPSHLNPNAPSIPSGHRRNSSTASNPSS HRRTSSFGISLGKSPSISRSASLTKQATTKSAGMPYKIGFQPQGVKHDRTEEFVRARK IKGEDREREEGRLGRRWAKLVDLHFNPTVPNPLPTVPTLTRSSSSTFSISSLASGSGG NDRRRSLLSIDGALDALKPKDVWKGFKSGPGPGGEEGKKRAAEQAIVKWEDDGEVKKC RICQSSFSLSNRKHHCRLCGRIICSLPPTPPALLAVQIQLFAPANPEATSTNSQGGLP PGTRREKCSLLLVADWKTGRGEEVEEGFVGWMKMDDQSDQAIHPTVTNIEPNQKSPAS RTRRSRLSTNSISSSISEDPQVHDKERSIPLPQQPKEVQVKGCRVCRECWSVVSRKQK MQDRQRVTGFARLYSALRSLQSNIEELMPEFEDLLADLTESDNPLEPSPEVLSIHKQL LTLLTQYEHLSKRIGGLTCEEGSSQAIVQSAVARSAAGFLAREMVKLQTLQKLQKRAA NAKRRSLRIHELTLSDSMNGTSLSDGSGTTTPTSELLVDKEIEDVAVVLQPLLEQEAQ LEVYISDANSQRKYEDSKALNEALREIRLEIERITQRAK I203_01773 MGRSAKFTKRPSKEAKARSKIAQANAKPLPPPRSPSPPPQTEAG GEAEKGKKKRKLMRAKVDKKLGKA I203_01774 MSEEYPQPEASTSASTSSMIAPEPVPAKLKVADKWLKPNGPPVG STFGARLLDDEKDVFEHNAWDHVTLPEDFKLKAEEIMELHRSSPVAENLRDGYNSKPE HYWNKFYSNHEDGFFKDRGWLRLEFPELVECSEADAGPKIVLEVGCGAGNTVFPLLMR NENPHLQIYATDYSKRAIEVVKSNKMYPRAEHGLGELHASVWDITSKPSTSAGEQNTI NSTEEDLDLSKLELSDKKTYSLPEGIQPGSVDVISVIFVLSALHPKEWDQAIHNLYTA LKPGGLLLIRDYGRHDLAQLRIKKNRLLDPETPNLYIRGDGTRVYFFEKEELEGMLVK PPTLTPTIEGETEEKQKMFEVLQLGEDRRLIVNRKEKKQMYRIWLQVKAKKL I203_01775 MISAPLRTSVRSALRSAAASSMRAPVSRSMATLVDEKRLPAKFG GKYTVTLVPGDGIGKEVADSVKEIFEALKVPVQWEQYDVSGETTGGDDLFQQAMESLK RNKVGLKGILYTPIDQTGHNSWNVAMRQQLDIYASVVVCKSLPGFPTRHDNVDFAIIR ENTEGEYSGLEHQSYPGVVESLKVSTRAKAERIARFAFDFAVKNNRKKVTCVHKANIM KLGDGLFLNTCRRVAEQEYGHTGIKFEAMIVDNTAMQLVSKPQQFDVMVMPNLYGTIC ANIGSALVGGPGITPGCNFGREYALFEPGCRHVGKDIMGTNKANPTALILSSTMMLRH LGLESQANLIAGATYDLVKEGKIRTADLGGSATTTDFTKGLIQRLL I203_01776 MYLLPLITLPLLISASPHPRKHTQTDPTVILESCDYNVTLTGRH DQSFNQDLYLGIPYAQPPIGTKRFRPPEPYTYDEDLVVQQHGPACLQAPNTTADGQYG MSEDCLFLDVYASHRPEWQNEKLPVLVYIHGGSAIEGTASIYNGSYLVARSQKIGNPI ILVVTNFRLGIFGFGYGSGFAENGAANLAMRDNIAALQWVKEHIHSFGGDPNKVTVLG GSSGSVAISLLYLNPEFDLFRSAIMSSGSQNSPPMGPTGTTWEDAYQYLLQITKCITP QQDTTPFECLRSLPADILLEAQFALRTDPRWSTSFIFGPSIDGDLIPKSPYELLEEGK FAKIPFIAGDVKDEGTVFTAPTVNASSLFAVLNAIVPIDPSLMLLDELQGAYPDDPSL GSPFDTGDETFGLDPTYKRAAAIFGDVAFQAPRRHFLWAAKRYGLKDTWTYQFEQISP DRPAYLGVSHATDVPYFFGTARPGVGDPHYLQFNHTEQDHELSDTVIDYWINFIFHTD PNPISSNEEECLVEWPRYNIAEESRNMLKLKFGEVEVISDDYREDAMDLIYGNYKQFN WKRETIESD I203_01777 MGSSQSQPSSSSPTASSTEAVRPSASPLNPQRQSILSYFFNPST PTRTHDHLHPTALEVRLVSKYLVHLGIPSELIPRVLDQAEYWSGCRRQRTKHIYVDSM LPQYRSANNPQYTVDGPDHEQVVELFQAALVHDGGGLKNRNGNVWYLVSSPIGCTRRP NSGSGPGVIAQHETTMDLDRVWIRKCVIETLSKDQGWSSNNPAHYGTYEQSYSWFEIS LLRGDKEVEGSRHSIQNNVHAGQYYKPHCNILSADHPTFRLAQPGDRIVLWVRAQYQG WLNIVKEAAITVFTSPYPPST I203_01778 MSAQAGLYDISKPRFDLSSYGGRLAYFYSTTSPLTLLASSAQLE KAQKDVTNFESKIKEAGQKGYWVNQQQKEIYDNAKQLVNSSIHPDTGKPVPLPFRMSA FVPTNLIYAQAGMLMPNPSLKSVIFWQWANQTLNVAVNFSNANKSIEMTPQEIGTAYV AATFTSVFLAVSLSRLVPRLRVSPAAKDILGKLVPFASVASAGVVNISCIRWKEMRDG VEVFKLTKDPVDGSEKKEVLGKSPKAGQMAVMQSAASRVFTNVPTLILPPMVMTLLTR RGVFSGPRGNLTSNITQLTLIGLSLGLFLPPAIAYFPQKAETSPAKLESRFKEYKGPV NFNKGL I203_01779 MELTEENAQAGDKAYLDLVLLQTLGSDRDNLIWCSIWGILIQGY LLGLTVSRIWRFVCRRKRYEMVIRGGVLGGLMLIITQLGITIDQTYRIIFAFHYTDIS MNDARRNIVTIVCSGTIGCLSVGYATWMLWKMAPRISPIIPLIILNTIIIGLTVGISV ISYQMPKASVSDLFLVKEWLGKFGILLRVWLGIELVLHVGIWMIMVWQIRSKKEFEKG EKLRTVCQALWSPTLAIVVLLVYSLVEIPSLVNASRPLVQSFSALFFLTFMYLLDLSH FTSSLDGEIALPESSSVYYKSPPISRRGLLPDSYSGYTTTTSTSSGSGSGSGGHRSTK SSKSKAGMGSVRISIDQLVTESKTISPPPSAYKFKDKQPQHRSESHQRYPSLTPVQSP IPTPIYSNSVYSDVPLSIIAPFSEWLEYNSPHHHSQAHDGDEEGIHGADADDMSYKSA VPSGKTLLRTKSERSKKDRGIVLDHTGWEVPLPQAQPQLLSQRTSRSQRVSQGPPKDH DVNKI I203_01780 MHRNNDDRYPPPSTSSSSSSRYPPPPSLNGSSSNRPSSSFHLPP LIPSANSPMNHSFGSPNYRDVPSPSGGHTDPQSWASASATDRPSSSRNKPSWATAAPG PSPRDASGNRLPSLVDFPHSHPHGRSSHSGWDASPGGYTMSHTRGSGSAYPSYRESPS KPPPLITPVTSSQSLPHGSHWADDRTLSPSTTRRESVLSQPGNYPTSPPPPDTGSSGG KNNNKHAAPKDGDDTDGQPTQKKKKRRVALSCAECAKRKQKCNRETPCQHCVARRVPE LCVPYSRPTTPPGGKNIVKVESQSARPSPKESNKKDGNDVPSQPTTTAARPPSMLPTI SVRVARLEAMVNAIVNRVDGIEGKALRDWRINHAPATSPPPLSASLPPDSEDEKKLDL RPETSSTQSRIEHSVEWDNGPESEDAVVGGLDRETGIRNPLPQSLHPSGPVPLGLDYH GTPAEQLSQLFNDCGLNPEKMTILMKDLPPRDLAEKITQWFFDKVNHVRYPIDEHLFR LAFEQVYSHKNSAAAVLALPLVFIVFALSTRVAPDELVGTEHEKRLTSLRYYWNSKTA INIASAVKAENLHLVETRICTGLYLVLMHERRLAEGWAEFRYALTIGQALGLHRDGTK LGLDPYVTEYRRRLWSYLIHADATYSCLLGRPTAIDPNFADTLPPSNIELEALKDDKK ASPKPMSEPTFATYLILRRGLGCIVAKVTQHFQRLSGQGSYRDVEALDAELKQFVENL PPTYKMLTPDKSYDDKMWFLPIHRYYIQTEILHFTIILHRPWLLRKLRSSRYALSRQA CFDAAVTDYKLRQMFKVDCPDFFETLLGGSFREFRAARASEMKQIIQSFMEQHPHDPK ADDFSQKEAAIIYTLHQRAQQMESQRLARRPTLPSGRLSVDNTSFERSTSKPPSTSMA APPNPTPPHSSEPGSGGPRHAPLPAISPQSYVRASPYTTNSMGPTPPGMGHSPEEDHP QRLLDHWLQSNTSFGPGTEGLNFGIGPFPQHLPVGSTSRSNSFVGNEQMGQPQLMTGY IPGTLPDGSVQYNDNGNGGFDNTGAMGWMDPSLASGGPMMGTMSELPQNASSQMNNQT NNNLSMMGMSGMPIGQMGNNGMNMINGHFGISPAIAQGAGAGGNSSQYWNALIDGIVT GLPNYDPNLSSASV I203_01782 MAETEHLEGFDGPALQQILELVDNDIPKAIFKGSSLLNTVETTD IATRELLLDLSRSMPSGPPVKVQRLVNILYDMEELHKHPKGLRYGQLIGMERLKEGLC DRLQDYLTIRVLSSRRVNTATGEVLIDTETNKEIYMMLSTLSKIASDCINLLEIIPLP RMTEDEKAEARKTIWSHVDVLTTVYNLKPSPRHRTTLYPADILGLIEFTLERADNHWD IAVQFCCLLPTFFYTGARVGSVLSTVYKKLEYLTWGDIRFRPVRNTIKKIVGYDVIIE IRSWKGYSKDQLRIKFHIKSTSKGRNANFDLGAFLLAHGVRQQVFGDKTVDFLMQNDR FELKCDPSRDNDPVFVALRSRIGLSQTALTGDQGTRQLRSAMQAYGIASGEPGGSDTF YCLRRRFLTDVARKFGPRIAKFYAGHTANSTCFERYYDLSDIEDDILNALLEDEGETY MILTPWMRIRASGHLNESKVTLKSALERCPEFRHVLAKRDLLQNCLRSGSNLWLKVEP WNGFSSRAEPRQLLPSLITVYSNRLRQLISILKQQDTESTLELQRMARDEMDYGQIQA QVHNANGPSQLAVELQRRLIEQELKLCSTKRIDITESVSSTQGATIVCVAPTGNVDDE EEEAVLHAIDSAEEGMNAQDQYKASEGGKGKGKGVEDEDGEEAEAMWGSKKEKEGGHA VRVATSEEYKRIEELSMSGLQSGKGSETKKGEVDRVLYGMIDEDPEKLSESMSIFRAL VELSDPSKGGVLCQACQRDSTASSEQKITRYTAMSRLDKHLLQFHNPHAYGLRWLKSN IDPQSRRWHCPYGAGEGGSEKAKTTKNTKLCGISYAASSVEKSAPKHLLEAHQYALTE DLRKFMDPKTRATLRAQAQEAQEEELGHSRKTKSRWTNPGPNFHDFLLIGTFQPEDRM DPYVGDLGLGPSLKDDDIDMDTDLLPDSFYDDKGDFNI I203_01783 MSNPDRFKSALKLDQVSLVRQEAPTKNVTVQEATATGEGSSTRD YSIQTLITTIRSSRAKYAEIADKHELKLSSRRPKQSTGMGVKTLAKGRKATVKAESDH ANLIRSIVRGERRALPGQYIPINNTLAQIRGQLRPRAVELSKQMREVQRIREDYLEVV GVVEDLLKHDRHPRPLT I203_01784 MCGVGFICHIKGHAAHKIVSDARNILCNMTHRGATGADARDGDG AGVMTGIPHDFFVRESAHSFPSKLPAEGTYAVGNVFFSKEEFAAQQATFEDIARPLGL RVLGWREVPTDNSILGPASKSKEPKILQPFVVLEEHYGPGQDSQEGHFDERRFERQLY VLRKQATHRIGLKNGFYICSLTPSNIIYKGQLSPVQVYNYYHDLNHALYASHFALVHS RFSTNTFPSWDRAQPMRWAAHNGEINTVRGNKNWMRAREGNLKSEKFGDELDLLYPIV ETGGSDSAAFDNVLELLVVNGVLTLPEAVMMLVPEAWQNNDLMEPEKKAFYAWASSLM EPWDGPALFTFSDGRYCGANLDRNGLRPCRFVVTSDDIMVCASEVGTITIEPEKIIQK GRLKPGRMLLVDTKEGRIVDDRELKLTTAKRQPFGAWVESQVMRLPEVVRRVQRFEDI SVKIDDTPLSTDPKLLAFGYTIEQLSMLMLPMVQEGHEALGSMGNDAALACMSTTPRT VYDYFRQLFAQVTNPPIDPIRESIVMSLETMVGIEGNLLEIQPKQLHRLHLKTPVLTI QEMNALKHMKVSNSDWPSITIDITFEKGEGLPGYRNALNRVRQEAINAVNAGFRTIIL SDRAVGHDRVALSAILAVGGVHHYLVQQRKRSQVAIMIETGEAREVHHMCVLVGYGAD AICPWLIMEMIHKVASEGLAKDGQTADKLVENYMKAMAEGMLKVLSKMGVSTLASYKG AQLFEILGLHEEVVSECFIGTASRVQGATFELLAMDAFEFHERAWPSRETITVPGMPE SGEYHYRQGSEMRINDPVSIAQLQDAVRQKNQNAYDSYSKNSRESIKRGTLRGLLEFN FDNGTSVPIEQVEPWNEIVRRCVTGAMSYGSISMEAHTTLAVAMNRLGGKSNTGEGGE DAERSLPIPGPGSDADGQPFTHAMELKPVWDSRRSAIKQVASGRFGVTSNYLADSDEL QIKMAQGAKPGEGGELPGHKVSASIGRTRHSTPGVTLVSPPPHHDIYSIEDLKQLIYD LKASNPRARVSVKLVSEVGVGIVASGVAKAKADHITISGHDGGTGAAKWTSIKYAGLP WELGLAETHQTLVLNNLRGRVTVQTDGQIRTGRDIAIATLLGAEEWGFATTPLIAMGC IMMKACHKNTCPVGIATQDPALRAKFAGQPEQVINFFYYVIEELRNIMAKLGFRTINE MVGRADMLMVDESLRTPKTALLDLSPILKPAHLLRTDVATYKVRAQDHKLYVRLDNKF IDEAEPALQKGLPVTIDCDVVNTDRALGTTLSYHVSKRYGEAGLPRDTIHINMKGSAG QSLGAFLAPGITIELEGDANDYVGKGLSGGRLIVYPPKSSPFKAEENIIIGNVCFFGA TSGQGFIRGIAAERFAVRNSGATLVVEGTGDHGCEYMTGGRVVVLGLTGRNFAAGMSG GIAYVLDMAHSFAPKVNSGTVELGPVSDPQEVAELRSLIEDHRHYTGSEIADRVLRNF HHFLPMFVRVMPLDYKRVLEEEAARAAEEKKRQSVIDLIPSTTASQVDLVATGFDPIL PRDANALTVSTSQLVTPTASPKPKPAEPSIVDVEDAMVDDATSKEKLAKVDKTRGFMK YKRLNEAYRPPRKRVKDWKEISTRLTKGELKYQSARCMDCGIPFCQSDTGCPIANVIP KWNTMVFEGRWQDALNRLLKTNNFPEFTGRVCPAPCESACVLGINEQPVGIKSIECAI IDKGFEMGWMVPNPPQHRTGKKVAIIGSGPAGLAAADQLNRAGHTVTVYERQDRIGGL LMYGIPNMKLDKAIVQRRVDLMAAEGVNFVTNANVGIDPNFDPLDLKAQHDALILAVG ATAARDINLPGRDADGIHQAMSYLPPATKALQTWTGNPTQALGEDTPGYISAKGLDVV VIGGGDTGNDCLGTAIRQGAKTVTNFELLPQPPKTRSLDNPWPTYARIAKMDYAHSES VSCYGSDPRQYCVSSVKFNKDDEGKLTGIDTVKVEWTVSKNTAGKWSMEKIPGSEKTY PAQLCLLSMGFLGPQKEIMLALGVELDGRGNAKTPNGGYSTSTPGVYASGDCRRGQSL IVWGIREGRQAAEEVDRYLMAGTHLPVQGGIERRQWIAPPVTKHLQTETSSRATSEFE VSSQGVDFENISVTASA I203_01785 MKKLTIVWSFLDLCLLSAGVLCIVSAILFSQPQHLISALIKTRI NFQIIGICLGSTYIFGTLLSLPAILSSVENSKLLMMLNWWLVILASLTLAFGSYVWMF SLQQISEFFEIWSEQSENVQQTIQDKFSCCGYFNGTSAGGLTTQLGFCTDSTFAANQT GCSSKITSAQSPGSDYTLENIFTSIYGFEVIIGLFFLATVCVINERNITVRFKRIDEK RGGGGFV I203_01786 MSINQPFTSSSNVPLGQPQTHPQISSNGNPTSTINTPLKPIKSE SGFEFPAIWSFPPFFTLQPNPSTLNHQLELWRTLVLKWAKYERVFEVNVDSIEIPGVF ENKRIKRRLLPSSMKRIFQEMMKNGEAAPDPPKQDTKYLIYWKKPEEWGDLVYTWVMD NGLNSSIMTFYEITDGDLSHTTEFYELPTSILRKALESLVKRGKAQLLEGKGEVGEGV RFL I203_01787 MSDSIPLPPRYHSNSRPSSVPPKIPELTKRSPPHLFSSSSTERI PRAKYPRMELNPSNASNRRFSAPPVPSPNPTQPDRRASFSISAPRQSAQQLAAEALLN MAPSSNGQNRSAEKRPSEKTPLVPAPAQSTTSTPASRSNANAMDVDTPESESRGVKRK VVEDDPRLPSAVTLGLHGIDRERERAKESRYSHSPLATTEARQPNPPAANTSRLGQQP SSSVLGASTTNRYSVYGPTTRDPLGGSPWGLNPSRYSTLGLRRDLSPSVSSSAAPKPA SLDPPQRASPDVGRDSRFYPGSVTGYGHYSMGRRELTEHREQLREGKRWLEAMLSKTE KMLHMVENKMALTGEMGPSAGAAKPSTATVGGRHADDWEFEERERLRQKEIQRLERER EMDRVEREKRGLERERELQRERERDRIGVNANAGVTSDSGPGGRRDNKSEAERNRDLL LASRRVTAVSPNPSTSSSTSRQQNSNSNGPSATAGGNNASSANSTPNNRETNNNNNGG SGTRDGKGSNGSSPWEGEPILGGVALPRREQQSSLSRALGRGLWSFDVRG I203_01788 MTDSAPVSPSRPKSNVHSPNNNARLSPTSAFGYNRSARVSDTRG SDRSEEDKKSDRINENGAGAGGERWGSRFAPGPGWRVGFDSSNERGGQDEDAVADSPL SRSMSSRQVSEERDELEGSENEERRQSHNEGIDSPSLNGSGTGGDRSKRKITRSTTRK IKEEIDDAPPSAKKRKPLAPAHESPLPSPPPTTVRAAHPPAGTCPGDGRCNGAGGKAG CEGCPTFNNSIASGLVSAAGSGQSEGVEKATPRPPVSDRPNLDRLNPWGLGFMGGIGM GARTLSTSSEHRGSPAGPSSTMTRTGSEGGSRAEHSPMSDDDGKDGDFPLNGLAATPI GMTCRNCGTSTTPLWRRDEEGRPQCNACGLYHKLHGVPRPVAMKKSIIKRRKRVPAVG AQPGSRDGSAGTEIAPSTPTTAMPNVVAPPPHVAPPLENEKSHPSPPFTHRAPPHAEH RMGLNPLDPYGLARRNLVKPQTATMTSGTPGDRKKPWWIDGARDKEKDEKEREAREAK EREGVS I203_01789 MSTNPPIRSIPIQRNHSPNHRIQGYLASVRPYLEEHAHHGRSYF ARVMGVQQHEQADRYTAQHQSMHDRHSQPNKHLTQNPGPGGGGGGGGGGRATYIQQYD DKENNLPAMRHLPVFSNPSRRQYASYAYPDEGVMMSRQPDNSHSKSHIPPLASRSNPK ILNAAPLLKTDSIREEENEVAQRKLARKLRRREQASIVKPEVEHNPSPPPRRTSRRKR PAAPEETPSLEESINQDGEKQIRKKRKVLPALQAANDYKPRNVHSKTERLTMDPSKKP GFLLNGKASKPIHLTKPKEKARRESVKAFNENEFLQLEVGQQYFSTNDADVDNFGWEK NYRPRQSFNPVQVQSKRYDRSQSLTHHHDRPAQAARSHFSYRSLSRLPPPGVTNSPSW PTGSSEISRSKRNKMNQPPSSVRTLSQVDRDDKAGRDIREEKRRKDRARDEQELLERQ REVVEIREREERHRAELERLELETIKRVKEEGLRRQEAERARREQEWVDKTRKEQDQR VRWEYENSQRDLRGITEKKRWKLPHDHPLQHTLKPQSESSRISHQSSKQTSKSQYHPY YPYAGPSIDGRRPENAPNPSRGLNIPQSHHQIPITVAPKSAIPPVSEPIKAHSIPYIP TQAPITTTVRPFVQPSTSPDPGQEIVPRLPARATGSMLPPPVPLKAYAQQPSHPSSST RTLSDIATYCRTHSRLPPPTPQPHVFGRGELPTVPKQPSFLAVGPTNKVKDERADEYA KRLQPNKDQVVHHPDANQHRVPSNGDYSGSALMRNELQDHHPSHTPLKTPRSDYHRPV LQPSDNNQNSRNIGLSAGHGLAA I203_01790 MSGPPPALAPEITPAETYQIDSDEYDSDQERDDLEDEEYDKLVE EAENGYSDEEMEKFIHQLKESGLVNFLRGYLSHGEDGQMKSLRKLLLGFGIIPPIPLR NPSIPNIHLLPFAKIALSRVLRRRQRLSHLSSLEDALGLLKNSKKIIVLSGAGISTSC GIPDFRSSTGLYAQLQEEGKYDLDDPQQMFDIHYFRERPEVFYSFAKQIYPSNFVPSP CHRWIKLLEDKGKLLRNYTQNIDTLESLAGVEKVLQCHGSFKTASCLRCKTRVPGQNI ETFIMSQQIPYCPKCREEADRRQQMKKELKTGKGKGKALWDDDEEEEENEWGGGEPGI MKPNITFFGQALDSEFDECLFKDREEVDLLVIIGTSLKVAPVSEVLTHIPHSVPQIYI NLTPVHHVKPDICLLGDADSIVTYLSSKLGWTIPAPAAIPLPSSPDVHQNGDTRDVER KIDRAIHVAVPPEEGEWITGKDDASHIILYRKKGDISLEESTLPNDRTTYSSSTPASG DTPIDPTKREVRAEDEEDIPTVEPLQVGGGEPEAQDGYISDESDIGEERPSKRARGE I203_01791 MKWTVLLPLLPLALSAPAPQNQQSDTPSSSAAQNATAAATATAT ASGSSSTSTGSSSNNSSSISAHPTVTIYPDTSNGTPIDITGVNVAEVGRDHYLGIPYA APPVGDLRFSPPQSYIYNFSVTAQNQPPACLQNPSGLAGTQSEDCLYLNVYAPQGANA TSVWLPVMVWIYGGSFTSGSIDLYDATALQAYADKTGKPFIFVALNYRLGTFGWPYGS GFAENGAANLGLKDIRKGLEWVQENIWAFGGNPDQVTVFGESAGAIAISTLYLDENIN TFKGAIMESGAQSTAPIGPTSSTWEDAYQYLLQVTNCSSTSSASANSTSGVGLTGFEC LKALPADALLKGQLAVKSNLLFAGFIYAPSIDGDLIPDSPHTLLSQGRFAKKPFISGN NMDEGTSFVPSFVNSTETGLQLLAILSPVDPENSTLAQLYSLYPPNPSLGSPFDTGNE TFGFSPAHKQIAAILGDAQLQAPRRYFLEQANAHGLDQTWSYQFEQNTPGQPAARGVY HASEIPYVYGGAIPGQNLTAGGFGNNYTVEDQQLSYQMMDYWLNFAYYTNPNGPSSSS NSNSTNWPTYTSEKNILRLKSNNVTVFTDNYRQEQMDFFNNNPKQFNYKRSFAISGVQ SG I203_01792 MNNQLPKVVPARRAAEQVDSALEKTTKKNTKKSKHDPSLDLTHA TSSKGKSKVVNSKSELGQAIHLPADPSSLTPSQQRALERVEAYDQMTTGMNASQLALM YSAKHVAISAERIAIDERFLAAFAGANVKDIVTTSHRIESALVSLPSPSSSTVEQNEY RVKLSEQRDKLARIENTMYKIFTDAEDRLRMHEKVDDQ I203_01793 MSKPSGRPSWKTAPAPSTPRVQLSPDSNYDARSFRPGNDSADDE KSPDEDDDDSGQETDDRVSVDSWTTNESALSGSSRSSRGSRGSRGSRRVRGRGRGRGA ARNDDGTRRRRKSGKSGHKYAPVDRFCQLHQNPYETPHMKMMMSLVFRSKAGEGNLFD IPENVEIFLEEKGVPGSFDPNQKLTNDDNKQWRIHFEIFYRTFVSLVKSASDACDWDM YDAYAARRDGGIDGTYRNDRYPSWSREGTGVGSGDDLEKEAAAAICIPDDVARVVILA LFGFDGLKDPAKKTFSRGQRRLEDLFEKEVVKFWEVSSKHYVQNFLSKQVSEKVKREA KVEKQASKLIGELERLWGSRKSGSLTETQKKLAPICEDLRKTLTSWAKVAEYLRPGDE PPEKLIEYTQLMLEYGEEFPGGLVALRGGDEASNIASRRIQRRKSTGQYSMISRINLV EDEDIDELALSDDETRNESVMQRGTLPEGDQNDLLRPHHLQNPTRPGASLDPSPQIGM GIFLNFSKTQLFQYSAIGIDDGWPKVSSEDRLFSTSSKSVPLVGRLHQYMGATAMLSR AYAGVESLPDPSSDYTSGVIVADETNYANGFYTLDLIPEALKVEGISPKSKEQLKDIL KSDMGERALIMLFEQKERYEELLSTHQGDISETIAAFIDEMKKDPRSFPLGYFGDSAG HAPPRAPHIVVAPPGLVEQWYQELTRYSTELKPYIIMNWKSAARVISKIEAIVAEWKK SLAYYGPAYVAARSATLAPDFYATGRLPKPDDEILRIGYTKMNCAIDLILMIMKSEAH LPLSKQSKFGVHIESVEAMPVWSQLLANAGLSNCTIRGSTPGARRLAILDRVNRHEGH PPDDRWDPDELSRILTLSPAGELGVDVTETEHIQTCPWSVSIYRQRIGRHRRTAPDPS VEPLPIKVFSILALNSTDIVQYLSTLQKSSVDDHIRSMLYQAPKLSYEDIGFPAPTHS GRRFRRDRKSSNDQHDSKLNETQRRSLEQLGLLGLIPHLHRRMKKRNDVIERKIRMEM KGDKGSIDQILTDLGNEEPEGAGMILDEVAPQHDKPFSKMTFAEQHKEGVRLGKESKG KFVRTPVITEEELREAESRTPEDWARETQAALQQQNRVWFEARIKGIVFEHGKMLLDP ANSWVTDFGEELERRIGNLGVPPESIDPLVTYDDEDDDEDRSLLQDALDSLKLQVTDL QARNLLDDDFYCPETVDDLCRYIMEQPMFTAGATVMGRYKMLHDFATGRLQPPHFEAR STSSRASKASLPSSPPSVRSLSRRPSISTTRLPTLPEGSQEDSQPRLHQGKDKGKKRA ERRGNDNEDNQMLMSPPRTIDSYTDDLERAIELSKNSSRRDNERYEWEGYAESEAGPS AGGRGLRRPRR I203_01794 MSSLLPFSNVLRNAPLSFAPRPICSRGLHSSPLPLRKAKSSQPI EEEGVEDEDKFDNDDLFSTSSTPTVKYQLDKSAIRQSNVSTILKHSKLPSKEKKRDKI SIQALRQVVACSESDEAAELKHVVRAWRVGGLKVSKTTAREIVGRLCNLGKPELASEL VSNRIHYGLPDLDQPTLLKLHHSLLSSSLPPPNLPPTQPVSPTLALLRLSLASQTEGT SPEQITSSLASSPKGRTWKPTKAIEEWTNEAKQRLNAVGGVWAEQARKIEIS I203_01795 MSGTWSHLTCPPQPPFPVRISDDVFLPVPSPTSLRASSSEPLLN FELKGLKVLANAEGEKEVVKVSEIKMKRRNTLLMTPGEVHRLGTLSVSIFPQLQPKSK QSVRKTIAPKTPQPIHRNASDISTPSTSSDFNDEDEEGSEYDTCSSTFSTPFSSLRGI SAKYKNNDQFESPCPSQPSSKILNPSSILIHDDERGTFGQRIKSSATQIDGLPSSKNT KFNFWRSPKHNAAIVGSSPFEESQPKRRRISQIEWEGMPCPEELVSPKMKQRVNELGK GFSWASLH I203_01796 MATTAMITSNPSTSIDNLDDPTPPGAYIFSSPSANQQEPLSLTS ETAINIDTPFQQPSFSLSTQLDLLPTQSVTSYTVSSSIYRVSRLPPQPTIPHAGPSRH PQRYLFFAVRRGRQAGVYTVWHEVERQIEDYPDPVFRTFSTKLAAEAFVRGWDGAGRH SLPQSTPRPLREHLAMSFPGSVNISTSPGKRQSYHSRLLTAPGPSSNDFAPSPLDTTR PPLNPRHSYHRHSMVKVSSPLRCQVDEEEELIESAGSRLPPLRKAASFIGAGGLLSPP QSPEKAQGKNLSIMERERPTRSRSGEGAPWSSRNHRDFSSGPDGGLGLVARPLSPPQS PSDKRATIHGGSVSRPAATRTPSGLWADAMVPRPSPSSSKTGSAGQPEFSDPTAPKFS RSGLKKNGVIMPVAAPPGAQRKNSSQSLRSKASSGSLRGNGFASNNSSSSSIVSFDRR RSSASSSSLGPGHGYAQDRLASLAETSKMELQLSEDGLLSLSPPRPAFMMRRSPSASS VASNESISSMGSMTSSSSTNQTSSLDPCEPILEEDQDDGHIQIVGEERDLVISCTKSD GDADGSSNGDKDSMKGGRKSKSGGGMFKRLAKALKLEKKQSARRGSL I203_01797 MQDDEKAYLPSKDLNKKVANRPDAEELLAPLGEVEKKKLLLKVD WIMVPLLGVAVMLGSVDKVSLATAAVMGFREDTHLVGQQYSLTSSAIYFGAIAAIIPS LMLMQRLPPHIYISANVTIWGIITLCLPAFIFAGLGLIVSMCLFNGIFAVAAWNYKGQ GVERWQLLFLIVGAATLGWSFLLWGFLPANPTKARWLSLRQRVVATRRMQDNHTGIEN KTFKRDQFIEAFLDPKTWFYFLINISLNVPNGGLVGFNSIVVKSLGFTTQTTLLLGIP TGLVSWLSSFFWGWVATKTGKRHLSAMGSCILPLIGTFLLYKLQRNSVALLYLYLCYM YWGPYIVMMGSMYANTGGYTKKLTVYAMSYIGYCVGNIIGPQTFLTKQAPLYVGGVVA MLVCYSAALVLMGLFWLYLRYLNAKKATEYERLKTQLESEDQLLSDWQDLTDIKNPRF VYAL I203_01798 MTTAPPTTISRPEVSEPNAPEFDIVAKKAKDSFGYINFTVLQWI DSKHALKRIYSTHPEDYPVGGEKIMTRGAPWPTTVIKARQPYASWNIEELRSTYVDAP WLIALGIHQTVCVPIFDEAGNTVGALNFSGGEDQYDKEILKEMEKVAENEGTEAFRTY IATV I203_01799 MTNPHPSLHITTQAGPSTPGPPRRPLGARKRGGVIPGLYVANPD NSDDEDSPPRSSNQSQKSPTANTSAVSPVSITSNSSSSRNAIPIQTQPIPNSPTLSIK GHLPSIPAPQSSPLPTISSFPSPNLQPSIPTPTAKPPTKTTLNANVSGHRPEISPVPP QPPPQPERHLRRAFTTPVTDQPVRPSQDPRTNSSGSAVPQQHSPSRALPPLPPLPSPP ITSQSPQNRSPSAVALHHALPSTVHQHFTPNRVSSPEDALSPTAGSETGGSMMSVGMS TRDRSGSTHTHQVRLQVTTDNEAFHLVDITGIHTAEGIREKVFSKLRIRDEEHPTLSM YRTEIGEPADDVPILPAALLHLCQSQGDSRATLKFLVKQTNVPTSSAASVIPPVVAQG DYTPYRSAADNRRAGISPITTDLSHALLNRPSSRHSKEGSLSSASGEIVDRSNLSASD WSDLGPEAEEFSGKRSRKAAVRSSGSSRSPITASSPALPTPPPTFAVPSQPREASSLS SPSSRYHDLNHPASPSVAEAFPKPQIRHLTPTPISRSSSQRSHQNPFGDNSEAGPSRP TNAGLGLNIDSDMDPETRALIMQFQQEEMEAQRREEQRRKYQLQQDEELARKQQQEEK DIWEMMVRMEEENRQRQEAQIAEDEARAREVEAEQRREEEQRQSVEAARAAWEAEHRE ERENRFHTFNEDRRARQEYFRSRAQMGRPLDESATFHVPLPDERPGSRMSGPRQTSGP VPHRQGSTTNQPLYQSPQTQYSPEMSYPSNAPPRRPSGFPVPGFQEASHAQDRLNDPR LQQVTGRSTPALQAPSLPPRGDNRQRLPLPYGRETGGDHLSAPPTVQNVRSMDNLRPF SQQSGAFRPPYANLPARSAMTPQPGGYAERRNIQPPGHAYRSTSTDRVHDGRYPDPIH SGTGRVQPPLQISVGDTGTIPFPSPHPSSATSTTWQNRQGFNTMPRAARGPSWDDQEP PVSASRPNTVHYDRSPPPPTSPQTAMGRRPSTYYDDFSPPITARNAGTGTCHSGFTAP TSPNIWERPRSGSASMTHRPTTPIIDTPRRVSESTVYSDDRESQLPYNHPSNSPESAW LTANRYKRSDTDTLSVAGTVSSDATVRPSRNEDTDSNDTARAGVWEGHIRDMIQAASQ SGGGDGTARPIANDEDEATLWITAPKPQSTPPTLSRSDAVRPSPSKPNLIVNTAALSL SDEIVSATTPSDSATESEGTGEGSISGSGVRRGKSFARPKDPNQWNFRPEPEQLYENL DRVFPKIDLDQPIVQGPGSTPTTPAGDSPSILENLQSQAPSKVTPVPEPARPPSGSGG FSGMVRSKFNKLENRRSIRVVADHKRRTLQRQSRDLSSIFGGGSKKSIQDDPNSINNN SNNNVINNNDKDEDDQVQKQNVKVERRSSKMWDHKLVEVTPSKIALGQINTPIPESPA GTGANTVNWVKGELIGKGSYGRVYIALNVTTGDMMAVKQVELPVSETDRNDKRQMGMV KALRDEIELLKDLEHKNIVAYLGYETSEEYLSIFLEYVPGGTIASIYRTPNQARFEPQ LVRFFTEQILEGLAYLHSKNIWHRDLKGDNILVDAQGICKISDFGISKQTSDAYDSFG QATNMKGSVFWMAPEVIHSFSERTYSGKVDIWSLGCVVLEMWSGKRPWGDMEQVAAMF ELFNKRARPPLPSDIHLSDIALDFMNIQCLATDPRDRPMAKDLLQHPFILDKDPNWTF KDSKIGKAVAKRGAKRVQA I203_01800 MQAIKLGKKYPDLKQDEIFELISKFNQIDVDDKGSVDKATVISA LQSSGEADYDSARETLKHVSIDSSGRVELEDWVQLHSLLRQAKNAPVLETSKGRISVK GTAGTNASHTINEDERRSFTDHINGVLAGDKDIGHLLPIPTETMQLFDEVKDGLILCK LINDSVPETIDERVLNKPSGGKGGKPRALNAFQITENNNIVITSAKGIGCSVVNIGPE DISEGREHLILGLIWQVIRRGLLSKIDIKIHPELYRLLDDGETMEEFLRLPPDQILLR WFNYHLKAANWGRRVENFSRDVSDGENYTVLLNQLKPDECSRSPLQTRDLHQRAEEVL QNADKIGCRRFLTANSLVSGNPKLNLAFVANLFNTWPGLAPLEETEAPPPVEDFDAEG EREARVFTLWLNSLDVDPGVYNLFEDLKDGNVLLQGFDKVIPGSVIWRRVSKPKEGQE LSRFKAVENTNYAVDLAKSNGMHIVGIQGSDIVDGTRTLVLGLVWQLMRLSINQTLAS ISKNGKGVTDQDMVKWANETVKKGGKTSTMRSFKDPSLSNAIFFLDLLNGVKPGIVDY SLVTDGRDEEEKRMNAKLAISIARKMGALIFLVPEDIVDVRPRLILTFVGALWSASLS Q I203_01801 MHEQTARKSTGGKAPRKQLATKAARKQAPSQVSGGVKKPHRYRP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAIGALQEASEAYLV SLFEDTNLAAIHAKRVTIQPKDLQLARRLRGERS I203_01802 MSSPGVETASISDGLELESLDSESLFQALTNVEKAIPELLSSIK PILSHLTTQEGTDEENSGMMAREGVERYLNLLDKIQFILRQTVYYLHATKISSNVLIP PKVDNIPTPFATYLPQPQSQNQGEQEQEKVELGLYASRIESKVLDDMLNALKAIKEQE HQSQGNMDISSSG I203_01803 MGRERIQILDGGMGTTLESIGHSVSSDLWGSELLYTNPSAMQSI HKGYVEAGADLVETCTYQLTPTDLQKYLSSSSEEHKERSSSSSSIIRSSVNLVHDSFR DKPGVVFSCGPYGSTLKPGAEYSGIYPPPYGPNQSSDPKGNTYKFNYFSNTEEGKAQE ENAIHHLTQFHLDKLICLLDDDDQGEVWKKINWIAFETIPLMYEIKAIRRCMKTLNEI ARNKYTDGHSRYDGGDGTEKQWHRKKFWITCPFPNGQHPQLTPEGKTISIDTILHILL DPHEDEEIPDGIGINCTNPLYVPQLSSQFTKQLKKIRMSKYELPVFVLYPDGGQVYDV HTKTWSTPLDSPGNAMEWSKGVLEVVNDLEKDKKDDGQYTWGGVIVGGCCKTSFGEIK ALKIGLDELYR I203_01804 MTSLPPPQTPAPASFPSTSNNPNPHYSINKTPNVHTIKIGQWEI ETIKKPILNGKEIDVAEKSLNLPLPEMTFGNNSLSLSYSPSSSSSSSSTSNDKDENGV QLRFDSIEALSGVATGEGWEERVGGGVKVSMAEKWSSKSSSNSFSGDSPIPTKPVKPH DWTFSTCYSGSVSGPSSFKPSPTHSLPLPLLARQDPILDRILFYDDVPLFEDELHDNG ESILNVRIRVMPHSFFILSRLFLRVDNVLFRIHDVRVYHAFGSDDIVREVSGMEVGYD QVKRHLEKPSDLSPLTDPNWVYQKMLSLPSAPNQNGKPWPGLGKRVEVLRLPRSDGLG GIKDGLESVKI I203_01805 MPTVEDYFDDDTDIPLPSSSKPRALPDTGMRGALLEEISGDEDD MDFSKLAEQSRGIFGEGVNAPPPPSGGGNGKGKLVERSQDNELRPSGSGSGGPNINPN TPMGGFMGDMMKLQAAEDERLERLRKQFGTANVAKDPSVYKGWNTVYPLYFDAKVSIN DGRRVPRSSSLWWPQATQIAQACRVLGLPSVLEPDRCHPADWENPGRVKVQITRDGKF LNPIIKNRTELYKHLSDQIRQRNPSLIFDPSTAPSKRSYKPSTSTKQPSSSKTATDKG KGKKKPQGKGKGKQDIQKKVIPLPKLPTRPPLAPQPIPVLDDRLPLHSPVVPAGVAVA AIKRDRDNEKEAKKKGLSLGGSEEGAGGQKEKMPKMKRVVVRGKR I203_01806 MTSPATQTANAMAAITNRSNVVIPEIDFTQHQLENGDVVSTTER VVKDVQAPAMYLPTEEQFFSKTDKSKPDIAFLKNHFYREGRLTEEQALYILEKGGEIL RNEPNLLEVDAPITVCGDIHGQYYDLMKLFEVGGNPAETRYLFLGDYVDRGYFSIECV LYLWSLKMWYPDTLFLLRGNHECRHLTDYFTFKLECKHKYSETVYNACMESFCNLPLA AIMNKQFLCIHGGLSPELHTLDDLRAINRFREPPTSGLMCDILWADPLEDFGSEKTND TFVHNHVRGCSYFFTYNAACQFLERNNLLSIIRAHEAQDAGYRMYRKTKTTGFPSVMT IFSAPNYLDVYSNKAAVLKYESNVMNIRQFNCTPHPYWLPNFMDVFTWSLPFVGEKIT DMLIAILNCCTKEELEEEEEETPMAITPETPEDVSAERRQIIKNKILAVGRMSRVFAL LREESERVSELKSISGSNALPAGMLASGAEGIKEAIQGFEDARKSDIENERLPPDIID PDEENPASPSGTTPHTPDEPSSPILDTHGVTPGTPSPMSPQSPGTPGTPSSPGTGIVG GMTWRRGHSRQTSLGTTKTSPSNRRRSLENTMHLIRDVVDGKDANGDGQLERLAEVIA SPTTPSRG I203_01807 MAPHPHPPLTPFGSALAGALGSVFANAAVYPLDTVKTRLQAEDE ELDDEGDGDSPEQDLPVLKGKDDSKSSRSKVKVNQQVIAIRKYVNKKLKSWGMLMMLL RILKEEGISGAFHGFGASMIGTFSQQFAYFFFHTLLRTSYIRRLSSSPTKGSQQALVS LSTSAELLLGALAGGLAQIFTIPVQVIATRQQLWKPPHEESKTQGNVNSPSLMETAQE IISENGITGLWTGLKPGLVLTVNPAITYGVFERLKSYRLSQKPQGGGKLGVGESFWLG VTSKMLATVVTYPYIFAKVRLQAKATPEEIQYDAEHPLNPKEKKQTDSTPSYASVASA PPSFGSATVDLPKSQDPNVQRPGLTERKHSHKYHHHHKHALSLLQSVYREHGLKGWYK GLGAQIVKAVLCQGILFVSKDQFESYTWLLIVFLTNLRKKLVSSSPV I203_01808 MPNTEEALAIANTNTNTKPPPDSQSGKAPPRLKRLASKPLKMAA STFRSSRAPSPGPSDTTTTLVSSDSLSSDTKSGRFSRRKSSKHQHQPISQGMTAAQIA SAARGPRKPLEGEEPAVYLRVRVVSAKGLVAKDRGGTSDPFLTLLMPPTSRHSTKVIK KSLEPTFPPETSTFDFPIYLSLTGVIGGRGIECVLWDKDLMRKEYMGELTIPVDKWFP EGEIHLWRDNLPLLTQKLLSTRRKHTVSGSVSFQIGFLPPKDATDSEDALKRVRRVYG SLVEQASVGRNSIGVLGVPAHKGIGTVKMRQEPIKPSSLARPTSMVASAVSGIVSSMK GGHKTVPVAGQPTSAEMDEIEDDDDDDDDIDSLSDDGMSSSSSSDEFEDALDEEEETE TPMPMPVNESPSMVENVIAGVSQQTSGLPESKTTNKTQKRESSGNSGLLVPGPKPGSL SGAGTGSGSQGDYFASAPMIKGGSGDSNVSTPGVVTPGGTKMRRPLFKRGKSRTESSS QVQQTVQKKKSKRGFNFDANQGKEVLGIVILEIKGAEDLPKLKNALKFSFDMDPFVVI SFGKKVFRTRVIRHSLNPTWDEKLLFHVRRHESAYTMQFAVLDWDKVSGNDMVGTCTL PLSELIADAPKPDPETGLYDKEVDGKHEMRIFTLNLSTEKDMPWEARHSPKLTVRAKY EPYDALRQRFWRQYITQYDVDDSGCMSYTELTAMLDSLGSTLTRRTLEGYFSSCGKSA DKDELTMEEVIHCLEKEVTKSRSEKEKVSGDELATSGTSGLGGATPAISAQPASEGLE MTGPDGNVAASAGVDPGELAEHIERSRPKNQDGAEKDGDDVAGNIQPISDRREPNVPA VKVDRTATLDGETIPLNRGINIGEETDGEVTTPGSSYSENDDDNENETPIDDRERIIN IKTCPLCHRPRLGKKSEQDIVTHLAVCASADWSRVDRIVTANYVTSSQAQRKFLSKIV NKVAIGSYALGANSANILVQDRRTGQLQEEKMAVYVRLGIRVLYKGAKGQMHSVRARK LLKSLSVKQGLKYDSPSSAVDIPGFIAFHNLDTEEILDPLDSFKNFNEFFYRKLKPAA RPVEEPENDGRLVSCADCRMMAFETVHEATQIWIKGREFTVGRLLGPNYKDVAGRYEG GGLAIFRLAPQDYHRFHSPVKGKIGKMTMIDGEYYTVNPQAIRTTLDVYGENVRKIVP IQSEEFGMIMTVWVGAMMVGSILTTVEEGQEVNRADELGYFAFGGSTIVCLFEKGAMK WDEDLLQNGRASIETLVRMGMGIGRSTKKSNGGSLSSSVSGVSTPAEKM I203_01809 MSILSPGSKCHLCSLVDFLPFICPTCNLVYCQQHISSNLHTCTQ QQLDQNTSIDRPGNLERGKTICEMKGCDRESIESIGGFVAEGEGEGIAREVRCRGCGG AYCVEHRSQSTHSCTAPLDHNVRHDAFLERRDKAREIISQRFPDFRDRVIPKPPPGKD VVKTQSVKTQTQRKEDNRTTELSKSSSGSGSGEGICQDNAAVDQLKPKIKSKADRLWD IHLKKIRMTAEPLLKLARNDSMTERVFFEWTMDLMQIQENVRKWKESGKWSGGKLERG WVDCDMPIGKMLDLITGRGKIKRSEDQSQSLHLLSLYPAPDEERQVIQLELSKSAKMI PQGSLVVLVRGGWNQGI I203_01810 MAPKAKQRRILVKLVSTALTGYFYTTSRIRVSDKLAKIKYDPIE GKARRNLFFDLVVCLVKRHVLFTETKIK I203_01811 MASSLDPPAGVTRPVVFFDVSIGDTPAGRIKMELFSDITPKTAE NFRQLCTGEHRVNSIPQGYKKATFHRIPQFMIQGGDFIRNDGTGSFSIYGAQFEDENF KVKHTGPGLLSMANSGPGTNGCQFFITCAPAEFLDGKHCVFGRVIDGLLTVRKIENVP TGANNRPKLVVRITECGEM I203_01812 MDGLNRSSTSKLPTAIPRSSLFSSIGGPSSSTPSSLRSSTSRLG KRAAGEAGLEDPSSSARQISSMNTRLSFLEHDNHSLQVKAKSSADKIEEQRVEIEQLK AERRALYDGEKKERQVGEEREKDFYDERKRYTTEISQLRTQNSTLSNSYESLKSEHNI LLGKNSSFIQNANNEIHLLNSRIEELTKQREELKGWERRAKGLSIELEEERRRNEENK ERGVIEKEDRRVDETLQKEVKRQSLSLATIYRENESLKSEVVELRQKKKESDSNERAM RDVERKLRDEIRTLQEQLERSRRDMDSLTQSYPSSSSPEKTSDETLRIRLSTLSTLHN QTTEELAKKDSQIRELHERLTNLAQSSRSSIAELTKRSEEAERELRWAKEGRAFAERR EEVAKKEVERIRSSNSSFPMPGGVIPDQSAKVSELESLVELYRSELDKISRDSRETEQ RIASGMGLVKSQDLDEARDRISRLEQDIQSLESTISELTSANTRLDGEVNDLMRRVAS GEYNPSTERCLELKNNPANKIMAVRQQMLVDLKNENDELLEQIKQLDELVNQNHSATS KGEEGLNREGMVPRSSFDRLKKEKEDLERNHEKRLMRLKEIFTSKSKEFLEAVYSLLG WRIKFDESGSDIRLTSMYAPKGKSGLTLKFASQEGHFGTMQMTGMMARGLEESRHFWI VERQSVPGFLAQVTTEMFEKTTIGRAAGYVGLE I203_01813 MSTDNAHASVLTPSEALPENAVHVKGPDLSKPMVLQDLLKSYET IGFQATGLARAIQVVEEMRKQRSNPDEPLTLFLGYTSNLISSGLREIIRFLAQHKLID CLVTTAGGVEEDFIKCLGSTVLGEFHLDGAGLRKRGLNRIGNLLVPNSNYCAFEDWVV PILDQMVKEQEEVGTKWSPSSVIDRLGKEIDNEESVYYWCYKNNIPVFCPALTDGSLG DMIYFHTYKSSPLQLNIDIVADIRRLNDMSVKSKKAGMIILGGGVCKHQIANAMLFRN GADYAVYINTGQEYDGSDSGARPDEAVSWGKIRAGAESVKVYADATLVFPLVVAATFG KAHWEAQSQAEKKNSE I203_01814 MAGLGTGSKSAAIQIRAPSNLDDGGGSNNKGRDSPAAGEKKRET PQRICRNVMIYGYCKFQDSGCVYYHPPPGTDLNAPSTPLTGSPAMTNPTPLSKELTST TKSFSGLGAEHLSAPVFVPKTPIGENPSPRATTPSLQPSTLTQNASHLPPSMSVPPPS APAPSWPSLGNQGGILPRQDNSMSFEDSMISMDPSQTSALDGSMFMHHQSVRQPLNHH LYVSPLPHISNPPVSHHPLHSFFIPDDLRRTLQSKHDVTYQGLQAGSGPGLPSELGIY HSLRVIENTNIPQQQNQNTSQNQNQPSKVYIHPAPVYKAVSSVDGNVYTLRRIEGYKL VNENAFGAIDTWRRMRHPNIVGLREAFTTKAFNDNSLILVYDYHPLSTTIWEEHLIPN QLQPNQSGGTGGGRGRTGLPIQERVLWSYITQIANALKAIHSSGLAARNLDPSKILIT NKNRIRLNGCGVWDVLAHDPNTHVGVFQQEDLVSFGKLIISLCCDFFQPGQHPALPLD HIQRNYSPDVKTLVMFLISKPSPMKSVDEAIKIMGPRILNELDAMQNYADTLESDLGA EMENGRIVRLLSKLGFINERAEFELDPRWSDTGDRYILKLFRDYVFHSVGVDGKPILD LSHVLTCLNKLDAGLDERIMLVSRDDQSCLVVSYREIKHCIEAAFNELRNAGNPLRIH R I203_01815 MAPVLTEEESALDPYLILEISSDATDKDIQRAYRKKSLKCHPDR NPSPEAAIQFRQISVSLEILLNPNKRNYVDTKLENDRKKKERYAEMDKKRKVMVDALN AREEEAKRAKVVQQEKRRQEAEEEAIKDAGRRMLEEAQKRAMAAASAANKPPVQPPQP KSDLNDNNQNRPEITSTDLTLILTFPSSSSSSASSTQLQNTLELKYGPIAHLILTDPP ANKKKKGKKAVVEFQKGNWGGCWACWKDHSNTTGPGAGVEDGVKVKWVKGEIPEWVEW AERQNPKQSHTQTQTNGDNHISSASAAVAGTTFSFDSAPDISNGGTGGFTMADLLSAH AKNKEQKVKDNQFESMTLLRMRQLERERLEAKIREEEGEH I203_01816 MASSSSAGRQATRIPGPVETSIQQKIIEAFQPILLRVYNDSSKH SHHAAMRAQGGGSGETHFAIHLVSPSFKGKTSIARHRMVNALLKDEFDNKGLHALSLR LKTPEEWEKEGGGEMRSE I203_01817 MSDPTNPSHPEGEIHPIPLNPSNAEPSHPLDPALPPISTQVTQQ DEVVENVQSIPTTPKVHIKVLIISGQSKVLSFEPELTVGRIKELIWSSWPSEWTDPAQ PPSPSYLRILHAGRILQDDSTLASNNLPVSETSSLPTVIHISVRSFSIRADDDPKKSH GLGRTTSAARSHRGEDDVGGCKCNIM I203_01818 MSLLSEGMNEVPYHRYAYGNHAESERLYFLASIGPRYDKRYADA NLDMSQLPKACETWVLKSKSDGRIKSVMFFLNPRNTYSDKSVASSLFTASALTVAKLP EVDLGNTKTFTDGEVKSTCRLINVIGGRKHKYPIWLYTTDGTTEFYEKAKLRENSRKE VNTESEAVPLVTMTYLSEGWEDVADVKP I203_01819 MYDAATWEEAISNALTKVNQGSAIKYERVKNFTKENSEEILSLL SDSYKDIPYHKYTFESSPKARRSYLLAGLGKRVDPDVCERDDTNESLSQGRLTTLSAS ITHSSPISGTANSPITYSSQSSRDDVSAYFEKMFEELPYNVLDWISDVENPAQEVIQS TYDFDKSQAIDVCFMATREESRSHGYGTELLSVITDVAQRMDVPVMLLSATEEAVRFY KKSGFFVNREETGEGKGTLPFEGQEDAHLFALSYIPDGLTGGTDGDCEQSATTDFTAN SSQ I203_01820 MPTEIVAPSPESSCSFVLTTAAFFQFLLPLRRGPQAQTRRSYCF VLLSGFPGVGKKTVAQSLLRSFPEARLIDNHLLIDATAAVLDREDEAYQPLRKAFRSA LFSTLSSYPSSIPPILLFTECQSTKSGAQVMNECLEFASSTQSRLISIILECSMAENV KRLIAEDRMKAGSTKLTDIELLKYMKDNYSIHRYGDRADKDWVIDTSGESVEDVVNDI RMKLISVTDTT I203_01822 MATMRDVGKGLIDPRYCCCAVPLVNAGIYIILSEQAIIALAIGI IVFATPDVVGASFPDIGGIIFAIICFVIAAVQPEKTSTFKVYTLLNTIAILAGLICAA ALIIVSAIQHNTAVSACEAKFFSDSNSTSSSANQTLAAEGEALCSAFAWADVGIMGAL WVILLLVQGYFIYLTRTYSTSQVSDHKLYHSVYSENPEAFTMSILRSTRYNPGSVYNN MPPSTGPNADAWDARPSMDSVRDEQAGPAGHGYGHQREYSDATVRPVDEGRYDDAAYG NEQYDYSDYNHNNHHDQRPMSEGQDQYPFSAPGGGYVDHPAEAMHNREGITPTINQYS EGQNVGYPSAGGANGGLRRPDEIQSHPGGH I203_01823 MGVRGLESFIRENRTSMTKTISLPTIDDSTSSSLTDSSNDDGRI PIIVDAWGVIFKLYLDTLPWTSGGEYLRYYKLIKRLVNAWRSVGLEPTFVFDGAAPPE KHQTILSRASEKLLSCQLFYTTSIPSRSSPSFSKNKVVLPFFASHTFTYALHRLGVKT HFVPTGEADGVCVSMAEKVGGYVFGRDSDFVILMGRTERVRGYVPIDMLNWIEGPSNN NTDQPPGARANGSEQDSFRPVHNGRRNMNGPTSNFMNKQSSLIPSSTLKNPTLVITYI PSQALRHRLRIPSTHLPLFASLCGTDYIPPSIAVQLYENHLTSFQKIEKAARILREQL YSPSNNATSNGKRKSDNPGDQVVELVRRVIKKLCVYPFDTQQSLDETVDLIIEAALQY SLPSNVDDCCATYPFCGELDLTLGCQTPISLGVEAEDEPDSLKKEEREVSGKEEYSKA QKMGLVGTITHGWLYPDRMYQWQVLEDLSGPCLKASDGAKGIRRKAWMIADEGLGGLR FSRNEVVDDKMDLDNVGTQAQEEKELRDLLGVPSSETYSEIEGVASDDTTLVEQVAPT FTRPIREMTEYLRQGSTAKIIASPLTLPPVQPLFPGELPICLKPIEERLKIYLESLHS NTEGIRSLPVSLQPLVSFVRFCVIDASRRSTGKTDHNKWRREEVVAVLRAMIGTYTLW KRELHSEELPKDKAKGEGRNEEEGGRLYPMLETRSANLIAQLGSVWSDVQLLAQALLL LPESINPTSNRSHHPASPEDNGSEIGTTHLIPFIFFSGVTLHSLLAKIEPTQNDWKWT EEEERVFESCWNALIDGLEEYGECIVGLNNPHHKIVSAEIEPQSMNGNFRQEEIEGDG RAKKHKRKKRTKSPLGVNANVGRFGVLEGMLE I203_01824 MPAYHSVFNDDTSVRQVGNMALLPINTKIRGPAPLAADSSQPDI IEESLDLFRANCLFRNFEIKGKSFILPTDRLMIYLILFISECLTKLAPSPGKPSPGYQ EALKLLQTQAVDTFALPGDAGFPLNSLYHPPASRVDADALRSYLTQTRQELAIRLVDR LYPHEQVLGSNGQPTGQVGGRANKPSKWWMSFQKRRFMGRSLSA I203_01825 MSSTSSTRPSITAIVAATAQNGIGLNGGLPWRLPGEMKYFARVT TGENPSEAPEQQNTVIMGRKTWESIPSKFRPLKGRRNLVISSKGVDVSNSPNTTSHTS LPSALSSLSSKSSRTFLIGGSQLYTACLTSSPPMVDRVLLTRILSPDYQCDAFLEDFT SHSNSENGKKVWKKSTHEELQDWLGFEVDEENEEKGTKYRYEMWVLDQ I203_01826 MKRSYPPSTSTSTSNSSASTTLRRHLHSNSNYQQDNGNGEAYEI DLSDPKPWESNRNPRLYGSRRDVTHPTSYIDKLDSPTGTASGDLPLYLHEEMETRRRH TPQPPLPPQLEKEVSSADSRGEKDQLLFDANAEVDDQGKWQKGHGAGPGVGGRRGLPP RQRIGGWRGIMIEHEEIIWTAVYTILSMITRYWRIGAANYVVWDEAHFGKFGTHYINR DFYFDVHPPLGKMLVGLAGLLSGYGGGFEFKSGVEYPADVPYTSMRVILASFGVALVP LAWMTVGELGWSRWTRHWVTICVLCDIGWLCISRFILLDSMLLFFTFTTTLGLVKFHN QRHDPFGDDWWAWLVFTGWSIGCVCSVKWVGMFITALVGLYTIEDLWEKFGDLSMPIR TYIQHWIARISCLMVLPFIVYATCFKIHFMVLNRSGPGDAQMSSLFQAHLKGNDFAES PLEVAYGSKLTLKNYGYGGGLLHSHVQTYPVGSLQQQVTCYHYKDDNNNWIITPQWSD DPVDPDGPIRYLHDGDTIRLLHSSTGRNLHSHPIAAPITKEAHEVAGYGNETVGDEND LWIVEVVDDTSRGSFKAGEENKIHALTTRMRFRHQQLNCYLRAANAVLPQWGFKQVEV TCTKENNKKDKHTYWNVESHWNERLPPGNAKLYKSPFWRDFVHLNVAMWTSNNALVPD PDKEDILASKPFDWPFLHLGLRMCGWGDNQIKFYLLGTPIVWYFSTISLGLGLLLAFY YAARAQRQYKDWRSQEEWDHWLYVGKVAFGGWALHFFPFLIMGRVTYLHHYLPTLYFA VLMAGHVLDHFFFGGTRRTSFKKGVWFGIWAGAVILSFWWFKDLALGIHGPVNDHWGW LWRLSWNVSSLHI I203_01827 MAARPTVTVWSASGESSGSVPLPAVFTAPIRLDVVQQVHKSIAK NRRQPYAVAENAGHQTSAESWGTGRAVARIPRVGGGGTQRSGQAAFGNMCRGGRMFAP TKTWRKWHVKVNQNQRRYAVASALAASALPSLVLARGHRIEQIQEVPLVVSSSLESVT KTKEAVELLKATAAYPDIAKVSNSRKLRAGKGKMRNRRYRQRRGPLVVYAKDEGLTRA FKNVPGVETCPVESLNLLQLAPGGHVGRFIIWTEAAIAALDSVYEKKSGFNLPTAKIT SSDVTRLINSDEIQSVVRPAGPAVQKRPFTQKKNPLRNKAILFRLNPYAKSLRRHELL RQEHDKKGSVKKTAPGAAGKEFLEILHSA I203_01828 MSNRSGTPPKRRISEAAAESSTPPPKSSKMSASAPIFNPSRPIN SITSFHDVAQSMFKKEQEVGDGAEDVEGNIPIGETSNRITKPLPSSSSGTGRKGVQQT RADFVPKQATVPKTLEAKENTRRLIVVLSQACLEAYRVSSGSGGKNSSGKEAKYALLN CDDHQGILAKTGRDIADARPDITHQCLLTLLDSPLNKAGLLQVYIHTARGVLIEVNPS VRIPRTFKRFSGLMVQLLHKLSIRGVNGSEKLLRVIKNPITDHLPTNTIKLTLSADAP TIRLSQFLPTLPETHSVCVFVGAMARGADNFADQFVDQKISISDYSLSASVACGKFCC AMEEMWNII I203_01829 MNSQSSSVETSSTESSSITDDTHILRHIIVPTVPSNKWLFDGHP EAEECSMLSILGSQYDVNSFGAQPKGSSYDSAKSQAGVDIWTSSGSDGEIKSIMAYKL PKKVYESEDLRGTLGQEIWSYLPEYKRDWLGSEYDKSWDELEASLNVKKENSIEYFLH TDPLSRSQGHGTKLVQKIKEMSEESGIPVWLAAHNDGARRFYNDKAGFEQGSTVQFPL DNGKSTGITAFTYIPTTYNPSEDTANDGPIEEPLSPEDISLMPEFEH I203_01830 MEVSLNTETRPLHSCRDTVMLSNPPATDAAHILREMTIPIKDEA RRILSIITQSYQSIPSNKWLYDGEPEAERCPLLYFFGPQYDDTIESHSENDGSGSQAG SEIWTSSDPDGEIQSVMACKLPHKVYEAQGIRNVYAERLWGILPEDKVNWLRDESGNA SAELRATLEFKHEDSVELQFLFTDPSSRSQGHGTKLIEKMKELSERNGLPFWLSAHND GTRSFYELKGGLKRGSTVELPFGHGKSSDLTAFTYIPDQLKS I203_01831 MSSNEFQISKTLGSSEGDRVLSILQTAGQDIPSHKYLFEGLPET VENAFFQMVLGPFTDRFLGSSAHSGSEFWTSKRNGEIQSIMAFMYPQNSKSYDHQAFQ GERAQYLWSSLPDRKKSWMMSESAPKCSLLESTLGFPTEEAIEIKLLATDPEARRQGH AKALLTELKAESRNSRTPIWLIAHNQEAVQMYRALDFQETGSVDVDIEGRTATITAFT YNPATQ I203_01832 MQQQPPQPGLDDWQNQQNDGSLTGWYPGNEYDNDWNQMQQQQHQ QTNPLQFPPHPSNVIYQQNYGEMISDNNEPRRMSGVPSSLGDKSSVSPDGGGGGGSET SGSTRFPNLNAPPSSSSTGDLNGMMAEGSQQGQSSSQRSGTSYSGASGIPSSEHIAPS GTSLRRGMACKFCRRRKLRCSGERPACSSCVKYKQECEYQPPAKISKVQSLESRVAEI SDYLTQGTAANLTPAFSSQPLAYDENGLPQPVYGESYQNDPQSQYQYLSYTLPVSGDD NNPSTFTPNVNMNGQPYNPYVPTPPQPAFQTAFSQSNQYAVYPTYTDNAQYVPGPSNS SPATAPLSANPSVQTPQQFQQGYKSVSSSPPIPGSGFDQGIRDEILNPKFTYPSEPAQ QLPQSRQPVSQLVPSQGQPQNQYSTKNAFMTAQPLVQRSSINSESSTTSTTQNVLTPS GSAGVSTPRSTSIHAPPGSQTMYAEVANLRYTAEIAESDPVDKLTERLGEFLFSPANE SGKEAVEDNADGNAPDSGSKKRRTGKTQSGQWSNGADQRSGGPDRTSLFRSRVETDSL RDEHRKKLLDTFLGHCRLFFEMSIPRFWFRMTFHDRRRPSLALLNAMYLWATRMTASP NLVPMEAHFFAEACRHLDSAGPNSDRLIDAVKAAMLLSAYSYTNGRHHEGWLIAGLAV RLVTSTGIHQIPSLTFRPAHRDNPFLRNRVHLLPPPEDAVELAERVHAFWCVFAIEKS GAFATGFPSSLRDEDIATPFGRPLDEIASQSVTLQDDVTVRDLFKGTAHPHPDGDSPY IRWIKAVAILERASKLAFLTPTDDSEYSQAWHSYATALSNGSPQPNPPPGWLNQPKYR NSKDYDDCLRALNQYIKSLGVDGVSPVERRQLAQQEGSIEPVIRSHTILLHHQIYASE MLMHDINSLDTENDIAVAAGRKSANLIKGLPPIPSQEVDAQVILVWCMIIKLLIKELR RCTKIGDYSASRSIEDDIDIIIKEMSRVGHTMHIARIQSKAMDDFKKAALAG I203_01833 MASPTTHSRTDHHVSSSPFAPHPPLTSVLSHTTSLSRNRSTRQS RPRSASSLSEDPEIPRPARPPSPPAPEANAPPPAEEVTRLRLVAHYAGLVLASILGCL IRLGLYGLGTYDGTVIYPLIWSQAVGSGIMGLSLARKNEIISIYPPIYTFLTTGIAGS VTTFASWMLDGYLAFSNFHKYDRKGLHDTVDGVIYSLSTFAIAIAFLRFGEHFSKILP SLSLFRRPSKAPLPDRLPAQTQARSSNLNSNEEDSSSPSPSSGSNADPEKTSSPSSSP LKPLSQTPLLDILWISTAFFSYLIILLLYFLAKPSWRHNVTFPLLVSPPGTIIRFYFS RFNTRPKFIDRFPLGTFIVNFSASIIISICFALQRLPLSQTQGIKCNALNSIQQGFCG CLSTVSTFVVEARTIKSPIHKWIYIGGSVILGHLMVLAIVGGIGWDYGYVDVCKG I203_01834 MRFALEDSSDDESISSLDYSISSDDELDLTPSRNPRSTLGRNIL SAYETSEDELNSEDEVNLGLDDEWDIVKAKAREGPSWREKKEKSVKKDVKGKGVARSK ISSTLSYKVLTPKKNIPISRKAEDLTDEREDQYDLWLNTADQEAWRDGQKQATERRGE IRNIASNARARLQSQEQDRLAKEAEELRMMMEGMTMKLHKEGEELERQFKEREKKLWD DIDAAIKEVERREAELAAATMAAVQRQKAEEEARRAQAEKLALAHKAEEDRKAREQAE KEKQIQSAKEEEERKQLAEKEHEETKAKVAAEKDRAGGEWRKWVEKQKWMKINVIEPV KGDRSTKTTLRAGMRLMTRGLGQVVNTQESIVRVTNDLHAILTEQLPSPPSPASPVIL NDDTSKPYAYLLSHLSKALIKQAESEVNAKADAAFPLARIVFGLILRGHAALGEVLFA RFVKKCPWVVPYYPSRQTSQSREEYEKSTGRGSDESLAEYISRMAGICTLYFAILQTP LSSLIPTTSVQPPTPSQLESLIIPPLRFPSAWKWLALALKDTFPVLPPVAHLISTWID ITAHEAVNRYGKGQMSKIWEAIEREGLGEGKIKGDSEAARQRLKLVLEKWRRGEVEVP KGRVWE I203_01835 MTVTRSRKPTLLFITSPEAGQANCHFAVISSLKAKHGDGVDIDL ASYINLEKRIANQSGSEDEFTSHRIKGISLTDGLMRRFSNDEPKTFGYARTPSGLYGS TLSAIRTTSIVQPDTPDEYVETARDVERIIQDVNPDYILVDSLMSSARDAIRKSGKKA ILLTPNTAKEAALSEQGLGVSAIPAVCTAYKFPIPWYLLPANTFITLFFAIWLFLIDG KHRKLNKARNQAGYEGVLPLFDRDSFSETSVICMSTVGAELPLIIPDWVRCCGPIIQK SERLQEVDPKLYDWCNLRATVLVSLGTNLKYSEKDANEMLRSLRVLLGIRKDIQVLWK LKKLGEYQLPESDTDGDGDRLRIVDWLEADPFSILQSGNVVCFVSHGGSNSYHEALYA GIPQVILPAWADCYDFTARSRYLGIGAWGNPKACPGCSEPELTKALLQVIGRTPEDVA AKTMRERARELGRLVTDNYTREGRDVAADHIWEEMERARREG I203_01836 MSRTTSFKLVLLGESAVGKSSLVLRFVRNEFSDFRESTIAAFLT QTVSLDENTSIKFEIWDTAGQERYKSLAPIYFRNSNAAVIVYDITQASFEKAKSWVRE LQRQADPSIVIMLVGNKLDMESQRKTPREMGERFAQEEGLLFAEASAKSGDGVEQLFM EIAKKLPLAPTPQRTSQSGPRGVKVAGEQEESSTPSACTC I203_01837 MLFKITVLGDGGVGKTALTVQFTMSSFVETYDPTIEDCYRKQWV VDDQPCLLEVLDTAGQEEYTALRDQWIRDGEGFLIVYSITSRATFERIERIVERVLRV KDENHISPYSHHYPQSHPYGSHAGQGGQYQYSQSGGGGGSKRIPIVIVGNKKDQYHSR EVSTDEGSNLARSLGCDFYEASAKTNSNVENAFKSLVRQIKINKRGGGAGQGGGLQDS GMGSGARKKKQKCVIL I203_01838 MATQYSTGRGGAGNLMKSPTRGQDLDAQPGAERGRELSPHPIDE KIIHSGRGGAGNIHRSTSRSRTREQEKKDVEEALLQEKLVAERRGRQAFEGGFSTGRG GAGNIERSKSRSRSAIRGGSASVAGKDDSTSLAPTVSHKTAVHGTGRGGFGNIQEERD RGDASSLDLEKEEARKKYEQDVIARHQADEANQPYTSGKGGAGNFHSHDANHPDLANL SLEERDAHARLHAQDGKYYVNTGRGGAGNMIPPHKEHSPAPDGDRGRGRSADGNKHGV LGNVLRSISRATGREKSADGGRKD I203_01839 MVNATYVETSQDVLYYADDGTPYIYNLGDMAWILASTALVWLMI PGVGLFYSGLLRRKNALSMIFLSVAGVAVGSFQWFFWGYSLAFSDTGSKFIGDLRYFC LKGVLAEPSAGSSRIPALLFCVYQCMFCLITGVLAIGGFAERSKIGPVMVFLFCWLTL VYCPIANWTWNPNGWSFIMGGLDFAGGTPVHISSGTASLAIAIYLGKRRGYGTERLAY KPHNTAFVILGTVFLWFGWFGFNGGSALSANLRAVQACIVTNLAASVGGITWMLLDWR LERKFSAVGFCSGAISGLVGITPAAGFVGSPAAVAIGFVAGVACNFATKLKFLVGVDE TLDVFASHGIGGMVGCVMTGLFAQASVAGFDGITEIPGGWFDHNYIQLGYQIADMVSG FAYSLVMTTIICWILHFIPGLRLRASEEAEIVGIDDAYLGEFAYDYVGTDPELRLHRI DSRPQLTSGDVIAEAPHSPDGNSSHEKVPHGAVGNAAAGGGRVDV I203_01840 MVALNLTSLGRTSGKKIISFEDPSPPGLPHKPTHFPQTPYLQSK LDDNSSIKRDPFARNDVLQHSSIYNTFTTNSPTLNNNTKNEQRDINYTGGGHRHKRNE SDFSLDRQVVDIVADYVKPESDKELSSSHTTTRKPQYREHKNSDFLSASAPLSPVLSE RPTPSRASSFNDQSSAPPSPLIAPSILSQGSTSTNLHQSKPTNMRGSNIRRGGSKTST KTSVPHGPGMLEKMEELDELKGLRNDSMPHILSSFDRTFNQSVTSVGSRTKADKMLGI DSNAKLASLYLVSGLAKSTAQWSFADSDSSRGVQPLEDSMGLFWRPEMLGSSFSGEKT EESSRARKDSKSSTFTNGLSKDIRGKYVPDAGPDGAQRLVSKSIKFAHPRDVEVVNST LSPPTTCHAFTFTIPRHDTLAAIARTRLDSALSGAPNTLSMLSAIDEQGNLDPSLHLQ ARGNPNVRNSNSASATELTFHGVTLTVWTHADRDRAVQLKTIKMRAERAKLGQGSLNS VNPLAQQQRKGSTAPSEGKKGGTKRGSLQYMMGRNQSEGDITGTSETETGMSDSDLEG PLGRRAMIRNTMNSDRLSTVDSVPEDVAAAYDEASDIFWMPYAITLVSRFPIYDFLQD YLRLSWARFSKNAKIHMTQINRLLNYDPPRPGEAFKLPVGEKSEDEVVVEGHMPGGLM DFDKGLMKVDFQLWPLFQAVELDHIITAAEVALSNSGRIIFCSKHPAMINVAVSTLKY IVELRGWDDITMPMIHARDTTFVIEDPGPYIIGMPTECRYLLVPPPEAVIVDIDTNSL SCKSPPFGVITPRPKREKIKQKLLSALGHSYPMDRSIPMEFKVSYPKGNFRNFNRFTY KGERPHYLGERLKAPSWWRHEAIISVFDKILADKHKKPTLIQRLMKSGMARSQAQLSV GEQLAKAMMRRRALHYVETRDDLELKVAKINKRLLKLIQEGDHWKKQFEMFEKYADRL TIEANELKTKIERERREAKRLSNLANEQTKQNVELEEKLKNTEDARAEAMRQLSDMHQ SIQELEREREEIMNCLEAQINGALAGLPSSLNPLGGAGSDTSSRPGTPNTNVNEASSI RSFSKGSTRSRPATANSMLSTQSKPMSVLGQVKGIGNDSKLNKRASVVTGATVETETE RGLGFGLPTGSLSGNDLIAHRVASIQAKLELALNVVSSQRSSSVMTSSSVPTDSEVDF ESGNETDTEGNITITGTRKYQFKDTEEEEEDAEIPDKDNNKTPTASRRPSNADLPIAT TTTKDKEVVPPLPAPSNRKSGGSEEFLSADESFPNSAPAKDIKPRSPVVGRTKNTSSS APAPTPIIMKPKSRTPAKNSPKKKVNGTRNFTPPSPAADDSDASDITAHPQTQKKVLN GKKGCNYDDEEEAFDKDGKRLSAMSSTTIAFGQAV I203_01841 MSFRAAFLPTLRGTLPGPSAQVTRARSSQLVTKRFSTATHAHGR THGHSHSHGHAESTPRQRSGPGAFRRWATRFALALAFPAVYVAGAAFPPQLVLFIFPR YAPPPPHKDSPRGQSHTSDMESCIHELNIVEDMRKKVGEGEWYETRPYQNYDPNKVHN SLTAGSLRGPGMLAIAPVLFAKTDESEAIAVIHLGRALCGHDGIIHGGLLATVFDETL ARNALLNLPSNIGVTANLNINYRSPCMADQFVIVHTKLESIKGRKSVVSGYMETTNGE RIADATGLFIEPKWAQFLASSGVTEAMGTRKIPDPSKSPMLLDDQTEHII I203_01842 MCHESPTAQFLGALATILGDAFLIWHLWKYDKFRCMIFNKRSAF RFIIVWMFIISALLFTAWNVILVWVKYTEYYAVVPISEHESEIMPVPFQLWEKSKQDY VRFSYQLLCVGWGIVLAIHSEETLYWAYLINAIRKRDNRHWFRSIWFKLWVLISVTVI TVIPAIGNLETTNLIKMEANIFMAGSVLACLLFFMSLWLWLVFPGFVNESRRQGANAD VMARLEYFKEMNGVRTLFRFLYVFCILTLSIDGQTKHKHVNTTPFWLDLFFVCGLFFV FTSNGLSLMMLLPRNSHLEDPSKTANVFIRQARPSHRRDMRILPSSSTIGSHKDDDRD IYHRDIETAQRRSNPWGALGERLNIEKTRLSGLDEVESGDAVAIALESDMHRERNRTM DSLNSRGDAPFAPTGGERMLRPDEGLDESPEVLSNFRSPADLARPVRPTEVNIVVTTS QVIEKA I203_01843 MVKKKGTGAAGDRGASIGPNPSPDQDIKSPDSSSRIKKKNKSNT INDTTHTAKSKILNSNNFMMLNALVAFGILSLAVYAQKDKEGIRGLMKRNNTGSGSSG APRYAQVIRPSSFAVLDQVPSPVDHNYTTLFYPPGTHEDSLKEKPFLIFDDEFYDIIG SDPTLTVIADGGTNPLFHEATVWYPPTDEVFFVQNAGAPAAGTGLNKSAIVQKISLSQ AQNVSASNNGGSVDVITVNTSVPVINPNGATNFRGKIVFTGEGQGDNVPPALYLVDPS EPHDTTVILNNYYGRQFNSLNDVAVNPRNKQLYFTDVTYGYLQDFRPAPVLPNQVYRF NVDTGALGVAADGFNLPNGITFSPDGKYAYVADTGANAGFWGWNYTNPSTLYRFDVND DGTLDNRNTFAYIDAGVPDGVHCDSEGNVYAGVGDGISVWNPAGTLLGKIWLGTTSAN FQFAGKGRMVICAETKLYYVTLGAEGADITSSRYSG I203_01844 MRPSALLAVLPLLATTPLILARPTYDDVPQVRERKSLSFGPVHK HHSFQVIDEPPVAVSALLNEPVDYKDVASTFIAKKVGPEGEAFYIREDSYTDASTGVT RIFAKQLVNGLEVSDGDLNINIDSNGRVLSWGNLFHPGELPNIHDALEGTSGETERTC QILQDTYDAHLDHLSGLKGEEGAWGLVKSAAQVILGGSYSSKDQSTDEHAVKKIHKSM RNVRHHQKALCQQPIRETSSGILSPVEGLLTLLPRIHASNDDFQGVSVMDLTSIPKHN LKPKDAPAEPPTEIISGPGLDKSGVISDVPARLMYTQVSEGAPRLVWNYVVEMKDSWY EAYVDVKTGELLRIVDWATDFDFEPYNTQGHKEVEVKKGGHQKPLPNPHKYEPYSYQV FPWGVNDPSVGNLTVVTKPWDNVASPLGWHKFPSSANPYETPIDGMHVHTNYTVFKTT AGNNVYAHEDWEGRNNFLHNYRPIANDTIFVYDYLEPEGVRPKDYVEMAVTQLFYTSN MYHDLLHRLGFDELSGNFQVYNFEKGGKGGDPVICNAQDGSGYNNANFMTPPDGEAPR MRMYVWDTATPYRDGDLESAIVIHEYSHGLSTRLTGGPANSGCLGWGEAGGMGEGWGD YYATSIRQIEEHKNFKNNSDVYPMGAWAANSAGGIRHYPYTTDMDVNPSTYKFLNKGN YWGVHAIGEVWAAILHHVSQRLVEKHGFGETLFPPEDPSKPNDYYTKTSSESVDAAGR PKPLIPKHGNTLLAQLVLDGMKIQPCRPTFFDARDAIIQADQIRTGGDNYCDLWSAFA ERGLGEDARLDGSTPWGGGIRVDGFKLPKKCRKSHFE I203_01845 MSFTLSPTSISNFSPTPSTPSRFPSPAPAPSPSSPSSQNGLLIP TDRPLEELETKWLRKLGNLKVEKEVRLQGYALYSLRSWFLSRTHFSHTIVTQTGKPTE QISVYLLVPAPTLSQQEGAFEIINAIRFLAAETQSTARRTEYGTLLVTTPAAFGQEVN PVPGGDFRVAKPYITVNTGLRRLGCGGRAFIGMESPIPALRRKFHELYRIPQPAHSTV PLSRNTSPTTSPTNKQTLSTSPLSESLSQNYDITSDPFTFLVIELVKLIQASLALWGL YGHIRGDMEIDGLFCDETKAGIFRWRRIMGMEHEESLKLEKETSGGCIDPKTLAALLS SVTSVHYQLDALDVEKLPKDPFTSIKRFLNTWAAYQTCTATSLDMPHYLTIHSIRSLA QHYLADRTRHPGDALKVHKLLFNGVAQATSSISANLKGGTTEDTPIRKREHHLRFKGD DENDGEGVGLIIPEGEVGPVAPPDVITTDLEAYIKGILRSREKDWDIMGARRVAELWN GTVAEGLENDKPKRKGRMRSMNSSTGSMRDPNRGVLKKRTQSRDFHSYGSNGGIKEDD EGDNIKDAIKEISGRAGQAIKGGFGLVSRRGTTYDTSDSETGGPGPTTLKSAIMRKRQ STVPLLIEPIARLVTRCTNTPLASPSPSTSTRPLDRSWNTSSRPTFLTANTRAQSKRP SIITQISGNESDIWSRASLAAGLSPTDERSDVEFSNRNSSVGGHSRTPSGQLASNGNT RPAIIRTPSDRALAWRNRGRATAMLRTASDGADVCIEETGMEWEVLNPHGTGKRSGED LGEKLLDLTRRHSLEQVDFYKDMRRVESEHLQIDVEMCAVVLDLRERERQLAQRAKDV KLLEESVFQTLSQFITALESRRSYVDQLSSTSSAVRTQIEALEVDDEHDHENSPRDRF HYYLSEETHRPELLDDLSRLKEMWEGIRKEQEEKRKIAESDDSLNKFGIGRKGKGRWW SWF I203_01846 MSFGRPGFADTFKVSPPQRGSFPLDHDGECKEFMLSYLKCLKLH SNDNGQCRLQSKKYLECRMDHGLMTRDDFSNLGLGDVDNPNPTAPKPEATTSIPSSSS PSSSQNTPSSSTQQERI I203_01847 MSHIPPPPSFLPAPPKFNAFADSNNNNNQAYHPQAGGSGSVSGS GVNDNYGGGQQRDMYADRNNNNNSNRRKGRGGGGGGVGGRRGGGGGGGRGGDRERSPD RGGRRRHVETRSVEDRIQAERVCRTLFVRNVSYEADSDALQHSFSTYGEVKTWYDRIK ERGIIFVTYFDLRAAQRARDGMHSLKAGDRPIDVHYSLPRDKDLIGDCDREKNQGSIL VFVHPPRVINEYELGRMCEQFGDVKTIKPGREPAEKIVEYYDSRGSALFYDKMSNQPF QGGTLELKFIWDEKEDALPPPPITEKTSTNHPYRSGEGPGYGEVRGGRHNQPPPPPLQ GARDPRARSPIRGSDRRSSYGSNPPGPRRYGDGPPSIPPGDDRLEQARKVQQLLANLG GPNPSGPPAPPPQGGFPARGPMPSLPSTMNGPPPYPPRDTGYTPGPGPPPPSGNYRPV PPPSISQGGNNSYPPYPPPPQQSYSNSNPAPSPYPSSGPPGNGYNPLPPPPSQNPYNV PPPIQGSYGNPPPPPAIQSPYNPSTPAPQNYPPYPPASNAGGADPPPPGQGSGQGHRY GQSSGGYGGYPIPPAPNPNPGGSVPGQAKDVGSLLAMLVSVLFKTEVDRMLIYHDV I203_01848 MSRNLLIYLFALSSLSSSSLAFRHGQNQLRDLYAYPKYEIQFLN DLPLSKSDAERCQSLGLDGEGDWLEAKAGDGRRRLGDGNEVGKDHLELIPMNFAHPSE PSGTPYPYLCLMPSTNTTTSQTDLIDKLDQVEEVEDELDPVQGWQALSHLDGKCLFTK QGWFTYSYCHNSHIRQFHSAPHPHPHPPGGLVPTEDTHFDAYTLGQVSPGARQRFAAG QHQPQPVSNAGGEAKQKDLQIPNSSAQKSNTLPSISIGSSSSSRSRYLLQKWSYGTRC DKTGKPREVEVQIHCSMTTSDMIYMIKEMSICQYVLIIHSPYLCGLPGFKNHNDHLDE IRPAPIRCRQVVDDQDWLEWEKENQQGQKQKQEQQGVLGLDDIEEKRQSQGQQLQLPY GKRPTGADTPPSEKDSRGQEIKHHFGLQGPNEKFPHGRLNENGDGDEEIEIVFEGSDV EDESLRNMLKQALDLLGKKTLLGKSNTADTNKQEEEEEEEEEIKGEQVIFYSWEEGDE DDEQGPILLNADLVVLDDNLEAEEEGEGEGEGVKEKARVQLGNNEKDVLEKVVRDFLS QKKDKDTKREKKDRNKDEL I203_01849 MSAKSSSPYSMLPTAHSTRWGLDGLVVILSTVAVAVSAPRFSAE NEMYTGHPGDVRGAVVVLGSGGLIGTSLICLLRLITRILPRIWAHYVDRLGFIYVALM WICWTSTTMSFTLFTIDSSLCQYTLSRLDNLPTCPILSFDLTLLHLLSILTFGQVLNH LSIALRSESDSDSSKVDNDRFVMWELAIDSPPSSPVLRPRTLRNTHGQSTLGSRGGYG STSTSAAAVIMERENDSTIEIDIIPQGPNVTPIDKQKRKDKFSRGRLWTYIPLNLCSL VVVCTSFASIKVGEFTSSGIFVLVTAFGGIFLSLGCLITHFSQKAIQPDEEGSSIFRD HSKLRKDRIFEVVLAGILFLIWPISAILYTLFPPTPYQPCSNPSASAAPSPGEDWNID PFPLCQLGKTVVSLSWIASWILLTRLMGLIFPVTLLDNHKPPRQGEGAGENEALLNDH SNALNIDAGGQTSRTSGSNTKSKTKKGWQRVTAGEEFELGSEDEDEDE I203_01850 MPSSEIQKDPKSTSNTPKRVIDYDPADPPCKLFSITQYQCTPLG GRVTCWPLERIFRQCGEGKPSIEVTNRLKSKRDEEALVVDPEFIENPPKARNWNDYRG A I203_01851 MASSSSSPTPYLTVSKSTPISSAEASSSTPPDVVFPPEMKKKKL VGWQHSAAGSVGGMAGAIVTSPFDVVKTRLQSDLFRHTASEPIKKAITATQKDAARSG VRGTLYQFVDTVYLIRRIGVEEGWKALYKGLGPSLVGIIPARAINFYFYPTSKAYLAK QFPNAPVEQAEQTSEDSPVIHLSAAVIAGIMTATGTNPIWVVKTRLQLSAKRKQNGLP PSSSIVPPSPASTSASPLPGPIAKSAAALAKTAPASGSAAVMPTAMPNAFSMTMDIIR KEGITGLYRGLSASYLGVSEGVIQWVLYERFKRLTSSTSFDSSNQSVLSYMGSIVGAS GGAKAVASLITYPHEVIRTRLRQPAINGIVKYTGLVQTLKLVIKEEGAASLYGGLTAH LFRVVPNAACMFLIYELVAGKLGS I203_01852 MSFLFHTTTPSYASEQLSSHLSSLSTLPISTPPSSLTATTATPA SSRKPDHELENALNELSSTKHVSKDKLIQVLQDLSKFHEQDGHVGTAQSEAEPAVDEE VEMEILGRAITIIWKEALQVLIDNALRLDEERSWWEASLNSRRGVGVYLLQTMPHRIY AALPPRSKLSRPELRSFKIPPRELLFKPLRTKTSLAITSITSPYNLTRREMLSSKNEL TQKRDELAEKIGILASQGPRWQTHINTSGVLDVKDEIERIFSTLSKVLDSPIPASLSS TKPLSISSTSPTLLNLLQDQIPQQRSSIDSILSVHSRPSPLTRLWFPLLFLPPTLYIV SSAIVQNKEWMKEQVKNAKETVRGFFVSWVWEPLEGIAKTLRGGGEGLGVAPTTVKSD QESLERMVLDLGRDYYHLSGPELDALSVKIRSGDMEEVLRVYEKELQSPVKNALLGSL IRTLLIQVQKTKTDLSLSLLSLDHLLRSQQLTFAFVGLAPSLLVLYGLGGWLRGVWRG EKRGKGRKKQYFNGLRSIERLLITSPKAVDDMSDRDRGLLIISVSNLRTFATGLPGSS REPFMDDLRMIENPSLKRGDKLRVVERIWRCWGVEGNRKV I203_01853 MNQHPFSLQIQQYQYPTEEYLPDISSSFFPTTPISPPAPHRRAP RPPVYSPRRNRARHINMKEPAQLGLFTVLEFEEDVHRMMEVEESRNLNILYSLPWAAP SSEIFPSPSPSPSPSHGRSSPINVPRDIDEDMEMDEKLNRLSWSSKGSLTSQATSSLT EGESEGFLISTPLLENEDPFGWSNIPLHDGSDSFEPDIEMTEDTIGGHLFNTQSQSRP TITLAESIKSGSTRRPPPLALNLSTRFLTPTTNRTPTSANMLSAISNASTVDSDLIIT PKTANTPGLLPALPILSSIEWASKVYSPTTPTKSKKQQNKRTKRKSPDDTCDLAMALE DLLTSCGEKFELNSSSSSSCSESESEFSGPVSVTNSSESDNENENENENGSGFESRSL RFPLPPIRSPKTPSPTRKSFIGNGRPLTPYAPKKDRRSSSITRGTRDSPLALKGDHSF LYSLSMGTDHCLREEKKYRSSGSSAGSSIGSDSSRKSLPGRKSLPMEWMKI I203_01854 MSTSPIGQHNNRSDTSTTHNSQTTGTAGMGSATEDNSVSCGTGT TRTCYVCHQTCSSVPIRKDSETSQNPMTKWLSDTLKSSANVLKSADHSNDREDQKEDM SRARSEVEEAAQGSTVDEGVCRPKVQVQFRRRNPHDPPRESQEDAGSDSRSS I203_01855 MNSFATSDNNNNHNGPPPDHEPTASTSIDLTGDDSGDDESTRVI NSKGESGIASSALTSSPSSDHNTRTHHNRITAGRSHGPFPSFTTSSSNPYHPPPQPSS SSSSSSPAFDSNGISYTGSNINMISPAPIAGPSNPYHNNGFGQYANPNIPPRQISSSS TVTGWPSNSGNAFINPSASSGQPQPQQIGVNGIPNTSGKDASSAIDLTSRNIPSPPPP SNDKRPICIGALWSQAIMLYPCPAVIVGAQSPPESREKYDVVNYKGAELLRVKLKHRA AGTPARKGEPNNLLIRDTIQVLTPGLTTFVGDLDAAAADPLGQLMQRGLVRLEGFVQR VQPEVHHFAVRINVLLFTLPSNIQYIANMLATLSLYLQDPVPPYDPSRHSEQPRYENA HGGGHFAAQMAIYAQRRAMMSGSGYGMVFADKEREKATQVEVQRKQVDEVFKSLDNGG ELEQSDPGPLIKTNLFPHQRRALTFFLQREQDSSSDDPRSLWEGTRDEKGKVRSWKNK ITNEEIRARKGERPDDSKGAILADDMGLGKTLSVVSLIAATRSSAHDWAKSKIEKIES TSAESEKDDSEVKSSFKTKVFGMPDIDAELTDGKGKKRKRDADISKALSVRRSRIAVK SKGTLLVCPMSTISNWEDQIKEHWNGPVEIVGGSAGVMPPKVIERKWKPPKADGDDSS DDELFEDFDVLKVYIYHGPSRRPDPQFISEFDVVITSYNTLANEFSKQSGNETETNTP SETAENSGEEPEMTGDASLNGHATKPEVEAEIKAMEVAARMKSTKKGKGKPKVVITET SPLQAIDWFRVVLDEAHYIKTASTVASQAACAVEADRRVALTGTPIQNKIEDVWALFK FLRVSPVDDKDVFTQYITSPCKYGEQIGVARLQLVMRCCTLRRTKDSTAEDGKKILNL PPRKEVQLWLELREDERKVYDERASAAKDRVGELKRKNELGKNYANMLQEVLRLRQIC NHVDLAMSGSVEEDYDGTIMDYQVAVQGIDRNGLNQARAVAVCCYLKEGAGALCAGCG VDFGDYFPDIGLGGVEEFATQDEKSKGKKFTSKPVLTKCLHLYCPGCFKSQVYSDYAK KLKSTVARACGTCNAMLRLPSDIIEVTPPDTETSNEVITDQPKRAARKKYVRPPGEKP NLSTKMQYLHDELLTLSKRNPNSPHYDPFAGDDEDGIEELDNEGKPLVTKSVVFSQWT TMLDRIADMLDEANIRYARLDGTMTREERSRAIDALKYKKQVEILLVSTRAGGVGLNL TVASRCYLVDPYWNPSVESQAIDRIHRMGQTRPVLAVKLMIKDSIEEKLDKIQKKKAN LAQLSLKNMTRKELMAQKVSLAGTTAEHL I203_01856 MSPYAHSEETPSVISSTPQSTHLATFSPQSPLLETPHLDQDKHP LGHSQLSQGDGVEGEDIEELLDIDHSTSFSYHNENAGEIYDTTSLTSNDIHQDSILSD LAAKQNESLSPFKARPAPSSTTRTGLGPRMTKSAALRAGLNWDEIKPKRTVEENIERE GGGGVSGTPGHKRVGLGITVPSLAQPSITPRPTKASQLRLKSDNTTTPSSPSFKSPSK ISRGHHRTFSVPVFNGATVASLNSPTILPRQNRTSALRAAGEKGNAGYRDYEKIQQEK AAQKVKEQIAIENKERAKREREERRKSLAIGLTSLDKPSVQVRQNRTSNLRAMGEKGT EGYRDYESIQLDKLAQKEKELQAIENREKAKKERIERRKTQALGGGLAALNEPDLVVR QNKTSAMRANGEKGNEGYRDYEKIQEEKRVKEEMERLAIENKQRAKMEREERRKTLSV MPSSLSKPVITPRPNKTSLLRTNSSKSISSLHSVRSPLSTSHSHSRPSTSHSLTRTIS ALKVSSADNLSLGESQPKPKPKPKLVGSGCGVKSLGKPSITPRLNRTALLRTPQSKTN SSSSRPTLPTSSSTPSIHRKINSISASTPKSSIDSVVGVSPRPTKASLLRANLGMSNK ISPPTPPTATSS I203_01857 MFRPSTRHNIPSSSTNPALEVKVNKRQSGIGANPAGPSTRYPYR LNFYETPPTLDITLEEFETFAISRLRVLSQIEALSHRSLPYAQVQSSITNYTKTHLNL SSNTARNVNLDEERRRDEIGHWVLRLSFCRSPDLRARFVRSEVALFKNRFETDDANER SSFLKSLQFDWQIVDENEKMRYEKELKLCMWEKKDEAFKNESWFKVPWHTVPDLVGSR KVYIRAGMAYVPQSLQISLVLQAFASRLEKALELTAKNLPRLDEDDRLGPVIDHLASS FLSGVASSDYQSSDSAAAQGVITAEMVDDVARKHFPPCMRNLYDRLKRDHHLKHFGRL QLGLFLKGIGLPLDEAIVFWRRMYGATMSDDKFNKEYKYNIRHSYGQEGKRTNYPPKS CQQILTQNQPGTQDSHGCPFRHFSPDNLSAFLSSTYPQIDRGSSDMKEILDSVKSTHY HVACTRVFELTHGIKKGEGLGGSESVAHPNKWTDRSRELEREVIDRIKKKEDSDEMVI DQ I203_01858 MTVQLPTDSSAPNGHCIPSSGVLQHIPSKFLEGLSEESLSCLRN LANYPTPPTTDFGLVKQAAVLVALFQKEGDDKLHVLLTTRAKTMRRHPSQTALPGGKV DPEDPDVIHTARREAFEEVGLPLDHPNIHHLTILEPVMTILPLNSHMKNHIVVTPVVC FISSPSILNDLIPNPDEVDAIFTHPLRGCLTGILDGTDSEGLVEVGGEWWPHEEEYHS TNDRIGTTGEYRMHRFRTTNSPIKGLTSDVLIHTSTIAYAQPTTYSRYALNQSPFPIL ISNVVRDLPFHIDKSVNSGPVEWGGTEGERFKSSETWAAGNAS I203_01859 MSYFISSISRRTHHVDMDEGRIALADQVTRSDNDLWISIVNVVI LCLTILGSGMILVSMFVLERIRGRPGTTRTRIVQALIVSDFLLGVVGLISSCLFLSGD GSAIAHGTISCDGLGFLLIAILWTEHGWTLILAFATFMILIYPLHWFTLWMEKRWYFL WAFVWVVSFAVAILGYELFGFYPSGGTCFYGANAGLYSELMQFIPRCVVCIIITVLYA RLYVFLKRPDKIRLPGSNSATGGPYETVSSTSNKDKGDFRERFGSLMSNGPFRRKRGS SGEVLSVLPEGQSNISSSSSTDPPPVIKEKEKEPTRRNSGFRRPSFSPTKEVPPWEKL ELPAFQVDGERFGGPSPSSIHSGSIWNGWRSGRKRSSTTTASTNVHSPSSRLNSISNS VKHQNQKEENNLRSTPPGLYVPRMPSIPSEDHIDPKDSNPNRDTTQTQSQSQHKREST DDTYIAKSSIASGSALAGGGEKKRKKSVQLPSSPKSTISSTEYGNGHGSGQSPKIDSR QRPSITISEGIELTPSELQVANQARQDESVPWQTNSSVQPLPKLTLPPRSDNPWSTLD SGVGSGGRRSAPTTPTFSQQKFQLTASSVPGTPGASGPPAQSSRRTSKNDGDRVRTDT QGDDTEDEDEWDLARMLAQPPPGSHTADDRFAPRQSTTNNGESFELVPESMSSYLNRK TALLMLWFPLGYLFLFSVSLIRLIYDFAGNPPIGLRAVSKWMILAQGVLDAIIYGVVE WHTKRVVRKKVRKGTFSPRNAGTPVGSKLGFNGLLKNIGSRVTGTASAGGGGGGGGGM QSKIDSGSGQEMKNPSRSQISSFHEQQPQTQSRNLNTSTGTGTGTGWNQEFSMGSMGS IREGMPTSPNGILMLEEQIHTIRVDDERRGSESPLGGASSPKI I203_01860 MDVSSPERRSDAEFQQILDSFVGEKETVLTGNLPDLLAGYEKDH DTKILEESDFVGVRQLCEQYPDLELGPTDLFAFLQAVLKRDTSTRPTSPPSPSPIPHS SSMPPSAFKAAQSSADQSASRRRRRHSDRIKSPSDSSSSSSSGEEDNTRPRSQRQTSA PPQSARAFPSNSNAAPAPPSGWQPIRKKTLSDPSRSDASISSPLSSRMRAAPPSAYGG FARPSPASRRRRGSSGAAGQSFEEDKDRKSPEPSFIPRSTSSASFTSRTSWQNRPTSP SSQIDEVDHTSFHARAKSPAKEDDDEPDHAEMETFVPSGQHDELEEEHEELDQIDMDI MGQGLLGTGGDALNPRLSRISTESTNSLRTSHDTVARLRKENTELLRKLKETEKSLAV QGAENERLYEDLQARLEEAQSEIAQRRKDEKDMKGKDRAQLIQISGFEADIMSLQRSL ENAKMNHANMQKMYNSQCDEAQRLRDMLRDRDDEIRSLEEDVQAHAADEEKFNREVQA LESEVKRLESDLSVARQAESHLDVQKQENLALKETIDRMRFDLDEARAQAANAAGSSG HRVQASGSSAGGTLSRNLGDELSRRLLDAQKLEEESDEGEVVETVVTTQRTRKKGTRT TGQAGTLSEEQPLIRIEEGIREYADAKAGPSRSDVHEHPPAYTAEPEPINKAELISQI HPKRPGEVVEYDADVDEEYAFLTNALGMRCTVIEEQMDAQRAEREKRGVLASPRSRNR SYWSEQRKQYKSGIVNYIFYNTDNSVRDQVGKVAMCVVAAFAVGLVAGSHIYATPSGI NPRDYQLFAQMNTLAGAAGVGEGFLPMGMLGVVEQGARMIAGNGRIPT I203_01861 MGRFTEYSVIGRTLPTETTPEPKLYRMRIFAPNEVVAKSRYWYY LRQLKKAKKANGEIVAINVIHEKKPLKVKNFAIWLRYDSRSGTHNMVKEFRALSRAEA VEAMYQDMAARHRARFRNVQILRVAEIEKKDDIRRPYIKQLLEPGLKFPLPHRRTKSK AWYAANRPSTWA I203_01862 MLKRLSYSLSGCDYDLYDQGGNILLRVRGSGLRPDYLNSYYDVE NKEIFRVWTPPPLHYRAEAFRPHTTKDPIWNIENGRSRSRDKSKLYLNFLDVAELVLD DGDDDDDEMREVAVARMRFEIKGNKQKWRVDISSNFDYSLVIAMAVSLTTLGYDALIQ VDP I203_01863 MIETRQQPDPWNGRMPTPPATPNTGNSDNGDSGYSTILLIFIPV MVVVLTVLLGLVVFLVAVLYMRRRKGIRLTEDGGPLDLSKSDGVIGEGGVEGVESRWL ETLDPDVKEAYKRAKDWQLQYPPASVPTDITLSQFLSIQEKGVSAWSFEPDYEDNLSL YVQSRTEITFLSDGPGMPAREGGGNSVMANLPLPKLNEVYYWEVKMYDKPSTTEVAIG LATKPYPSFRLPGWNKYSVAYFASDGFKSHNYPFTASSYGPGLNEGDVLGVGYRPRTG TVFFTRNGRKLDDAYTGLQRLNLFPTIGANGPCTIHVNLGQAGFVFIEANVKKWGLAP MVGTLAPPPAYGSERGSILLDAGYGTPHSNNNGTNLGGVNALLEAARSRGHGHGHQLA RRNRTPRRSRQTTNNSVAGVGSLPAHPSPLREANSNTRGNEAEPGPGPSTSYSRSRRT FGRHPSSSSDYVSPTDLPTTQTRVPPSDVHSEEDEFESASGSGSEDGLIGQRDREQSP IEHNPPTPNLLDISMHSLRNNGQYFGGRYGNRTEEDIEGEGEGSGSGSGESDSTARPS RLTLGNGSPPPPGYAPLDPHVYAAGLPGDLPEEMVNQAIAAMSGDSNITPAQIQAAAQ AVTAQAQANAQAISAQALAQAGGNSGNTNENTSQGVFSWFRERI I203_01864 MADDGIELNFAVPASGVAVRHVAAKKGGRWTDRVKAKRDARDSY KNLKQTATNVSNSAPAPAPVPFQPKAQPAPASFVAPPKPRPQPAASVPQVRSVSASVN AVAGSSRSQSITKPAVSTIHKPRSSLPTPSVSRSHPPARSDAGPSRLPATSIPAFSQP KAPQFISSLFTADPLPAPASSSQPAQPVGAPSNAPLVSDTSTFADLGMDPLLIRHLKN KMHVENPTGIQRSCLPYMLSSPLDPDQIEDTSKPLRDVLIQAQTGSGKTLSYLLPIIQ TLLPLSKLSYIDRSIGTLAIILAPTRELAQQISKVVEQLISMSLTLDEEDDRQFTRWL VSGLLTGGSTRTHEKARLRKGLPILVSTPGRLLDHLQNTSSFQCAKTMFLVLDEADRL MDLGFEETIKGILKALEGRRKNEINIEKEMDEEGGGGAMRWNFWNRGRTNILCSATVD PKVEKLSGMALRDPIVFRSNPEKGTQDSPNKKDMKDDAVKAAIEEAGAIVIPKESEEK FTPPSQLSQKYVVIPTKLRLVALVALLRSLIASAAKVDTEKGTKIIVFLSSTDSVDFH WKLLGGVQMGDNNASADSEEDGEEDEDGNESTDEVNGTTTIKSRKTKKGKKTSSSESE LITLSSPLFPNTTLHRLHGSLPLRTRMASLKSFAGPSSRPSVLFATSVASRGLDLPLV RAVIQYDLPTEGGANEYVHRVGRTARAGKGGEAWAFISQNEIDWVPWVENKMGNAEGE GKGGVKLQQVGVEDVLRKGFGGQGYEFENRATEVQLGFERWVLDGTQNAALARKAYSS FIRAYSTHPLEEKKFFHIKSVHLGHLAKSFALREAPGQLSSSTSSTTKSNTKITSSAT APVKKRKHPSGEGDDGDDAEEEVRGGKELTARNETERRMYEAVRKQGRMIKSGGKLGE FAGSNKNKKQHMSSNGGGGEFQVYGTSELERMVSGKK I203_01865 MFVLVGVRDTIPVAPKTFDLPPSITIQDAINRKYANKLVPDKGL ALSLFDILTAEDGKVTWGNGMMYYKVSFRLMLFAPFVGEVIVGKVLSTTKSYIRVSLG FFQDIYIVPSLLPPNSSYDPTQKKFFWVSPDDEGTLLTQEQLANSIVADRLYIDDGEP IRFRVDSIDWQDVRPTPQSALAEREGEMVIEKDPIEKAGFKILATIAESGLGVTSWWS QGEFQEEEGEEQVYEEGEEADMGEAKEEGI I203_01866 MRLGGKVGRDSLAGRLTENASEPGFEFKPDQPYAELWMGTHPTN PASLHSSPSTLLSKHLESHSELLGSGTEGQTEGHVPFLFKVLTCKQALPLQIHPNKEL AKKLHEEDPEKYPDINHKPEIAVCLSPSFLGFASFRPYNQIISFLTKTPEIANLSGDI KNKIDQFAKNPTGDVLREVWEGFLRLSDDESVVKQYAERVLKEGVEAFKDFSGEGFSD REKENLLKAIKLSKEYYHGDGGLFSTLFFLNLVELKKDEGIYVGADGPHAWLEGEIVE LMAISDNVLNVGFTPDEDKDDPSLVSKTVTCQSKTPTELKLISQIFSKSQKGQSKVYK VPFEEFSILKISNDDVLIPFDGPAIAIVSSGTWDISGEKAEEGSCWFVGAGTEVEFER ERKDGGEDAQVWIAFYDADAEKDEVGEK I203_01867 MSRWSPEQAQKESSIDIEESSSQGGDEPPDLNQMLVEIQRKVIA RYQKLQRTALTEAEKETKSIKAEYQLVKNIRHKQEL I203_01868 MFPDLPEHLQTLNAIPGNTPPSAAMNPEQEEAFWGFLHADELFR NFGDVPSPSEQKQPLPEDQSNYQSIAPISAPAPTPIAQTPTKPNEKGSAPTLESFIAA YIGQSSSHGSTAAGAVASTSALPPNYLIPLPSPYTANNNANIVQTPSSAPTPAVINTS DVLGSASIFGDSPDDRVTGAKRLKQLGAGQGEIEEDKRRRNTEASARFRAKKKEREQA LERRAKDLEAQLAALQAENTSLENENRLLKAIVLNGPSGQQLPASLTNSSTSSSGSNP PSLQAALASLTQKRKRED I203_01869 MGRWDTSRTQDLSASASASQQTGRTNQSTFKRFVEVGRVVLVND GPSAGNLAVIVEIIDHNRALIDGPTTSVPRQAFPYRNLILTPYTLASLPRGAGNGVVK KAFEKSGVFEKWQASGWAKKLAARQQRKNASDFDRFQIHLAKKSRREDVRKAYIKEKK ASA I203_01870 MTKLKVGWHREHFLSPLLQFAEQDKGDTFELVECPGGTGEMQVK LKNGEIDLCIALTDALIAGLANGQDSYKLVGRYIASPLRWAIITGKDSQYKTVDQLKG TTFGISRLGSGSQVMASVLSLNEGWTQDEQPKFKVNGQFKPLRDSVNSSETSVFLWEW FTTKPYVDSGEVRFIGSVYTPWPCWHIAASPSSSSAQISQFLTSLQPYVRQFNSPEAR EKDDVDFVTSYFGHQREDVVEWLKSVKWEEDLLQVKENVVRETLKVLAKAGVVKPEAE NLDIGTFVNTDVAQIV I203_01871 MSKTPWLPLIEQQLKENPKSTTYAFSTLSEEGNPKVRFVIHRGI TPNALLLTTTDTRMSKPKHLSYSPTVEIAWWIEATNVQFRITGKAVSVPTPSSSSIQE AIKTLGVEGLEEGSEKWWTSKRKELWGGVSGHLRAGFGRPTPGKKLDEVEGSDKWPET IPAESDKPDEQKTIDAAYDHFAIIAIAPEAVEYLELKPVPNRRTQWKRKEGGEWEETK VAP I203_01872 MELWVLFLILQHFHQTSRTTNLPNSSHGLNFKPTIIRLLNTRFY VRVSLLVFWTMGMIATSLYQAFDKTITDPTSDFFFASMGLVGFICFASQLPRFNRHRH RKGDLPPITIGDGIHHQNPMRSHTQHVKKLDLGDFLGEIQLDSELVTTSGEGGPSGSG ISPEQSGQTFELEEKEDLRHVDKVNDDTFPSSGMIITLHGHGSVQVDQEQSIAGRSDA GELV I203_01873 MASWHDAPYLHFPNSTYLDSLSNNTDPYTIYYGQKAVVSEKQVL VYGIINILGIVFLGLSVATILLSGTRVSNKVSQIKIKRLSLTTTTTKSSTTTTNTRNS IIRRKTTTPIHRIQRDPCLINSFIVIILVSMLNLLYWFASGGRIDTEEILYLPHARLC RAQAILQAGSQAAQVSVVLSVVLRLWLKTITLTRPYFDKFRGRFTLFFLLVLPYLFVI GFMPSMTILTSNAKNPILIPTPFYCSLLDLHM I203_01874 MSRPRRSVVAQPEADQDPNVDPDYLTLSAKQQRNIDRAFNRGIQ ILEARGRKRRKVQHSPVPMKDEQGGFVDDGEGGGFMVEDAGGEGGFVPDDEEGGFMVD DNDQGGGFMVDDDNQAGGGFLPDDQEDQTSSTNTGKRIPLHLLPSLLSSLGLPSDEDV LAVFKASASGWEEDGEDNSRRTGKRGAGAEGEEEGGAGVELKDFRAVCAALMGPDDGN GDGEEDEDEDEDDFEIPSEESELSSLSGSEYGEDNDNISGTKGKNKSGNKVKSRDFAE TEDNESLPAPKRKGRKGKNLDIDSSGRIKLSSRQKELAMDIWEMLKPPSSTRKGEGYV LGRDEVRKWVRELGEMWTEEEITEMVSLFSTQHEARGLSFDDFGGVMLRAGLV I203_01875 MPSSNTRTTIFTLPVPAADAGSNTPQPTLREQIESSLKGTTDIQ YPGDREEDRSWGYKRRIPTLVLYDEEGLRLYDKITSSAPEYYPFPDELHLLKEYGQEI AQSMGFPCTRRHRRSLRDEINNNDEVPDKPWKPAKWGDAALGRFNDGVNGEEGLAGED AWRKEQKEGEGWDVVELGAGALRKTAHLLLALSSSINPSSESNTAAPIRYHPLDLSEP ELRRVLAEMEDGFGDQLRGKVECIGLHGDYEAGLQFIREGKLSSLNVKSEEDNENFPT PPFALIDENDGIAIPLKKDEETKEPSPLLSPESINIVTPRSEISCLPSEITESTPSCN TNDNDAGTWSPISSAEYDDHTQYGTRQAQGNSDNVTEVKRSFLHSTSTVDTEPCGRPL HLVFLGSSLGNFDRESAAPFLRSLPLRKGDTLLLGLDGRPTQGKEGNRKVEVAYNDPS GHTKRFEEHGWDVVKKELSLGNDNQVEFVGRYNEVLGRHEAYFKSTNQQTIHLPSSDE DIVLEKDELLNIEWSYKYSLSEALNLFSQANLRVVNSWKAPNSEYRLWVLERPEVIFD LNSSFSSKLALEVMDNAQDEDAVERAQGVPKWNDWLDLWKFWDHITLQMIPKEMLHKK PIDLRHICLFYLGHIPTFLDIHLTRLTKGSHTEPEYFKTIFERGIDPDVDDPTKCHDH SEVPMSEEDWPVLSEILAFRDRVRLRLKGIYDSLSSGRRPFSRHTGRVLFMTYEHEAM HAETLLYMLAQSEMTRPPTAVSTPQWDILAEQWNSDLQENKVITIEGGQVELGHRDLE ADDMDHPTIDGWETHEFGWDNEHTNIAKSIKGFKIDSLTISNSDYLSYLQSTGKFSGL SKDTAPASWMYDETKGEWNVRSLYGPLSFEVAGRWPLMASKLEFEDYAKYKKGRLPTE EELRMLYETEQGPRTIGEGVNTGVKNWHPTPPINATTDNAGKIIPGHNGGVWEWTDTP FKGLNGFVPSVIYPGYSKDFFDDKHYVVLGGSYVTIPSIAGRKSFRNWYQANYRFSFV GARVAYDL I203_01876 MPSSTSIESLLPPLKPESLQCIYRLQRYGPSVENRRYPKRRSAA VAVVLFVGRLGDLYVLLSTRAGDMRTYAHDTALPGGKYEEGDVDAEGTARREAYEEIG LPMDREKVRKLCELEKFITGNSLIVTPVILLITDHTLTPLLNPSEVRRLFSMPLSAFL HSRPSQIPSFHYRISHRISSIPHWVIDSIPPPPIVNYSMDDGEVGGKEGRFYQYRDIT WNGQGLVRMHRFLTGREGEGVRPVYGLTAAILIRSASIGYDQQPDFPVCAPGQKSMSE RIIYEIGSEEGPLRRALESEGLWDDWKDDSQEEKKSVKSKL I203_01877 MGSQVRGTSQGDSPGSRSDSESDDPPLVSISDDENSHDLQDDFD ESSSDQEEPMSSSSSSSSSSDGSESNNFIFEMFEDEGRTPRRIVRRKEQIVDCLECFG SILDKRDGVDLIARDLRIIEEQRSKMLSRLSNLNGNGFRTKGMGKGRSKMKTRNQKKV NPLSKMDTPWTKLVDLIRMMRVDGSAEKDPKEVRYCLDPSHLHLISQTPLFFLLRLIR YALNPDHIIPNILDLSNLPYDNVNPFLYEVNFEGLFQLIGSSTEGVKYLDLSRNRMDN EGLSDTSQSNFPEVRSSLSLPLPFPNVEVINLKNTRNLTNLPLSMVRLPKLRRIIANR HSPLWWSCEDTAKFLRHDAQAQEPLEMKVKLKNNQGNTDGGKIREGVSSLVEHCILSL LPLRYSTHDREEFVNFEKTVEEMIPERYLGMYQKSYRCDRCYKFKIIHNNDGKSGNGT SVAEEFGWMMNDPHLPYTAGDRNRVTLKPVRVVGRCCGICKIRVARLGQVYRVNGIS I203_01878 MGAEEGHSNALEDITFGSVAGMVAKVFEHPFDLVKVRLQSQPTD RPATFKGPWDCFNQTRRREGFLGLYRGLTAPLLGAACENATLFLCYNKWKELILTVRP EIHGTGSGSQKGKARELTTSELAVAGGGAGFMASFVLTPIELIKCRMQVQRLAMEGTV PSTVSPLSTSPQTGSHPISSTPHIPSSSSGAASSARPAVKLQGPIALIGDVIRKNGFK GLWLGQTGTLFRETGGSAAWFATFEYTARLFISIHQKQPQNSDKVITKRDLAKWELMV SGAMAGIAYTVSLFPADSIKSAIQTQAELNPNSTPPSFVQMAKTIYRSRGIKGLYAGC GTTIAKSAPSSAMIFAIYETLESNFGGFLG I203_01879 MSNFLRTGRKIVAIGRNYADHAKELGNAVPKEPFFFLKPTSSYI SPGEGPVEIPKGVDMHHEVELGVVIGKNGRDISQKDAESYIAGYTLAIDLTARNVQNA VKAKGLPWSTAKGFDTFCPVGPFIPKSKIPDITQVGLHFTVNDILKQSGTPKDMIFPL PRLIEFVSGIMKLEEGDLILTGTPAGVGPIKAGEKFAARLTYPGLEGEVLSEYEFEAV DRKGGYEFKA I203_01880 MSDQSHAITKSQSISTQLDLITRADAVSKAAWPLRPKISQEYFE ARRLLSDQQVYNTFRDANQNVHNALTDHYFPRLTRDNSTIGQRINRAMNLSNSALAIQ NITYPERLELTDLLEDEEVKMDIKQRDETTYNRIMTGYGGGVKHIWQWTNRGGPPRIG EDPSYGFHSTTTTRYLADGSVGARDWSANITLPTPDIVLASLSQTLRNLMGRADTQPS AVTSQGSATDDKDESEGEYADSQHYQDSAKS I203_01881 MPPPPTGVRPAISKPAPKPTKIRYFKGKAPDAPPSDSESDDDEE EQQQQQQIKRRDEATKIDKNYVAGGAGRVIMPGGGVKMELGSVKVGGTKMPLGKDGGV KEESSEEETDEESEEEAKPGVEDESSEYETDSEEESEPEPPKPVFRPVFKPKNARNTT AEKAAQEAEEAARREEEMREEKKLASKELAGETIRRELAEREAQTVEQTVDDTDGLDP TSEFDAWRARELSRLLRDKQAQAARDEEQAEIERRRAMPEEQRMAEDLEFANKTREKE KGQMGFLQKYYHKGAFHQDDDILQRDYTGATEHSVDMSMLPKVMQVRDFGKASRSKYT HLADQDTSQGGWGNTAKMGAGGVATTQTGCWNCGGPHLRKDCPNNNINDPGQGPLTGG YGTSANTAQLGSGSKWGNNGDGYNNRDRDDRGGGRYRDEGRERRFDEERRRDKDDRNR DRDDYRGRDKQRDYGRDRYRDERRRERSYSPSSRKRDERDDRHRDDRRRDERDRDRDR ERERERDRDRDRRR I203_01882 MQAPLARQVAKYLSLQAGPPLCSKCLRPIQQQIRHHSSRISSPQ RVRKTSSEFSSRNRDRDRDSYITLPGPSPSRSELSATDEALRENFDVIFSSSSSSSSS TPHRDIPKIVEAVYPLIFRRDLKSLLDGHLEQISISLSSTPDNNRFTNEQLRQAITIL NHCWARSILLNFPIEKQELLEKIYAEFIIRQALISNKDVVQWISEVLESFLEKRSNSN LPISRKTSVVWAVLRTKLGILEQPKFSFIVDSSAKQDGKDQLKEFLGPFREDVDLYIE QLQNLLEWSHRYEWSLKRINKEIHALRRQEDYEGIIALWEKFKLQISSDGKNLETPKK NDILSLFLLTFKRSTTPSRSLEAQFTDVLKHCTKPYPRNITQALLALRDRSDDKTTNA DAKVGQEVLSLDHEDTQRSRSGNVLENMKSIWKETKEKDLKMYMIYLDGLGRLGDLAG LKEAWIELVKDQRAKEIYIKEEKLDLSTPFPPTQALNQMISSCLLVPDGPAVALDLFA QAVSPTSSIPINLITINTILRHHARQGDLSSMSSLFILAEKLNLKPDIITYTTLVQGL LRTGKIEIAKKVLEDMSQQGISPNERMFSMLISDLSKIGTVKSLSHAEELLNLMIKSK MRVNEVTWTGLISGYFKNGWIQNGWDTIKRMERNGTRLNRIGYNICFKEYTTAGEGGQ GGITRLWDKMIKSGISPNSDSYLLVLTTLIHQKNWQDADKVLDEMKRRGFKAEKGALQ TIVNRVKCRR I203_01883 MPIPFPSQKTNPLETHSPASSSSSLPSYPSSLQSDSDSDASSQA DTEAELEAMIQEEWEESLRQLEVVLSIVVMPTIGKWFGRMWSYWAWARYQRLGTLGRP FFGLNP I203_01884 MPTHNRYPPGVNPYAPSTSSKTPRTHRPNLPSRPNLHAPKVLLD DADIEDFHPPEWRTVLNSRVDLGYPDFYPSRPGFDQPEDVLTEENVKNGFSGRNFIAV GAEVFSMHGPIHNHLTSGGLNLLQNLGKDLIEKRQEMMPKIGERSFRIPVRVTYNDTK RLQFLNDLANPNVPLYKLMRTPVPHGFKGIELLDSMFSPNIGPMGPGHSRTPSGSNNN AKILSDPIPLDRALWFIRVLGSNEISAHRSRTQPQPQHHQNTNATPNTPVGVSPAAPT PSSSTTITTTPTLQVSSNDWYTQEFTNTFISWLRVQFGQLSLPNNNPKTNVAKPGVPP PKSSAGVLGDEKLRSRWLSKWDYSAQLMRKLHRHQLLSSRLLSGWMADFLGYANLAQL GFLAQLIGEYLEDVTKHLCNARQCVRNACEKLKEIRASPASGSLIKVDSMLTSIVKSL CEANQETLLSPTTWKLHSELLTTILPDQRPSIRIRNEALWFRINVDERSSSPRRQQMA EMQKLDSICEDTNMLELTRSFFDGTSSPTDTAPDLSRLEDKVFILLNWAMGLFQLGSH RPYAVYTLLKHWHDQHEEHQAKQANPHVIDLFPILYKWLDTSAAARKDENVQAIGITV GEITRQGMFSYGRYLQTLIAKGQTARSQVAGQAPSHHLAMLKAMPIFAVAKDLVQQRR IALCGDNRESKIREDTEENILMDQFRDEVMEYVPEVFGKRIYKNSAVLRDVIDYQIPC STKMTRYLYVQARFWLAPEAGRYLRGDQQTPPMDASTFSRVMQVFRTCRGHATMADFI IRALQDSEDEEVLDVIIDIVRRDADVWTAMDGWSRLGDKLLDRYHTLQTQGKNHLRTL ELLEYLVKKGRLTSDEEDEVKLIQSDLPKVGNHLPAKLDFKESLHGMQHMLSSGKEEN AAVLAPKLFVRHGSFGNWSNQWWSTIIEHVQHPSSHVSYDATLRVVTSHIVSVCKDVQ DQLETVISAWLENLAPAALVDMFGKRSGLLISHLLLDLVVKRCLSTSTLLERLIYPVW RYASSVILTPRRRLSGKQIQAVTNCINVAAQLLVSPPLVSTLPPSIPTHSLIVLAYRQ AVFCGTSVQNLIRHLPLFVVLQKSAMLSENTNSLISSLLRCLAMTAEFKTAAFRNLSV LKDTFLSTEWSKPSSCHGLEAGMEDILKLIMSEKPPSDKSRVTTFDNTARLSAWRWTR IVLEMRSDFKGLGTRINNGEDVAETRQTLHRQVSATLDRAATADDTDLLCEAFRGMDS VVTQEILVAGLDRLSNLLSQAIGAETQNHLEEHVKSIQQILRILDSTFHQRSSDPINE NTILSARHKLLDLLTVALQSIERQLSDNDLVIHHDISTPPQPGELLKMVLDLLKFTLG LLVIESNSPTTPKPNFPNLAVGFFKVMLSCHDVLDEESAQMMSDMLSYIIDSTPPQSR ISCQTALLGETTSSNVQNILSSKPILASALPHLSPLKRNMALLASSTEDEGNGHNLDS VLTMEERRWELFEQINPIPKKPNHQDLYLVNKSSIKDTSSISMGLFNPKFTRDCLPNI ANHWKIPSDNDQEQDEEHDQEDEEEEEEGNLPWENYASDRTLGDGFNESLFERQRSTL LFNNSEELGLAQPNVGSDDDDLEVEIPLALSLSASKKRRISTRSQTSPTKSNTKPKGK GIGAGSNKDPISIEDNSSSDEDDSDDEEDAEVVEVKNQPSKRPRTTSTSTAGRRPSTS GKAPAKATATARKSVGGKSVARKSTGGKSTRGTGGKAPRGRRRSSQVE I203_01885 MDFDAETAGNNPEIEMQFAVKTVEHLEAYEKLLCGIPPSKIKFT PIDDELYGNLLDEFPELKFEDNLRVLDEEAMKSPQGKERWRKFIMPYEKRVTDYNFGT LIRRRSDELYSEENSVLVTRVQFYAIEIARNKAGLNDKIYNEAQAKKNKA I203_01886 MPSNNVPFPSPPVLPVPRQPSPLSFSSIADDAGLRSPPSPPTPA SSFLGTGESVSSSSSYRAPASASASYITPTFPNEPIMTSPISGPSITSPSTINSPSPS SSSTSNVLVPVQPLPDSLLLRSTFSALEHSTSTLKRLSKSVLNTASVVAALAEQLERA EDDLFAALGDLGRWLENGYGLQAKGSVWDPEGGIRKVSKERRKREREDREVWVENGLK AIKAELKRQGLAGGGAQTRFDNTAKQFYHQTSVYLSPQNTQGSTLAGPSSQTQFNANT SASSSASTSSHNPAAPSDMAQAVRHAQWDLARYNHHSTLLYAVPPSSIGCLDLLVGLY GWVGGLLGETPGRKDIDDEGGGTPPSRQRASTAPIDTGNPAQLLSRRSPPPSGSDHLK SALSISLTQLARTRSELLKAWAERNHQTQLLEDEVIQRQNDLGVSSPDEYSSSRFSEF NAITPVSPAGIEHKKGKKMHKIHGWVGGRLRDFLTPSASSNALSTQLGTGTGERLSRI SLDGRYGRGELSAPAPIRRKTTEDDTTPGTDKLHTHPEIPGPPHSSNSAPSSTPTPPL PPAKDTSPRPRLPSRHSVQMPTGTDYVSPFIASNQYSVPVPPIPTESSPDLRLLTSDK DMVRHSIDSARPSVGVGGVGGLGAGGDEDERREEAGRKKEGVLWGLGSWEGLNKAGGA KGKWEKFWVVLDHSRIYEYRDNKTGVPEGAHAVIDLKFASVREVFEIVTPSQGKRLYQ ATSETEMKLWLYAICNAIESCINGTSSVRTFDASKLRTVSGSLDDHALPARGKLGLGF NSRAIGLGFPIPPNGRRSMPPTPTEHSTPDSSMEKRTRKTSLKKMLKQSGEKLSNVVS GNNGGHRNSFGGELDIPRPVFGKAGSWTSLPLSTPEIDKFIMPPPPVPISTRPKNNHT PSPTQDGKKGSWADGEIEKRVLEMAGLGLGYGDIPSTSTSREDSPSSVKRRVKSEAVR KPTHPSRSGYHASESGGEPMNRSKSQDAPPAQEGGSDMKELRRIADLDGNRKCADCGK GMKSSRWATISLRDAPMVMFICIRCCGIHRSLGTHISKPRSVDLDLWTPEMIALARQW GNERGNEVWERTWEGGRVGDDRITDFIKAKYVEGRWLIDEDKLKFGLISELPMVGRAI I203_01887 MAGGTKRSRQDDIDTPITPPPSQEVEDTPTPTPTPTATPTPPIT RNSSSSIHTRRQATTGTNNDTTTTSSRPPLTRSSATQPPPPRNNGLGRSNSLFNMSTR RSGLTSISSSSSSSSSTSFAIPSGSGSSRVGMLTRTQSTPSITSPSQLKAIEGGSGGS GPAGKGDPEDGLGGGGPSKRFGKGKENIPPKKDNNENSSSGSGGQEGGSQRKRPRVSS RASMSGSARSRGRSGSVVSVRSETSGRHSSLAPSSSASSIASWSARLPSPAPSQASSI TPSLDSVTSADPLDLIKDEDEFDVDKTPTKARPVTRSTVLPPTPPPSSPSLRDVEIKS TTERVNLMRMYSDKTETDEDVDMSEDKAIKLNPYKQLKAHLRLSSATSESTSTDQVII GREEEKKVLRSYLTNRNDVDVGMYISGPPGTGKTALVTALGRELAEEGWKVVELGCMG LKVTDIWRRLGEELICGKTEKDVKDFIAQADSKVFIILDEVDSLMPPPPSIAPPATSH LLTKLFSLPLLSPTVKLISISNTLDLTLRARLVLPDSAQPLVLPFKAYNAGEMAPIVS SRIAAATATMSHDGQAIKIDPTAITLLTKKVEAQNGDLRMCLGVLCSAITLAENEWLK KKSNQALADGGEKKEIPMTKIAVTHILKALTSYTQQLKSAAGSTSSSTGSATSKKIRS VQIQGKMVLVSLLVYLTRVKYGLNGCPSISTSNGQITPPLTPSKSSSNLNGELTVQNL YTTYCHLLSHDHSPFPPSPESDYRDLLSNLETLGLVSIVGGGSGLGSIGLSRSSSGGS GSSTRSKCSSSSGGGGKIELCVKEEELKDGLGLGSVSGSTKVQNKGIAEEEVNKIWER EEGRLKRMKEKERARLAGLADGTSEQYI I203_01888 MSGTLISSLVWVPRGKSSAEPKRYNLDDTELERVGKLGGPGVLE QLREEMEGMDVGEGEDWEDVDEDDGEGSGSDEDNEDAEVAEDDVNMDTEPQEPPKSSD PNDLSAFKMDEYDNEESKGVAMGAFANVKGLSFYRDNNEDPYITLREDDEEIEREELS LLPTDNIIITARTTSDLSSLDFHVYADSDENLYAHHDLMLPTFPLCVEWLDFPPGGSS SSSSGSKGSYVAVGSFDPSIEIWDADLVDGLYPEAILGPSPSSEKPEAKPLGTGKKKR KQMVQPAANDQYHTQPVLSLSWTPNHRNLLLSGSADGTIKLWDLTRESPMPALKSWNN IHKGEKVQGVEWNKSTSNGLDKAVLSAGWDRIVKVWDSRAPEDAIGTQVGSDVECIRW DPWEPTSFFVSLENGLILAYDSRTLSSSKGSNNLSTAQPKYTLSAHDGAASALDINPH IRGCIATGGMDKVVKIWNILDEESEGVQGRKREISLATSRDLGLGKIFTARWSPDPET PLTLAAAGSKATLQVWDVASNPGARKAFGDRLKKHGRELGEVKKGGGVVVVEGGEDED TDEE I203_01889 MAMISDVDYILQAVRLSSLRITDDPLTPRIISLDPSFALNPYIN ASGLSDIDRWPEIKRALDSPPPEPSYLSSENGYPRRNIRDNAGGGGGGGLNYTQTIMA GKSGGAGMRVSGRNENKRGTGQGRSNHRANSTSLVTDMPLSPSQGQDRTPTTNMRLPT MDNGFFSPSGRPRADSAPAPGLLGIPPGGAGSNSILNGTSMLTSGRGLGVTQNVGVLE QALSTSEASNEDPGHITPGAAGMEMEVDQSSMGQNGASQLAVTGITTGGLDRMVGALV DEGSDVDEDEAAEAEGIGRTQAQAQRDASALPTDSRRVSVDTVNSEQLDFTPIPLQQH RRTATFSASPSVQSSALTAALNKYVPHLVSTSSTSSPPPFIDEGPPPNPFTSLYATVA APPGSPSLSLEMYFPHSKKPTNPIIAKVRKDATVEEVTGFGLYKYWEDGRLPLLSEEE NEVKWSAVGWGLRIVEDDGEVDEDFPPLDRESQISKFSYGQFAIVEATEEQIRQNAAK APNIQRRPSRILAAPTPRPSRPPTQPPSRGATLTVPSTMQAASSSSSFSSNEQTPLGS IAGPGLSTTATAMKGSVGLSSTSSEILRLKIKVTASADVHFTTTINVPSDMYIADLTE VLCRKKRLQMPATDWVLCLADLTLAIPLDRTVASLEGRTDLALVKRQWATEHGLRIDD RRGGDPSASIFKRQSEPAPIQRFGPGLADFSQTYKKYTVQRKIAIGRHERVLAIDGDY IHIMPSESRAFFDSMKTTSFHITLVASCKLTGRAGGFKINVWREGAQKRYEFEAENQR QASE I203_01890 MTNPTAEVNGNGKQRSDPQHEEYQYLDLISRIISTGQSRPDRTG TGTLALFAPPSLRFSLANNTLPLLTTKRVFLRGVIAELLWFVSGCTDANVLSSQGVNI WEGNGSKEFLEKVGLGHREQGDLGPVYGFQWRHFGAEYTDAKANYEGKGVDQLQEVIW KIKNNPTDRRIVLSAWNPKDLPLMALPPCHMFCQFFVALPDPSIPDDKPKLSCLMYQR SCDLGLGIPFNIASYALLTYMIAYITDTIPHEFILQMGDAHIYKDHVDPLKIQLERDP REFPKLNFKRSREEIGDVDGFKVDDFEVVGYKPHGKIEMKMSVSIV I203_01891 MSHVESGKLYDHNSEEYAPQVHRHSASVKDQLATWHANRGDKSF VRAMIPSIRGTFPGEEPTTKNPIKLVRMVSPFAWLMFFSGWFCWTMDGFDFFAVSLTL DSLAEQFEVKPAKITTAITLTLLFRSLGAVIFGILSDRYGRKWPLVVVMILIMAFELG SGFCNTYKQFLAVRSLFGIVMGGVWGAAAATALENVPADARGLLSGMLQQGYAVGYLL AAVINLTIVQYSQYHWRSLYFFGAGFSLLAAIIRALLPESRQFIIAREEAKASGLTAK ETTKNFLRELGNMFRTNWLRWIWAVCLMTFFNFFSHGSQDLYPTYLKTTKHLSAKLAS KATIIANCGAVAGGTIAGYASQYTGRRFAILVCACWTAAFLPLWILPKSFGGLAAGGF FVQAGVQGAWGVVPIYLGEVSPPAFRALFAGLSYQLGNMASSGAAQIEADAGASLKLA GTNIPDYAAITGILLGAVIAWGIICVICGPEADGSHFEQAKVAYQRGGGEADPTEMFD HDKPEEHHIEKAQAVEHQELR I203_01892 MSYHLDLSHLSTSMGRPVDNYSIYECTPGSSRSISPEWSPALAQ QESLTDLSAQTSGLEFPVFSTYQSSPSTITITVEYPKSATMEISVPIGIPNGVQSSTR VFDLEDLYIPSKARDTIYIENTGDSGRPLSIVSSQSVPAGGEIPKDTRWTWYDQMFDV PVIEELGSRPAIVVTDGPESEVASLVDPSDTSSWSLPALWDSITGQNPSENSIAVHLP DDVAQSPQQVTKFQLAIPQLDAPTTENNERGLVRSISTNVWSEIISTLTDRWSQACST IKNYLRQHASDSRLDYERLDPYAWDCEFQSRAESNGPSDTLYSTANLPPVGHLSNGPQ KELPMVRRKSLPEDRLYDVDHPPPTDHPDSTQSSQMILARK I203_01893 MSDNSIFSPSQASSCANSVGLSWESSNSPVPSNASSATSAISDS ASTGIPSIYFNPNISSSFIDSNEASPWAPLSRSVDSSYLERSPFSYERNSSKHSSIAN TPTSSALMLCVDESEWSISSRSKTTFEQILGENYDFREGLPSPSIASTASSMWDKSRT YLSRVTSSIAGAFSDRSADARKRFDSNAGTGNVRDFEHSPGLQARLDDFLGRSR I203_01894 MKVFILGATGFLGFPAAEAFVRAGHIVYGSTRSQKSAEEVLAPN EIIPVVTDPYSEEGRKEWGVIAAQCDVVIDALSANGAKPAIDTFHNFLKHLDRPKGSP KPTYIYTSGLWINSRGYGGLDKWTDERQPTSTYNEAVQWRPLIEVPVLESEKAHGIVI RGAVVYGRDGSAFASYIFDEALKASRTDEKIFDTVYSHETRIASIHTDDMADLYLRVA ERGPILRGQVFMASNQFIERLTDILDAVVRVSGCKGYKGKAPKGAMQEAWVSSTLIKP SLGDALTGWRPRKMSLVDGMDIYWSSYLANKKLREP I203_01895 MSEAQEMEVDVASSLDNQIVSGPSSPAHISPLHLDLRRQTTLLL DDLGYTPSSPAPVSVPRIVFDYAFNASSSSSTTELLDALSLICAFEGVSAFVISRFQP ILIDLLARWLKDSAFSDIELLEKRLTTLTSLADVYPELWSLIHAFIVQSPFRNSPIST IPQEKIQTAPVERLYSLLLSQLRLITADPCIANRNDWPLSTLHTLRMEHPDRGVRLLA IQILAKQKGWSEEKRMEMEKEWVGEIDKVDVNIAYGSEIVKLPGGGYQVRKIVMDGWM LPIKEAQRIGNARQSISDFTFSHYPLINPSDLSRHITLVADSLLFCALPSSNLTTSIL HVRTTPADVALLSICPLLQLGLPILLTSPPSSGKTHVLQYLSSMLYPSQQPTNRILTI PLADTSIDVKSLIGTYVSSSTKPGTFEWMEGALAKAIRAGRWVIFEDVDRGSTEMLVT LASIARSLKIGRPGRRARLTISGREDIEAGDGFALFVTRTTRTGYTVPTFFGHHIFHE VHLGSPSDEDILGILSARFQRLPKSLLMNLVNIWHLLRPFDKLSGQVKARDIGLRDLE KWCARVERNLPSSTSLASLEQSGATLLYNPTLQDEIFLEAIDIFVASLDNKGVSLQKR SQMLEVISQGLGMDADRVSALEGRKPNFEVSPTSRQLHIGRTVMDVIGSSRREIASSS RPFALTKPSLVLLERIAVSLTVGEPTLLVGETGTGKTTAVQHIASIVKKPLTVLNLSM QTESSDLLGGFKPIDASIPARNLHVRWQKLFCETFSMGKSQNGAYVEAASKALAGRKW GRCADLWSSSARRAIDKLDKGESEPATPVEGGPPKRRKITKATKVAVQWQSLLVDISD FDLHHVKMNSKLVFSFVEGPLVKAMKSGEWILLDEVNLASQETLEAISTILEGPTASL VLTERGDVEPIARHPQFRLFACMNPATDVGKKDLPPNLRARFTELYVPPPDDDREALI AIVSQYLGDAAAGDRSVILDVVELYTTLKKLSSAKEIVDGSNAAPHYSMRTLARALTF AVQSAPLFGRRRGIWEGYLMAFTMSLDGPSAKIAHEAGEKYILSPMKNARAVLAQVPS LPASMDPDDFIRFGPFWLQRGPLPPAPESRYIITPSVQAKLSDLARVILTKRYPVLIQ GPTSAGKTSAVEFLARQTGHRFVRINNHEHTDIQEYLGTYVTDPHSGNLVFQEGLLVT AVKQGHWIVLDELNLAPTDVLEALNRLLDDNRELVIPETQEVIKPHPNFILFATQNPP GLYAGRKVLSRAFRNRFLEVHFDDVPKDELETILCQRCQIAPSYAKKIVQVFAELRHR RQASRVFESKSSFATLRDLFRWAERGAVGYQQLAEDGYMLLAERARQDDDKVVIKQVI EEIMKVAINEDMYSLFDRSSTVLTRMPTTNLPPTKMVWTRAMQRLFALVAAALAHNEP VLLVGETGCGKTSVCEVIAQMFNQQLVGVNCHQNMETADLLGSQRPVRNRLEKRSRII NTLGQFVTLSGAISDDELMSLCNDLTHRTDIDQEVIRQCKREIKQLSALFEWSDGPLV HAMTNGDLLLLDEVSLADDSVLERLNSVLEPGRTLVLAEKGGSDIDEATIVANERFHV VATMNPGGDFGKKELSPALRNRFTEIWVPALNDRGDMLQIIGQSWKKEELKECGPFIL DFFLWFGEKLGDLSGLGLRDILAWVSFTNDMFCKGSNEAQAFHHGGQMVLIDGLESLP QVAGMSTQSIASLRNECLLQLDHFASNLPGGLTLHAQSDLAVKMTSEALAIGSFAIPR GPVISETSMFRLEAPTTALNAMRTLRGCQLPKAILLEGSPGVGKTSLVSALAAVAGHR LQRINLSDQTDLIDLFGSDLPVEGGQPGEFEWRDAAFLDAMQKGDWVLLDEMNLASQT VLEGLNAVLDHRGTVFIPELGKSFDRHPDFRVFAAQNPLQQGGGRKGLPKSFLNRFTK VYLQEHTSEDLRIICKDLHPMPQDVIEKMILFNEEMREQTMISRTIGREGSPWEFNLR DLFRWFNLLALPNGLEKSHHPAEYFWMVYRQRFRSERDREAVTRIFERIFDLKIDTTR PTPYITPSFLQVGHSLIRRGDKSTIDVHLRHHHLEIAQSVLKGIELGWLIILAGDCGI GKRALVRGLAEGAGRELGEFAMHPGVDTSEILGSFEQQDVGRMLDAVVKEINYLIGVV SDDDPSLASQTTRLRSARQRCNTASDVNAIPSFTTICENILSQLAPHISTNGARHALN SLTKAGPATVGFAWVDGQLIHAIKNGGWFLISEANLCSASVLDRLNSLCESNGVLVLS EKGSSTGSPEVLKPHKDFRLFMTYDPRHGELSRAMRNRGVELFMARSEDETSPSHPIS TLADHSLLQAFTAISNNDKIAQEVKDSSVASLVADKISNQSQQIIPYLNRVGSVVLES EKAIWHVATQILGDAALASILSGQATQAVASQRIQAEFSPSVPIDITLNSNIHAGQRG IVFSLLQAILRNEVRKDEIQFWLADSTSGKSILAMSAASARRGPSRNTAQGGNDIYPF TSYFREVIRKQLPLIIGSEWLKEDYVERLDRILVYIQLIEDHAKSTTFDYSSTKLLAG WLDEQLRELPFLAPVMEKLQRLVKTVQLTSGLGQTQVWMLFRDIIGDSSNQHDFSRVS DKADDLRDPELRVSVLHALSNMIEGPPSPESIIELETLILGAESLQHRKSEVPPGWSN WSVLSTVELASLQRATGDCINVLLEDKNIQLSTLLPLQRSVGPSRTHAITFQAVSTFL QRMWEHSNSNDEQLDGPADLFKPVRLASALRFSNTEVVKMSEIPQQDDALRFSIKTLL WRTRATEDRRADVLSMALDSIIMVSWLYPIQILGLINRIMAESPSDPTMIYLRDTLFR RIMPSLNAVGSKSQDLKSVGYIWLAASRFLIDLYVTNIPIDPGVRRSLLGAVLNTRLS LVEEELSAAESGETALKGIADSARIANIHQKVAALKEEQDSLGPSLDRPSDAVRLSYL FNEVLSFLNDPFSESRTNELVSALINGHPQAFARENDFQLASAALVQRLSANYHDMSD LVQPIITAVLFSKFGMRTLARDAELRTAKPLSTISSAISFPLTAGIRQIHRASNAPMD NSSGIATQLLAACAHSHLLETKQQRVAHLPGLFLQLDRLYQAWSSIRIREQQEAQTSE SLYRVRKTEIEVLSDQEQEEKEFAELFPQYEDAGAEDASRSKETNGEEQKEDRRFSVD QVASFHQLVLEIFGQKQSTPCSLLKDMIDNTLHHSFETSAYDENLDNDSIAYQISLLH RRQVEVRTSPIQPNFYLSPNEPEVRKAHVILIRLVERLDHLITEWPEQMVPQHIKDRC ERILSLNTRSPVAQVLSALEQLLVHTEDWEAYANRENSLSSLRDEISNLIINWRRLEL ASWMRLLDDQAAQYIAQDNEWSMRLYGALIHGAVSATDIDKHMEEVLPMISTYINSST FGTFSARLRLLSAFQRMAIDLSAQVIGLKSVATLLHNVIANARLFEPRLVDSMHSQRS VIDKAIKDFVKLASWKDVNVFALKASAQKSHKHLHRSIRKFRDLLRQPVSPILGELTG IVSQDAAVTFETISSAVFDVSTLNSKASEARNQAIHAVPEVLIRLDETFNRFTRIHIH VRESLKGPSASHMDNMAVDIIETAAALAKATPSSLTKENTKIVNNLASRKRKAFSDLL KALRASGFSNAVRADQLARQHSTIWLANRPPISAEGLPDDFDMISVKKIESYHHRMDV LMSALRAAFSGHNDDIASQDLERGIGFTESVYATALTERDKSVNELHSLVQLSSILSR LRHCATSPSIAGGQALLDALTEAELSASQMHSSLREIEEGIRHLRELQGVQANSVDTT VLHELRQETTLLVQALSSALNSSKDSDSLIFTEAETSLLVTYGQIRSKLIDSLTAQAI ASPELRHLLQPVVIMVKAMRQFSTPSVNSGLSSETWKKSDELIRSLLVVTQQMKTPSL PEVKNDEHPRVPSELREQRIFSSSLRVSEILSRISTFIAELADAQSASTAAPNCLARL LPFLETFSESYAQAVTTHIHTVKATYKLSYVVGRLMLDLAQKGFCKPQEQSEDDGAGG DGEMVEGSGMGAGTGDKNVSNEIKEESQVEGLQGDEEEEEQDQQKGEEDDDDAFSMDD DFEGKLDDGKEKDEEGSGDEEEEEEDHDEHVGDVDPLDPGAVDEKFWGDEQKEEQKDG GSDELMDQKTQEQEGESEVAAKEKEGTESKDKKEEKEQPQEESKEGEQVPESKQEEQK GDEQGEELDEETMEEQGAEEEQGEEDKPSAQDQDQVAVPEGDKLDLPEDLNFDDEEGD EKEGEGEEDFDDDMKMSDGGEDDHDDADNDRGSDIDMGNEEEGETAEEAPPATGVGED ETEEEAQKEQNVDLSASNDMTQESELGQGVSGGIEGESKEEKETEEKENGEKEELDAD AQGQDGTAPQSQQQSGPSNDQQVSDGPVDSSGAPLPAQDQSQPQSSRSLGDILKDIRR RRDEILSQQEREQQPQPTDDQQASEQAPGQVEYIEEDEAKEDDMQALGRAGEEERQKL EDLNIVDEDEAEGHDERNPAMEDDEEEPEIDNGEYQERELTHRSAAPVDEDRQREEKA LTQADIGAGPKGSGLDDTMDIDFDPTEEDGIENKDIKPDLDDGEEPELNLIDSSGIAK DGSSNAEELWRKYASMTSDLSYALCEQLRLILEPTLATRLQGDFRTGKRLNMRKIIPY IASEYTKDKIWLRRTKPSKREYQVLLSLDDSKSMFESQSIDLAYQTLALVSQSMNKLE VGQVSIAKFGESIDILHPFKDGSGTFTDMDGQKIIENFKFNQNKTDVVKLLERSLGYL AESRSTGNLTGGADLWQLQIIISDGICQDHNKLRKLLRKAIEERVMIVFIIIDSLNQQ TQSSAPTPVNSKSKTSILSMQTVEYKMENGEMKLDMKRYLDTFPFEFYVVLRDVEALP GVLADTLRQWMTRVSQSQE I203_01896 MPKTPTTPSKLKAKANALNPDSPSKIGWSTGDKSLLFEHVVNFG QNDWDKAVPGKTAQQAREQWKKTLLPQIRKQCGFLG I203_01897 MTSLNSLHDTLSPLLSPLHPSFPFAPIDIIGAMRLSSVINWIAT GVFDPQPTGKGKKGIKKERASIWQELAGLMIVVFGGETFLAMCTGTTPSWLVTPNIVV LFCAIHILQTRTPFIHLLPTRPSLPLELLLAIPDAIGRTLLLTRFSIIPLLYPSSPSV KTLPATSISLVLVPFILAVPFASIAFSTFNFFSPTLKLTTPVELKSQGWMLVDTWCPL LISPLFLTLIGPVEGWNIGSGWGEHESVICCMVVLWACFTGRAVYNFGYRRDHWSEMF GVRGEKKKTE I203_01898 MQTSTSKTTFSNAVRGVLAHDGVRGLYTGLTASIFRQMTYSVTR LGAYDLMKSQMSNGGKKKLTTGDMVICASTAGALGGLAGNPADIILVRMVADPTKTPG HQYNYRNALQGTYRMVREEGVPSLARGLLPNTIRAILMNASQLVSYDFFKDLLLAHNV MSNGMPCHFVSSALAGTVATTICAPADVVKSRIMNMKHGQGGHGPVGLIMESLKHEGP RFLFKGWLPAWIRLTPNTICMFVFLEQLRNAVDMFRSKTAGIAPSSPAI I203_01899 MTSSTPTFRSRSSSLSSIKSFASTALSYLIPSRRSDTQPRSKLE LYPVDTSFSPRLERSNKIPSTAVSSQSMIPNFSRHLKRHDSAYAPSTTSNTATATSTS NNSTPTDVMYESEVKSAGSSSTSSSTRPSLSLNALSSNGSVDDLHDRGRVTTPHYYSR WRTGEKDDQEEWRHTPTPRASSDRWADDDYEEYYDYREEETNDSPSSAFIGNSGRERS NSSFSLFSRHSDDSTSSNGRPRRSLNRRSLEALRSLNSHVHRKTPKVRQLPERNKSWL GEDDALPEPLRIYPPQASSSDQEDSSSESYDEDPLSSERLDSTRDLSQNSNDESVKSG SSNDNEGTHTRHKWRDRLKRTFSRSSSKSDMSSAGNGRKRSMIGSIMDILSGNTSRIS ISNVANDRLKSEPDDVDGSSVGIRPLSRTSSGISTATTRLKRIQGDFMELFSGFTKSS RGTEHSKLREKGNGTPYSDLDFDGSTESYKSAIITNTEIRAQA I203_01900 MPLGGRTSEAETISDDNENSIDSERKSKTPALLSDIEGLLNKSQ SYTNDPKSHFPYRRNDSGFVDSAYGSDDSSPDEGQTFSGPVYQTGSRASPPSSLSETS LPDSDIEHDRQSSDSLSDSQGDRSDSTSSQSSGDINIVSSDTPYGIMPFGAGHDRPVR NTSMTATPTESANFSSPTNSPDNDALASYLGTRLKDIGESDQESSYQPPAGPFLHRGP DYSQLTLKSSRANSLQRTASIHGRPQRRCSGVRSSSSGTSSLSCARGSNNRLSSNREE MQRARDQYYEDPYADTSKTDLEIYEEYSRSGIPRSSMSGIISPISPQMHTGESTGVQK WTNEQTSSVSSRSNARSYIPSFLQNRRRRSISSRGTSNTGKSRLSVNWQPSISYITNK MNRWKNNLSSRFSRTTEDPLPRRKASDVEAELYGNISQKEARRRIERRYQSLRAEGTR YFVPREMQEWISQLPMDSKPEPEKEESRIPSISLTSVNDEVDDWFRSPSFDQPSSGSS RTGVSLEDALSRLREERRIKDQQLAEYQSQDNVFARYWGSHTEEGKYDATEDEQDISI LRSQISTLRDRLGELESRFYQF I203_01901 MIEDKYIGLGLALGGTFLIGSSFIITKKGLNDAAKKDMSEYPHS HQRQTGPRNASEDLSYLQNPIWWAGMITMVVGEVANFAAYTFAPAILVTPLGAMSVII GAILASFLLDEKLGRLGVCGCASCIIGSVIIVLHAPSDKEVETVDEILTYAAKPAFLF YITFVAVFSLYMIYRVVPTHGTRNPMVYLSICSLVGSVSVMAIKGFGVALKLTFAGNN QLTHISTYVFGVVVVGCIMVQMNYFNKALDTFSTNVVNPIYYVFFTTATIIASAILFS GFNTPGGVNTISLICGFLIIFMGVYLLNISREPEAPHHASTMESGLMNPRMSMSGRVS MDSNNPTLWNYGSVPGGATYAADGSLHSAGHGRRSSIYRAQNSTLFNAFEEEGMALTQ LPEEDESDDESGRREQNQGPGRNLVGKQTRNEVAGGRHPAYQESGR I203_01902 MSSMGTTHALTSDHSFQMLTAGSSSEESLPEEWQQFAESTREYL VDLDLRFSFHRPLRSTPKQRRKVQVYKKFAEPIISANSERSFEGDIENVEIGVEKYRE GERPMDETNRVPNRSTERDHNEQSTTFKSSSTNDQQQTPAGRGLMSDRQGENAFNSPL TITSIADSSKNSRHRIFGLPSKARGLR I203_01903 MKFAIALLHLSLFGLVSAGNFTNPLRPSGPDPFIVWDHDTSSYL FMQTSGNGLRVTKTPTLDGIRDFANEKLVFQNQDMKDNPSVWAGEIHKIDGNWYIYYS HKDAVWVVSGGSDPIGEYKDPVRLYDRGWSLDNTVLTVGGKNYLVYSCHSSDVSDNTI GGSSICISPLITPTQIDQDQVTVVSRPEQSWEQSGGNTNEGPQSFSWAGQTYITYSAS FCSTPDYSLGLLHLTGDDPMDASLWTKKTDAPVFKSGNGEYGPGHNGMFISPDGTELW NVYHATWHPEGQCGDDRSTFAMRVDTTNGLNFGSPVTSGVYQAPSGEGVVTGQKSIIY SNSTTPLTEPTTIAAYASPEALSAPTTIGVVEAAQATVTALVDSRSCAESQSKRKRSR LLPEAY I203_01904 MSQHSRNPSTTTAASSPPGSVREITAGPNMSSAFSDYSSSAASI QRDRPFSITSNDNRHHAHLQGYSPERPQQPPAYTPSSDRAVAVHSRAPSYEASQQEAA TAAASNQPRNSFYGTPGRAITGLTSTATAAAEADQSSNDDASSEHIAEDLYSTYADAT RLSDAHYEVRRRDATQQRRAHQSRTGGSTPLNNPPRL I203_01905 MTASNGTNGDSAKMTEDPLYQKTMSFIRPNWLKEKMEAGGLGFS FGMSMTFSPDVALLAKVAGYTAVLINLEHCRAGIETACDVACACLNIGISPICVVPSL QSDWISRLLDNGVQGIIVPRTGTAAMAKELVKYSKFRPLGERPLTSTPQMQYQLPHPE YAKIAQNNTTLTMPMIETVEGLENCEEIAAVEGVDAVFVGAWDLADDMGIGGQRDSPL LYEALSKICRAATKHNKYVGLGGMEPRPDIIAKLRKEFNCVRYVMAGRDTAVFQAGMN AQVKTIKEIEAST I203_01906 MDRLISSTLTKTLTVPEEIITVQLPKKVGGSSRYQMAINSDEYF RHVVLLRRLNGHVLNEVYLINDAQKAQGEQTLAKLRNQIDDWFHSTPRSPEDGSYNPF LKLCYNLLITALYRPSPLFSHTHPSRMSRLRKSASRAIDLYGQLYAQKRCAENYVHLF NIVTVSVTLVYTLIEREGDDLNLEISSWCREAVRQMVTCEKLINDFCKDWPGTAKYRE AFNALANEVKTKMKHSSPSTGTETDDRSPMQAQSQPLDIPFDPFVQANTQTPQIPQDI TISTHIPVSGTSATSTSGLALSPTLFEGLVDTALWNQWTLQDNGIVGQVDPERTEDPL GINMSGVGIDALLASVGLSAFTDFEWDSNSQSR I203_01907 MSDELPPSPTSTGRSTSALRASPSVIPRISARTVDASSIACQRC HRRKKKCDRAKPSCGACVKSRMTCTYDVVISDRSHPHYVRDLLAETSSQKERIVELEK QVAELTSLASGVQNEPQLANTSLHQFAALINNEPSTTFTEIPFQPFPTGSIGIEDVSS EPTLAERIVLQVLTTEPDRREASLSFKGTIGQQLGTSQDDEECGDGSSTGNQIPGQNQ NDLSTWPPYPLAQKDLPILDRVLIEQDLHTIYFMTSPRAPLISEYSKPDIRIYRLFMI FAIGCDVLEKGGIALLDHSKKLRAYAFHHLPAVLRADSLAYCYMPSTPSWPSETPARM QL I203_01908 MAISTTNKRKILGVGPGAGAIEEFKQLCEDYEVHMVNRGPRDKV KAEIKRLCETEGPFEAGFLFFANGSYAPMDEDLLGPLWRNGNKVGCFAQCGTGYDNVR VNDITAHGCYFTNTPDAVTLATADFTVLLFLSVLRGLTMAERAANLGKNHAEVELTVD PAGLTLGVIGLGRIGKDFVKKCQAFGINAIYHTRNPLSAEEEAKLDVKYATRDELCKK ADVIAVLTPHTPETFHILDHEQFAIMKDGIFIINSSRGPTINEEALIDALKSNKVKRA ALDVFENEPAIPDYFKNNPRVTITPHVAAYTKGTIYRGERDAMANVRAFFEQGYPNTP VNGPFQ I203_01909 MVTTIGESVQAGLTLTTSNDPRDNPTTIISSDVKSRSTKGPWQK FVSWIWDPDYYEKSNAERKLVFKLDCALLSLLCFGWLMKYIDQTNLANAYVPGMKEDL HIEGNQYTWMQTIYNVVVCVMQLPSNLIVLKVRPSWWLAMCEIGWAIFTFAQAGAQNC QQMYAFRFFVAFFEAAFQPVAYFILGSWLFRAFFKPPSTRTWMGRCAKNGTITLTSAL NLRTFKRVISKWHFWLLIPTYVCYAYGIQNYNYFGIYLKAAGYSVSARNILPACGNLI GILPQLTWGWFPTVILAVYPDSNTLKVFAFMVTGTYFVTHICVNAWLPTIIFLQTEGP RFPKGYPTVLGCNCAGMVGFTIIWLLHKRQIRQEEADLVGTVEEAGVPMEEVDDKTVD DKNVTQVSVLKA I203_01910 MPSIDPSSPRSTTSDMPSDNNERRESYPLSIASTSSSSSGSPES SFTTKFQTHEKHYRPITEDDYLEEEEEEEKEHGTSESTGLLGFLPLPKWKREIEDKLS LPSASSTSHQRRRGRNRKQRRSIFILLVSIACLSGLLMVGIYVYYQRSTYIRTTTTEI DESPYIPMPEEVSQNQSSVELIPISAPQWIIDVKEIADDPTHILIPPHESDSIELLQP VHDRLPYDVLESYFSTGLLPTSFNSDSDLAKQRPMDLVYLFVNASSEYLQEDMELAEV KEGIELVGKKRHWRDNGELRGAVRSGIKGFNDNLGNVHVISADWAMTDRDESALGQEG WRIGQIPEWLNWDSQREEGSKLNWHFHSDVFRLPIDSDGQTTIKSNRIEEVQKPTVQD ELEEVETEQIEDGNFGNSTEDGTRPQPQVRLNPPTPIDVFWNDEQEWKELATPNFNSF AIESRMSWLKDVSENFVAFNDDMFILRNLSTSDFRHPLLGSVFRMDSGLLVNPSMTPM QLTDSGEWGALQHANQLISKRFPSRRRMYLHHLPKIQSKHIMNEALTMFREELSVSTT RTFRESKRGQGDVEMAWLTTNLRIERWRESLLWSYIVAKVGHRNGGKWNNQARSDLIQ LMKITDDQISGYQNVVIERRDDRLTLADSFEADKQVDWNGPKASVYQYSSLDGHLNLL SDIDNRKCIFSLQQCLPARFFLDPEISYSSEEIFKQLAFVEPSCGDCLITALINESGE RGIEAFLPSHDQLYHPNTTTSEREWKTSEPILQLTNSWEESDFSIEANVFKGQDIWPG ALERIDDAIELRRWCIKLLSRYNYVFASSPVRFSPIHNIGELRTALRSVEESPDIAMF CINDDQYDSSSGKVRRLFGSWMNEKFGGSIPGVNYEREGVEWAQVLPEDERRSLTREE EEKDRHGYLPMPIGNAGNNHRSKQQKNRNRDKIIQKSTALNDDESPYYHDDENDSNDN NNDDDENDDKFNEYGWKLGVVENITDANW I203_01911 MAPSQPNFHAMIRRQDGANSTDTSNGSGSTAGVPTCVITCMSQA PTTGCSGADDWACLCASSDFINSVGACWTSSCSSADAAYGSAYANQACSFYGVPLGSN STSSTTTSESEPSGTAVLSEPQVTSRSFVKVQAAMSSITSLLLSIAIILGVLSCRARY KREQAASQNRTWNGVTGLTTMDSKAPTKSKTSRFFNKSTHSSAFENSRNGASTFQSDN FGITSSNFGGNTTLNNTQSFGISPSGRPDSGGAANNNGRFTNRLTLGEMNNKSEEWEL SDVKKEESSIDGFNDISPTSFESKMESEMESRLTDHENNGIDSTVALNVLPKEGKGRT HAI I203_01912 MSRILRRAFATFPARAGPSTTPSTIFPSAPSVSKTIPQAVRRRR TEHDHPSGRTTSLSPLSDSDLHYANIEKKFPYQLEKDYQSLLASATFDNAQLNDSELR ASFLKGTAEWRSRIRGYAPRGKVARHEYLLGVMGGQRISAELQQEGQIEGQEGEEVID PNSQIVGQRIYLPNIQIRLMRNHVKPGEEYDPYIATFRIPPSMTKNDLRSYLLAVYNL EVTFIRTDNYIGEVGRSRTGEVQRKSGSNHTYKRAVVGLNEPFHYPDDLDELYAQGLK NGVGDQWAQARDTWLQDNYSLNISEQMRKRAMFKYYRGTRWRSKTHANMGNTIREIMQ RRQEREDQVSEEVKRRWSAIAQESLGGEGQAQTA I203_01913 MQHLDTRLQSFNAITKPKSKVKPAFPLTEKTHPNLTPDLLSQAG FYHTPGSTEESWDNCKCFMCNLELGGWDEGDDPFEEHSKRGNCAWADMVCTVKIEKRK RDRSDGAYTTTYGTEESLPQSKESALIREQTFKKWWPHKQKSGWLPTVKNLAQAGFIY YPSSTSKDTAMCPYCEYAVEGWEATDDPWQVHQSKVPDCHFFRAGLANGGPSKDKSTK AAAKPKKSEASRRTKRATTAASRSVVPEPEGEPTQATNLPSKPESITVSEGNDAKNKA TKPRSTTTRRKTAARGKKKEDSEEVEAEPEAETQNKEVDEPEVIVIGNDTITSISQTQ LPATISEEEIPVEDKEKKGKAKKATKAKPRATTAKSKGKKKKVEEQEGQTEDEVVMQE SETEGQFGEEPESIPQPRLRQPSKPASQNKSPSSKPLPPLPPASPISPRPLSQLDRFT NIPPSSPIPSVSLGTPKPKSLLRSTQPSSANRPSPHSQLSREALDSSLTRGAIEARKV MEDLIASPIINTNIKNDREKMAEGKEEVVKLTEEQKKMTLEDLIRMEMKNRYREMQKE GEEMIERWEEKARNERKKIGAI I203_01914 MSSSQGQGQGQSQDQQPPANMQSRWSSSTEASTGRMSHLGAYSE GAPTPPIRYSNLSSAPPEYTSRQASARTSQHSEAGSAPPRYTSQATSARSSQYPPTTT TATATSSTPSAAGGATTTATAGPTTYTPSGYPQRVATGGTYIPRGHPDYQGGSGYGSG SGDSRGQ I203_01915 MVFGNSLPSNRSEHVLNYWTDRQTKYISDGTVGPGSIPSSALPE LSFPTRQDHSVYPKGPRPRILSSDIDDDNTEEENPRKLRVGISPSTSTSRETATGTEE GTKKRMKEDGGSEASMTKNDIRTDSQSTNATSKTSDEADQEDKKEEKAITHSERFDST VSSNTSSNTRDTSTKGNSDSESSNTVTSGWRSVPVTTTIIPNGS I203_01916 MPPKRPLKRRGEEDDRRQTKKAGKNKREITYDTYDEALDGGVEM EEKGERYRDGDKAQRFYERAVELYEKALGFSQTYDAAYNQARALYTLSTSFLLPPTSL APLRRSIELYRLATTLTNSPLLRMDVAFNLSQSCSSLADILEDLNVDNTQIDEVRKLR EEARDILQEVMDGQEEYLRATSEEDDVDETEEVVDEMEVAPADSAQDEQNMQVDEDKD QDEDQDEKEGSFETHLPTRSTYIDTVLTLVDTHLSLWTSTTEPKTPSEEEQMAVRQIL DRAGVLAPPGRQAELDLCEVKVLLGMDSIVWEIYKNQAHPNMGLEKSLEGAIAALTAI LGSLDITPPEDLTVRPEILTILSDTHMENANRMLVLNKQLPPGPSPLAQQAWYHLSQA TSHLTTALDLPTNALTPKAFKPSVLLSLSKASLRRARLADIHETAKRNVGQLTDNAFT YAARAGEGLGVKFVKIDGSSTTTGSGMSLSIGGTMGGGEELAWQSGWESELLIRNIIL HQLRNGLYATKTDLVPEEQDRQKYGGVLQNITKKLSGLKGERKLGADDVKRFLAEMED EEGLIEDLEKSWWNELFA I203_01917 MSTTTTTTTSQNQPFTLTLSTSHFPPFTFTPSPTKPSSSPSSRW ATIPTCPASTPSRHINQKDISKSQSSGTINREKTMSMDRDRLSIDTFTSVIERDTVYS RASTVAAILRSLGSSTSEREQGINALCGYIEGKKGKGKNEEEIRKKLNVLESMVSADL GEIFVKASLRSGLARKTTHPPPPFIPPAEESPRPDNSPITYTSPSFTFSFSPVSSSSS FATESGSISISNFGYNPSTSSITPSSSSSPPPLTSSSSPHTSIPFTPYSPFARAHTLT SSSTSSASSLGRAPTLLSPLAIGGWGRSWERPLLRSNDDDRKSSSRQKMVFDGISLKN FPNPPDHIPSPSSPGPDEAGKIDTRSEKHIFTREFLDSNRISRFFHVDIDDTTHDLSP TKSTSHTLDQSWLSLSISDVDDKSSVGLPSAGLPDSTPTSTSSETGSGAFEWDRIIQS QSAMGVGMGLDDERTVTGIESSRWSAVISNVEEIASSQDRSELDKGPTEASLLRDAYL SMINTPPLIKIPGPEQGDSHTILMAYAQPAAA I203_01918 MSPSTPLEPFCTAILRTLRRSLIPPNLPRPLPTHNVRSSKPISE SAILIPLMNIRDQPHLLMEVRGRGLRVHAGEVSFPGGKADQTDDSLVHTALREAQEEL AIPPSHVEILGMLEPEYSLGNKSRVWPFVGFIHSDPQPFPSIPQTLPSLPLSDLTPNP EEVSSIITLPLSALQDPSKLSVHYFRLDLNKPYYRIDCKDYLLNQHNRQLQQVKGDDR HGSYQNPNRTIGLEENGESSEKLEVWGLSGWFLNKLAKRAGWMEAPPKGISPED I203_01919 MSSSLTEEEQQLIDYRNATNLRSVKRADPSVAQILETSVYSVFY HYDDQGGKWEKQKSEGPLFVVQRDKSPEWSLYMLNRQGLKNPAIPLVPGEMKLTVIDQ GMLQVARRGDKQRIGIWFSEGPDAVERFRRTVLGICGEPSKRTDLPSSPANSAAQPVP QNEDGLSRLFAGLMKSPPIQSPPVQPAVPTPPTQTTTITTTTVSTTSQPILTAATAPP PPGPIPVPTPADPSSTHAHLPVSPPAPPAPIQSPPGQTADDLLMSILGLVPPQTPQQV SPHQPPQPSGPEFQNGPPTHNIPPVPYPPVPDLQQFPIQQFPPQAQMQQYPSPHPHPH PPFPHGRPTPPPQVGQYSPASQPQQPQQRYHKIGDATFAQTAATAAAAAAAPSPSTSN ASPAVSFHTPGSHTPNLNGYKSNIESRGIIAESFVDGLQRREQQEGLRVAGIGLGKEE RKMEFRRRVTDLILSDERFVDELWETYLDRMSRAAQGG I203_01920 MSLPLHLRSYREKSKDELTRDYFNVPVQSSLPGPSDPPSVVKLR ERPYKNGQEELQSMDINAVISVEGKEEVYAGRLSLLPPFLCFISLDRKSCRCTIPLYT IRRVERLNSRAGVFALSLATWHGMRIILQLTSLLPTAEHFAILLRDALKSQLSAMKQL KPFLPSLYSEYLLSSPLNEKAGDVGTEAESDLRGPGGEGKGIYQRGLGEQFGFPGDAR KMRERSKMKLWREYFMIHGRNLTLLRYPPFQRLLQVGLPSRLRGELWEVMSGSVYLRF ANPETYGLLLSQNAGKSSQSTDEIEKDLNRSLPEYKAYQSEEGLARLRRVLVAYSFRN PELGYCQALNIVVAGLLIYMSEEQAFWLLEVLCDRILPGYYSPSMEGTLLDQRVFESL VHRCLPMIQEHFVSVDVQISVASLPWFLSLYINSMPLIFAFRIVDCVLAMGVKVLFQI GLAVLKINGEALLEVTDDGMFINLMRSYFATIGDSAHPDHPDPRVRAITNFQELLVVA FREFNVITDETITAERKRLRAIISDEIEKFSKRAAVRNLKNVGKFSKDQIGIIYDHYF SAICSPEAGPSTYPGSSPLPGDQFDQPRIQVDAQGRVETRIDHRTFRVFLSQIATWAR EETVTTNAFIQRTDRRVADHELIDRLFFAWDSQNQGTLSLQDVVLGLNRVMTAGLMES IEWFFELHDKDKDGFLTKDEVIQLSESLLFIFRNEPGDIYLAAVSKFILNAYEFGDAT APEGSVDEKIPIHGDKETASGARERSDSSAGPHNLPYLNLATFRMVVLADELLENFFA NDLTASFQLEQTGDEDYHQAHQRPEGLLGGLMNLVVTNENKNRLNRLADGFGAALGKH AEWRKPALTKTVEPSTSSGDLKARESLLTPAQQAGQFQRPTPPRQRSSSAVSQASTKT VESTTTIGTPDEKRSLADVEARYREESQMVKAAQEAVMHRPNFAIDAIGDSDDDGEGE GDDVMDEVEAFLKAHGDDDEGLKGEQKKVAEDLLKAEPMGKGKKKDSSSTSTGDLVDL I203_01921 MSPILKRDISEQYRQAKRLPSATLGSSSNETLYDNYERVYDDEL GESSFGGRKNEGYSNSSSARQGIGVDKGEVESAFPTWKGNTTPQMSNGSWKGKGRDTR QGEHTRVAAAEEYELNDSLERDEEYIKRSDSISIDGDLDKPIRSRRLDMDYAEPDDGI RNTNLKGIGKWRDLRSLLLESTPSLLLSLVGLVFTGELLEHLARWRVFRRVDELFILV PMIGNLKGNLEMCLSARLGTSANIGELDHKPTRKKMIMANLTLLGLQALLISCLAAVI SFFLGWITIHRLGDSPSPGDTTTVIAPPEPEDPGLSMAGEEWHEGYTRPGFKQLLMVL ATGMGAAGISSAVLGSFMSSLIVLARWWGADPDNITPPVAACLGDLLTLFVLALLGTA LVGAMDTVIPLLAVIVMSIAAGWFTRRVMRNEWVKNVAKGGWVPLIGAMLISSGTGMV LAKGVGEYRGFALLAISMTGLTGSIGAIHANRLSTSLHTLLHPKPHSETLSSPSNSMN HHGLTPLQSAMTLYLIAFPCQAAFLGFVSWAGWIDINLGLAGWACYALTTGISLVLAH WMTLFFWSKDLDPDSYTLPIHSALVDFLGQLLLMLAYEICIWQGKDVVIHDKVERLFS PSSYT I203_01922 MFDPDFSSSSSTKYNDINLSTSQSTSSTALLSNIRVERELRERK KKEQNCAITIQRIWRGRRIARRFRDDLISRLEDDDDVERVGRGLVVLLREGWGRDRGR LEALVGNWCEKALKKDHDGIHRSILPLSKDADWSIILGLLSARTLQLVNLNPILTSTP VLLAALESVFDPLSYSHLSQETQNYAKEILVGIVESRSWVETIVQSIEQLVALNLPKK KHPHLVPLTRLLTAPFSLISPASTSPLFPPLINHLLAIPSLPSSLPIPALSHLSTHLN LFTLLLPYASQNPQVLKEGRLQNEIGKTYFLANLTTFGITGGMLSRFGAGGISNWMNV IGNVLKDVNEGWGKWVDGLLDDDDMEMNGMPIMIDSDEEDEEDQNDGPSNKVVPIKQN KQPRRIQLPKAISNKVVILATPQHLSTLTTHIIQPSSKTPQSFINDFSMFCAGLLSAF RGSPKWENVLDSLLSKGLIRRIWREGVRGRWMGSDQREVWDGFSDNADAPALILLTYV YNHYLLLTPDDEFFSTTSNALSTDEILELAGIWRDLAFWGYMNGVSGNGSSSGPATTM GKEEIRGLFTRGVTRVVERNARRQFADPDFWTMKSQLDLRGFVEAAVFEDAELTSANN EGMDIEMNDSSSLPRWARARQRFTKRQMAYISPRLGLLNNLPMSVPFQTRLEVFRKFI ESDQDRLGLDYHSRRQRNYAKIRRSHIAQDGFDELGNLGPALKSRIEITFVDQHGMTE AGIDGGGLFKEFLTNLSKEVFDTNRGLWLATDQNELYPNPHSYATEPHQLEWYRFIGQ VLGKAMYEGMLVDVSFADFFLAKWLGRQSYLDDLNSLDKELYKGLIILKNYPKPEELA LNFAITEDDFGVKRTLNLVPNGSEIAVTAENRHEYIQLVCKYKLDRQISAQSRAFFNG LSDLIDAKWLRMFDQQELQQLIGGEETLIDIDDLRAHCSVDGFPNDTTPRLFWKVVKG FTQEQRRALLRFVTSCSRPPLLGFGYLYPKFGVKFNGNDTSRLPSASACFNLLKLPGY TTEQSLRTKLLQAITSGAGFDMS I203_01923 MSTATAPQTPPPAELRERRPSPVDKLDPAFEPTTPEKEESPLNK LAGVHNIKPTFEPSDRHIPDNYVTYTIQHQKYLPPVTWKTLLQNIQWISFLALTITPS IAIYGIFTTAWNTKTAIWSVIYYFITGLGITAGYHRLWAHRAYNASVPLQFALALAGA GAVEGSIKWWSRGHRAHHRYTDTKLDPYSAHEGFWHSHVGWMLVKPRGKIGVADVSDL SRNKVVRWQHKNYVPLIFTMGFIVPTVVAGLGWGDWWGGFYFAGAARLVFVHHSTFCV NSLAHWLGEQPFDNKHTPRDHFITALCTVGEGYHNFHHQFPMDFRNAIKWYQYDPTKW FIWTMSQFKLASHLKRFPDNEIKKGQYTMKLQELQEQADKLQWPKSSNELPVISWDDF KAESKERALVAVHGFIHDCSSFIEDHPGGAHLIKRAIGTDATTAFFGGVYDHSNAAHN MLAMMRVGILDGGMEVEHLKRRPAESVASSVTNSPVSSASASSVDIQSLADQDEDDRL YQTQLNSQGPHPKAPFGQPQAQVADRWTLSVPPSEKWRIVPTVPEIRPGLLGRSHSLE KITRADSRGETAELIGEKA I203_01924 MADHPVLKYVSAGAWSLNDVLPSEKLFHAQIPNSPEARWASIPP VLEKLKVKAKELGLWNLWLSGGDFQGMAGGEGGGLTNLEYAVMAEIMGHSAILAPQST NCSAPDTGNMEVLARFGTPQQKEKYLVPLLKGEIRSSFSMTEYGVASSDATNLRNTTA LPSSSGKLSVKGHKWWITGAGDPRNVIHIVLAVTDPKNPSPHKRHSLVLVDPRSKGVK IVRPLTVFGYDDAPEGHCEVVYDGVEVDVDSGVVGGKAGLGRGFEIIQARLGPGRLHH CMRSIGIASRALDILLQRVSDPNRKTFGKHLREHGTVLADIAHSRAEIDSARLLVLAA ARRIDIGGAKGAMQDIGIAKFTVPSMALKVIDRAMQVHGAEGVSGDTPLAYCYASLRT LRYADGPDEVHIQQIGKNELKRVEGLRQRSEKVKKESDRLLREDGKLKAKL I203_01925 MPALRKNNQSSSDDGTPSSTSPSPSPSKGWTKEQKRQLFYHVMK KGERDWGNAVDGKTGHQKNTLTSNYQSLWILKPAPSHDC I203_01926 MSLHESIKSEDNQSLLSSHITHTNSSPPSYDQHLLDTPCVSLDS TARKPVTSFTGTNPNSLLTFHQDGQCDITPNTVNYGSEDEDDDEEEEEEEEEEGEMTI IDISGGNDHIPEGLLDDHTFGLLAAIALGLRSQKISSYRGVNLASTLGSNGVSKSRSR AHSSYKSSGNSYESTSSRAHTDLDTIEEVDCSGMTEVERDPFDLMQADHQGRTDPTSG I203_01927 MPQSSYPSDQSVEDLLSSWEPGTKLDLSLRNSYNPKGAESSNWF DQSDGQQRSWSPTPECYDRSSTPGSQAPSLSSSNYSSSDRSPPTPVVFPVIPEDESLP SDAFSSSQNGASSYHDQPSAQFVSEDRYTRSPSPLQIRPPADSGGQENSKPSQRRPNK TAQLQDWDFQWSRPRSTSEPRSDSAHSRASESDYGGSPISTSPFPSFHDRGPSPSVSD FSDFSGAWSSSSERSTPAPSLPAGGRNSSSYTGLQRGTVTHGRGYRSSSSVASSGASS FSSGQSQGSESAKIRPTLDPNSAYSRLILERESRPDDTASGVSEGRVLPSLSAWAKQQ AKQKGSTNRGYHRGLDGVKSRFQSSTPGLTTIERTDPETEAFWSSMEQHTAEQTQALK ESRKSLTASVAGYINK I203_01928 MSSQKVLITGLNGFVAPHIAVEFLNNGWNVRGTVRSKSKKESTL NLPYLKKWADQGKLEAVIVEDFLNSDWTEALNGVDAVVFAASPFYMTLTTYEEFARPA IEGTQRILEAAAKVSSIKAVGYVSSTTALIDFFQPLSSYGGKLVTKEDWLPWTEEDAI QEDFASPQWYCISKKYAELKARETKEKTAATWALSTYVPPGIYGPIQQVNDARQLTSQ FGSDLSTTTLYSLLCGGEDAPLPPDYNAQYVDVRDLAAAIYAGITKKATGRYITAGDQ IVHQRLVNVARKVRPDLTKFFPKGDPQASEAVVDGAYKYDTSPSQTELGIKYRTLEDT VRDSIARFEEMGVYKSA I203_01929 MAYSGHYEPNASTFSSSSLDLIPYAPGRYYQPGHIIGEAEDSPA SAVPSSSTDRKSRNKLHFFDNINDPSSGTEEQEQEPRSRDPWEEGFRSARETLSSIFS KKRNEPDTDTKRESFIPPDPTVEDCPEESDNSQDSRGTSWNFDSSRAGRTNDRNTRED EPERGRSNQRGAEQFRSGFQPTESTNRFPRQPSPANLKQKRSATPFTKDILSSSESES DSESNDEMPSNDTSRHYYMSGANGPGDSKDNRFHNFFSRRKSSYDQPQPQPRGDTYYP QMSTEQSTSPFTERIRNRLKKRRSGDDSTGQRTNIFGSANTSQYPHYGGYPLSPMDPS MGYTGQPSPPWMAPSMNQFYGGYGEPPMMNPSMMMGMTRSMMGMQPSMMGTNPNMMSY GSGFSGWGPSPPTYNQSMGSHSGFFPAGPEPINNRLSRLTVARVAMEAKATAAAAANT PTSSTAASTTPTNLSQLLGGSGASQPLSSLGGQSNVNDPNSRCPQTRFANIQGHRIEI PPFQTLNEAISAQVSESQLGLSYGNTQQSDLDSRSMQDRMVTLRTGTGQSLQVPVPAG RSVNEVIDLLRRSGRL I203_01930 MAQFAYEGSTRPYFTSFVPSSITTTTSISPNTRSELTQLEDHSN LDSGNSTPTLSSGISSSSSTSTSTLCTPDTSPTSTPHPTGSERMNALFDDDDVGIEKM ISLLTSDVNITQDQRSTMADTVKCYRRHDELTLWVDREGQDRGVKLILEEGRRRWAIW KEV I203_01931 MWSALALTTLLPLAIAINVPQTYINTAIARTIELGGLTTQITTQ YNIKPLDNSPGEYHLALAGENDFEPAWWEVSLGGKKLEGRLLDESPPTVAIQLGKTKK DEVITLSLTQVLAHTSTPLPAEIEQRDSQYLVWNTNSTYVDSWYPTDVERVKIRAPHP SILSYSSVPETYTRDNTITKSGGTLTLGPFHSLPPTLGTSQNKIAEQKPFSVHYETKD PVIGIRNLQRSAEVSHWGANLNIQDYIELVNEGPKLKGHFSRLAHQQSKFHASVPAQI LTGFTLKLPPTAHSPYYYDYIGNVSTSHFRQGSTPATVQKNKKVRTSPRIVEGTLELK PRYPILGGWNFSFTVGYDTPLGDVLKTDNEKNRKVLSVPFLTGLKDVVVDNAELKVIL PEGASDVQVYTPFAVDSIEHSIHKTYLDTTGRYAITLKKTQSTENHAQNVYVTYHYPL SAQLQKPLTIASLVGSLFLLGMGLRRVNYSIEKK I203_01932 MDDDYYSLNSILAENHKLSCSFTLDVEGLGYLEGGTENNIHQHS KVELPFWLAQTLSLNEFTTFPLPPPYSNRVKAALNASAQSVKLSNLVGGNGWWYRWGR RIADVLDDEPQADLLNTLLKAFINRLPALQDLSAHHASADHTLPESSTSTTETFRDGM EGDERELFAIGQESGKMTRNWYDSNGSRKGGR I203_01933 MWLHLPLHVLAQSTTVSRSAAVSASTTVYTGIPSLVFGSVPTMT ACSTGLILWNVYNEDSSKINITLYAINEGIDQSIPSPSTTSAAAAAATVTSQSSRAAS TAAISSQVALASSPRPTITSITLSSAAASVAPNKRSINERTILDLNISLVTQYANHGW GFNPVRLPEGRYYILGVVDDDRQTTNKSNVFSVVESDDTACLSAFKSLSASATGTATN NSGVPTQKVTSIPASTTNGNGTGAAPGSSEEGNSSSEKSSLGGGAVAGIVIGVLVGLV ALVLLFMCYRRKKRSERDRNGNARGLHSEEGGFVKFGGHKNHHHKMPSDTTVPSSDLN HKNPIAMTPVHARADDSFRSDEKRGSLNSLEQDGVEDLPLPLPVFTPTTATSYNTTSS VDGGSGRRRSDPFTTPTLGEIPTMSPIYSNDEVRKLAYPTDSSGSGIAPPQPVILANV RRSSQEESPRPGVVGLGITGSGSGAGLKSANSFTSQSSLPYHQQPKTSPPVTATAGQS RSEPRKKRASLHGLGSPSASNTSPDPNAFDIPSSGSGNNTTPPSGGGLSRSTSSRRKP VPSLGPELRTELARQGSLKDLKNTKEKNINESGNGENGRRRSYKLMPDPPIIQE I203_01934 MAFPTLRLLPSLSRPISITSNRSLRSLPIRQFSSTPTYHNTNPS SSKDPSHPYLHYHPNSTHLTLSFLPDPPVKRSKTILGYLPLGDATLDDFREEPGFIDT LHSAIQSGLELGKSDSIKYEAESRPTDGWIHVTDERAIPPVGRIGETEDLIGSVYVQD GKIIASTYSPLPTYRMITPNGVLTLPKGLDEHLIEVLKKIDEEEKDQSQ I203_01935 MSEDRKSRWDDPSASSSTPPQGNNADASDAAARAAAIAAKIAAS LRPGVQGNELMKQEKKDEGDFVKDIEVNDLRNRYVLTKGSTQKQIEEETGASVVTKGV WVPDRTKMPPGESPLYLHIVATSQSILDAAVAKVQELIDQELGPLIDQRTLVARNRAL GLPPPPEAVPAGRQKWPEEKMYIGLESMRNFNVRAKTVGPGGMFVKYIQAETGARVQI KGQGSGFMENDTGRESDDPMHINIAAPTQEQIDRAKTLCNDLLEVLRQEWTKARDSMS NQQQHHGGYQQQGYGGYAPTPGGQGQGQDAYAAYYAQQNGQNGTPSSQPSATPAGAAA GGATPAEGTDAWAQYAAYWAAYGYDVNDPQFQAWQASQYGQQGQGAGQAPQAGAATPA P I203_01936 MPRSPSPARSRIRSRSYTRSRSRSPIDKMRRSSHTPEEENLSPF LIRIFVTKGKHVPLVEFDEGNIPLRDEFEVYGWKSSTPSSLFRSLIPSFPPPYRSPLA RYSFRHIYVDASARGLYRSKDLTSFTGRDLFDNYSNNTRNGEEKEKEKDKMDLDLDDI PQAQSSSRRKIEEKSLDDYGFVTGDLLSISLYVPEPKLPASSARGNRDRERDGAGAVN GEPKGRGSFGWDKTAPNQSQAKEEDHWQRGQPLPPQDFRGGPGAGAGLSIRGGRGGGS WRGGPPSGPGLGIRGGGRRRSPDYEGEGDRERDRRRSRSPDSRERRVSWGRRG I203_01937 MLTLLTAIENIDKDKHDASATDASQAGSSQSADDAQDQPPSPQS GDALVGWKGKGSGLKNVVQEHTESGGTPTATIREQQKALDVLAAKVNSQDETIRQVKE RLSRLEAGSKPTSFTCQPASAESSEINSHPLTEEATDQAKHAPKVLPLHLDTSDHPVS NPNDVNDQHNLPTEIETSPDSSFSDEGYDVVAVSPNSPSGNRSPIVEELKAVEGFQPG TPDPFSPETAAGIEPVESQSSNPDKSEVPPETSSLDTSSPSPWSQTVVPSNDDQASNF TRELAKQLLLLKVRIIQLEEDHNASQLEIQSLKTEIESIKNSQPLTRKDRKGLKHLQD EMNKLRIIQNNDIKNNIFFKELLEKINERIEDMEPGKMASLLNNLNYLKGRLEVLEDR LKLQERRIGDFADDNTRIREV I203_01938 MVHNIDPNPIRLYIPEELLRADVPASRMDWADLINRQDYVDIPI AQDQIDMWMEITDWYERSTNSRSNRSITNFSRAILHSPAYTVDFPVDMVHIKEEVEDS NIPSMSQINKQLRALTDRMNKSQAALEASIMAKVNAHLNTLTDRVDKLESSLDDITNH VKQFDERFSHLEARIDDITTERRINSINLFHPSLAPISGEANHQAQEDLTIRLDRLSD KLVLTTSQIDRLDNKVDRLDGKVDRYDIKVDKLEIKIDKHQDVINIHDEKLQGSSETI GVMDDTISQLGDKLKEHAVRTDEMDHQLKRLEGQMNDRIKEEEKMEVTKRSIKTDHDG LRKEFNNFVEQVNVREMNRVLLEIPRRAHPVSNLRGDSNPSKTSNNGLFLPCAIKEMK MDDLDKWVTFYGIGKDCKDFGFLEDVNKQGKQALLYNFIGGSNKPSYFKG I203_01939 MDPETIEEFREILMRTTFPPVEVVTARSIKSEFEASFKDKLDDE HIRTFIDRVNNMDNSLEQFSVDIKLLKDQIEQIGYDKSSSENLEKVEQEDIQLDRTSD RLNLTASSVDRMDNKVDRHDIKVDRYDTKVDNLERKIQDFSKQVITYEERLEEVNQSM EELKKEIENLERDL I203_01940 MDIDSPPSPFNPDVTQNSPHKHLELPGFSSSFPLPFRVLFLIGL AQLLWAVNLHVLHVLGLDISWILDLRDDPDPPLDENETEEGVIELSVPSSLPKTISLD DEVEPDTPIRSRASERIIRPPSNKLHGPVYKLFLLYSAWCGSGWVIFRILTNGVEDEM ERWRVIPGLLWVGILAGVFVPWRGVGERERAGLRRALKRILLPPLNAPIFFCDVILAD ILTSFAKVLGDMWISACQIWLGEITHGRVGRSGWAGWITLGMVSLPYLLRFRQCMLEY YQSSWTSSRPLANALKYFSAFPVIFLSAAQKTVVNEIATQKGISVQELGEMHDRWFGE HRLFRLWLLAVCVNSMFSFYWDVEMDWGLALCEVDTWLGPKGGRKETALGILNSPLLG GTRSGPRRGSNEGLISKIKKFWNGSRNNTINHQRSPCPTPNPTFSPDSSSRTTSLSLS PPLSTARSLFAFGLRPILLLPDPLVYHLFTFIDLVLRFTWSLKLSSHLHTISEIESGV FMMEALELIRRWMWVFIRIEWEAVKMGELARFGRSGGGAANGGAGASTLWEDKDEDR I203_01941 MPARATFKDKAPLKTLAKASKSCSAQSLAYGKCVGKSYQEVSKS MCEEEFKAFRECVQVGDHVSIQLDRNGCISNR I203_01942 MSQDADTDDTWCNDVEIKDSGFLGSKHHHSNTASTVTPNDISRS TTPTPGNPHPDEIARPPVAKSGMLKIRVTAAKGLSLPQGVPVPAPIQAALSSPTASSP RVPTNLKAGNRDSLQRRQVWWLPYVVLEFDKNEVLVDALGGDLSSPVWMYSATFDVSR ISELSITAYLRTTQPHQDQDASKKGGEEGDDMGNSDLCLGGVRFTPDLDVNKTTDEWI NVSGESGAFHVQVSFKPQQGQPLTIDSFELLKVIGKGSFGKVMQVRKRDTLRIYALKT IRKAHIVSRSEVTHTLAERTVLAQVNCPFIVPLKFSFQSTEKLYLVLAFVNGGELFHH LQREGKFNETRSRFYAAELLLALEHLHGFNVIYRDLKPENILLDYSGNIALCDFGLCK LNMSASDTTNTFCGTPEYLAPELLSGHGYTKCVDWWTLGVLLYEMLTGLPPFYDENTN EMYRKILSDPLRFPDSMASEARSLLTQLLNRDPARRLGVNGAQEIKDHPFFAKHIKFQ KLWNKQIQPPFKPAVASAIDTSNFDEEFTSEVPLDSVVDDSHLSQTVQQQFEGFSWSV SPLGESVGRY I203_01943 MSLEGKTSQVAIVCHDGNDLRTGGPWVICDSSMSNFSLSEGLFS GGEEMMWEQREIPQPKDGEVQIQVAVTGLCGSDLHYYLHGANGIFKIREPLVLGHEAG GIISAVGPNVNPDFGLKVGDKVAMEVGVSCKSCKNCRKGRYNLCKNMRFASSAKTFPH LDGTLREVMTWPAELVYKLPPTLDLALAALAEPLSVVLHAYRRANLQPGARVLVIGTG AVGLLTCALARASGSTTVVAVDIEQGKLDFAKQQNWTTGIFCLPRGPRVSGLEALEAA EKSWKALKESDAVQSVEGLEDGFDAVFECTGVESCMQMAPMAAAIGTKVLYVGMGTKV LSLPCGPSLLSEVDLIGVFRYANTYPDALALLASGKLGDVGAMASHYYPLENAKDAFE DLKRGRDANGKTVIKPMVGNLKLVGK I203_01944 MLSSKTIDSVVQDTASNPKHVVDQQSQAMSPIPQDQDQEDIQTK DLEGIPEGLVDKAEGITVPTVREDGMRSPAARNKKQKNVAVLTSGGDSAGMNAAVRAV VRQSIARGCQAYIIREGWEGLVRGNATDPTPFTTPRRTPSASANQSPVLQPAKSVSFS SLPPSKQMDLEKATHELNEQAEEDFEKNRVNFTDPSGVAPLSNAPLSFGFGELLKDGA GEGDIEEMQAHGLQSMVIVDEEDEKGKSLKGRYIVRVGWDDVRGWLGEGGTLIGSSRC PSFRERSGRLQAAHNLIKYGIDCLAVCGGDGSLTGADKLRGEWPSLMDELLAAGKIDE EQRETYRHLNIVGLVGSIDNDMSMTDLTIGAPTALHRICESIDSIASTASSHSRAFVI EVMGRHCGWLALLAGVAMGADFIFIPESPPETDDWETEMCNLLQSHRKVGKRKSIVIV AEGALDRNLKPIKPDYVKDILVDRLGLDTRVTTLGHTQRGGRPCAYDRILPTLQGVQA VQALLEATPETPSYMIGTRENKIIKVPLLEAVAETQAVAKAIENRDFATAMSHRDSEF REMLQAFQISSSLAINEEAPKDKRLRIGIVHVGAPAGGMNAATRQAVRFCHHRGHTPV AIYNGFEGLLDDNVAELSWLRVDTWTTRGGSELGTNRTLPSIDLGSVAAGFQRHALDG LLVIGGFEAFHSLMILEQNRANYPSFQIPMVHLPATISNNVPMTDFSLGSDTSLNALV DACDAIRQSASASRNRVFVVETQGGMSGYLATMGALAVGAVLVYTPEDGISLKLLQAD VEFLTKRYSLDAKGKSEGRLVIKSEKSSSIYTTEVLTKIFKEEGKELFDARSASLGHT LQGGTPSPMDRTRAARLALRCMQFLEQHAVPNSQTSSIAHRGGSGAKHKKPSYSTETA TMIAIRGSKIVYATMEEVLKHTDMKLRRGKDEWWSDIKRLAEIMGGRQGLISS I203_01945 MPTRPSTYEPHVLSLIQSTSYPPLPSVHSLEEIRGHLLDHNKAL SAAAAAASGNNLGQSKKEEKRKRKEREDEERERAALEANEKAGMRLEALERARVESQR QKAASPGSVRVKRERTSLSPAPSNASSASFRPGHGHGTPITYGGHLKKKKTKRVLDSD DEAASQARDRSVTHLSPPPPLGHHMHPHQSSTSGLKLKLSQSHPLSHSKHSRPPTEPS PTPPITGPSSHIDFSLPSVPVRPLIPPRPGVQKPMKPGPKKQSEVDEDFSNAKAPSQV AFPTFWSGVEPYLRDVREDDLAMLGFKADAPESYEIPARGRHYTEIWDEEDGNPPGTT PRLPVPNLRQQQILQQSNGPPTLPHFVPTSELRDDVLVDEQRGLGSLTERVVAAVVGR LGFGEKREKSDNSEKQDTSALEAEMADREPAKVDVVDLEERMKKELKAVMLLGEHDEY DPSNREDDEITSALRQSQRLLVQQTALNEARKSRLAEIAKQRLAYNEYQNLLEGIEKS IESGWAKRIKKYGSTPNKKNAHASGSSSKPPVPENLKKFVATRQHWLDTVGQLMKERP RGQVVGIPSSSIFEGIGEDEEKDERYVEDQIMGLMGDEMDIDENENDN I203_01946 MSVYVPPPQMSSHNPTPVTQQGYQHAQPQQPQQPYGQHGQPTFP QHQQHQATNGGYQSSTPSNVTSPTHSTITITNGTTIPVVHPSTIQTITPILHGKPVTA IAPANGVIGVGGTPVGPTATQSGSVSVLNPVNPVPGSTAHSGSTTVFDKSGGNSVLEH ASGATSILLEPKSNQGQSDASGGVIVFNPAHSSGIKADGGPVVLAPPGGGPIVVVDSK PNTNITEPNGATVVFNPSEHHESNSSIVQSGSNTVYSSDSTIARSGVLPIPKEIIDKV KWETEACFYVPIAAKELAEKGVIHLPLNEVEKTKTHQLLDYCLFGEGANLYRNVLAKG DALRFREKLDSFEKKVEHQHTDINIGSIKDQLKFELKNELLSQIKAELKDELRSQLRT EIMNEVNTEICKINGRIDKLQNYVVELHSKQNDELSARIVAVANRVDGLKREFKIFVD QVHIKEINRVLLAAGRWAHPVPTLKGNYGPDNLETWNDGRWTLYFPDVIKNLPEDQLD QWLESYWIGEHSRNFTFLKDVSVHVKKAILFYFIGGKCDPNFFPNEQKAIHF I203_01947 MSFGFGASAAKPAFGGFSTPNPQASTSNTGGSLFGSAPAQPANP STSLFGSTQQPQQQQQPATGGGGLFGSTANQPAGTTGGTGLFGSTAPQNTNQPSTGGL FGSTAQPQQQQSSGGGLFGSTSAPAPASGGLFGSTSAAPAPAAGGSSLFGAAKPAGTG LFGSTTTTQPTTGGSGLFGQSTSTTQPSTGGTGLFGSLAQPQSQQQQQQQQQKQGGSS LFGGFGQQSQAPKPAGGLFGGFGTSSTTQQPQQQPQQQGLFGSTNANNNNAFGQQSTM MGASTSTTMNSFGVKQEPDIETRIKHIQGAWDSNSPECRFKYFFYNVVEEGTTSRYGR PVGANDDAKWAKSLRDNPDPNCMVPVLATGWADVKKREQQQANLASVHQQRVKELQAA LSHTRQTSLSASIRLSNLQAQQTQLLHRLIHVVSQTPQYVPIIQSSAFKQEEAEMQKQ LESIKSELEGKGKNSIRPSSSISLNNNGRSTPVGVSKGRLLGQVNELWGQLEEIRRKR KLRNINGGGGYDGNGEGYHNWLSDEKALAEVAEILSTQQMALQKLSGLVHEGLEDTDV MRQGLGMISGNER I203_01948 MAMAFGASRPVASSCRSMIPVITPRSHFRSLYSSVTSPRIGFRF DNRMRSNRQRHACHTVRISISVRYYSSSNYGREKKTLPPDQQASYDRLRPIIDIFEAP IDWAVAYGSGVMKQAQVKPGDPPSLTDLLLSTPSPIDFHTLNLRQNPSHYPLHARKMG AKGIAHVQERWGAGVWYVTDVKINDISVKYGIISTPSLITDLAQWRTFYLSGRLHKPT LSLIHPSTPKLQEALDTNLQSALSLALLLIREESFTEDELWEKIAGLSYSGDPRMGIP GGENPQKIRNIVRGPGAREGFRDMYGRYLEEKGVRWVEGEKNVEGEVWTGDGEGLLCK PTSLEYHIELFSSLPTNLRQSVFKHFEPRQDIGTSEKEALKQVVQDTKFNSIISTELR NIIHTSALRQSVKGLFTAGFTKSFWYALAKFRKWLKGRGGK I203_01949 MIKLWSVKKDEEAANKKKPRMTAAQLRVQKDLTELELPSTMTTH FPDPADVLNFTLTITPDEGIYKGGVFKFTFSISGGYPHEPPKVRCTQKIYHPNLDLEG NVCLNILREDWKPVLNLSSVMIGIQYLFLEPNPDDPLNKEAAEDLRRNREGFIRNVQN SMKGGTVKGETFDRVLK I203_01950 MTSTQDHAGPSNPREGWHTTTLKIPFHTPQHAQIAKQAIEVDKE QNAVFVHRELDVEGDTLIATYSTTTIRLLRLSTNSFLSSLDLVIRTMTSFAPDPQDPI ISDEELERIRVEANRSTGAGGSIELKGDGVGAGSGEEVK I203_01951 MAVATQKMPKIPTSVPGGSIKTYYQNKIEAAELDITKKTQNLRR LEAQRNALNTRVRLLREELQVLQEPGSYVGEVVKVMGKKKVLVKVQPEGKYVVDFSPD IPISALTPNIRVALRADSYLLHSILPNKIDPLVSLMMVEKVPDSTYEMVGGLDKQIKE IKEVIELPVKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCRFIRVSGSE LVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSSRGGEGGGGGDSEVQRTMME LLNQLDGFEPTKNIKVIMATNRIDILDSALLRPGRIDRKIEFPPPNPEARITILKIHS RKMSLQRGINFRSLAEKMGHCSGAEVRGICTEAGMYALRERRQYVGQEDFEMAVAKVL KKNAESNMSVNKLFS I203_01952 MILRHRYPTTCSITIVLFILFTFLLCACPILASPEPQPIYIPRS LQSNNKRAESNTKARPNPNRPEYVAHPAGDLVCRPFGECEPCPRDELDQPFCFPFGNR RLLHCIPSSSAEEAKNGNHVGEVPAWEACGKVVRKERQDFWEFVTANLLFLIVALTIL WARTSALATEQYRQLAARIGIPSGGWT I203_01953 MSFTSMVPRLASRRIAQIHRISISQFSTSSTSSAASSSSSQPKP KSKSSKVVSSVPAGTKLTGLSILKDKPDPVALEDDEYPPWLWTLLEDTSKAHKAAENQ VELHKEGDKGFDVNKEKRKLKNLNREKIKASNYLKSTT I203_01954 MAEASADQITSIPCHLSGVTRVCFSPDGATIFTGGSDCLVRIHK ADQPDSEPGFHDNHTDAVTSLTCSRNNLITASEDNIARIFSYPQNEFTGFLTRSSGVP IRWVSVDNAGERVAVCSDDLLVKIVNVQDTTRVSLLSDNNKSVRSATWDPSGKYLTTA SCDGKLKVYDTTGSAPLCLKIMEGVIGSSESESTTSCYASWHPSGNYFAVPTRTNDIG IISREGWTKQTTFSHDGPKSPVGELAWSPNGKYLASSSSNIIYVWATDTRQVVAKYTN QEGAISGLCFSPKSNLIAYTSLDGSFHRWSNPIPSNLPNPYSTAKDEANKLEKLLDDE FGDDNEVDMEEKGEDLDDNEDDLFGDDGWIVNDDDGAPAGYGKDEEERKWGSGRTEVV NVTKAQTSFTPGSTEFKSKKRYLAFNMIGVIDVTDQETHNVVNVEFHDKSARRGYHFQ DHNKYTIASLGEQGIVYASKSAVDSPSTVYYRPYDSWASQSDWSISLLPGEDAIALAA GGGSSADGGMGSVIVATSKGYVRFLTASGLQRYVWRLGEDVVSMAAVKDKVMVVHREG GTSLDGCQNLRYSLMDLDTFEIIQEGRVPLPKKVTLKWLGFTSDGAPAIYDSAGLLST LDRHRRPGQARWVPLFDTTSLKKEGRQENYWPVGATSTHFSCIILKGSEREPWFPRPL IQEVEMHMPLLNLDDQQGKLEESLVRGHLALSTFTDSSDPDAEYLIKEKEVALDKEYL QLVQIACKADNLQRALDVARLMHNPGTIEAAAKVAAFYHLPGLRERIVGVKTEKERRK REKRNKPRISEYSHAPSPTPVPSSSNANGTSSKGFTSDFAPRTANARRSFGGVNRDAT PVSSAPRDTYIPETPGDESESITPAMENGDDGGSVSPIAKRRKLDDTENEAFAGPQSK KKGEEYPFPAANAAPKNPFAKKPAGSNPFAKPATGSKPLDQVKSTSFFDRVDDINSSG VSKASAKTKNPLKGKSREIPGTGKQTTLFGAGVTKRPVPGPNSMTTADSFVSTETEDD YEETQREDEEEDVSESRPGILEESNVQETMSPNEMEVE I203_01955 MANPDAAAREALTIVGVLGAIIAFSLFTWAYKRNKKSAKEKDKD KDDGKKDRKRSRDDDIKKDRDRERRDREKDRDDRKKKRDEEKRRKEDERKSNESPETP ASTKFDPSKQSDSDSDDHGNGPGGGGSGSGGPEGGGTAVTPNYLNSSFKLTPDFTLNV RSSSDDQPYTVRYHGDFTPTPNTGGGRGGGGGGGGWYPGGGGTPLGGMMTFPQTASIP IEQSVAGPKPPFSPFILGTSKTPYGFMNQLENQPILRRPKTPIAVPKYKPYVDIPQPT LISSSSLSSSLESELVSPLTPVEVRTAFMPSEMEIDPFTYSSAKPAKSHFSAKDLHHD IDDLQDILQGQQKEGVDLRPRRLSELLGVPGLGESLGPGGDDRYNPTMFGDLARNKSD EGMVSPIRAGKNMKGMSPIDLNPIYIAEDGEKVKTLSPLKADSPEKIKEKAKRREKEK NGKTWDEVKGRYVSPRTVDLPTPLAEEAKKAKRRQREIDNALDDHERVKAKTKKEKEK IPISPETVRNRNRVKKMKKQIVKVIDRNTGKIRNEEILVTDLSSFETEKVSSPRKRRA KVSIRDSASEGEELYEKEKARKKKREKLRRKLKGKESEDEYITVDEDGKQIKNKKKRA LISSDEEDERVRSKRKERKEGYYSDEEDVRPSRRKAKAKREKVRNGRGGVELDDEDED EMLYEKYTDQTGRPRLRKIPHDDPYQDFYRKRSRAKAGNAAGGGIVDYEEDNELDRIQ QGGQGRIRRQVRQPIYQDGDTIPLQNVGRDYQQSQSQVQQAIPDEPIMAQRRRVDQSV LEDEIARENPSLGRAEREELAKLRLQKMDVEHERDNFSTRGRKLGQDDSRVNEEEDEN VLGRKKRDGRFNDIGNHHEEVTRPGLQTKAHHLQDELEERKVVEPGQRRVVKSSENAG EGMMAEEPLKQNEETVLGHSERIEKAQGVETMKVESPQLQVPVKPQGPNERNRKQFER RERRRVGLDDDESADERQRECDETGGPAHENARSRQEVFALPAEPIKARKPLTTKDVY DVHEESRPGGSDHHSRIDEAVLENDRLLQGSGHPRRGSATEEHNDSRSEQDGKPQVNV EREESPTLRHGTRVRQHDELASSPPAHARRTEIKVEEVEEDKQPRERQRPKPLALEAP HKAPRNAATADPSEELQTQHRSKESPDGSQPSPVRGSNTQDDEKAKRRAEVLAGAKRE QRYETWEQIIAREVNSHKSVDPSDRVHVREKSMRRWMKRKDRMISENPRRGEEDLLID VVQGVVKKYKSKMQRETQSGSIPQDHQVRETTSRNHLIEAGEPLHRRQQARKESSPVR QEVHAQNDGRGDKRRRRERSMDDVDTTREVLDLSDLTDEQVQEYKRTGKLPSRHMPRK THDDTERAQPKTAKPALGHRAYRDDDDEDEDQPRMQLASTRPGPIPDEDADIGAPDGA HTAQKVTRKKAPLALSDKPEYLTEKEENNLRPTNDYKQDFSLYEDDPYEDAPVKSKTR PIVQSEKERPRPTIRDIVVDEKRGKERRDSGYVSDKGEKAGLELPEKPVPTKISIGNK DAEDKDIFEHTEDVRPVKYRSEERLGKDKKPHVPAQTGYETNRWPLEGKDPIQEFHET LMKENQHPLQVHHAFVPDNKPKSHDRIEEVFSDDDEAQAPNVTQPLNIPPKGKGTKPT KPGLRSDDDAHEDKKDLEDDIDAENTRSDMRMMGHRGRRNAKEAKKREKAERRDQVPD DFYQSDADDDDDSSIRGDRNAKPDQRRSSPVISPLSPNSRQIVAERAKIREERVEQNR EAVDDYDVSEEEEISTTRHKRRNEHRRVGRREQEEARGETKTKNRSKMEFGHASRRGR NTSSDRENDDTEEISLGDRSRRDAERDRGDKMDSDAIESSSTDRKAPQNRMPDEEDGD ETLVEDGRERSSRRRQADDNKANQSHDDEVPTKMKHPKVDKENANPDFDNQNTKPDRS VRKGRKGDQPIQDDDTDSDEEDQSNVRTRIPEAGGSDQMPGEPNRNFRHNGRKTGAQT DSDDSDEDDGTRNQDSKTRKKNEETREAKRDMEHDKAKAEESRRNEDEKMARRQQESI EKQKNKSKSSTHRDDDDDDDAHMRDYNTSRISWSPKILFRSGWGILKNAPIWSSFSAI GFILYVEITRQLYSLLAISSGSLTAATSAPSSGSGGSGELTKRAGSDDDLGLDLQLTQ TWFSNLFSTNGVESTSFFIFISLWNILCIPLLAYLIYSTLEKASNPNDKESTKSWLWR KIKNTIGSSVQVIFMDRRLDGFRSFIKRFSLWTMIRSTIFICQLIGTILVFRQIMSLA YLSANGSTSSTFSNSGDVVATITSLSEFASGLSNGQVFGITNFIFLLLLLNLSISWYT LLRSKKTAAKGSRSILKWISICMITTTFIVSLLYFQEIANYLIQSQNTTNMEGSSGTI VLISANFMFMGLLPAMGYVVYELGKMWDRKFPNGFFNRRRGSGGSGGGSSSFCWLDCG LV I203_01956 MTTPPIVILDNGAYNIKAGVSGVDWEPRIYPNSIARSRTEKKVY VSDEVDSCRDLSGIVYRRPFERGMLVNWDAEKIIWDRIFSPQGLNINPSESSLLVTEP YFNLPNIAETYDQMVFEEWEFQSYFRCTPAALIPYGGLFEDDTGIPPECMIVVDVGYS FTHVIPLRDGQIVWKHVKRIDVGGKLLTNHLKHLISFRQWNMIDQTHVVNDVREQCGY VSMDWKKDLELCKQNLKKNPIVQEYVLPDFSSRSTSRTGYIRSGPNANPPNDTQDKQV NGHSRKVEEDEEQILVMGNERFAGPELLFNPSDIGLKQSGLPETIAYVISMMPEEVRG MYWAHIGIFGGLGNIEALGERLERDLQALCPVDYEIGIYEAFDPASPPYVAATTLTTS EIYLSTYPITRAEYLEHGSSICRRRFGGPAYNVNPPGFTSGEVSGEIDDDERERRYAM GLESIKGRGGKKRKEEEEVISGNWGGRRRRAAAS I203_01957 MSISDRPSIPHPLSDYVLPHRFKVIGGGAGSLTELPKKQDIHAL RSAIRLALRILNTPAAMQATNAALSIQHVPEREWHLPLPAPLESLTAPIDERVLQWQK EFIRTGPFQIVVDADLKEVYGCVDKYNAPHLIRINADLVFGAGEAYQQRETGRNTYEA HVLFLANTVMHELQHAMRGQLRNCLKFTPPKINNRFKYKSLGFDENGQELVKGEGGFW TEDQIIGGTLRGNILDTTSRFSISSIPLLKDLFITFAFPPSEPENENQASTRHTFRIS CSDISAIVNGHPDWEQRLPFRPDGSYPISTGNHVPGTEYRPMLTPTNRYKCPDRDQSW QKLFPMPNSKAMSRESTLSVDVESDLEDEDASIIRTPPIPLNELVSNIQSLKPRPRAQ PSHPSVFADTSNDLYKCGTDDGLVFSEKQLKWTGEEWQKYCDSLSKSHKSPTEDIV I203_01958 MSQRIHLNPNAQRQQPMMGMQGGGYTPDPISRPSNSASYGTGGN DDLLGKVQAYTSKVEDMIEAYTQPIRPYVPAMARFLIVVTFLEDALRIMTQWSDQLWY LQKHRHFPWGLSHLFLLINVFAMLAGSFGVIGKKYPDYSVFCLLGVVVAQGLGYGLLF DLSFFLRNLSVIGGLLMVLSDSLQNKKKLFAGLPSISETDRRKYFQLAGRILLIFLFI GFIFQGSWSFARVIVSIVGLGACIMVAVGFKAKWSATFLVVLLSVFNVFINNWWSVHS AHPQRDFLKYDFFQTLSIVGGLLLLVNIGPGGFSVDEKKKVSCVQRTKMSRS I203_01959 MTTPRSSSPLNPNPNVRSSSPLSSPTPGSSSSRYQSFSLPSPSS YKSYSNGTAQLRSTRYMRPSKRASLPSSSTTPTGSDLFSEGTTPMEGLMWREKFSRRV EAREKRKADRNNELDKWRGLSGSIQREMSVEEEEEQDRKAQEDDEEIFRRLVILQRKK FQHASLVFHEMETGGSDPLTPEFWEDELEELQKEERELMNRLDNHTDEQNNQIQIQLQ PHVQDQDPTRNQEEAELEEWQIQAALAEQEELELEEMNFADQVEHAYFAHQSQSHRLS ADNVHTARMDVDMDMDLDSQVNWDAFDSMDIE I203_01960 MPRASETERPLVKLDFKEQLIQSGKRDTTDALLKRIKILHQKLS VLEQDGVDVRSLDPVRKPLIKDTILHHKDRGVKAYAACCLADLLRLYAPDAPYSESQL RDIFAFFLTQTQRVTDIPYYTEYYHLIESLATIKSIALICDVPESDTLTEGFFTGFLD IIRPDMNKTLIRHLRDILVSLIEEAHQIPQGVMDCLISQFENYASRPEVLSFQLTVDV CNQVADRLKRPIYAHFSEIQASHGRDPSNNDMKIITESHELLLTIYKFCPDLLLNVVP LLEDNLKVRDEDRLRQLSVKTLGAIFAQRPGAEDPARKYPSAWRAWLGRKVDKALPVR LAWVEATKEVLVNHPEVRLELQGNLLERVEDSDERVRAGVCKIIGALDYETALHHITS ATLQAISGRMSDKKSSVRTEAANALAKLWNLAYSEIESNNADAIKQFAWIPEAILGGT RRGGTLESRQVCLASIFKKSILPLPTDADDEQAWVDRLMFVTSRLNDDALLGLKIITG LPELAQGNLPFKAFVQACEDYNGGVIADGGQDAKARLNFIIDAMARSYFGDVDKAKRD LHAFAASNEARLFKLYKTCVDIQSNLSSIIKARNELLRKVQQAHDDLLQTITSLVDIS TWNIVNQSSIPPLIRRISKPESEASSTAAAKILAIIAKEGAPMFKTHTGQLTVAMMDK KNDKLVELALQALSAVCKIYPEVAPAEHRPVERATTVALEGTQRQAKFAARFLARSKE PQACEKLVASIVKGFKQTSGTEQLLTHLRALTELALSQPQAIQSKSDEIMTFIMNEIM LAPSPSADIESGDEWVEIEELESLDRAKILGLKFLTHRCLAFARDEEAEKILKPVLSL LMSVLDNEGMINENTKEGGFARCHLRLQASTCLLKLANARVYDRAMARQTQFDVVVGV IQDACYMVRHLYLKKLNKVLPTQRLSPRWNITPVMIAMDPETENVVAGRGIMQKIVVA CTNFSTFDKIERIEMPLARLLHFLAHHPDLHWTDPEEGGEEGISDQQNLKDMASFIEL YLDCVANRDNIGLLYAIAQGLKGIRDRVGDNSKPLWTLAELAQVIIKNRADKHAWSIP IYPGHLKWPKDIFHHAENLEDKQKVQRTQYLSEEVGNWARGLGRRNINPVSQPVRKVE HNNTSPSNENGNSNKRKSTTRTKKTPKKRRRIEESEDEDQDSEDEDESDEDGDESGSG SEEDEDEEMGEEGEDVLGRGGRRGAKTKAKRAVAGKKKSKKIKSKKEDDDSDLTDLSD I203_01961 MSNPILPLTGGPGAGPSSASIYSTEGRSQSTDWSALGANVGMGE GRSRGLPLVLRRLTKFRSMDFELAFWQLTYLVVAPRRVYKQTYHHKQTKNQWARDDPA MLLLIAGCLAVTATIWSLLYRFTPLQTIFLILKMVFRDFLLFSLGISLVLYLISNKLL LSSSSQQSSMIDENKVEFAYSFDVSVNSFFPFFLTVYVGLLPLVALVVRDNWICLWVG NTIFLIASVQYIYVTYLGYVALPFIARSELLLSPLLPLFGGYLLSLLGFNIAKFTLEF YFGQAWK I203_01962 MAEEEVDWDDDWRGAGQPHPEISGNENGDETENGNGKDDDAVIS LDGDGVEEVAEVEPTPSRNKVPPTGPRRGTNAAPENKIENGGSSPLPPGWTAIMSKSH NRHFFFHKESNTTVWEKPSNTNSTTQDQEQVQPQSPPLATTQENVPGTIEEPQTQDVS LAGQVQNNSSGNNVSSAHNSRLSKQPPSGPARRDLPSQQDVRGVEGKPHYDKYWAQRD TSSHPSQQSQTQDRRRPRDLSPGVNRTGYDSRQGDRDYKRFKGDDERRAGPGEIRRQH GPPPITTSNSRPADVRDSRSSQAPPSSAPADDPRTRPYNGAYVPPVVKYSNQTRPRSP SPPPRGSSAPYRPPPSSRYSRDSRGPPRDEVYSRSPLPPPSRGHDYPSYPSRGPHRPP AVDEAEIERAKIREEARKAQEKLEFLKQAEARLEREMSEKRNDPYTSRGPGPRRGGPP YDSRSGPPSSYDQDRRPYPPREHSSRPPEPSRYDRKPSYGSSRGYDSRPLPASRGVYA ESTRGGYDDRSTRGGYGYDTRPPRGASPPPFSGRPRSPPPPSGGRYSDSRRSPPPPSF ASRLGPRSDHGYGGGDRDLASRLGPGRGRGNELAAEPMSDRRSPPPPPSYRGAGARPL AERMSIDRDRERGGR I203_01963 MSPNPPSFLLPYLEKYPIQAGALLTTIYDLTLSVGWIDTRLTDL GGWVALIGHKTKSDPLRAVIPLPIHTTSLKPSSLKSIFNALSSLSLENLPQPSEKLAP TMDDLKLTIQEQSGTNHNVNDQTIQAEEGSILDQETIYTSIVTPDSTVVYYKISRGIK KPNDIPDE I203_01964 MSETIEFSQYVVERLKQAGVKQVFGVPGDFNLGLDYVEADPDIE WVGNANELNAAYAADGYARVKGGLAVIVTTFGVGELSALCGIAGALAERVPVLHIVGA PSTKLQSKESLLHHTLNLPGSFTTFSTMSAPLSCSQALLNEIPPKTPTTWTEAFDKTL SDVLEQCRPGYVEIPTDAFHAKVSTEGLKQKLPHPHSAPPPESVAAAVSPQETQSSAT SSLAAASTTAPVRAPSDDVTAHVVEDITQRFKKAKKPIILVDACAGRFGMAGQVRKLV EGCGIRFFETPMGKSLLDEHHPLFGGCYAGANSLPAVREEVESADFVLYVGALKSDFN SGSFSVNIDPKITIELHSFTTTIGYAAYPTTDIRHVLPDLLPAFQEVVQSRAASPVGG ESVEQKVKAGRIESIVPEPKGNEIKHEWLWPRVGKWFADDDIIITETGTSSFGLTNVL LPSHSTYIAQILWGAIGWSVGACLGAAMAAQEDDRNRRTVLFVGDGSLQLTLQEIGTM LRRGVHPYLFVLNNDGYEIERQIHGVNAKYNDIQLYDHQLLLPFLAGKSCPTPYQSYA VKTPSELNELLESEEFNRPDKLRLIEVYMPRGDAPQGLVSQAKLTAEANRQL I203_01965 MPPSSPTLLTPYGYSSGTCGYCSPAGKRSQRSTSSKYGMVAEQM TPEFYQLLIDRGWRRSGDYVYHPDMARTCCPQYTIRLNSTNFKGNKKHRQVVNRFNRF LETGQKPGEEESSSTINVEGKAKAVNGKGKGKGKDNKTSKRDFLDDLHRYEIGYIQKD EEESLAHRFETKLVPAKATSQTFELYKAYQTAVHKDKPEKVTMRGFDRFLCGKTLIDT PIKYTEDVEGDIKDGQLPKTYGQYHLLYKVDTQIIGISVIDILPNCVSSVYFIWHPDW AWASLGKLSALYEISLARRMREKGAQGMEWVYMGYWIPDCQKMKYKSEYSPSELLDPG TNTFHLLDKTLETFVIKNPKGYFPFANVTSSHSTHNKQDGANKLSSPDKDAIGSSDNT EEVSDEELDEESPSTIPNPPPPGFEDMSRITDEDVEDVLLLMGRNKYTKSGGKLIPII NLEFRNQQAMYTEIKEFLSAVGKDNVVSTSGGISGKAVLYLG I203_01966 MASSSHPLLPPLSGKAFFIPSPHEEHNFHPHPSLQLATQVTLQS AGVGLLVSAVQNALDKHNAGAMGIFTRTGGTIGFFAAMGFSFSFVQAITANIRETDDA LNGAAGGCAAGFIAGVRAKSLPMAFGACAGMGTLIGTFNAAGNSLTGTNRKLLPRPER EELRQAFFKQPKHAEEVA I203_01967 MSDYQVTLVNNKMSEFTVKFHGPAETPFAKGVWKIHVELPEAFP YKSPSIGFMNKIFHPNIDELSGSVCLDVINQTWSPMFELINIFEIFLPQLLRYPNPAD PLNGEAAALLMRDPKAYAKKVESYVERYATPEDANLAGDDDDEDDDDEMDTPLRKKTN GHVNGNGNGHANGDNKKTNRNGSNGDDEDAEEDEDEDDTMSDMGELSDEDDIMGKMDD I203_01968 MPSTPFFIPDTSPLFNYTPSNSWLGAYRPKGDGWDQTFHMTASG DAVVSINVTASSIRFQTSSSSSISTDNKDGDEECEAEYRINGTDWSDACRKDSDEYVK EGLPWGLHMIELKGKNGGGIEFMGLEGELPMYTPGSAINRTMDNTDSIFNYTNSDQWT LLSANDANAAYSNFTLKTMYEGEGLNAFYGSSLAGTTAQGAKVELTFQSEAIYVYGLS GPNSGTAQISLDGQIQQNINMKNAWETHGALLYVGGGFNPNETHTLSIVNNQQGEQLI IDYALLSIPQAEEESHIPLIAGISGGVSALLILLGLCGLFIVRRNKRRTKATKLRQQN VQYAFANGIGKDGPLNSGKSFSTSTLNDWRRPPSSLLSPNTPASSQSLNNTGEYIWGT GRPAGLDLSYTRSPNTGTPGINNSTNHGVQSHSQPIPPPFNSPPPDYPDYIPYQPPAS ESTGTGTVVSTSGKSAYRPVNPRSNTAPNLSSSTSPQLAVINPSTPLTAGGWAQSANR AISPSGSLGNRKSPLIDRINPLGPARSKPEYDDIALDNYTPRHPSRNQRPITPPPIPA EYGDYTPTTAHTPYRKYTTSPSTMTHSPSSSIGVQRTATRSSIMSNIPRLTSVREAIS SIYNRTNSTGPDSSLKLVTTTGGSEPGSMALTTSGLPTASSNSTPKTPKYIQQQSEEE ALRRGLSVKSNKTTGTMKSWLSNLVFAPSTSNGVNVGNLPSLPSTPILPQAAARPDSG IFPLALNGSVHSIPLRSGSGNGTPVQMVPSTATRSFFGGVISSPSTSKTLNGSWGNSI TPKSDGSSPFAYTTSTGTIPGGNPIPSRSTTGSSSGGGYGYGYSNDGNGDSSGMGNQM FIELNPNSPIMGDSRPGSEWTNGPRLY I203_01969 MSVVASPLPHQPFSDTELYVPSGSASAHAELPPPIPGPEPHPIP FPIFQHRHTSPYPAPQSFSALGPPPAVDMSSHLLGSVAAPPAPKGSPVSLPAITPEVS PQVPLPPTPDPSPPTSKMLSPTMMIKLAPPADDSPYEISFLDHNQTHLQLSTQSHLHS DITPRRVPVVSNSDQTPAIETPPPRTRSKSGLESIPQTAPAKVSSEGKNPRPKSMGPP PRPRRSHTAYPAPQSVRMQESRSADSRAKLRNHIPPLPRQASHGPIGSQGLTRALSDA SANTPPRMGPISLSANNNDMPPPDLGGPDGLEAKVVLLGSQGVGKTSLILRYTTRIFS PTPAPATIGSSLHTRKLVHDGTRVKLQIWDTAGQERFRSMAPIYYRGAHVCVLVYDIS DRQSFQDVRSWLEELGRTVPKETVIFVVGAKIDLSKDRVITFEEARSTIKTWLKPPPA PEPNIVLSPPPRSLFRASTTVHRNTSPAPSDQNLNSAGPPIRSHSYGALSSLGQATPP VPAPRPIETPRRPSPGLPFPIPKNISADSARGKLTPVKVKTSSSPPSVKFLSPTSPSK LAFPSLQSPTKPTSATFTDPVGPQITPASAMMGHRSSRSSRFSISGVLGLSRTTSFSG AASSLAQLAEAPISPRQYHDSSRTSDCPRPRYDSTPLSDNPCENGYGSRDRRKSEDWS SRSWKMGQGPGAAETLGEFGDGVKKKQSGELLVTPLSASTGSGSGSGSGSTSGFKKQQ SSSVGGNRRRGGSLGRDPRLYGDGSEQPFRGAGSRQEEEGHGEGLGWGVDVEGIRLGE CSALSGQGVEALFESISSLLVEKKDKIERERVLRKKNSVMLVDPTKDGKVNLEGKDIG GYGCCA I203_01970 MSYEQTSNRRSKLLNLASKLPIPHSSGHNERIRRSSNSTTLLNP NSPTHTHNSQYGYNYRASSPTNSEGMSSFAHHGNEQTVNYRNDDDLIPPVAPFVPHEG SLSSRRSSSSLLTAGGGAEKDKDMKNRQSSLSLNYVPAKFTKLHSPGDRTRYRKAKQG GGRDAFALNAQRMGMPGTVDDDEGVVFQIGKGGLKKKKPKLRWNRFKWCLFVANTVLI AYGITALVSAILVWLNVFYQSDVIRVGNRTELIISTIAAAVIVLTSLIGYAGILLNNR AFLAVYTLLLWICLALMVTPGYMTYKQKTFNLEGKINSQWSRALGSEGRLRIQDALRC CGYFSPFVEATVSPLCYARSNLPGCKAKYLHLERHVLSIWVACSFGLVPAHILIIVTS LLCSNHITYRFGKGLTPKRYRLDLGSMAVIMDEYAGQIAAQYGPNVAAAALNRSSVYL PNEYDSGSADGSRRGSSVNLHGSASGTSTGLAPPLTTATTRTTGYNSAANASTRGVSL YDPTNPRSSFDHVRSGSDASLANTDRNVNTNSRYYDSEVVTRGSSTPLGNHANNESVL SFSSDDHNNRSRR I203_01971 MSEPALVRSAELSTAEIPIDPTLLAEDAAAEEQFEAEQEAGQSS DEGTSELNEWSGAEDDYAPDDQEDEQPKAVPSRTGNDDAVFEQVLLVSKLTRLAGFVS RAIPSGASGDLGGRDFQREIALADAEELPQPARRRRIQKPRRIHKPSHEVTRLLGQGN FHNLNEEFHEAIEIYLEVIRHDPYISAAWASLATCYEDLGDPEKARQMRFLGAHIDND EETWKELAYQFKQTGQQDQCVYCLRKALKFNPSATELLFDLGIIYVAQRQKTRASDVF RKLVKTEYFARHFDVIMEFHQVMIDMNQRPFVVQVMREAFDWHIKTFDAGPGSAPLVS GLIPNTMTIERVIDLVDDFMILDDLDQALEVVRKGQRWLQGRKGQKNWDNYDKEDREY DPPQTPRYNPETKEMEDNEGFELDVQLRHRLALIRLRLGDHEEAMIHVNEIQTLDVLA YHALFVELGEALMKCELWDKALDCYASIQECEELPDSTDEVYNVGICHHQLRDLQQAQ DALQWVVDNAPDNIAARLRLANVLEDMGKKSEALDLVSDIIRSRAHREKDKHSIRRPS AYMIEDDQATRAERSANKKLTKRILEDQMRSQMQNLWKDVQDAERGIEEGDIGALDRF ISAAGTMIENYRLNRGNFSKSRGVVRVLKSRKFKRNDVDYQAREMQDRLERVLGFEDD EGPVHITYRRTEFYGLNYEEWLTLTVKYCCVLMVKSEEDIAMDILEHVIWSGLFHNRR CEVALRLTMIACGMRLRAYDKITDSCKRLAQMYQFDPSPLLLMLNCISSGGLKALSSW GSMSVQNFVSREMKTFDDAVSDFNILKISEKDPSSSAKEGSTTMRLHYSAPTGRWAVN RGGKFDDDIHQEQDDHNEEESNEIDNDEEKKKKPDLPKKPTAYWYILHGQQMLTNKSY QSALFYLFRAYELDQYHPFICLLIAQSFFGRAMNRQSDNRNYQLAQGMAFLTRYRKLS SPDPITQEQVEYNYGRSFHGIGINHLAVSHYERVLKSIEKRMENSLDPDAIRSSSLAY QSAHNLILLYAISGNHKLIKEKSKWLAI I203_01972 MSTKAIREFDAKQLVSYWLNRSPTPIPTKSESSLSAAQVAQVEW DPVTKQLSPPIKPGQGLPDWVFTSKLVGKPDQLIKRRGKAGLLCLNKGWEETGAWIEQ RAGKPVTVESTTGTLNTFIIEPFCPHPAETEYYVCINSTREGDWILFTHEGGVDVGDV DAKALKLLLPVGEEFPTRENLISTLLANVAPAKQDVLADFLIRLYAVYVDLHFAYLEI NPLVVLDAAEGKPSEIHYLDMAAKLDQTADFLCGPKWAVARDTVTPSAGSSAIKADRG PPMVWPAPFGRDLTKEEAYIQKLDASTGASLKLTVLNQAGRVWTMVAGGGASVVYSDA IAAAGFAHELANYGEYSGAPTEGQTYEYAKTIIDLIVRGEPHPEGKVLIIGGGAANFS DVAATFKGIIRALKQFKEGLLRHKVSIWVRRAGPNYQEGLKAMRLCGESIGVPMKVYG PESPITAIVPMALGIQRPASAATRDVTPIASSPASPSANSPAPLPGSGEPSTVGSVKP DGSREQPNDHIVRFETEKLGSRPWFRPFDEHTRSFVFGLQPRAIQGMLDFDFSCGRKT PSVAAMIYPFGGHHIQKFYWGTKETLLPVYTSVGEAIKKHPDVDVVVNFSSSRSVYAS TLDILTYPQIKAIGIIAEGVPERHARELLHLAVKKEVIIIGPATVGGIKPGCFRIGNT GGMMDNLIACKLYRPGSVGYVSKSGGMSNELNNILSYTTNGVYEGVAIGGDRYPGTSF IDHLLRYEADPECKLLLLLGEVGGTEEYRVIEAVKKGIIKKPIVAWAIGTCAKMFTSE VQFGHAGSMANSDLETADAKNRAMKAAGFIVPDTFEDLPEVLKATYQKLVSAGAIVPK AEIEPPQIPMDYQWASKLGLIRKPAAFISTISDERGQELMYAGMRISDVFKEELGIGG VISLLWFKRRLPAFACKFIEMVLQLTADHGPAVSGAMNTIITARAGKDLISSLVAGLL TIGDRFGGALDGAAAEFSRGVSSGLTPREFVDQNRKANKLIPGIGHKIKSKTNPDLRV TLVVDYVKKHFPSHKTLDFALAVEDVTTQKANTLILNVDGAIAASFCDLLSGSGAFTE EEAAEYLKSGTLNGLFVLGRSIGFIGHYLDQRLLKQPLYRHPADDIFINMQERVVFQP GSA I203_01973 MSEPHQGRVEENKDDAVNLQIQNTEMDVENTQEQESQVESDSVV KADKDEGSKEIGADVVETKINADDNSEKVNNEDSQPQKVREKPSPLTLPTDLLNPHEA DSLHPPDSPTTSLITSLRTQLSLLSDQSIQLNQKLISSIGKSADLEDELHELQAQHRS LTDHAGELEKEKNKWEESMNTGLLVERSQIKDEMQKLAQGLVEEERRRGTAEEKRREV ENEVDDLTAKLFDQANAMVATERMSRAEAEARLKSTEENLAAAEAAVRDMQLHLQSLP PSAVQPSAISLSPHTTANEGISSISISRKYLSSHVPYSEFLNFIQHLRTLRPIKETSK NTFPPPLITNLLTQPFLARTIIEDNDPTLRLDTAPDLSWLSRRSVSQAIISGDLIVEP VSTATLLASTSSSVQDINCSLCGKLIFIHQQQPQSPAGTSHFGPPPVHPQRTSNSTTS RFSLKPFFATTTSSTSATNPNSTPNVPSPSQSPVSSPAIGPGGAITSVYVFRIAKPQL QSGGSSGDKGDSKLYPLCRTGWCLERMRATCALWHFVRTGVVHVIWHGDDGTGTTSTM TTITENHQQVGVESAKPAEQDDAASEQPSISNGSGEEQTQQRPPPLPQRKKSSWALGF KLSDKSTGGWTRGWKSSGGTANSPPDSPGAGNGEETRRDSVGSLGAERDENGRVQTAG GLGLGEALNIDKKETEREKEVPVIQEPLSFSAENEKDQSTGIESRQDEVSAKLDKDQE GEVDEEGERGSSIQAPPLSRATSNISSINTSETGFHTPKGGQADLPSEDGHDSPSPSE EVKRDEQSTPHPPSRVDTETKVEKVIELASPASDTESPTKTSAAGVPPPIPRRAAGRN RLSQLSGGTSTPVTSEPPTPVKDKENNKRQDEDEDEDELSILKELRDELEQRKSEDVS RDEKNEVIYNGNGDEQQNNNTEIRDLQDVKDKDGDQKEEEEEEEEEPPFTPVNLDEKF PLSPLQQQTFPSSSSSSTSRPNSQIAATAPPPPLPPRHPKTPVLQLSNSTGTEGEKRY FSIGDKGDHSWEGKTWEKIVKLKEEMWKSRIGVLDE I203_01974 MSSITSRSLSPSSPPFIFPKSSPTFTSNSSSNSDNTSSGTISST CVETSSTSSHSDTTKAKDNTHTTIKGESTIFPFPSSRTTTAKSFGAGWKSSSSTSPFN LIQMPNVPGGHHTQRFRAPVCDKGPFDTRSRSPSLPILPSQSHTPTIKNFTSEIAVAS AAGTNQHPSILYPINTKDLSNDFELYTPTSPNSAGHPFSPINDHIHHVRHHPSLSSID IELDDHPHTHYPYRHHASPDANGCSFYPPMGISPISHHQSVPNLPYHHHYQGQAQSLF RKPSVNVRFQDDDTPTPLSMQDINEAEAEGEIEIKRGLRRMPAFNFGQMGARNKLMSS KSMPAMPILGEGRNVFIHRVNSDLTEDQLRVYASEFGEVVSVKIPSRTTRPHAFVMFK RPDQAQSFIVHLKMKNVECEFGKEDYQVQNKALEDPNSANLYIAGLPTALNYEELAEL LSPAKICSWKPLVDEAGNRRGPIMARLQTRVQANDVIKKINGKYYQGMSERIQARIAD SDEQKHFKRHQSMSRDRPIVVPPVQFRPYGNVPQDEGDDLELLRTRDYLASQLDAING QLARTQVRPRNIVFPDPEVPIENDIVRPSPVPIGQIPRGWGHRHTSSNFSIDSLGSWP DWTSTWTRNPPNQNNILAFGGNLGHPQPHPQLRQQSLSLTNHHDNEANFAALSPLHHV KSSPELGGRSDSASKLLWKKDEV I203_01975 MLPLLMTLALPLVWAETTTPNTGDQPQSFLFGFTSSHQVLPITP SCPSPLTLSALTPTNEQTSDPKAPYTMITLVHEQLIDSTGIQFERLYSASLDVGDMSK FKDIRHPWMNGTQFIACIWGSNGASGGCQDLYTVVPSEDTFDAYATPNSTCRTSNVQE SWVPPANETLDVEVVGPSGDVSWNAWPASCSDLQISPKNGTPPYTLLVAPAAHPPVNI TTSTLPINYTVRLTHGQAFMLGLYDSSGKSWAFGPLHAGASDDLACLAVATGQQLPEK EKKIGVSVLAGSVAGAFVVGALGAAGLMWFLLGGKKRLRKSSSEQNFYSDPRPASYST PTNSFISKQLTPNGNSLSPYMDSPEFDTPATFYDPHVPGPNPQSYPQVPGSASHDRDN RVFPRPPLVSMSDNYSGDSYRENISMGDIRERDRRSSVTSGQGHGHVQDPSWTSSNNS RSGRRQNTPKSSPGIVVQNPSSPRPGSLGSTSHHHQNDEPSSPSSGRGPRHVYVVHSD GMNGDLHIQLPDLNSRVIELPPGYRPGPDHGNNDNNGDSTQPPSQTQAQPTRSLPNIP VVPGGEGLVEPARSRNSLNTNEMTEDELRARAEARMREKTRRST I203_01976 MSSTRRIAVILGVGPGLSTSIAKSLSTTHSLVLLSRSLPDSLPK LNLPSTIPKDNILALSSDGSTSSLQKAFEDLKKHWPEGRVDVGIYNVNQKLNLNGFLD KKEEDLRRGLESGVVGAWNLSQSLLPLFLSNSPDPTTGAKGVLLFTGATKSLKAGGKF SSLAPGMFARRALSQSLAREFGPQGVHVGHVIIDGIIDTQAVKGMMGENGNKRLKPDD IAQTYLSLVNQPRSAWTQELDLR I203_01977 MSSLNPLPHLGPHLPPFLLSTLFFYGIQYTSHKISPRLIAKYGE FDKRTRTGWATHVVSMVHAILVIPLAFQCLSSPSLKSDPVFGYDHFVGHVFAFSSGYF LWDTLDSLMNSTIGFVVHGAACLAVFMFSFRPFLAGFGAPFLLWELSTPLLNIHWFMD KSGLSARYPTFFLANALIFMLVFFLARIVYGGANSLLFFRTMWDERDRIPLHLHVIYC SGNLALNALNWLWFSKMLGKMYARIQGDEKVKSPKAGKGEKEPLLRDDAKDGENPAQS KEEEEEEEEGSLTLPANPPSPIVEKGDRDVL I203_01978 MATPKSKSTGNPGDLISGMENLAIGTTRGMQETLDGCPPRPGYG TAGKAIDVHANMYLTRFKNEGALVDHYDIEINPVVKVTGQKKPRALLQQVWDQMVKEA KGELKKALDAAAYDQVKSFYTPYPVPMQGDRCEVMIALKEDGKDPKSDTRRFKAVIQF AQKVDLQTIVDYGHGNKQTEQARATMLVAIQAMNVLFRQDPAKKYTMSGAAGRRFFSE EGGYPLSNGGVLYRGFQQSFRWTSAQFPALQIDTAYSAFIEPGMLPDVCAKLLGMGGG GGGGRGGRGGRGFDRGGRGGRGGLPQQGGHVPSIQDLNPGQIRKLNEYLKMAKFKVTH RATDRIFTMTKISSQPAEALKFNLTGKDGKPDRQVSVPQYYKEVYNRQVTKPRLPCVL YGKNNYIPLEFVKLEPFNKIPMVRLTADQTAEIIPGLTTLNIIRHAAKPPPEREATIN SWRLKLDYSNLPKIKAWGVEVNKNMMTVPARVLNPPNVLYGQGKSLRANFGGWNLKAV QFSKPGKPLKSWSVVSFDDRCTVQDMRECTYTLDGCCKVENRQPDCFTWDPHGNAYLG GIKAGLQEAARKAYMKSKINPQLIVVIMPKKDVPMYKAIKANAAEGLLKPVVTQCLQS AKIKSDRGMDQYCGNVAMKVHAKLGGITHVVGHGIEKNTMMVGADVTHPPPKDGLLSP SIAVSISAINGENNKFTPAIRQQAGRVEIIQDLENMMYDHIVTFEKNTKTKPEKILFF RDGVSEGQYAHCVQYEVEAIKKAARRFGKYMPKITFVICAKRHAMRFFAAKDEDRDRT GNLPPGTIVDKQVTSPMVHDFYLQAHAGLQGTARPTHYVVVADENKYTADKLQKLVND LCYTFARATRSVSLVPVAYYADIVAEKVRDWVYNEDTSTEAASTAPSSGAGGKRETMT FDPLRIKKRIEADAEFNNVGWYM I203_01979 MSAATESQQIEDAFNSLSLRDAKDDLANCVRRPDFGRQGKKIPV SANMFPLHSEKATWLYIHPQIKRLEWKQYKELKWKIWKELCATAPEGAIKEGLAGAAF DRERNFYATFKLPLSRAVANLKVELKDEEGQTPTQRGRQNRIFNVKVQFAREIDLNVI LSYCQGQAFDPDTRDMVAMGKAAINTLLRQDLYDRFVPKGGQGRRSFTLDDAVPMSGV GSVLNGFIQSFIPSQSGFPAVQLDTAYGPFFRSGNLLEIVTEIFMGDGNGSGGRGGRG GRGGPRGRGGFGPGLGNSSARLADILSRRYSHEKLKKLFWGAKYTLTYRATTRPFRIE GFTTETAAQIRFEINEHNSPQKKMVSAVEYFKSLYNLTIRHPDLPMIVTGKNRGRKLI PMEFVQLTEFNGIPFTAVSSTQTAEMIKVAAKAPADRRKKIMGWRAKLDYSRLPKIRD WCLEVSPDMVRLQARILDPPKVNYKGRAVTPINGAWNLNDNKPINLCQPGQSCVLTDT LMMAGSNPLWGTSLSAAANLASKYGGNVYPQLIVIVVPSKESGLYQAIKRIATNELTK PVVTQIMLSARFKIERGLSMYLSNVSMKIHSKLGGVTHAVPIPSSIDKTTMLIGADVT HPPPAGKDKALLPSIAVSVAAVNGDNNMYRPCVRLQPGRREMIDDLTSMIKDHIKLFE KRTGFKPQKILFFRDGVSEGQYDLCATIEMDRVKQAFKELDPKYNPKITLVICAKRHH MRFFAEDRRDTDKTGNLLAGTVVDTDVTHPFAFDFYLQSHAGLQGTARPTHYVVVIDE NNFTADRMQGLCNDLCYSYARSSRAVSLVPVVYYADLIAWKARDFVYPPDDNSDTASV ATGSSVTVQASFDPQQLCK I203_01980 MPERPRLHLDFNSPVTPPPIYSPYFTPTSPPSSFTSTPTRRSST SSTSSSFDSPKTPSTPRIVMGAMVFTPEPKTINGTGMGNGEGDESDCEEGEMSLEVPG IVLTEPPRPPSPRLPTPPPKQVVLLAPCSLPLPSQQLTSMSSSKDSKTKTPLSFSSCQ QNQGGKPQFSNTFLKLLGHPHSQPSSNAGSRTKRTSLKMILLLSIMILGVWHLWSTLE VGLTIGLVEEASLIL I203_01981 MRRRSNSAEIKATSEGPRVKIKVAILTKGAKRRLGLPITQVRMA RGQFSKLAINKNHLKGFNKRCKAIRDKYEGMKINEVKNVWDECKSINDEMDGLVRVDT ERWGLKDVLFE I203_01982 MRFQLATALLLPTLALATPINPSSEEGKLAPLSESGEHIDDAYI VVFKKGIDANQIALHLSGVEQEHGADPLYSFSSNGEVETGGIKHVYQPPTSDNGYYGY AGKFSANTLNTIRSSPEVEYVERDQIMRTQDIPHGVDDWLSSAISSSDDEGEELASEG ISTEKGAPWGLARISHRNELKLSTFTEYHYDSHGGDGVTAYVIDTGINIDHVEFEGRA KWGKTIPKNDVDKDGNGHGTHCAGTVGSRKYGVAKNAKLVAVKVLGSNGSGSMSDVVA GVLWAAEAASAEKADAAKELAATGKTKYKGSVANMSLGGGKAKSLDDAVNAAVEAGLH FAVAAGNDNKDACNYSPAAAEKAVTVGASTLGDERAYFSNHGKCVDIFAPGLNIFSTW IGGNQTTNTISGTSMASPHICGLLAYLVSIHGTETFNALNIQDETTSATGGLYAHAYS LLPKLAQAVLPTPETEVNLAPVPSKDTLTPAKLKKALVALASTGKLTDLPAGSPNLLA YNNATTHTK I203_01983 MSEMEYYIGFDVGTGSGRACLVDKNGKLIAEHSEATLTHRSPTD HRIFEQSTSNIWSSLAKCCMTILASSGIDPKQVKGIGFDATCSLAVVNKDGRPVSVSR TGLTEDDEKDENLGREDAEKDVWNVILWADHRAEEEAEKINSTGEGVLGFVGKTMSLE MEIPKTLWLSKHMKSDEFKNSMFFDLPDWLTYNATSSLARSACSLTCKCSFVPPGAKM VHECDGNKEEVSKDGWSARFFNKIGLEQMVENDFEQLGGIPGKNGLVLTAGQPVGKGL SKAAADSLGLVEGTAVGSGVIDAYAGWIGTVAAAQGEGQPKPTLEDASSRLAAIAGTS TCHIAQSKEGILVPGVWGPYRDAVFPGLWMNEGGQSSTGQLIDFMMQTHPAYPKLVEL SKKSGKSTFELLGDRLEEMMKERNAITLTHLTKDLHFYPDLVRNRSPLADPRMKGSIV GLTLDDSLSDLAAKFNVTLEAIALQTRHIVDEMNAKGHKIDSIYMSGSQAKNGPLMRL LSTVLQMPVIIPPQPSAAVVLGAAMLGKYAYDISLERQSQEITSQEEAKAASEKDKNK LWDVMVSMTHPGKTVEPRSDELGEKERKLLDVKYKIFREAVEVQRKWREMIAQAL I203_01984 MLISLLLLSTLPLALANPQPNGPSPILPAEPSALASSSKRGITA TLSHGPGTARRVKGYAKAKRDVPPQRLRHRQKRHSSSSLQTRADDEGLPPSWLLWAGA RIDSKYNSGSGGFSAAYAKAIDKRADNGEITLTNHNLDTSYSATLNVGTPSQSLDIVL DTGSSDLWVASDACTTGACTSMDTYDDTSSTSKVNLSSSFSIEYGSGTAAGSLVQDLV TLGGYSVASQTFAACDEVSSGLLSTGVSGIMGLSWPALAYSKATPWWVTLAKSSSWSE PLFAFHLARYRNVAGASSVEADGGVATFGFLDSSLYSGDVTYVSVSGSAQYWQIPMAS MTMQGETVSLGSSNMAAIDTGTTLIGGPESIIEAIYANIPGSQRMTGSYAPYFAYPCS TNVDFEITFGTFTIKITDQDFNLGRYTSDTTMCTGAAYVQSLSSSSPVQWIVGDAALK NTYTVFRYNPAAVGFANLAGAVTSSEAAASTTIPDASAIAATSVPATTSKSSSSASTT SSSASNASSTQASASVESPHVVTATDDTSASATSGSDSSASATSSSSGSTSGGSKGLA VPAFTVVLGFVGIITSFL I203_01985 MARSTRTKPKSKSKSSSSRTFKRTDQITSSSTQSAGPSSHSQAQ PTSLPNEIILQIFTCLSTDQASLLSCSRVSKTFHKLSSPLLWHHLRLSPFFAEPRLVS ANGVYVYRKIEDPQKAHCGSIGTGKKDLKPLLKHVEKFYLESHTPEWCLHGYNTSLKL PNLQILELDILSMRKQDHRKIHLSDPTLTSRNQYNPNCRLLRDLTPSTIVLRDNFNRG LDLCKGSIPISIWKCVEELVLVSPVRDIPCLELEPVAAPIPITLKNLERLTWIFHPPS TSNEGEKVMYKLDAPHRPDLYNAIQIINLIIQLKNLQSIKLVNAGILASLIEENSRAT EDQLHWESRDYILGKFGAETRKMGWTEGKIGEWKDKIEFLTFEEWEGEQGWVGRLEQG EVEGWKEAMRG I203_01986 MAKSKKKKTPTHPIPTRQSQRALKSIPTSVISGPQTPQLGSERP YIKNHISVLDLPTEVLDQIFSHVSCDNDTKDDLLSCCLVSKRFHQVSAPLLWSHLNLS PWSKCRDDEGDADRLSIYRGILDKLCSGKSKRVDGLVKRVKYLTLYHHNHNWCGDMKG VLRLPNLQVLQINLNSGTWDHPHSPCHDRAGERCKLISDIHPSTIIIRDCETSTNPLS RPSTWPLSIWRNVHDLFFVSSDFGSDDADHMRLGLPGMLLDRLESITWIFSPIHTRNL SLNITMCYFKAYYLFPFIFSLKQTKNVPFTIVNPAQFIFDHQAIVEHIKRTFVKYAIK LGMRREDIDKRLGQIRFLTMYQWIEMRGEWEGKFDERELLEWKKVIDRRHSGIRG I203_01987 MQRKLEDKYQYDGSIGISPTQRHLPNSRLSELFNYVKVLDIDGP RLYAIPEGQCTFTEPVLEALRTIKSLTILFEPSTPSPIMGKAPMASNPSLFNVSFISS ITKCVNIDTPVHIVNAGYIQKIRHRGSTKSIERSHQESMRIIKGHIELTCKNPYADKV GWSKSNIQKRKDNLIFQTMEGWTDSGEWEGKMKAEEVMRWRSVMSKVLMW I203_01988 MELDLGAPLTRSTPDTTVQQLQHKRSFLSKLRRTPSSVSTSTSP YGQPIHAASTSAVVFSSTRPVSTVKKNQVGVPIMMVNRSEEIFGPSTSIKHGFGIEDE LEWERVRTLPRVRLVPPPEEQTEPIMLYPPPRLASPPPTLPHPFLSAPSSPPTSPPRL STRPGSPPQSPPRRPQSIYSQSPTWAGMADGFVLPPPKFSRNSTGWSSTAFGGGNVVM PKRREQVDEDRRKRISEYAIGSPPRSPPAIVVSGMMRSLESQEEIAANKRMRVDDEQH EINLDERRPQQNVLDDEKELTASPIDMDIDQIPTPPSVPDVDINIAQSAPVAAIPLRS TTVPAPQSSDTPTSPSKTPVPKAIRRSRALSLSAAFSSSSGLKNVEVPPLPDLPSRPP IRKQKSLKKFFFSSSTPPEAVVASSSIPPVPAVPLQERKPTEDNQPVKQEKEKPSKGK KILQRARSKPSLKIDVKVAKPSSPSTSPSPTTPALTTGKTDISTSSSITTTPSSAPAQ LRGLSKRFSLSNMSQAFKKKSHSTPVSATASFGSPVPMVPDIPEAFKKNKDSKDIKAK GKGKAIESASPISPRKSKTLDTDNNDEMLTPTGLPRRSESLKAIQSLTFCQEDESNVE GLADHTNIISTENVITDEPQSLLPAFEVSSDTQALSSPVSASVPRSGPSSESPIQSVK SISTVDIDDVDIDIDIEEEDDEHELAHAQLMHVSPRTRRDPSQSLSLQEFLSSPPGKT SNIVIVPTKGLRRSVEAVVMLGNPMMMNLQSPLSPTDGNHVPNEEDREADGLKKKDKK RESKLFERRGSETESEAEELKTPLEESSEIFPNQPDLVQEDEEPTPKASSSRTTSEEQ QVRVEDDCEYDYDLESLPSDTVLVETPSRLPAVPHSSETSTITNATPVNTKNRFVSPE ALLKRLHSSRRPNQATPYQHNKKGSASKDRESLILLRDIPLPPLPASDSKEFSSLSKE MQLRSLKFESLGLDFQQWDEWSEEDIDRLIMTSEITA I203_01989 MKEKLKQVRILTVHHHSSYWCRPDKFPSIFLPNLITLDIQVDEE FYNHFHDRTRTPAPTSNSSCPLLLELKPKNLILRIHGFERVIYGYGGIPLGIFDKVDS VFLIPRVGIGYMAYFDPYEGLSRSPPSRIKSITIIYKVIPDYTSSAQESMKQRRKGNR YAKLQKMVEDLGDSEISLIIVLQRYLSEGVEEEMQQAINIHLDGLPEKFWSQEKKEKR KKMVGVLSLQRWVAERWRWEDRFDEDEVWGWEDEGGIL I203_01990 MSNTLFSPTLTSSTNADDIDMTLPLSQDKGVDNFESLFSFDEFE SLGDTSSHTHSPTTASPNYTPSPLPLPAEEPVTFIPDSFDFLGADGAMDFPLDFSFGN EAKAGPVIQEEIMQVKQEPVEFSFGEASNSQSPVTEARPMPVPQPLLQQNNTVNPDNF VGLPVDQQAALQQLMANLINYQQTYGLDIPAPQAPTQAAAPSTIQPSMIFSSASPAGF TAPAASTSQSPVKPSITLSTPIEADEPLRSVDPQEMVSPGPSRSTRQLSVASSGIDDL DSKIDRLVPLNTIFSAGKGKGGKKGGGMSSVVRNEDEIIDDDESWRPSPEEYKKLSSK EKRQLRNKLSARAFRTRRKDYIGTLESHIQDRDVVIDEMRAELVNSRSENQDLRRELA ALKASTMSILHPETANKSSVSPAMVNALAMSPSLASPPSPVNATAGPGPNTIRRSNTP LPTFNPRKDLPASIKGSWGGNDNMFGGGSTICHTMFTPDLVLPPTTSTPAPLRSLADL PRVNLNPHLNDDVPVSAPRGNILGGMGNGQDTSKTFAAWSEDTPFSLRSMDSYRMQMW SRLAREAAADKVNLAGDMRPKFFVEVKEEPPVSPAAAAQAAVVAAAASNHITTKLANS FFSAFQGTGSKLDTDKLAAVVTGQAKLKVVSSSEVDLPAPQPAQVAETCHEDCLSMLM GGLKLQSGPGLRSPGVGIGARENPLGALCGFFGMPTKA I203_01991 MPPKRSKPSIQSSSHAPAPTPPLSPHIWSKLPIEIIHNIFSFTT DRGTLAAWTLVSKSFNQKATPLIWNSISGLPPSIRTSRWGPEVLSLVKIYSMTNHDEE WCYHYRKTFSKVKLPNLTTLRFTFDKIKKGKSTRLLYTQSTDGGYTICRMIDNIKPKA IVYEKRESSSFTIEPKRLPAKVWSMIETLIILINPVGNGEHHVSSTFLSEWSPNLKRV FWIYDPSVIEEINTQKNSGGIKSTEYKIKKTMMDEFILLTHLLVSNPTIRFTTVDCGT TNLRIPLEKHRKSINDAHKEYLKTFNEGYQEDLKAISSAFVYRNTNSEIAANYLTFRT LEEFIQLDEWSQWFDPQEIVRWQGCNKRIDSLVEKSG I203_01992 MSLPAEIIHRILFCLSIRHDQATLASSALVNRQFNQIVTPLLWR WLTLSSLCASKDVDTPTLRVSIWDEHLQDMVHVLDIKTHEREICESANVKSLNIPNLK TLRLHIDTGPAGYREIHFGEEEEGQETSCRLVQNLRPKTIVYRESININPSFYLDPEY FCPTIWSEVDTLVYLVPPTEYGTHFVTHDGRDLKRLLPKLEKIFWIFDPTPMDPDPEG EQGGLYGQEFKLIYDILINNPQIRFTIVNVGCTQMRLPRRHRLSYENTLGDYWQEFNK FYWDQVECSSVCDGEEDDTEELNYPYLLTIEEFVEQEEWYEFLEPQEIEGWKWMNKEI KERIPTWED I203_01993 MSSTKKKSKSKAKAINIHDLTQPILFSDQIIQRILSYIPTNNQS TLASCALVNKQFNRIATPLLWRWLDLSSATSTDDGSEDGTDTAAPLDTSHWTKEHLEM VKVLSIDTHKQDWCSAFVQFDMPNLETLRLTVGRMYGGIGRVHSVQSSPPPSQTRPSS SSTAQCPLIQNLKPKDVVYRETPSSSFYLDPSNLCPSIWSEVETLIILIPPVGANKSF VRNKDLIKLVPKLKKVIWIFDPSQVDLKSKYNTGNYDHGEIDFISSLLLKNQKVRFEI VNCGCTALRFPKTYRGSIEDAHDSYQESFIKNYWDELEEAAYRDKQSNAKNNKENTET TKSEDEDDNDDDDDDSGYTSFDFYSIEEFVEKKEWYRWFGPEEIVRWKKVNEEIVGGK KKKSKGKK I203_01994 MSNNQEQLPILIAGAGLSSLLLAQSLLRSHIPFQIFERDAGLSF RGQGYRLRLSAEGLDAIEEVLGPDDFKRFYDICGKTGGSGFTAMNAVTGEDIEHTVPE KLGSRDNLIVGIARGEMRKFFLQGCEEYIQWNKKVVDYQDTPQGVEVMFGDGSESVEG SMLVIGDGLKSKISKKLSQGKIKHFDTLAIGIHGQAPTTAFKGLGEGVFRLVDKSVEG NGDEGDARFFVITNVRSKDMDDPTLQFGWTMAGSPGLIKAPNDDYTIIGKQAANIAKS LTSKWHEKLKPLFDQMVEDEAAFWKITCSSPSGVPVWKNHPRITVIGDAVHSMTPAGG IGANTAVRDSALLGRLIREAGGYREGLTREYEEKMRVYASEAVAASYGMAEKQFGIKI DEGTSPTV I203_01995 MVGIVKLIAGCALGATLVAGLGEKRVIVFPSNIDSTTTTSSDQQ VFSSTSNSDKQHDHFLISSRSSDYSAPLFLSSKDDIAIHIAAQSFAHDVYRVTGVRPH IYNDSLPHHVERAIVVGTPQNGLIKHIRDNEYSDELIGKWESYDIRVTDEPLHNVDQG LIVVGSDRRGAIYALYTLTEQMGVSPFHFWSDVPVRPQEAIAYLSSEVLSHGEPTVKY RGLFINDEHPALWSWAAQRYKVRWGEPAFLPDMYEMWFDMMLRLRSNYFWPAMYASMF DIDGLNVTNGFPKEPIAGPNQVLANNMGIVMGTSHHEPMARNKPEWDREGKGAWDWTN KDFMVDWWTYGAERAKDKETLFTLGMRGDGDMPLTGASNELVENITSTQQDILAKTHG KDINDIPQMWCMYKEVAEYYLKGLKVPDNVITLFADDNWGNIMTVQPPGKDHKAGSGI YYHVDYVGMPRAYKWINTINLVKTWEQMTIAAAFNTTSIWILNIGSLKPLELPAEHFL HLAWDLDAWPINSVERYLELWAEREFGGETSKDVADIMMKYSMYASRRKAELVNSTTW SLNNYEEAERVLDEWQSITDRAAKIYEGLPEDTKPAFYQQVYMLCLMQTNLNKLYVSA ERSNLYAQQGRNSANLYAKQAIDAFFHDAELTDTFHQMLDGKWDHMLDQAHIGWNSWL EPIKDIMPPVSFNNPSVPAREGIPIPELGYGVITNIRVTYENSKGSWPGNTAFNCPQG EHCHTPELLPMDPFGAKSRWIDVGSAGPKSTSWKVTSEDWLIVEPRHGKTKKDGSLDT RIRVSVDWNKAPEDGYATFHLTASDASEVTILVPINKRPAPPKEFKGHVQGDGYIAIE AGHISSNSSKDEYAFHEMIGYGRTRSGLEMFPRTTQNFTIGEGPKLTYVIWTFEDSNE EDVEINVQIGPALNFLGANNTLAFGLQVDDQAPKIINPIPTEPLGFARDRVENKPVAI GAVPKDWIEIVKNEIRDVKTMVKLGEAGKHTITIWGMTTGLAFERILVDFGGIKERGY SYLGPPESVIV I203_01996 MPFVQSSPILPVELLHQILSYASRGTLASVCRASKTLHRIASPF LWKHLILGPWKVDEDGKVMRDDKNKEILDKNKEDCGAIMSSRGEGRTKGNLVETFSLY HHSFDWCQSNDQMTLRMPNVHTLHLYLNGKDQIHDDYDGHRCGLLRAVQPKIIVWHNA CINVLDCWTIGPKRLYEETQTFFFISTGHQAEKCRFYPRSYRYEFTTLEDIYWLFEPR ILDSQAKSESFVRGSFALQNFIRNIVELAIEFHQTRITFVNSGVIRSFHKDWKDEPYK TFEQRVARDVKKELERQLKQSRHRSKEKKKQRLDSIHFMDLDEFMAKEERWRYLDARQ VRKWKKVFSPFGTGHEIGGGADVVDDGDQVDQLL I203_01997 MEVESFDLPHELFKGTEELFCISLVSRALDPIVDGDIWIEEMSN LKKMFWIFQPEAFDPSRNYKSISNCFAGHDYRDDLIQLAIDLSKTRLIIVNSGIIQLY DKDCRKTTVEESQRQTEIFVKTELAEDIALSRNWSDERTKERLESIEFMGLDEFMEKE EWWKYLDAEDLEDWKVMTDDVEQRFAVKAETNPADDKEVMEA I203_01998 MRNGVKKFTLEYHPVDWCGIDRPTRLNLPNLQILELLKPKTIII RNCVLADLRLDKPGLPSSLWEEIETLVLVCSPKQHIFFIAFSNWIKTAEMKHLKRVYW VFDPMIDAPASCGQSIKTFDMFDRLVNTIVESNLFDWVNLALLNPLKSPESDIEIHYI NPECIYSALDRTQKLDFLDRCYRYRLLKDEDLTSGTLESRTVKFQNAFLFEFQIITWC QLERMMRVDGLEEDKKEERRKLINFKSLQKWVEEDEKDWSQWFDRDDLVR I203_01999 MAVRTQFENSTDIGVFSKLTNAYCLTALASSTNFYSVFESELAD VIPIVHTTIGGTRIVGRLTAGNRHGLLVPSTTTDQELQHLRNSLPPSVAIQRIEERLS ALGNVIACNDYVALVHPDIDRETEEIIADTLKVEVFRQTVASNVLVGSYCALSNQGGL VHPKTSRSELDELSSLLQVPLVAGTVNRGSEVIGAGLVVNDWCAFTGLDTTATEISVI EATFRLQGQTSAAVINEMRDSLIDHYA I203_02000 MKLQQYINSFIEPLHHEEPAALIRLLSLHNKTARGLADTVGHID ERRLNNPGHSLPEPWDGIAIRHCACVYALYTKKDYQEAYTHQNALLSLLYRWFQDQSA WILPVLYILLQDLRDLAEQADQVTYSSTGKMPSLEECTRTVSKAFSMCATDRTFKGSE SRRNGVYHVACLSLKCYFKVGKPNLCKNIIRAVTSDSKTPPIEEAPLNDQVTWHFYIG MLAFLNGEDKKAGEELEWALLNCPADAHRNQELILTYLIPLHLLHGSLPSRRLLSLHP RLEELFLPFINAIKSGDVREYDERLDWAQQRLVGMSTYLVMERAREGGLRMLFKKAWI ASDKSTRIPITTFQIALKIHGVDVDSDEVECMVANMIYRGYMKGYISHEKQMVVLAKT NPFPNLSIIVR I203_02001 MIIPPTSSSSSSTQSESKNGIYRLRRGTKQSEIPPLPPNPTVPD LSSLNGPFQLAEYLSLKIRNDPHDVQGLIDVPVGDKSMGGKAPDKDVWIYEHLRRLPI DLTPLITALLPICNRGTCPEMKAHEWLYLCSAHGGGAESCSAIDYILHTLDSTTALLN SSQNFPSRMQIPPSSVSHFPSLFRRLSRIFSHAYFHHREAFQLNENETSLYSRFLGLC EKFNLVGSSLLPIPRDAVVSSKANEEKDSSSSDEEDEGRGRNDVTYKEKEKRTQSLDR SLPPTTIQSPTKPISSPSKPPGSIRNETLKPDQTTEQGYGTIRDNSEVPDIPKSPLKF GTGKGKSTLGRGKQPRATMHWVDHAGEAIPPLPSSNSTLENTSTTSTSPGKSANKNRD RSESIDTVIHLNEESDSKSEATTSQNESQDQSVTIPDELQEENLENIETPLEKESKQE ESSLADEEKVEVEEEVKEGEEEMVPKDEIELLEEKGELSPENTVAPLAPSSSTGVESE AAAGEHQPGSAEDVHETESESAQREKGKADIKPQEANPEIEGEVKGESQEEKKDDDDD DEGIPKSVQNATEGKSTSTSVGAETTKSKNKKKLSPISKPLTPSSSSSGTNVSIPSPI KGTGKGKLVPAELSSPSQSIVDTEDKEKKDKDKSPEVVVEKKE I203_02002 MSGGQSYYEFYRGSSIGTALTDALDELITQGDIPPQLAMRVLQQ FDKSLTECLQKGVKNKTTVKGHLATYRLCDDVWTFVIKDPQFKMEGVGAGAETVTAPK IKIVACKSGDAPEGKKSGAKSNDFS I203_02003 MAQEKLSDAFNGDDDNKQQQGGYNNQQGGYGGQQGGYGGQQGGY GGDDQQVGGYGGNQGGQGGYGGNQAPGSYGGDQGGYGGGNNYGNTGGEQYNRPHGEGG ASAGYGGSSGGFGGAPQINENTAVHAANQYGSNGNENSSLFSTAMSFLGNMNKDDGDV DEDKVQQQHQQAYGQGNASGMSANAIGSAAALQALKAFTSGDNQAAKSSGGGDMQSKI IGMAMSEAAKLFDQSGGAAQGNKQDAVTSAGQTIMKLLIKSQFSGTTGGGNSGGLSGL MSMASKFM I203_02004 MRICGITSHLPNEVIREIINNLSSFENETPITTLASCCLVSKVC SEVATPLLYRHIDLTCDDDTSRNGHHGRRKANGHEGRNFVPAFHQPHFIPQQVKYLRK YTKSLTISYHHHSRCSSHPSFDILDLPNLITLQLDLGLNHRPNHPQRFHTGHLPDVQS TLKPCPVFARLIPKILVFKNVSIRLFDLRLPDIPTSIYEKVRTLVFNSSSHERYRPST SPLPFHLPNLPSIKEVYWLFDPSIDSKAEEQVPMHKEWYKVNTHSITQLILRLLLPSP DVRFSIVNVGSTILKDPNRRYMSIGERQDDIEKQLRDKVWKFSIQDPYYRDWDKNDLE VRMNNVRFMDLKWFVDHVEWWDWIEASVLADWKKVMYGLPK I203_02005 MRNIEQQMFEIQCRGIPSRTFLEVRTLVITSSSVDPPKLHLYQW PPPEFVKLDKVIWIVDPQAFYSGSPVYLLSSEQVSSSAASTRIGKLLLVSLLERFKDI PITIVNLGASQQRQLGSSGVSSPVGFTAEEILRNSLVQAVNNGSCNIKKEQLKKIEGL VDFITLEEFLVKEDWKDWFEKEEMTNWVAIMNMQKN I203_02006 MATRHHHEDAADFLPADPIPAINTNFDKDLEAGKATTEHVENAS IAEKQGGDRDIHYDGGDDAAKPRTGLRKLLRRNPSMEFMREVAEANETELDPYEVKRV ERKIYWLIVPALFIDYIFYYVDKTTLSYAALFDFKKDLHLKGRDYNNLSSIFYIGWLV WAIPGNLLLAKFPLAKYLSINIFLWGVFLMVQASSKDNGDMVAFRFVSGMFEAVADPC FVAITGMWFTRRQQPTVIGYWYAGNGVGIALGGLIGYGIGHIGGSLASWRYEFLIIGA VCSLWAIVMGVLIPDAPHTAKWLNRREAVVTMSRKRHDHHTVEKRQLKWDQVIETVKD IKIYLYFFLGFFANVPNGATSNFGTLVIKGFGFSTLNVTLLQIPYGTFIALMILMAIF VNHKTHHLNIRTLLMAGVTCLTVIGFALMAFTKGIAPRLIGYYLTGSSNAVFVLALSL VSGNVGGTTKKVLASAAIFLGVAVGNIVGPYSFLTSEAPVYRTGIIVCMASRAAEILV ILGLRFCFVIPNRNRDKKFNEGDEAYNPDVQVFVDLTDKQNLHFRYVA I203_02007 MPAPTFNAQSITELIALRAEQQGDVPAVHTGAAEFGEKLMTLTF SDIARAVDRLAAHYAQLNIQPTVSSGEIPPERVIAVLTSTAIDETLLEIALAKLGLTA LLLSVNNSTAAVAHLCKTTKSTHLIYGTKFEQTAKDAQAHLAKEGINLEVVPETRYPL WGPGGVRDAKIPQYPARLTPEQEAKRTCVVLHSSGSTGFPKPVFISHYGLIANAAQSL PKTGFSALPLFHGFGHFSIFRCFYHGKTFTLMPPNLPLTAANICRIIKNSPTPPVQHF AVPYVLKLLAETDEGVETLASFEAVSFAGAAVPDDLGDRLVQAGVNLISFYGTTETGA LMTSRRADYVNDKAWNWLRAEGPIEKYLELIPQGSDTFEVVVRDGWPAKIMSNREDGA YCTKDLVLQHPEHKTWFKYIGRLDDTLTQTLGEKTNPVPIELAIRGNSPLVAECIVFG DAQPQTGALILPSEQGKDLAKDKKAYIDAIWPVVSEANASAPTHSRILPEMIDILPYG TEIPVATKMSILRPACYKKFGDIINAIYERFEHGTGQPKKDISNKPEMEAFLTETILT ALGEKASAGLTPTTDLFAYGVDSLQATRVRNVITKSLELGENVNLGQNIVYEHPSVSA LADYLLSIKSGQDASNSPEVAHKTMLEMVDRYASQLYKGDTSSTGPADAQINGHSGEV IVLTGATGSLGAHILDQLVRRPEVAKVICLSRAKSHEDSLKRVQDSLSQRLRKLSPEA QSKIISFASDVNKADLGLAPEQYELLRLQSTAVIHNAWPVNFVLSINSFDEHIGGAVN LLNLTLKSPKKIKPAFFFSSSVGTVQGRPDPIVNENFSDSPVTAGGMGYGRSKWVVEK IMERASKETEGRVGVLRIGQLAGDKENGVWNETEAWPLMFRSANTTGTLPFLEEKPSW LPVDQAGQTISEIVLNNLNTAQPASGNVYHVLNAHLATWSDILEGLKKGGLKFETVDR YTWLENLAKSNSDVTVNPTYKLLNFYQNRIGNKTERPHMEFKVDRVEASSPTMKQDVK AIDSDIVALWVNQWRSSGFLQ I203_02008 MPPRKPTTSAPPLPLPIEIFSLVFTYLLDTDGSSLARCCRVSHS FFDVAAPVLYQRVIVSPDPARDSRRDFAPDRITANGKEKTSTRKKKLLKNAQVVTIDF HHATWCGNKSFKYPKLHTLVLNLAKCLDPKKLVLVNACFTNISGDMLGVPFKLLKDAE QLTFIATLTPIHPSMMSGGFPRDQSKLKKVVWIFHAPTPARRWSPGNQDILGERERSR LDGDMDIFVSVIRSFPEIPIYIVNAGYLDHRYAGVKEWREDAVQDKFAETLKQKIIME GFRRGWHWGADGKIEANEDPEEIRKEKEAEKLSLKKFNTIKLLTMKGYLENHDWTGEF DCKEAKLWLV I203_02009 MSGNKAEDKPEVSHVEDGDEPLKQQGAHHTSKQVKHGDHALKYL GDERVEVSEEDNVRIRRKTDKRILSILIWVYFLQILDKTVLGYGNTFGMSKDTGLVGN QYSVLGSINAILQLAWQPFSSYLLVKVPARILMPVMIFGWGAAQACMAAAHNFSGLMA CRALLGLFEAGCLPLFSIMTAQWYRRSEQPIRVAAWYSTNGLATIVAALLSFGLGHID SEKIAGWQVIFMVCGIITVLSAPVVYYSIDSNVSTARFFTEQEKAQCIERLRANQTGT GSNEFKWSHALELAYDIKSWMFLAMTLLLNVGASVTTYFGPSLLGQFGFDKYITALLN MPFGFLQFLAILSGCYAAQKFKIKSAVVAVYVIPVIVGLVLLYVEGTASVFKTAPAMV GYYLLSFLFGGNPIIVSWMVANTAGQTKKALIMSIYNAGSAAGNIIGPLLFEDKDKPH YIPGIKAVLGIFCALLAVIGFEVIILFFLNKQRQRQRVAVGKPKYIKDTSMSNKYQAY GTDDPEGVLGQNALLDMTDFKNEEFVYVY I203_02010 MSTINDTNTNLVCILSGTALAYSLHHAYKFDGCRCLIPRKKEWF RALLTWMLLMCEIGMLIWGAGWAYVKYHLGWVYIPQMGTMPIPTQMYTEEYKALNTPL TIWVCISFSLQVSLNAEEGLYWYHLMRALHRPRTGKSWFRSPFFFTWIGISMIAPIAI ICTGWIGYESMDLQMGRMFVAGGAIEAIVCMAASTVVFKFPKFLKDVKSSGAGPEVRS RLHFYHQANKVRTFFRAFFTICILTLGTDALTEGKKINLNHIAADLLHQLSFGAYFFA KIISVMVYLPRDCNTSAHPQRIMVGQPPAQSPFKYPASPNKHRTSQTLMSSLREGGQW DEDDDLRIALAKGDGSPGELGYHTPWAKGDNNELGVDQDGRKIMRLTPLQNWTTPFGI PSKQTKAGYPLSDAQRTDFSRV I203_02011 MSEASPQHQMQYVNLGKSGLKVSKLILGCMQYGSGQDWMIPDHE EGIRQLKYAYDQGINTFDTANVYSGGESEIILGKFLKQHQIPRESVVILTKVFGTDGK LEDKGPAGWTNQKGLNRKRIFASVQASLERLQLDYVDLLQVHRWDPETPFEETMQALH DVVQKGWVRYVGMSSCWAWQFQLMQQYAINNRLTPFISMQNQHNAIYREEEREMMPML KHFGIGVIPWGPIGGGILTRPYEEMASTVRGAGRPKDGRGEQPSDKLIIDKIEEISKH RGCTMAEVAVSWSCQSPWVTAPIVGVRSTERLDELIRGMNLGLSQEEIKEIDGLYKPV AVRGHA I203_02012 MPSLSSTRSIFSTLRSVSKPIQPVVSRNIRMSSSVPVPKDFNSC LYQSLAEADPEVNKLIEQETWRQFSGLELIASENLTSLAVMEANGSIFTNKYSEGLPG ARYYGGNEYTDILENLTRERALKAFNLDPKVWGVNVQPYSGSTANFAAFTALINPQDR IMGLGLPDGGHLTHGYYTAKKKITASSVYFQSFPYRVDPKTGIIDYPQLETNANLFKP RLVVCGGSAYPRDWDYQRLRKIADGQGAYLLSDMAHISGLVAAAEQNSPFEYCDVVTT TTHKTLRGPRAGLIFFRKDKEADLEARVNAAVFPACQGGPHNNTIAGIAVALKQAAAP EFKQYAKQVRANAAAMAAVLFKHGYRLQTDGTENHLILWDLRPIGLTGSKVEKICDAA HITLNKNAVAGDTSALVPGGVRIGTSALTSRSMVESDVEKVAEFLHRVVQIALKTQEE AGSKLLKDFVKAYEGQGEASKLIVELKKDVMKFATSFPLPGVPDTTRIVRPEGVDL I203_02013 MKVLCVAEKPSIAKSITEILSGGRWDTRNSPHQYIRNYDFDYNL PPPLGSGRGTAFTVTAVLGHLMNSDFDDDHRKWHSCDPFTLFDAPILTSVSKDLKKVE QNLVNEARHADMLMIWTDCDREGEHIGSEVATVCRKGNRNIIVKRARFSAIIAAQIHK AAREADDLDQRQADAVEARMALDLRIGAVFTRFMTMGLQVRIPELAEQLVSYGPCQFP TLGFVVDQYNRVQSFVPETFWYIYVGIERTDEDGEVRTVEFKWRRNHLFDMDIAAILY EQCAINPEARVLKVETKPTTKWKPLPLTTVELQQSGSRLLRMAPKRVLDIAEKLYQKG ILSYPRTETDQYDRAFDFNSLIQKQTYDNQWGQYASRLLNGEYQRPRNGKKNDKAHPP IHPTAHAGNLEGDERRVFELVTRRFLASCSKNAEGKTTTVEINIADEFFHTSGLVIIQ RNYLEVYPYDKWSDSALPDFQEGEQFTPSVIELKEGSTSRPNLLTEADLVGLMDKNGI GTDATIAEHIAKIIERQYVLEKQEQKTKYLVPSQLGVGLIEGYNKIDFDRSLSKPHLR RETEHRMQLVCDGAKVKREILDQTIDEYKEVFIKAKRDFEIVIESVIDYLHGQGEAQE ALRAATQARRGRAGARGARGGRGGRGAGAGGATPRGGGGNEDDDDNDGEDGGPPRGGG RTRASGSARGSRGTSTRGTGTRAGTGSRGGGTGNTDNGNEYDNGTKTCECDLPAVSRT VQKADSPHVGRQFWTCSKPQSEQCGYFDWADEGGNHSNFGGGSSSRGQPPAKRQRPSS RNDNPGNDGDVRCNCDLEARFGTVNKEGPNKGRQFWACPNNPKASCKFFQWADEDETT AGGPSGPSNYGNSRNNSRGGGGGGGGVGVSGDCFKCGQAGHWSNACPNESTSGGFGGG GGARSGGQTGECFKCGEAGHWSNSCPNDGLGGPSAGGGRGGRGGGRARGKGRGRGRGR I203_02014 MLPRPARLLHQQNKLVCCRRTRQFTSTSIVHPQSQLIHHTPSPS IDESFNELIREDDMGMGMGPKKSIKPGRSKGKGRMHDLEIVDDQHLESSSSGRYDLHR FGLVPEEPPNHPSSSSMNHGQNGEGDYIHERRKERRSPAAVLGSKRIGVVVLPEALKN GIQRQIDQLDNPRTLRQSYLDLPSSPSGKIRDEKVDHRSSKPRKTIESELAKASAILP GEYSVVRNIYEEMDRRLGKGWLEKAGQQGQIKEVVEFSGGLGAGVWATVDALKDTSSC DNLRIQLVHSSRHGLDLAQKITEDIPEQAAEIHYYRKHHTFPSSPSLVLSTFLLSTLP TQPSQQSHLLQLLSLDSPYIILVDRSTPTGWQAISNARSFLLDQSTSENPLHVIAPCP HDGVCPLVATDDKCSFSQRLQRPSFVRKTKHSKRGEEDTGYCYVVLARGERPISGLSE EAQLQGMPKSVLGRMGGVGKEEVEKARLRKDGRSVLREIEGHEGILEVVNLPEVDPAQ DPRHTETAGSQEDKDDMQRGLRNEAYSWPRLVAPPMKRSGHVTMDACCPDGNIQRLTF SKSHSKQGYHDARKSSWGDIFPHTPKATPVVRTRGVRRLSKPIKDDQVLSDLTAVLRE EVSELDDPVLADELEELAKLGIKIPKAEIVEDVGGRSQPINGSTTQGPFGQAGQKRSF SYFSTRRPSIQTVGLSPLLQYRSMSARPPPRAKVTLSTLKKLSMAKVPITVLTAYDFP TALLSESCGVDMTLVGDSLSQVCLGHTSTTEITLDEMIHHAKAVTKGAKTPFVFADLP FGSFEVSLEDGVRNVIRLVKESGIDGVKIEGGLEIIPLVRKLSEIGIPVMPHLGLQPQ RATSLSGYLVQGRTSQSAYDIYNTAKAMQDAGAFAILLEAIPDKVARRITEDLDIITI GIGAGNGTNGQVLVITDVLGTYAQEVEAEEEIDVVEPATQLALNDVNVPSTPSIASNT TISVNANESSDQEDSGDIAKLEQPRKSLNSPKFVRHFGNVGDLSRKVIKSYLKAVREG DFPNQSESYGMKKDQWEGFLGWLEEQKGSK I203_02016 MSLDTPITILPSEVLSHILSFLDTPDRSNLASCARASKQFHHAA TPILYSHVVLRSDQDLGMLLPQFSVEGENRRKDLLKHVQIMTIGNERNRDIWSLSKVC EGWESSGLCTIRIACGSYLLPWRLLSMINLMKPTKIIFETIVHKVLTWLRLRQPRFLF ILRSLEERATVIFEMDHGPVNIPLAPTDSQASVNPVYLSLPKIDQVKKLVWIFPPGRT DLYEAIGTSLIKTSTYLPLDAEMILVHRFEGINQHADSNAMRYHEVFHSSLPKSTIRE ELLNAVPIRTPPIDEISKGISIGDYIEKRMEKIRFLSTEEYYKSE I203_02017 MPKATTSTFRVNPTSAATRPSKKNGESSSAAAGASGGAAGGARN HLFDTARFGQHILTNPLVAQGIVDKANLKPTDIVLEVGPGTGNLTVRILAACNKVVAV EMDPRMAAEVQKRVLGKPEQKKLEVMIGDFVKADLPYFDVCISNTPYQISSPLVFKLL SHRPIPRCAVLMFQREFALRLVASAGSKLWGRLAANVQLYARVEHIMKVGKGNFRPPP QVESSVVRIMPRDPPPPVKFEEFDGLNRVIFSRMNKTVRANFKAKGVAELIERNYKTW CAENGHMIEDGFDIREKIDTILLDSGYADNRAARMDVDDLLKLLAAFNVEGIHFA I203_02018 MSSNVKVIIVGGGLSGLSAAHTVLERGGNVILLDKNSFMGGNST KATSGINGAGTQTLGIPDTSAKFFADTKKSARDLARDDLIKVLTYKSGDAVNWLVEKF NLDLSKVSRLGGHSEKRTHRGGQQFPGMTITYALMEKASYLAESTPDRVKVLKKAKVT KLLNEGDKVVGVEYEKDGQRFTEQGPVILATGGYAADFTGDSLLKKHRPEYYDLPTTN GDHCTGDGHKMAMAIGAKGIDLEKVQVHPTGLVDPKEPNAKVKFLAAEALRGVGGLLI DNTGSRFVDELQHRDFVTGKMWENNKFPIRLILNGQSSKEIEWHCKHYVGRGLMKKFN SGEELAKEMGIKPEALKKTFDEHNHYAKNPGTDPFGKKFFSGGDFKMDDIYHVALMTP VLHYTMGGLEIGTDSAVHDSNAKPIPGLYACGELAGGVHGANRLGGSSLLGCVVFGRV AGDAVSSYLLSTLANERAANRLSNVAGHLLETKIRVDPNSKNVNLEFSWADNDNSGSQ SYSSGGAGGQQTEVHGTRQGAQDASVPANSQPAKEGDKTLAQVEQEKLPEPKEKQKSG GKTKGEYTVEEVGKHNKEDDCWVIIDGEVLDVTNFLADHPGGAKAILLYAGRDATEEF AMIHPPNAISKYAPDTVIGKIKA I203_02019 MLFVAAFVFVYYTIWALLLPFISSDSTLHSLFPAREWAIKLPLF LLLSGIAAIGLFFARVTLSEARKKAAKGGKKV I203_02020 MPPQRDLQTRRAARRADENAPPAAGTIAARSRPTSSNNATLAAK ASTATTSIPVLKRGVSASSTTTLKGSAAKNGENGKIDLAAKRRAALGEVTNGEGKKGL SSKEERKPLATTQTSSQTIPTRRTTRTTSSTSASVAAVKEEKATVTGKRKATVPSSRI PSRSRSATSASTSTTATAPETKPLKERKPNVDEEPSRKRRKTSTPPPFAEQEEEDARP VDDGLYDQDGKEILLSSGSKGAVGLRSPKRKAKDEGWTDLDAEDEDNPSMVSEYVVDA FNYMLSIEDQTMPDSDYMDKQAELQWKMRAILMDWIIEVHAKFRLLPETLFIATNLVD RFLSKRVISLVKFQLVGLTALFIAAKYEEVICPSIEHFLHMTDGGYDTDEILKAERYM LSTLSFDLSYPNPLHFLRRISKADGYDIQTRTVAKFLVEISCVEHELIAYKPSQLAAA AMWLARKCLDRGEWTPNLVHYSNYSQEEIVECAQAMYRYVLDPDFNDTSSFYKKYASK KHMKASVYFREWAIAYSSANEGKELSSEI I203_02021 MSSPPTREEQRYDAADPIIPIAHIKSNLSAQHQASTTEIDIERH EGEKSKRGRTEEIIREEEEKRNLTNRSSSLSTSPTRLASGCSYGPVINAEFLKETKIK FEPELVSSINENREREEFRILWVDFPPLSPQNPFYFSQSRKYGITIVATLFTLMTSMN VGAFSIGMESLTVDLGCTKEQAAIGLGIYNFGFAAMPLILAPLSEEFGRRWTYVVAVI LYLLFHIMIALAKNLPTMLLARVFQGCSGSVAATLVGGTIADIYIPADRGLPSAIFAF SSIAGSGLGPFVFCWVESNPKLQWRWIWWIQAMMIAALIVPIFLVMRETRESIILRRR AKKLRKERGLSDGGRYTARSEVEKVNFTQAMKTSSLRAITFLLVEPILLFFSIWMGIG WGVLYTMVTGLSYNFKSVYGFTTNQVGLAYISITIGALFGFGFNFVQDAMYRRKVEEK GIEARLYAPMVAGLTFAVGCFIFSFTSTASISYIVPCLGIVVIIAAVFTIYISAFVYI SECYGLYASSAIAAQSFLRNSFGGVFTFFTLQMYDSLTPRWTTFTWGCVALLLSTVPF IAFYFGPKIRSKSKYSKILMKEEQERITREKEILDGLG I203_02022 MVKTSTFLAALPLVIANAHAAIVEQWWNISYATANPDGLYERRV IGVNGTWPPPAITATQGDIIRIHAYNGLGDPDIGTALHSHGMMFNNSNWYDGAVGITQ CSIPMGHTLDYEIDTSIQTGTYWFHGHYLGQYVDGLRSPLIIEPKNGTGRSDDLEWDD EFTLVVSDWYHRQHLDLLTNEFLTWHNPTGAEPVPDSALIYLVKDGQYYPSPEAVSSG KATNDNLSIPFEAGKKYKMRIINMSALSMFLIAIDQHDLQIIEVDGVEVEPYPIDVLT ISVAQRYSVIVQAKDTADVNYAMAVMQSEEMYDYIPDELVLNNTIQITYNSDAPPAPE AVYDETVDLDDTVLVPLLKREMAPADIEFVLHANFDTYDDGTNRGNFNNVTFQEPKTP SILTALTMGNDSFNNAVYGQMTNAFAYPHMANIQLTVYNWDAGPHPFHFHGHEFQIVQ KSQDVTSDDLEDNPPLIEGQENPIRRDTVTIPSNGKVVLRWRADNPGAWFFHCHIDWH LSSGLAVVFVEAPEKLQENSSVPQPLIDQCKYWGLPTSGNVVGLNSTTEITGQPWGPF PLVIGWTPKAILSIIACTITALIGAFTVIWYGKDELGQKDIEEEIKKKLQAKKDKKGL VRRITGRS I203_02023 MGFRILNGKVIINPASQVDFRCTRKGSVFRRSFQPTPYEAERYQ RKIDCIPSVEFLTVHSHRTSHCQTNNQEWNLAKARIHTLKIVLKPISSPYHRYESKSP RSRQKACHTLRGLRPTTVILDDFTIGLVKLDCQGVPISLYGETEEIILRCGPIPIDDR LMYEIQTFPTEGLPRLKKLVIILSPRDASLLGLAQSAPGEAPGPASTWRIKPRNTPYD VELAMGRLIFKDHPLKPILEIFHEVRSSMRRMRFMTMEEYLWKENWYGVYDSNEVGRW IPYLTIPVGDTINSSVLHLQPTKVWPS I203_02024 MDQPSLLNCMRTCSKLATIGKVILPRNLVLRPDLRLDLRPKKSI RHRRSRKVIQEEEQDELDSDPEEDIKIELMEQTTFLTVHSHKESLCRHKYKVYPNVKT LKLILKPRSTPYHRSPLYSPWTGRPKECLLLRNLRPTRVILDNFTIGLSAHDCEGIPI GMYSNVEELILRCGPIPVDDLRMHKVERFPMGLTSGRLKKLVIILPPRDGRLGNTRYH QTNQNMINLARFLKTVPSCIASILVVNLGGYSPGTADINGPWNHPYDLDIAMCQYLWE ERPDIQSRIICRLVWAFLNKTVRFISMEEYLWKENWYGVFRLGEVQKWIPYLV I203_02025 MAYPPMLSEIEVDEDDWLEPPIQGGIDDPLVNQEFNRLSNKFSD AGYREGITDGKLATLQAGFDEGFASSVPLSRRVGSLRGKAAALLAIHLNSTSPSSPHS SKDLEESLRETIKTLGRLKRDEILPEDKERIQHDKEEHEGNEDGFELDRNDKRDMEDL ENTLELLGGSSNGGKSGSAKEDGEAVIKGLEDELKELEKAVLRR I203_02026 MSDVVKAGSEMMPSLKMAGALTVRALDTLPLPLLVVVFLACLAL LQWPIAPTPSLLPTFTPPTLEKDRLSPLPMIENKPLFAFTPLTPLTAHLQIYAPTTTA SPTPSSKPMKQSGRNVRRGSKQLLLREWRLNLGVVEEDEGESNAIMNLNPIQVA I203_02027 MNGQELLAWAVFVSYFLLIFLSFGLVFRSILSGSHISKLFQGRA FFFLRTAVGALLCTWYLQGMSLIVISLLQWSYKYHSKLNQTNSIGNWLVHTPLFEQAW TIVCTGRANWWWSSWICTWTIVFTALVWTESGRRGIKYPYAYMLLGQLVAMSVATSLF LTAVFLHPRIRSAPRSFPVYIAFPLLMAFIPTYLLPRHVNTDKFMNSLLWLHGALLLP LTSSSSSSIAENATARIPFSMLYQMFLATAISIHYPATKRLLGVLPPGQSIFDKLYKT IFTHPAQGSISLDVIWVAIIVLSWFLLSGSMVSRIIKVSLASIAAGVGIARYTGVNWG LIASVIPILVLLAFGTMGLWLQRLRSKNTTKRKELLEKMGMPERTVIPGTSNKPPSVS GEKLVVGFWHPYCNAGGGGERVLWSAIRHLQQTEKDVLVLVYSGDYPSASKDDILEKA KNRFSIEMDPSRMHFVPLPSRYLISDNYWKRFTLLAQSLGSVYLAYEGLCGKDGLWGD VFIDSMGHGFIFPTVRFISGSQTVIGAYVHYPTVSTDMVKRVRERTAGGVENAGASKS WLRTQIKLIYYWIFTNLYSVSLLFPQHIMTNSSWTQAHIQSLLTKARGSFLASILLKD ETTIRKREERGESKIDDRARCEVVYPPCDTKEFVKLGNLDKRKREIVSLAQFRPEKEH AKQLHALAILFEKYPQYRKGPQSVKLVLMGGSRDAHDEERLDGLRRLANTLEISDNVE FVVNAPYSEVVKRLGEASLGLNTMMDEHFGINVVEFMAAGLIPVVHASAGPVMDIVVP FNNQNTGFHATDAGSFAEAIHQAFTLSPEESLRMRKAAREAAVKKFSEREFEKGWQLG WKRLKGLVERDRDDE I203_02028 MGHNSDKMYVTHSEHASGGHTASSTGKRAETGKSEFLRLPFDCC ALSLQPFKNPVAVIADTAPGEQPRADVFDLLNIVPYVRKYKTNPVSGKSLDTSQLIKL NFFKNAEGNMHDPITYKVFSPHIHIVFLKNTGNVFDMASLQLLAIKPKTWRDLVNDEP FKREDIITIQDPQNLASRDLREYHYVKKDKKVSDDDVAGDPLRGINVDAAGGASKVLK MLAEKTKADQSPSATPPPAKSAEQEEKKEGVIAKRKIEQLAYNASNFSSGRAAASLTS TSLNPQVKSERAMFDEEEFMFEEMSRPTKEKDRLKSKAYATILTNFGGLNVELHGDRA PKTVYNFVQLAKSGYYDNVVFHRLIPGFMVQGGDPTGTGRGGKSFWGEPFRDEYNEKG AYKHDARGVLSMANSGPRTNSSQFFITFRETPHLNGKHTVFGKLVGGEDVLDKIERVA VLPGGDRPVKDIVILGVNVLQDPFEAYQERLKARLARQDQSDEAMRKRALAKEEREKD RTTWLGTDLGVKGESKLQKEKRKIEDLNGSGGVGKYLSTANTKNGGSKGGVIPEAIDY SAGNEKKKTKGGFGDFSGW I203_02029 MASAQVMIPTPIPDAVQHVKISPPLIQYKPLHPTFGAEASADFS SITPELVDEIKRGLTRYGVLVFRRTGLDDARHVEMSKLFGDLDDIAPFVGGLGQKNRL SSDYLFDVGNVNPDGTVMQPGGMRDLLLRCNYHFHADSAFNPRRAGISLLLAHELPPP GLGGETEFADTRTAYQALDDARKEEIHDWVVCNSQLNCRRNANIDENGKLNPLLDTDE FDPMKHRFGKHRLVQEHEPSGRTNLYIAAHAHHVEGMPLEEGQKQLRELLEHAGRPEF TLKVTWKDVGDLVVWDNTCTLHRSVPGNYAGKYKRDLRRTTVHDMSSQAWGLNGEGAT WRSGLD I203_02030 MPLIDARRLRRPRLLVLLLLALLGLIAVTHRRSENWTETEISIA SQIEEAEYRHSSLGWCDVAPDLCAEFGEIELRKVMNYEGSGHGLARFLAKARQGQGFT VGALGGSVSIGHGLKRGMRYEQSAYSELNMHRIVFDYLDKLFPAKNGRQIGGDNTKRG ANRFVNGAVPAIGSDYFAMCFGHHIPEDVDLVLVDMAINDGFSEKGAESYETLLRHLL ALPNRPAVINLQVFALMFQTIATGGDIHQGISSYYDVPTVSLRNLLLPIILQNSSYGI SYFDHPPGTTELDREGLGTRHISAKGHGLIGRLTNAFIDMRIRDLVTGLYGAELESRL VPETPREQIRALQRFNTYEAIPAIPPKCLSAHSRSPTLIPSTNKGWFKYLIADQPGSI VSFDFVTAGGLVQLSVYRSHNAGLGTAACWVDDMKDKRVIIEARWDDLVSIGYTVTIA NDLEDGDHVLTCDLLEETKDPQKKHEFRIIAVIR I203_02031 MRQLKHHEQKLLKKVDFLNWKQDASLREVKVMRKYHIQDREDYH KYNKICGSLRSLIHKLSLLPAKDPYREQRETEMLNKLYDMGILDVGAKPSDIENKVTV SSIARRRLAVVVARLKMAETVSDAVETIEQGHVRVGPTPVTDPAMLITRHMEDFVTWV DTSARKRTIMKYNDEPQLTSASRSGLPVFDIEGGLFGPGLTDSHVPVTSGPGVKFLAD ALKKGLNQGPRSYQCGKALPRIGGHGDFVSPGVSGGDCASCCGGHAVSLDRTADGVPA VLKAVREELKQGADSIKVISGGGGASELDAHETHPKDCWADGQKACSPADLSQVTAHA YIVEAINHAINIGVRSIEHGNLLDKSTAKLVPDPTLSYYGIMVRKPFETFFEEGRDKS AQVMQKGLNALKFAEDADVV I203_02032 MSSTEQQPSAFNALGSYADRIKDANGNPTKSTSSPASSAPFAPN GDTIKTDSPSPSATPSSSSSSTVKPRPMKSSSASVETSKETEQDGTWETVKSSRQRPR QQEEKEKHGSNSKNWRDRSHREGQGQGSSQKQKTGDEAEKKGGQGKSHKKHGHQAIQS ASATPLSGAATKPATTISAPTKPAWGASTQSAKIAAVSSDTAECTKENGQQSQTVPSS PSLNGTTVTANSVSIPPSIGSPNLSSETASTSTANASVLSKAVDKLEEEGSWRARPNN KVEDTPQPAPQPLQPRQAAPPPAVNAWEMRKKSMAPPATTLASAPAPSSRTPSQAKPE PNQSAKETVQKSIPNGHVKVENTSKHKKKTAARATSSALPPPIHDAALWPDVHQAAEV AKAGEEKKDKAKDRLNNEETSATEESAFTTGTGKKPKWTPIPASELLAAVDQVAENNR RQSRAEANAKKRASASKAENEASGSANKGGKAKKGAVHPGEAKKGGARAGRAGSTSES RSSSIPNKQLAESTAGEPTLEESNNDDSKKVIVNGEVENPLSRQTSKQSKAGSPKKGE QAQLPARNKDASPHLRFGSGPLQSRPMTGSNTAPLPQHGFNHNTNNTLPRVPRGRDGR ASFNGRGRGGFRSNSAIAHPHSMHPGFGSPPLGGTSGLPMDGFPNPNANTVPRGFVNL GFQSFYPVQGYGQITAGPGIYDPMQAQYGAGAMYRAGLPPPPMPQTVVPNLDATRFYV LGQIEYYFSMQNLAMDFFLRQQMDSEGWIDISMIASFNRVKSLTPDVSMVKECMALSS LLEVKEESVRLAGPDSSRWVLPDARKSKFANEVNTNNPMSPSSVTEESLSADQSLVSN YDEGVRGISSTAADVENALMKSSHATISAPVTAPAVNGDVEVGEKKEGQVEAKGEELV EADEKKEEV I203_02033 MAIAYTKHRYIPHHLPTNVIIRILSFCSDDVLLNCLTVSRLFFN LAGTFLYKHLTFESPKDMWDRLIGSTLLYENTTGKISIGRRRFKDRLLRHTGTITLHS HGDDDGDCQDSDSEEPEDYFGNLKRLSILSHSHSRNSSSSSIFSFGKKSNPTTPILIT RPPPPAKALPISCPPVPLNGVMPRLSTLRIILADAYDYHLLFCPRFGSACPLLEGLEL DRLIIIGARSPLVVLPTAFPSTTIETNSPMGLTPTMTRHTPTPTTTSPALASPPMTGH NSTVPTKIKSSGGLPIGLSELTIVLPTGRSYDSKDYEGYHNIFHYKKTLESISKLTIV FLTSPSSNTTSAGKGNGCRTDDKQSLWQVAFYSSRNYNPTWTSYLCLAEDLAKACLAV PLETTIEMVGMENIDGELLNMGIGTMKERGKSGMIMQERIKRQIEVRLIASNREYEVN TKTQYLKFRDLDDWLGCGEGRRQMGEKGFEQLGKEGYREFG I203_02034 MHLHQPHTHVGDGDGQGQVEEMDEGKREKHIYTINMGSFPRRPQ MHWEKLHDTPPSSSSLLQPYTQPKSDETEKVDNSGKSHKMKSKSFADLAEVFGFGSKI ARPSSRLSEILPRPKSSASLERRSCE I203_02035 MPSAAEQTLENQNEEELNSLHSKIKSLRSVTIDILDDANRQNDQ LDRTTNSFTSFASSLFSTSRHHSRTMASTSTLRQYRTMAYIVGAIVALWLIMKLWRSG PGPTVHPIEPEY I203_02036 MFSNEIARLRTLGVQGLLFQALNLLTVVSSGLMMWKGLCLVTNS ESPIVVVLSGSMEPAFYRGDILFLTNPADVPYEIGDITVYKIPGADIPIVHRVIESHI SNTTQLLLTKGDNNPGDDITLYNGIEWIERKHIIGKVRGFLPYIGYVTIAMNDFPQLK YALLGGVGLVMLIQQET I203_02037 MSLTPDQTSKTQSGAMTPGAIATELSKLDLPAPSGLKLTLPADP KSPSSAGAGAGPNSALSAGGNSLFSPVGTDDTGDISGPEPEALTVARRKARSESVSEQ LSTTLSEMKLPQPERIFGPSDDQNAQDQGPEKKQGVSPEDWDKVKLDDEVPEAVKEPK RMTHSRHTSRADAAVPRTPTIKEDPEPSSSSHNVAKEQKVTPFDVEGEVDADGKDLGI DYDKLTKRFGASLISQELLDRFERLTGQKPHPLLRRGTFYSHRDFNSILDRYEKGQPF YLYTGRGPSSDSMHMGHLIPFMFTAYLQRVFNVPLVIQVTDDEKYLLERDIKKQAELM KKIKGKKPLDLLRHYKKMGQDNIKDIIACGFIPEKTFIFSDLANVGGAFYENVVLMAK TITQSQSRNVFGFTDSDNIGMFHFAAVQATPSFSNSFPQIFGARDDIPALIPCAIDQD PYFLLTRDAADKLHYKKPALLHSKFLPALQGAGTKMSASKENTAIFMTDDPKKIAKKI KSHAFSGGGATQEEHRSKGGNPDVDIAYQYLSFFEDSDEKMEKLAKEYRAGTLSTSEM KAACIEKLQEVVAEFQKNRSEVTDEKLAYFQDPTRKIDPRPKPKEAAEAAPGA I203_02038 MSEPDRPTFDIAVPSTDPEKKDQDKPKANGDIKGKGKEDGGKED DVSDMSEEDLQLKAELEMLVQRLKEPDTNLYLPALESLRTLIRTSTSSMTSVPKPLKF LRPFYEEMGKIRDSWDEGLKEQRSLLASILSVLAMTYSDTGKRETLFYRLLSESTEAP GLWGHEYVRHLAAELGEEYNSNYASELDADLGGVEKPEGLKYTTEQLKALSLELVTFF LKHNAEADAVDILLELENIHEIVELTDDKNFERVCRYMVSCVPLLVPPDDSAFLETAS KIYAKYDRYPEAIALAVRLNSPSLIRQYFEAPKNPVMKKQLAYFLARAQIPLHWVHTA EGTESEEEEQPPTQEEDVLDCLGNVKLSTHFRNFGKAVGVEEPRALEDIYKSHLEPNR TTQTADSARQNLASTFVNAFVNAGFGNEKLMVNAAEGQSWIYKNKDHGMMSAAASVGL SLLWDTESGIDHIDKYTYSPEEHIKAGALLATGIFHAGIRTESDLAYALLEEHVDSKS LSLKLSAINGLGIAYAGSGRKDIAEKLLPHVADETNTMEVAAMAALALGFVFVGSGDG EIASAILQTMMEREETQLASEWTVFICLGLGLIFLATQEDSEPTVATLKVIEHPIASI AETIVDVCAYAGTGNVLKVQQMLHLCAEHADKSKKETSDSDAAPVAAEGEAGGDSEGE DKDKEEKDKVDSLKYQAFATIGIALIAMGEDVGAEMALRQFQHLMTYGDPVIRKSVPL ALGLISASNPQLSILDTLSKYSHDSDLDVAINSIFAMGLVGAGTNNARLAQMLRGLAT YYAKEPDCFFMVRIAQGLVHMGKGTIGINPYFNDGQVMSRTAVAGLLATIVSFTDARK FVLDKHHWQLYWLVTAMYPQFLITLDEDLEEKPVTVRVGQAVNTIGLAGTRHGISGFQ THQSPVRIGTGERAELGTNEFFPYQSVLEGLVILKK I203_02039 MFPFEKDTTAPKKLVLDGANMTSITAFPLGFPKNIYDNLEEITF ICPPIVYLPDPSVVGFPDWSELPLKKVNWMFFTKDKDHYWTLGQHHLDPTKQRYGSGR FTDEYWSFGKFLLSFPTNIEINVINSGSIHPCTIGSVYLDQQYNQNLFANKVRQGMLA EVQSRARRHGPVQNGNYTEQDLKISLDIDMAKAQERWSAIRWVGMIEYLRNNDWEGEL EPDEFKVWLNSK I203_02040 MSTGSTNPNGSNRILTDDGKALQPNYQSIIDKLRNVSQKRSAKG ISPDWVIWHTDAGDDKMSDHDDLVAGMNIASLATLGIVDLKGITTTGLHRKHNASIVK GFMKSFGLPDVPVWYGTEEFDPNDHDKDRLERTRKRADTMTSKDPNTKWQRFHQIDTD IRSTKKSDIKPNDYITGFSQICDEAKGEERKIKMAITTGHQTVNSLLSNPETATKFTG QVEKVVFQGGMHFDNGKILPAEDARNNFYNISACREFLGKLQASNIPTDCYTKNAATA CAIEPPFLSELARTGHPGGRFVHELASSVDATQFFDTLNEDRLFSKNFTTDLFLKFKT TLDPEEAAEKKKFFVNKWGHQDKSTMTKEEYTHYLSDYNEHLGDSVKAVPYDPEVIVG LMDEEYLNELGILPEYNKDQDPELEDKFKVYGKSRTDCGVNTRNMKDTIIALAGHITL ATKPEGSEPITTGIRRRLTGEQATRDSQEDLTSYGSDEDDCFSCCT I203_02041 MTDQVDNPRDQRDVRQFIQRIKYPKQGDFLLSAHRGFRWDGVPE NSRTSIKRAVEHGMICVEIDIRLTSDGVPVLFHDQTLGRVTNIAEYMGRSDAYSPFTG KGYSPLIQQTPWNGCIDHLKLKEEHGEICDEGVLDFESLLDLIEEEKLDIVLFMDIKC KEAMPIMYEVMKDRKNAAGVPALEWCVWKVFVHMYGYPQELEEEAWWQDAKRIGQPVY IPVYEPWPTRQIEDPFKSIKAFSHYPNVIALEIGLRAPGGYMQDLLDYATSPECPLKS IGFFAALGDLWRHDHKEIKFDLGDFTVPRELDEEFSHLYFRIDNPPQPHDALLIEGDS PDGHDYRADLARYKKLGFTWTITDRGEELKCKELITQ I203_02042 MLPRSSLARASMALGSVISRSSVLASRTVLRPSPVSKCVSRLST TQSLQSLLQSRGYSAAAQPKNDDDFFVTEPTQFQPSPSTSTSTASPSSSASTPPSTEE DGFFAPSLTDRPSSSSTQNSTSSVESKTGPSVELVPFESLKGKIDHDTLKALTFKPFQ LKAMSEVQKRVLGLMPSLGGGKLRGPAREAAEAEGAVIEEQTSEAGKEREDLLVKAKT GTGKTIAFLVPAIDARINKVNELIKTPYPDGTLPDRAAQGRNERAITRSHVGTLIISP TRELATQIANEALKLCTWHKEMQVRLLVGGESRHRQLKDWKRGRKDIVVATPGRLKDL LSEEEVKSAIEFTDLLILDEADTLLDMGFSQDLNHIISHLPKERQTFLFSATVSREIA AIARKSLKPGHKVIDCVPKNESNVHLHIPQHYTIVPSAADQIPHILRLIAHDQLLNPH SKVIVFLNTTKLTMLTATLVRELKESLPKDINVYEIHSRLDQNQRSRASERYRRDTKP SVLITSDVSARGVDYPGVTRVIQVGIPASSEQYIHRVGRTGRGGKEGGRGDLVLLPFE EGFINRLHRIPIKPVPTRDLSAEVHALARNADEEYADKLAGINEAITQLLPSLDSEAI EEVFTSMIGYYMGKSDQLDVNPHEILQGLKDWSVEAAGLQEPPYLSPGFLQKLGLGSN RRSGGGGGGFGNRSRGGFGMNKSRSTGGGGGGFGGSRDRDNSQPRVRSGNLFERGDRS GSGGFSNRSGGGGYGDRGSRGGNFGGRGGRDY I203_02043 MTSSPPSPSAINKPNFGPTAPEDQPLRNVNGPILNKASNGKENE KTSNLSNSPTRTFSSRKLSTSSQRDKDGFTPLRVYESRDAQNRKMPEAQVANNVGPAK AIAAGMIKPEDGEKKLEKFKYSFFSPEIAMFRKLAFKMIIGTVVITVLVMWMTLPFYW GSLWKSNRYTDKLTVRIIDRDGGEIGQTVTQGLLAQTNLRYFITSPSEFPDIPSIEDD IVNQGAWASIVINTGATTNLNQARSNGNASYNGSSAIDVFYAQARMETAVNSYLLPYM QQPLGGLLGQYNARSVAQYLQANANNATAINALAAAPTTVSNPVWYTLFNLRPYNQPV AQAITLVGLIYMLIFSFIITMSNNAVREIIAPFLTTRAYLIYRIVAPLCLYFVISFFF AMINLPFKIHFGAHFTYAGGFFLWWFTLFLGMGAVGLSTEFMITILGPRFIAFFLLPL IIANVSVVSLPHELQPWIYRYGVAMPFYNASRVVRTIIFDTKNDIAENLGILLAWIAV NIITITLTTWLFRRKSVNQHNKEVGENELDSLERIDEA I203_02044 MPRSVHSPLLFECAWEVANKVGGIYTVIKTKVPVTTKEYGDRAC LIGPLSYKSAPMEVDAEEPEPGTPFALTIKSMQERGVKLIYGRWLIEGNPRVLLFDTG SCYDRMDEWKTDLWNLAGIPSPPNDHETNETIVFGYMVAWFLGEFSSRETDTAIIAHF HEWQAGLAIPLCRKRHIDVTTIFTTHATLLGRYLCAGSVDFYNNLQYFDVDHEAGKRG IYHRYCIERSAAHCADVFTTVSHITAFESEHLLKRKPDGVLPNGLNVVKFAAMHEFQN LHVQSKEKINDFIRGHFYGHYDFDLENTVYLFTAGRYEFRNKGVDMFIESLARLNHRL KEMGSKTTVVAFIIMPAATNSYTIEALKGQAVTQQLKECVNQVTARIGKRIFEHAARY SGEHGTEVPNPEDLLSKEDKVLIKRRVFALKRNSLPPIVTHNMADDANDPILNQFRRV SLFNQSSDRVKVIFHPEFLNSNNPILGLDYEEFVRGCHLGVFPSYYEPFGYTPAECTV MGIPNVTTNLSGFGCFMEDLLETPEDYGCYIVDRRGQGVDDSVNQLTNQLLSFCTKSR RQRINQRNRTERLSELLDWKSLGLEYVKARQLALRRAYPDSFDDDEPDFTGVQRVGAP LSAPGSPKMRTGMMTPGDFATLTEEMEHLGTQDYMGAKSWRGINDDDDENHYPFPLVM KPRNRSDSLASAISGTATPSGGRKLSEKDLAKADAVLSSMGQNGNGINGH I203_02045 MMCIKHVLIYFLVLPIPAASPVFLLLFVASAFIAIKPCGYCLSL LAILFLSTSPQSPFLHPSLNSSSTSSRNSTSIYDLPLSAPSPNRTWLNLNEGRYSSPN LVGYQFMDKAITPQRSAESGISLWKRIFAWDLGHSIKPQSPLEREITGNLILDRIIQP YLRPSPSPSAAASIPAGEVEQIQWNKRELPRNYIDLSWKGIGFIVDFNMKRSNEGIEW EVEEVLGKEWVRPTREDELRKAEVVTHQEQEKEEVDKQKGNVKEDTRKIQGDKKKFWK RIPLVGSNW I203_02046 MSAESYLVIGGCGFLGRHIVEQLLARGEAQVSVFDIVQRHFDSN VTFYIGDLSKPEDVQNALYKSKATVIIHTASPAHGLGRAIYEKVNVVGTRTLLEASVE PSSTVKKVVYTSSGGVVYSGKEDITNVDERVPYPEVLLDAYNETKVAAEKMVLEYNGK NGLLTCAIRPAGIFGPGDRQMISGFYQVIKNKQTKWQIGYNTNLGDFTYVGNVAHAHL LAADKLGSSYHYSQLRDPISSIDISLGSHRIPTSSARPIGPNTKPSAEDLAKARKFDS DQVDESDLRPVLRTKMDQFSKESSLDENDNSEEESIPIAGQAYFITNGEPIYFWDFAR TIWKQLGHVPPYLIVLPTMLGLILATLAEMFSKISGKEPGFTRFRVAHATQQRYFDIE KSRRLLGYHPIVSLTDGMQRWTEWYQGELEKQKLAIEDEKTK I203_02047 MTIPLRCEYSDASGTLKSKEIQGIPLYGSGLTMLEVISDAISRN TYAETKSIEVPLVECFGEKCKNFNKYWDRLPTGVSQAIAGMGDCSFIEVGSFETTEDT QEEYVPLTYTFQRALGPEVD I203_02048 MSLVDQLVGTISTLAPITLSVPTTPIFQVSRFIHHVTTTPIHPV YFPYLRFGVIHAVRVTTVWAGLKKGKKRGGRLQDLFGYLALAWGGSTVTSLLLNQPPS WLISPTPWIIYPLIYTLLVPTGLSAYIVDTCPTLLFGIIGGFVDGMTRGTTITSLATL LSSSSIGSSAISLDGSINLWTYALLSLLAISSGGLIVGALSLNEDEWKLGTPGLLKGG LINTLDAWSASLVGLLWLTLTSQNSSLKPISELIQSSLPHELKSSTSSSSSDEKVAVD ILDVPHARAICVMVLGGLLATKAIILYVRGSKTKKVNKVDKTTELFILDEKDNEKTKV VKTPVKVGSSKPTPRKSPRAKSK I203_02049 MSHTGSSSPNSLFSEYEVKTAKRLPPDIPGLWVFPSYLPDDIAR NALTRIASADLFSGGERDQVMLFEAPKSLSAKSSLLTYIHDLLRSVNTLLETRLPANT TRLLFDQPLARQVILNLYPPGQGISPHIDLPNRYADGILGCSLTGGCVMTLSKDGEEH RIYMPPRTVYVLSGEARWEWYHGIDGCFEDIVEVEDEVGQVTETILRDLRVSVTFRWM KEGADILS I203_02050 MFANSLRQGLRTASRSSARAFSTLPARAAARPTLGAGVAMGAAV AGFAMYEVSKSPILLESKTIAGEKGTVTERSFVMIKPDGVSRQLVGKIISRFEERGYK LVAIKSLTPSPALAKEHYSDLSARPFYGGLVKYITSGTPVVAM I203_02051 MTTTTTRTTSTSTSTIVDVKVKVDGNGGLILPRLSNCRITLPAG RYKSWCGIDNLVSTDIKTKEAIRCGVLRRLRADKVIVEIESFGRIDLFGRKDLFEHDE LVCRIGYVGEDATLLREKEWPITSNNKDQNQDQDGSTDQRDETSKQIEDFGVESEQEQ VITQSNDLESQTIPREFIQSLDILPSLDVNNTVPNLTMIFLSPPRNRIARKLTSEDQR TDAQRISHHLLALASILCSTKSERTFEKVRLVNIGVLLFHKHGIKREESDLRNMQDEI KNKLVRLIKIRVGLGLVDGIMSKVEFVLLDDYLKEEKKRTEQVRLRAKAGQKNVRLLY I203_02052 MSDVSPPTTAATNKRPASPSPSADSTAQASAKRAKPDGESKSDT EKKGESNGNGEAGEPTKKKMDEVEMEGADAGPSNTLAPAPSSVPAAPVKSEAPPQQIS MRSLIVTQDASIIIGRGGAHVNEIREKSSARVTVSESIPGNPERILNVSGALDAVAKA FGLIVRRINDEPFDVASVPGSRAVTIKFIIPNSRMGSVIGKGGSKIKEIQEASGARLN ASEAMLPGSTERVLSVSGVADAVHIAVYYIGTILLEYQERNPGASTSSYRQVGARNGP PGGGGGAPPQFNNKDPNAPPPPGMQTQQIFIPNSLVGAIIGKGGSKINEIRGQSQCQI RVTDPGTAAPGTVANPEERLVTITGYPHNINTAVSLLYAVSGGCYVSFVPNLQSMKPT IA I203_02053 MPLLKRKPVILAPLPPLSAVLTPIPQQPSDPAAVKEIALPLDGK DDEEQLDILLSVFRGGMNGVQPASSSTSASAQGVNGTSGKGKKGRASLANGKNNDEDA QNGGHRFGPFWKSSDKECWYIPETGEIFTDYESFCARRAFYAQPIFQCEVSGKSSLSY FTALQSEQKEVRQLHSRFPRQLKKAVLSAVQFQIEGKLDTLADKIFERFHDRFFDEEK VFVDVDGDKYLARIVKTFPPRSLATTSSSSSPFKPAQPILHPYATDLSLPLEEVNEKD DPSKYFYNVRLIEEGYPEGIAAEYTSANGQSNGPDDEEEDGKGEKWMGSTMEVQCDKI SRDRINFSRAMLKRFIRDCVVRDTAVYSPWTVKPSVAARYGIPTEMTEEIRQGILNYK ERQMDKRKREREERLGLNNPAPAGDADEPKTKKQKKEEEKKSREEERLREKEAKERER EEEERKKKKALKYPAEDYLVEWSEDKDKPAGRIEVRPQLQTLLPFGDQFEKLLMTWSF LNVMGKPLGLSPFSLEDYERSLYHNDNYQGPAPLMTEIHSVLLNALVADLSAGHEPAK TLSSYGKEPDNDTDYWEGKKGATTETLTPVVLPLAESWKSKVLSVKEGRKGWEGSLIG CLWERATLEELPNYLDNILHLTYEDKPAPTRPSWATGPSTSATNGTNGLILAKPEKRY NSLHHSHKLDIIAFLIELVNQTEQIREFMEESTFNLTEVRKDQVEVKRELRRVQAERE ALEPKEKPKEEGEENGDVSMEVKNEGTDSPDVSMNGHTNGHISISHDRDELEDSPSIQ DDISDVESDHKDLSAIGASRRKAMKEKALEREAESNLRNQKLAKEREELRIKKLEGKH IANEKRRLMEEEEAINLKLKHLEYDFRRWIYTLRSKPLGYDRFGNKYWWMDGLGSSQI LNSDGKVNLGTGRLFVQGVDPEEEDILRNLATNVVEEEVTKEYVDERRSREEGGKLDA GQWAIYDYPEQLQELMNWLNPRGIRENQLLKQLRMWQHEIELGMKRRKTLNGLDAPAD GDENTRKLRPSRKVNQGDDEKEGYMNWKNKRSLESKV I203_02054 MVRKDRIRLLFRDHVLSKTKRSTFSSEQSEKQPPSFPHSPPIPS PRIPIEIIFQIVRYCSSTPDTLCKLAVVNHEISELALRLLWRDLPLGLSSKHPFGHTP RLGSSRRYEYSRNLTKVINCDFTNYNYLTRLSFIPPHHHRYSNVTYGYDNLQTVKFHL NTLKGSGGKGGWSERKSTSEDIISKNYAKKIPTNFNKLIWVGIPEPYYKDCWYASWDE GGFRSYSNESRSERCRGGPSKVIFQLNDIYTDSMISFVKETFLRARFPKVEELVIRFV PRKIIVPYTQGPDKSYPSRFSPRQDPIYELLDGLWLGIMKDVNITIDRRTKERILAGQ MNHEGTYGTTSTITARPKITEEEFEKIMNDYLESRLTRQAKRLGMKVMIDAGEIVQDH AKIANIKIERKQKLMSMREYVKASRDWEDELDMEDVRAYL I203_02055 MQFSEDTANNTDDVTDDNDICRRKVQSTREALYPHFKDHGAFRY DLIDEHPDIRKAIQLSLRESTGGHGTQLHAAKRASPLESQGRLNKDAGEGSSTGVRKR I203_02056 MSNTEYKFEGWAGFGPDSVEGKLKFIEYEPKQFAEDDIDMKILY CGICASDISTLSEGWGELKDMWPQVVGHEIVGEVVRVGSNPKNGIKKGDIVGIGAQCD SCLQCHYCNKDKENYCSKGMTGTYNGKFSRTSEGSKSYGGYANYWRGPSHFAIPIPKE LDPAEAAPLLCGGGTVYSPLVEHGAGKEAKNVGIIGLGGLGHFGVTLATALGAEVTVI SHSARKQKDAEELGAKHFLITGDDEAKAFKGHERSLDLIICTSNAPDMNIPAYLSLLR PGGNFIFVGIPEGGQVPTIPLFAMVMGNVHIGGSLIASPQTLKDMLQLVADKKVKSWI QKWSLDKVNEAVPSMIAGNARYRYVLVNEKNGGKL I203_02057 MSTPNPVHSSTNLFRRLSWQTSISISIRIADGEPGAGIGADRYF IQTPRYTYLPLLIPEIRESLVELALDDKQIEETDEKDWWFEEEEDEDEAPGGFARQGA CRWHWPIDLISIHSYISRPRPLPLPSSSSSTEPKQLKLLLHLSKPPIDRLLMPNNIET CKTQWLNQIKEADFVRWRNTNKVTGLRKTELDNGWDGIVQDDYDAYLRMASRVLPLPI APAVGSNMTSPNPSRPPSTDPSGSTAKAESAYSTRAIPIKIYLPDNAPVIQEVIPPIG TDGKPTTLLSILRQHLHLLFPSRSPDPYSLAFPVAQGILIPEQSEVAWLAACMCGADG WLRIGICLRAQ I203_02058 MAPKPTAIPSSARRAKRIIQSPSGSSSEASTPAATANVPVPASD RSLRARNNLKRPVPPDDSEVEEVTSEEEEVVEVDEEEGEEEAEAEGDEDADGDVDMER SRLSSAVASEDIPLDDEDAEGEEEEEEEIEDSPSKSTSIASANASSSALKLKFKIGGG ASSTNSAEKRPGRAAAKKSAKKVKKVAEDEFAESDDELLLFGEGADGDEDAEGSIISS RRSISPTKMTARQRAKGNKDLQETLLQLPNEVSGKKVILTEAERLQKREETARRRKRQ TEQKLQDEQDETINRLLRAQTSKSRSKLDQPSPALGEGELTASGQASPSKQPSSNMIR WSSTLTKDGDILLRVGAPKEKEDWISLTPAGEEGKDKLIVREIPTCGVVGCAKKRIYR STKNFDIGGCSLDHLKAVEKI I203_02059 MTFKRRGGGRNKKGRGHVKFVRCSNCSRCVPKDKAIKRFTVKNM VEAAAVRDLSEASVYAEYALPKLYIRLCYCISCAIHAKIVRVRSAKPGAINSRKNRLP PPRAVFKDGKRINPAVAAAVASKVAQGEKTA I203_02061 MPPQSNLPGASISTTTTPNGALHKQPTSGFTVSKGDWGHKAGQF DIKYPKGPTDGGVTQEIFGTKVQVPWRSLEELDSEVTTKFVKEQNKLSIPILTDHPLR HKLEKAVEGIYNHERMDTPQLQADGYYYWNFNKGNWPRDILVRSKDLKQHFGKSPLQD DGDGPEIFFDLNKQDTENVSMYAHSFSPSGKLWAVVLQNSGSDWQTIRVFDTTTKQSV DVDVGGSKFTFGVTWIGEEGYIYKRIIGYNDDEPSLADGAYAMFYHRVGQPQLTDVAV LPFTGEFHEHFVGKAFTVSSDHSTSPTKRTFLTFDIYRNTNPETELLIVELPGGPREV DGQIIADLVKDKRKWVTRGFTGETRYIGSIASDKHFFYSTADGHPTGRIMTSDTDDWD STPADGTLPLKEFVPVDAEGHQLHNAQVIGSKLLMLIYLKHACASVNFYNALTGVKLG FTNAVETKGNIELDPKIEVPVPEEEFEIAKDSNSKVIIPEHGSIKPISSRYDWDDFYF SVDTWVAPSYVLNGKIVNDVQGELEVDISSINTATNQEDQENLICTQVFYDSHDQTKI PLFICHPHDLDLSKPHPTLLHAYGGFCAPMIPHHDAFFASFMRNLRGIVAIAGIRGGG EYGKRWHDAAKGIKKSVGWDDFSYAARYLQAKGLTTPELTSIYGTSNDDSSDFPTLLA TSPLHNIRTDCKYPSILVTTADHDDRVVPGHSLKYLAEIQSKHLPTKDNNVILGRLYE NAGHELSAKSLEKKVEEVTDRLIFTLLTMKP I203_02062 MTSSISTLTSAGSSNPSPSADIRLYKNRASYSLQAALSIFREAP IAHIAFVHPGDPGDKGRGETLMNVPLITVIVLEEDGDDNDHNDYSVYLHTHRHSGLVE AVLDGKHGITATTTKIDGMIFSPTAHDHTLNYRSATLHLSSGRLLDDEVGSKEHEEKR KVLGIVTNTVTGYDRISSVGVPDDAAVKRTTVIKFKIEKISCKQRYGGFNGAQEPQEE APKGKESDSFTGVVPCWTQWGEPIGYGRDVKEIKDLKENKSRGGREFAEKVAWADDEI RIEGLGKKRQQR I203_02063 MSEHSSQAFDLANLFIPNSAPTMTGPPQVVSNKEGNGASTKRGK TGCITCRLRKKRCDEAKPICATCSRLGIECMGYGVKRPKWLKENDNAKKTKQTIKKIV LSRRSQKNKISHLEQHTVDNSNSNPDPTSIPEQAIPHFWDMGPSPKDANTISTNSISN HDILPWNLPLTISHNPIPEDANTIQSTTNFLQPMSIPNPSYPVSGYLADPSIFPPVTT SVPIIPGDMNSMNMNSLIPGGVPSLNDMSMEALLGFLFGPPVPPGFVLDPNTNSNIPQ APAQVPQNSIDQAMSLTPFFNIPSATPTPSPSPTASPFPNLTYLHHYLNVVLPLQYRI VGITITMGDFVGSLALRCNEVLTSVSSLAALHMVAQRSKKRLAKIEEETASVEEDEEQ GEGKGSSQVRNQFTSLDEDDEDDDDVKVAITSHRKTLERLRFISSKDLVAEEIILSVC FAISYNVFCGGTSKKLKELISISRRCLSAALYSSPELGLTGNSSKNTNIPGSSPWSKY PILLESMIWIDIISSVTYNKASTLLPMYRKLLSHMPYDQFGNNGKPLVLMDKMMGCDS TTLLAMCETVALSEWKEKAEFVGCLSYKELLERSSKIEKLLDERSWRESHLDINSFSF SSTSPTSLSGNDGQTKAMEGELRRVMSDVFYGSVKVLLAVTVNGPYPRVPEIASAVVE TIEALNRLDIQQQSNVQIHRAVVLPITIAGCHCETEEQQAFFRNCFAALGHEAKAFGN TGSALELMEQVWKKRSAGIERVDWRETMYELGWKSGILLI I203_02064 MASEAQVDLKKTVPVEEEKKLEEKGLNNDTLTKYTTAGQALGEV LKKFIPSITAGKKVLDLCVEGDKLVNDTVAPLWNKAKNGVKVGKGSAFPTSISVNNVV SHVSPLPSDPEVVLKDGDVVKVMLGIQLDGYAVTHAETVVLSSKAEGLSADVVKAAYD AAQAAMRTIKVGNKNWDVTEVVEKVAKDYECAGVEGMLSCQHEKNVTDGKKRILLNPS PDLKRDHETITFEEGEVYGVDILVVTGSNGKAKADPSRTSIYKKADINYQLKMKTSRA VFSEIQKKAGAFPFTLRALDDEKRARMGVQEAVAHGLLKPYDIVQTAAGTLVAEFFFT IALLPAGPLLLSPQPVWYSADKLSTEKKITDESLASLITQPLRAPKKKNKSKGSGNGE AKEETKA I203_02065 MSTPKSYTEPSTPSSSSSTIKPDPMSSPPNENTALLPPDPHSSE TRKRWNHFPPVRRVFFTSLLLSMTFAFTQTSLIYAFRVMTCDEYYKTHEWDGSHGDRC NIPQVEGKSAREIAIMSTTTTTSTIANLFISGWFIKHFGVKAAMFQQTFWAALRNLCQ MYALKTGGKAGILIIQSTQLFNVLGSAGGYQIASNVFVSLLVPSEERTKMFGVLTGVI MLGSSLGYTLGGLAYNTYGLLAPFRCAFGLLCFCTIFGSLFLPYLSPENHSKEPSSST EITKEKKKQSFLAPLKIFIPKKIETSDGRIKRDYNLLLLGAGAFVSVLATGYVHVALQ LVGTDAFGFTPGESGMMLSGNLLVKAFFLSICFPRIITWGRKLLSHRTDIPSGPATDE HPETPLEAEEPDDMGAPREDQARQPTDVRHGSTFDLYFLRWSIFIDGLLTGLTTFSTK GWHLYLAAGVLPFASATGSACKGVTLDFVEADQRSDALGAIALIEKIAQVSTISLFGT IFAVFSEQGRPTLVFLANGAIAMLAFILLLFVRMPKPKDSTGRIVLPA I203_02066 MPDDQKSVLITGANLGVGLALSRLFVKRGYKVVAAVRDLSRAPP IEGLAAVVKIDSNEELDSAKAIAELKAKGIDDLDIVIANAGIASPLAYMRDTNMSAYD EYFRVNTKAPLSLFIATYPLLKKQGSKFIAISSAAAQNSMVHFKTNGTYGASKAAINY ITRQIHFEEPHLTAFTLAPGFLDTDMGIAGAKELGLGCPPEKSINHSS I203_02067 MSVVQAPLSPGEGGPSAFFSASPMSASMSNSTSKGSSLFAEDYS LSSPSHSTGSNSPNHTPGPTPRASTIGNDLSTSINIAFPPKPFMGLPASVSPGNHTLL PTPPTSPRRADNFTAPPPPIIRNKPSFTAMDPLDEELSPKPTPSSSVTTRGAKGYHTY EACMEGALGHDTKSSTYKVGTEKAYHHLNRASELFQLAIELRPQDKKAMLGRSKVLLN LATNYQPPRVATQSLRDAVSTLRELVKLAPLSLTARETLGQACGLLASTLHELDDNVE DEKHIWESEIGQLARESLQFLEDVAGDKMDRMRDLGPNEAAQQSPAMAEMFLSLSSAA VFVSSLAIDLNLVDLHIELAEQALDQASNMATVAAAARIKSSTSSANLITRVQLASGA SSLERLRHTFHLGVDLDEDDFRALIQDMSMLATECRERAAKLKGSKASAAATLAWEAI RQLGDAKTLYANLLRLVWRKRGPRRRSTSKNTPIGTPRRMSTRNGSLDAPTIQEEDEE EQTGGTPRKDSDPKSVGRRESTSSTGSRGQGSRKGSILGAVSEDAEVSNFHSARGRLS RPSISIPQTGRRGSWLPSPAESGGATGGRTRRRSSLGFGSGPSVLPPPSPEVRSRRIS SIGGGGPLVGPDGISAWNRKASVISLGSEDEAQGLVPSSELARSAWQLLEGAVKQYKL ALSVLNGSDLPNAQLAKAKNETLTAIAYCSLFMASLAPRLQVAAEKRTSLLVTAEVYS TWAAREVGWSFLIEGTQAAQLADRRTNSWRADEAGKRAVMLLVRAWWHRAVTTESIDV NTKTSAKDAVETVIRRMKDKEGATAGDVARMRYWLAKQEGDMDGAESLFWRSTARILR GGSGFVMS I203_02068 MPLSPVSVVVGTTIATAIVVIGTGYAFKKFVYDPHLSHHIEALI SQHQHQQEQRQAIPISVPVDAEEAKLGSTRMRGLSTSTSYADHHTSLRRRNITNHREV HGDGDESTYELKERTYSQPEQKGDIYGGASRFELDESQSSLLGESSNPKTRAGKLIDL ADDGFVEHEHGGSDSPQEREIREVIFSLTPTPLRSNSRTSTPLQRSGHVTPESVNPFL SPEDAHVLLDRPKDDDHLDPRTSSTSFSFLSLSQASSPDPLPHPNLINHQQQHPSNRD AHVEEVEEDYGTPTIGDDEDEQEVLSLSDIISVSNTEYEDAESYTPVSRSLSRNSNPA QADPSYGIVNEMGLSYIPLSVPSTRGPMSVISVDDSASVGESDWDILSETGR I203_02069 MSSSVEANLPPTNEGYGTHEYWEQRYANEADGRTFDWFLSPTYL LPLFDELTSISTSDVKGKGKGKDTRILTLGCGNSALGEVLYDNGWEDIVNIDYSKIVI DQMKERHSIARPKMEWLEMDILGLGFDDESFDMVVDKGMYPWCTLYLHSVSP I203_02070 MAKQILLAPLAILPGKSQPQPTTIEIDLDSGVITSIKEGLVQPT EEDKDVMVIEIEEGKVVLPGLVDTHVHLNQPGRTEWEGFQTGTLAAISGGVTTVIDMP LNSIPPTTTLEGLKVKRAEAERVGVNCDIGFWGGIIPGNADELVGMLDQGVKGFKCFL IESGVDEFPCVDEKDLLKACEALKDTNALILFHAELDSTAHSHVSPPSPDPKEYSTFL ASRPESWEVDALSLILKLARLYPSLRFHIVHLSAASALSSIRQARSEGVKNLTVETCF HYLCLTAEEIPDNATQFKCCPPIRDSSNRLELRQALIDGEIDYVVSDHSPCVPELKKG DFLESWGGISGLGLGLSLLWTEFQQDEKVDLGRVVDWMSAVQAKQVGLDDKGGLKVGN KADWVLFDPKEKWEVTTQSLLFKNKVSPYVGKNLRGLVEKTYLGGQLVWDHSKGVDGV AYSQGNLL I203_02072 MSGLEDLFNSPTRPPPPRSPIRSRSITPDNLPIRSNENPLFFSP GAPSEYDIDVGSPSRQRNVSPPGGQNIRTTVDEGAAQVDDDVGRYRVHQQGDFEDPFA ALNATNGQDDAEEAGGKRKRTVAKVDADRLTSDRGIPALCRAAKKFKVKGKGHETQDL KNLLNMYQMWAHGMFPKGDFQHTINRVEVICRSKRMESALKGYREAFYPPPRSPSPPP LSDRALTPPSASQEDDRSADPQGREPLFTSRPAVQEEDDLGPDLEEMMALEEMERDAQ NQSQNQNQNNTPTSIATIGGRRVDDYDGLPPDLEEEDEWEGLYN I203_02073 MTQSTADGEYLAAVARMQERQMSWGRPTRSNSSGSMSSTGDSIT TSPHQLNLTALAPQQAGGSTSGGSASASATGGSASGRGRPKGKGKAKMKEEVSAGGIT SGSGPGEHKARKKVAKACLACQRSHLTCDEQRPCTRCVKKGMADQCVEGVRKKAKYLL EGEERLAARPRQASSPSPPVLPHHQHQSSDSQIQAQFNLLSNVSIPQQTQTIEPPRLP DDVWLSGPLQPNDPSSIGGSNQINLNDPSRSWNTSIQPQANAFTGSGNAANTEYQMLD SLFGNLSPIFPGIDPLDEAGRQMSMDGMNASTVRSTANNVGFDQSWLNPSPGATNVNS NQYTVQPTPSSTGPSVSPGTYSDLSPINTTWPRQNQNQGQGQGQTWNNDSSQMILDGI NQNQNQFQQQQSNISQQNLQTQNHNQNLSVGQAKVGKASTPAEVYRTVVKPYDYTQGY HILMDYLTKNFEQHETLRVARALASFRPSLIALQMPMSEEDEIFLEKSFQRTLIELEK LISYSATPTAVWRRTGEVCYANPEFCKLVEKSDSDLVGKRTYVYELFSNPSVVAYWEN FSVHAFENTTQNFFQPVGLTKSNPNGGTTLDCTGCITIRRDVFDLPSVIIGQFLPIPT DM I203_02074 MDPNAEDRPPTIEVVEIDVEAKPQPVSGTAVIDGQNVPLPIREE ETAGGSHNVSTENQSIPPPVFQPPMASSIFRTALGQDPITPPPSTGKPFLPNEHNDSN DDPEKIRQLHEEEFQRRLRGEYEQAQRRVGEVVSENMNRPLRLTSIRLSPVPKTTRPG FLNSLLSPFISPPSPSRPSFLNPAPPTPTNLHEILLSTKSLVAYLNEFGIYDMDRVGI RFEPKRGGDPDEIEMVLALREKGRLFLKAGTEVGGGEGGGNVTARIRNLLGGAETLEG NASIGTKTKSAYQVSLTTPLSASPLLSFALSGFSLDRDNSAFASHRERTQGARAKLSA ILPWGTHDLQYEVVNRAIDHLTPDASVSIRELAIPSTKSSISHTWTSDTRDDLWTGTK GRLLKFTHEYAGLPGSSEKAKFFKSTTMSQLSRALYSGSQIHYSISSLTTMLFPLFPS HLGSTYLPDRTYLGGPNSVRGWAVGGLGRRDGPDSLGGDLSWALGLSVFAPIPKKEHW PLKLHGFINGGKVVAYDRARSFADNVTKLYRSPNLSVGVGLMYRLEPIRIELNFSMPL IGRKGERTSRGLGVGVGIEFL I203_02075 MSVPLPQGYMNSNKVFVDQSQPQAGPSNYARPSSQPQAPPQNHI QGLPPQTPFYGINGHIPSGYPTPIDHVSHIQQLPQQQQQQFMAAYPPTMIQHGQPGMV YPGMEMNGSAVVPNFHFGSVPQNGPWTDEWVENGIAHEDQDMVYSNLEVKHRRRTTPD QLKILEYWYDINPKPDNALREHLAAQLGMTKRNVQVWFQNRRAKMKGLAKKDEKADGE DQQKTPEKSSTIVSPIQQHQQQPAHRQMLLPPMGPPPMARRASLANGEAAKIEMFVAK RAAAAASGQNRGLRPPGFVSPQRSPLMGQASAARRQSIPYPTPITAGPTSGPGPSPKI SPVVRVMPSALHLTAMRNNTRRASMPGAAQLISSGPFIPPRVVTNQHQNGMIINGQQQ QHVIYDQDTDFTGTYITSPSTSYGPSTSMSPMSFLPTDSSTGSVFDPSIPFSPNSPLP NPSFAFGTEGHQQQSQQMHHQIPILNEAEAARQQQLFLAFQQRGRMGSIASVGTYTTD NGNTTDLEDAGLGDWINQDNTNGIGAAVNLGEGQGQEGPDGFDPDARRASAPADLLHQ IGIMGLNNVPTPLRPSPLGSHFTPDNYHTEQTAYEIPQGGTGITSADLDPSPVSISSI PSSSSESVSPTEGNNPGFQQFGQSQTQSQTQPQPHQPVRSISLQQFPSTHTQTQNQHS SSVSPYSEHSLPPSSSAPYIQQQQQQQPSQIYQHQNQPYPQNGMTLINNTNSSSTTAT AHHQQHLQHSNNGENKDDFSLLENLQNNGNNGEPVDILV I203_02076 MPREQDDKLAQTEHDQYHSAAYAAAGEAQMSFTPINQIHQHLCG LHIYSHDPTRAVKAHHYCTHLRKDLHQCVIYDSDDKIARLIGIEYLIPEDVFITLPED EKKYWHSHKYEVDSGMLMLGTKSLVPNAMTDVAERPAMLELHRTYGKTTHTWAFDQHP DLPLGPPQIMMAYTEDSQVDKALLEERDREMGVDTSSKRETRKGYLLKDNLERPPAKG ADQIWSKDRKGQLEWVDQA I203_02077 MKIALVALVASAAVVTATPNLLARAYGDCRDTEGSCPGGSCVSS DSSNADAGYICSFPDASSTSSESSAASSTGSSSSGSYGDCRDTEGSCPGGSCVSSDSS NADAGYICSFDSSSASSTSSAAATTSAKATTSTGTAPTTLSAAATTAKASTTSKAAST TALASNATTSASNSTTASTSSNSSSGAGLSSTVNYAVAGLFAAGLYVAL I203_02078 MSSILATLSRPWHKVGMTTFGTSEARGIHFEDESLTTFTLDPGH INTRLGQKASEFMGYPPPHSVEDTAPKIVRFIEDASRAETSGGLWRV I203_02079 MSATQQFTGTEPLPRLIGPPSVNPTFRGTIQHEHASTSCRNGVP SIPNVTCRPQVDFKSLSQDLTYIDAVMSLPPRDCRKCGEESHWTILTNCHAPPSSSGV GDTGSQTYTEKRRHSSNEDETTGTAGDTEVRNAVGEAAQQAVLKA I203_02080 MPSPTTTVHSGVGGRTSVESTKAPLALPAHNNGLPQTDTTSSNN SDGKKPKKEKKSHAPPEGHSGVHAPMELPKWRFWAIFISLMVCIFLFALDQLIVATAM PKITAEFNSLTKLSWLASGFFLTLLGFNLLYSQWMNIFPSKHVIMFAVFIFEIGSLVC GVAPSMDVLILGRALAGLGAAGLFSGGMIIIAEMTSLHNRAQYFALFGVCFAIASVIG PLLGGAFADHVSWRWCFYINLPFGGLAMASIAVFQPTRPPLGREKTYEGYSKDMFWKV VKCDWGGMIIAMGWAICFILFTQWGGVTKKWSDGSVIACIVLSAVLVPVFVLYEWFIG TDRQMFKLRLLKRRNVIGASIVCFCVFGVFMILVYYLSITYQAVYHTSATSAGVKLLP LILLQVAALIISSRIIPKIGRFKPVIICGPILLCIASGLFYTIKPTTPMANLYGYQVI LGVGIGCCLQNVMISVQHELRREPWLISLGTGLTVFVGFAGRIVALSMGGSVFENMIQ RHLKSSVPGITEEIVMAVVNDATAVWTYVPDEMRPAVLQAYTKTLSQVYIIGLPLAVI ALAGALILKNDKIATKEEEEAAKQSAKEKEALEAKKEEEIAVGTAHAGDASAAVESSV PEGVQNGEREVDGAAVLAEKEGRSAV I203_02081 MSIRYISQKLAQQIDEELMSASGAFSLDQLMELAGLSCAQALSK SFSVESHKRVMVACGPGNQGGDGLVAARHLHHFKYRPTIYLPKPGSKDTYKRLLKQCE NLNIPVLKDVEEFKNGLKESDVILDAIFGFSFSPPLREPFDQVLNAITSTTIPIVSVD IPSGWSVTDGPQPLYTEKDNTQTIKTFEPEVLVSLTAPKEGVRNFKGRHWLGGRFVPD DLAKKFELNIPEYPGVDQVVELPGARQPSKQ I203_02082 MLCRVCLKAGQSLLDRPTSSKFGARVFSRYLSTVKRSSISHRLS QSNVAAPYETAKTGRNQKFSLPLQRYIHTETKNEYAISRWTELGISRPLAERLISSYP HIIQPTPAQKLFLLAVGAGKEIYLKDDMGRGKTLALALSAMNIALRSDSNTTQNGLKV MILLPTPHLAQQVHQHLVNLSTTETQKSFTLIRFNSNHPSHSFAQTSTKSHSKLELPN KPIIISTPKDLQYYDLSPKSIPFLRYIFIDEPEHLIGPIPSRHSTSQMLISHPLFRHP PPIVSALNDLLNILPALPSRRQKEEGGILDYSERRDEINAIWVSSGMNKDLKRFIKMR GWIRKSNRHVKDGLIDLDFTQGASGKLKDVRNRLLGAVEERITKRSTTQIKENQNQNV AGIEDEGGVKKANIDGHEQIEPEHHVLVIDPKDGSINSLDLHAPTLQDDPTKEVEDDN GEDEVIRKREIPIEMIETLSLIHATTSSPSKGYSLILPPEGISLNILSEELANLGISS LILTPDLVNISLSQLDELQRQNRDEAEEENGELPLLLGTRSSIPGLHLPELQTIYLLD GLDVKGLSKKQRKSGGVKDRYGWYNLVKGRLGRLGSKAIGRERQRVVSLVMGGTEEEM RLRELFGKNENVNGIKLQLKDWDMKGLEDALSEMMRVEDSTAGLEMEQDVESQDMMKL GQDIHGPKEQEIFREEAFQADVRKE I203_02083 MLVHSTPPLPPRLPPIHPRTLSNSTATTSINRNVSSTALNGQQQ PQQQHIQSPLAPPPFFRRTSSLRDPPPGGSRSPSIVESEQDFQDEDEFMAHQTRSTRR KVSRRKDDKM I203_02084 MPGPLSPYITPPPSLPDSVCSSRRSSLNDTNLEPHHTYPFQYRR LSLDYIARPKHHCNLSSRRSSFNDISQPAQHHFFRRHSGIFRPTLNARVRLPPLPAIT DCSGGEDAEGDDDDDRSIGRADKRRRVTKGGKSVDIVPSPRKDSISRSGEDGGSTSPS NQPSSLPSRNGRANGPPTLAHIKPIGFAASREAKRDDGTGSQALPSPVVMGFDFKTID EDQLKTVGLISRSNSPKENQAQVKLINEQVRDTISIKEQQQALIAARRREVAQSQPST PKELTFKGWAPKDPENPIPTPRESFSTAAGPNGPPPPLSAGGGVGRRREKTRDKVEKM SIVTSATERDVVPGSKSAPLNQGPASQQASPREPPSGSQTAVPPHTLPPIHGYGHHSL NGLTDPHTAPLRRGGEGHEFARQQGPSSSYYNLPQTRPLTRPFDSQRGPSTGIPSERR DFSVPSTNLGPPRYEIPSPRRISNASTSTAAQHQQQSNSPISPRVSREIFLAPFNQLY DLLSSTDSLRYNLQDLHNRYEVLFQQQLSQMTEFKSTANASNTLLGNLQQSADSLKEM VRYEVERKNSNKDREIEELRERLRRLEERGEGEKKD I203_02085 MDKPLPASQTKHLTNLSHPLPPPYSNISVNLRQISSSSGETGTT GTTGTTGTTLWLSAQILSLYLSSLLGTSTTTNKKNDCDGVRINRHDDDDDDDDKKKQK VVLELGSGIGYTPLVLANLGWKVISTDIEPVLSTVLKPNIEYNKNTIIGNGKGEIEVK ELDWIYIDELQHENQVNDLKDTGVPDEMKYLKEEGIDMIIMSDTFYSLSLIQPLWNTL LYISHFKNDDKPPVIYISLERRDSLLIDHALETGKKMGFDLKKVNKSRLNKEIQSSGW GWEGEDWDGVEIWKCRWKGKQRDEPIDG I203_02086 MVASGEKKGKVILAYSGGLDTSCILLWLIEQGYEVVAYMADVGQ EEDFEAARAKALKCGAVGFHLADLKREFVEELIYPAVQCNAIYENVYLLGTSLARPVI ARGMIEAAVKEGCDYVSHGCTGKGNDQVRFELAFYGLAPNIKVIAPWRLPEFYERFAG RSALLEYAAKNGIPVTQTAAKPWSTDENLFHISYEAGILEDPNQTPPDDMWKLTTSPQ KAPETPEQVHIEFSKGLPVKVTFPADKKEVTDAVDIFLTLNALARRHGVGRIDIVENR FIGVKSRGCYESPAATILRVAHMDLEGLTLDRNVRALRDQFITTQLSQILYNGFFFSP EREFVTAAIPASQKTVNGLVRLKLYKGNVIVEGRDADEGLYDAKFSSMDEMGGFEPTA TSGFIEISSIRIKAWGRQNVKRGQGGVSPKDVYHRE I203_02087 MPAPPFGTASAYTDSFPSSPPRQVTVTAETCFNLSVFRDIVRQY RKLDDQIIIRLNRAQAQLRDQDRLGSGSGVAHMNGSEGMCAKIWTEIMAGWVHRQTLL TYCSTTVKDSVSSKIQVDQDPKVNTPRWERGIKEEEVLADQLESEESIEAIIRKRTLD AFKSRCPFFSPPSSDQTSKQWWDLADHGKKGRGPDIVN I203_02088 MEVIGVRQTRQNPQRSPQVGSGDTDSEEEPEAVETPVGTSTRRR KTSPPLDFSGRWPAGSNSSPQEGGNLPREQMNAVKTKLNKERRDTQNKKAEGRNAGTG SPFNPGMNNMNKKGAGVGVSPSTRSSRHTTQEVNQSRQQLGGKSHPISYTGQQRPQVD LRPRQQVPLHLYPPPDASSTPRAGPSRDVKQTSSPFKSKNDLRTPEHSKVIDLLEGAE SDDEVQKTTPHDRGSISPHKPSSSDHRRGSGTDYTNRSAQAYNLRSPQQPSTGKGKEK MRDNGYHGNSSPTKGSGPGLPLQPEPKLDPIRNPDGIYKADMNKREVHVVPDDDEDPP DGTFKGQAPKHKSSNRWQMSVINNTISRSGEKIGRKPKVSRMQGKDGKVSLKQAQKST PVKPPLKDRMTRPLDVIPSAFSHRNPPRPNTEQASADVTMAWLVPDRRLKVNAVQLKN ESVIVSGIVGQSGEWWDINLSDVSQADTCNAKDCPFMMLTVSTVRPVAERSIEKLLAA GGSANHQAIDGSPSICLSLKPGESSKTLIDALGVDLVHLEKKRNILDVRACETLRLSC NIQSRDTRSRADEARRQKQKAELQTEVEGPGLRPRGPRKSSAKDEESSRPPKSGSKDK LEDDPTQRKLEFPPAPKPQPRRSIRNTAKAVHDLEDSDTEQPENSIVARPSKPSRFIG DRNELLFPYPTTGRADVNITIGDAQRIETDDFLNDTLLEFGLRHVLFQLEEERRDQVH LFNSFFYERLSNKAKKPQKGETLWPGYESVKKWSKGKDIFGKDFVVIPINENYHWYLA VIINPSGILRPKPIDDDLVSEIPQPTTRATASEHDGEDAANVDDQLRTADPSRVTDSD LSDLNSDTEEHSSRHPTPGPNKPLSARFTRPPTSTDKDEEGTKDISMVSHDPLDCISD GGGEGERSDLRVSQVSNGVEKMDISSEHGQEEDVDFVGGGAPILTPTMMAVQEQNNQI RNASQEPAGETAGETQTKKTQARPYAQIIGSQDTWILTLDSLGGPHKAVGTQLNQWLK YEARDKKGIEYEPMDALYHEAKVPQQGNFSDCGLFVVHYAKQLLQNPVEVLKFVQRRP PFSNTPEREPWVNEMNRAWRKDDTEGLRTEWAATLGSLADHYKISQGSKSDEKQGEEP NPSSNQDQSTAMEGIIDTSQSESVTAIGASQFPLVADTSSTAPEDLIPGAFPTPTEPV VPTELEKSASLTPPPPMSRGASNTSKKGPTWATRPPTPDIKTRRGRSRSRTGSIAPEL RQRQLSATSTTRSSKSPAKDVPVRHFPPNPSRTAKTPGRNSIQVGTVNQRITQYEQTE HDATADNRLRTRESEERENRLREIDHLRPKRNPLQEISEITPASSSRVAAALDLAFSE SENHDHGVFVGMDDDTLGASPFANLGKGVPQGHKSRQTIASDSFPGMKKDHNGAKDGN QMIVDSDDTSKEEEQEEVEGGEEEDKNFFSAFRGPTRSRRRSQPPAGRSSEEEEEQVE DLPPKIRSPITYSTKDRRKTALQNQNSVPTKRNRSTSNNALGGNLHKKNRKDDGGRTR GVGVGEESGQTPDQAINIDSD I203_02089 MEEPISAEAAASQQWPSAPVYDLEQSPDSSISLDANEFEDEVKG NFWRSARWCMDGSATLSTTEDRTIRIHAVNDDSSFETRSFHQPDAIHSTLWYPSATLS TPETFCFIASIRDTPVRLIDGIDGRVRASYPIIDHRERFIAPHSLAFNHTGTKLYCGC ENSIEVFDISSPGYDQGERLKLIYAKKEKGGQKGIISALSFCPDYSGTFAAGTYSSSS SVSLYSEDTGFTPLAHVEGLVGGGVTQIGWHPLNPTIMFISSRRSSSIQIYDTRDLSA PLSSFDRNNSTNQRIGFDVDPWGRWLSSGDETGTVRIWDISTMDTKAIFKEKLHQDTV GSVQFHPFQPLLLTCSGSRKHLQNEYEDDDDESSSSEFEGESEDESGSEDRATSGVKK GYPRPFDATLRMWSMKGQAGQDTTNNDSSIAPA I203_02090 MAPPVKQPEKLRIGFIHPDLGIGGAERLVVDAAVSLQNLGHEVV MFTSRHDPKRCFEETRDGTLKVHVLGSSIPRSLTSTIPLTIVFSILRSLLLSILLILS IHWPEPAVFFNPLAPLKPFDVFIVDQQSVSIPLIRLMTGTRVVFYCHFPDKLLSGGWE INIDENEKRVERKKTGLIKRLYRWPIDKLEEFTTGQSDIILSNSRFTSQVYSKVFPSL AKRPPRVVYPCIDVDSYQSSTQKKGKGKGKEKVDSEIELIASDRPTLLSFNRFEAKKN VALAIKSFAKLRDDGLVSDDEFDSLRLVLGGGYDKDELDNINTLKNLETLCNELSLRH HTISSTNPIPIPPMDNTQVLFILNFTNKQRSHLLTSPNTRGLLYTPSNEHFGIVPLEA MSCGIPVLAVNSGGPTETIIDYEQNPKEGTGFLKSPNPTEWSKALSTLLHLSDQDREQ ISKSARKRVEDKFSLNTLGKELEVASRDAIAMGNINEVLGDILIWSSAGLIAFAALGL AVTIYVLNE I203_02091 MASATTALPSSSKPHPPITILKRARADVPLAQNKFLKKTARGKV LNILRERYLRDDIPCGFAGCKLCVDFPGYRPVLPTKGYTQHTKYGDGHWLVIDTNIVL HQIDLLTALPPSLPLIIPSTTIRETRHRSLPLYNRLQQVIQDEDRCIWVWWNEERRET ATLPKEGEDLEKETINDKNDRAIRQTLHFYPSHLSQSTSKFPKLILLTDDRRNREIAD GEGLLAISARDYVDGMIGEERERLVDLVVGGVDEVSVGEKKGKRIYQDYLPQDTLLAG VKTGRYHQGHFNANQYNYLEGTVNVPGLNKPVLLVGREAMNRSVNGDVVVVEILPESE WKAPGTEVVDQDLALRDDDADDDESPGLAEKEIEKSKAETMDVDEETKRKPKDILPTG RVVGIVKRNWRAYVCHLDRSSLSEAALTSLSQQTVFATPLSTSLPRIRLRTRQAPSLI DQKILVTIDSWSVNSRYPDGHFVRSLGKVESKEAEQESLLLEYEVPYRPFGKAILNCL PEEGDKWKVPPKAAENLEWRDREDLRDLIVCSIDPPGCQDIDDALHARRLPNGNIEAG VHIADVSHFVHPDNPMDSEAASRGTTVYLVDKRIDMLPSLLGTNLCSLRPFVERLAFS VIWEMNEQAEIVKVRFVKSVIASKEAFTYEAAQLRKDDKSLNDPLTQSIRLLNTLAIN LKEGRMKAGALSLSSPELKIHLNSSESTEPIDVEQKQQRETNSLVEEFMLLANISVAA KIQETFPATAVLRRHCPPPKTNFEALQDILQKRKNMTLDVSSSGALAKSLDACVDPKE PEFNTLVRIMATRCMLSAEYFCSGSVSKETYGHYGLASPIYTHFTSPIRRYADVLAHR QLAAAINYTPLHPSLQSKSHVEKTLNVVNKRHRLAQMAGRASVEFYVGLALKARGEKT GNVDATSGKSSREEAFVIRTFKNGLAVFVSKLGLEGLITFKKDLHTFDPENYTIKIPS KKGEISVSVFDKITVDISIEKDQNTQRGKVKMVMVGPVSSEDL I203_02092 MKSFNTIPFLIISILTILVNNNIINVKASPASYRGRSPKLPVNF SGSNEVEKRQSNDTGGGFSWGHDPMRGVNIGGWLVLEPWITPSLFLNKPDWVVDEWTY GTYMSYQNDTMGEIRNHWNTWFKYQELKDIAAVGLNTIRIQIGFWSVIPLQNGEPYLV GAYDYLKLAVTWAASLGLKVMIDLHGAPGGQNSWDNSGIRGVREWFYNDTNIERTLDA LTIMTGEFVKDQYQNTVIAIEVLNEPFPNNPNEVNILKSFYQAAYSRIRDSAQGKRVT VALDQAYQGLYVWENFMMDPDYWDVAMDTHIYSMFDLNLLSMGYNANLDWYCSQVDYL RQSNNIHWTIVGEWTPANTDCAFWLNGKGRGARYDNTLTTSDPLQFPGDCSAKTGSDP SKFSAEYVDYLARSFEIQSWVYEQASGYVVWTWKTEQASDWSMQTGITYGWVPNPITA KPHG I203_02093 MSALRPYSRPHLLSRAISCQHLPCRYAQTASASASFDSESSPSS SSSSSAQYSYNRRKANDENSDSRKGKGRSNSLADTYVFPEKGRLGGPPDPFEVMALER GATESEAKKQYYKLALLLHPDSSHPSSSHDHFSTLNRAYTLLSTPSSRNAYLKTGYGW NSPSQFTPNRPPGDYSMHEEIMRRAKGGASHWERRSYKDGSPDWGGFDGSKGWKNFND RTMNFDQGTSFNGTGEERYMSNPRFLFVLGIVGSSLAWMQYHRLGSATETHRDLLDRQ NTDASHALAQARYEAAIHGKTRREQIRRRVREAEIMKELEKIENGHGHGHQTLAIAAP PSHQSQTTSNP I203_02094 MDPPLSRSFWVTDLLVIPFLPLVIPAAPPNLIFNSVSHIPASYP RITDLHFAIVYAQSTSPLAPTDLNPQPLQRGGGIEYLAESSKSRRSKAIGVVNKIGRA LSITKSKDRRSSINEVRPLINPSYQGHPATSGVMYRPKPLRPHFTGPIMMPLRDDEWI ITDRRRGARPPIPLRSHQLSHPQPSTQSGANTLYDSRWNQSRHALSVFEMSSSPTSDS NRVHVHPNGERDVFQQPSRPGLSMPTTPKPIRNDQFHPRENVSATEVVTSNRPLPVIP KDTVTPQRRSKRKPVPVTSSFFNESFESLIEKTTPTSVEESWKPLKIVRRPSSIMLEA RIKEIQAKDTIHDPPTPKFGQSLPCPSPTPSSESSTVDLQTFGDPLIDVLPETSFEIG QDNLFDEVLTSWNLPPLSRSNSLVLDKIHPSTKPDRKKEEDRLSRSTGKLNLVSRGYR ERDAEIRPVPTFYPSGRSFEPIRATKSSDMLRTIQYSNAADSREESKDQREVGGRVKK AVEVLEGRRDPSESPPTPWKVSEARERMSKPKTKSRFMTR I203_02095 MTASVHLVLLIHGLWGSPSHLSVAQEELEKAWSGNENGQKVSQD VDGPSDDPIITSKIQNEDKENGEVVIMIAGGMTSQLTYDGVDVCASRVAFEVDQKIKE LEGEGKKVDKFSVMGYSLGGLVARYLVGLLHSRQPSFFDKHHPVSFSTISTPHYGIPK YNTLLSTVLCWLGARVMSRSGEQLYVVDNYSDEDQRPLLEIMADPRSIFYQGLERFDK LEIYASAINDNSVPYPTAAIESVDHFAQWEERGISVDSDEDGIIQSWTPTDNGSTAED EDDKNENKNRKKGASGFRMRLGTLPPVLRYRFPFNYIILLLFPIMLPLIIILILTRQS LDTTRSKRRLQLLSQTSSSGSSSSQVPSSSGLSIQALRDGIRRIERSLESDLVENQEL LDSPALHSHYPIGDGIAKDRGGESGTDLKIILKDSQMRMCYWLNQLPLEKYLTWWPEI TNAHATAIVRDSHKFPVHERGRGLIKLWARNLLDKPKQ I203_02096 MSIYKYHSIPLENLDEARQSASAYENEDEYEFDEVDNVSSDRKN DVLLPPRIYDEEVPLEHDKDKDKDKDKDLHQDPDALGVGHQVNLVEVPFATTPDGHRL TVGDTLPEVKAVALETDDPDEPCETIRAYVLGTIVASVGVALNVWFGARQPGIFISPF LAQLLSYPIGVALARLLPKTKFTTFGRTWTLNPGPFTMKEHALIVLMATVSFPTATAV DVIIAIRQPVFFNDPEMGDNKGFQFLVVLSTQFLGFGVAGLARDCLVYPSAMTWPLNL AKLSLFNALHRRKVNEYGVVTLPKEGEDEQQDPPVHGWKVSMFRFCLYATTASFVWFL FTAFIFPSLTYFNWPTWINPTNKKLAIIMGSITGLGLNPIPTLDWTYISGAGLTPLIT PWWATVSTFIGASIGYIIIAAIYFTNTWYSAYLVPNSNQAFDRFGAYYNVTAVLSADR TLDVDAYRAYSPLYFGAGYNVVITAYFASYSAILTYALLNHWSDLKKGYRTGVRRFKT MLNKKNKNEHEDTIHHFPDYDIHYALMTRYKEVPQWWFLVIMVFSVVLGIIMCEVYNT TMPVWGIFCCLAMVLVFAIPTGIIQAISNMQMSLVILAEIIPGIAIPGPPYANMIFKL YGWVSLSMTLLYVLDQKLAHYLHLPPRATFRAQMWGCTISSFISIAIINWQFKAIPDL CMPGQKDLMTCPYYTTFYSSALLFGVVGPERMYGSLGLYKHTLWGFLAGAVLVAMAWA AKKRWPNKLTRNINVPVIIFGVMYFAPYNWSFVWSGVPLAWFFMSYVFKRFPAWWNKY CYVLSIGLTVGAAISGVIQFFCITYPGGIMPSWWGKTVYESGCDALGCPLNEMPEVGY FGPGPGEYL I203_02097 MASSLSGSVTIDGIHSGWTVKKSSPSVRASFSASFAHNHQRSAA DDSFFVTSEDSENMIPSSGTVTIVLDPMEVTGGLTYDKLLQRLDAKSREECITCSKSP ASTEPALSHQFRTDHKGLSIEWQTDNTIMGCPKIHIQDAPSSYKVEMTEEDFASFEQW KDISITYGKAVTATKSFCESCSGYTDMSVFRDVVKARSKSVRFEDAK I203_02098 MPHTKDSILGRKTIKSSDGIPTVSAELSGYLQHLHHPHDLAILG FDKETKQAKIALVDKGLHPYVRGFRESFEGTHSVDTEFDFEFRGRAIYERMKSECEGC RTQSLLEKDKFPFEIFSFRPSAKISCSDALMSDSSGHVYRAPRSFKFTEVRRPLASYA SMAASRRAWCDDCGTYRRMEVLRDKRFDGYLEEEEIDKVNETAMEGSSDREGISWWDT ASDLWDRSRRTINFLASSYASRQPVLW I203_02099 MSTSHCDPNVPLEANNLFSVRGKVIVITGGGTGLGRMMAEGFVT NGAKVYITGRREEVLDKTVKEVRESCSHKGGSISSIQGDVSTKDGVRQIVEIISSREE KVDVLVNNAGAQKPWKNPIQDHNDPDAVEKLVWEGVDESLPEELTGALEANVNGVYFM TAALVPLMRKSDIKSVIVIGSVAALANQRSVSSLTYGVSKSALHLAEMLAGRLSPLKI RVNTILPGAFPSEITSKLDQDGNRVLHQPAHKAALRSPLGRAGYKHEIVGPALLLASQ AGGFMDNAVITVDGGRLMNAGINDGIRMLEETY I203_02100 MSSISTAFKVEDIFGVKGKIVVVTGGGTGLGKAITAGFANNGAK VYITGRRKEVLGSTAKEIGGDIVAIQGDVSTKEGCKAIADAIKAKESKIDVLINCAGV MRGWKSSISNHDDPDQVADLLWEGHDDDDFNYSNSINVNGVYFITAALVPLLRQSDFP SVTVIASIAGLANQRAMGTVSYGVTKVTIHLGKLLAGRLHPMKIRVNTICPGIFPSEM TGKSETGEGHEYNLAEGASKAAKRSTAGRPGLPEEIVGPVLLLSSRAGGYIDGALLTV DGGRLMGAGINDGLRLAEDTYI I203_02101 MSDTDSGAVPDVDSEDPCAISNDDSRQGLRIGAIFIILVTSLLG TLTPIVFRQSERIPTPVFDFAKYFGSGVIIATAFIHLLAPAWDELTSECLSGAWTDYD WAPAIAMAAVYGIFFAEVAAYRIGTKRLEKLGVHYSSHAQDNTDAHSHNHARDPPLSV DTSAAAPPHHIHPSASNIRANEDIDPQLQSASEKQYRKADLESSSDIDTVNQLPSSAE AAAQLVAVAVLEFGVVLHSVIIGLTLGVTDDFTTLFIVIIFHQMFEGLGLGSRLASLN LPKNLGYTRWVAAALYSICTPIGIAIGIGARNSYNGNGATANIVSGVLDATSAGILLY TGLVELMAHEILLNPRMMKSRDLKLAYIFVCMLLGSGLMALLGRWA I203_02102 MNVLSTLRNGMSALRAQVQPRIDNILTQRSSGFLISQADDDWLD FEANLTNELHVLESVEASANDSTTLESRRANRFAAAIDRLLAAGSIDINSPDPLTTAT SPAVLSSPSSILVSIEDDNNVPLDGTLSIPSKKIRKVTTIDSSPNLSDWIS I203_02103 MHSISSLPSVTNQELSTPRSVPSPAYGLNGGACTPPPRMSGDRD RERPRDSGVHAIGAFFQTPYDSDLDSDNESISTTTNSNTTRQPVAVARARSAKAISVN VNKATAKAYKDALEAKIKSQAGELDNWEDNQDDHDGREELEPPRSPLKRSEERGRQSD ESGRVRPLTVVEFPEPTLQPDQGHGRGYPNSNAPPSYSNSNSTSGYPRTPPRSNPNPN PTTAPLRPNPRIDTSNSGLSPPRTIILPPPSSPISPLLAAPPQPHFSPLSPPFSPFAS PGASGHGRADSVSNGSIRGFDIMAEKKALFREGQEELFTPFSTRRSRPGRMGVNGKQT KSAFLASGMDFWKRFSVHVRLDEQEKASKNENAWLSKAQERRGRIKKTIWVVVTLLII MTAALVTYFVTRPSPPPASIATSI I203_02104 MQYGSQSPSLGREPLLASAQTPGMSQRLSESSLASYDQHNSHMS HRGNPASSTGGASYASFSSGNRFGPTANIAPTTSTPSATNSSERDAALAKGAGPYGAG GIEDDDDLDDHLHTFTAAERKDLSTPFDITSWRGWANALTLLTLAAGGVMLFAGYPII AWYYGNSNSSGANTSGYNLGGINGSGQYPSITGLPSLIDSDTPSDSYTKTGSDGNEWT LVFSDEFNKDGRTFYDGDDPFFQAVDFHYWATGDFEWYDPSAATTQDGHLVLTMTQEP IHDLNFKSGMVQSWNKLCFNKNAHIEVSASLPGVTTVGGFWPGIWTMGNLGRPGYGAT TEGEYTYDTCDIGTLANQTYANGTGPAATLTTGSNDGPLSYLPGQRLSACTCTGEDHP GPNVGVGRAAPEIDLIEAQIILSESRGEVSQSFQVAPFDDHYQFDNASANFKHYDTSL SYWNTYLGGNFQQAVSTLTRVPTDIYYNQPGSNKQFTTFAVEWQAFPDKREDGYIAWY SDGEPSWTMYADAVAANEKVEIGRRIIPEEPMALIFNFGMSNNFQAVDFDNLIWPNYV RIDYIRVYQRTDTGSIGCSPDDYPTADYISKHAEAYNNPNYTTWAEYGEFRKALF I203_02105 MSSSFNWIGETLLMTLDGGDDKNDTSPLPPGSGPLQKFDGPWFS TQLILSLAIGLTSFFTFCFLRTRWDVVYMGRTKLKDFSPTPAHSPDTQSSSGKTRFFG WIRPTLRTSEFTVLQTVGLDAAVLLNFFRMAFMLFGLSAFLATLVLIPLNLFRHGSTD SAPDPGENSTISSTTMNINVIANNTTPITRPSLYDILLDPTTSSTIHLIFTYLFTALC LSFFHNNFHRFVLSRQSFGLHLIHSISARTVLVSNLPQHLRGDRALADYFENCGWMVE SVSVCREVEPVRKVLERRTVALLKLEEAWVDWVGNPANKLIKGYNSNVYIKPPLAGSL STSPQRTEVRLIPDLEDNDDEEETHQGPKLTSASANGSSSSNTELAQSQSSALEEGEQ RAHVHIHTTRPRPTYRPNWFGNKVDAIEYWEKEFEKADEEVKELRKRGRFEATHAAFV TFEDAKDAQTACQVLHYPHHSEVVTELAPEPRDVVWSKVSMPNREAQIRDFFVMGTTG VFFLFWFVPVSSLATLLSYEEIKKIMPWLAKIIDASPRLAAIVQNSLPLLALTIFNGL LPFFLEWLSYRQGFKSRSATEYSLLKKYHLFLLISVLFVFLLTTTYIAIVRDFVDSPM KIPQKLASALQGANVRNFMVSYVMLQALGLMPLQLLNMGPLLTLGYSRALSTKTPRDY AEANAPPMLNYGWVYPQALLVFTITLVYSVMSPLILVFGAIYFGVAYLVYKYKLLFIY FKPYESNGEAWRITFARTLWALVLFQLFMTGLFSLRQFFWASGAMIPLILYTLWWSWV MWRDFGPLSQFLALSSICEVKRGEEPTGVAGVGDEGPVSRSQSNLNHRRYAVNDETLY VAPSDRRTDYSQPPMNNFYFGVLNTGRRRYAHPALSGLLPTPWLPVKAKFGDGAKEGG KRSVVLSLRRKVAKNLQRERGANGGDTPDSGNGSGSGIPEGWSTGQSLRPSKSSTSLK KPSTSPGEGAGGSRSGSYGATGDSSNPWRDPTPPPSELNASGMLRKKISFDPGSGVIA LPEHNIWGDEEEDDDEENNGEDEPESPSTYYHSRNRARTLSSSRVLDNVPPSGSSGGG NAGPGQPGSGIQL I203_02106 MSIPKSTICLLYHTYNISWNKYTQVASRALRQALTETDRVAAEK RAAIGVKGEGRLEHYLKALDKFGWL I203_02107 MVQSMEIPRERMKRKMDESCPLSHDEVYQDPWVAKKIFAMEETR AKKMKTKRTRYQLWENGQGGEQKYVVPPAKDASPGTPPV I203_02108 MHQSRTQSRSQNQPDLEQGLTDANNEHKKNSNNYLSDKSIGFQI LFITITCATQLIAQGQFGMLIIPLNDLGPWLGTEDAGQMSWMAASYGLTLGVMVVVSG RLGDILGPKLIWSIGCILGIASNIGSGFCKTPIPFDICRAIAGIGSALSLPNALAILG RTYPPGKMRNLVFAILGALAPSGFLIGGAVAAIFTVLVDVRWIWWFTAMFTFVFLISG LIILPSDRRTSSFSSSSMSQKVRSFDYIGTILLVLSMGLFNFVWNQSALVGWEEPYIY ILLIISMIGFTLFYMYERKIDTRALIPPEVLSRQNLLVYLTLWLGWMSFGTYLLYTKL FIYNIRGHRNALIITAQMAPLMPGGIAAALLVPILIHRFAGHKIFLIAMISFFIGDLF AALTPVHQTYWGLTFFSLIIVVFGPDLSFSTGQLIVSNSVEHEYQGIAAGIVSMITNY SLSIGLGLAGTIERYVKGPENESPEDILNGYRAAFWLATGLAGLAVIVVALFVRMPKQ SHGHEKDKETSKELGS I203_02109 MSREIILHHLNASRSERIFWLLEELSLPYNVQVHIRGPSRTAPP SLLKISPTGKAPALILDGHTLTESAFIIHKLLRLPDIQTKKDQLDVQVDSTDDDVFWS HYAEGSMMNLFQASAIVGATSNAWVNGMVVGQLEEGDKKAVQGYSGFLTTKYLGPQMQ NTIDFAESAINKTQHGWFSGTDKPGSGDFMMFFAINSLLAGTRAGAFNVGDGLKGWYK RVLERPAAQRALQRIKEEEEKAKSKM I203_02110 MSSTTYDPTPLSPSSPRQLPKLRLMLSTSTTRKPSRGHKRHRED SIEVEDEDQPREEKRPTIKLRFRNPTPSSSIKTKKDSPAHTTLTSTPTYISPFGELSG SSSRMDIDTTSRPSTPTPTLNYDTQSGLVTKRPRSYSNSSSIIGLLVDETDTTSSSPI DGNGSTSATIIPSTPNLSSSNKPHSHIHIPSPLASTPILGVPLEPPSLSRAVSDPSPS SSFSRDIDMNPSPVLTPGPIDGKLTKTRHKLFMAEVEALGTEMNNVFKLGYGRGMGRA LGVGVGGRGPSRLRSGLQAQIGGHKDWKSMEVDE I203_02111 MDEEGYVMTTYGKGWVGTCLGVLSSSSSSISNIPSYLTLMRTLV ISSSQYPSFEREVIHPIMGKLSVSLGKLLERSISENRPEWDVILDLLSIVRQLIIHAP APFRPLLPTLKPSLYHLILQIPTPTNPYPSIPDEIRKSASELVATLHVTAGKANSPQT WGMEMREALGGFGRAMSGLTTDGWEEEPIKAQPPNPPSALPELPVDPLGRLPVALDWA EGFTEVILALLSRYPTARPVPVPIAQITVPYISPQHHAALLAYLPRIWTLGMQLIGSV AIACGDHLFPHLGNILDHTVWLAERSPASMTDSQVQLLRFHHLLLTIFPPAVVRLEYP TRLLRLCLTRVQPLLENRTKSDISSGNVGGGGKRGKKRARNAEDGLVGGLEGREVRTV GVDEVKVIVCALQLVPLLHPTPLLSPSLLTFSIRLHLSLHLSIHSLGGILSSSSAQSE LRESVHDVLGKAVLMTEGEGGTGRGWKSLIISVLEQHSENLAPALHPSLPPLARPMPP LSQLHFFVKEGGEERKERIAMGFGMTDDDLLNEEHQEDEENVIVEQSVEKTSTISNGT IAQQASAISRSISTSTTNPITQAQTGPSIQKHVEVESTLVPATTPSVVTDQPTALVTH LPQAEAFATSESSTVASNFISQPSSSTTKISSRSEEKKIDLGDIVMLNQDDDDDDDEG IPELDSGSDDFDEDEDDEEEEEG I203_02112 MRSGGPLGPWGCPLRSDPANIHKVTIGGANFAKKENNVIGLTDA QLRAVAEDVDPELIRIGHEVVSRQKQETFAQAWRNHWSAGMWSLFLSCALFMEGYDTG LISTFYGMPQFQAKFGKPHNGKLVIPASYQGALLNLGKVGQLIGLIITGTCQERYGSK KTYI I203_02113 MVAMTASIFLAVFSINLDMLIGAELAMGIPWGMFQTLATAYAAE ICPIKLRGYLAAFASVGWGGGGFIASGVLKGALHLNGNLAWKVPSALQWIWPVPLALG CFFAPESAWWLVRKGRFEEAKATLVRTARQGFYAENEAEGYIAYMRHTDAMEKLEAKN GSWIELFKKGPTLRRTEIMIGTWIVQVANGNMITGLTVEFLKQAGMSTKEAFNMNIIL HAMGIVGVGLSWIFLGYFGRRSIYLSGLISEAACLLPIGILGFVEKSDASRKATGGLM IMINLIFHFSLGPVCYSIVGELPPSRLRSRAIVMGRFIYVVCAIIFQQINTRMVAADG WNLKAKSGLFWVGCNAFCLLWAFFRLPETGGRSFTELDILFANKVPARKFENTVVRDE AAEYGVQGKEAVDVVQDEKEAVEDVHVEHAGNNQRAATHTLT I203_02114 MEHRHDVYDRPLSALDATAGSTDDKNSKIGKSDYKSEVTKHLGL YESADIPETIREAFRQSTDDCKYCHLSQEPDSNINPKYTVWCKQVQISHQSSNAFMLC KSHHVQAAPPSVTFTKPISGLVDIEELGQLTTSLRSSIAATCPPSHNYDLPDSRSGVY CDGCGEKTWVNLINDIAIPSHLEETFRRPDAISKRSAISEVTAKSFWY I203_02116 MSMFSSFSFKSLDESPKPRTIHQEDPTGPYSIEEDVFDQDHGFD SYHSLSGLGSDRQSRSSLTALAEDDEEEEVDRKDVSLGDVI I203_02117 MCTVSPSSTPFPTLLDAILHYYPLTGQSSLPNSLAHPLPSPSKT GTAPELRPRRASASATTLPWPTPTRRSGSRFLPRDLQPQLNIPDIHAPTPQPHPSNLR ADLYQQTGDPSHLSPSLSGRSDNKENMFPSEEQHSLPSSPYSSSRHAKGSASPSRPPK LSALSPLKTFSPLLPVKSALPSTSASSDAASIGGRSRASSVTRSLSRRQSLIAHAASW GAGGHNEPEHPDAGNLFSRLTLVKAPAADTNIRRHNRSKSSSALAPFTSFSVASDSPA TSNRGPRRSFAASSFGGMSPLPLARSPMQEINTSLHRVGSLHGFSDADNKGIQSKHYS PDEVVDIARQLSSPVMVPEGGFKGAELKRRKSAGSSVRPGSKELEKPPVALEPVEYVQ MDEDVLLPFVERPKEVNELISHPANEQLFKLLKAAFPKDPARLNWREIEPESWNWEEF LKHLTKIDRSESPDYDWIFRARQSVRARSVAPWEKLGVCLGCDGDLMNAGSEDDIPLS WGGLGLGDEGEYDPTMNQVWIEGLEAVDPNSPSKAEKALAAAFGEIVEDEDEQAAAGM HALLHPENVMGIIGETESEEGATPIQSQFTTAQKAGNKDKFDPILATSPIASTAHMRH LPTTPPRQAKKDGRSKSFVGLQICTSPTAAKDQYVPRSPSSQLQTPVLGNSATLPIYE RGPGSPLFPSSFSSLSAEPNLGRSASVAIHGAGRPLQDDLRGFSHSHANTSGFGPRGL MRKQSGAGLSESE I203_02118 MASTPSSNPADRRAAALAAIEARLRPPPSYEEIQPEPSTSSSSS SLPNAPSAVSPPPPPTPTITAPAAPPVSLPLRQNPAAQAAQARAAAAAESASRQNTET RWVPTEKEDRDTRIKFSRLLDRGIVRDNNYKDSADAVETLLKVATNIINSNDPKYRTI KASNSTIKNKVLDVKGGHDYLIALGFRTQTVQFTLNYVFSATIKKMHELKIGAEVLSD HLKALQERVELSRQSKIYGASVEAARKKAALADIEADREAVRARAARERIVREAREAR EREERERQAQSEAEMMVDRDDEERREMRDAVVRPSLERDENEEDADEGEGEEGDDGEL PSYAEDRESRGWGGPGRRLGG I203_02119 MAPVDTTPDYLGYGYAALLALGGIMGGVKRGSKISLVAGVGSAI AAGYGANRVSKSPADVIPSLVTSSALLLLMGWRFYQGRKFMPAGLVIVIVLLRVELFI EQNSRGSRFLNLTKRLL I203_02120 MSEPIRAQPAIPPHLLSSIPTSAIPIPPPDPTSSKPPQNAIKSN IPTFPPTSSSGPSTNPPKKSGTISMSSLPTNVSDLLLSSLLPPNLPKLPPSTSAAGST KMGIGSGVPRELSTQRESLSLPLVSNNFRRFMTRVGPIFWLQDRIEEILFWRKPIWTW AWMMTWSFICFKPRVLLLLPSLILILILLHTHEKTSPLPSLLGISMPPSNLTDRKTVP GSPDLSKANSGTPTSGGYSTTTTKDSEGETVEKPTVAPKESESGVDIYMNLQAIQNLM GLVSDGYDYLAPRLTSFFAPGSNQPTVTSSSNLPITFTHMILICLPASFLLPLTPAFL IPYLLLPIGILPPLIFHPNLTSYLFSLPSHPLVKRVRSYVEVILLDDKLSDEIGNNKK IDKVEVWENQRLDPKFGGSSTTVAGSGKTVDISAAGSWGGKFLRASDRSPWVKVDTPN TKWKSTTGEQSTLPSGSGSGESTDKDKEKEAKMILALKDGWDWIPHEDWRVEVCGLWS ENGVDDVEGWLYTDDSWQNPAPTPYTEAEIPTNDKNALGGQVQMPGLALRRTTRRRKW WRRVYEM I203_02121 MTIHGLWVISKAGGLVFSRSYSDVLPPQPVNTILTLAGILHGIH AITAKLTPTPIPGVPVGGLESFEAEGWGGKVFLTPTGTKFVVLHSIPQTGLDELMKRI YEIYSDAVMKNPFHTPEMPINSSLFETKLQTLMSGVNA I203_02122 MVKFIVVGGGGKVAQYFTEHAVKEGHEVHSVIRNDGHSGELKKI GAKIHILSLEDASVPDLTSLFAKVNPDVVIFAAGAGGQPPGPEVIDYQGAVKVYDAME SSNRKRLILIGAVDVRTRDKGWPEWYDDEDKKTSERVWKAIPTYLDAKLKAEIELHTR KQIQFTVVRPGGLTLEPAGGVQLGKTHLKQTSRELVAKVILAIATSKGTEGLTIDVMD GEGSIKDELNRVVEDGTDAWTG I203_02123 MPALPTPTRSNTRSTPKPALNMSSDDPSTWLNFSLPPRSRAGVP GSGVAGIPRRSRRGEGWRGGPMSREKFLNASFKFVLKPTETISYGAHFADPDISLHWP HILQVLVPTFSAFSVAQGYVSTEKHDSHDLEERRGRMCPICLGKPVAGRMTKCGHIFC FPCILHYIQLSDIPKSARCPICGDTIHSSYLKSVKYLDAAAMLKASRGEDDDDDQDNS QSSRSTNVVNATNDSHGHGIIGVMDGFEETLEEAKAVDSHQISEDKGHQIHMRLVQRP QMTTLALPSSSTWPSDAIPPHTAPWHFLPDILTYSRFMLSTPEYMLSELQRELTELKG EWDMLKGDELGREFVKAAKEKVERQMQKVRAELVTELVKRSERAAREAWGEAVGGSRR EKERKRERDRIAKEREARQKSELEAMDIPHEFLANSIPATPSFDNSANINIPPNVEVE PNPMPTPTPKKSRRRGGGGHGPNPPPAIPPSPSYYFYQSSLGANVFLHPLDIRILLAH FKSYSLFPPTISFATTGYDPGTINDELRKRCKYLGHLPIGTEVIFVEADLEPIVTKEV LDQFEQPLKARRMKRKDKLKREEKAKLKWEKSEREKLPIDLRSTPSAFRTGGSGEDRD FALALARSAVEFDPTQNIGFQPSSASSSTSNPLHYPMPGTSPSNGSGIWNTNGGDSQS RATFAHALHNHTTSSIPVQRRQEIDWEVEAAWEAFENMSMSRGGRNRPEDQDVDPMLG SNAGTSPTSTVRESGKGGGGGKKGKKGKGQKLVLGGGGARRA I203_02124 MSSEPFRGITEGMSAEDENILRRHWLEHHCIDGAVSADITHFHP VTVIVQEPSQNPAIIGSAEDFTKETVQAGMMETIISNCSLVNNAATNPIVMLPGHSQL AVGTYGVDNPSKAVSLENTVESKGSPCTHLWYRQEEGDGRSWSRGH I203_02125 MHSASTSILLFLFSPTFSNYKVADISLAAFGRKEIELAEHEMPG LMYLREKYAKEQPLKGARIAGCLHMTIQTAVLIETLTALGAQVTWSSCNIFSTQDHAA AAIAATGVPVYAWKGETEEEYLWCIEQTLAGFPEGKALNMILDDGGDLTSLVHEKFPQ YLSDIRGVSEETTTGVHHLYKAFRDGKLKIPAINVNDSVTKSKFDNYYGCRESLVDGI KRATDVMLAGKVAVVAGFGDVGKGCAESLRSYGARVLVTEIDPINALQAAMAGYEVTT MEDAAPRGNVFVTTTGCRDIITGEHFEAMPEDAIVSNIGHFDVEIDVAWLKANAAQCI NIKPQVDRYTMKSGRHIILLAEGRLVNLGCGTGHPSFVMSCSFANQVMAQIALWTDAK SYPLGVHMLPKSLDEEVARAHLAQLNIKLTKMSKVQADYLGLPVDGPYKPDHYRY I203_02126 MSVAIEFAEGMKPSARSDIPTQAGDVEGEEAIQDLPVETSTLVV TQYLHDGHLWDFWLAYHPHYSKVVIKVIYTFNHPCWNPELDDYVPYKNIIKEATREES FYLGPLKDLQGRVVPEYFVLYSSGEDDRYLAILLEYGGQSIGEGYVEMHKD I203_02128 MDRQAGGEPVLGRPLTITIVPTTGRTRTQQHPENTEGPVTHSSW ADPNLTTAYDPSHPQDHRSYVRHYPFTQLDQPTITAMPSTYQHVDQHQPIRFHLLQHL LWSSRPIRTRREIERMIMVDRIEASTIHRALLNMYRNPLSSHRVRRKVRIDLNVSALA VTRDDKRIQVPVLGTTMNGDGVRQLVVDEANPNEHHGYLFSRPQDPKDSEDEHLSFAQ IAKMGTILLRNIVTILKKPMDDNIRTLLHALWHGLNHFVHLVLNNRMVMFEDDLFMFL RQIDPILASALHPFQVEGPLDGSSSTQPWPAVHSPQNDIPKSVNNALHVGPISHVAVQ EASSRLRGSVGREEEAVSDLLSLADPSNGHSRTATQPSTTPSGRDHHPMPRPSPYRYW KIREEPHAIEVADIPSSTETLIRQTPGDYSRYTKTPYLTPSKRHADTYTEKVIDGVTK RLKIMERKEGVDR I203_02129 MAGRLARTLRLHTFPGQVLFLSTVSVIGGWGITQLGEDEDRHRP FASRPSRNRGNSMQGDLVDAIQSGSGISGGARGGGPTLADALTAERGASLWWSYARDS KSITDRLQSRNKKSTILVPVDKAIVSLKQKPHLYSRSSSSSTSSSSSIMGYLTGSNSN SNSYQDNTEKFLKAHIIEGVPQPGKLQTLLDGFSIIFQNPDQSSTKMSNLSGKGWKIQ PGDIEVLGIKETSNGRIIYINKVLPY I203_02130 MSQYPLSPDQVHSILSYLDLPPSDILPLPPIPLLTQHISNLPPS LLQHFTFLTPKQLTSIPTVKHRRLLYATSTPTPSILSVSQGRLRWPLLWERLGGDPLS AINENSQSAIEEEQWVSEGFMNDPNSDREKNQQVKKLGGFLRVLEEERESENVRIAKR MERRLENQGEEFDDSDEDEDESVSFNGKSGQDGRGDRIEVKENQEEVERVFGKRILEI FLDGMDTIDYTSIDFLEPPGGDPIALQDEEDRYFDDEEPSRTPNGHDQGAGQESSVRS RLNEDGKQTQNGQGEYDY I203_02131 MPPSASKQKRLAEKAAKNAEKGKTGSSRTTPSGSVAGGSTPLTS LSANGSTENLSLDAAAQMKKLTMATDRSANGVLISDPKGRDIKIDQYTLSFHGRLLIE GAEIALNYGQRYGLLGENGSGKSTFLESIAERDIEIPDHIDIYLVRGAVDPSDVNALD YIVASAKEKVARLEKMAEDMATADEVDEIGLELIYEELEEMDPSTFEAKAGAILNGLG FTQAMMAKPTKDMSGGWRMRVALARALFIKPHVLLLDEPTSHLDLGAVVWLEAYLSTY NHILILTSHSADFMDTVCTNIMDLTLKKKLVYYGGNYTTYVRTKAENEVNQMKAYNKQ QEEIAHIKKFISSAGTYANLVKQAKSKQKIIDKMEAAGLVEKIETRKPLRFNFEDVKK LPPPIIAFSDVAFSYSGKKEDYLYKDLSFGIDMDSRIAIVGDNGTGKSTLLNLITGAL SPVEGSVNRHTQLKLAKYSQHSADQLPYDKSPVEHIASLYHEKFPEKDLQFWRGQVGR FGITGSHQTSPISQLSDGLRNRVVFAILAMEMPHIILLDEPTNHLDMDSIDALAEAIK AFSGGVVIVSHDFRLISQVAEDLWEVKDKKVINLTKEDISIVDYKKSLAKRSQAQIEK AKLISKSATKGVA I203_02133 MGADLYVFLCHIVSGPELMRLKKRFMKLDKDGSGSIDKDEFLQI PQIANNPLAHRMIAIFDEDGSGTVDFQEFVGGLSAFSSKGGRDEKLRFAFKVYDMDRD GYISNGELYLVLKQMVGNNLKDQQLQQIVDKTIMEADKDGDGKLSFEEFTNMVASTDI VKQMTLEDLF I203_02135 MSSTPTTSSAPGTPPRRPAKRDTSSLAISTINNNNTNSNGNSSS AAASGSGSGSGSYVGSIKPRDPIIERALQLSTFESNYSTEDFISNLSEKLINESKADP GPFNPTPFLQTFSPALDSLLALRQQVAERTKKMETDVRRAEREYGRRLRELDGGFEAI GNSFENLESKITDVGRTAVRIGEQLDTLHQTRSTAQSTSLLLSYYLSLAHQTSITSPD GTASTPLEVLFATRTSREGRTRLSVILRRLMAVAKDVADNASTALNDAEIAMTATPKE ANGHSATPTTANIDAPPTSSKIIGKRRSEKEKAERVRDEIERYCEKFEKEVLRLFDRS YRKGDPRMMAHCAKTLQDFNGGASCVQIYVNQHDFFISKDRLLEEAVKNDSAVDGEKP TDLWTTVGDPDAPPPTTGPGMDALFKEIRVTVSQEAQIVKAVFPNPSAVLQVFLQRVF AQVIQQHLESLLSRAATISTLAVLRILHLTHSTCSTLVDDLKSYDLTLGSSSHGPSKG STSAGGPLATMLDHALEEMFVPWLEGVRYLESESKNLVELYAGLLSRFTRYHETVLKA KPNSLLDKVVNQLATSSSAATSSSTAQTAAAAISKYANLFTSKTGGTSTPSGLPAAHK PFGPNAAHLGVNQGGGTKSAVLTNGTQTPAGEAGRDRQDGLRGDLVNKGLEDKVWSTD GVLTIDMAERMLKWHAEAVGRAVQLSPSADIGKNALALSKVLSEAIGRSFIETALDSA FAKLENQESRSEPDLQPLTVIKPSDQICHLWQRYTSTALLPLAGNTVSIRREMGTINS HNVVRMEGKINNVIQKALDGVVSHLSYLLTKQKKNDYKPKNDELSFARTITEPCELCC DFLVTIKDTVNEGLSGKNAEAFLTEVGVAFHSLLLDHYKKFPVNPTGGLMLTKDLASY QETMSSYGIQAINDRFDMLRQLGNSFIVQPNVLKSYMTESHLGRIELRLLKPYLQQRS DYSQFSRSLQLDDLSSSSTSTSASTANIHDESSLSSSVTAPLSILNRNSSFRAKGSRL SGVAGVGMGKLKEMLKEFDTLSPEEAAIARKQQIQQRSNYQPMFYMGMH I203_02136 MTNAVASSSKRPAPSSSSSPSGNKAKKPRPSTSTVDEENDDEDD GEEITGDMDDEMKARIARKEARTIRNRESAQRSRNQRKAHLANLERRVIELEAENRAL KGDSPASATTPTSAFREASPAQSVISLANDLGIPTELVNGTGVKLSNVAPPPADLGIN DMEEDVKPVINHTPSPVHEIVQQQTVLPMSVENIEINQLKAENAALRERVSLLENLVK QVVAVANFSGLQSSSTSSQDIKPAVNTIVEQPSIEIISPTTSNNIDWASFISAPVVIP PRTTAGLDSTLSPPLYPSTLTDIASRPQSGTYGHSLNVNVVSNGSNPVARHPAEVATM SSASSFSQGVEDDKALQRARGNHSISSTNNTNTTTSTVIIEERIRLVARLVIALAQQR GWITQSSTVATYPITCGMDKPKTYLGKMKSAR I203_02137 MVIGYAAIDQICDYYSNISNVPVKAQVQPGYLIDQLPREAPENG QQFDEIQRDFGKLILPGITHWQSPSFFAYFPSNSTFECMIADLLSSSVSNPGFNWICS PACTELEQVVVEWAARMLGLSKEFWGSSGIGGGVIMGSASDSAFTAAIAAREKALRRL SLEAGVQAGDNGQIEIPDEIRQEYGQKLVVYGSTQTHSLGAKAALMLGLPFRAIPVSI EDQYSLRGKDVREAIEKDKKAGLVPFLVYATVGTTSTGAVDRIAEIGQVLKDYPTTFL HVDAAWAGVAYALPQYRESLRLKEVNEYADSFCTNFHKWGLTTFDCSIFCVKNRKDLT QALDVTPPFLRSKEADAGTVIDYRNWQPSLGRRFRSIKLWFVLRSFGISGFQKHLERG IEHRQRLTEIVERSNKFEIVTTPNLSLLVFRLHLKDPKDNREVDDDKLNLLNQKLHQK LDSRYDIFLTQTVLHSKERNIFCIRLAMGGVNTTFEDVKRAWEIVELEGDTVLQEVDL V I203_02138 MSGFTQNDQVAINTIRALAADVVGKANSGHPGAPMGMAPVAHVL FSRFMRFNSKNSKWINRDRFVLSNGHACALQYILLHLAGYKVSMDDLKAFRQIDSITP GHPELGVTDGIEVTTGPLGQGISNAVGLAIAQAHMGAVFNKDNFSLIDNYTYVFTGDG CLQEGVASEACSLAGHLKLGNLIAIYDDNKITIDGDTAVSFTEDVEARFKSYGWEVLH VEKGDDDVAAIEAALKEAQKTKDQPTIINLKTTIGFGSLKQGGHDVHGAPLKKDDITQ LKKKFGFNPEETFAVPQETYDIYNAAAEKGAKAEADWNALFKQYSEKYPKEASELTRR VEGRLPEGWEKALPTYTTSDAAVGSRKLSETTISKLAEVLPELVGGSADLTGSNLTRW KNAEDFQHPSTGLGSYAGRYFRFGVREHGMAAICNGIAAYGGLIPFGATFLNFVSYAA GAVRLSALSHLRVLQVATHDSIGLGEDGPTHQPVETAAWLRAVPNLAFWRPADGNETS ASYLVSILSQHTPSVLAFSRQNLPQLANSSIEKAAKGGYVLEEVENADVTLVSTGSEV PLCLEAVGQLKSKGIKARLVSLPCFEVFETQSREYKLSVLPSGAPILSVEAYSTFGWG QYSHDHFGLKAWGASGPYDQVYKKFDLTPEGIAKRAEKVVAFYKKRGQPVFSPLISAL DDISDE I203_02139 MPSHPRQKRHIRSRTSDEESNIGLIKQNPTLSRSTTSATNQIPI IKNPKISKKKLIGWRVGIIVCWFVSVTLLYLLTCSNPLWRNTWSVVKVHLPSNEWDLV ESKAKGISDNVLNLPTERQQIEDDHKDEEDDDEEEGEVKDGGWLTVNMWGWCLQDIPK TEIICSGENMLFDLDELLGEESRSSAPSGDDFNFLLTHGLIIHGIAMVTAMIAIIPIS ITTFRIIRARQPMVQSGWFEHGTLLTACTLCLIAYIIDRILKTSVKNNLQDHRVLSGQ ALTVTGICTILLLITFLLSSIPPFYFHMKRQSQLVRYWKDLEDFDEALADDDHSEKTE KKKRSRKVRRSRTKRAARALFGSRDDGMQREGTLSRWRSRRRKRRDDSYRYRDRHRDK EDERRRYDTKRRRRRRYRDSW I203_02140 MPPAPTVSEIQSLYHSFQTVSSRFTSYNFNQYFLRRSHQTFKPV LQSLIPAPGTESVQAKQLDPTELSKWFEEQKKELEVIKRAAEVNRMFKGPKLVVEHAQ PITAGGGEGAEASP I203_02141 MATYEEDRKSIVAEVPAQAHLPGMDATTEVQVVPTPAAAKSRWD SIYRSSLFQICVVSALAFCGPAMADAISGLGGGGQATPYTVNAAQCASYCAVAVISLL GGPLASRMGIKLMLIVGASTFAINGSAYYVNSKYGVQWYLIFGRFLYGAGFGFWYVAE AAIILSYPEEGRRGKYLAIWVGARNLGQLVGGSISLARNAKAAAAGAIATSTYLIFVA IEAVGFPISFLISPPHKVRRSDGVPILLAAKQPWKTEFLLLWQCLMSKQMLLLAPIGF YSYFYGGVLSTYLTNYFTVRARALSSFIVPSGIIVFTSIFGRFFLDNRRWSQRLRAQI GFAVFMIPSMACFAWLIVNQTKFMKMSKAPKYDWNSSGWANAYIPFYIMQICGYLCQT YIYWLISCFTADVQGNARTGGVFRCVEAVGQAVSYGINSNVKTKMIPLAINFGLAGFC IPFTIAIIQKVPTWREDAANRPIDYDQEEGGKNHELRG I203_02142 MSEEKNNPLLPVKNRLESFWLSERDPVLQNARTTAELPRNADVV IVGSGLTGALIAYHLYNEADKLGRRINVVMLEADEVCSGATARNGGHCKPIPFIGFRA EAAKHGQKVANHLLTFEAAALKQYADLVQKEDIDCDMHVTRAFDVCFKPEDGAAAKKD YEARRAAFPRDVAGQDIRSVGDPKELELLTGIKGGHFGASYPAGHLWPYKLATSLIHI AINKGLNLQTHTPVLSMKKSEVYSGQWEVVTPRGSITTSQVIVASNAYTSGFLPEFKE LIFPVRGTACSITPAPSHSHGAHPGPIKYSYGFRHGAGDVDYMIPRQGRGRIPGVGDR SIILGGAKSRFLGDLSQWYNNKQDDQYMPGAKEYFEGFMKKYFVGWNGNEHGNVDRVW SGILGYSSDLLPYVGEVPDKPGVFVCAGFTGHGMPRIPGCTAAISSLVISRIKNGFIT STAQKAFEDSLPQPYWLTQERYTSRVNLIQNMMNGDKTKGSLQNSDEAVMAGKAVRAK L I203_02143 MPSVATTSEIPLLPSASKSLPLTTSTQGLQGIADKHITKGLGRL RNHVFKEGKGLRVLTTENQRLLDFTSGIGVTSLGHAHPDVTAAIIAQAQSIIHVQCAI GLSEPYVQLVESLLTMMPDPSLDSFFFWNSGSEAIEAAIKVARTKTRRNNIVVMQGGY HGRTSGAAALTRSKTSFFKGTGPLMPCVYTTPFPYWHAMGLPKETPEEVLVEQAILGI ENLLQQQTAPEDTAAIFLEPVIGEGGYVPTPPAYTQHLRQLCDKHGIMLVVDEIQTGF CRTGKTFAIEHSGVRPDLMVFAKGFANGMPISGIVTRSEIMSAMQPGSLGGTYSGNVV ACAAALATTRFMRTHDILGHVNARSEQIFKGLREIQADTENGGWMIEEVRGQGLMIAI EFKDPKSKLTGKHSRGDITLPGNLNTLVQNACYDRGLLVLTTSIYPVLRLIPALILSE DEVDEMLNTMKEAVKEVAKAVEGK I203_02144 MPSVVFDVVGTCFSYDNGAEALQARLGHKLAKYGIPTKLLFYAW VCGTERDYSYLSQIKQYKPFFEILSNTLTRVLFQAGIPAEDLEGFFTAEDIEYIREEY KKLKPRPGLKEMMQTLREGGFEVWCCSDANVDRVKGYFDHAGVEMPLDHVLSADMVKA GKPEPAVYKFAREKAGSDQPGEVSVFAASHAWDIAAAKSAGFLTAYTTTYELDPCESI FGTADLVTPDLVSLGKGIVEKWGKK I203_02145 MSTRQHNIAVIAGDGIGIEVTASTLEVLRAVQKKVGGFELKFDE LDYGSARYKAQGSYTPEGWLEHLTKSDAIFFGAVGDPEVPDHISLWDLILPMRQKFQQ YVNVRPSAILPGIPPRITNAQPGDLDWVIVRENTEGEYAGQGGRTHAGTEWETATEVA VFTRKGVERVMRFAFETAQKRPRKLLTVVSKSNAQRYGLVLWDEVAEIVSKDFPDVKW DKMLVDAMTVRMVTKPKTLDTIVTTNLHGDILSDLAAGVSGSIGIAHSSSLDPTRKSP SLFEPVHGAAFDIMGKNLANPIAAIMSAAEMLRWLGEEKAAEIIEKACETSIAKGQTT GDLGGKLKTDEVTDVVIKLIEGQ I203_02146 MSQTQDLAVLLSDSDLFRSQGYINGQWVSASDGATFPLTNPATG AKLADMPHMPRTQVAEAIDAAKAALPSWSALTAYQRSAYLLKLHALMEQHVNDLGTIL CVENGKPLAEAKGEIAYGASFMQWNAAEGLRTYGQTIPSPYPGTRNIVIKQPIGVCGL ITPWNFPNAMITRKMAPALSAGCTVVIKAPAETPLSALAMAVLCERIGIPAGVVNVVV MDKGEKEAAAGLELCENPKVSKISFTGSTSVGRLLMKQSSSTLKKLSFELGGNAAFII FDDADLETAVKGVIASKFRAAGQTCVCANRIFVHSKIYNEFASLLAEKVKELKVGNGM DEGVLIGPLVNVRGVEKVERHVQDAVSKGAKVLVGGSRIKSENAEGESCFFQPTVLVD VPKECYVASEETFGPLAPLFKFDDEDDVVNRANSSEVGLAGYFFTKDLARSNRVAERL EVGMVGVNTGVIAQACIPFGGVKQSGFGREGGPGGINEFQIEKLITIGGI I203_02147 MPSPTPKPKLHIPLAEKSSPFFSKPYNPSQLSPIPDNSPETKSN LPSESPQDISEGGDVDCQTPLLPPSPGNLENGGMGSYPFPSYQYGGLTRLKIALRKGL PSSLIRRGLLLLLVLVLMVLGLHHSDVRQMALSSSYSSARIPNSDDLSTNDDLSRPYK PKRPFKYPFKLSTPSGVKHKSIYSNAASEGKREIDIASDPLPVTASLRQRLDAWRDAP GGRGEVEGEVEHGGFVQWNLEQCDTIDQQHNTHMIQHSANIWASVNRTSLHDYRMELI NHMEGVLESGEHENYGEGRGIVMVAGNADTLQRVKWSLGMLRSYGSELPVQIYHFPSE RPNDDDPIRDELRELGAELVEALGQTRDAKKNKSYHLKALAVVQSPFREVLYLDSDSI PTRDPAYMFDAPNYQRLGIWATPDYWKTSANNPIWSIIGVKCRNEWEMETGQMFVDKK LHLDVFLLIKYMLENHEFWFYFSDGDKDIFRWALLALRKRWAVPGRWVGAAALPSGTA SGDFCSHTMLQHDSWGEPLFVHYNLLKQIPSGVGRGFSWGRTKQLPLFNTWPATPSTA RLDEPSRKPADDNKPGLGDVDCDMLADAQEDGSARAPAKEMIMRRAARERGVKVKYHG GWISALCIDLEYIDPRPEDRKEADAERKAILEAQNPSSDDTPKSEGSEEEEFVGTIYP DWEQSPIEVVQWRDDVNLVDFERKIYDLGFKPSGPGF I203_02148 MESLSWDYILKYVLLGDSATGKSSLLVRLTDDRFDLTEPTLGVE FGSRIISVGEEGKRVKVQCWDTAGTESFRSITRSYFRGAAGALLVYDVTRRESFDHVT SWLEDLRKYADENVSIILVANKIDLCTSTPAPLPSIQYGHPFPAPDIPLNTSSSRSPS PAPSPDVPPSTTQSKDLKSRQVSSMEGALFAKQHGLLYVETSAKEGWGVTDAFEWTAR EVLDKVKKGEMERKKVSKAYLA I203_02149 MAQLQPPLPQQVANLINGAASGRSSRASSESGGNRIRKDTIGYK SSPFPAKADQQANVSRILAESGFMPQELVHGEVDWFYNHLGIENSYFLWEKPETIADH VLALFSAKLLAYTKHDPEKLVIDLEKITPEGQEKGREGAVFIHTSKAGVTVADGPGGT VEKRIDSLFFDESSPEKAYRLETYRSTGAISSTISQQLRCYFVSRCSFPTSAPVKTAE GTTEIRSVSDSAFLEKASENTLEVYQHVMNEVERRYGPVIEMFEVEDSRERRLVIGYK MGGTRKFFSALSDLYHFYGLYSARKYVEQFSNGVTIISMYLNPVPNTRAPPIEHSIHQ VVREASLLYCLPDNPFFSVADDDDSPHAVQEATYAYVGWIFAQHFCNRLGSAYLALKN ALDESNPDHAEVLNKIKTRFREETFTRDSIKEVIQNHPDLVRMLYINFAMVHYPAADE ASQLTPTLSFQRLKTEQPLTDEQLYQKIRRTAANQHAVQILEALLIFNKHVLKCNFYQ PTKVALSFRLDPNFLPDVEYPKKPFGMFFVVGSDFRGFHVRFRDVARGGIRIIRSRSK ENYNSNVRTLFDENYALSSTQNLKNKDIPEGGAKGTILPDVSANYKQCFEKYADSIID LLIPGKTPGIKGKIVDVSGRRDPEILFFGPDENTADLMDWAAQHARSRQAPWWKSFTT GKSAELLGGIPHDTYGMTSLSVRQYILGVLKAHGLNEKDVTKLQTGGPDGDLGSNEIL LSRDKTVGIIDGSGIIYDPAGLDRQELIRLAKGRKMIGEFDPSKFGPDGYRVLVDDKD LKLPSGEIVPDGTQYRNEFHFRVKCDLFVPCGGRPEAVNISNVNHLIDAEGKPHFKYI VEGANLFFTQQARLFMEKKGVVHFKDASTNKGGVTSSSLEVLAGLGLNDEEYLDLMVF KEGKSSPFYQSYVRDIQSKICENAAAEYTCITKEWLRNKGTKSRTVISDQLSSTLNDL QNELEVSDLYENIASRKNVLSRAIPRTLVDKVGLDTLMQRLPEQYQRAIWSAWVSSHY IYECSLQASNVDFFHFFSKLSA I203_02150 MSFRPLITLRPRSSIPIPLSTQRRSLSTSLPRYRPSSSSSSSSG IAATVSQTLGSLQDSVNDLAEKFKNAVQQSHSEQYEGVEERGLRMLIFGKPGSGKGTL SARLVKEYDIAFVSTGDVLRKEIAAGSEVGKKAEAVVASGGLVSDELMLEIVKTELDR LHGRSWIVDGFPRTLHQGELLDSVLNQENRPLNMIVHLNVPDSVIMARISARWVHLPS GRVYNTTYSAPKVPGKDDITGEPLSKRPDDTPETFSKRLQAYYESTAPLLEYFAKTYP SSLFSLSGSSSDEVLIAAGLKAPPAAVQGKDQPETDFKTFSSTLDPNSSTDTNVNEIE HTKTMAALDQLWPQLTNLIEPFNLRRAKKTLTKDGRELTEDEVKDIRKEADDLKDPNE IEVNGKRKAHVE I203_02151 MVNESDQPYRPDFFPIAQPAARDLQRPTFSRSHDVRGGNVKHVR SVAWSCDGRRIATGGEFKEILFWDTKLNASIDARASTSLPSSSKTTVHNGHVGSIAWS PVEPNILVSGDKGSSAGGVIAVWNITSPSSPLATFKIPGDVLHISFHPSGRHFAVVCP QRNRDEVFFYWLNTIDGVEKWERREDIALGGALMDIGAEEINSLRFTNSGKLVCAVSN DGSINAWIYPTQLQVQAQEEQQVEEVLEPRIIESGPSTTPSTPKLHTEEVTAEAVKSR EGSREGSPESTREGEKDAEDDVEMNEAEGEAKEGEEPKPLQNGEEGKEGDANTNEDEK PLEDSGDVEMTETSTSQPMTQNPSENAPNASSVAPSRQSTPPVPPPPTKPSEKKKAKQ LQRFRHAICHSASLLGLAFDPQGRYLAVGGQDALLSMFDTRDWICERTFDVCSAAIRH IAFSYDGEYIALGGDDTYIAIVSVYSGATVAKLPVHGMVSSLAWHPKLNWLAYSYSGK IASPIWHIVHQET I203_02152 MPVATKYKKTSNEIPTLVSVDPSQPGETQANIHTRWHPEIPCVA TIPVGQAFRVECLDYSGSQVHNDDNADDIIHLDHDSDHHLSGPFAVPGAQPGDVLEIE VLDVQPFQDHNWGYCITAPGLGSLDDERKGKETKVTKSIWDFFGNETSSRHVPHVSFQ GRPHAGVLGTAPSHEILQQWTKRESELEVATSGRAGCSLPKPRGAYVGQDLPDALRER IYREGARTSPGREHGGNIDIGLATRGSKVYLPVYIPGASLSVGDTHFCQSDGEPTTAI EMAGIVTLRVNIIPQGVHQLRMASPMYRTSPSEPIYRDKLCFTGLSVDRDGNQTNQDG LLAYRNAAFQAMEYLESFGYSREQAYILLSAAPIETRVVATANRPNFVVSLGIPTEIF DFDISPRINGITDEEKRKTISGPALPSIKLNGEITNGHLH I203_02153 MSNSPAKESRTKKVRRRAGPFKRSRTGCGTCKRRGKKCDEEWSD EGFCQRCIVGEFECTGRSELVNKKAQSIENEASSRRDSSVRRSSSASDAIESLTNHQF SQVRTAPAPDLTPPTVQPILNYDTSNASNASIAGPSHHLSQTQPQPIVDNSNVLGNSG LWTTPHTTQNNNLFMDSMNFFLSSSNTQPLYNWPGQFATQPSAFLTTNIDGSLAIDSQ PFLWNNQTTNDFLNDFTAPFTTSVNNDSCKQAVNHHHQLNANSRVLFLNTEKPTRQGV SLAEIYARVVESWLVGIPSTTRDYARARILALNDNNSVMRNVRFAVSAAYIFLFAGCQ ERADNPNDPQPKLVELAYKGAGLVDGKSTSVSQSGAEGSEVISPSSKDGEKEGPNSAL KKIRIYVDHVSTPFAADMESLKWTEDAVRELKEIQVTDKAQLSDLLWGVIDLQLVEFI RGGAAPSYNMLALGDRLVRQAMGSVRPQVVLSSLRASDSFSLRLYALSDISRCIVHRG KKTIFNFWSDINDNQSEPATHSDDEEPWATYLGLPDSIVILLAEVVNLCAELSSSSPS SIKQQADELETALKSWQSQTFSTLNSVDSTALISRTIAGELWRLSALVLLYESVHRVG GLHPVLRRAQSEILSLLDSIVRLPNGDLWGFIGLPAFLAACLSISDNDRQRSMQHLVR PGPERMWLDNIALVEKVWEETDQTGKLPDWYDKMMREGMSVAFF I203_02154 MSIEHESEKDLHVSQDNTTHHPVQEIAYATSEPVKRHWYRSVTC QAIITGIASFLAPGAYAALAATGAGGLANVEIGNASVAVAYALIVPSALVSTGFLSKF GPRLTLAIGAAGYAPYAAALYTNSAFKNQWFLVVGAIICGLTSGLFWVSEGVIISVYS EPSRKGRMLAIWQSLYTLSTIIGGFINLFLNLDVKVKGGLKPKTYLVFVALNCIAPFV SLLLSNPKQVQRRDGKPVTGIPDQEFWRETWLTIAELKDPKIIAMCFLWSQSLFIPSW TSTYLAKHFSVRVRGMSSIVKPSLTIIWFQVMGFYLDNKRTSIRKKLVYTWGFLHTVI LGSCIWLLILTVRQDKQAVAPSWDWTTDGFAAAWVPVALATAAQGTAYGYMYYMAGYV FPRGADKAQLSRIIATLRSAESGSAAIAFGINATKLSLHKTGYINLAFALFCVPCGLY VLNYVWKQDKLGAYDEERINEEKPAVAQETP I203_02155 MPVQLSETARKQLDEALDAYPKAGKPGTVVGIVNKEGKMVYLRS AGAKNAVTGEKLDDDTIFWIASCTKMITGIAAMQLVERGLISLDEPVAKFVPELAEIQ VITNSTPTSLETRKANTPITLRMLLTHTSGWGYSWYNHHILNWVKQNDNEVNGHGFWV ELNNKTNEPLVNEPGTKWEYGINMDWAGITVERVSGQKLGDYFIENIFKPLGIDDSYF DFKERPDLLKRLSPMHKWDAETDTYSIRDFPHLLVDTPNHRGGGGLHTTAKSYLTVVS VLLNKGKGPNGAQILKPETVEYM I203_02156 MNQTEVVPALPSQPGAELPPHVPAPPDLEDVQRKLSLEDIPDDK SDNDKDLKLDEAELRAQDERELQGGQVVIRDGNDVARYVVSAQDDGDAALTLRSFVIG SGLTALAACINQIYFYKPVSVSFDSIFLVLIAYVLGNAWALALPTKSSIQRRFPKQAS WLAPIVHFINPSRTFGLKEHALSSIMSTSSGNGAEAVQVFAAEKLYYANPSGKAVAIL TVFSASILGYGLVGLMRSIIIHPSQMVWWKSLPMVSIYQTLHRDTKGMNKRRVKMFAW TSIGAFIWEPFASYIWPWVNGISIPCLASMHAPTKPRTIIKNIFGGANSNEGQGVLSI SLDWQYVTSKYMALPLIQQANTWVGLVISYFMCLVLYYGNAWNAKHLNFMSTSLFNEK TGKAYNQSAVFDSNNRLIVSALEEQGLPRLTATNIWYNLVAMMAIGALITHISLFYGK QIVKSVKEAREGSESDPHYLVMKKNYKQVPLWWYVAVLAVGFTLGMIAVCIGHTGMTW WSYLVALGLGFVITPFSLILYGLLGTSVATNNISKMLAGAVLPGRPVANLYFSMFSHE VTVLAVFLAEDLKLSQYLKISYRTMFICQTYSSLLGAVLNYVMMDTITTNKREILLDP TGSQVWSGVGVQSLNSNAVTWSLAKYVYTFNNDGYGIIPLGLLIGACIPVIHWLIAKR YQKIGPITVSKVVTPVILVSSAVTYAGSTSVQTSRILTGLFSQWYMRLRNPAWFGRYN YIVGGGLDAGAKVMMFILTFAVNGGGGSEVPFPTWWGNPKTSSKQYADYCGTG I203_02157 MSDPFPSQEAGPSRERERERLVVKRRRTVKARCQRTDSGSNGTC TRCDRLSAECTTTQPQRSLSLSSSFNHHKPSNHKAIDDNHDKTSHHNSDITPRPPVAV TIQSTSLPDTQPIPHNSISLSAHLATEPRILGFTSLSSLRDEIAVSFGKRGNEHDQRG DDKEKETGGSRGLRREMKLIERELSLSVIEQLLSDFANHVSPLNPILLSHEIFSPARM SVVTISSVCAVASLSRTVPLSVFLAAKNRLMDLLDQSDVLKVASVANIQALLIATSKA ELLMNQRQSSGGSLSFQRCSSAIRMAQELGLHRTDIDFPPDINMRRRSAWRSCLIADR WLAAGYGLPQIIDLDDCDDVSVSDEEETNPQIMLQHELYNASTLLGRVLKEIYTPKVL ARTNDDRIEGLIMAIDHCRSHVHESLRFTPSSEVGSVVFELSILTVEALFLRGVTSTK IRRPSHVTYRPSPGRWRSISERAQKLVVWIEERGDWLLDTSRIGLYGLTFCSLMMFRD HTQTHSSSALQGLKLASKATTRWAEGNGETTYMTQGRKNHAQIIRTLYVVARDGVVGR STDNSAGERLHVVSPLAEGKNQSTSIPTAYETHISPTTPTTSPPSILNQSTTDTIPSE LEVGHSLLQLTSPRRPIASQQQETNDSHENTFSLTTESFPLFGIGANTEEMAWSMPPM DNWLSEILDGEASATGWTF I203_02158 MSVLKIDELGYLQRGGAAKPLNRDEKQNDWNAFVGADKSNAGFE EYYKAQGVVPEGEWTDFMESLKKELPVTFRVTGSRAHAETINDIIKKTYVPNMQNVEF EGRKYEPPSQLSWYPGQLAWQVDAPKRVVRKTEPFKNFQRFLVGETEVGNLSRQEAVS MIPPLFLDVEPHHLCLDMCAAPGSKTAQIIEALNPHHTASTGLLIANDSDYKRTHMLV HQTGRMPSRGLIVTNFDASLFPSIKLNEGKTLQFDRILADVPCSGDGTLRKNLEIWNK WGAADGNSLHGLQLRILERAMNMLKPGGRLVYSTCSFNPAEDEAVIAAALNNKAGKFH IVDVSSQLPKLKRRPGISSWKVGSQPQSNGRKLVWYDSFEDYKEAIKRGDEKENDKGK GLPKTLWPPANAAELNLDRCMRLLPHDQNTGGFFVCVLKKKGSPEAEPASSSLKRPAS SPPPTSDTKRAREKSPEPGSSTAAIPAETTPVPSTTVKPEEKKEKRDLTFREDPLSYV DPEHAEVKSIIERFKFKDTFPRNNLMVRNDYGDPLRTIYMTNDIVKEIIQNNDYTRLR IVSAGVKCFARQDSSQRTEIRCKWRTPMDGILEVLPHVGEGVVISATLVELRVLLEDH YPPLDNFADGPWKSTLLQRDMGCEVVRFEAGKVAGGELALPMYLPVWKAKMSLSLMID KREKSILSLRTFGEDICKPPPPQKDFSKKAEEADTKTEGKGEVAEVTEAEGEGDAGLG IAGEVAEGDVVVDKKEGEE I203_02159 MSGYNIYTDRLTLRHPSLGQSQGQASSSRRPSSSRSPAPFNEPI IVDSDDEDDDRPMAQSSGSRPINGHSGGQRLGGAVKEEGPTNIEAREAIQVALSKLDK EIKDVEAQIRPLQELHASLNSERRILETQLRTMNNLPRNTNTISSSVAAGNSSNSGLM DYQSSKFEFSTQVSQTLKRVFHLDNFRLCQEGVINAAVDKRDIVCVMPTGGGKSLTYQ LPAVMGRGLTIVISPLLALIWDQVRALKEIGVECVMMTGATSTSEQNEIYERMKAGSS GGRKAIRVCECTFPEKVSKSKRLISTLEKVNERGGLTNSTSDEAHCCSQLGHDFRPDY KKLSMLKTLFPRIPIQAVTATLSSKTLPDLLKILRLGPITDAAKSTGTVFFSAPLFRP NLHYKVLSKPSNAKSAIAAMGEWIQKNHPGQSGIIYCLSKKDVETVAEELREWSGGEI KTGIYHAGVEENEKERIHVRWREGKVNCICATIAFGLGIDKGDVRYMSKSLEGYYQET GRAGRDGQDSDCVLFYRGQDAARLSSLIYGDVDGSSKLQEMLRFAQDLKTCRKVAFAK YFSASAHLSASAWDAPDALSSSSGSTSTCGICDNCLRDPSSIITKDVTVETWKILKVA QYVQNEGGRVTLANLSDLVRGLGGGLFGVVGGGEGTKVKRKLNGEKEKVDLEALGGKI TLGKDDTEALLIHLVLLGYLADSYHATAYSVNVYIVPSDMAVRLTRLRSEDVQAGRSV KIECTFPAPEPKKSKAKKAKKSDEDDGEEDGQEDEEEKEEGKGKAKKKAKTESTAKAS GKGKSKKKVDEEGDEDGIEREWFDVHFADIDGKKTKSNGKATGGGRGKKKKEELKELG ERDDGEIISEDDEEVYDDCHSWGGMLEEDENGWQVPVSTVKGNNNRIVSVSDSD I203_02160 MEVLYTHILPHLPLPLQEIVLNPPSFTDPQSFIPIIKLLLPYTK WILVLSAVYILWSFLSGIFGMFSRVLRFSMKIGPIIGLVAYLMNSSGQGSMDELFGLV KQYFGLAPQQAGGGWSPGIASLASLFTDQSGSTKNRKNKKNSWTGSGIGKGNDPISSR TRNQKNKKSTSGGENAGDIFENLVNQATNEENINAVQDFVKSSLAKAAGLDWLFGDSN KKEEDKSKNWKSR I203_02161 MSKNGILLPEALPDFLTSFPNIIERIESFLRVSSGGKGKEKMQL DINQVLVNEYQSGQGISPHEDGPAFHPLVATLSLGSHTILDLHHYVNPTCPSPPMIPS SPCSTAEGEGKTIAAIPMAHLLLMPRSLLVLSSSLYTSHLHSIASRETDTIIRAPSDD STKEGQVGVVISNSELLGDPDILDALSKDGRFTAERGKRISLTFRKAEKVLKGGPAGV LGRSLGLKK I203_02162 MPSDTLLLFQTLLSTLEIPINPPSLSSIPPTLILLTLESILQQK LDISEELRKCNKVEDEIRLIKCLLGILADDLLNIDLSLIDPLKVIQGREREMEVVVMA VIVVAKRNGINITTSRRKMTGDDSRSMRSANEDYISFTYDNGYDNDRSGLPEPLKPDR SFSSPVDTPQNVFVVSPQGHDRSDMYSDSQEMLYDKEIYGDTNYNDNDISKTSDEFDP YLTPIHDRFPRGISQEDGNHDDHESNLNARRERDVPLKQSYSSTTSASSSISGKTVLQ YMIEEFGLEPG I203_02163 MSSSQGRKRQPSTGNAIAESVKRQVKEVGDTAQDAVISGAWGYP LYGIYYLVTHPALIRPLLPTLFKGVLVSVGVVAALFSFTYLPQVAVLAFVSGPLAFAL AVPLVLGESYVVINFLTRALLVNQAGVDLFDAVLLQRGHLTLVEHGRQVTSGGSSGKS KVLGTLLMKPLSRFSTDNVVRYILTLPLNLIPVVGTVFFLGFNGFKSGPGYHARYFQL KGYDKVKKSELIKKRRGAYTAFGTMAMVLNLIPGLSILFTFTNAVGAALWASDLEKKG KTTASDLHDARKNGREDEVEVVLPNAGESSRRKDL I203_02164 MSKAENPEIQPVELAAQREEALLTPESDKDEKFHSINSTPYEKN ESTTLGYDHEAEFGQAKLNDFAFRDDENENQYDWESDEFKNIPEIVRNTVSFEDDPTL PVITFRAIVLSALFCAIGSIVSQISYFRTTHAPFPVFFVILASHPLGKLMARVLPDYK VPLGRFSFSLNPGAFNVKEHVSKYLFHALFRRYDPVADSCALTYLPTNAALFYNITME PAIALFFGWVRCVSSGISFAAMVRPILIDDLQFLFPLSLQQVTVYRSIQGTTELHLER SRKQMDRNHKVNFIVAGRGGIGLLNITLDWSNITSTVITYPYSVQVIIFVAFVITTWI LIPIAYFGNVWGSPTYNIMSNGVFEKNGSSYPFNSLLYTDASGSQIFNETRYDEIGLA YSGAQYLWEIFMWYASYISSFVWCGLFLGPNIAHVWTSWRARKAAHNDRLSKLIQKYP GLTWWEWALLTLIPFFMLLGVILTKKLYMSTWTYFVALGFGAAAMLPMSLIYAVSGYP MKVGFFNELVYGYMIDAKGSSRHPLGQLAYRIISGNVWYDARTVLEDQKIGHYFHIPP RTVIGIQILANMLALPVNYGVMRWVLASKFDYVSGKIADPAGQWTGQEFKSYNTAGVQ YALVGPKRLFASSVFQPVTYGFVAGAVAPLIIWLLHKKFPKAKFDLWNTTIFFSGAAT FHGNLSTGPFTTFIIGTVWNFYLFRYKRKFWNMYAYITGAASDTGWNFNLLFIFLFLG TTGAVMPHWWGNNKDSIERCFALKK I203_02166 MSTYKPSEHDGLKQDGTPDKRVSSEHGFGGSDGPDPHVEGQKGG SVSGTDNYKPSEHDGVKKDGTEDARTRSDHGFGGSDGPDPHVEGQKGGSK I203_02167 MLQTTSHAVESSLHTRSVTFPLTQETAKDIYNTGEPSPFGRGNE LVYDQTYRQARELKPPHFALTNDLLSVCGLLTLLARKLDYEIPLEAKINKLNAYCEGG FFKAHKDTPQSQDYIGTLMICLPSPFTGGALVVRQNGSTITFDWGKQIEDVSFAWGFL YSDCEHEVLPVTSGTRITIAYDIFLGKNATEFKQSTFDTRLVPIVKAFKEILKPEFLP EGGALAFGLKHGYPMLENEPDTNLSRKLKSSDAMLLAAIKKLNLRWDYFGVYDYDNSD YYGGGSFEDDKGDQDDPEARKIDTTFRKDIWIADVFFALEGEYFGDGSDGPMLERDLD LIWVILPRSYAINKFLYIVRQRGEFHTHNDLKNCVLLKEKASF I203_02168 MSSFPVSSSRRSFWRDESPAITPGSPGPSRLSKHDVANYLNFAT NLDRTEEYDLSWRLSHPPDAHRQQKLQIPIIAENEDGLENELESEDLEVEVETEGEGG DHQSSSPNKTRRIDGPGFAPSYQDVSGSINKNKKRKTDDRDKEREKVKWPLSTAELDK QTQQNGGIGIDSDPLEDTIKSFAVSYIRLNGLKSPYTDNNHGNGEGEESLSHQILDPD LEQELESSLPDDFIKSTKEYLNSILTNLAIMRPADIGKKRRQMGAIDWMGVLSAASLD KDFEP I203_02169 MISHPPILPRGQIQPKSKTRTASPPYVPIPSIPRYIYEEDDFFI PSTPKSGKTGSNKSPPTFRKMEHPILPNRNDDTNPFFPLCGEAISYDSTGTFSGSIFP STVPPEGDEDRERDSLGNFIVPSASEDGAVQLQIRKKLPNKIGKMGLGRPKLGVPADV FEWHRKQKEMRMKNQKLKDRKGKGKTTEISSDEKMDLGDLQVTAVIAEEKLKELKLNL KKCHPDSIRSSALRFASRKTGRSVGVDVWKEVIRFENEGRYWGEL I203_02170 MFSSKGSPEFSIIPPNISTSPYKPFRPSKKPVSTFGSLDAFDGS NAQAGPSRIRAQSGFETPLNLPDPFLTKLDPFTSNQHGVVDIQQSVEDAQILKRSKEQ FGSYTCRIERCKAILGNYEILLKVSAI I203_02171 MSPSSSSSPSPSSPPRLDNSVSSLISPPSFPPTPSRTTSQLSLT STANAHLSPPSTTEISPRRRAHSNPNFLSGSGSNRSAPSTPTKSKYVSGNGLLNVPYG SLALRSRTSSSAATPTVASSSRSLLEGLPGSGSSSGSGLGGNIDLKDIDGLSINATAS GNGSGNTTPASSRKGKEKEKEKGKQVDGGVEGEMSLSIGDVRKGLRELVRRNTVTATD QGHNFKEEIEKNEMKDTKDDPDPHVIDDLTKDGISRYSPRKYYVLTNAGKPVFSSHDN SSQDNITELMAIASTLISIFQEDDDKIRCIIKGQTRIGFLLKPPLYLFAVSDWGEPEH VLRSHLEYIHLHILSVVSSTQLSRVFQRRSNFDLSRLLEGTETFLNKLIDRSQFDFSY LTSTLQPLRMNPATRDMAGAALMPPSKFNDLLYVLLIADGRIVTLLRPRKHAVHPSDL HLLLNTLASSSTLRSSETWLPICFPKFNPAGFVHAYVSYVTEDVGLVFVSADREAFED LRGWKSIVMEKLENDKTLSRITESIPLHAYTVSAIGCPGLRHFIYKSRTYIQITQPEW EAPYTEDSIDRKRLITLYQKLHDIVHGRSGQTQTYKLVYIRTEHEACLAWLTKPFELY LTVSPQLSKFAVVAAANTVAKWVLAEEGRVFLKDAPVF I203_02172 MSTSVMFDQSQGVSKRRAVDNQDFMAVILVGYGENLYPFNQGTN VVSKALLPIGNVPVINSVIDWVLAAGLRDVLIIVPPSFYTSLAEHVTEHYSMATHPKA RIDIKRTTEGEKDDEGDEDSELGVKGGTGREGTARLVRRFKNWIRTDFVLLPCDLSPP SSLSLTSILDKHRSSPDTVLTSVFYEPTESVKDGEEKVLVALDKDTSELLLIQPLEGM EDDLELRMALISSHPTLSLTTRLSDAHIYVLRRTVLDLLSTRRSKDLDSMREQVIPWL IKGGWQKGLGRRWAPILNPPRKDPFAAALARSTSTNPSSSPYSTLSPGSSPTSDHIPL PRSIPGSASRSGSGSQVDSLQNSMIIDPEEDVKASIKKDVVGWKCKVLVTAPQPPPVE SQQPSGKGGNKQGQGKDKGLQNVYEPDYLIRANSLAGYWELNRKFIKTLSTSSGALNN LSNISQNKQIQQSLEDATGSLPAISPQSQISPDSLIGEGTRVGERASIKKCIIGRHCN IGKNAKLTGCVLWDFVTVEENARIENTIICSNGRIGEKSQIKDCEFGTGFEAKPGAIL KGERLVAGQEA I203_02173 MSRRGPQPNFISKSKFDILGDDIDHEEEEEEEEVEEVPAVATPS TAEAPAAPLSKSARKRLARLASKTAENANAVVDAAKEIAVNAAESIPVPSTQQNGQAT TSESALTSTEEEPIVPPSALKEESKINGVQEPAPSASIPSENKEEEASRPLLPNEKPS SSSTSDKSSSVPSSINFHPTLPETLPGKTGDKLPSNRKRKQPQDFEPSGPGNTPTPTS PSKLGVKFEDGVAPGEGKEGEKTITSPPKPVVKKDRNVIERTTWTFIMIGGFIGLLCM GHPYMILLVMLCQTLVYKEVTALFDLRDHGANQVDVAAGEKGDKWSKTLNWYFFVVCN YFLYGESIIYYFKHIVFVDAYMIPFARNHRFISFMLYVVGFVGFVANLQRQYLRQQFA LFCWVHISLLLIVVSSHFIVNNILEGLVWFFVPASLVICNDVMAYVCGKLFGRTPLIK LSPKKTVEGFVGAFICTLLFGLAWGTFFMRFPYMICPARDLGTNVLSQVTCRPNPVFV WQDFEFTGPARQILSTILGHEPPSIPYAPFQIHVLVMATFASLVAPFGGFFASGFKRA FNIKDFGHSIPGHGGMTDRMDCQFMMGLFSYVYYSSLIRIQYVTVGSIMQTVVTSLTA GEQLELLADLKRFLEGQGVKA I203_02174 MTDFKPPAEERPDLTIPTQEPDPVLIVVMGPASCGKSTVGTDLA NSLSIPFIDGDSLHPASNIQKMTNGIPLTDDDRLPWLALIRSTAERKCKEEWEKHQGD FSQFEEHGIGRAGIVIACSALKKWYRDILRGEVEARPPPEDDLPPSHSVANPEHKVSH PATTALRTYFVYCEGSPELLAQRIASRKSHFMGSSMLASQLATLEDPKGEKGVVAVDI SLSPEEVAKQAVEKVRKLFGYE I203_02175 MESLSPECTPLKHRYDSCFNAWFEGYLQPALAAASSLSSSSTSS NPSPITPTTPQLPLSTTSTPPTPQINQTIQREPLVTSWASAFPSRRLFARKSSSSTTP TSGAQGNGQPVQEEEHHWYNFALSESEPEPESEIVSEAAEAIDTKGKSRSQIKAEEYQ RNCGRFWEDYQGCLKNAINQNESLSALLETAREEHPLGSLDGLKGTPWDSKADFTKEQ E I203_02176 MATLLPSKLLGVTSSIVSPTSTPSPDSSSSSPSPEIIKSLSPHG GAPLTGDSSLIPAKYIVDEKGPNSNSNSNSSSSSSGQSSSFNTHPAKISPSAPPIHLT RAPPSTLLDAPARAAMSLPNGMTASAQARAVRGSSEARTPEYEIDEDGLGVPSLSSYK NDNNGGEERTPLLSPTPTYSNNYNLNIIPSLSRSSSTGSTSSNRGVLRRIFIDRSTTP SQHLTRPTFPPPSLSTYSPIPPRPLTLLAKLNLFINQSISIILSTYFLIFVVLWAFSA ECCKALPKWVWPDRPRKFPWDDENYWKKEGKKISKDPSDYARQVGMDIEHQTVETEDG YYLKMHKVIDPKAEPRSDGRGGFPVLILHGLFQSSGSFVTSEDRSLAFWLAKNGGYQV YLGNTRGVFDMGHRNFSRNDPRFWDWTIRELAMYDLPALVEHVCRETGYDKIAFIGHS QGNGLAFISLSLGMCPSLGKKLSVFIALAPAVYAGPLTHGFPFTALNKMEWSTWKRFF GVLDFIPLMRWAYDYAPARLFASLGYIMFAFLFGWTDANWLHRRKTKMFRFTPTPVSS ASIFWWCGKGGFADRKCTLDDSLERWFDNRFPPLSIYHGGRDYLVLAEPLIERMEKKE KDVKVIKITKLDKSEHCDFYWAAEAVEWAYLSFMDDIESTRPKYPDEAESPKEGTETN IDGIEDGSE I203_02177 MGPDRTNAVASSSSSSASPIVRHDDDTPPNKKPKATRSRNGCLV CRSRRLKCDLEKPECKRCVNYGAECVYPVKKPFNPNIVAEKLAKRHGNNNSKKKREDS STLIPKDEIQDQAHNSINNPLNTPTNLISSTPNSNVNPTQTSITYTPQPPLTSVPSSS AHSIWIPHPTQLVQQMDSVELLMALCRDTRMGQFFGGPLDPPEFLRKMFPVEEDLRCF HHALTYSLSILVVEEEPNPWVEHVARLFLVPSGEAPLSTEGLKQGMLAMGAIHLSVLE ARGSTTSSSGRTRELGLAYRGEAIKLLRQAKNIPEEVESDAFLAGTVILSCDDVLGAN PHWREVIRLALLAVRRRGGCERILFPDNPYPDVTDVPDKPVSPLLRCLIETMTIGDVG RSLSTGDPTVVLTDSSTWWERLAPVNPSEHDSCEGTWGMHRSIPRLMTRVINLTYESA DLDRQSFFDHPNQPFIPSNPDNHMAQLALAWLEEKRRWRESLTFRINELRTDMANWIV TVPQTITRKRTKDGSLATWHAFHILIMRDLLKLPREEETIQKSADTVLEICSQVGDKI EWMNFSLLITCTVLISPTP I203_02178 MQALRTLPILNRPSRPSSPAPPTVQSTTVSGTAVVPNGDGKPRS RSLSRQVADKVSSLQHYNANGTANPPAPVAPSQPLGATGKKGLSPPGSRPVTPRSSAS PLPGVAAPAGTVPEPAGIQAKHMDVIGLRLNEVVNKACAGVDFKAKKGFKKGNGWSVG EAVVKELPFPPNDAYLIRAVLRTAVKALSIYTTRLETLLLPALTDPAFGSALNINAQA PAAHPLNPSQYFVLSVAHAAWETCEVLEQTLETGNWPRFVQETLRPVMDKLDLVVSKV IQPLMLGLKRDLVASLSRNEGTSPSGSKVVGLASIPAPTTAPTPSVTKEHSSAPVSRL TKELSSGGTSRQLAIPLCLQHFANRVDGARKVFELVAAPCADDGEGWITGIMVAVIWK GMCIVSEKDLGGSPNRPPSPGSVAKALAGLGKEKETTPTVVASPSLGGVTAKLTSSLS IIPSRSHSRPPSPPRGAQQLHPATHALMSLEGLVKRLVGGLVQASTTPGASQDPNATE HIAREALHEALEALASFRIISNAMYKGASSSTRVLASTRRLRDDVDDPVEESLDDAME DLPSVTLFTILLRQANTALGGLPLGTDEKSAAASGGYKIRHPAEIWGWTVVEYERQVL SGFSAAEEWGRRFALAIKADLERVLSQLASQSVGFAEKPTREVLEAVEWVKALGVACE ARVGVKFGGCV I203_02179 MPIMRRGRRSIYILFALLLSISLLSPSSLVLAQEIEEQSRQEET SISDVCAPLREAQSILNTLAPSPNAHLEHKLTSTITPFASKGWSDGLGWGHEGPLSTT FRLLPRLLNTLLSPKTYLSKIPVIGSDGKQRKGAKIGRARRERIEKMLGLLEDAERNG CDQVYGVRGKLRMFPPKGMKQDLQASYNSYKKYLEHTADPEAQFMVGFFHSTGLGGVD QDQGKALLYYTFAAVQGYRPASMALGYRHWAGIGVKEDCMLALDHYQTAAEQSYQTFL SGPPGGLTLPLTNTRLSDRVGGIYGPHASWASTGSNAHRPAIRATMASARGETEKEIL EYYQYHSDRDSHIYTVRLGRLFYLGSVYFSHAGVSAGAEGVGEIPRDYKKAKEYFLKV ARNLWPKDFETDGTVSKRRKLSKEQEDGIREPAMVAAAFLGRMALRGEGGKPDYRRAR LWYERAAELGDREAHNGLGIIHRDGLIVRVDKQKAFHYFQASASQDLAEGQVNLAKHH LERGEVVQANAYLEVALRHGATFEAFHLLGKMHAKNVQTADGGGVNVGNGTPGLCGVA VAYEKLVSERGNWEQDFVGEADKAWLRNEKDNAFLGWYIGAELGYESAQNNIAFLLEK GFKLGDNTFKFNLGLESDNEEGSGREKISEENKEKALTWWIRSANQDNVDAMVRVGDY YYQSPESYDRAVAYYQTAADTQTSSMAYWNLGWMYENGHGVPRDWHLAKRFYDLAGET SSEAYLPVMLSVIKLYAKSWWIDIQTRGAIPGLSIFEPDSNTPQIQISTWTRIKSLFT DIPPVVPQRPGFDEEGFELVADDTARQDNLEEEGYEDYSGSGSGWTNRPDDVDGDVLV EDLVEDLILVAVLCGTVGLIWLRGRWAQQEREREERRRREGEAR I203_02180 MSDIPMESTSNPTGVTTGSVSQLPVNLFTRSSSDAIPQSTYFIP SSWRRYQLSELINKVLQNNAEQGKKPVPFEFLINGEVLRGSLENWVKRNRGNDEETTI DVEYVRSTLPPQEVGRVEVEDWASGLSLSRNGYILLSSYLSHLQILPLSSASTSSALY TLPLPTSLGATCCTWISPSSQDKDILLAAGGVDRLTHVYTIPSLSPDNTTAPRELYTL HGHTGPVSSIIASSSGQELISGSWDGNINFYVLPSAEPEEHQIPSEPLSYLPGQNNKK RRKLEKENPREPIEGLLDNDSTGVGGWRRIPDNVFSAHKDKIGGLVWDKFDNNKFWSA SWDGSVRGWDLTMGVNDVTRQGPFDKSALCIDQFAANGTLATGNMDRTICLWDTRQSS SLISLTLPTTSPVPSLKTHPTSSFTLASASYSGIIQIWDIRSPKHSLFSVSNANRKKE DARKVTKNGKVLGDRLLAVDWNGEVLVAGGEDGEVGIWNATGA I203_02181 MNQVKKTKRSKLEMFMNRLPYYFSYVILISTWILFMLFITLGEV LLKRREVGRFAEQSVIYNSLLFLTLISLATTANRSPGVPDQTLAPPNKNLQRHVTQSD RSENQSIQNYTIEEYAKEEDIDDEDDNLPLRYLRNAQWVNTRIGKDRPSPLPLPNTRR YPSPSIPDRTEEEEQRHESATDSDSGSEFSPFPLSAKSPFVPSTAVDAGEDDEDLLED LRLTSPTEGAEEMSTSLLRSEDGAGDGGRSLMAKSNNGETRWCKKCNGWKPDRCHHCR HCEQCVLKMDHHCPWVGTCVGYHNYKPFFLFINCALLLAIYATFEAGYETYRFFQDPS GAVPYRAVQMEVNQTLDTASIAANDNWSDGLGISPAIFMMLTVMGGFMSLAVGGLVVF HWYLTLNNQTTLENITHSYPSALLDGIPKGAQWKADHLLTRSERNRLRWEAREINVYD LGWRKNLKILFLGEERDITMLGVIQALWPTGRPSRYDRRSGHFFAYNPHKFEKLRDLT MELRYGIVPEGQNKERSSMDSTVDGDEGEGEVDHRVRDQHDEGYELDQVAEKGLHEGA SEDRLGRARQVKWFEV I203_02182 MSPTIVIRHAPLVGYPENSLYTVKVIDGVIESIDEEVSGKHVNG YDESTAEVIDLDGKEWLSPSMIDWHVHTKLAALHSNRLNLQSVQSAKEVLETVRLALE DPKYDPTLEKNLVGINMRNSNWPDEDLINLKRLDELSPDRPLFLLYNGYHSMWCNTLA LKAGGYDPKVHTGHLEEQDAFDMFPKLTTASEEVVDGWILGEAKKAAAMGVTEIVDLE MEHNIAHWQRRYKSGHDYLRVHVGMYTQHLNDAIKLGLTSGDDVPSTDGLIKVGPYKI VTDGSLGSQTAFCHDAYPGSKDNHGIYYYPPSTLKEMIEDGLKHNFKFAIHAIGDQAN HQTLKTISEASSKLRSGSTIEHAQLLDFQTDLPVFKEMGLIASIQPSHLVDDRDLCHK FWPGREHRAYAFKSIVDAGIPIKLGSDAPIAPMNPWEALAVCITRAGENDEGNPFVKE EILDLETAWKASTSNGKSKLEVGDRADLCILQSNPLKQGAAGLRAMKVSGTMLGGRWT HKTF I203_02183 MTDTVTVSPASVERVMGDHWVNDKGTAFKNPWKTFTSFGAMEVM KIIPTMAWKAWTNKDPAAKNAKNLIPHIQPTYGLDLPSTELKVTWLGHAASLVEMPAR EDSEKGLKGRGVRVLFDPVLLDVIFHGVGQKRLSTNVTKIDELPEVDAITISHNHYDH LDLPSLKAIFANQKSKFGKHPKLFLPLNNYHVVSGLGLGRENVIEMDWFEERNVLVEG VGEVKIACTPSQHNASRVGWDKDNSLWSSWVVKDTSSNASVWFGGDTGYCITTEESHS LEDLTKEVCPAFKEIGDRLGPFTVGLIPIGAYEPRALFSAVHAAPIDSVRMLKDTKCQ NAIGIHWGTFQMTYEPFLEPPERLRTAAQQVGLKEDDFVVVALGETRGYTV I203_02184 MLAQSLFLLALMISPVFSATHTVSVANNKSLTFEPSEVKAELGD IIEFKFLAGNHTVTQSTFASPCTNAGFNSGQIPGNADSPTSYSILVNDTKPIWVYCAT GQHCQNGMVMAVNAPTSGNTFSAFQANAMGGENATTAGNSTGSASTSGSASSSTAAIS GADGSAGVTVSNTTAAADSSASASASSTNGTSSSSSSDSSASSLDINFLPISMIALLA LWFTSV I203_02185 MAPQLFTTDATNAGSTNQPTITSQQSSTTIESSAAPSDVESTSP SPSPSSDNQQSASASPSPSPSSDPSPSPSNVESSASVSPSSSPSQSPSPSASESASAS PSPSESASASEQQSSSASATQSPSQSASESASVSASKSDEQSSAQSSTTTSVNQPSLV SATAESTYTSAVQVTTTDAEGHTVTTAPSLLTETATSTDASGQVYTITRVVHNPSGSL SANGGSSDSGFFSNSGAVAGTFVAVGLVITAGVIAFAIFMLRRRRRQRLDRDVAAAAA AAAVAAHHSSRSAFDDDEEQQQPSMTQYGGYYASTTPGIDIHGQPQPDLNGGYHDYED PSGGYDPYAINMQNLNPQDRMSTATAPGLAGFGATAAQHNYDQSQHQYEHDQYANTPD YSQPQSHGQDDHQQDGGNTNGYYFDPKQAYEYADDEDPYGGYDQNRLSSQGPGMRPPR PGSAGSVTRPGGEERGLRVANV I203_02186 MATPANLPPSVQKVEKMGEDKIQDLVLDDADSTESNLRYAAYLR RARDIVRAGSRYTAYTSDVGEAFRPVVPPWVVTAAYGVSWAYLVGDVSFTTYKSSQFG PTPLEAANMSEPTRLAMVAVKRSVFQGIASMALPAFTIHTAVKQAGRQFAKSSNPTLR RWGPTSVGIGIVPFLPYLFDHPVEKLTDLTFDKIEETFFSANSLPDTPTSISVKPGRP REL I203_02187 MPETQRQHYETSPDRMVGRYSAINGGSKPPNFLQKLYDFLSLGP HPCPDIIYWASDSKQLVIAQPDRLAKEVLPKLFKHDKIASFGRQLNIYGFSRLFPGRQ FKDSQGNISDASVWAHPTLNRLSSPSELLSIKRRAPPKLIRTRRLANGEIIRTKAGPG VIEKARQIKEAMNISKNRERSSSSSLWNKQSQTQPQSRAHTDHGANTNTTDQSSMNID HYGVKRNNTYYLSDIAEYSENGTTTTSISTNDDGLQNSVNTTTTNASGSIWPHVNLDA SSIQSPARETSSLHSQKPLPKPLLILGERPYSSCPASIHTSPTHRHTSLPFNSTYSPS QFNTLTSSINSTLNPGTGLGFGSGPGLLMKTYKPDLTIDTNAAANYGYTNSPLNINEA HDQLQLSQIQPSALMPNLPPRIAAPAAPIPPHLLQNRTKQTQSRTEGQDGILSPDSHN NVMSGYGFTPYINPHNNSSNFQLSSSWDKIQIPNSIYTGSKTCQPQLMVKPMIMGNGN GTIDPRWVSPVGSEWSTPSITRVSSPSNLSLSLSFNGGLGSRNDSIDTSSPIQPGLKE DKEEHGDAGLQNDEGKSIPPFIWYNPAHLSTLTSSLETNINHADLNKDHNHVNVIQGS TSTNFTDMTHSFDTHTNNNNRPFISSPLAPLMNPALPQVQGHHQNQSCSASGNSIKWF E I203_02188 MQPPPHLCIPPASGIYYPPVPPTLTCPNTLTPCPTYLAYRPKTT PNGPYRPLAIPSEGRDENQARAESPRGIISLPTSRSRRSKHRAGSNHTTIRIEQPSTT TSTLGNPPALPPKPIRYTPRPETDQSSSRTQTQQASEPVPRPSSSTSKMFGGRRVNPL PPRPPPRHPRSPDEDYGYERRPIDASAPGWYVNGGRADSPRPDTEEFEYVYRPHAQRQ EREYFRQRVFADSRKPHFGILGSRSRVGDVEDRRSSSPPTQDDEVQVPQRRRHRQIRE NDESGPSMSTGRVQGATSAPDPPVPSTRAQSGTSRPTRQSSTSEPAAAPTTRLRPAVP RASVPTQGRSTHLTTPQQRPTRPDPPQSTMRPSVPGATPHREQRPATSTHLRSAPPPP IAPVASRDTQFEDDLEEEMTCPICMSILVGPHQVTPCGHSLCGGCGVQWIQTRASTGD RVNCPTCREPVDQTNPLTPARTLENLIRKWIDNKISTEGEWDGLAEFKEREECWRIHK EYSPEGIIPPSLLRPSAPGIAVVERTPSGTRMPRAEFPIPHVSDMLAHMDSVFNSFHR PNLLPVLEDSSERRERRLPGDLAFRINHWLDEHPGIMDHRFHFSLERPASSGSASGRQ SGRPLPRLDSGTQSPDFDHYWRDLFEGIHGDGESDERNPLRRRR I203_02189 MDLSTLGSTLPPGLADAERDMGDKFRAAALSITNLYKSSLGYTK QAYNVGYSAALADVLSTVQSSIGAGQDAEQTLSRLMDWADARQAAISAFAAEDTDDLP VPAPITKRPTAIPRNSHLNPINRPASAPIPSQPSTTSKADSQPIASTSRNVQPLPSTS NTTTPSTNTLDSPSVAGYQPTPGGVMSSSPMASPSNNHHRSNFSALPKPSKNLPSRYS QLNLNASGSGTSSGHVPSTTFNPTLPSAGVPFVSFTNNTNASDEGPAQNYPTGTKRPM IDSMEIDQVIPIPPSSTNTSVTAVPVAIQTPPNRSGRSAKRRSMGNNLGANDQDNNEK DKDKDRERKRGNRRGHGNGPGTSAV I203_02190 MSLHLDYAEISRQARKIVDANRARSIIDQVISDPSSKQKVRYTR STTGCLSCRSHKVKCDETIPACLRCVASQRKCEYPPPSAENKKRKSTSVAGSKSNSRG GRRSTSVRQEEDESDGMGMTTGQKRVRRDDEFHTQTRGESSSTRLGEGGEIISPRRQH SQTQNVDGYGNGRSPKSMSLIGDDGSKIDPSPTDHSRLGGPSPMDILNLTSTPDFSFL NDFIPFPHITPPSQPIAPPPPPQANGPSSSAQNNIFNTSPSNATIFTNDLTRPQQDYQ NNPNTGDGGISAPRSSTHYQGQGQSQSHSQHQNYQQQQQQPQHTLPHFENFSKSLLLN RRLIKVNNNGNNGNGSGGSQSDDGKRSLTPQMQMLHPRLQMVESILQAYALDEALFEF GPSHRHRNLSNNTLTAGVIDVLSNAFPSPTARMLFHHYCNTASRILITMGNIGPNPLL ALCTPVKLLDTNSAASAAIRMSMLSTGIAHFIHETGSSLKNTELAVMLGKGQWERMRG KLKEISGKFKKAALSNITLAASSERSPDQVDSILAACTLLCIRDVISADPTWRDNLEF ALNLITKKGGPQVMLQGSEYTFTRRYLLENLATHDVFASFITGKEPSMLGNYDSWWFD SVETSQTRWEWESVERSFGISRAMVDLVARITVLDSQKRRLGLSLKGDSEEMWDVGQH FERESHCLLLELDIWGNSLNALPQHVRVTCGDYIYKYMAVVFILADILEQPASTPRIV KSIDHILELISEASAMRMSVMLIWPLLIAGVFSLPAKRQKVVELCNALSDDYCEDLEV ARELLNEQWRLIDSGQGKQPWDQVMNKLGKYVLLI I203_02191 MAFVTADLPQNYDRQSQYAGTGLDRVVSHPHYTEEHEEDTLPAP TSEHVHQHIGVLARQMSRVSHHNHHQHHQHGHHDSDKTLHEGDDGEINPFEYREGSDL DPFSDKFDSKKWTRGFMRAKEASGTTRRSGISFKDMAVHGFGSDADYQKTVSNLPLAM IGEVRDFIGNRKRKVQILKSMDGVLEAGEMLVVLGPPGSGCTTMLKTIAGEMNGIYLD EASHLNYRGITPKQMYKQFRGEAIYTAEVDVHFPKLTVGDTLAFAAEARCPRNPPNGL TPKEFATNMRDVIMSIFGISHTVNTIVGNDFVRGVSGGERKRVTIAEAALAGAPLQCW DNSTRGLDSANAIEFCKSLRTNADYLDISSVVAIYQAPQSAYDVFDKVSVLYEGEQIY FGKTTDARAFFENLGFDCPEQQTTPDFLTSLTSASERKPKQGWENRVPTSPQEFVKAW KSSQEYQNLLVEIEQFEQKHPVHGERYKEFLESRRAQQSGHLRPKSPYTLSYGGQVKL CLRRGFQRLKADPSLTLTALIGNMLMALIISSIFYNLPADTSSFYSRGALLFFAILMS AFSSALEILILYAQRSIVEKHAQYAFYHPSAEAIASALTDMPYKITNAICFNLILYFM TNLRREPGPFFFFMLISFACTMVMSMVFRSIASLSRTLTQALAPAAILILALVIYTGF AINVDYMKGWARWINYIDPIAYGFESLMINEFHGRDYACSAVVPTGQGYGSTTGTESV CSSIGSKPGSMVVNGDDYINAAYKYYHAHKWRNFGVLIAFFLFFTAIYMGATELITAQ KSKGEILIYPRGKVPKSLKKRSADDEESQSDGKGNHLSKQITGADRADAPGVIQRQTA IFSWKDVVYDIKIKGEPRRILDHVDGWVKPGTLTALMGVSGAGKTTLLDVLATRVTMG VVTGEMLVDGKQRDVSFQRKTGYVQQQDLHLETTTVREALRFSALLRQPNHVPKQEKL DYVEEVLKLLEMDAYADAVVGVPGTGLNVEQRKRLTIGVELVAKPALLLFLDEPTSGL DSQTSWNILQLLKKLQEHGQAILCTIHQPSAILFEQFDRLLFLARGGKTVYYGQVGEK SHILIDYFVRNGAPPCPPGENPAEWMLSAIGAAPGSHTDVDWHKAWLNSPERQEVRNE LERIKLTASSEKHSGGNTTSSEQDKAAYAEFAAPLHKQFGLVLIRVLQQHWRTPSYIW AKAVLGISCSLFIGFSFFKAGTSQQGLQNQLFSVFMLFTIFGQLVQQIMPQFVTQRSL YEVRERPSKVYSWKIFISTQIVAEFPWNFLMGTVMFFCWYYPIGYYRNAIPTDTVHLR GAIMWLYVETFLFFTSTFTAMIVAGIETAETAGNIANLLFSLCLVFCGVLVPGDSLPR FWIFMYRVSPFSYLVDGMLSVAVARTEVICAANEYLQFTPTAGDTCGQYMEKYISAAG GYLLDPNATDECSFCALQYTDTFLAGFGLSYNHKWRDFGLMFAYIIFNIVAAVGIYWL ARVPKNSGQEKASEPEQLENTPAPAIQEKRITESDHTTSTN I203_02192 MPRSSLPRNILLRRSTRSLPIQTPRPIASRSITTTTSSSSPSSS NPRQDAPSTSTSSFSTINASEISHFSSLSSQWWDETGEFKLLHRMNPTRIEYIRQKVA LGPSEGEEWTFENRHSDFTRESKRGTGLWLSGKRCLDVGCGGGLLSESLARLGGQVVG VDASESNIGIATTHAQQDPSLSTKMQNGELKFVHSSAEVLRDAGEKFDVVCAMEVLEH VDQPGEFMKCLGQMVKPGGHLILSTISRTPLSQLLTLTLAEDVLRLVTPGTHTYRKFV KPLELRRFVYSDMGGYDTWHRNEDASDIRTEEVGETRGIVYDPLAGKWKLWGGVEGST WKEVGEGCNYMYHARKRV I203_02193 MASIIPTALRSTVKVACVRPVNLARAPSLPPIFPFIRQASSSSS SFGLRVPAPEAHPLSYKDGAIPYRTVQLVDPSTNHLLEPQSLRSILSTYDQSTHSLVL VNVDKEIPIVKLINKLEERKKERDSEEKAKLKKKMSMEEKEVQISWQSAQGDLLHKLQ LAKSLLEKGDRVQIVFANRKRGESIPENKKMEIVSMFHEELEGVVGKRWKDDDKQRGL WVMFYNPLEVTRNQVQNKVKEQETNKKMEKYQKKEERRRKEEERRAKAEARAKAEAEA EVGGGEGKGGII I203_02194 MSDPSSSGPSSSVAEAIAKAARTAFEQSQLVDVSERDLALRAIR EVLEEKKDEVLKANKADMEAAEGLLSQGKLSKSLVSRLDLSRPGKFDAMLQGISDVAS LPVPTGQVTFAKEIGPGLDLHRVTCPIGVLLVIFEARPEVVVNIAALAIKSGNAAILK GGKESLRTATILSQLIAEALSKTSIPPTFIQSVSTRSEISSLLAQDRYIDLVMPRGGN ELVRSIQNNTRIPVMGHADGICAVYVDQSAVEEKALRVVVESKTDYMAACNAAETLLI HSSLLPTLWPKLASELMKNNVCLRCDPSTLSAIQDIPESSKFVTASTEEDYHTEFLGP TLAVKTVDNVNEAVKHINSHSSHHTDSIITEDEKSMSIWCKGLDSANCYVNASTRFAD GTRYGLGTEVGISTGKTHARGPVGLDGLVIYKYMMRSRNDKGSTIADYEKGETRYTHK DLVKSEPPF I203_02195 MSVSTTLPDWPPSLTPEQHSHLILLSSTYALTHGFTLLPPSSSQ PPTSAIAAPLSLLPTPFPRGLYDLAVSLQPLYNALYARITLDWDFLDRVMGGSVSKVD TFQGELWRGWKSIREDLVQPLQLGLFRSDYLLHDGEKEGISIKQVEFNTIAASFGALS QRAGEMHKYLSKATNGYFSISPHLSNPSNFPANEPLKNLAAGLAEGWKAYGNKDAVIL FVVQDGERNVFDQKWLEFELLESHSIPVIRHTFSELSTLAQIDPTTKNLLLPSPLQPS LPAREVSVIYYRSAYTPTDYPSSAEWSTRLLLETSKAIKCPSMALQLSGAKKIQQVLS EPEVLEDFLLGDHRPDVGFGKGAGSLTQEDVDRLRLTWIGLYPMDNSELGKKAYTLAT SQPEKYVLKPQREGGGNNIYRENIPSYLEELAEEDKHKIEGEPEKKEGYILMELIEPP KGLRNLLVKGGENKSRLADIVSELGVYGVSLFGGKQVVNQTAGTLLRTKGRESDEGGV AIGISSIDSPLLVD I203_02196 MDDKAEKSIVPPQTTPSNGYGQTLPVQDEGDNVVARLEEMGYKQ ELKRNLGMISVLGLSFAIMAVPFGTSTTLNIALTDGGPVTILYGWIFVSLVSLAIAAS LAEICSVFPTSGGVYYWSAMLSTPKYSAFASYLTGWLGTVGNWTVTASITFGGAQLIL AAATLYHEDYVPTAWQTCLVYWGALLGSLLINIFFTKYLDKLNTICLYWTGASVIIIV TLLSMADNRNSGKFAFSHFDASPSGWPAAWAWFVGLLQAAYTLTGYGMVAALCEEVKE PAHQVPRAMVLSVAAAAVTGLVYLLPINFVLPDITPLLEVASLQPMPLLYKIVTGSSG AALGLLFLILGIWVFATIGSLTAASRCTWAFSRDGGIPGSGWWKVVNPHFGIPINSLI LSTIVCALLGLIYLGSSAAFNAFTGVATICLGCSYAFPVLCSLVRGRKMVRNAPYSLG KFGFIINIITVVWITFSIILFCMPTAVPVTDPASMNYASVVFAGFSTIAAIWYAINAR KHYHGPTFSAVRVEGSH I203_02197 MPTAISSPSSISSPRPRAISKKLSGSGSGSTTATPSGSPLQPSR TINAPRKSSSLAMLMNDDAAEKAKRRKSAHFGELPPPAGEPKERPGVGPNGKRTVSAL AVQQASSGGQTMAQRRAKRLSAVEPAQPIVSMEVMNTNFEEWMKLATDNKITANNTWN FALIDYFADLTLLRNGPDDQSINFQKASCTLDGCVKIYTSRVDSVATETGKLLSGLAG GSGHIAADDADGEGGDADDEEGGEPKTTRKTTSRSEATLAKSFAQLQVKKFDLEFTVD PLFKKTCADFDEGGAMGLLMNHLGVDGKGRVVFDAGDAGGEEEEEEEEADEEEEMVDL EKLRGKSSLLPFTRVTSIGLTFRLFAEFVPSVQAVQDLYISDTLSAFKFSSDPDSAVD FTTLFNLKDSYNDEEYQPSYADDEYDEYAPGYMPGGGEAHDFFGDEDYDMGPSGGFDD GASMMGDDMDAQEAYSGQGMGGSLAMAAPGERLGPFDPRRQAGHGELVMAFGNGDDDA EGMFDYFDKGFGKSWAGAEHWKLRKVTRKDAATPAGGSTSPTKTAKPAKAPFTIDFSS PSTSATSSKTLFAPATKSSITLPSTRTASSRSNKKTSAGKRREEWLLPDDMHFSSRQL LRLFLKPKFSLKFPRESIDYTTDVPYPENANGEIDENFWAQAAAERADAGLEGDDNDS QPIPFETQFFHDDMDDEYVDDGLDAGDSANMYDANEAEDLLQGSQNIELKKSRPENVH FAKKAKRVDVKRLKDDIWTGLKSLVPAEKDSSEDETELPKTPTKDGNEPVKTFDNIIT SLRSSYPAEKMSEISTSFCFICLLHLANEEGLKIETARYDGKENEDVGCQGLVEGYEG DGSLFGYGAKGKRLDQGEKKDRIVGELQAMRVYKDHTAGRAA I203_02198 MSTSAAKVRPPFTLKVAQTKDEIEACYDIRIEVFSVEQGFPLDT EIDEYDPTSIHFLLTTPIPSPPSEQTLIPSLSSPTGGEGETTDKPIGTIRYVPSLSKL TRLAVDKEYRNYGFGKVLVDGMHKWIKDNEDKLEKGKIVQKEGGEKTIKVKCHSQIPA IPFYAKMGYVAQGPEFDEEGGK I203_02199 MARGGAPTSSRGRGKFKVSRGGGRHFSRDLDPRLAEKPSSSEEE SSSEEDEEDEEEARAAAHRAEVAELAPEMKEVNLKLGNTELAEPESDEEELSRAERRA RKKAEAQGKTKKTVTIQENDESDEESSEEEVAPKQQQQQKKKASAPAVVQQSRKEREA AEKKAAQDKYQKLHAQGKTTEAKSDLARLQEVRRRREAAAAQRAAEAEEAAKEAAAKK EKLAKKI I203_02200 MSRVLDRIPSFFTPKTPLDRDGGEGEKPDLKQSGDTEGHSAQLP HLPQNPDADDSSSSIDIEQSEGVTKIEALYLVFGNGWKLWTLWGSIALICIAYSLSQM TTYAYAAFATSAFGEHTILGTISVITSIMSGVAKPFIAKCADLFSGPWALAISVLFYT IGYIVVASSQNVGAVAGGEVIYTLGNTGINFVSSILLGDITSLQWRGFVNGLYSLPFI PFAFVAGDIAASINAYSVNGWRWGYGMFCIIVPVTIAPSIAVLFWGDYRAKKVGALSL ASSSYARKRLLSGTTQARKTPTQLLIHYARQMDAFDLLLLTFAFGCILSPFTLNTTAK GGYTNPSLIALFAVGGILFIAFCAYEWKVASHPIMPSRVMNKTFICACFIDFMYYFSG SLSGAYWSSWLYITKDYSVEHYTYLTNCLTVGLCFFGFLAGAVQRYTHRYKYLQLCGL SIRIIAQGLVYLSASKSSAGTTATIVMGQILISLGGGISVISSSVACQGSVPHQDMAL AMALLSLWTSIGGSIASAIAAAVWNKQVPAKLALYLGDTHNSTELAEIFGSILVARTA QPRPLVIQAYNEAIRPLYIAALVTSTLSLVAGAFTTNFYLGTTHNAIEKKEVVFRSAD ETAPEVVAAKAREVEEKIAARLAEGEPRY I203_02201 MDMSSSSNSTCQMSDMLWNWDVIDTCFISSRWHNTTRGAFAASC VGVVFMAILLELLRRISRDYDRLILKQLSNVSIKLQNHRPRRATPLQQLIRSLIHVAI FGLAYLLMLLVMSYNGYLIFSILIGTGIGKFFCDWLVLGVESTTNEEEPTVCCG I203_02202 MEARYHIQNFTEGSSTQPHWGYADRIVPCTNDAGSCEYLDVVYH SHDLGMLYSGILWSAIIGGSLLWGIIHRSHTSNRITRAINTFIRKRLLPDIKFGIGRY FFGRTTRLQVLVLACLTVYLTIFSFVGIRYKIWKTPVKNYPDLHNTRSSIGPFADRVG ILAYALTPLSILLGSRESLLSLVTGVPYQQFNFLHRWLGHIILLQSIVHTIFWSVVEI KLYQPQPLTAKSWITQTYMIWGVVAMILLLLLWGLSLEWSRRKFGYEFFRKAHYVLAM LYIGACWGHWEKLKCFMIPSLVLWLLDRSIRFIRTFLIHYKIVSNGKGLFETIQAEIK HCDDEDVIRMEFDNPNQLDFEIGQHFYISFTDAKRGVWQSHPFTPLSLPGDKHGYLIR AKKGETRRIMGLDKSTTPIILTGPYGVDIMRDLGNEDNVLCVAGGTGITFVLPVLLHL SKYGLTQGRMIELIWVVRHQRDTRWIENELEELRKDGNIKVTIRVTREIAIPFASTGT GTSTPTAGSVSSKDLDGLQKNQGDGDGAMLSVSYDKELYSECPCNPPVYTSSSSFSTT PQPLDRSQGKSGKMIDAEKAIEEGIEPHHRPDLRIAVKEFVGRTINGPTVVYVSGPGG MISDVRDEVALCNDAGRVWDGEERAEVRLIYDDRLE I203_02203 MWTHHGLVVLLTILTSITAHLGIAAPPNSSGNFHRNRRDIGSND VIRGVNLGGWLLTEEWITPSIYTNGAEDEYHLCADLGKKKCLSRLEDHWKSFFTRDDF EEIKAAGLNSVRIPIGYWAVDVRDDEPYVSGQNPYLIQAVNWASELGLTVLLDLHGAP GSQNGQDNSGLIGPVLFPTNTSNTERSISVLKNLTEEFAKDIYGSTVIGIELLNEPRL SNNFSMSQLKEFYSDAAEVISGVDGNMNVFMHDAFWGPQYWSDYNPLSNSTSSNSNNF IIDTHQYYAFEPLNNLAHNVILEKVCNISKLLKNRELGILPTLVGEWSLETGHSPNSS SSSIQNKDDSREKRTWFRLFFEAQLAAYSPDSNSVGDQPSRGWYYWTWKTDYDIDTWS YKRGVAQGYIPKDVSNSSTLVFPILDNGCVDSSYNYTAPKNPGSGAIRHCPSGLLAVS MVIVTISFFCIL I203_02204 MTQPSTPPPPAYDPPLPRRPSSPSALPSPLTSPVSPPATYNHSQ QIRPLLRRSKPSLLDELLPTPTTSSFDRNDPLPHVIRRAGSVAVIAIFLLSITFMAST SSSSAGILKDGSVSGLREVFGLGSQANVGQWIGDLTSTNNEDEQDTTLGEGYSSDTDS ETEPNDQVRPDVDFDHYKMLKTLPPGTIDVSSAGQRLIFIGDIHGSYDPLIRLMDDIS YSPSTDRLIHVGDLIAKGSKNNEVLEWMRERRILGVRGNHDQAVIQWRTWMEWAGGSD GDWQAYVDSLSSDDEKAVKKELKKQGKEFPDGWKWKGEHWKIARNLPQNLYLYLLELP LILHLPSLHTIVVHAGLLPSDPLKSSSANIQPLVQFSNLTSDMDEEAIRNSEEVSIIF DVPQNTVPWNLLNMRGLYVKGKKKGKVTKSGKKGTPWSDVWKKEMKRCKGKGKWLVDG MGETEAEKIHGEDDKIELQDEDRKRQKPGSPTVGQEEEGDLGCSPVTVIYGHAAGRGL DIKPFSKGIDTGCVYGRQLTVLVLGDLKGLKGESVRVGDHQGILVDVQCGEGGI I203_02205 MGRPTPPPRLPSLPSNPRPTHSVSSSTAPPPTTPRTISEAPSTP RRSLPATPRSHVPPRKSGSTSGPSGGGTSYSDLNDSLGLDDIADSAGEGLPAYLEEAD ENEVTVVEPTGGIEHVSTPQADQDLDQPVQTDEQTQGDNAGRQGGASRFGRWREWVEK RAVERFDSDPDRQARRNVRRQLPEPPQPPSYNDTLPSDYHPFSCSTSSPAQHIRYLSA GAKPTILPNSALLRIDYGRSLEPHSTYSINCAYPVPKSNLVIMGTSHELKVLNTDLME NTTRNIWFNLPVWEIHPLSLSTSSSKGYIIMLVGGAEEISKPTLDSKPKRNSGTQVRI YSLKSLISLAKYSSVQPASYLGIDLSSHKGKEKGKGKSRDKDGVEWTMIDGTSSISSM SQHQSKDDLVKAWSDDYTILHSGKPHQNQSQGDIMLITSFISQSRIFVAVGTSNHVIV HGAFPPVQDHGSQEMLEEQIRFTASRTFYLPCQPQHISFLQLPSLPDLPISPSLSSLP SERGGGASVLDDSASLFSYDDRASIRTGNSNGSSGSNNPPAFNDNDENTENQIPSLGL YVSFGSKACLIRVNDSTVLDLKLKTSSGSTSVIGLGMGGGGGSKGDWGGLETLRLKGG GEVYVITRGKETFLFSAPFDIPSQSNIPLYTVLWPESPFSLSASIEYSTSLTRQSQDQ DGGEDVNIRLISTSYTGNLHVQHLDFSTGRSGGGSKVKCKPFGSSVLGNLAKVIMTEQ NPQEDQEDPKGKERDGCWVRYRRKEGDWRVIKLERE I203_02206 MTYSKSISGLLLFLALGEVTMIQAAYDSLLFTEDFFPLINSRLD PIVNPGKVSGHVHHVVGGSAFSASMDYASARQSKCTSSNLNCDLSKRVLPFVDKESKW RNGSYTAVTGDGMTNYWKYPLTNVDETTPFASIPDDFRMLAGDIKRDDYDPNKAVNYT DYIPMDRECLTLRPQRFEPFQLHFPECWNGVDSYKEDNSHVAYPIDANPEGGVCPDGF KKIPHLFMESTYHLKTENIGEGYEWYPGCLVLANGDNNGFSFHGDWLNGFPSNFLVDA FDQCYDKGIGEFIKDCPYITQFRGDIGRDCVTEGDVINELVGQHFAIPALPGNNPEYN SSKYSDNYPKGSIPGFTEQASIVKASDATGGFCVTGVCTDYVGGDAVAQDAAAAATSA GTGTGTGNSTADMSSSIAEVPDATASSATTADSATAATATGILSSNSATATTSDLGLD ATMSILPMSSIEPVDASESLPTLGAVETSAAPASTTSHRWGGSRWSNRSGKRRL I203_02207 MLKNSLSILFALLLTVQLISATAINRWDEEMPCKTNAECLKKGL PLRKPSPVVKRGALHPRQSNTVSSTYRISVIRGQGVVGFLDRTPNANGQYTMSQDASQ RIIVGEPSLSPGELKISNYVSNNGLSYVYLAAQIGPNSGGSILSASSYNYAVITASTS SFDSTSGPATPPNPSYTLYGVATGSTSPLETNTWYQTAAGSQNLFTNWVNSDGTTLSR PQIMYDTVNANFVITGCATCYQAQFPNNNAYAVSLARAKVTT I203_02208 MPLPTSGSIPLIPPTSEHPPHPAYLIKFPEEVWQSLQEAGSGGL EVTVTEKGKMTLNIPNLPPIPLDPRSTGIPSELHSYNPSSSTLSLAAMASTRLNVPLT SASTARAADKLKAQNEAIERERKERALRVEGSAPPSKKRSVAGVAAAAPGVSSVGMGR TNSSPQMGSSTSAPTQGASTTVTGTGQMIPLKTRVMQLLALGPTTVQDIVRRVGGDEQ NVMRVVNVVGKASSTHPPAYTLLPNQYSKIKLGPGQWKYTYAEQQQVIRLAREAFDEL ELPFDAEEREELDRKEAELENGGGYHSASAESSNGSQSQEKEKPLPTVPQNGLSIASP SRNESPAPSASGTTNKTKSTRKSTNGGGGTGGTAAAGPQSRIARERAKFMAEKQRSTS LPNTKPPDGTASPRLTPADIKPAKENNKTKIEDKKKEEREKEKVHGPSKDKGKGKEVD YSSSSEEDDVEEPLRGRSSKSNGKEKEKRDGINDQAKSKDRLSLAEKVREKDKARERE REKERQEQKERLTPNHKKRSYSSSPDAGGEEEEEGEIRGRPIIKTRRNSSPTSKRKPP PPELKFNGANTNTSTNSGSSRSTAFSKDNHPVSAPLPNTDKKTNGISQLDRPDQEALR DRYEELYPAYQQLTKKLSRIHQAAESGMKVNNDTEDDDEITDEDELNKLVGKWQKWHN ELEGIRRWFV I203_02209 MSAEIKQLVDKTIKENKAVVFSKTYCPYCKRAKSYLGEDTSDIA ILELDERDDGSAIQAYLKELNGQSTVPHVYLNQEFIGGSSDLLKLSHDQIKQKISA I203_02210 MPNSFSSPPLRQPRSPGSRSTSNLSFHSVDTPPLPQWDTPTSPH TSMTRSSKYVPSPHRAAPPVPPLPSSSPATVSFGVPFPSASASASPIVRSSSSSSSSS TASSSSTTSQRVSTPPPPVRTRRTSRSSSKLLPALPLSISTTPEHHHSPYASPAGSVR SKRVAVDYTSDGIGLGIGLTDEVQIVVSREGRRSRAVSVSYGSRSNASSEDMACLPTP PITGSSPSPSSMNDRTPSRSSVRPTVTIPDSGGTPPRPARRATSAYPSPASASASALN SRRSPLLAPRPISLTPQSQSDLVPPQTSFSRSISPSGDSISTITVSPASTPRPFFTDI SSKSCVTTAPESSSALASALEEPSSSVPLGGRKRDSAQRRLSALRGLVANLDFNQPWS FSPNTLSEETLFSPQPEFEERQEDSQEVSYSWTDSNDHPDFGAEPPSECSDESFIMTS SSEEVLASPVHSISHPTRYAQYDSVKSVIQPDIAESHGWPEPKAQPEHQQPIKQIGNS PSTLTLNSPRMDFTPVRRNSGSRKQPNSTPPRRPRQFRSSSELLSRTPEPPRPATRAR KEIFEVASSGYSKSLEPVSPILPVTPTSTWRSSLPNDEIYNRLLENYGPMEIKRQEII WEMCETEHTFIKSMRTVLRLFATPLKTPQGHWIDGIPGKITDLFDSLECIAHAHGVIS ATERDMRRRSDILDVANFVSTFKSWVSRLEVHEWYLIRFESVVSLVEENVRDPDSVFG EFVRMQMKEEVLGSMSLGSMLLKPVQRLTKYPLFLKRLLDATPHPHPIHPEILSLLST TESIILNLQATKAREEDFEQLQALQTRLIGLPGNFHLAIRGRKLLGQGQVVRVPRSKD LSSAFGARARAGSMHSSRGSISSSISSSAPSTVSSISPWEFSASLTPSRTSAFSVSSN GSSFYSGPPSRSNSITKYSSSTSAFSPSRPSMNRSPSSTSSFMDNNSYYNTSRPSTPS STKNRKKEEVLTLLVFDDLVILGQTVQEKSGLFGVGPNKKKGSSTLRVLGEMEGGIGK VGEVRDWSGWNGYSNLFSLTLIPISHSARYPLNPITTAFTLPSNYSSGMGMGVLSTSP SLRSLKSSTSSSSSSTTSSSSNSNGSTNLGGLANLNCPILNNMSSLLGMLGQVSTSGM GPERGSEYVIEEKEVLAGALVKEIPDEEEEGDGEVHELYREMQGEWSGMGMGYAA I203_02211 MTNDKPAGGYDPTPLPPSNGPTYIVKITFHSATNLPIADFGSGS ADPFILAQVKTSHKVRHSHDPYLRWRSKTIRKCLEPSWESSWVIAGVPADGLDLSARI YDEDPSDHDDRLGKVELHTGNIDEGWKGIQKQEYKVKKTGADLRAYTARWVCTIVGKK ELHARLTLSIEVLGKTRKEDDVGKAYTISNFWWIHYSPLIGRLAGVKGKNDKGVEKYN FQANEIQLTGPVPNDLYHRYVEFKTFVGGMFEATGLRGRILNKALHHQHERIYNFDRQ TTYGENRDSTQKELTLKFLEMCHYDQGGRIFTYVLTLDGLLRFTETGKEFGIDLLSKH TMHSDVNRYIAWSGEFLIRRLAHSDQSSTATHPPDPVSGGPPKDEPPKDPSMYELIID NDSGTYRPDKKLIPVLTDFLKKNFVGIRVRVMACDDDKLSKIKDDQRKIKSKEGDHMV FGQTSSVSSLADGDGGSISSSDEEDLERRAREEDEGQGEGTTRGKLDKGVEALENPKD TLKEAVDGVKDKSGRTGKKEREQAEERDDQA I203_02212 MKVSKTLVFTALALAAGGEAKLFGKDKPVYEDWSLDQSVAFLKE QGIAVKDSATLAEIQKQVAENADAAAKWGAAAAGSAQAHYEAYSDALLETWSESQLRE WLLEKGVVSPSSNREALLVKAKQLASAASTAAYGHPTDQAASSVSSAGYGAASSISSG YYAASSQAAYASAKAQKKLDDAKDYVFSTWDDNQLRTWLEEHDVISTPAPTGRAALLN NVKVAYLKATSPIYEAWSTSNIHEWLVEHGIVHPEPTARDKLLDLMKDNYYEAKDTAY SSWSESQARDWLISEGVITDAAELKKEKYEKLLNEHYTRSKNTVWSGWYDSEIRSWLV DHGYLKSDAEAKRDELVSLISQKYKENTNAPYLAWPDARLRAQLRSYGIDDTKFTSRP SLLHEVRIHYVQSQNKVEQILASIRETISGGVEFAEEKLAHVLELLTASKLTAEEKLD KAYASVSSVYEVAVSSASSAANYYGASATSAASVASVSAYASASSASSAAVSAASSLS SSLSSAASSASSVAAKSANNPSLTSAASVASKSASSAASYASSSASSLASSASSVASV YAHSASLSASSASKSWSLSAVSASKAALETSASVSSSLSSAYSVASKSASSASVAAAS SASSASKSASSYSASLSSVSAKASKSAKSEL I203_02213 MSTTADLEKDPFYLRYYTGHQGMHGHEFLEFEYSHGRIRYANNS NYRNDSLIRKEMFVGPAVVDELKRIVRESEITKEDDVAWPKKNVVGKQELEVRIDKEH ISFETAKIGSLADVNESSDAEGLRVFYYLVQDLKCFIFSLITLHFKIKPSKLPHQRRG ELQLT I203_02214 MTIIISRSLIPSCCSTLASSSRLFTRSLASHAYMAPSPSPSSSS SPTSSLPIEATTTTPTDLTDEQRRLIDSIIRVDQAGELGANWIYRGQKWAMDVKGDKE TAKQVEEMWENERHHLTILSHLQTQHRARPTMLYPLWQAMAFGLGASTGLLSKEAAMA CTEAVETVIGEHYDDQLKALQPLLNPTDPSSPAPHPSLPLMADILKEFRDDELEHLDT AVEEGAQKAPGHSLLSAIIGVGCKVAIKVCEKV I203_02215 MCPTPDQPITSNGDEEMVPIINDGPHISSSTNGEVKRTNESTSQ QPPAVKSHKGLYGRASDFLSNTSNWSIIESTLREGEQFANAFFTLETKIKIAKMLDEF GVEYIELTSPAASPESKAHCEAICKLGLKKTKILTHIRCHMDDAKLAVETGVDGVDVV IGTSSFLREHSHGKDMTWITKTAIEVIEFVKSKGIEIRFSSEDSFRSELVDLLSIYRT VDKIHVNRVGVADTVGCADPRQVYDLVRTLRGVVSCDIECHFHNDTGCSIANAYAALE AGATHIDTSILGIGERNGITPLGGLIARMMVADPEYVKGKYKLSMLRELENVVAEAVE ISVPFNNYITGFCAFTHKAGIHAKAILANPSTYEILNPADFGMTRYVSIGHRLTGWNA VKSRVEQLNLNLTDDQVKDATAKIKELADVRTQSMEDVDMILRIYHTGIQSGDLKIGQ SAVLDRLLEKHMPSRDSSPNGSANGNKRARIEGATA I203_02216 MIRLILLALFVLPFALAQFGGFFQHGFPFGGHGPPVHEQQQDFT RRREHRGWTEMDNVHCRAGYVCPSSLACVPTPADCPCPYPEDIKCVIPDNRERDEGEG PPFVCVRSTGGDQASCDTVVEYSKPI I203_02217 MDTQIEASTSTLPPVDEVPLSLDEYIRYGRQMIMPGFGLPAQLK LKNAKVAVVGAGGLGCPTLQYVAASGVGTIGIFDHDTVSLSNLHRQILHTTDRVGMNK AESARITLSANNPSVNLIPHPVPITPSTAISLLQPYSILVDCTDRPLTRYLLSDAAVR LGITLVSGAAISSAGQWAVYGGSTKEGKRRACYRCIWPSVLPGSGGKCEEEGVWGVVT GLVGTGMAGEVIKLIIGKEDQEPLLHLHHLCSNPLIRTIRMKGPSPKCIACGPSATIT DDLDVYGYESFCAGAMGPEVDEETGLVEGREGERINVKDLSALLSSDTQISLVDTRPP VEFGICSLPGSINIPLPTILKDPSSIPSTDEVIFLCRRGNDSQIAAEALRKISGEKRI RDVKGGLRAWSREIDPEFPVY I203_02218 MATTSSSSKGQMETNPRGIPKAPFVADVDEYVGGKDAEIQSTMK KFEETTAKYRYMEISLQQRRKALLGKIPDMEQTLQVVKFLQNEEDKPLKTLFELNDTL FAEAEVTETGEVGLWLGANIMLMYPLQEAIELLTNKLSTAKKSHEETVEDLEFLREQI TVMEVNFARVHNWDVKRRREKGLIGQQSGLLPSQRKQDNDDDDSDDDDRD I203_02219 MSERDKQSMPPPSSLWTTTSTTSQNSSGDTTDTQSYERSQSVSS QSPNPDSNTSAKRPRIEIGETETFHSSEISSSTSTTPSGQSQSHGQQSNLRPSIIPST SGSSLRSSPYWHDDMSVNRHRYPPQHSPYSQPLPGPAQSPLFPFPTSIGYLPPPPPLP HTYGPDQPFSIPSSTSSTSLKYNPIAGPAPVVSQSSSHSRPPTPITPHAPGGFPNPNP NSNPNQDFHPSSSGGGHGLAYPTYPQPQHYVHHPIPTYIPPSIQSQNSGSRPGPLMTT SILHAPSTASSSSNSPTPIATGTAAAYQPSPSPPLQSVVNAVPSSTSTSNVQDSPIPS LEQIESTSTLTSTTTVKPKGKHKGIPGPKARIPTEAKIAIAEHIISKGVAMANLDELA QMTGLTKQQIKSQLVDNRQNVRKQLSELARGLQ I203_02220 MNAAQLLQDSLSPNQAARESATQQLETAARDNFHGYLHTLAVEL ANESQDLNVRYAAGLAFKNAIAARDAINQPQLTERWLALPDTATAPLKQSVLSTLGSP QHRAGAVAATCVSAIAAIELPVNKWPELIPQLLEFVGNQENQGLRVATLQAVGFICEV IDPEILSARSNEILTAVVQGARKEEPSHEVQHAAIQALLNSLEFIRDNFEREGERNYI MQVVCEATQSPAVTVQVGAFECLVRIMHLYYEKMDFYMERALFGLTIMGMKHHEEPVA LQAIEFWSTVCEEEIDLAQAAMEAYEEGEQPLYVSKGFAKAALPDILPVLLELLCQQN EDDDEDDWTKAMAAGCCLELLANNVGNDIVQPVVPFVEAGITQSDWQRREAAVMAFGS ILDGPDPEVLAPLVTQALGALIGMMQSDPSLQVRDTVAWTLSKICENMLQVIDPAVHL RNLITALVIGLNASPRTCNSCCAALNSLVVQISGDADLLGDDVQTSPMSEYYSGILKE LMPIAERPTNHANSRSAAYQTISVFLGSSANDTLPVVQEVAVAMLARQEALLGMQNQL VGMDDRNNWNDMQINLCVVIQSFIRRSPSMAAPFADRIMTNLLGLIQASGKHAGVLED AFATVGGLASALEAGFVKYMDAFSPFLFSALGSFEDWQVAQAAVYVGSDIARAINEAL TPYAERLMVALIDLLRSPVVQRQVKPNAITTIGEVALAIGGGFRPYLDTTMTILSQAG STSAAPGDEAMIDFVQTMRESIVDAFIGIMNGIKDSDASAMQPYVSGIMGFLKTCWLD EDRSDSFSTASLGLIGDFAEAYKGSIRDELLQDWVSQAVTWGRTRSGNKRAKTNAAYA QQVSDHSPRKITER I203_02221 MEDPSGWSLTESDPQVFTQLLKDLGVKGLQVDDLYSLDEATLST LKPIHALIFLFKYVEPSASESEGTSGVEVDPLDTGVWFANQVINNSCGTVAALNAVMN IPTQESRHPEESIGLGEELGNLREFGAGMESLDLGHLISSSPHIREVHNSFSKSSPFS IDPSAFPEREKEDAYHFITYLPINGILYELDGLRKNPIMHSPIDEENGDWLNNARETV EGRIATYPPGSLMFNLLCIRSSPLPRLQRQLNDPSTNQTEKYQIQDQLEHELSKSNRG KLENTLRRNNLLPVVFQLFKGLGESGLAGNTMSSVADYFHLLIVLGKAVEEARAKGKE RREKRSAKGEEDD I203_02222 MASILRLTAQRAAPSFTRSFTVSAVKMDLVQDLYVNQLKSYKPA AKSADAHVGSVRTYSAPKAPSAPTLPSDLASELSKFDAEEPVIGGSAPKATATATDAE GGESAEEYLKFLEKDLPKADAHH I203_02223 MGIKGLTALLSENAPRCMKEHEMKTLFGRKVAIDASMSIYQFLI AVRQQDGQMLMNESGDVTSHLMGFFYRTIRMVDHGIKPCYIFDGKPPELKGNVLAKRF ARREEAKEGEEEARETGTAEDIDKLARRQVRVTKEHNEECKRLLALMGIPVVTAPGEA EAQCAELARAGKVYAAGSEDMDTLTFHTPILLRHLTFSEAKKMPISEINLDIALQDLD MTMDQFIELCILLGCDYLEPCKGIGPKTALKLLREHNGLAGVVKFVRGKMAEKEQENQ VIASQHDDDDSDRESEEGGGGMMVNSDGEEVPVSSPVKKSPAKKKKKVTSSGMQIPEH WPWEEAKQLFITPDVVKGADLDLEWKAPDVEGLVEFLCRDKGFNEDRVRAGAAKLAKM LAAKQQGRLDGFFTVKPKHSGAAKPTAGGKRKGDEKDKGGAKKKGKK I203_02224 MLWAARLAGFLLFRVLKTGSDTRFDDIRNHFFKFAGFWVGQIVW VWVVSLPVVILNSPAVSSPFRSGDPSFGKATDIIGIILFAIGLFWEAVGDIQKYMFKS SKPPKGKPCTKGLWYFSRHPPYFGEITLHWGLWLLCLTPTTNGALPKSAKSAQYAAIL SPLFTMVLLLFASGLPTAEKPTAKKYFLMSYPSSSNSTSAGERGFSLPEAENDTWSNY KSYLKRTSIVIPFPPSLYARFPEWLKRSLLLDLPMFRFDEYKDGREAWEEERRKRGSA I203_02225 MPTMATQLDLLIIILTVSLPLLYFFRESLPFIGGKPFADSTQNG SASSKAKKVEEEGDPRNFVEKMERAGKRAVIFYGSQTGTAEEYAIRLAKEAKSRFGLS SLVCDPEEHDMNLLDQIPSDAVVFFVMATYGEGEPTDNAAGLMELISEFEPEFSLGES KLENLNYVIFGLGNKTYEFYNEVARKLDKRLIDLGARRIGERGEGDDDKSMEEDYLAW KDPMWESFSKIMGVEEGGSGDVADFLVTEITDHPPEKVFHGELSPRALLVASGANTPS GGYGIKNPYPAPVLASKELFTVGGDRNCIHIEFDLTGSGMSYQHGDHVGIWPSNPDIE VDRMLSVLGLDSQDRRFNIIDIESLDPALAKVPFPTPATYDAIFRHYLDISAIASRQT IAFLARYAPTESAREKLTAWGTNKDLYAAEIDGPALKLAEVLQAAVGDSVDANSDNAF SSTKWPIPFDRIVSSIPRLQPRYYSISSSSKLHPTAIHVTAVVLKYQSAASPVHHHEP RWVFGLSTNFILNVKHAHSGANTPASEQDNALESVTMKKVPSYKLAGPRNSYVKENVY KVPIHVRRSTFRLPTSPKVPIIMIGPGTGVAPFRGFVQERIALARKAIEKNGPDALKD WAPMYLFYGCRKSNEDFLYKDEWPEYEKELKGVFRMKVAFSREMTKPDGSKVYVQDLI HDLRSELAPLIVDKRAYIYICGDAKSMSKAVEERLTQMLGEAKGGSAQVEGVKELKML KERNVSITLVSLFGETELMFESITATHD I203_02226 MVSITSSHHLKNVTFNRLLKCISYILYKLYGVDPPVQTEPHVHV DPHSTLFLWYILIIVGLVLLGGVFSGLTLGLMGLDTVNLQVLAISGTPEERKQAPRVL NLIGKGKHTMLVVLLLGNTLINTSLPIFLDSIVGGGLIAVLGSTLLIVTICDRYGLAI GSTFTPVIKILIILMYPIAKPIGMILDYILGKHSDPVTYRKAELKTFVSLGVEDKLNE DELGLLGSVLEFSNKKVGDVMTAREDMYTLSSDKIVDEELVSEILKKGYSRIPVCNEK SLMELILLGFSLRSLVSYDPTDLHPASRLVSQVLPQCSPDLPLLEGDFQTGRSHMLLI TETPGEGGRILGLVTLEDVVEELIGKEIIDETDVYVDTHSRIPVIRSAYKPRKTKGLK KIYEGQLTRKRVSRSFASRRSASTGYRYGSVDEGSRLVDQIDQSGEGAV I203_02227 MSIARSIRALKTGSSSKLSLPKRTLATPVNTNLYTPVLPAKIPA VLHLKSGQSYHGHNFGSENSKFGETVFSTSITSYTDSMTDPSYLGQILVFTSPMIGNY GVPSNSSPPGFPGIPYLESEKIQCTGVVVSDVALKYSHYKAVESLHEWCKRYDVPGIT GVDTRAITSLLRDQGTTLGRLAVGDESLKPAPASSEFWDPSTENLVAQASTKQPYVLN AEGKGPRIALLDFGTKANILRSLVKRGAVVTVLPWDYDFNSIRDQFDGLFLSNGPGDP KQIMETALRVRETIDQWDKPIFGICMGHQVLGLAAGLEAYRMTFGNRGHNQPVLALAS SGSINAGRVYVTSQNHQYALKLTEEFPEGWAPFFINCNDSSVEGIISTPESGKRVWGV QFHPESAGGPLDTIEMFTDFVNECQFGRSGGSNMIAGEVKIGGSDAKAAAEISATA I203_02228 MMASRPWSSLTPVQALVEQCCDPTLPTPNDVANIELAEMINNRK ANSAREATHGLLPHINSRNPNEAIIALAVLDHLVKNCGYPVHLQISTKEFLNELVRRF PERPPMVVGRVMGRILEMIHEWKNTLCVHSKYKDDLVHIRDMHRLLSYKGYRFKSFDA ARAMASANPNEDLKSPEELEEEDRQAKSAKLQELIRRGTPRDLAAAQELMKALAGAEP EKQPDYAAQTLTELDKVQSKAILLNDMLNNAKEGEKVGLEGDVYDQVAAACRGARPKI QKWIEDDDGSREGMMDRLLLCNDLINTALERFEACKVGDWTKAQAVVEANNPNKKAAD LISFDAFADDDQPSSSVPGGGLALPADTSNNAGLTAAGLPFDLFAPSPSGSPSPAAGP SGSGISAFGSHTQHQRQNPMDFFNTPTSSSSFPNQQQQQYQQQQPNYNGGFGGYQSQS QPSQRQQPNYGFQQQPARPSPSIPGYSLQPQQPQQNGQNQRSQQQQQQQQQQQQPQQT QKKDAFADLVNLMD I203_02229 MASIRMQPVLRGKGVVGRRSILATRSAHQLVVTSSPDPSHTPKP IIKYGPPTGGRSSDSGHTVTVFGCTGFLGRYLVQKLARQGTQVIVPYRDEDEKRPLKI MGDLGQIVPMEWDARNADQIAECVKRSDVVYNLVGRDWETRNFKYEDVNVKAAGLIAE VSASLNVPRLVHVSHLNAHPDSASAFYRSKYHGERAVRDAFPTATIVRPSQMFGYEDW LLNAIAQYPILFKLNEGKTKILPVHVMDVAGALDLMLNAPVTSVASTFALPGPVLHTY NSLQSLISSITLNPISTAPTVPKPLAKAVATALNRGIWWPTVSPDEIERKYIDDLGIE AFQESSDLSKIQSGWAESYKPEMKGIDGEEVKGWKELDMTPDPIEDHAIKYLRRYRAA TSYDIPVETGKHKPPKAYHVLP I203_02230 MAGAGFHPHPPSLLHRGLAKALGAGMWFFIFYRARQDGPALIGL RHPWDGHGHGHGEEHGSGHH I203_02231 MSNTPVTAWGSYPPQWAATISNPSSSRHSALSSSIYDARHSQQG STSRNPHIPSSSSSSSWTDLSNQDRNRQYQHQPQSSSQSHRPSSVSRSNQQQQQRSHT LPQARSGHQQQQQQQQQHNYGQYQTQNQNQHQSQPLPYSSGSTRHHSFRDLDRSSTGP SSSISPQFSSALPTPQNATFSSSQTVPLPSERQLHDWLRDCMGQVEGLDTDWRINGKR VDMYKLLASVIRSGGSTEVSSRGWWYVLAKLLNLADDLTPQTVKSSISKQLKELFLQM LGGLEILWDKTKGTEEREAIPRTRENSNLSGSSTLPTPISATMNPGISSQHQSSTSSR HASSNRREVPSPQQEHHYVDPSKLTLPPKAAKMSSSQQPARGGESTNVRQPSAISTFT SNSAHSNTVNSANYNPRHSPSSFARPSSVASIGTQQSPNIPSQIPLHQPTPSHPPPHR LPDLLTSQNDGGSERTQGSSSRSHIPPPAKSASTDMVASPSQQSSRTVQLRFANKSLG EYQVPDLSSFQDLVISNVLPLPPLEPDSNVQRMTWSSDPLIRYSKRCHELGHSVRKLK AGQASRQISPEELVFWAKLLVIMAGNPSVIPPPVDDRPPSNPPPTTMPDPTSSSITNA GPLGSFTNPVAFVPPPSGPSTSAHIQGDQPTTLSQNGQAIEQPTASQSKKRPRKSGDD TAGSHTDTPTVPKKRGRKPGVPNRPKQPITITAAVPSHVESQPVPQSTQVSQNQNNGV PQAPQLQAAPLSQLPTDIVSGTQPTQIQIDQASAALAFIGPADRVPAKRGRPKGSKTV NRKSLPKQTNGQERPHSDANIDPTILVPASQPESIPSYSQYDSLAQLSQPPASFQDLF RAEHENEGFHPSLFAGLADAGPSSSAPAPSLPFSTPSRPDEDQSQALQALETNSVSGG SNRKPLTAEQRADKSAKEKARRQRLKEIQAIFAPPMKYKKPPIDPSTRIPLVPNLLPK RRIDLSSPDRASSAQIRAQDMGPTRIIGRTASALSHDQSAGSASQVEKTKKYKKKQNV AHDLRATGQSSQAIGENIDIMNIDPKFTLDDGYEPSPAQVQELARALVESIGPIPDTQ VEGEEELHGANDADAEGEADSEIPHSQVTQSQSKKKKRGRPRKEKEIVGVSQSQQGPT VLSTPARKSILVVEVPSSKKTARVKFDEELDEDELDPEAEIEVIQDQRDESPEYEPSP EFEDDGEGEQEIEEIEDEDEEEDEKEERRSKRKRGNTTTSKPVFEKIPGGSGRCGFSV VGAASSAAKARWDRIKRRSIELSPSTSTRRSTRGIPQASPSIRRSARERRTSSVAPIP DSQPRSGSAGRRSNSRLGSIRREAQPSPPASRTTKTKSKSQRRVSSVVVNSEAESDDT QEQDEDEGEDELELEEDQDHEDDDVGFAVEIELSKIVRKRVEKKRNASSPVDRAKVVI PISRRRREELISRGHYDAFRDDNSDDERSLPRRAHVSLRPMRLTVQRGSRTIRPSSPE PIPIRFMFRPGPALPEPFASILTEHSLSDRVHEYPCLWKGCDSILASEKLLKRHVENR QHARQGKEEVSTERWSWNTRRTTERQVVRGKWFYRCHWKGCEEPCFTSEADLLQHLTA RHISKVLKCPYEACELTSLNISHLSRHVMKQHDSPSDHPVALANISIPLPPPSSPTEQ LPEIARADELTTQRVLGSAHRSAFYSARVKEKVDSHCFAGPDPVIHVEHPPHMLEIVD DEDDVIDSTGKEKKRRVQVVVEIPMSKRRKLTEQEKRDRVLRMMNSIDTAIVPSAQQD QQYIDYNQENQNQDHNVIDGDDTGSYSPSYAYESAPTPWIETDALMIEDELVLGAPTP APFPMPWNDQDDDDDDVEEEEDRNEAGPSGVQRDDEGHYLPLFQMPSGDDEEDEQEAN AELEEEEEEEDDDLFREFAEAVQPQAELEVEGPEDPESTQPNGTTEDQQEIDNDTSSR TQHSIIKPNMSTSVLFSTSITTPAILPASNGVATPVPQRKGSISFPFPPQPRPSLILN SISSSTGAGTNSPLRFGFAERFVATEIPSTSDV I203_02232 MLSSPGYLPSLFEAALKTREEQLGRKIKPEKQEKDPLLISFRSA SLTRSGSIPDHFFGKILGSAGVVLAVVEEKRTYPGGLKDLVSILAKWKKINVFMSGDK PPNEEHAISKGVWVGSPQAGSWWGKIFWQLYAECNSMDCRHWVLTDRYYSVFGSCEDD GVITIIHIFTHVSSDADHIETPLEESHPSLTNFIASSLAHFVNTPALRTIKLCNMAII HINQDFRFYLPVHASNNIFVTSNGPLPIPSVFIHLIGVFPSRAIRPLQSPIESSSHDI TILQYLASIEQGKSKWEEEGRNIRSYSEGSFRKYCKARGYRYVRSLAGYLSEDNGRDE SLVVLKNALEILESRDGREKWLIVIINPLMPLHSYLPSKSNAEPLIISSDVKDSTLIL KINDETLEIILEVIQLTKSEQDRSDRSFRELLLEDIDLNEDLRKRVQTIPPKWLDSSE LPVMNPTDQTQIPLNYQSPNEFIDDKSRKQVQDILAIPKRIYNQVVNYEKDMLRFYGK LVNGLDDQELKDATKKIGESWWSRI I203_02233 MSTAQQTPILNHSYQNASELLSEFMRSPLPLLPDKKTHTFPSTR SPDVHTKAVAFALCPHGWWPASKNQKRRIFAPCITIKDDKTGAFQPKEPLPFKARVPI SETSHPLLYFATKKWLCLMSEDNGKTYGRALGEAAQQGFLFVEEGLILSQLFALDEHG SSIFFDELPPSAKGGG I203_02234 MFTIECSTNVAQEGRSQLASGLRFFRHPESSFLTIGRSSAQPTP PLTHSPKRSTRSTSLNTPLGFFKDDSSVSRPVQAHQLTRRLSQFQLGSFVDQAASETS SPSQSTSLEKILHPVPESDTSDPSKYAPLNTSKVPDVVESISQLSPFQPFGIVESMLG SKPPPASIVQSPPVSAMQTLRVIKYLRPGYLWDFWLADHSQYGKVVLKLVYLPNYPCN RPDYDDYVPPEEVITEALKEESLYLGPLTKLQGELVPKYYGLYHSIPGSYHIAILLEY AGHAIGPGEVDLDEEWQEKLYSAYQRLHRHGVVHRDVSTRHILIDDQERIRLVSFRRS DQKDLKDEDDVGTLMDEAIIVRTRIGPESLDEIDCDSLPAAYYSDLENPESFLQELRD VANAPWPDWVIEHNRRLVDLRLPPSDDINWEEYM I203_02235 MQTVKVTKLVTSGYLWDYWLAEHSQYGSVILKLVYIPNYACNEP NTWEYIAPDQVLNEALKEEKFYLGPLSDLQGLLVPRYYGLYHTVPDDYHYAILLEFAD VSGPVTHDLDWREKLYKAYDNLHLRGVWHGNLSSRHILTDSHNQIRLVGFRRALKLDL TNEDDVEKLMVEALEVREHIGLEVREEVKMSILSPLYYAKLNEHDRKHLVDSFPLRSH HPMPEPLTGYAKMIFELFPSSAIERGEVRFEDVYPSQWISRLPSPVLQGDQAAGITES I203_02236 MASLPLFYPSAALIATGLGLHGYRKGSLSSDGAIAAWLVGYGHL ANPIKVFGITMIGMYLIGSKATKVKVDVKAKLEDGPDPLKPSRNRNWIQVLSNSLPGL IAALLYRFGPASQLDKSSVILALHPLARTLIYTSLGLNATILADTLASELGILSTNQP IYLPTLRAVPKGTNGAISPLGIGMSLLGGGMIGLIMGLDLLVENPVSRNELGWIWELV GVGAGLGLAGSLLDSILGALLQSTYFSTSTNQILTDSSKKSITDEDVKKIGYGINVLS NSGVNFVCGLVLSGVGWWYGSR I203_02237 MLTSLPSFSRLNQLAGPDPQQQQQQQQQQQQQIQGYPGQGQQPL YPQLPGLPNQPPQLQQYGYQPSLPVQPYTVPSGPSYIPPSNAIGPYNPQSNSLYVQQQ QQANGYRYPVPTSGIQSSQTQLSPQPLESVGKDEDPIYGPLGRARSKIDRALTGDNEI STDLADGINHPLQSSEPYIHPPSNAAAFKPAKVIKRTPLPDALHQELNYKHLTAKMGL FEDIERAWFTVDNKLFLWDYSDGRDFSRYDEQNDTIQAVGLVRARKDVFVDEITHVLI ICTSSKTTLLGLSRPPNSREINLYATNLSVETPTAMIDIKGTAAGRIFMLGANKDLYE LDYSADSSWFFGSSTKVGLHNRSSGSLANWTPGFLASKTKEGIESFALDSQQGRLYTL HTAGEIEFIDVSGTNYQSRARYTKLKHDLNRNQQNGNITVASLAVVGGHESKRACLVA IASNGYRVYFSSSPSFWPICFRAPIPSQANLPVSSQSFYSSGTFISVQHDNTAPMPQT QLSIATPHCGRQSSLRENLESYESPAFQEWTTTEIIPSQVWTIVELPLTNPAFSPPSL TNPSGIALSALPRQATTQSREFLVLATSGLFWISQPRPIDMLRDDLDLEKDAAINTVR MQFGKSQLAAMSLQLGATHDLKAVDLASSISTILITSGEPIIKDGAGGKNITYSGRHD GLALIIARYLRPIWNVKVTVPASVPGRQILAVTEATLLAVQGRLEKLRRYLDEHPFQR YQAEGDAKIAWDQEDMSIHGLEVLLKQAVEAISFVLLLSDYKISDVILRTDPQTQQTL SNLTFQGFLTSLDGKDVARKLVTALIEQQIGQELGIDTLSEILQQRCGTFCQPGDVVM YKAEESMRRAEGARDFGEKNESLAESLHLFARTAGSIPIPRLQEVSKRYRNMQYTVGA IELPLKTAVELDPNDKAVDYVRDGEHPADPRKALFEARKQCYEMVIEALGIFDELLDK ATAQGNAASATQKRDEAYALAIASDDELFHFYLYDWHVERGLQEQLLEFDTPYIEQYL KLTINNVEDRRDLLWKFYARREDYFPAAEALSSLATRPSPMVLHDRLYYLAQALTSAK SAASLGSEDVEFTSRLQEQIDVAQVQMEVARAVETHPDMSADEKNDVLAKLNTDLLQL DELYQNFARPLRLYEPILLILKTADTRIDDVCEAVWRQLIGSYRLAGNVAMSDAIVGF TRRYFPSEAAPLDIMVPVVYAEAQGCQGGQAGWASSALLEGGVPLRDLWEAAVGLYEN SDDDERDYYAEEVSVIASRWINKKDEIPAAEVERFASAYLLRTNGAPMNEAKRDTRDR LTAAKQAAVRY I203_02238 MPTAGHPPPPCSSPPSQGTIHIPDVLDVLMQPIRRDKQYIEWDG EAFPIPDEMIIRNWDLPQGYTRESWADWEPENPFIVDRHYDHDPLAPNEGYEQEEMEG IFGRMAERKMAEEDEGHIVEAPSTDPATDLSVDEGIPESTTPRLITSTTEIHIANTLL SPSGGRLVSKKLSVPTRVSAKSGQPSKPSSYDSIASSRNQLVSSREASTQAEDPAAVD QAEKIVQVSDNDQLEEDAINEYIDLDSDSAEISVTVEDVSPRYSLSASTVSSTSTTNL SDREPISTIEEEPREYHATQSDTGPTSPISPAKERSPPTTALNDSDTHTPHTTQQTLL PALEIETGTVSGLSPDNIHSPPTSSPSSPRSPHLVTPGTDTRASQGREEGIRVLEHPP QFSLTDGSDIETPQIVGPHIKLDTTIVEQHVVQIPSHPFVPAPWSSSPLPTDLKEPPQ SPRDIRSSQNSSPTASSEKGQAVKVVIGNSPPLSSATDIDQSSIDLVPSDFPSEPIRV PKVSTLETDSPSGIPLPAKNDIDITLDDSSLPESDPFVDTAGTRNPEDTTHGVDSLAA DQDTRKTTKSDTSSTPAQNMSKDDKMEVLRFIADQKRSDNSDGHENGPILIDIDSDSD INDEHQETPVPSVKDHEQSHEEQGVIEEDVPESPMTINELKKGHAEEEEGFDEDGEHE SISESYDIELTSNTNVAEVIDDDMTQDHRSAETSLIEVDHQPESTITKTKSKPGRKPK TRPPPDPECTPSSDKRKYVRRATIVTASLRGRGSTGSSKIKRGPGRPPMKHLNGQNGN TLDADAGEEKPGKSRMLTTSKPTTMRMPSTSTSRGRSKTMFTRTQSTQTAIDRPKRGR KGKANALELENCVQDEETEDVDTPAPTELESKRLPSSSSPVQQPPVRRAAQNANAAFK TQDGDREVRPKIKPVQKRKLPLPPDPSKSDSDSFSERHTSKKVCKGETLKRWTLVEDA CLLRCWDGKSVLSPAVISQACHALLDPAVESSGRTHEAVKYRLRQVYKNPVFHRRAKA FNEGKPSASA I203_02239 MERTISRPSIRSHRSHSLRHLSDIQDYVPQEPVEPTISTGVGMI ARSQARIDNHEERKDIAGVDNMEPKSHYVFRFLREEKPLPSKRMMGVMLAVLFLVMFL AGWNDASQGPLLPSLQTYYSVNYLVISTIWLANFAGFMTSGITNVFISDAFGFGIAAP FGAALQGLAYILICWGSPFPLFVIAYIFNGFGLGLQDAQVNSLVTRLENSSTKMFLMH AMYGFGATVSPFVSTAFVQHIPNHVYYYFAVSLGLALVTVLALVVVFRGRTDNQVVGK RQLEVKVNKDGSTTENEPASKENEGSGGKMKQILKAPVVHYMAFYMLIYVGIEVTIGG WATSFLIDERGGNDNSGYVSSGYFGGLTVGRVVLIPVTKRIGNHMSIYLYSLITLVLT IIIWFIHSIVGNAICFSLVGVFLGPMYPIVMNVVVEILPGELQGGTIGWIASLGQAGS AIMPFMVGAISERYGVWILQPFTLAFTVADILLWFLVTRSWRKHVKSIDKHSSNPVTS DEKKDGEGRDQSSSSVNQMISDLNRNGNGENAKNQS I203_02240 MSYLAQSPESQRQIKSFRLITADARQSKRDDANRLRTSSKKSEG LSVARKVATFVDDVVGQISPVNEQAIFLTHKGNYLWITRKSKGYANYDPVDKEEHLEI TGLSVQPENIKQFIIDAHAMFFKKADNELLIFNVSPYNKAPLLKDVKNFLSDEEKDWY GARGIPHRRGYLLHGRPGSGKTTLATAIASQLSLDIYIVNPAARGMDDGRLNKASRNC PPQNMTLIEDIDRVMPPRPKRGDSEDDGEDDSFDDKTVGGADPGKYGLARSTVTLSGL LNAIDGVSSQEDCILFATTNHPDRLDSALSRPGRFDVQLSFKDATFNQAKALFKHFFP LSDFMNAPKPENMIDDEKMTEDQGVIRSEGELDELAHRFAQGIFQPSTCIAETEDDKL EVEVEFGLSMATLQGFLLTHKKTARLAAGKAQEWSNGLRKEYEDRERKKLAKRAEREK AKELKVKGSATPDNEKPKKESNI I203_02241 MPRYANPWSSYRPLTAIEKLSSLSLRLKSPPETNERIPIRQKID FPSSPPGTNGGPRIIWLGHASVYLLLPLAIPAGGREWRGILLDPVFSERCSPVSFLGP KRRIEPPCRVEELPRVDVVFISHDHYDHLDEASIKSVQKHHPAAQYFVPQGLGALLTK FEIGSTNVKEMNWWDEDVFSLSLNSPDSQASSPGLKVERTVYMSASSPICSQLSLSGE ECQALSLSPVKEEQHIDEVPFSPKSYASPLTPSTLWDTVASSSTNKSVDDTLKVVCCP AQHNSGRRVFGKNKTLWCTWWIEYNMPNGQRWKCFFGGDTGYKSIVDGPTCPAFQLIR NRYGSPDLALLPVAHGSVLPYLQSLLPVINFDAQRLTSAIHCSPSDAITLHQEIGAKI TMPIHWATWSTENGTREIVRDLYSACKQSNVNVKWQDEDDGSIPDSYQGVIVNEIGGS VHISVGDDLICAPE I203_02242 MALAPKNFDVRLLTTPNNYGTELAAGGGAYNVAFQVETRMGTSK ASSPSNSHLHRLELVHVRTTRFSETFDAFPSSLHPLKGDTKMRTPPNDFKISFRAFKY AMMQYLPSKREPMDKA I203_02243 MVSITGDSETGSTVLSSRHQQQHQAASSQSQFSSQIGTSSSLIF RPEPESRPTDVSPTCSTLPRVLEPLQIPQPSLPTEISPTTPHSSSSTQTINPSSSSSN SGRRGSLAIWKIPQIPESSTSSKTKLDNKNTSGSNTPSGEIHKSQDKKSNMRPSSSGS RKGKEVLEMITLPITDASAPLGAKQILSTAEERSKEDMAMAKWRKWVVEQPIPTVPVD HSPIQSRRSSPGTTTTPALNTTASPRLSSLSSPRNGMSSRQFSPAGSPLEPVGSGGSA GSSSSSPGGRHSNFSPRAKSMRLGSGSASGDYIEVFNTESMMALRDVELAIDEEVLER HERMKSPHVIQNRRMSTQPRISELPNPFADIAQRLGSRRVRPIVLELIQALGHYLDAV WCITYPDRPCPWILGVDESPIPPSVRRMTIAHQNHEQSQSKLQDPQLTWKSPMITAVQ EGKKTGHVIVPPTVKDVKFWGDEVTFAIRDVDEVVGIYKGVGWAFGAAMRDGVYGIVS ADNVLGMKGEGGGMARLLNDLEEAIWGDAQPRPTDLSYDLPIDFDPYAEPDDQELLMA VTSPRHAAAEGASSNTRSALTDFFGESRSSSRESGVNANAPLPIMEEEIDALPDLVQP DDQLEEEEESDNDNKSDTLIKSPNLSSSASAAKRSKYKHNTRSNSTLIIPGITDFEGS EDLSLEELGKRRHREWLENQRMGGDAW I203_02244 MSLAPRKRRTERHDSPSGLPQTVRTYSGLEEEKRRSPRPVPPDS SIRSEYFFSWSTGLILTVLAFIIRFWRIGHPSQVVFDEVHFGSFASHYIRREYYFDVH PPLAKMLNGLAAWVVGFNGDFGFEQIGDDYTSHNVPYVGMRSFCAIMGSITVPIVFGI MRESGYPVGIALLSAALVAFDNGHITQTRLILLDAALVLFMALSLFSYVKFHQYRYQE FTNWWWFWLLSTGGWLACTLGCKMVGLFTFLSVGAAVIWDLWEILDIKKGHSMAYVWR HFFYRVVGLILVPFLIYLSFFWIHFKVLKYSGPGDSFMSPAFQETLAGNELLLNAQEI RYFDTVTIKHKDTKQFLHSHADHYPLRYEDGRISSQGQQVTCYPHNDTNNHWQILPTK EIPESGRGRVVRHNDVIQLRHIVTDTILLTHDVASPLMPTNQEFTTIAPDDEDRKNDT MFKVVITDAHEGEPWRSLSGHFKLVHVPTKVVLWTHPTALPDWAYGQQEVNGNKNQQD RTTSWFVEDIIADGTGNDFKNRTTHVEPKKTKKVAFIKKFFELQILMLQHNAGLTSSH PYASTPVEWPFCLSGISFWTDSETNQQIYMIGNLLGWWTCAISLSVFVGIVTADMLAR RRGLDPIEDAIRNRLYRNTGFFLGAWAFHYFPFYLMQRQRFLHHYLPAHLASALVAGS VLNFILVEVVNYPISYPGPKTRLRPAVRAKLGKTGWGVVSGLLVLVLGMFLWLAPLTY ALTLTGEQVNRRKLLSSWTLHFEAKKNH I203_02245 MPKQRSSKLSFDSGPPMPFGGYAFNAPSANASSDDRPINNGPTF LARNKTERGRLQGLVHTMSNLGDNANPITKASGWTEKMKVWMVNEGGRRIFFFLWIFL HIMAFAFALVHYQLKDNFVTARSSFNWTFSAARGAAQVLHIDIIFILFPVCRNFISLL RRTPLNDVIPFDKNITFHKQVAWMIVLFSLIHTIAHMRNFALLAIATNTGIVGFLEFN FITGPGATGWIMWVALGVMLFIVFFVGWQLHGMFCMIQPDRPPFCSAGTIGVFWKYWL PGGLVWISERIFREVRARHVTYISKVIQHPSKVLEVQIKKENTTRRAGQYIFLMMSQI SYWQYHPFTLTSAPEEDYISVHIRCVGDWTTAFAKALGANFDAKTTKEEEANGGKVVT APTNKVLPRVMVDGPFGSASEDFTKYETILLVGAGIGVTPFASILKSIWYRMNNFGKE KKTRLSKVYFVWVIRDFGSAEWFHSLLQAVEAEDTEGRIEIHIYLTAKIDEDKMQNLL IQDVGADKDTITNLRAPTHFGRPNWDKVFESIANKHPDTDCGVFFCGPAVLSRTLHQM SNKYTSPMGCKFFFGKENF I203_02246 MSPMSARTSPIALSFAHVYTLSRDPNKSPPVRSYHLPMSSQTSP GEPSSPVKKPTSSNEVEMHFDSIQEDGEEDEFEGLETIGFSQWEREVPNFKSRINDKQ EKEGGTSSSSQNQLRIEEEIDELDESEYGLEVPMDLIQDEEVREQVAIHSPIANPSSP PLRTPAFSLPSLNSDIPSGPYPQTPHSPSHSNRHQQANPNFRSSSPVNWTPSPLSSRG KKRSLVILSDDSDQDEENDQTIAISQKDNSGSPNKKGKSSKVIQSGDDEDEDEEEEEE EEEPLAVQKRRREKGKGKEILPSHEEELINIRLGSEAQAPDGPTLDDLFRDDTNDLNF DSNQHDYHEDHYEDEEEEDDYGDFPFDEVDLDFPDTNKKKSKSKSKSRSPEKQKNQTL ANDLFPPDEPGEDLEDKENHDRSFSGGHGSSISILDKFELVKKNEWDIPLISDLEIKW QDFYKNHWRRGVDKLNAKSTTTTRRDQVGTIRSDDESEEEDIRPKKTTAAKRGGPWGW RGRGRGRGRGAWRGRVARGKARKK I203_02247 MIPSTSIARSSSPLRPNDSSSSHLSSKSEARRVALSALEGLGTG QELEDHRRSPPEQVRDPEGVLLKLIPPDVFSIEKFIEHLTSTENQDSEPHLTAIGYEL EALLSIYGGSAVKLSFASRPSSIISSSSLTTHDLPPSLPTPSSVSSRMERRRDSQQYT DALWDLEIGFTPGERIRYEITLPVYEEGESLDGIDPSKLPEKPPTMRILVSLPPTYPN SSPPQLQLLGRYLGSFGIDSGLFGDITRTYISSTGVPFVPGDVCVFDGLTHVQSVVRQ WYISHLSSLQEGESARDAERKQNQIQNQNQNQRQAVEEHHLIRGMSTLDINHAERERE YHFPLDTGEIPESSKLKIWTSEPIVDRKSTFVGHAVRVTNEREIPLIIHELLNDKKIA KAAHPAIFAYRLVREVGGAAGKVYENYDDDGETAAGSRLKHLLDILELENVLIVVTRW FGGIHLGPDRFKHINQAARDALELGGFLNEKKEKDEEKSGAKRRGGGRK I203_02248 MSGAGTTFPSTSAYTFELPAHRYDVDQLAKSVEAALGSKGKGKD GDACCEIASFSVTHFHLHTCTIESTLQIPHAEVFYWGRGPQPNPAYELYTSLRSKLND NLEPYNTLDIASADDLVRPNYSPPAHLAQSGPHSQGVKVHLPGTHKKKPLAELESLPR SVNKEWIPSSTAWDMQNWLHALLSLDPKYEPMRKGGYRDDKRRFDLQSNLKLCWLPND SDDGVDIKLLLTINVMMNMGTIFDPLPEIGHDMLGLILHSLIPSPTARIFRRELESRA AALNDFYACLRPAPDLPFNYSANQLQPKEMISRLLPFQTRTVRLLLQREQGTDQKENH DPVGFWKGYDFGQKDGRAAYRRLTGDLKLVGGPGQATKVDRKGKGKAIEASPERGSDD LTHEEENDVPALLNLSGIRGTMLCEEMGLGKTVEAIALVLLNRHPLSEPRIVSTTNKS KGGKSKKREKEATPDPVPVIDLLHGTPGMEIEEVKRWVEGEQAAFADRKAWDDQAQLN VTEVATTLIVTPPSLLKQWVSEMQRHAPTLRVCVYEGWKPLQKGVEKQRSARMKAAEA EKKRKAVAFRDQIRNKYARNNASRRVKVEVDVNGNDAIDIDGDDDAKPEVQDEEEGTL QVTQRQFVEYVRAHDIVLTTYQDLSQDLKVALPAPPRSRRSTANYKPNERPRSPLVMV EWWRVIMDEVQLAGDQSDAANMVSLIPRKNSLAVSGTPARADIKDLMGSLKFLRVPLI PYDQRIWHRLQQASMRSAFEGLFRAIAVRTTKKEVSGEFNLPHQTRYVVPIELSEIEL HYYNDTLDRFRERLRLPLYPGEPRPEDWALDRQLFIACLRNLRQICTHIQVGQMGEGV VPRAERRLHLGRALMTMSEALEKMRNDHTQEFLLDTRKQTREMIKKAQLLILDENDEV RYLTAINIYEKVRTILTKQLAPVREHLKELLGGREDTAELDRNNTPERHQTQQEKEKA FAITTARQTIREILIVLHQAWFFEGDVRHMLKQQEEEIDCYAQADSIRKEILKQPLHS ANSSVEYLQRQLGQKAALHDVAELTTEETDNRGGILSNDTINQLNDLLEIMNDNAYLV FEWRAKIIALLSSPIEGDSTEDSAGNAKAGQSTVVEDPEQEFYAEALKAQGEVEAYLI AHAAAIADRREFLLENRTTLEEFEARQKKQRTTKAAMTAVAESTLKDVPDELKEQTAL LMAERQAFRDARVEKGCERPLKGLLIDLYGVASGPNRHEEVLIAQRMASMLKAYIAKQ TEYVVKLNEELDLFQATFNRRVKYFAALQEISDSVTAPEYKDLAISINRHSAEIERLE VKLARMVVKGRYLQYLGNKDRDHEDIREDCIICFGSSDDTQAVLLECGHYFCSSCYKE YRKSPMGRKCPSCRMDIDGKEVTKIKLNSHKSHTSSTDPGSKAKDDDEMKVEAEVGSS AQLPESQDEEVSAEYIEEVERERRNADLRRLKMMDMDKIRDVRGMDMLGEYGSKASIN FLIKHLLYFKSREPNARHVIFSNWSDSLNIVMQALRANDIKFTSFDEGKKRKDVVDKF LKDKSIKVFLLHAEKESSGLTLTSCRVVHILEPVLRHSFELQAIGRVDRLGQDKETSV YCYATLETVESRILSQGVRNGTSIYLDEENADQHVADMPNVASAANKGGDVGGDGNEE DLLGLIM I203_02250 MLSRQPFAQNLLRPLSKPSSSFSRSSTLPRLTFLQSRGLATSSD PYDVVVIGGGPGGYVAAIKAAQLGFKTACIEKRGALGGTCTNIGCIPSKAMLNNSHIF HQTQHDLKNRGIDVSEVKLNLPKMLAAKQSSVKTLASGIENYLFKKYGVDYIKGEASF ASPSKIDVNLLEGGETQVEAKNVIIATGSEVTPFPGIEIDEERIVSSTGALELKEVPK KMVVIGGGIIGLELGSVWSRLGAEVTVVEYLGAVGAGMDGEVGKQFQKILQKQGFKFK LNTKVVSGQREGDKVTLKVDAAKGGKEETLEADVVLVAIGRRPVTKGLNLEAIGVETD KRGRIIIDDQFNTSVKGVKCIGDVTFGPMLAHKAEEEGVAAVEIIKTGHGHVNYDAIP SVVYTHPEVAWVGKNEEELKAAGVAYKIGKYPFAANSRAKTNQDADGFVKFIVEKETD QVLGVHIIGPNAGEMIASATLALEYKASAEDIARTCHAHPTLSEAFKEAALASYDKAI NF I203_02251 MAKTKKTPTTEAPPPTVHSFEPPTEAPDPDRPASQHHHHDHEHH HHHSHYARLVDSEGNVKETKTEIVEIKEVKEIPADPIDQEEEEKAPSNASAKSKSVKA PTAPPTAKPPSSKPPTVKPPSNNKSPTAKAPSTKAPTVKPPSVKELPPPASPAPSHKS AKSSHSHHHQPPVIVNVTIPQAALAPPAAPIIIPQPTPAEIVEQEEVVIDEPVTSTPL VVPTTPIKPPTIIPPSPKPSSIKSVKSKARSIAPAPTVVEEAEEPPLEEEVTEETKVV TTTTTTTTKKRPPSPPKTPKVISTDNPFVENIDEPPPPPKSTKAKSVAPPPPSTAAAK SIKSKPKTLPPPSPVPDIHSIAATPVPPTPMKLPSLFKSSPGGSGGSGKKKPTKIIET TTVEKIIVPVDSDEEVEEVIMEEEVDTAATTVGNATTKGIGGARSNALTATPGRRSGT KAGLRPIPMVDYQALIQSTPPPSIKKTLPSLPPPGTVAGPKTIVTYSAQLDRDSQGNE HLHARMRDHTGVIKGPSPTIPPGSIHSPPPPPTMPAPSIAPQPQGPKTIVTYSAQLDR DSQGNEHLHGRLRDHTGVQAPPAAPTMAAPTAYTQTTYVPAATTYIPAPTTVAPPPAP TLPTDTPAPVPEGPKTIVTLSAQLDRDSKGNEHLHARMRDHTGVTKGLELDTGEGLPL ETEKERKKREKKEKKLAEKLAAKEASKGLEGNPYKPIGGGVPPPKSERYAYPPAPTVI EQAAKERFQNRFNGGGGGVQPPGMGMGMGMGMGGMG I203_02252 MGMGMGGMGMGMGGMGMGMGMPMMGMGMPMGMSMPMAIPIPGVV PGQPGMGLYDSLPGRFGRDMLGRGTQGPGM I203_02253 MPFGLPFIARPGQEGFDQYGRMLPPDLPEGWDGWGRPLVSTNPA PNAPPGGPGGPLPPPAPAAAQAAGVPPQPTATQIQQMPFSSSYGTSSMTGTGSRSMSS TLSSNGTGRPDQPPCFDRPPAKSDSYFRYDAFQPFSLPCSSLDYPHQLHLPPELVSHD VNEEDWSRFIDDLSQEALTNARHPLHLHARGGRGMGPEPVLSEAVHSLLASWAVAFFS PRGIKIYAASEESNERILPPPIEPPPLKRGYSHADEWSDEEYSSNDEYNFHDLSEDEE ERYQRKKDMYLPRIERQWRKDERLIKRRREKRRRFREGELRLSRVVGNWEIHFICSTP TIWQQGARPRGYGEPVIRLRR I203_02254 MAPPSLSQHPSTSSTSSDEPIYIPPPSSKAVPGPSTHLSLPKRS KAEPKSLVTLDDDDEEDEDEPVFVGTKISNLVQKYKLNHDVLGDRVKSSSSSTSGSPS AAPSTSKSSKKEIKVNSRSTSDTSSRKGKSKGKSKINQPITIIDGEETECTQLQQQPS LPLLPDLALVPLPVPDWLGRTAILLPLDSCVVCKIRFKKTDSGAAKWRHISTCRPPLY RPPNPPPDLKNLINEGLLHGQSKSTKPTSLLDLHVRRSNSLEDVSSPNNKGKKKSILG LKSFTSVKATHERLEDDWEKQVRSRLKEFIGDSSPPPEPEFELGSISKTPSPKTSPSK RKLKGTEPRSDTEDEMDLYLPSTQSLGESSLAQMYAKQSPSGSPSGSPSKSPITPVDS PERQSEDEEHAEFDLPLPPSSQKRQFSQREEFDPDEEGNDFSCMDENPEEKGEGGVGD RTKKPFRGWGDPRVDEDLSHNEIDNDERLFALGWGGGGTPIGRTGGWTGRFALSSIRS TTPTPRASISTMRRRGQSTTPTPAQIVYTIPSSSPEIETIDQNEDEDNWGDEAVLSWD GAQGGDGHEERDNEDDQAISVSSVAPSEAGLDEEEEDEEEWGRDAYLEWAWDGDDVNE EDEAEGLSATSDDEPMPDPDAENADENEGVGEVKTTAQLIQRGMPDYCTWELKKLQKL VTGYGFRTSNDHQALEKIAMECWKAINPTLLHPTAHDEPKSKTSSTSRKSGEKDPTDV ASRQKERERESSISSADVPLAQVKSKKGKSKSTAINVKDSQEETLTTSTRRQDKTKAK AKEKDQEREEPKGKGKVTYEDLSKMFYRLIMDDQELYLRILRYEPISFDELISKSIAS GIDKEKRGWKKDLKRYLDLQSISFFTEDPTGQRRRH I203_02255 MHPGVLHRRFLNDLSTSLFSFVLPLLPTSEELNVKEEVRGLIEK LIKTLEPSARLLSFGSSCNSFGLRNSDMDLVVLIDDPNATIEPGNFVESMASLLERET NFNVKPLPRARIPILKLELAPSPALPFGIACDIGIENRLAIENTRLLLTYATIDPARV RTLVLFLKVWSKRRRINSPYRGTLSSYGFTLMVLYYLVHVKQPPVLPNLQRIMPMRPM EEEEVMLEGRNVYFFDDVETLRREWSSVNFESVGELLIDFFRFFSHDFQFNNSVLSLR AGQLTKESKGWVNDIDVGGLNEMARDRNRLCIEDPFEITYNVARTVTKDGLYTIRGEF MRATRILTQRPDRAVLALAELCRERDDDLHRAPRSASPAPRALSATRGQFSNPHVNNG YRSHSQVPFDRFGGGPLLDAPNARRGQQNDDDFPEYSAQDLWLQSQGSNLGGVGGLGL GFDDLGLSERGGRGRDTGTRGLETPGGAYRGAPTSRRSASAYEGGNGPTSGTISAPLS PHRLYAQLELGKGLQPHSSSASASGNNPSSSSSSAWPGYDPRLHASPGMPMDRISGPG PSRIGTQVGGNGNSRSPNSAPPAPNGRSQGLQLGGVSKGHLDTLPAFKPFDSTPATLV PPPPGSVKSSIKPPPSVRQSQSSAVAQSNRSLTKAKEQDTPANFISPSTLLSPANEST PLPGVGVESLTNSFGQLGVGVGVGAPPPSAKSIGGDGPKVVGEGNEHPDPATEK I203_02256 MSGISSLLPSTTVTPQATEPSAMGGRASKSSFGAESTMSLLVDS LKDRLLFAVPKKGRLMEKTLELLAGADIKYNRAHRLDVALVQNHPIALVFLPAADIPR FVALGSVALGITGQDVIAESTHSEQIEELLQLGFGKCSLQVQVPVTGPIQTVEGLSGG RIATSFEVLAGELFNGKEGVDSKTGKQTKVEYVGGSVEAACALGMADGIVDLVESGDT MRAAGLHAIHTLMKSEAVLITSKTPHPSLTPDLQSLIPLIKSRFAGVLASKKYVYASY NIERKYLDKALVITPGRRAATVSPLETEGWVAVSSMVERKEVAKVMDELEKTGAEDIL IFALDNCRVGV I203_02257 MSGDPAMGKPVIEEDGKPSTSTPASAQEPITTSSLKPSAGPSSG SKSTEPPHPLQPTPSHSHSGIIDLASPQSSPSKRQSTTPSLTSSRPAPASPASSRPPS TQFDSSLRDRSGSLSASSNRRVSSSSASSSTAKGPKPKSRSRASSKVRPKSMLGTNIT LPESSTSTPSSEDQKDSSTLPIPEHSEQDISASTSASASQMMQSSWGDTPTESFQVIL PSVIIRDFAYPSTDERYHGKGTIEDEGNASESNSNVFKWPWKGDPAESSSSGAGGGGG WGGFGFLGGWRNRSAQDKNDRPTFEDDDEDDDISDDEGDDQYYSSPAQSDSGLSPSAS TSSYSYNILEPLSPSIEPKGYYRAAYPFQALSSSEMNLQEGDLVNLVGRGNGDPGWVI ARRVNIQNGKIIGIDEKVGLVPESYLERVEVVED I203_02258 MRWSNITFLLLPCVSLTFSVGAVQIPFLKLNDNDQISLSSDITI DNLAEDIDAGIKERIEVKEDKWECDVRSWVRAPDLRPSSVIPAETRLSANGSDCKDIE KWEVGLRFKERAIVKLKSKSLDANDFPKKPTFPKFNDTSYPQYDFNDIYFVGGNLGKD PWDEYNTQMKEYNLAIKNESLWDVDGSERIVFDITKELPYTLKDTKNINEIHSFTVQV PNTNFPPVEKHGGGFMSGGNGDHLLNTETLMEYYHLIHLSNGTTLDIPAGRTGFIPRI KSSSEKDRDGHFSTDIDLDTNRIQTGEEQDDLAWMFGRGRYGPNAPDCDESNMANFHI QVGSNMTEVIQGQNITLNFTLTRTGNGSEYPAYLQVGAGTQRNVTWAYKFINSDDEYH TLLGFDGVKNRQRFGPPINMLQLPSRRELENMRDSKLRMSMSYSISDGRFPLDYGQSR YDIEMNDEVGKDVYQFQMEVTIPDDHFPSFDSTFESYRSMLEFRLTTIFLCEPLDPYT AKSEDTQSEDDDWTEYELTSRENKKKLRVGTHLTHTGSIPIHLALTTRHTTADEAAPA PTHYLDPDALGPVILLPSQQNSQEHSRLGVMKEESKDQLRKNRYSSARYDRYRPGNIG GGFLHAARLWQKKESKDT I203_02259 MTVPRDGTLLGAIAVLKGDSSVSGVITFTQEKDGAPVTVSGDIK NLSPNAERGFHVHEFGDNSNGCTSAGPHFNPHGKNHGGPDASERHVGDLGNVKTDGSG TAAVGITDKSISLFGPYSIIGRTVVVHEGTDDFGKGGHADSLKTGNAGGRAACGVIGI AA I203_02260 MSDSINDPAAAAASGPSGPLEEVQVDVTKLTALSPEVISKQATI NIGTIGHVAHGKSSTVRAISGVQTVRFKNELERNITIKLGYANAKIYKCQNSNCPPPS CYKSYPSSKESNPKCERPGCEGRMELLRHVSFVDCPGHDILMATMLTGAAVMNGALLL IAGNESCPQPQTGEHLAALEIIGVDPKNIVILQNKMDLVRESEAMEHCESIKKFVEGT TARLAPIIPVSAQLKFNIDAVVQAITNIAPPNYDFTADPRMVVIRSFDVNKPGAGVDE LKGGVAGGSILQGVFKVGQEVEIRPGLITRDQNGVCTCRPLRSRIVSLHAEQNHLQFA VPGGLIGVGTLVDPALCRADRLLGMVMSSVGKGPSIYTEIKAEVFLLRRLLGVKTDDS KKAKVSKLVVGETLFVNIGASQTGGRIMAVKGGDVTIALTTPACTEKGEKIALSRRID KHWRLIGWGKVRSGGTLAEVVDQD I203_02261 MSTPPPPRYTFTNQPVQAVPPAGPSSSTTTSAITPGHEFQETTS VCLEWRLTGLKVMYESTRGEQKSKCIKSAVFGDADNLWEVLWYPNAGTSTQTAGDHVS LYLSCVPTAQERDSCIQNKWVRKGLWWFKFEIRPLPLSSIENTNRPDASDHTFAVKTA NWGWQAFAKREALFQHPQVLQSDSFMIVCTIQAQPQPPAGVWLGIKRVVPKEVVSSVG SLLDDPLYSDVEFVIPPRKGSRSAPRSIYASKKLLRRCDYFHAMFHGGFKEVEGIYEE YDSDDDISVLSDSDMDDEMTLAETSSSYIDHGGASIRSHDAQSSLRPDREREPERDLH LTTTTSRNSSIRTPSITAREDDTSTSSSSEAVPHNKEEEGDEDGGAVGNVTLESEEGH QHQQTKKDKEEKTNDDTATKKKEPSLISSTNTSNRSGSKPSTSTKNKQSKVPIGPKKT RVVIRDAAWSTWWNVLYWIYTDVIYFAPLTSSFEHQIPRRSSTTTINNAGINPVNSTS ADAENPKSRSEWISRWMYEHIYVLGPRPVSAKAIYRLADKLDLPALKLRAFQHICAGL TAGNVPAEVFSRFSNTYEDIRKVQVAFFLKHWSEIKKSETMTQIWQQIRNGKHVGFEE VWPLIVSQLDFRPAAS I203_02262 MSSMAEHPSLVPFSFDTDNKLNPNLIFPSPPSSETGGLDFDHFD SFTHLSPRSILLDALPIGEEKAQTPKVSFDLDLDQSPVASTCTPTSTTLISPKSSNIE IPSIPQLAALNSINHSDADTAEALSLHHLQRYHHYRALATGVQEDQFDALFASCNNSN LLMPGPGEYNDMSLYKDTSNNNNNMLAYQPQPVPTPYYGVSPQVSNWGQPTGSFIYHP QSSQAALHAQAQAHLQAADVARVQAQQQRAFSSPTYFVPSSTRSSCDSAVPQFAPYPR PPTSTLSANSPPYPSTPVYAGVPDFTPIQMSKTSTSASLPSFVSQEPSPVVRRAVSNN GSEHEGEDELAEFSPPPRTGLEELKPMIPGMPIPNAHGGGRGYVPGQTPDDPKKRHKC QICGRAFARAFNLKSHIQTHNPLRPKPYQCPHTSCKRGFSRLHDLERHRQGIHSDGPL VEAKRQGVSPAVARAQNRMQKRAESGSLI I203_02263 MAIPPPPVDGQHSDPAPVPEAGSEAGPSTPKYHLSQTLSAHSRA VTALRFSNDGETLVSAGADGYLHFWNAHSGGYLRGFRAHKTGINDISISPDSLYISTA SDDNTSLIFPLYPPPVAQPQNANEPLKTLVGHTAPVLSISFSPKSNLLVTGSFDESAT IWDVRRGKVLRNLPAHADAIWCVGWDHEGAMVLTASADGLIRLWDVNSGQCLKTLDND TNSPVSYASFTPSSYFLLSSTLSSTLRIYNIHTSKVLKTIQAPGVYISEKYPCPAIIF ESPPVSQEQDQDQDQDGMDIDHNHNGIANGNVKKSKESNPALIVSGSENGKIVIWDLQ SKNVCQVLQGHLNTVVAIAVHPNGRIIASGGLEPEKSIRLWRVD I203_02264 MAFPSSSSLPFGLSTLFKPLSPSLARTRLKSNLFILFLFQLIKG YFSPYITIRSIIIKLSDGYLQGRKGREESWGENGIYVIEFLISSILVWNIIESTISIQ YPQEYIPPIRQNLVLTPSKVSSPLTRSYSPASSSTPSSSSQSQSQSQRSLYPSTPTRN QLTSSTSSNLNQSQSKPHPLSNSTSKTTAQIFNLPYDNSSPSKSGLFFEKKDSPPNTK TQGAGGGVGGDFVLVDREEKDWVDNVLKGVRGKGGKVGL I203_02265 MSQGENVSTPSSISRSRMGSAVKPRSFTRVTSNGSISEPGQGNR PSIKNSPSESSRRKPTFTTSLNYPEVLPAPTYFANEGELQRSQRKSKVTALTKLDRAG TPIQLNSGPTATSFLPSSAQTQQQPQPVAGPSAQRNPLHRPVVVNPPFNPSSVRQEAP RHPAPRTTPRLFEIDECPTYYPTEEQFTDCMGYIASIADDARPYGICKIVPPEGWKMP FVLDSETFRFRTRLQKLNKLEAASRAKINFLEQLSMFHNQSNNKEEITIPTIERQPLD VWKLRKEVNRSGGYLELDRTKTWSKVTDSLGLKSTWIPQVREAYMNIVLPFDNYSVRA KSASVSPLTPLNGNTNGNVKPPAFSTDAPPSPSQPRSVGRMGSIKTSPRTRTSSRMSG ASLGVDAQSLPLTAAPLSDVNGLQAAAEIGRPSSATPSLITFTIKVPGFSNRDGSESE LSDAETSSDGSPKKGKDGTPEYKKGDICEICRYGHTAEKILLCDGCDRGFHTYCLDPP LSSVPANEEWFCTSCLLSQGDDFGFGEGEDHSVASFQARDASFSWHWWNRHRPVPSST SSSPSRTNSASHVSPQTPIKPANPLARNFGKVTVTEDDVEREFWRLTESQTDTVEVEY GADVHSTTHGSAGPTMETHPLDPYAADGWNLNNMPILPDSLLRYIRSDISGMTVPWIY LGMMFSTFCWHNEDHYTYSVNYMYWGETKTWYGVPGSDAEKFEQAMKSEAPELFEQQP GLLFQLVTMMNPGRVKEAGVKVVACDQRPNEFVITFPKAYHCGFNHGINMNEAVNFAL PDWLPDGREAVMRYQQHLKPPVFSHNELLVTITLYSETIRTALWLKDNLSVMVEDETR RRDQLRLTFPSLAETQTEEDGSEEQYQCSVCKSFCYLAQITCTCTKLVACLDHADQLC SCPKSKKTLRKRYTEAQLEEILAVVAARAAQPDAWRARLYNLLETPRPALKSMRALVA DGEKIAYPMPEVQSLRALVDRANSWVERVSLIVTRKSAGRRRKGKKEEDDDEETNIDR SPENLSALLHEGEKLAFDAPEILQLRQALLNIQNFQSEATVILSTAENALDLEKCKTA QILGESLNLDLPEITAIANIVNRLSWFRKVEEEVDDRTLEYDDTVKLLDQAEEYGIPE DHPTIAELKKRRQRGADWLNAVDRLFNAPSIKIEEISELIEGKELIPVSTDKMRQLES IRKTVLNWQASAKNFLSSNGSALAASRLCKNVSIASAPINRVEIPEIIELQAELEHHN QWQWEIATVLEVPIAKVASTMNYLKREFETHLSPEDDEPNDEFVCFCRGMSGAVMVTC QNCLGEYHPKCVGISPKYANNPYQCEMCQRLLPGLSPSLNDFGSVVVSERWNFKIVPP EFKVAKEIVEIALRYSTVILKIIDPLDETCQPYSKDTEKIQHTIRKIYNLPLLLDATN IHTGERVVYIKWLFRRLQDCIKYHNGSSSTSIGNGNGTNGLNGTAGREKRTRGRKPKL IITQSYPREFHCICGQPESTYDNERFGATIECAKCNQSYHSNCVKAPPELLAQIHKTT DEENGTGGNKTFWRCPCCTVKEAKYYLKGVEVRVQFKEQVGTDQYIDYRSTINEYAEK PIMVNLRHPTDVVLLECSKFIAPILPEDFVRDDIDEGGEGSSRKRRKVRPSDIHPTTT TTAVGDDHVHTPSGSTQRMFNGNGSGPQTAYPSPITHPLPQPQTARMTDPVPTPQYLG PRSNGDGNAHSNGNSPTPAIAEPSPPVPQVYPPQAATRSPPSMVILKPAPLVKTTSPV NSDKSISPRQPPPHVLPAAEEPTVSTTEDGLHDPPPQSLTEQSEVPGTQNIPEVPPLR INIFNRNVPQGQSQPTINDQDQPLQESGTGRPRLSSGSISPQKRKILLEAENIIDLSG DDNEDVNEDGDVPQPLPAQSPRERERSRSGSGSGGLPLGHAMRRSSSRESQSQGGLQL NRVRSNSRSTQGSSVHDAIVVDDD I203_02266 MASYQTSTAGPSRTTYPGFPAPSISPSPSPVAEQEQWQHPNGQQ YPIQMPQPRSVSDPASVNVSRSASAASGHGSTLDGAEGSKRNPLVDLIDSERIYVEQL SLVIRKVAAAWSRKDFPPPKLDAMFRCVEAVYRANRAFGTKLKEIGPNPSSPKALGDL LMRWIDDLEPAYHQYSTNFLTGFDSYQPVTRGQLLGGILQEISVSCQPTPPLTQWSLD ALFILPYTRLRYYKKLYSRLLRSTKEGRSDHKLLVVANQRLEDLVNIVESRLEADVSE NDNDNDESRGNRLSGNVVTGGVAAGTAPNSREPSWSEKERISRTSSAMDSSMESHTNR IEDRNSGGSAVTNMTSMTQSPQRRPQIQVSTNAVSSPSAPTPATAPLSDLELRIDPER TIDLFSMTTKKCKLQMNPPSLPFTRSLRSSHDVTVYFTPNSTGQQVIHRRAHIFILSD LFLVAEWMEASEKASKAQQVAREQPDRVGHGGPMPEMWLSYPPLAGKHLMVAEGQQAN VLTVMIMKKETFAIHAESEIARDQIMKDLLDCIDFASGATRPSTAAPSPINPDPRSPS IASTENRSNESSFPPLRYPSPFSNSSSPATSPRPGDQPEGPPMPLGGNALVSQMSQIS LQPGQAIAWPRGPTPPQSMPPPQGIPPYNNASSSGAPTMAVLPPRGASLRPRVQSNNM QSPPQQHGPQIPPMPGMGFDHTGMAGAPLPRSPSGRSVQSAPRLNMNGDLPPVPSIRP SGSHMSDQGHSGSGLPGGPVIPPFAQNPNHLIARSRSLEPLRAPEPPSARFSSFENHN VPNNMGMGGVGRSSPLTGDQATGENDDGDLEGDEDELVDGEEQEIASLTGPTVISAQM KCKIFLKQEHQKWKSLGSGKLKLYVQKQGPPLKQLVVENDSSKSQIIISTIILTDGVE RVAKTGVAIEISDKGKRTGIIYMIQLRNEKSAMGLFESLLQGSDRAILR I203_02267 MSDSEDVLKAPEGGATLREVLIEDSSSLSSRSTSVELKATTAAS DPPIDQRSVRNSSAPGSQERSNTKWNVQAAAFRPSTSLSCDTDTEAHHTGSASFGTVP HISEGTSNTTIYDNRAEKQWKVIYGHSPAYNADQPSYRGDTREASHQDMMWTNPYSDD PASAQGLTENLYPLGYHMPPNNDHRFQSYASSFQDSTGGEGPTGIVRSSGSIGDYQRL EQKYTKNDDPETFQKEEKVVPSFPGKVDESFPSLDGTSAKTSTNTPPPPISSSGSNTN SWANIAASPRDSNKASLKSEEESIDDRKIPSATSVRRYTGGSWAKVAAGTQERK I203_02268 MYGTAESHRAHGEAESDDEDDFDYERYQTSKLADSRRANSFGGP EIHSNHGSDLRRTVLKNADRRFSVTDHHFSSERGHQMGCPPCTSPPSSEQSATDLQGS HDNHSSEVTDPPDYQFPTSSGSDGTPIAQGTLIPIAGKTYKPRLTHLKEAIYRAKIEA LRPDPEHVKAVENSYKYDYRKATGYDLKYATRERKQTEFDKVEEQFYRRYPEAADR I203_02269 MDPTTSAHVDPFAGPNSDLTSKQPAVTDTSGLTTSTVPSSGAGD APHLGAPSSGFNNPFISGPPVVPPDPIKQDYPDTQVYPNTNTNGQQDFADIHARSAGA GVGGTTSDSTSQTVTDKAHVASSKASETATQAQNKASQVSSQAQQKANEAATGIRQRV RKLSVDLNNAADHPAVKNVKGTANNYIAQLREQLGRSQTVRDLEKRTGVDRVVLVVGG ILGYILLIPLNVLRLALPITDLLTILPATYLAAVVLDKPDTTANDQKVKSLLSFFVVL GAIQTVESLMAGFLERKIPQYYTVKLLFLAYLLHPRTQGATQVHERVFRPILASAQQS AKSAPPPTSGYNTNGSTNTYGAPKSSSTVSTPPTSKPSLSTVSSPQTSGFTTMAASIP LPGESENITRADARGQGYSVVSELH I203_02270 MTNSNTSELIVNASSPADLLIHLKDLKNGVIGNTWKKVEVAEDE RLLKILLSLLEYPSSNKDMNEPIALELMSETAVIIGALANVGHLTLQPLLLSSTPSSL LTLIISLTTSSPTQIPEKQLDRILPYLLRALRNILVSTADMIWGHMWGVGAERKVVGT GLVGEQEIADTKGKQAVGKGWKGEGSRALSLVFEPNNLSTLLSLLETYSDAQILLPLC QLLSRLVALPSHRSALLSYARDPSTSTIELPFIIQHLLNTVIWLTPSSGGSFYTTRKP NTKLIEASLDLLAALVKGQPSLSIAIRSWNLYDNTRNVEEEDGARRSEFIGRLLELVS SGPANTRTAAASCLTNVIKADKGIRPTDRLRSTAINYQLLDEIIKLLQSEGMEERIKL CFILAALVSDDAALQKAASEKDCPSRLIGILISVFQEEEKGEIGSDLASRSKEATFLA LASLAMQHDPTRTLISDHSPPVLPYLFKALSSPSYGVRAAGCQLARALSRTVSLLRTS LVDSGVGEEIIRLLRREVEASKELALNEEEISDRIWTVEVAATATICNLITDFSPLKT VLLREGGLELLSDLTRSPYEPLALNAMWAIKNLTYHAMEATKIMVTTTLGWDRLRALS SPSTIQSLRVQALEITQNLFADSSVPEITRAVENFGETELFDSIIQASGESQDADLRI PALYVLSNIALGNEKTRNSIVGRVEILEILSTSLNSANDSIKIPTLRTLRHLIESNSN SKNPNPNHRSKPRQQMIDIFQPYQLKYRLRELVESSLNVSVKEQSIGLLDVLERERGN GGNGGTSSGGR I203_02271 MNTRRTGLLLLVLALRSARATDYSVDVEATQLRRSIPEPLTASP LTSTTVPSHVATELSGVVAVDSSTATPSTRGTSHTASMLGIYESLLNAETTGISAERQ SSSVPGIVDAQYPDSNPSGYRTSYVFAAPTGNDTHTSGSATAGSLTSILTIGASSTSD LAAFEQSTTISDLLPTTRVDTSEGLISSLAATLTSVMATDQPRETSSSPTSLEDLTSG LVGDEVPSATTTPTNNEYTDSGVVAYESQTRVMPTSSESSDSENYFSLFSSGTVPYGN PTATSVITPYSDSAMSSTTTMSAPSYSEEAEEECIDTSIIPLASSLNATAQSDAQCVS SGGDCQAYVTSFNECQDDNCACGLTYQAQLCAQCLSMEEAVEQYNLYLSACSLRGLIQ PTETINAECEDATETSDVLTEVLASQAASSTSQPTGSVGSTMGGIAAQMPDVSEQAVV STISTTDARGQPTSIITYLGSTPTSTATGSVTSQDTTAQSTGTTSSALDSAHEFFSAS IDSTCQKDCEFWLELAQTCTSDDCICTPESLDSAKSCASCAISSNSNDQMNAYAGFTQ ACTSATAGTAALDDSTPVVGMAAVGTSITPTRSSGGSNPFDTEEASDTGAVKTRLAEH QAADGIATVVAEDSSASSIAFESCVGSFGWVITGLAGGLMIVLAW I203_02272 MIPLRAISRARLATSSSSSLALSLRLPLASRSFTSPTNPRLSPP AAQLAESDSPSYYHHAPQHSSPQPLEPHIRIENSPYPGRTHPLLHLPSPLPTDITPNP NSPHSNLYPSTGVIDSISMISICLRRPEHVPRAYQIFKQLLEDSASGLRRVPDAEVWA RVIEGVAGLGKEAEGFAYENWRKRAERLVSQWEGANGAFAAKEPAGMEKGGLKVYQGW FNGIISAQSSLDPLVLYLHHPSLPVSALLEGLEPSAVPLACDALIEAARRHNMTQLED SVREFQGLEKQRREEIAREIIDEVKPVLETSGKSKKSGDLSNLTPEARFAITNLRSTL SSISSSSLPLNRQRKLEEASLQAARAELEESSKRLQNAGDNPILQRSQLQGWMHGWLG LLTAELEQRISAMQAELPPIADDKYDVTTQKYSASSKMKRGVLLMYLQLLPVDKLALI TILEIMRMSGSGGIADGMKALRGMLAVGKAVETEFSAETIKNVAGVDSHHWLRTIDPQ TQKPNRQLVGSVWRRIGEQIKNQGSAETIESSSMMMHDDLHQVWTPSWSQMAQLGVGS ELVDALLKVAKVQRTAKNPMTGEEVTEEQAAFTHAYEYIRGKKLGVIKLNPVVAARLA RDDVGVVIHPKHLPMLVEPRPWTSHTHGGYLLHSVPIMRFKESAEQQSYLRQASREGH LEPVFHGLDVLSSTAWAINRKVFDVVLAAWNNGDAIADIPASEEKSHYEIPEKPDSRD QDPLKRSVYVEKMKQVMGQQRKDHAERCKFNYNIEIARSYLNDTFYLPHNMDFRGRAY PIPPHLSPVGDDLCRGLLTFGTKKPLGEAGLKWLYIHLANVYGFDKASFEERRRFAEE RQAEIFDSADKPLDGNRWWLQAEDPWQCLATCFEIASALRSPDPTLYESALPIHQDGT CNGMQHYAALGGDVRGAKAVNLENGDKPADIYTGVVDIVNKVIEEDQKKGLDVALLIK KPLGRKVVKQTVMTTVYGVTFVGAREQIAKQLHARGDFAQEHIFLVSGYIAKTVLNCI GDLFSGAKAIMDWLTQSAKLISRSVPPDRVHDAANNLTTVLRNGKVKSRATKEFMTSV VWTTPLGLPVVQPYRKAQKKQIMTALQTVYISDPNAPSEVSPQKQATAFPPNFIHSLD ATHMLLTALKCRQNNIAFASVHDSYWTHASTVEPMSDLIRDTFIHLHTQDLVGELRQE FIERYGDHRIPVNSARNISSTAAKRKEQAAIRQKQMSAVLGELGEDTNSSADAEAEFD EKTIDLEADVLSSSAEEVEAKAASEMGISVDKLANELSSTGTKEKVELKTEKIGKTTW VRFGDVLPPCPPRGVFDVDRVRESAYFFS I203_02273 MTSLSSSLRPQQVSHSPTSSISSANPTPTSPHFGHHVNPISSRH PYASSHYLEPQPRHQSSLNSISTSATSSSSVNIIHPRPPSPPLLHAPPGQPFIVYLKG WGQQELTAFLNLYRCGQYASAFQRHDIDGKVLLDLDMAALKEIGIAKVGERVKLLGGI KDLRKRAAGSSRASLSRSSVRSGSVGSVATPHSESTEHISSPSLPDPNQSQSQQQLRL AQPSSTSSSSGSTRRLNTSRPPPLDLQHYKSSRPLPQAYQNNLPSAASYRSTTTMPRP IFGGQQSVSSRPGLPSQSSSNSTVTLANANSSSVPAPNNSKQLNLRPPPSRDPGRRSP SPIQVDSSNFASRPLPPDPSGSHQTSAAEYASAFTQQHQQGRQTPTWSSSEQAHGLPK GPAPGSGSRVAAARANDPSHRKSPSVSQIGGTTPKQSSPIKGKFSNIMGLGGRSTPQH PFAAASRSREELVLERQNSENLTSSTGSLASKRSITPNPGYVVGRTVSSSDRTRNQTG NESLASTNTISSSGSKHTPTWDDIRRKIVKFVNAEDGSTKTVDVSNVVSGVQVLEMAL RKFGKWGTGVHASTDTESDEDGERLEIEGWGVYAESDPDDNSIPLSEAALLGICLSHR DGTSVREKGLVLRRPRKLTNRKNMKDYLGEAPPQPMSPTSPTPFSGPRFGDHANLLTP IKSAASKKMNRASTVSVMSGLGVPMPEVPPSPSTTRSPSSASFLSSKKKSVYNFFGHR PPSELISNHLAEYFPSAKRKDVEKARHSMLRMSSGPGIKRGSIAPSESTGRLSFDSNF APSVKRSSVRIADPMAEVKASPPRRSTRPGSRGTMISSPPPAGTIPEEESEVLGDEHP PRLSVSNDDGRHTKPTIDGESDAESLASVGSQPPLLPPFQPTGESLAESLVDYSPKQQ QHQNRPKSIALQRRGSEESTRSRFSMLSQLRKNRDKSDTASMLTVDEITAEVENRRAS TITFEDSDEEDEPIIPPPPLIAPGPGVPQDQSEGDSDGDNESEETETEDESEEEMETE TETESDVDEDDEEDNEHGKAFTSTGSKRIIKWIKGALIGAGSFGSVFLGMDAHSGLLM AVKQVELPTGSARNEERKQSMVSALEREIELLKELQHENIVQYLDSSADGNYLNIFLE YVPGGSVAALLSNYGAFEEALVKNFVRQILTGLNYLHEREIIHRDIKGANILVDNKGG IKISDFGISKKVESNLMTGPKTNRPSLQGSVFWMAPEIVKQTSYTSKADIWSVGCLIV EMLIGSHPYPNLTQMQAIFRIGSQTPVPEIPPDISPEAADFLRKTFEIDHNARPTAAQ LLEHPFIALPKNARTNVIFLADAQRRMSMAMASASQGLGGLMGRA I203_02274 MGVFGKAKPAYSPPELPTHDPVPARATQRRVSLFPSLASIGLSE PDPNAPIDPVTRGRTFSGEQQRGINGRKLSQAIVPAWHRKASLAVARRDTNPVNLRLG ETTANNQDGMNKAFYKSKLTIDDGSSEEGGFTSDEDLAPSRLSVTRRIKGKGKAKALD TLPGWDAAPPLKGRLKKGKGKDKKSKHKHRSKRVMRRLDENLDAYNAYAQNGLVPLTR SANTTRDEAAAMNPPPMSIGNTILEEDEYMVKSISQPMSLNTGAQDEQPRAVLVGDGF DALDVMADHIFRTGVQKKKWFKAPRMGVRRNDAATGVTIRARTGLYRTFPVDYEALKP FEEAVSRLNPEVAIKIKSDIVNTIMETYINPSPSMTELVIDENTRIQILDTIELLARA RKHQYAAFVRSEQVLVVWADAVENVIPAAEALEESLIQFVWQGPEVNVKFNLVMLQDA KDREEMKEQERRDSQNSNEKYGIDGVVLPELTADDKGSIKTKEEDMDPEDIAKRAMQR YWRERPVMLIAPFSDGVAIMLCLALISLGLRILIKEYILDGQPMRFVLMIFSPALCCI ASFAAMCVVGSIWQIFGPVRQVTQKSKYFSGIAPKRHMGDLAHVTVQLPVYKESLEEV IMPTVESLKVAITTYERQGGSVGILICDDGLQLLSKAEADKRRRFYFDNNIAYVARPG HNVDGFIRKGRFKKAGNMNYAADLSLRVEEIMDDMRPFAQEKKDPEHFWNELDENDVY DTALAQALEEKQGKAWAAGNIRIGEVILIVDSDTRVPEDCFADAVSEMKESPEVAIIQ HMSGVMQVANHFFENGIAHFTRSIQHAISYCTASGEVAPFVGHNAFLRWSALQECMSV DPDDGINKIWSEDHVSEDFQIAVTLQIKGYTVRWATYTGGAFEEGVSLTCDDEINRWQ KYAFGCSELLFHPLKRWIFKGPITPLFNQFMWSSIPIHSKFTICGYIFSYYAISIAWV LSVANYFIEGFALPVDGYYLPSWKVTLVCIILFTGLCNVAFITLRYRLKIPNCGQLAF DQIKWIPYFSIFFTGMSMPMSAALVSHLVGYNMTWSTTVKTVEKSNFFLQLPIIWRRF WPQLSFFGLCVPMMIITSSSLMPAGYRVSSIEVYVPMGIITASHLLYPFALNPWFLSF SIAFILYPLDLEMSFVLLLIPILVHSVVTILLVSSQNTPAMSGNHPEAEA I203_02275 MSFLRRFSSAASSFIPSIPNAQGIPASHVPRRPTETFSRTSKAF VEEEDIDEKASRASWELSDNESVSSKGSGSSKSGASFATSSGASDLDKKSFDESDDSS SDESDDSILDRGGRPRDRYDMMVRHLWNVAERQGWFRDADFDGLVSIRVKKRVLRTYP QPKNSKKGARELGRRIKEWDAAISTLNPEVAMKITSKVVQAIMARCAEDAIEITLDVN TRIQILDDLTQLAGARKHQFAAFVRAEACLVVWADEVETLIPSAEALEQRMIAYVWSG RHHELKLLEPEDESEDEIDEKGVDEEGWVDRDAEREKELADADGDVDEEKVGGKEGDW EMRDRRPVMLYAPLVSGLAMILTFVFIGSGIRNLIKEVMLDGSYARMALIATSPFGYL LSIFFCICIMGNLWQMLGPVAQCHQNSSYYSGKAPTRMTGRLPHITIQMPVYKEGLEG VIIPTVESLKKAITTYERQGGSVNIFVFDDGMQLWDEEEQEIRKAYYDRNNIGWTARP KHGKDGFIRKGRFKKASNMNFGNNLSLRVEEIMDELRPAADEQSDVNWQWTDEDEREI YDEALATALEESQGIAWAAGNIRIGELILIIDSDTRVPEDCFLDAASEFAQSPNIAII QHESAVMQVVGHFFENGITSFTTRINTAISFCAANGEVAPFVGHNAFLRWAAIQDAAF IDDDDGIRKCWSESHVSEDFDQALRCQMKGWSLRWASYSNGGFQEGVSLTADDELNRW QKYAYGCSELLFHPLRKWLTKGPITPLYRSFVWADGIPLHSKISVLAYISSYYAIACS LLLSLLNWVLIGLFDDLLDLFYLESWQVFLTCIVIFCGLSNVSSALFQYRLNTNSLGN ALVQNFKWIVFFFFFFCGMSWHLSTALCAHLTGYNMQWASTVKEVELSHFFKEWPAMW KRFWDIWIVSWVMILGVAFMASPLVPMGYRITNFTCILPLMAQACSHFLYPIVLNPWL LLFQF I203_02276 MDELFFFFVLFCGLFLLASIIYCFLSTCLGIQIRSSDIKEAFSL PTPARIRARDEERRRRMDQMGGYEMDDIGYERVGGMSSGAEESEMMRRGGPSRGFF I203_02277 MVSSEHRHRPTLKQTNKGFKSKHSSKGALKTAAKGKVGGSSHSD KNTKKLASSSKKARLNANAQKRDLKRKTVVEDQKFFSTSSGGGQVPRIVSVVPLLPSI SPRRFLANLLPSFGLPESELEEISSTLTDSGTYLIRAPRFKTSLQINLLPPLSLYPTL DAALISDYVVLLLSSVDEVQLEGEAILRCLQGQAGGVEVIACVQAPVANPIKPDTKQL IHKSLLSFTKYFFPSVPKIYSSDTPNEAALLARAFCEAAPGGTKSEEGRAFIIAEGAN AIRWTGSGVQGDDGVEKGRLEVIGTVRGGGALSADRLVHLPGRGDFQIEAILPAPPSS LSHRPHQQSSMSVDAPSDPLSTPTENADDLTAVNNPDLMANEQTWPTEEEMAGSEAPA AGSSTGEKKRTKRVPKGTSAYQAAWIFDDEDDEDEDDEDGDEDGDMDVSGDESGGVAE GYGRNVYDDDEEEETEEIELDERKEEAHRDLDPEREEEEYEAYLRQREKAQAEDAMFP DEIDTPRHIPARTRFQRYRGLKSFRTSPWDPYENLPIDYAKIFQFENYLGTKKRIEHA GIEEGVKAGTRVILILKDVPQNVIDERDASLPFIVHGLLQHEHKQSVLHFVVQRNTEY DEPVKAKEPLILCVGPRRYVIRPLYSQHVRGGGKGVNNVHKSEKFLRPGAATVATTFG PICFGKTACLLLKDEGANQVPSLVAMGSFLSPDPTRIISKRIILTGHPIKVHKKTATI RYMFFNREDIDYFKSVELHTKYGKIGHIKEPLGTHGYFKAHFDAPIQQMDTVCMSLYK RQYPKWSELFIPPPVARISEKVEDGMDIE I203_02279 MLVRAALRTSRLSSLATAQRRLASSLVFLEHKNGKLNDSSLNAV TAAQSLGSDTAGIVVGSKSDVDGVLEEVKKIDGLTKIYTAASDSYSHSLAENVAPLLA SVLPAKNISHIFAAHTAVGKNVFPRLAGLLDSSMIADIVALESSGDVFTRPIYAGNAI LKIKSSPKDKFKIVTVRTTAFDKAKTGGGSAAVEEVEATSGDASTKYVSEELTISSRP DLSSASRVVSGGRALKSQENFNKILDPLADALGAAVGASRAAVDAGYADNSLQVGQTG KVVAPELYVAAGISGAIQHLAGMKESKMIVAINKDPDAPIFQVADVGLVADLFEAVPE LTKKVEGAKA I203_02280 MSEIEKIDGFTEEEQLDFEAELQEGYADIEDKYAVDTQQGFENV LVMDNIPIVDDSKKQKLVDRLRQLFAKAGAPIEEENISMPWDDKAATNKGFIFLTYPD AQQAENALRALDGASFGKSTLYVNRFGDIERYANLPVGEGELPTGWREKPYVEKDHLR SWLGDSAGRDQYLTFRDQDVSIWWNGRNGNAEPVKVDGKPLKNNKWGELYLQWSPLGT YLSSLHRVGVALWSGTKLDGPIGVNVLRFTHPGVRLIQFSPCENYLVTWSEEPLDNFE NHPNAALRETFGPEDEGNQFVIWDIKSQRVLRTFPADKPIQGEDGPQQVAWPSFKWSP DDAYIAKCNVGTGIAVYELPGMGLLDKKSIKIEGVQNFEWCPMSEKDFAARKAGKGKE CSFVYWTPEAQNQPARVSIMSIPSRNILRAKNLFNVTDCKFYWQNQGDYLCVKVDRHA RKAKSKKATFCNLELFRVREKDYPVEVIEFKDYVPQFAWEPQGSRFAIVSSNDPNYGQ GIPGVVVKYNIDFYQLDQKKGDFIPIKHLDSKIANTLVWSPRGRHIVLATIGSSQKFD VEFWDLDFVVDERRETSEPGANVTMLASGEHYGITDIAWDPSGRYLTTHASAWRSSPE PGFCVWDFKGQQLVHQPMDKFKQFLWRPRPPTLLSKDQIKKVRKELKEYSRTFDEEDA AEENRGSAEKLAQRQRDISEWNAWRARNNKRVDERRAQLGKEKKVVVNQDHKDDEKVE EIVEELIDETEEVVVGQL I203_02281 MVLQGYQTTQAKLANGEVVAQNGTPYNIARIIEFLPPNTSPKKG SRAVGSGSELMVRLSLYYRPPDVSLRPINDFRLLFAAIHTDIQPLSNVRGRCYVRHKD RIEDLLKWKKLPDHFYFVKFYDPYIKRDFEVIRTEAVNNIPLNVKETLLSRYEYLVTE REMVSDLTEAFRSCCVCEQWASYQESVRCEACKKHYHMKCLNPPLVGKPAKGYSWFCL SCSIQRHKDVESEKYRFTMNGSSTTTGKNKQKAKEKIVATDARPDVTFRGWPWRYFGR YKGRVQIPSQLNSYMDEVRSFKLPVPSYDVERLNLAIDSYTTMGREKATQFMRRTKLA DFKPIHFSDKETTIFEAELERNGGLETHETAKILNRTPAEVLRFSYIWKNKQLFKENE ELRLHHKVSTSHARQNKTLGAPSLGKIRAANTDHSDDEVSLYGNDFTKRKDKDVKCAA CSTRLSQVWWRCPRTVQGDAMCEDCGSNYRKYGVISFVKSEDSKKEKQKEATLKKSKG DASGTATPVPPPPPKLPPCANCRKMEPKAMMARCKNCTYSVHAGCYGIPSQDMGSNWE CDLCINSKSEERHLEPRCVLCPNDLTPITSKIKKKPGQQADFDLLSALKPTEGRRWAH ILCSIYVPEVGFADPSRIKTVEGVMEVARDKWENQCTLCTQTDGAVIGCTDCEALFHP ACAWLSGLKMGFEFSLAKPGRHGTVTVTKFKDSEGVMGPGVWCKSHDLSERVIYDLWE MDPEQNETALQTYISSYKAIPPHDSFPLLRKARRLEHFLPPVEIQQNQNIICADCQID VSPLWHDVVGSPTASVKDERMDIDGEEQVVLNGNGKRVRVEDRKKVCHLCWFRYQ I203_02282 MSNWPKVTAENPLGLDDPSLLIQRGLIDGKWVGTESGETFEVND PASGKVIGTCPDQTVSDTKNAIDAAHKAFSTYKTTSPAQRQTYLAELHRLYMANINDI TRLIVWENGKSWNDALAEANYAGSFFSWFAAEALRTNGETIPCSVPGTRNITIKQPIG VVALLVPWNFPAAMIARKLGPALAVGCTSVVKVPSETPFTTLAIVELARRAGVPDGVI NVITTDKHLQDVGKELCTNPLVHKVSFTGSTRVGKLLAEQCSGTLKKMSLELGGNAPL IVFDDADLPTAVAGTIASKFRGSGQTCVCANRIYVQDGIYDKFAQALAEKVGQFKVGP GFDEGVTHGPLIHQRQADKVEEHVQDAVSKGAKILVGGKRGKGTEYIPTVLADVPDSC LIATEETFGPVAALFRFKTEEEVIARANNSEVGLAGYFFSEDVDRVFRVSEALETGMV GANTGMISQAVIPFGGIKESGYGKEGGHQGTEEYMITKLVAIGSRIKQ I203_02283 MSLSSSAPFRRSEEIGETNPLLNNQGLPSSEEEGEEENDRPGIS RKTSPVWQFWNHPPFKRHVHFASEPDYRSATTATTEYDEREHDEEEGLLPVSMNGSGN VPLKPHHHHHHHHHPLYQKYGQWVMYLVLLLVGAIIGAVFSRELMKRNTGLDDGPMVP PVWSLPPPTGLPRNDPYLITASNGAVASEDKTCSELGLSILRDKNGSAVDSAITTTLC IGLLNAFSSGIGGGGFMVVRIPHEYNHTYSEVDEMIKEGEERVLAIDFRETSPAKSEK GMYGAEKAGRVAAQVGGLAVGVPGELRGLEMAHKMYGKLPWKDVVLPVAELAKGWKVS RELARRLRIFGEFMLHSPTWSAVYAPRGQLLVEGDFVQRINYGKTLEVIAEHGVDAFY EGEIAESSVKTIGKAGGIMTLDDLKNYKARAYPAIHSSFKGKEIYTTDVPSSGGILLA FLKLLEPYNIPLTGGLTNPLNVHRLIEGMKFAFGARSEITDPAPQFGGNLTRFKEFYE GDWADEKRGMISDNQTHEIDYYGLQHDTPIDHGTTHLSVLDKWGGAASVTSTVNLIWG SHVMDPKTGIIFNDEQDDFAVPGAADAFGLWPSPWNYPQPGKRPLSSTSASILLTPRT PSKPSSIYAIIGGSGGSRIFPSVAQVLLNLFSGMNISRSIEAYRVHNQIVPPLTTVEV GPEGSPRELIDDLKNRGQEIGEFDVNIGISEVQAIVVENGTIYASSDSRKNGVAAGY I203_02284 MATFTGALGSKRKSDLVEIAESLGIFDPEARVADLVKTIQAHLD RNESSLSKDARYKGLYYKKRASGTHPLDSDSESPTITATAADVKSQIVSTAKSSRKSI NKAIDKVQATIDAANVPLPESPIALSKIQDKAIEVSQALVPSTEVQRGLTTQLHTASN SLIKYTKDGQLRVDHAVRHLRDLLSTPQHLVLTSLSVELVFLLTHVIQFYDHTYYFRT TVGESGTLSSLFNVFFFWLPSATLNIRLPEIRSFGLADVWSAVAWWFFSTVLPPLALS TVVSFVPQKGTARHTGAHTRYQSSHPPTPTSDPLAFALIRLALLILPLTSAAPTAFVD ALEISGNLQGRALAAGLTAALLLAEKLY I203_02285 MAHAHPHPHPHPPAGMPGPGPGGPPGGIPVNPALLTLLDSLPHK DVPFALQTIQLPTPPNVPPQQGIVVTCPEHGQSSCEECNVDFNALNYMHQFLRSAPPE AIPPPPTVQPPPQRAEMIKNLKEQGNTAFKSQQFPNAIQAYSKSADMALSRPPWELAA LSRDETAIALCNRSAAFAFVNAWANALADAEAVVILKRPWTKGHFRKARALVGLERFE DAKQALIDGLQYEPNDKELNNFLNEIEEKLRQAESED I203_02286 MSRDPYIDVKREIESTLSTLPDLLSAHGSSDPSSSTYYEIQEEL KNTLQILEGDLEDLEESVRVVEGMGDRWGISPDEVGNRRSFVERVKKDIRLLVKRQDD TLGVISGTLHTLASQAGLIGSEVAEQSEMLDDLGNRVDSTDTKLRKVQKSMSDFIRRN EETKSGWCIGILIVILMILLLLVIIT I203_02287 MSHYDWPLSPIYEFPLSSSSSSSFEPISEIPESYQLTSLSISLS ICKARSTVLALLRRQDDEPQVTTSPKHRMKRAKDLKTLRRVIPVLTILLIILTFSYLA VRREPGVDWRMYGYEKRYVVGFEGLGDMVPTGLVE I203_02288 MLSPAQVPLPSSPSSTTASRRSSSSAPFHPSPLSSPYTAFRPRL HRNPTSLTIRQEVIDPFKEGSIISVNQPVGSISISPNSRDVCLASRKGLYILDLVNLN NAPRFIPQGGTWQIADVQWSPHPATSNLILSTSSQKLLVWDLGAQKSLKKSIDAHARA ITDINWHALNPNLMATVSMDAGIRGWDLRCWDRPFMRLCAWGAAGTQVKWNRRNEFIL ATAHNNLVQIWDNRKGSVPLTTINAHGSKIYGIDWDRRDREKLVTCSLDKTIKFWTVP ELRSSSTSIIDSDPFTNVNPPDKPTSIITTSYPVWRARNLPFGRGVLSLPQRGEQALE LFAPDDDTPVERFEGHENVVKDFVWRVRGGDDASFDDRDFQLITWGKDRTLRIWPVER GTMEKVGYRYGSTIDMLFSRRGAADVTYTVDPSASEDVEDNVKLPPPVVNPSNITRQK LTQNQNKANEAGMTRGGNKVRGMDQLEWLTKVVKTAPSPEASTVPSRIGSVSRNPSRS RGQSVEGTRTEWITLKDELVWLNKLFPRPKINFEKIDLAQRRLTMSMQGPWANGDRMA FIRIHWSFPPNYPFGPEIPTFELERNPTVSPITRQIIVTTIQERRAHNRQCLISTTGF LLGSHERIGRRLIDEESDSESEKGVENQRLGNVPMLIRTCGATFGPHGQLVCFFPKQV ILPRTRITSRSPSITRDINPSPMIKAITALARLQNPHKRNAVRYKPRLKKFENVPPTQ VQAGSTMTIHDVSYYSQLHAGLAKVYSTTSLENNLRHALDAKKLDHAEVWSTLRGVLS DPAPPAYSALPPPMAKVNDVRRERYDWERSMVRKKKVVDQMFAVLLAERNFQLLALVS CILLNHERTTYIPPPAETVISRSPEQDYFTLPRFTHSQITPTRQRTAGGGGSLPHSPT TNSSFRNSGWSQMLNPSAISLRGALTPKDRNSFSDLPFSKNQSQIQTPGSYSYEDVSS PTGGVFIPTPASGSGIVAVGGTKKLDSPRLKDKDKDNASGIVRPKMAQSISASASPPV ITPLKSSGTERSYTSETNTNKHKVSFGSASPLGKGLMTRAGTAPPPSTGHHSSGYNTP AGYDVQTPNGSMGGAPGRRMIRGCAIRLDFPRDDSPPLSLLSEDIRPQCELWKLSYAD FLLRMNLLEVRTELMNYQFTKTTDLWSVSKAASGVKVGLLYRLSCYQTDWLTPTMTLL IVCISCSGHIEGNCPSCNKQPKKALCSYCRLPIKGLSMGCSICAHKLHSKCFKLYFLS PITTPMTCPSCSCSCLAHRGISTPYYSISINTKPSPSITRGFARGNIITPVSPPSLNT SEGGRGRVTYASLAKLGSIREGLGITNTHTNTNTNSNANSSMDRSTSNTGIGLGGALG LSPEGDDTAGQGQGKDGQKNEGLLARARWGDGGLLHWKGHG I203_02289 MLPLPSSTSKRNRTYFPSLPANHNHNLSSSQQILQTLRSRTRLT NLAVFLLLFCLSGSLILNLNYLLIPSSSTFNSSSSSRLRNGKVNSKGWDDLITPSQLR SGIPLSIETTIERDSRYNDLDHLIMVPGHAIWLGHDASKVNENDDWILEPMQKGGSVR TYVKHIQRGVEELKKDNKALLVFSGGATRVPPSPPLPEALSYHNLAHALDLLPSTPIP AEQGESRTPLSLNLRAATEEHALDSYENLLFSIARFKEVTDRYPRRITVVGYGMKERR FTNLHRSALSFPLTNFTYLGINDDLPDLSKHYAGELKFGFKPFLNSPTGCHPPLSTKK LLRNPYIKYHPYHISNPQLIELFEWCPPLQDHKEFDSLDDYRDVYGTSFSGRLPWNSG GSSVDDEQVMWDRERD I203_02290 MLLNELVKSGPLAKIWLSAHQEKKLSKAQALGVDVGESVDAILT QDAAQPLRSSGPLMLGVVRIYSRKVGYLFDDCKEARERISLAFRPGMVDLPEDQVRAS KNAITFPDVRNEFDFLDWTWTGPSFTLPETTSAAEPPINLPRSREFGAYNFGRPAAPS IYGGSTAASRASQDLGESALDSNDFSGIDLGLNLEGEGDITMEAGRDVMTPMSRYSRE RSLGAKQRGASRESVGLGSIQGGDIGGIEPVDLGLDFENLDQPLPLPELEVLEDDRIR RETSALSTPPPLSPPPISADIAAQIAAVPQAASKAKKQRLVQADAELELPDEQRDLSA ILGQERYIPSDPEAVRLQEIMADPSSHFLPIIRIGGENMIFAGPQGLAPELAELFTFP SNVLRRSRGAEEEGERASKRPRIQGEEEEEDIENLRRVERGSEVPFEMPSGYGIEGMG GDDSFAFQPENLPYEEPLLTTPRAERVVPREREPSIAYSRAESIAREIQYGGEAGEFL LSMFDSRTSAAAREEFSQLSQSQLSTPTKSSEKTTASGFSKNTSMAMGLLRKELDAIE EEDKVVSFEQLAEKSTKRAASSFFFELLVLGTRDCVKLEQQTPYQDIKVRGKDKLWPE GEGVETVDVTA I203_02292 MSNNSSGGNKFSFYPDGYHHESGVGDWNSSTVRPPRPHQEDVDR KDGLTASKVYQNLKEKYGLGGPEPATGSSVRLAISTNTEDEELPRPGMVKRRVSDIES NSGSEIAPHYTSSTMASNARRSSVGSTSPSTPSSPRFSFSAGTGSSPPSPRRRGDDLV SPGATSGRKGLGIMGLDTALEESFDEA I203_02293 MTSLASPDEFQSSLDQVIFHILNRQQSLDTLPTLPSPTSIQSTL SNLPTSLPAKGLGTSNTTSYLIDKVLPGILQAQNGPRYCGFVVGGVTPAAQLADILST SYDENVQVNLHQQTASTAIEQRALELVLDLLDVKRSTFLGRTITTGATASNILGLACA RDHLLSQSPHLPSDYSWARDGPPSSPTLPSPPIVILSIHPHFSISKAASLVGLGGGPR VIQTVPSDPEDELSFDLEELEERLKVEKEVGRGVIICYGLCEVNTGGFGKGLDRIAEL STEYGAWLHVDGAFGGFPGLMPELSEYTKHMNKADSLTLDGHKWLNVPYDCGLFYTRH VFSLTNVFQPPSASAPAYLASNSTLTSTPAPDDENTLEGTVLPADVPSPLFVNIENSR RFRALPLLASLLSLGKEGYADIIIRNIRFARSIARYIDKSKYYKLLNPSPSYLSSDDG ETPIIPSNIVLFCPTAESPFSPSDLSSSVKLTKAINDTRKLYVSSTSWRGQGAIRMAI SNYLTDKGRDLKIVLDVLDKIGKGETLEYEF I203_02294 MSSSIYTKGTRVWLPDPHTGWVAGTVSSLTLPSDEASSSECTMV ITYETDANTTKTLKFPYSVIQAASADAVSGNIQPSTPPPGQDQLPPLRNPPLLESSED LASLSNLNEPSVLHAIATRYSRHLPYTYSGIVLVALNPFSPLAIYGPEIIQAYSGRKK GELEPHLFAIAEEALDCMRRGSGKGGTDPTGAGDQTIVVSGESGAGKTVSAKFILRYF ASVDDPTKSENSGGRRREIGGDDDGMSEVEKQILASNPIMEAFGNAKTTRNDNSSRFG KYIEILFDQSHDIVGARIRTYLLERSRLVYQPESERNYHIFYQLLAGAPSKEKKDLSL SSNPSDFAYMAGGGPTSTPIPGVDDSKEFRETQNALSTVGISVEKQWHIFRLLAALLH IGNIKITQARTDAVLSDDDTALSLATNLLGLPIADFKKWTVKKQLITRSEKIVTNLGS AQAMVVRDSVAKFIYSCLFDWLVGVVNESLLGEGGEGAKKATKFIGVLDIYGFEHFKK NSFEQFCINWANEKLQQEFNAHVFKLEQEEYVREEINWTFIEFADNQACIDVIEGKMG ILTLLDEESRLPAGADASFANKLHQQLTKPEQKEVFKKPRFNQNAFTITHYAHDVTYD VDGFIDKNRDTVPDEHLALLQNSSNEFLREVLDAALTAANTAKPNGDQTKTAASAGPG PAKRVGAATRKPTLGSIFKHSLISLMDTINNTNVHYIRCIKPNEMKKAWELEPQQVLA QLRACGVLETIRISCAGYPSRWTFEEFAERYYMLVSSKEWSSNTDYKGLCSLILQKTL KDEDKYQMGLTKIFFRAGMLAFLESVRTQRLNELVTLVQKNVRRRIAYKHYQTLRVST IKIQSWYRGILARRFVEEKKKESAAIKIQKVARGYLARKRYTEIRNAVVKIQAVVRGH HARKRALEERTLSAVLKLQSLFRGLSVRRNYLAQIRKVVVLQSQWRRKLAVQELRGLK AEAKSASKFKEISYQLENKVVELTQNLQKRTADNKELSSKIKTLERQIESWQTKHDEI ATKAKGHEAELAKPTVPLSQFEEALAAKAETDAQLKETAERVAEQEKEISRLTAELSA QAAEMEEKQFTIDTAAAKNIEDQNTIAGLRAELASTKEQISRHNTLNALTKNERQREP PTSPTQPHGLRALQELGVNSERQPSSSRRRNRRHSTTGTGPSNHARNLSDEITSFKKN NVNNPRAVSVMFPPNGPVRPRDSSGLPLPTVLDNASDEMIRLLEDEAPLDEDVLQGLI YQLKIPQPSLHNTPSAKEVIFPAHLISLVSNEMWKQGMITESERFLANVMQAIQQHVI SFKGEDIIMPGVFWLSNVQEVLSFICVAEQDAAQGYGPGFDSADNSNIDFETYERLIG IVKHDLDSLEYNIYFSTMLEIKKKLTKMVIPALIESQSLPGFITADGTGKMFSRMLSG MGSNAQPTATMDDILNLLNKVWKCLKSYYMEESVMQQVVTELLKLIGQIAFNDLIMRR NFCSWKRAMQIQYNITRIEEWCKSHEMPEGLLQLEHLMQATKLLQLKKATMGDIEILF DVCWILSPSQIQKLISQYHNADYEAPISNEILKAVAARVKPDDKSDHLLLTPETDEVG PYQLPPPREIAGLETYVPAWLNVPVIRRLAMHVS I203_02295 MASFFNFSGSPVEIEIKLAGEDERRQVEVKGDKDKREMCPVYYD GESVTGQVNVRVKDGRKFQHDGIRIELIGSIELFYDRGNHYEFVSLSQELASAGEMRQ AQTFDFTFKNVEKQYESYSGINVKLRYYLRVSLNRTAKEREIWVHSYRMPPEANTSIK MEVGIEDCLHIEFEYNKAKYHLKDVIVGKIYFLLVRIKIKHMELSIIRRETTGAPPNQ YNESETITKFEIMDGAPVRGETIPIRLFLGGFELTPTFRDVNKKFSTRYYLNLVLIDE ENRRYFKQQEITVFRIP I203_02296 MDESALESHYNSWTAIGQTSNQLILYHPPSHALQVQPHPSSSST RVDSSANVEPSRRRPLRLLGGLSDSEVPIESITTVPHCPYCSQPLPAITTADPHSTPH PSHEADDTRGRLGKQKYFRILEQAHEGSRPPSPTPSTPSTPRKRYKTPLMEQDEDDEL DEADFPARGYYDRFFREECKLGMGAEGSVFLATHVIGGNVLGTYAVKKIAVGRSKSYL FKMLREVRLLEALRHPNIIPYHHSWIDVTRFSNFGPPIVALHVLMQYATAGNLDTYLL TRSHTNQPRPDLSAGDIADSESLGQLPKAERIKAFKRRRQSAAEGTAGNRKRRMKEMR GVLLLGMEEIMKLFGDVVEGLAFLHANSILHLDLKCSNVLLHWEEGQLIPKALISDFG TSEEMLRGKRERTGHTGTMEYMAPETLIQDTHGNWRPSDSHADMWSLGMILHKMLFLH LPYPDTEDYDALHKEIIAYPGFIPTTEIIQSLERRHIPRDLLVLLSKLESLVPEDRPG AEKVRARLKNLENKIRSTPSTLSSKAGELVRRFASPWTFENPSEDQAERNPPQSYSPV KTILALPSPEAERVVEPFETGFHSPSPDTTTTVTRKSLHGSLVRSGLNRRTGVKAIRI IVFTIKVMSLQPSVVNRPIPLSYMVLLLVLAIFELVGEIPLGWSVLLGTVHVGVLTHQ TFVDGK I203_02297 MAIPPEQSSSASQLQAASSSASSDPARAEQIYRDILSKKAADED ELRDQETALVKLGALYRDHNKAKELAQLVTDSRTFMSQIAKAKTAKLIRTLIDYFPPS SRELQMQVTNDNIAWAREEKRVFLRQSLEIKLVGLQLDSEQYRTALTMTENLLKELKQ LDDKIILTEVYLLESRAAHAIQNLPRAKTALASARTTANSIYCPPLLQAQLDLQSGAI NADDKDYKTGYSYFFESFEGFSQIDEKDQRALKSLKYMLLCKIMMGLPDDVPPLLLLK SAAPHAGKDLDAMRATAKALKERSLEEFKVALRDYQEQLQQDPLIRSHLSHLYDTLLE QNLIRVIEPYSAVELSWIAQEVGQSLQVVEEKLSQMILDQVFYGVLNESAGTLEVYEE PVEDPMYNTALDTLKQVGDVVKSLYDKVSLLRTFVVDRNIDVGSNEYPL I203_02298 MTTNLPLPQFYSQTLSTLQPMFDDTLPISDSSTQSTLTSALDNL YLIQRMINSLGVFSENESIDEIGGKEVVFMSVNWVIGAAEEKGGLGGRDDRISTLQRA ETAYASYLELLNSYGVLSSEEQAESSAAASGQSSVPKDPAKKREAKIRQYKREKELRE NIANSLPIQPEFSSSPITFLLSLLPSTSNRPSAIPNSTGSTSVNQEDSDEISKSTTIL ILRLLHTLTIASLSSISMEMKLLSNAPPSIVPLADRDPREIRREEEDSTWKLDRQPSK YKPRELISGNGRVLRPFTILPSTSNMSDRERLKSGVFKQSWRLPTMTIDEYLEEEQRR GNIITGGGQASYDAPTESELLELAAENDGSALAEEKAEQKRLKDENWARFADENKKGA GNTMNKG I203_02299 MPIEPITIPLSPRTPSALLSSLILSPRPQEGISGTIIPQSLMEI LSPILPSSSFPSGFDFGGTSPSNQDGAGRGIDLPDFPLPPSPSLSCSHAIRGGGRRRG VADQYRSLTFQESKQLAEILVSTFKPHKKNPKELMRVSIDLASRLDDCGIKWDKQRKV MGIHLRGLFEMGMKMRDGEGMDMYVQGSFVTTAETPHPIESNPLPIPLAQPAQNQPGC SMTKHTIQAGLLSALPINIDSSSPSRSTAGHRQTQSITSIKSILARPSSGISTLNAPG QPQSILSPSKHRRVTSLTLNHDTTFGPAMRSPTLVMPSVPASSFGQIRPGFELHEGLR ADAKLLERERKIQKWRERKKRVGLRIRVDNHKSHQPLTATRSAMSHRSAYSAYSARTP RTRIGYKAFTPVGAALTARTPHTPHTRRRGTPYTAAGRRVTPRYPREFTPRRGNGTPR YPTFRQLQGEVPHQTGRFQTNLIQHQPYSAISFRIPSPPLSGMIKSAHSVTSAYKYRI KKKFGLGLGLSVNLNLKVGKKSFTFGNGKQQKVERPRSGRKMGFGGWELR I203_02300 MTMSYHPYSYSQPPDHQQVMMSGESSISPDPSQTTLSSGSGSAS ASGIGKWNNNSTTGHGHGQKSAPVKAACLSCRNKKAKCDGQQPVCGQCARKNLECVFV KSRRGGARKRRPAIPPTALSEFLKKLDTLLMAPGLDHGPSRDSEEGQIDPNEDTTNIV RRFTSREEVFESYYTDVHPFVTVMPPRTLLRTILPTLLPDSPFLLAVQTILVLAPHAN DPNPTSTRSKRLRQAASVSFAEQTMALVDSMVSNDQLNLECVQATAIIALWEWSSQGS VNRNRERSTQAIQLAMQLGLNELDKYSSSSVPVGPNENGKTVEGEDWRKDMARRTWWT TFVSQLTAALVSGNQPIVGPDDPRIHVDYPVCSAIDHSWSNFLETVKSTIRVFDLVMS VYFPQLPSNGIENSTTSAAGLFSASDNSLVQGTQEEEARQKMYDVDKQIMDLIKKAEE TAVIDLVPGAEEEVARNQQLQARLGLAVLHIHIHRWQAFPEVSLFSKKICGLPQAPEF ADEGNSGMATPEYQYSDQQQFDSSMPSGGIQNSSYHDTNQSYNGYVDPSATQYDPSMY HSAGFDGQPFQPQYQQQQNGWGNSFDPNEVYGYGIEDMWAPETYPENLPAPWFTHPGG AAQLYAPTQQEPIHYPEIIPGASIAHIPTPPSTFQRSSVTPSDDGAGDRRRVSTDSSI SPAANKLHKAWGVDEKADKVLPPPALQQLEVFPPGISLARCATAAHTIVRLEVLHRSA VIAMWDGPPKWPPFCSCGLVTGAYAFLLLALAVQAENTFSGYTNSRSEEVEALLTNVK VILAGLEAYGTMWAGIDAMAGEVRAALEAATRLPFEVSAQIESATASPSTQGGTQE I203_02301 MARPLLNIDYPSVEERIADSTESWIKDLKSLFENAKDRFGDVCW ESEDLSLKKIWGHKAIIYSRAPTFKERYFKIRSNSNETNSRLLSPALLPTRSTSRPTS PSSNYFLQPHSCNPSSSQLSLLTNNSEGTLRPGGEDVLQLQSEEIPELFLTQLEWLYT GEGLGDVVHWIDTEPESNNSSSKPLRPSSSTNGDLKERRERLGQDLTYMWRSKLYADV RIHLDHSISSPSGGDNTDDSDGSSVDSLSSTAVFTSHKFILSSRSPYFASALFNHSSF LPSTTTTGDIHLPTPPFTPASLHFCLGYIYAGHLDFSNRTFDLTTSFAIHRAAAYLQL DSLVAEIESRIVHNFAHGLDWDTCRCKKCLVRIPRIWKFAMSPDVAAITLEHRAKIYL TRSWTESWSSSKEIGLCDSSERDRLTKSVQENIHPHNLISTFQAINNIRNKLNNALRT RIGGKLDWISNVEEMVNQVEIIASRLLIDHFSAVVDGREFGGLVNDTSFNLDTLEYVL DKVTEQVGSGEGYREAPLIYQSLVTFSTFKSENGNSLQPRNAPGSRSQVIINNARSKI LSHINRRWMQIREVDGFRNIEPAILRELSDEIDVPLHDLIGNINLPFPPRANGVGNTT KTTSSRSKASTAAADRFTSQTLPQLQPHTNGLKRLRLHSSVSTSSSTGSLSRASRFSL DNRPERSSPSISSTRTINQNASTTSLSSQRRPIASRKVGEPATTSTTSRLANPPPPLT DSHSTNTNPPTTAGGNHPAPLTPKITSPRSVASSKRSAVTSIGYKPSPSISSLRKESP STSSLSPLPTKSSTSTMVRPRISNISPRTRVDSQPTKTSGVDRDTRAVRPTQLKPKTN APSLNNEPPLASPTPQARSTGKPWTGPGVVLNIGIPCIVSHLHPNGRSRLRFQACIRY IGHMDKSKGPWIGIEGNDISKLGIKTLKDGSQDGISYFTISEPKMDENERSSSRSKIG NTTMSTLRTRKTSSLIDHRHPRFLQGKDKAKTSGRDQSMEVLFVRPAEVVFIMTSDQT I203_02302 MSGSGHHSSRRSTLASRPADLRDSRSSTIGGTGGDGGFMGRTPQ TTKNMPSSVRRNSVFASTGRSRASMAPGMYTNLAFRDPRPIRDKGFQSDCMRNVNEFL VSARYPAPISSKTLVSPTAKEFQSIFRFLIDTLVEQGTGWSKKFEDDALMILKDLKYP GMDTISKTAFTAPGAPQSWFGMLGMLNWLVELCKAHENWNDGHCISDPILSAPHLLPL DHPHLEDRLLWDFASRTYDQWFDGGAEEFPEAEHELELMYDRMAMTAVRESDKLEATF TKRNLELQQLHVQEPPLKKFEDEYLQLMEDKTKFIAFIELNKQKAEKTRQAILKIRDA ISGQNQDLTGQRLELSSIETAVAAQNLTPDEVNRMNHERESLSRGLDDLRTKIVEASQ MAYDQEMLVTKSMDRFETLLADYTASCHQIGLLTRSADLTGQLDYKIEVDLGVEDLED LRTSGIHMRSTVWQGLQNLRERYRQELIDLSDNRIALEDYCDRLGQQVEGQTEEVRTL EVKLKMINDQAELAQNKLNTENTVTNKTIFKLENDVTAMMAATQQGVLVSQSQLESTR IAYTELRHKATILHDSLVAQIGSHIDMIIKAKEHSANSLRSIKTLAETQ I203_02303 MSYNLASLTPSRPAPTPPASGNPNRPSHPHSHSSQPKSSFSSTS YASSFPTISPTSALSVTRQDSGRNEAVRAGLASIKEEGLRAFMWSKRWLVLGGTDLSI FKNEQSSSPVFILPLSDIQDVQRVDMKPFCIELETKDKLLYFAFRSDDEVYAWMDDIY NRSPLMGVSGPTNFVHQVHVGFDPISGGFTGLPPQWSKLLTSSAITKEEAARHPEAVL DVLQFYTQQQMGQSAGDYQQSPLPTLPAQSRTASAAATRFEGVGLGGQQPQRDRDALA KTEASYISSKPAPSPAISTPDNRDLPKIDRKVPAKPDVKQDSEPKALPSTTPIQAQTS TSRPERRISTMNEAQIMEKLRSVVSADDPAQLYSKIKKVGQGASGMVFVAKALTTGKK VAIKQMDLQQQPRKELIVNEIIVMKESQHPNVVNFLDAFLVRNSELWVVMEYMEGGAL TDVIENNKLTEEQIAAICLETCRGLQHLHSRSIIHRDIKSDNLLMNAQGQVKITDFGF CAKLTEQKSKRATMVGTPYWMAPEVVKQKEYGAKVDIWSLGIMAIEMIENEPPYLDEE PLKALYLIATNGTPTLKNPERLSQDLKHFLSVCLCVDVSFRATSTELLKHEFLQLACP IRDLAPLLKFKQATVNPEGNQ I203_02304 MVADRNVCLDYTIRVAYLQALLDRRSEAAATKEEEASPPLPTNH AASSRRSHDGSFLATFDLGSLMGKDSSKSPKYPEKLLKFFDSSLQRIAMGQEPKYSNQ RFRRTVARFWSSTWPDKTFQRQMKESRKIEDLILAFVTTSTKTLQKDEELVDGAWKSE LSLQVSLFLDLLYDCLISLGPLSPELASRLQSYRNRLKAQDATAKTDTGAEDRSKERN DPDGLSVLRKENGNSLMGPLLDIVATLFHLQREDLQRIITDGETICTEQAALDDLKKC LKSLNTDTPYLYSPYDFADPGCWNSWRTSEVSALSQLMLSMMQSNPSLQQTADRQSPS DLPFQLDTLNLDPGEYHFVYIPPNPKATYCELLDRCLNHDLELLKSLPEDEDVSLGIL SHEHVNLLQVCAARWRLLSSFRTCAFLSAIVDRYQQGDVPSACVHEAQAMVKRLQTDF PASAWPKPDKELLDRTIHRRNDCFLQAVETALHCSKGYHSEEFLEAVEDWDLLNVSEE QQRHLSTITTEILDSVKSQAFNSYIDHASKLLDQEGSKTSAFALQLGSWIEKEAKKLD KRFAAPISSEIDIVPLVLQQQLTLWFRDLEDTILAQSPNNLRLNMEEMFVLYRKSCKL SDMGNAFLKDMKYVLRFPLPPLFTGLVNIWLEETALKTKGWSDQALAVDSFEPTSPNG PSSSVTDLFDSFRSAVHFLMGLNWPDEQQLAGFATRLAKIISFSVNDYCQKIEQLFAQ DMRQGETVSTAAKQKAWLDKAKATLASLQGERKLQAFFNFTPQSCVKLNNIEAARQQL DQLYDQMRVDDLSAYDVAGGSVQSGYQAYLFTVKIVLAEGLTLESNNKSPDSFVILSD EHGNRYAKTRTIYDDTDPRWDESFDIPVKGSAWFMATVRHRNITGKHDLLGRSYLRLD PSQHGDLLSKDVLLPLDTRGHLLMRVAMEGERDDIQYHFGRAFRWLKRTESDMVRTFV DKMIPVLRHTLSRASIKLVIKPTINGPIDYNEALGKISAAYRSAMGSTEYTIPPTKEE KYRGPTDADIETAIHPLFDYLDTNNHTLASSLSHDAMQMVMAKLWKQILMTIEALIVP PLSDKPSRMRALGDGELDIALKWLKFLRDFFYVGGDASGVPLSTLQNAKFNEILSVRI YYDWNTDDLMEECIRGFQSTLKYRATKPSKSLLSQRNLGTIRARKSAKRALPNSSSNT EMIMRILRMRQGTQEFLAQQLQTISVVKLENPKKSRNSQSRLVR I203_02305 MTTLQFTPLNSQPTPAFWTALTTHKLDKARLNDDDQQITGWLEE GKQVEDHSNTSSTGGPTYVGIDGNISVGGNAFGESSESIPAASIPVTGILKNFNTIEE FRKTETKKEIFNQVVAKIVGSFKSDQPLINPFLLVTFADLKKYIFHYWFAFPAFVSSP AWRMNQEVLTPVQETDLRELRQLEAQLSSTENRKFEAFLVKGIPGSRSIAPLPRYQTF YSDVVTSQITVAFHDPSSSDTNPGWPLRNILHYLNQVYGTTKVMVFCLRQGRASRQAV VTLPREQQGSPDNQVSSVGWERTKEGKLASRIADLGPMMNPVQLAEQAVDLNLKLMKW RIAPGLDLEAIARTKCLLLGAGTLGCYVARNLMAWGVRNITFVDSARVSFSNPVRQPL FRFEDCLNGGRSKAVCAAERLTEIFPGIIATGHSITIPMPGHPIPPSASAAACEDIRK LEELISQHDVIFLLMDSRESRWLPTLISMNQSKIVINAALGFDTYLVMRHGVVNGDGD AEQLGCYYCNDVVAPTDSLTDRTLDQMCTVTRPGAAPLAAATATELLISLLQHPLKAQ APAYEPAVPAGEDEAALGPVPHQIRGILSQWKTMIIRGPAYNQCTACSPLVLDAYRQG GAKWLLEVFARAELLEQVTGLDQLHIQSEEAFDSIDWSDDSGSEV I203_02306 MALHYTVQPHRFDIYEGYGCQVSTWPSIPSIFAVSWWPVLLSLT AAVYGVIAIKFFLSRRLQFQTLLRSSRSGLDNRHYVRLMALASVDILLGLPLTVFSLS QTIIKRQPYESWAVVHYDWSRVDQYHAYQIFNTAGNTAAVVLPRWHPPLLSIIFFLFF GVSIDSINEYCRWISWFKSKLPQGLVRSSESLPIFVPKLGSTVAVPIGGDWKAPSTPE ERGIDETQSGKHMRGSQSGAIDGVTVAVRVEREVV I203_02307 MESKVKPQPRVSTANLGVGLPSGAYSAAGGTPSWRSGPSPSPTP PVAGMTPTTPLPPPTNAVPRALTERESHLVKHLSRLQFFLATAPTRWMGGDDSSTSPF HSGSLASPHPNLNRFLLPNGEHVACVFWNGLYHITGTDIVRALVFRFEAFSRPVRNMK KFEEGVFSDLRNLKPGTDACLEEPKSPFLDLLFRNGCIRTQKKQKVSQWQPHQFSVPH DRLFLDALERDLKREKMGLEPTTVVVGEPARSFRYDPKRSLFEQFAGKQPGLEESVNP NSRSIDPASTAATNSCQDHALEKSAFLPETEDSSPNTSVINTKEAQTASSSTELNHAH CDRLTSGPDKELLDSQSNANTVLFSRSLLKGSPAYKQGRRKSSREKKHRQQRAGTATT NYDTGDDESGSDSDRLHRSEYSFSIAGDEAPVSTSNYIPPPIYADIRGSPVHFDGASP AHSRQLGPASLTGSLLPLSNTIPPSRAHPSSWSTNVLQVTPSDRMAPSSSYTNFASTG HPAHHHPLGSSAQGALSASSVPSKGFSCPLLSCGRLFKRLEHLKRHVRTHTQERPYEC TRCAKRFSRSDNLTQHIKTHEKADRGERMKTEASESTEDDIAIMLEAEVDAMAARESR GHPTSTNSSIINSDHIYSLAYGENTSALQGHFSPARLPMLPPSFAGTEDIGGNTIGTV HAGRTPSVRPDWSSLGNPVPPMFRSVAENAFSTKRHRSMTPNLPPSGRTSLTEPHVSL QPSSSYLGASRYHPYISSTTVHPGSHPYTRATSLDPSAFLGRTPGYDGYSMDHASQDP LPDASKEIHPFASFATSENATNSDTQSYGLSDMISDEVLPTLSTRFQGVQPGEPSKD I203_02308 MFTCISCRVAFETAAEQRSHFSTDWHRYNMKRRVANLPPVAAES FNEKVLERREQNAVRTDPRSLSCASCSKNFSSENAFRSHVQSKKHRDREAQQSISHAS SAPHSDHHTPQNSYNAPSTDVGHAPSDSESEEEEDGDFSARIAKARRRIQPTDCLFCP SNHNSVDDNTRHMAKSHSFFIPDQDILLDLPGLLSYLGEKVAVGNLCLYCPNGGREFG SLEAVRKHMVDKAHCKLAYETEEDRAELADYYDFAGSDETADSDWEDLEDNMSDVSQE NPMPDQIKQQRQISLAPDGLSLVLPSGRTLGHRSLKIYYSQRLRPVLQHDTSTSAISA AKVALVRQRLADPSLALVPVAGGHGAFGRGQELMKARNAGEAKWAKRQGRSFKDQRLK EQFKTKVGYVHNNQKRK I203_02309 MDESSNIPSWADQSGSDSVQSNKPKGPGSQWQALNVGPDMIRSL LLRKFKNPTPIQRASIPGALSTPPRDILAMARTGSGKTLGYLIPLLERIGSTHSPSTN PRALIMCPSRELAMQILTVGKDLARGMTKGKGKETENLRWALIMGGESMEGQFEKMSQ SPDIVIATPGRFLHLLVEMQCDLRHLQMVIYDEADRRLFEMGFDVQLREILSRLPSTR QNLLFSATLPSTVAEFAKAGLVNPLLIRLDTEHKVSPDLDLRFLSVKPTEKDAGLLVT LRDAIKIGSGSDKEDNQPQAIVFVSTKHHVDYVSELLKAAGYRTSHIYSSLDQIARQQ QLHQFRKRFTDVLVVTDVAARGLDIPIMDHVINYDFPPGPRVFVHRVGRTARAGRKGT AWSLVTRDDWPYLYDLQTFLGSSRMGNDADMLKAFPQDSISENIEYILSGLDEVAPHL QDQREVMRKGQAMFERSRGKASAGSYRKAKSLGHLFSGSTFTLPIDPSLVDSRNLSTI AARNRLVASIAAYAPNETVLELGRRGETDNSILMQKRRKLVNSRKKIAAEDMSAVDDK SRSSDDKEDMSMAKSFRDPHFFMDHSQSGAASERGYSLQSGVSLPEALSATTLDMTAD EGSNARAQKASQLSWDRKKRKFVKTTVGADNKKMIRSESGALLPATYSTGRYNIWKSK KRTTSSSDLQNSNNQGQVDKIRGRTVVDIPGAKTVPHGGTGLLSARAIRQQREQKLKV CAKRYQMA I203_02310 MRVNIKHSGKTHPVEVDPSKSVSVFKDAIYQVTGVPSDRMKVMI KGVLKDDADLSKLGLKPTQVLMVKFQVIGTSGPLPSAPTQQITFLEDMDQDAVALAVR NPPGLVNLGQTCYLNSTLQALKTIPQLNVALDGFSSSSSSPESKVTNSLKNLFSGLNQ TADPVPPFSVLSNLRLMAPQFAETDNAGRYSQQDADEAWTQLLSALKLSLGSSSGTDG PIDKMMGLELTSKLQCAEAPEEPATTSKERQLKLQCNISINTNFLVSGILDSLNQQIE KTSSSLGRMAVYNSESRLSRLPQNLVVHMVRFYWRRDIQKKAKIMRKVKYPLQLDTLD LATEEVRSQIQPVNSAVKQILKERDDRAKIIKRNAGKATSDDATKEVDIRREERKKIE DLVKEKGIDGETNVSGMYELYAMVTHKGASADSGHYIGWTRKESEVPVASSEEEWYKF DDDKVSVVTADKILSMDGGGEDSVAYILLYRAVNI I203_02311 MLFRPIKVSSSSHSLLHLAFAFVEFRREDDAEDAYYDMHGRSID GRKITVQWAKRPPSSQWRHDGPGDSRDRRRSPPPRRRSPSPPPRRRSPSPRESRRGGD RDDDDDRVKERDYAGSKRGRSASPERTGRDRSASPVNKRRESRDDDRSRSRNGGESLS PVKRSDRDDERERRDD I203_02312 MSVSGNGGRVEPSQGRGGSSRFEGVTDICTLGATLAEDTVLATL RERFLISQPYTSLSPSNLISVNPHSYLPVNGDASLQDYVAEYYRIRVDDETSRDADST TKKDRLGPHIFQLALNAYYNMRRTRQDQIVVLNGSVGSGKTEMRRLAIKAISEVSVAA PGKKGSKVGSQVANAEFILESFGNAHTLTNDNASRFGNYTELQFNDRGRLEGLKTIEY YFERSRVSQTPSSGERNFHVFYYLVSGVHGEENSFLKLENTSSYRYLQSRVRRTGTDD RQRFEQLKQAFKIVGLSNRLVAQVCQLLATILHIGNLQFEIGGNQHEGAIVTNYETLS TVSEFLGVSQEALGELFSFKTVLMRKEVYTTFLNPEQAESVRDELARTLYSLLFSWLN EHINQKLCKDSFGSFVALLDLPGMQNNHGPVAMSNSLDQFCFNFANEKVQNWVLHKIH ESTLEEASKEKLTVTRIPYFDNSECVKMLSDNKGGLVNIMDDQARKKRTEGQFLEAMG KRYTGHASFSLATQSRSGGATFTINHYDGPVTYSTENFLERNANETSADILRLLRGNT TGSQAVSEHQGSNNPFIKSLFSSKSIATQAHPRNDDEIVAVQQPVRPMRAPSTRRRKG RALKAVTEEEDEEGEDDEVGGGNDGGNAGKSLYCIAGQHWSALDTLLQTFDQAQPWLI FCLRPNDSQLPSQVEIRSMKSQIRSLGLTEMAQRLQTSYEVRMTHFEACDRYAEEFEV RGILKGPSDVDRLQDLKRVLGLNDNQMAIGANRVFLSHAVFHRFEDRLRAEEKDEQRH RREDMEYLDEKERKGDPFSPYHRDVSPSASPALGYSDPYLQNESTVDLPLVDHAQPLR QDSPDDFDDIRGFAPSQVTSQFADSASNIGTETYAPSRNMFRDFDHKDEKDVLDVEPQ EGETTEEYKESIARRRWVWLCTFLTFWIPGFMLNKIGGMKRQDIRQAWREKLAINMII WFICACTIFVIAALGPLICPTQHVYTLSELSSHSSKNDPNNVFTAIRGEVFDLTQFAP THLTAVSVVPTKSLMQYGGLDASSLFPVQVSALCDGVNTPISPYVTLDSTNTTDVFMQ YHDFRAYTNDSRPDWYAEMMIMMRHRFRVGFMGYTKKDIKKMANSGRAVAIYDGLVYE MTTYIQQNGGGLKAPNGVELTADDQASRRFMADQVVELFTYNAGKDITALLDNLASTA GQDLVNKQKVCLRNLFIIGKVDSRDSPQCQFSTYILLALSIVMVSIIGFKFLAALHFG SSRAPENHDKFVICQVPCYTEGEESLRRTIDSLVRLKYDDKRKLLMIICDGNIKGYGN DKPTPAIVLDILGVDQNNDPEPLSFQSLGEGSKQHKMGKVYAGLYECAGHVVPYLVVV KVGKPTERPKPGNRGKRDSQMIVMHFLNKVHFNAPMNPLELEMYHQIKNVIGVNPSFY EYLFMVDADTTVDVMSLNRLVSAMMHDKKIIGVCGETSIANAKQSIVTMMQVYEYFIS HHLAKAFESLFGSITCLPGCFSMYRLRSPDTHKPLFISNGIIQDYSENRVDTLHLKNL LHLGEDRYLTTLVLKHFNDYKTKFVRDAYAQTVAPDSANVLLSQRRRWINSTVHNLAE LVFLDQLCGFCCFSMRFVVFIDLLSTIIAPVTVAYIGYLIYLIVREGKSIPTLSIIML AAIYGLQALIFVFRLRWDMVAWMVFYIAAIPYFSFFLPLYSFWKMDDFSWGSTRLVVG EHGKKIVIHDEGKFDPRSIPLKSWNDYENELWDQESVHSGSYMPPNKGEYDSRPGSAY GYDNYDARSRVLSPSGSYGDLRGQSRGGSIYNGVGMGVPQLPYQNRDLMGSPMNSNSH LPLGGGDNRSVFGDNKSLYGQPMNVDQRSLYAGSFYGVAGQQPMLDHRTSSYSLHGNN SQMGMGMAMGGMPPMGMGMQGGNGNMIGLDHRISSYSSFNPPPPSQQHQYQSPYGNTT QYQQRSRLSQPGSRPVSNFLGDAGIPPSASNDNNIGLSLGPEGITEAQLEVSIRRICA ESDLDTLTKKVVRKKLEEEFGVGLGGRKDSINRIIEQVLAGEFG I203_02313 MSIDINWSLLNSSDSTQDKDQGVGPSTSTSTSTFNNSFSSTNLD DPGIPDTDVEDALSSSLIQLLNEQLSTSKRPSFIGPITVTSFSFGDLGPELEIKDIRD VWRVFDQGDEEGDELLAEQEKEERLRMLEKEERERMERRGVISGLEDERYEYVNLDNY VDQEDIPNKRDIRVGSRNRDRNRSYGHGHGHGYSQSYSKSHSQYAGTTPIPRSISNTH TLSIADHQSTTQSHSGKSYIPFPFDPNSSTLNHHHQHPNQIGITPSTSSVSMSMFSPG LGRRPASIAGSISGHRPPSVVGIGIGVTSLLPPASAIHSIHPHQDQIPPPPPAPLTRD HSYSLPPSPPALPPKGLPAPSSSNNVPSAQIHFNLKHKSNLNLVLLTSLQVNYPSNLF MSLPLKISITGFTLQSDLVVAYSSEKNRLHLTVLPPQDDQNPNNTNQDYGYGYGYGYN KRNSMYSNQSIGEKIIPSLQIESEIGHSDAHVLRNVGKVEKFIVDVIRKTVVDELVFP NFHTIAL I203_02314 MPFFQSFQDFKGLTSSINPLNLFASEPPPDSATPTPNGTPKTTT NTAVAGPGPSTTAAAAAAKSSLPMRQPAGLPSTPSGEQANPLGRPVNSRSSSSNSPAI IRPSLKHTNTSSSSPSSSDSSDSTGRRRTSATNVSIADPEVSGVQGERRRSPRKRMSS RPASSESGMTNVSGIEGEVRSRKRKNPLDTYIIVKPPPTSAKNPLNLQIQLVVKPSRP RRDRSASGISSRSGSIVGDGIIASPADSPAAQTVDLPLEESEDNSAKETITSPEPVSS SPPSATAEKGLPGSPKSSSTESEVGGTGLRRSSSIRSSISTSTAATGSSAASGKRIEP MFNLAVHNVMQPTVVTDAATDVKVAKFYKRNLDITGVGVLEPSEVWLPTHQSATFFAS TSRQHADDSHPAPRQRPLSLVSLTSPISPTLSRSDDGKCGVRGSLDLKGFKMENLRLG QNKADGESKTRQFFGKVFKKKTSMGDMGPTKRKTSPSASFSSYDPPKSATSPTHDFTG ADTLHPNMAAVPRGSMVAASETLPSAGVGAPTFGTAPLVVRRRSSGAMITADGAVTGL TSHVEPPPAATNMERCQSLPIFPSNRPVGYTWSVKKWAKKNEEGWAAQLKAAANAGLE IVGGNSAGEEEEEVLFEWVKLRVPSNAAGDEVLRRFSTTGVISATRTRSRTRATSVPP TSNDTININSPNQSKTSLTLQPPKSHKEGSPFPPSSPNLNSSNQNSPFESPRLDARPE PVRRISASVSPSRRMSSTTLDADNDIPQPELTADEGEDSDPEDSETPWTCSVWVKKTG QRQLLGTLTPAPHHPKVIGILKIPQGLDPVSLTDLQLKGSNGNQASMIGHGHSQTIKK IKDNIALSEENLKDVVCVTAMWLVAREEFNGLGRKKSRRGTQG I203_02315 MSDVGRESLTDKVTSAAKPDSEKSYVEQATDFVKGKLDAAASVA QPQEEKSTSQKVGDALTGDNRNKDVA I203_02316 MSPSPSPPTGGSTKRKTPIPETSNPGPSASASAGTNLNTDEDGF TKVPTKEERRKMRKLSKHRPSFHFNVNEFRYGKKIGIAHVRDLVMYIVAEGNKPSWIQ IEHKSFISHTVLLFVPGLLPSHLGLNQIPTVSCMPFSTVPTPTTTGSGSSTDPLPPAR VPIIPKLFTYACPTRAPGDDRKLHSVLNSLLMGPIPDGLKKQKEKEAKQLAAATSSDL PPFLYLLTPHQMLDNDYPMPSYISPSDTPVIPGLDLSTLPARGSTTSMSNGNVKNKGN RRDDPWLEIPQADGPPEDGKWPVLAMDCEMVLSEDGQELARVSILDYDSGENIFDELV KPPKEIIDYRTQWSGITPEKISSATHTLSSIQSALQKIITPHTILLGHSLECDMIALR IKHPLCIDTALIYKHPRGPPFKAGLKWLAQRWLKRDIQQSEKGHDSEEDAKTCLDLLR MKMIYGPDFGNTMENMEPIFERLNRNKPSKTSAFIDYSNPRTSLGAKATTAIRCTTDD EIVDKIVDNVEGHDLVFGRMMELANVQGWNDRGISTDQFSPSDLDTSLSRFSERLTRL HESLPSNTALVLVNGHSNPLPMLKLTAKRQNWERLMKTLGGADNIPKDQRWMTEDERE LERVTGEAREGMAFFCVKS I203_02317 MAPDKGETHKAMYEELRLIVISLTLPVECPICSLLVAETDINLH LDLQCRGSSSAAGPSTTPKFRTKGSQSSPDREVIDLLENTPTSKLSNSRRSSNKSMPV ASIFNSTAKRKSSSQDESIPMDIDKKGTNVSSEEGKGEKKQRLNPLIANQPLAERSRP TSLSSYIGQTDLVGPGSLLRARIEAGEGVGSCILWGPPGCGKTTLARLIAKTADADFK ELSATSSGTSDVRQVFEQAKNSLKLTGRRTILMIDEIHRFNKPQQDLFLPYVENGWVQ LIGATTENPSFKVNGALLSRCQVFTLSPHSPESLQEILTNALSSLPPPIPHLPPDLIP FLADVADGDARQALNGLELALKDENERQKKRDEELMDAVRRGLRKGYDRSGEERYDMI SALHKCLRGSDGSAAMYWLARMITGGEDPLYIARRLVIVASEDVGLADSQALPLAMAT YQACQVIGLPECRINLAHCVAYLAEAPKSTRSYTAYKRAEALCHQPPLPGVPLQIRNA PTTLMKKLGYGKKYSYDPDYKHPVYNEYLPHTLANHSSHSPYPDEHLLKSLEAELKEK SWDEDRLSEWEWRINGNKEWEGRLSSKE I203_02318 MFSYHLLRGIAGPSTLPLTRTSPRVFVRNASNGNGQPHIPVIRT LGQLRRWRKEARDKGLEVGVVPTMGALHEGHLNLVRTSLSRHPLTVMTLFVNPMQFAP HEDLSSYPRTFDRDLALLQSVLPTPPSPRQMQSPLIIFAPTPDVMYPLKGELQDLRNH KGVEVDVRGWGEVMEGASRPQFFKGVATVCTKLFNAVEPDHAYFGQKDIQQALLLRIL VKDLLLSHPTSSNLHILPTTRSSTGLALSSRNAYLSPAELKVSPVLYNSLNAAKGLYE SKDTAEGRDITGEELVAVSTRVILDEQERVLSENEGVELQLDYIELFDKDTFEPIRGP IGAGREFVIAGAIWVGKTRLIDNFLVGWETN I203_02319 MDFDDLTVDNTYQLGGSDDERERAKNAALIEELDRKKKLRKMAV PTDDKKVRERLRAYGEPITLFGEGPGDRRDRLKYVQEQIEQARGTDRMDVDEDSDESS DEEEEEGEFYTEGSDDLLEARRELARYSLSRARTRIARQRVEVGLPLGKIVSLRKEVF SELKTFNNLGSQFGDDRPLSTIRFSPNSQYILTTSWTGDSKIWDLPNLNLVKTKKGHT DKIGGAAWHPQATLPGGIGEDGLNFATGGGEGNVKLWSLSGDKPIATLSGHENRVGRV AFHPSGSYLGSAGFDGTWRLWDVEKHKELLIQEGHSKEVYALAFQDDGALVSSGGFDA IGRVWDTRTGRTAMVLDGHVKEILAMDFAPNGYQVATGSGDDTVRIWDLRALKTQYII PAHKSSVSDVRFFRSQGEIDSIAINGELPLSGDVKGGITDNTMVIDQDQSNDNDIEVK EEDVKPTLNGLTGDQKREEGLNKSGLFLITSGFDCNVRIWSADEWNMIKNLPTDAGKV MSVDISSNGKFIASASYSRSFHLFGGDNSL I203_02320 MEASSSSNHNQPPATPSHSSLLPSLSPSGALEQTPAPLHTLPAH LHSQQPPPPQPRLGPAQQQQQSMQPPPPTTSSSSNGQSQHLTPAQQLQQQHQNVFGNV MGQGPNGSPQPGGTAQAKVYASVYSGIPVFEAMIRGISVMRRTSDSWVNATQILKVAG IHKSARTKILEKEIHPGVHEKVQGGYGKYQGTWIPFERGQELAAQYGVSSYLAPVFDF VPSPTAIAALPVIRTGTPDRAGQKTPSSSMAGYTPSLMSGNRGGSSANGRVISPFPHG HGHPHAQAGQLPPPPPPQFTPSNGDQSQMMGMPMGHPGQQPHQMMYYPAPQQHIYPGQ GDNKRGIAMAMTPSLSGDGHHNPSLGPAADINNLGLPPSGAEMYIDQYGQPHPTPSYQ PISYTTDTDMGPPPAKRQKSEDGAYLNGNMEEQQHEPPQQEGEGEDVDDGASDSSDDL RDPQSLPSSMRLSNKPVRPRPNSNTSKTRSRLLSLFSTSANDEGEDDVNVRQVFGLGP DESPDTFDIDMVIDNQGHTALHWACALSKLSIIKQLIELGSDIHRGNFAGETPLIRSV LTTNQFESGQFYQLLELLSPSIKTLDHSYRSVVHHISMVAGVKGRAASARSYMANVLE WVAREQQQQQITHSMNGDHLNGSSLASDTISLKTLIDIQDVHGDTAINIAARVGNKGL VNLLLDAGADKGKANKLGLKPSDFGLDIESLKVSPAEAIVSSLKSEVPKPERKSRDVQ KNIAAIFETISSTFSTEMVDKQTKLNATEQSVRVATKALADKRQQLHRAQVKVGELEL LNQRIDSLKQILEKDSVSTSEDWTGRKLLTGENELPLTFRPIQSTDQQQVKDQVENEN VEDDQDDDEIKLPERIQFDSTGGTNTNTDSLIKLRRINLWQDRILSLLNDNIQNLENQ NFEKNFRYRKLISLSTKVPVDKVDGMLDGLVTAIESDGQSIDLSKISEFMSRMKDTQN QSPTQA I203_02321 MSAEASSSGISKKSKKNKSKAVEASNGDGDVQEVDKNKRHRKDK PWDTDEIDHWAIPQFTAPSATEHKPFLEESSFALLFPKYREPYLRSIWSNITSELDKY GLACELDLVQGQMTVKTTRKTWDPYIVLKGRDLLKLLARGVNAPQAIKILQDGIACDI IKIGGLVRNKERFVKRRQRLVGPNGSTLKAIELLTECYVLVQGNTVSAMGTFKGLKEV RRIIIDCMNNIHPIYRIKELMIRRELAKDPKLANESWDRFLPKFQKKHLSTSEKTAKK NALLDRQAESSSTLNPNSIPVTSTSTTTGTTVVDDKPKRTPFPSKKKTYTPFPPPQQP SKLDLQLASGEYFLKPREKEAMEKRKKAEKQMEVSAQRKAEREEAFIAPPEKREEGVE ERRKKRKRAKAEDLM I203_02322 MTGISSDPDQWIAHIRQCKHLPERQMKLLCARVRDLLLEESNVH LVQSPVTICGDIHGQFWDVLEIFRQGGEAPETSYIFMGDFVDRGYYSLETLSLLLAYK ARYPDKITLLRGNHESRQITQVYGFYDECMQKYGNPSVWKACCVLFDHLNLAAIIDSS ILCVHGGLSPDIKTLDQIRIIPRAQEVPHEGAFCDLMWSDPDEVESWSVSPRGAGWLF GGKVTAEFNHVNSLSLIARAHQLVQEGYKHMFDDSLVTVWSAPNYCYRCGNSASIMQV DENGKMDFKVYGAARENDTDMKNPALRRMVSWFYIVFVLILHSMV I203_02323 MSDAASRAAARKAKILARGNTGLAKLAQTARGEEAQALYADDFK PSPSPSSTPRTETPPPPPVTSTSSSSTSAKPTSKPSWAPPSDSTPISSRNNPNPIANL TPEQQAMSAQLEAMMSMFGGGPGATGAPGADGEMPDMSRLLQSMMGGFTPNGEGNGSQ RLLGDLDDPAGLGGSGSGGLPPNLFGGGGGEGGDMPFPFPGIDGMGLGGPTKKTKSEK YFPLIHFISVVVLSIFTIIWWEPKIKKETSLLTAIEGDWVKRWSGLGYRGIGKFGNVE VVPIFWAFTTLELILQTSRFMIFKSPPPPHSLISNFLPLLPPKISRPILTISRYLSLI LQTYKDGCLLVFVLGMMVVVSQWLDGGKVF I203_02324 MTSTTIYDPTIRTPPSLFPLLTPQHQHRRRHFYLCGDETLSWES EWYTASFFTGARASYDSAASTGPVQPPTGIYQNVPQCAAILSSQLAKASSGALSAASA SGATITEGTQVITTIAVSTFTSDGSTFASSVLRTTTIPITTSPTATQTFSASEEATIT PTAPASAITDPSSINTCAGDWDWQGWAVVAGLGSGLIIGGIMWLIWLMLRSKLPGIYA PRTWAISQEFRPSQWTFLTFLLPFLHLPSNALSEGASTLSVLFAGLKLSALVSLLALG GVLPMILAGVPCLSETSPQNNLGGRLGTLTDLSLLRLLNALDPSPDSAATSNTLRLMF SSSPLGSMGSRSLTSTIAPAISSARVRLIIVLVILAVLACGGGLFVIARTYASLIKLK KSFENRTCQKMEMVFISSHDAAGWKGKTEEGVRRLLRDWCAKMKSSNEEKEVDVLGVF AIPDTTDIKQKVEEREKILIELEVAETNYIASFKLTHTASDGDGEPESQSTKNSSPVR PIPPDDFLAPIGFYKIPTVSHPQSKERLNVPVPPSLDLEEPGEASDSRFKEINRDSAM YGGRFDIGQRIKMDQTGNWVPDPSPQSEGTNELDTTPDTSHEGPTNERGRDSSDPPHS GEATRGLSTPPIISSPTSPGPPISPTSPYSPEELGQRPRMPTRSSHRVSREGTSPLAA HYASIRETRARFKELNMQIENMQKQKFAEIASTTADIKGWIVAGKGVRWLPYAELIEG YTREDILWQNAGASTGKKDEQTFWLKVLLSGGVLSIILVPFLALSVGTAPGFAHYLGL LKPLAKSDGFGSGVVEGLVPAVVLSMVVGVALYYTEGLSKDVKCISRIHQRLLAYKAV FHLLLCITVEWTVLVVALEYAVQGFAINVQESRTVGDGAVFSTWFVFVLLLNLAFVLP AVYLLQPHRLFKYLRERKKVITPRQRYRLYTSPSYSPAIGMAPCLLAVFYASTLLIIF PLLAIPILVMLYLSFIANRYMIEHVFVDSSAGYTGTVLALWHVRRFGWVLGFQPLLYG LILLSRNEWEIGGVSIGVAIITVLLSEGLTVLRFKDKRRKDLNGNTRKALDELSSSMR DTKKDDGNRNERNSRQSDLSLLNRVTALLPGYGRLPDHFPLPIPTERMDDLLQTERCS NLKPTSRSGQTAEGYQYFTENLNSIKGLIYPLEMLIPIPVIWLPRDQNGIAQGEMIEL GRYHNLLAIVDQPDEVRSQDAGVDKNRRPKGKGKERGDRDDGEVNSPLLVR I203_02325 MAPKGSNSNSSAGPSTPREKKHKKRNAKERSVETSDRPIKSARI DEIDNNDDVEEEEEEDETAQAGGDAMDMLDSGVGSSSKKGDAMEALESGQDVEGATVV KADEFETEAEREVEASKGLDGAAAGEEGKMKLVHQVRHQVAVPPNYPYIPISQHKRND PPAREYKFTLDPFQFVATSCIERNESVLVSAHTSAGKTVVAEFAIATCLKEGRRVVYT SPIKALSNQKYREFLETFGDVGLMTGDVTINPTASCLVMTTEILRSMLYRGSEVMREV AWVIFDEVHYMRDKERGVVWEETIILLPHTVRYVFLSATIPNSMEFAEWITSTHEQPC HVVYTDFRPTPLQHYLFPAGSEGIYLVVDERSNFREDNFQKAMAALAAGQGEDSADPN SGKGRKGNKTRKGGAMKGEKSDIYKIVTLIMRRNLNPVIIFAFSKRECEDLAMQMQKF DFNTPDEASTVQQVFENAISGLSDDDKKLSQIEGILPLLKRGIGIHHGGLLPILKEVI EILFQEGLIKALFATETFSIGLNMPAKTVVFTSVRKFDGKDFRNLSGGEYIQMSGRAG RRGLDARGIVIMMCDEKIEPDAAKGMVKGQADRLDSAFHLGYNMIINLMRVEGVSPEY MLERCFFQFQNSMSVPVLEKQLKEAEEARDAIVVEKEDEISEYYELRQQLKEKGQDFQ AVISHPSYSLKFLQAGRLVEIRDGDKDFGWCVVVAYNKVVNPKGRTPIFTESDPPQKQ YVVDVLTKVASGTASGNPKDRSSSGLIPPSGNDQGEVAIIACSLTTVQAISQYRINLP KDLRGQSEKNTAFKAVGEIKKRMKDGPPLLDPIKSMGIEDKSFKELVKKIAILEQRLG SLPITTSKDLPSLYDLYDQKQESISNVKQLKRKINSVHDILQLEELKSRKRVLRRLGF TTSDDVVEMKGRVACEISTGDELMLTEMMFGGTFNDLTPEQCAAVLSCFVFQEKSEAK VRLKEELAAPLRVLQETARRIAKISNESGIPIVEEEYIQSFKVEMMDAVLQWCKGAKF SDICNLTDIFEGSIIRCFRRLQELIRQMGQAAHAIGNTELEEKFGKSMELLERPNTVV FNPS I203_02326 MSFLSGMFGGSSEPIEKEPSASAELFNSTTFRSNVQPSSSSSSS ASSSSSSSIPQPPIDQPAVPTQTALTALDAFGTAFDPARLHPLAGLSENLELLQLDED KLNDIEGSASVLPSRGWTDDLCVGTGTTYLSGLLVGGMWGAKEGLSRPLGNNPSMKLR INSILNGCTRRGSFTGNSLGVLAIFYNLANSSLDSIRGRHDTYNVLGAAALSGAIFKS TAGVRPALVGASIMTAAAGAWSAFKNTV I203_02327 MSLLRAGGAIVLLSGMNIDARVVTRGNVGNQGSPLLLGPQGGGA TPPSANDSTSSSGRSGDDDPQDSNNNDDDDSDSDSDSVSTSTSTASVSTKASGISTTT STSTSTSPSTSSSSSISTSRTKTSTISSNTISTSSPAHTSENRLIPPDPPKQPSSVRY LVPVFLLILITLVGFGYQKYRKRKKRRSRSSMAGKDFEKLMKNGNDPFLTSATTTDNS NPYRGWKEIPSKDDDEDDGIWDSRMDDDAPQIRFIDEEHNTVRLEGSRAPTAGVNGGL VRSGQFISASEKGWGWRESWNNFKSARGKDNRNHFNTMSDLEEGTGDIDGEEIDEKQT MKLVKSNKLSASITYTDILPATRGVSMGQHDYHEVAIEDELSLSSPREGQIRQLKDQL NSLTYQNEIPPTNTAPKKSSRLERNKSPNSKRQSKPIKTDIGVNQDSVMPEAPEWIRP RSVSPTNLSILSPPMQPHLFFHPSPIPNKRIEPSIISEYSENGTISTLPSTQNTPQPS PNPDPDTNVKMPRIPSTASGLAGIDSFSVVNSNKMPPSAGADKREKGYIPSGLNPVSN SPKKNKGISSPNKLNLTLKRSTALKNLSNPSSKKSSSTLSPDTIINRQKISKKSRVEK KELKARNEVEDILKASWSDRALISPPLGSPTNQGFADHLRSQGVPGMMSPGLEQGGNG IEQRLALLKGVDI I203_02328 MSDEDIPHSFSLSLGEDSSRWIESIRIYNDFDENNDKDDNDNDN DIDNDTESSHQPHPSTIPSRPSLRSRSSSYSSDSDTSLPATPLTPKFNCNIKLPSENL HDRQDREYTKTPKLQTTIENDNSRSQSQETPKTIQVTSTPRIVDRNYRKILFQETPKK QIINRVQAQTGQETLGLKTPPNSKLRNLVRQPLSEPQPIILRPTTFWRHHPLSPHCLP KHSPSSRLIRRSTLIASPTIGIQHPDPDQETTRICVGLAGIDLDIDPRIRKLSLLPI I203_02329 MDDSMEEEGDSLFSLPGLSHRSRALYQTPPQPIHPSTSTGPIPP VGLGFHSAVVPQHLPEMDESFEQPNRWRATGDRGASPPDISTSTAYDYGQQDEQEQDD EEEEEEVGVEGSYEASESSSAQYDPDTDPEGFAQRLDELAGVLEIGEEESKAIRWGLP ISQKQKQGPDLPLADFRKLINHHLNTTEWKYTSSIPVVLPIPGRSGDTLPIGGGLTVD SGDIHPIRVLGRGWTERDEWIEMDSGSEDMQIEYTGGL I203_02330 MDIQQASDGGLFLLERQLEEYGNLDTILEDVGQLTGVTSNNVLL FMEDGRELRTEILEEAWNTAGPSSPSAAQRLKLYLFNRETFWSDAEQWAVQFQEDIIL PPPVDRSLAHIQHPFLVAHDHLSHLQSLYQAQSRALEIAYSNLSHHLQPLINEFQKFS VRAEKELQTEETLIKGAKVDMALLPKLSINPVLLRKKKEGEGDERIKTLGDFVNKRKM EQVRDSCRTAHEEHVDRYNTLAGQLDELALQSDAEMRAFTEQSEAVGREFTEGLARLE VAISQLSELLGSGAEDVAQDLVELDQAMRDDLIALTGVKNEFTLEIHLHLRQVAQFQS RITQIIGPLAALDADLRDKVAFPHLHRLRQLPFAYATVVAEVVRRKEYSRLLLEWTLR LSEALTRFTSTEKTRRERVQVEMISQLPFGVVGLDENGPRVDISVTTGAEGLSGIKFG QEEIEKLVLWVDSLKIDDEVCSALEEGDENYLATLQASIESLIGKMDFTSDELDRMIE RSVLTNRDKPRSASNSRMTLNLSTQLRTVNQEKAEQEKRLHEMEEAHQARLRELEDQY QQRLAVSQTRQAELQDELVRFRTDLSEEMLARQALSAELEERSREQEEKYREQEDQSD FIKGLQAELIQEKDRATDLGVRLQEALLDVDGLKSAEQTLIAQLQELQEERTRSLQTL GDAQLTAQNLESQLAGIRAELEATSHQLVEAQADRDLALRNQSAEAERMMRDHIAETD GDRAVLEHQNLTLTKQLEDKKVELEEKVNAIKNSAIRQVDGLKAELSFTKAQLREVQR KETVLIDELAMAKDSAMAISQEKTYQSDVSKDSIALVSKYHETCSRLLNAINNSTTIS GSNGGMQSQIVGGNSILKINHSTANLPLSNLITSNSNSNNHSNKDELRESVLIRSLET AQNFDLVTFSEAVTKTIGLVKKWSKSCRQFRDLAKNKISFTNFAKGDLALFLPTRNAA ARSWAAFNISAPHNFLKVTDAMQEQIKTREWIIARIVKTDEAIASGGDSTDTNPFGLA DGLRYYTHHVEEYNPHAIRPSRRSTSASLHPNSEKDTSISQMLSSTHRTPGVAEPISG VDMISPTKTPRARARSGSGYFPPMMAVSEKEKGREEISDSPEPEDDMSTEKKENQNRS QFRDKDTADSTEQPKGKEASESLDQSNTATIETGISTSMSTPLPPVPTSSPTVPKPSS QPIPPRKTHSRLPSRGTTPVSPPLGSGSTRENFTPGSIGRPSSVASSSAASSYPKGLT FGPSSGKGALAPAMATTTSTDTTSSTSEDKMNVAVVKRKESNTHLNLSGIGGRAFSPD GNRPSPLGPLGLGEGERSGRGNRPSLETSGIGSGSTSPNKAGSSSNIGGGFNALGTAP TGGTSSSTANKLIRGFTIGRKSSLNKGAIPDNQGDTEENNGREGTGTGTVRKPSIGGK TQTPSAMDMLKRFESGSNF I203_02331 MSDQVGPREVPAQTHEPSSKEGLDFVQDGYFRHSDIVFRYVDKL SPLHAQAMGQLINGHALCHPNHPLRTPGDGGLTLYVGTDLLGKPRLLWKIQQLQYLQY YIHEMRLTTPSWIEYHSKRAELLAEEGEDKADKLEPPSLEEGNDDWVPLPSYPCRASD MVIIQPIKIISSGFLKELSKLVTKDGKTVERYRRQGVPIPTFDPHRYEALRDNFTEGP GLRKGPEKSVKSDAARQEAPDGTGWGGFPLKKENKVDKNEEEESLDVKTSVPESYVEF DVAAPPAAHQANRSTSPSISSNTSTPFTDIGASYYYHCQQAFILAISGGLLASLPDSQ QSVAGEEDAEEEEGLITIPEIGRSLFIALSVTRWEKDPSTMLEIGYSAIWWEKVPDEL KKEDGMDYEEMRDMGHFIVQDHLLHKKNGESQPDYRDSYLFGDSLPIEASKIRTTLKK LIKDLSAKAGNGPIYIVTHVSEGEELNLKDIGLDVSLTDGDLQPDGWEVPPYMCAAGC GSVFIINTASLFGAIENVPPIPVGSHHFAGRTKRTLETTALTTFGSDPNRRPEKCGNA GNDAFYTLAILVETMTGPTLPELRADYLTNCLPSSHLKAGSMMQEGPEEEVKVIRTVP LTPLGEASTTEQGETPEVVAASERLKGIKVEDVDVNYEDNESDDEGFREDEMITGIYY EDEDGNLHELSD I203_02332 MPDSMGLDDSVPIKTLLVTVFESVVEVFLLCLAGYILSKAGVTD KATQRKLNVINVSLFTPALLFSKVAYSLTPSKLKEMWIIPLGFVVVTGLSAGVAWVLA KLFKLKKSQTAYAMCAAMFQNSNSLPIALIQSLVIEVPGLKWGKDDTKDQMLGRALTY LVLYSTLGMMLRWSWGVKLLSQADDEALEIEEEVHRPLVHDHTVQSPEALAPHPGARE TDPFFTTANNIHHDEEDERRNPTGQFIVSSPSGIVEEPDSPTQPFTAPGSAHSMHPPL VKRGSTAGQSVMSRRSSTYSQHRKKLNRTESGREFWGLPEQPKMHHIALIEEDSSESE HEDEEWGTLTPSLRRRPLEPPRSPFQSFMLKVKNRSKSSLKAINDFMTVPMYAALLSI FIAMIPPLQAQMARIKPLEQAIKGAGQCSIPVTLVVLGAFFYTPPEVKPGSGTIHLPR SEDKKSSGFLGYIKSFFGHDEAYSSNDKSKSYPGENKTVFVAVISRMIIVPLLMLPVL ALIAKFDPFEAAEDPVFILSAILLVSSPPALTLAQITQAASGDAFERLISKTISWSYA VLTPPLTLVYVVIGLVFGRL I203_02333 MSLDPVIPTIDLSSDSPEKQAQVIKDALGSVGFFAVLNGGPKVE DIDALFEYSKDFFALPMEEKEKFLAGPSGSGYTKLLSQALGEGKRDHKETFSYGKYCG IEEQPMPNPFSVPDTIALQTIRRFYKDCHEVSEKLMELFAQALELPEDHFRQSHSFGL NTAMSLIHYPSLDMEGKKQLGEKDIRAGAHRDWGTLTLLFQSTNPSLPAQPGLEVYLP KSAIYKATKSSLAAKTTLESKDHDSASTDSYYTIDSDGYEWHPAPVPPRGGFLVNVGL AMELWSSGIYKATLHRVGFPNSISSHSETEQDEKEEAGLVDRYSLAFFVQPDDNVELN PILPGGKIDYTKKAITSGELFNTKLKESMDRSKNIPIPDKSE I203_02334 MADPKYSPHQIGALPFVQEPRYLPSQNQPQQSYHSRFRYHAQPT SQASIQLYDSYFPLQHEGVSRSATNFSQGISISTQQQTNNVDEVVYRYSSEFDRIVRP PGTPTVGIYAQDGLWDEEDDLNTNTKASASGPSKKITIIGGGSKGRTGTVYSTSGSNE KSWTWRRPSMSQLHNSPSMSLEEQVPVPLPVPPKGLEKKKSKGLLRGKGRKGELFVNV AADADESFEAPPPLPIPATPASFMTESSPASFSSPTFSPLNYSSSTFDTPATLPTPPL TSTTDSSTTKAPKSGSSWKRGMQRIFKSKSSAALRDASTKEASLSPPPMPKLPNLPGP SNHALGKPFSSSTPPLGESRRCNNLPAPGYLSTPPSATTESFVPPLLPNVPNDPFASS LDLTCNNPISSPLASPKPRPTLRHNSPSLRDLKNLLPNTTKPAIMKAKSFATLHRRED SRSVQKEQDRLVQTQSSKLSKRMSSLVGLNIFAQAAQENTKDLEPLEHPPTQVSLAAP IESPPLLPPHPPYFEGTSRSPSLPSITTDSSSTPEDSPRISMPPSAPLPPVPASTSST NLAAPIQRSGSGAVLLPRSRSTSMSFKSPPTSSSFFDLYEQLGIWPSAEKEKKEIHDE PQEMDAATETEIEKEQAKPLEEDKENISPPAVELQIDEESDRFMEVAGVSEPHLQVNM PRSDTISSTASWNVALNSFPEAPGGDVLDFGLPYVADEEIVEPSIPSNQHVQDRSDVL SIVAVAASSRNSSHQTTVDNSMSTDKRDSGSTVTHATSVGMEYLNSSLYSKGRGRASG SGNSSRDSSPERERDSLTDREEGEASSSEDDDVPLSKLHPEAAAAQVQRRETRRKTRE AGKAQQAKMVERRKSEKTQGRNPGGEHKWDGEGGIPADILTRKLEGVLITRAEREVAI QHGGPSVPQGLKAHRSMREHAPPPTLDHAVRRAQSQGHAAHPSPTGWKHDHPPVPPIQ TAIPHRTPQTHGVFNPESAISPTNTSFGRIPSAKGVDPAFAQAMRGQVYEPTSHPMGY SDRSRQNSTATSVSSRIPAAPAGTRAPSRQDDLSAQVTRSNTNATQYSIASSRSKAHS NGISPSTQKDESAALHARSITEPLPQASAQSHTHTHHTVPAPAPAPASLPAQRVHATV PAFVSALNGKKIMLDLTSTTTAREVLVNTYHKGDLVDATVGKSWVLCEIFAEMGCERQ IREYESLLPIVKGWDQTAKFNCFVFKQSNRGMPTWARAVPTTPPMLGQWVQYETKKGK WTKKWLETRGGQVFLAKNEKNKDEMHINSLFFDIYAVTRGYDSPKPSTFIIKRVEPAS SFEDPSDYAHVFSCDEGLAFKLMAAIYDAKSYAISQTNPTMITSQLPTPTQTSTNHGK RPNFTSHHSNGPPTHSQHQPLVSLVQDDKEKKSAFTGKGLLKI I203_02335 MPSPPLTIPSRPSVSSTSTITSSSYEERRISQPTLISSSPSTPL IISFCSSSSTCSSSMRRSSSAPHPRRGSSLPSIPSSVEVEDSNTSGPRMDILQFISST IDPTWDEIESSPLSMVPSILPSTTELDVDLVTFLREAPGVVRSPLSDSVTSFEDNSQI GNDEEEAYGEDEMDIDMNKLALVTPELKQVSLRTFLEEEHSRYNTHFPGHGHGHGMEN SPNPSLSISEIYGLGGTSSRLKLNTTSFDRLDNTEGNQLTMTEFLNGSISRPSTSNTS RSRISTFDHLLLSRSSSNTDRSRSRSSTMNSGTASQKHKRVVSLTNKNPPLSLGLGLH VSSDENTSNRHHSHGVGKVMKFARDAFKIGNNGSHRS I203_02336 MPRSESSTPSSLSLIRSSRARSNPYPKIQPKTKPSPKKQGDTSQ KVKQEYFDDKEDRTSDLVPSSSPFPSPISSTQEGDDELVSEFGLGMDEEKPTFSDSDF ESIRPKKKFKTKPKSGSPKRSSNGGTPRKNGGVGRVWTGEEDWRLFRELHPKVGKPDW MGVANKVGNGRDSKVSLCLHLQQFNPYYLFSTLSTSWSEMGLKLFEKCA I203_02337 MTQNYLDLPSPPASQKRLTSTPTLTTDCYDRLDGLIHHIPSTTS ILTSSVEHLGGAVWWVVGLWSLSVAKTSWLVSEHDSDDTLTSQAKGGSMPQDDCEGYE KIQDRNGDEQDIENEEGEEVDVYDEHTRLLFIQAIQEARMMVQAQQSQERKRNAKIEM KTFRKLMFNPSNPTHIDETPSVDSLVHAWTFGKISLDFEDDTVKVIIQTDQGDLVLLQ DANNQHKSTLHATHKEDTVTDPKKDELSYWFAKFLEHVRDHPVSSIPIRRPMRGPKFD LNPLPSAFRNAINTERRKMKDFKRKYDEMMNQDIDPTSSTKEEVDEQQKSEERWKKVN EWMESHFNGKKHKEQKRIELERKTIWERLKSDQEKSKAERRKVDGPRQQDVIRPVKLS LFVGGTISDKITRSRSRDVVDTIQRTEETGSSRGIRKTQSGTNGIMGSESSILKKKKS VHLDASAKH I203_02338 MATLLPPPKRQKSNYAKSLEKPSAPEPEVPIPSIVVQFKSSEDG SNLGPAINLPADTGRDALQMLVNKLRGETEDPLPYAFHLVPKVPTTSTSTQSARVQIN NSIQLDALKTPGTTFSPEDVFELWCEPQAVFRVRSVGRCSATLSGHSSPILCCAHSPT GKYAATGSGDATARIWDMDTETPKWTLTGHKGWVLCVEWDSREKILATGGHDGQVRLW TPSTGQAYGQPLFGHTKWITSLAFEPLHLVKSNSPSPRLASASKDGTVRIWNTSTRTI EFVLTGHAASVNVVRWGGENVIYTGSSDRTVKVWSGVDGKLIRTLNEHAHWVNTMALS TDFVLRTGPYDHTGKVPKDDEEAKTLALERYQNVISTQPETLITGSDDHTLFLWPDQA SSSFSTSATPKKPLARLTGHQKQVNHVAFSPDGRMIASAGFDNAVKIWEGRTGKFIAS LRGHVAAVYRVAWSADSRMLVSASKDTTLKLWNLKTYKIRIDLPGHTDEVYCVDFVAD KVVSGGRDKTVKM I203_02339 MDSEKHVHISSPKGPEDASNIADEYPSPERTHATLSEHISADEA SLNQQEQETSTQDAENQEQQQQQQQQHDHHEDTDMQTELVNQVAAQALEAAVAAQARA NSSTQTQDQNQSQGQDVDMNLQDQHQQSQINGIGEDQIRQPHQQHHPRQPTFPKSRLS SVSTSSPQYSHGLPIPRISKQKSITPKLTPNEQIAILREAYAKNPNPGKKQLEYLAEK TGRPWNKIREYFRQRRNKLRGLEDLENMQEPGRASGWLQVAYRQAPPTASITQLSLYN SYKHRFDPYSITTPLLGGQELIQLACATFPGCEMAKDENDYVLKGLKEKEKENNDNQN NNDTEGENQGEQEEWEKGMEGLVEPLRAGSWLLSSFQHQNDPNAPSTLTQTDLYTSYA ARFASLLIGAGQSESTTSQEQSQQQPPPAQTQEEQDHEADMRAFEEAGLNDGANDQDQ DQDQDQEQEQEQEQEVNVDGDSSHQHQSLASFLPLSDRPSSSPAPPSVQQQQQPKKEN RLLNPFELINLTRMTFPKCEPVVDSSGKFVIKGLERRLGHIPGSREREREMFNFALYN ESKPGEAFVGLMKRKLGMLNSESSPTSTPRSTARDEDGERESKKTKIDEGKQNEEIQD QNKNQNPNQDLSEEDKDLIQGLKRFRNSQLGDQVRDVCISQ I203_02340 MDSPLLPQFDRQQLDDVSLLEDPSLLADLSMDSDVHSHASSSNL NHQQHLSTNDQRTLGQRPSIFSSTSSSSHPYPYRQQKTVNIQYDDDGEETPIKISKPQ TKPRFSLFASTQPTTYDDEDGNENEDEDQTIQHSNNLQPRDEEEEKHEEHEAGRRQRR SQLLSDDDREDRLRSSLYELRKMNEVFEGVIGAMEGVRGHNQRLAERVRQTSALLDEY TAIMGQAEHTQKLLLNTKWTGSTDDAEAIAAIEQARLEAIERAEEELRRAAERARLAE EERERRLLEKERAEASNSRGARGRGVPRGSGPRARGTGIPRPSIATSRPTSSTTGTKR GTTSTTTTRGGGGLGGQYNHVKSSGYGPR I203_02341 MIDLIHFQTEKGGNPDVVRESQKKRGASVELVDEVIEIFGAHKQ AQFEKEGAQRELNALQKEIGQIKKAKGDASELLAKKAELDKKIADLVTKTNELVTLRD KKAGLIGNIVDERNAVSMNEDDNAILRVWHPEPNHKGNSGTGLALEDKSEGILSHHEV LYRLEAYDTDRGVKVFGHRGFYLTNDGVDLNQALISYGLDFLRKKSYKKIQPPFMIKK DIMAATAQLSEFDEALYKVTGDSEDKYLIATSEQPISAMHMDENIPPQNLPYRYAGYS TCFRKEAGSHGKDTWGIFRVHQFEKVEQFIICEPENSPAELDRMVETSREFYESLEIP YRVVNIVSGALNNAASIKYDLEAWFPFQGEYKELVSCSNCTDYQSRSLNVRLGFKTKD TKVGFVHMLNGTLCATERALCCIVENYQTPEGLRIPKVLQPYMQGREFLPYTAELPKG TTSQKQKK I203_02342 MSHGTPSPDRNRPLDDRKVTGYDPLIPPALLRHDLPVPTVANKT ISSARRTAASIVQGTDPLSRLLVVVGPCSIHDVDQAKEYASRLRKGVQEGRWPGLEVV MRVYFEKPRTTVGWKGLINDPDINNSFAINKGLRIARQLLCDINEMGMPVGCELLDTI SPQFIADLITWGAIGARTTESQLHRELASGASFPIGFKNGTDGSVGVAIDAMQSASHP HNFMGINSQGMASIVKTSGNGDCHVILRGGTHGPNYASEHVQKALSTMRTKNPDAFAS IMVDCSHGNSSKNHLNQPKVAADLAGQIAAGEEGITGIMFESNLKGGKQSSDKPRDQL EYGVSITDACVDWEMTVDMLDNLNKASLARRAIVDAKHANGNGELPAVKKLKTEE I203_02343 MSSQPLHPLPPFTAETAHHKVKAAQDKWNTKSPKLISPAYTPDS IWRNRDQFFKGTEAIERFLTKKWEVEHNYRLRKELFAFENNRIAVEFWYEYSQTPDKS SQWYRTYGLEHWVFADDGRMKSRQMSGNTIAIKDEERWFKGSVDVNEGEVPSGHISLK E I203_02344 MKYLDYPILNQLSSTLSSDGDSDLQVNARFEAYSVKPVGKEKRM FKEREEAYMSEQEGMDEMSFSPEMREAGLASCFGRLDEKESRKVHFLLVSTLNAAFPD HDFTSLRPDHFTRERSAAQVLSHLSGSLLSSSGAGTTPIILSQLASFTPPTAHKSSPI ISPANSSPNLGPTVPYPDLYRILNDVIPMEDCEVYSWFPEPEYDPHIDTPTVPSEDED EELLFEQDKMDLDDADNDPSWGVGGMEMELDVDEEKLHSSALIIPHDWDLSKERKVNG LLWSANYFFYSKRQKRILFLTCWCRNRPSHPIPQIESAFPVQISASFSSPSSSSFEHL VPLVNSAASRTHRYTHHHSHHRKSKSNLRTISSKSGDNTSSTIPIRGMERPSYSNQIA PSTPRTTSRLASSAPGSNTGFMGTKSPMTKMMAGGFKPKQTPARAAMNAQQQQQQKPQ DGPVDIGRTRERSGSTTPGPSVGSTSALTAGLRGNIHGLGEKGKRVKV I203_02345 MAKGKNHDRKANPGFGKQKLKSGSAGGEFTIKKVKGENFYRDAK AASRVKMLNGGKAVRDKDGNIVQAAAFQKGEKEAEPGRVRPDRRWFGNTRVISQTALD HFRTALKEHKSDPYSVLLRRNKLPMGLLEDESKMGGKRPHIVETEPFSNTFGPKAQRK RPRLDIGSLEELGESSNAADLAAQGEASQLPSADLGDVYHPTTSTAREPIYQKGTSRR IWGELYKVLDSSDVVIHVLDARDPLGTRCKPVVEYLRKEKAHKHLVYVLNKVDLVPTW VTARWVKHLSLSAPTIAFHASINNSFGKGSLIQLLRQFSVLHSDKKQISIGFIGYPNV GKSSIINTLKKKKVCTVAPIPGETKVWQYITLMKRIYLIDCPGIVPVSAKDSDTDTVL KGVVRVENLATPAEHIPSLLERVRPEYIERTYGLEHREGGWHGESGAAILLSAIAKRS GKLLKGGEPDQESAAKMILNDWIRGKIPFFVPPPAKEQQQNSQGQETTVDAAQAQEDK ETTEMLEEQERSLGKILGEKRVKGVEQPISKIPIMTKFLGDDNRRYRDDEDEDKKDVE MGENAEEDDDEDEEDEDKEEEEGGELAWDDIFPGNAGSSKFAGLSEEDDEAEEDEEDE DDEDDEEEDGEEDEDEEVAISFSAPSSKKAGKRKAVEVEDEDKPSAKKEKRMTTNKKK FENFYTHANVKNRNRERKVPKNPHKRHREGDEEPTGKKRPKSKKY I203_02346 MATIYRTAQRMAHESPVIFWSLAIGFAGPIMVLTVPPIRKTFGY KQAERIPTTFPVPNRPRRAVSGYEDP I203_02347 MSILSTLGSFQAPLTLLLIIFGPSLLPRLINLFRPRALSSTPRP VRPPRPLTLKLILGVHTLWILKHLVLPPYDLFVNNNLPISISNSQIRYALLGSEYNPL TRQVHPLLELLMTRLKIMDNRILYFKFGHEPISECVWCQTPTDYLIYSLPNILSWYLL EATLLGGMAWKWIAGPEAPQRAETWRNTFGWILVVAAMVEGGIKWGWDLRVVEGDALH LASIIHTLRSIFLLSFPLVYTFLPLSPTPVSSSTLASIVSNTTSTLRLTSLARASIQR SPILRETWSLLGKRDAEREEIARRDEDVRRIVRELKLDEGTMRVGARGWIRDGWNGMV RVDPNPAARAGM I203_02348 MVSTTEKPDEATLNAIRQRLMETGDWDRIQKLLRNQLEENGWVD DLKDLAKERARAQETPNLENLIKEISETARGMISENTKRDVAQEIEAVLDREVDHA I203_02349 MSSDNEQYDETMRNLAAAMRAQDPSAHSRGSGRTARQRRRDAER RDEQSKQAIYSATKYTHDMKRQTEAERQTNQDAQDAGATNVGAPQNAEDAESWAGDST TLAGTETPPSEDEDGSNTPLEGSVLSSETGPISEVGSHAGTGATPPYSEDSNTLFEGF AQRHDHGSESGPDAGFLQGPGTHRYNIDGNNYRIGRIADSAQVTRIDHALDGATQINK FFGRPRATAPSGRTAQGRAPANSTTMPHRTTNSRPSEPSAAPDTSFHRVFGQALRSRT EQLLADRGAQQSDRDTYDTEHIGGDAIVQMRTRNGETGVFWGSGDHTADQVPSQPGTA TQPRGDTGMTGGLSGPTARGNYRAPEAEEGSDNGA I203_02350 MVRPQNALDEIAGTATLPSIPMDSELRRVDEKKSSRSFKAFEII QREENKGLMVLPHKSNPLDVQCSIITVEATSEVRFFVAGNAPVLSMCVSIGNPYERHG DWPSSHSLLDSRRYASSIHHILQRFSIL I203_02351 MPPMPPMPPMPSMPTFHFTQMDDLMAQFGGPEGFGAFGLFDDES DDMTVPRGGSGASLSHQSQPAQGKHHEDKTMDDNTKSDSATASDVAEGTRSSNLSPER GAKSSEQGSASVTATTQASKSGHSLQPTVEDNPEDWEVV I203_02352 MPLSSKAVLNGVLKQKDTLTLHELSRLDLQAEGFNFGGEGISHW SMKSKNTTGDKDVNMIEKEEGSSRPSHYEGARRADEYWFQTKGVKLGEVEDEVNLSST PTQTSATATAPGGGSIKVTSARSLWATIPSGRQQSLKERWTANSADTR I203_02353 MNPIRPLLRTSKLRSISSTSTSSIVNRISRRTLVTPTEPVRASV SNVTPEHVREDPGELDGGDVVANSTEGGKEMFGFKLNPVETKTGGNAKSTGRPIYLDM QATTPMDPRVLDKMLPLFTEQYGNPHSRTHAYGWEAEAAVDEARQHVASLVGAQEKDI VFTSGATESNNMLIKGIAKFHQGKRKHIITTQTEHKCVLDSCRYLSTQGFEVTYLPVL PNGLISLNDLKAALRPDTSLVSIMAVNNEIGVIQPLKQISDTLKEYAKENKTQRALFH TDAAQAVGKIEIDVEAMGIDAMSISGHKIYGPKGVGAAYVRRRPRVRLEPLIHGGGQE RGLRSGTVPAPLVVGLGEACRIAKNEMKLDHARVKELSDRLINGITSQVDHIVRNGDA SGYPGCVNLSFAYVEGESLLMALKDIALSSGSACTSASLEPSYVLRALGAAEDMAHSS LRFGIGRFTTEAEIDLVIARIVSVVNRLRDMSPLWEMVQEGIDISKIEWSQ I203_02354 MDVSHLAHLIRRSRSRSFAAGPVGHANIVVKSPNDSGVVLEKKA AGEYGHVTAPTSTAGAATRTVGKAAQITSTQRQAAQAATIGTTRSSVSSIAAKAGTSD GSAKATLALSSAKSTIAQSSSAASSTTSTSTSSSTSTSTSTSSSSSTTPSSTSTRTST TTRSSSTVHSTSTSAVRALTSSTTSSSLPSSTLNAAKTSSSSSGMSTGSVVGVALGAI FGVVVIGSFVGWLYRKYTARAYSSKSPWSKIDDDITPYPPPNEKYSDQPADDIYGGAA APVIGSRRALALARENAYDGSLRPDSEMYDRDSNHAGFGAGGLAMGMAASPSYGYDAQ GRPYNPQPGATPMSYGYEDQYTPYYDSSPQSADHRQLVGPNAHPYAMSMPTAMPMGRP AAPSTAAEFALAEDYADEPLTPGLAYTGDEPRTPTSQIGTAFAPPANGSNYNQNRSRV SLIPQAPRVMSPTESLTVHDTVPAPHLAPPPPVASASSSHIPLPAFAPLSPLMDDFTF KRQSQPLAMYEDEKTAQKRMYTEVATTAGIVEPTTPCSAGPSPDPQLNESTTSTTSSF SAPQANTSTETMRLPEVTVNPPEPYVHGQPLSPLKEVPTPLSTASTGEPLLNPFDLPL PTRNPGSSSLSAPPYSATSSSGATGYPSAIPSAAYPPPSHGGMSVPGSVTDSPRWVND TSSTSRGRTVSVYEEDDAYGGI I203_02355 MSSPKGFKYVIEHMEEDDENTKALPEWVKLEYSHMLQLVGPSST VHFTSLSSSSIPPLTTHLSAPIPTSSKEDPSIHLVSTSKGHPTTLPILQLLPTLNPPI SKNRVCLLDPKAPKALCPEDKEVFDVFLYGGILGDDPPRDRTSELRKLGFEGRHLGEK QMTTDTAVGVTKIVVEDGVPLDKIPYTDFPTITFNKYESIEMPFRYVVNEEGEPILPP GMKEHLKADLNRTLDDF I203_02356 MSLPRSLSFLRQGTGGQILDIYLDPLCPYSAKITRSLTTNVLPL IKKGGKYEGKLSVVARLYPQPFHYYGPFHIEALIVFGRLYPDLFWDYLTAIFETQTEY FNQPSATITPSEARDKLTHLATDLLEKKHKVEGPKSKLFGEIRDKLENKGSPNGGTEA TDDIKYLVKVGRQNGVHVTPTALLDGLKDDSVSSSWGKEEWEKWISEKVGA I203_02357 MLDQIPSEIISRISYHLSLSHCEPSSTFQDIPPLNLLLSCRTIN QAISPSTNSRLYGKLFRALFDVEAPERRFQTGSSSSKSTQKKKKKKRKISRAVDGEDD ESYGDKLKAQELAKELKKRVECLGRLKEMVDVRDVTDIEEEDLWIVYLMLIENDGKNI KHLIGPQATVNLLTFLELYHDQHFLAAAVEPGYPAETVGRSLAMWIAWLVGGSGPPDE TPEQREERMFVLRPYVFAAQQYPLYFAPWVLPDLPLSHSSPTTINSIELDNHVNLNPF IADLTPKSRSVVIEHFGRSVSLCPPFLAHAAILRFFYRRLGEDGDLDSDTESTMDIDM VASPFMALQNQHQGAVGVRVNDLVDGIMPGTQGIILSNPASRAPTRPTSPTLPHGFNP QNGFLVGNSKIHDLDFLRLKSCYDPYHTKGLKSTNWRNSWEGCWEGTFSFFDFDAFRE MLAGHSRALYEGPYGEQAQVWRLTETYVRKEGWVKKVVEQDEDEYLDSEEEEEEEEEE VKGLPLNGPIVNAGFPPTEAPNTYSNLASATAEAETLRQTIQQQIEAIKGYEIVPPEE LEDMLDLNEEEQEEAGLEMLLSGTGHSAWGKFILKGRVRNWDGMASLVKEYAPDSRGK WIYRGYVLAGDIFVGRWRDTYTPETFVGYEGTFILNRR I203_02358 MSSSRIEILNDGGLRHDARRPYELRSTSFQLDTHPTAEGSSTVT QGLTTVQVSVFGPREPKSRSTASHDKAIINVEVGVVPWAQGGGGKRIRGDKRLQEIGA SIRQTFEPVIMTHLYPRSEIFINVQVLSADGGILPTAINATTLSLISAGISITDYVCS VSIGLHLTQPLLDLSYIEENDLPNLILASLTNSGKITLAQMETRLHLDRFQEMLELGT EACKVLKDEMDQVVKDNTGRLVERMNVRVGGGGGGMTIDQ I203_02359 MPHREPTFTDRHLHHHHHNHHHHHRKDAASLSRRRSKDQSSTTP TNGHGTVTEEPEPLAGGSMDVHVRVHNSEQFWHELELIANIPDSPTLAQLDGTLRMFV TFCAAYHDKYVTSPSDIRHAIELILDSELFTFHYERMVGIIMVDAQENTNPHDLYILY HIIFYYGQKHPSLFRSHRKWRKLLPTLGEVVGLEIDEQNFVLGLPPIEARLRLPATHL MYEVCRVQKLTSEELSQFDDTFIDHLFDLVELTRDLQDERLNYAVIKLIVALNEQFMV ATLPPKSHPHPTSNSTPIDTGGEGNGNDSLLLSPESISNPTFPNNTRNHHRARSGTTI SSAYHHDHSEEAKKNNRVLVVLMRRLGSSKTFGENMIFMLNRAENTPDDLCMQLLILK ILYLLFTTPGTQEYFFTNDLRVLLDVFIRELVDLPEECEALRHTYLRVLYPLLNHTQL RSDPYKRPQIKLVLNSLIANNHIKEVNATTTRLVERCMSEQRKLERSHSAENVRNAVR QESTSSTISLDSLQTALPPSCTSKNNTSIYTSRDPVRQSSLNDISASLTTTGKDRPSS QASTYDRSDAATPPPPGAGAPSSPGKSGFMRRKPPLPPKHRKFSNASWTSFDSDKEDP QGVISSSPLSTSGILPDKEKNIKIPPPIIEINHVPIQQESADTEKQREREQPVKNGWI TFSA I203_02360 MPKTRAQIKAIGTSGSRKDAEIIGADGTGNDSSDTDLVMPKRQK RKRGVNHRKMAAARKAAQPPFIFPHCKFPPPNEFAAAIPIPLPENDISVFTPDTTYEK IASRLLRLMVKVPYISLFPYPIVDVKKPAKRRGRDRGGHKKGGDDKFSFTASSMMAWE KGEEKKVRMGIFVGTQPRDSVGHTWAIAIVDVEEGKMVVIYDSDAKLTYDAQGEILFD KDLHAPQRDFLNCIKSTKKEKAGKINVKYLMWGGHASLSNESRDKCLENTLRWMESCE DRSTLKRANLKENGFVFINYNPSPTARQTIYHEVQNTDHQHGETSTIEPEEPEYHEWD VSSDSTVEDPNDEDYVGDTD I203_02361 MPLRRSSPSRKSGGWMVDGEKNKVGDEDEQRHQKQDQSLNQNES DEEDHLEDEDERDDPNYETPEPSDEDLDETRDSDLTNEDHDLRRGQQYLGRGSESPIS HPSNRSVRVFRIGQPGEPNEFVRPDLLPPDYTGPPVVNMNITDKLQEMLEMDEIHVVT AIFLVCRIRVYEKRFPEEEESVESDRLLLDKVAFELLKAFKAEVDKVGRNMDLVPSQA AIREVLGESLLSDEDWSQLEFYASAYVDEENLDEWEDVMDLEWETIGRTEENLRLLKK GRLPDVNNRKLMDTLVRKLALEMEREDENAEIDENENRLAGDTYIPPGGKKGKKLSKD RGKTKQPQGSASIRERSKSEIEQRKAHGKILKKRREAQEADDELEL I203_02362 MSDSSSDSDLELPLVISNAAEIFEAMEAHGHRTQALGRPPKNIE QPPIYDDDQHNELEEISTVIPNGSAHKRKVVFRNLDDFVKKHKKLIMNGEYKGKERMK DTFVKRMKRYITLRVEASLKRDRNGQIMKDKTSGYPLYMSKRSLRVLCDLMIATLRFF PYPKMSPLELQEAKQEIIRHRSVLQEIKHLKSNVRRITTLYAADVKGLLETCFKTHQG KFDIAVQTAAMLLSFFYTGARPGSILKTDMYPDEFSVWRDLVFKPARDEDGQVTGFGV MFTLKAFKGYHNVKNLEVTFNIKSTISRKYAVLDLGTMLLAHGLRMGVFGDLTAEDLY NTDRAQFRADEDYLDVPIFQSRSSRTSLNSSNLPLKANAANLLLRELMREFGIASGTS FSDTLYALRRGFATTVGKHLDPRIAQFFMGHESNSTMFFGTYNQANVEENLTEGVLGP EEHQIRVVTPLAYERHAVPTSMPTVTLSQALSSHPQFRHWKAKSDMLKDCWKNNLTHW MKVSPYKELAGKMEFSQASLPALIAICRNQLRRLVEQIKQQDYHDELALEQAKANERN WRTIQENRDRSEEPSTLAKDLQKLLIEQEARTIEAEVDEIDAIEDNEIEVDPQFEDDE DDGDEGEQGDEDGGIVDEEEDEQDRDGSGQPEDVTQAERDEIEAAVQENERDLDEQQA ELDDEVGQVDEEALPNELEPAEPISDRSLDAKVQKQDLLYALVALENVNDDFAPCELC LADDTVPQADKDILWKTSQKARKLRHELDYHNTYAEWLRWWPFQLNDGRWFCPYCRWN CAKSSKKRSEIHLDERHKRQMPRGFHSVMMNPLKAREKNKKRKEELGMKVDKGTTRFK SGWGHESFSVLSVPGTFTPLDLIDPERSQLGLGLGLFGSNDIDYGTDLISEGLLDRKG LLKRKRDEEDK I203_02363 MPTKGTIKETSALRQIAAMGSEGHGRPGVGGSNGEDKINLSGKL FLRSIRDQEDKLARYANNPRLAGWRSKEEKARDKKKQTKLPSTAADLILDIARGDPGL PPRDKVSQVYRILAQIRGRMDVRYRKLKVLEDQAFSIRRRLMYMEKSLQDRIDQLYLY LIVAIVKSTKRGIDKVG I203_02364 MPPRRTTTPASKNAKKTDTAKSASNKAAAARAAGKTRDKSNSAE QEDTNQDSEKSPPKKKAAAKKSNVVDLASEEGDDQESRSRGRSEGQDPEEDQSNGEGA GTGPASKKAGKKAPAKAGKKAPAKVGARKGKKRGKKTADEEEEEEEYQPTDDEDTTKI TKKGRKSDNKLTLLYNTDSAVDVLKTFAKGEGIPSGMQPSELSAYLAAQQVSNTSRSL RLKESELAFSAADAKIRIGQVETSQALVATLLNSDIFTGDDHLKEDILTQLESTKNDL NRVTMDLEDYEEGLDRLVKDGKVSADADKDNDGDGDGDGDGDRDRDEDRDRDEDEDED EDR I203_02366 MAQGGSKNIKSKSQSGGSARKKAGKTKPGKRDVAPKDKHRIAER VQKKQLSSKINNNIEKQMVNAASAGKLTIMRNSGDLEAGAGKDQGKGKGKA I203_02367 MSNAGTTTILPEEMGTPLEESLDHLVENSNTVSLGGGKDVLAPS EQRATETEDAQADAIHSHGGHSRKWLKKFFPSEETMDRLFSMEHMGNYVIDRKTGKKI FETMPIYVRVGMHLLFVSGVSQLSKNCIPGRQHELTRRGKIYDQTGPEVREHIETFIK TYELPLEELLVKDLDQYPTFNSFFSRRLVPTARPITSPNDPSIVISPADCRMTVFNTV DKAKQLWIKGKQFTLPSLLTGDDDTETRFAEIQNDPTAAISIARLAPQDYHRFHSPVE GVVGDIKDIHGESAYTDNIDILAVNPQAINEDLNVFTLNKRSVMLIHANFGPGRESVP IAFVAIGAMLVGSIGWSKKPGDKVVKGEELGWFQYGGSTTITVFPSKSGVAFDEDLVK NSGNGMETLVRVGMEIGKVQL I203_02368 MASAGPSRRRSNGRKPHEIRPLTIEIGELDRADGSGRFGFGATS ALASCSGPLEVRLNQELPTRATLEISHRPLEGVGATASRALITTLESIYPSALRLSLY PRSLIQIIVQSLSSSSAPTLSTGRYNESPEIYIDTEPETTEKNVWPQPPTQSALAVDK KEKSPNTSYNFSSRAVSINSATLAILDAGSIAMTHLPIAISIASLSDDDGLVVDPSIE EEYNSIARFGFAWSFGKNVSLREDQNQKDSNMDVDEEEGEEMELIWVENEGKFDKEKF SDALELSKIACRQILSEIRAKLGETLECKRLQ I203_02369 MRVTKRRLQSLTAPLKPRTSDIHPTPYSWINTCTPGPSTGKLAG ISIAIKDNISYDKAPTSCSSSILRDYDPPYTATCVQNLMAEGAHIAGQTKMDEFGMGS QTTHLPPFYTPVHNPASPSPDETPRSAGGSSGGSAAAVAEGRCWAALGTDTGGSVRLP ASYCGVVGLKPSYGMISRRGVIAYADSLDCVGVLGRDIDTVEQVFNVITRPDEGDMTC ASSSIRLIAFDISQRHLPHKGIEGLRIGIPSEINQKVSSGLLDYLKGEGAKVEEVSLP SIKKALPAYYVLASAEASSNLGRFGGGWFGSPPERQSRIEGESGEERRKRIRTEGFGT EVKKRILAGTWALSADEFDNTYLKALHLRQLLRKDYRNIFRIPHPLSPSSTPQNQAGV DVILHPTAIRTAPVLGVKEAKSSNEYQQDLLTVPASLAGLPCISVPAGEGQDGWPLGV SLTSQWGMEGLVFRLGKAVEQWSKRQ I203_02370 MSSNPQSVPPTGLSKSAAKKRAKKAAKSAHSYIEERSISGEIPI TNASNNQPPSVAPPLQPSTASDPLDPSLFNFSAPGSYPVDVQYDNNGYYDEVDVPLNP HHQHPNSFTGSYSIDYNLSLQNGSALAGLSAPFNITHDDLISAANELYKRMADPEFGA DDTYWSSLPPHLRQFIRDAVPFNGTISQNTPGNNSGQRTMYQMAQQIVQAASHGMGLS PGMGANLMSGVNGIGARQFNQPSIGEELGFRRHPDAKDEEYDDEEDYEVDEPEYHAPN GDAPKKKNKKKKKKNAASKAVEPPPAPVPPPTTTRPQQQPPPRQPVPPQPPMQQQQHQ QPALNPPPPPVTPAPAHPPPSSRAAGKQPMSNTNAPSSNPPARSARAAGKAPASAAPT HNTHSGHNHSQPPASSKPTAKGKAPAAPAPPAKIWTQSSAEDRENIRLFWLGLSEAER RDLLRIEKDAVLRKMKEQHRHSCGCAVCGRKKVNIEMELDQLYEQYYDELRSYAAEQR AAANGIKPPPNGAGPFPGSVEVDSSGVITRYDHRAPDPMGDHDHDDLDGEDSEEYDDE DEYGDEDELDDEEIGSDEADVGDDLDDQHPPPPVSRTTTRPPPPSSKASQPRPEGGDD FLSFGSSLATIKGGILTIADDMLKNDGSKFLEMMEQLAIRRSVREDQNIRDMQEETDD EDDESKDEPLTDVERMEEGKRMFQIFAARMFEQRVLQAYREKVAKQREEQLLRELEEE EDSKRAREEKKAKESQKKKDKKKLQKQKAEEEKAAREAAIAEEQRAVKARAEEQERER HRKQEEERARREAVKKAAQEEAQRQATERKKRQQEEKEREEEAAKKKRERDEKAKKER EAREKEAKEREKREKEERSAREKAEKERLAKEKAEKAERDRIAKEAKDKAEKERLAKL EQERAEKAKKDEIERKEKEAAEQAKALAAQQAQREKAKAEKAAAERAAAEKRAAAVAA AAVASVPTPPLPVGVHSVKSPRNASTPQTTPPVTQPSPVKAARPPSAPIAAGSSSVIG GRTQKTPQPYYPQPIPPVGVNAFQRMPVPQTFSAAGFRGGPSYSGQSPAFSPPQTNGP SISPNPPPRGFAPEPSPPFDHSMRTAPIGMGFPPVKPTTRIPSVDEAFSPPTAPIGST AVSSSSRHVSAGEVGSIGSGPVPGPGSLISGSSALDDYRPSPGPIGAIGAIGAAPGPI GRPGPSSTGFLDPPQTHQGGSSLLRSNSPAQPEQVFGSAALGGDDEIVQPQQRRNLSN GGWDVPVAAAAPGSGRWSSSPSIWGSSAPGNTDSVIGGASVPGGGGSSWGQMPTIGER QHQSLSSASTSTGNGPPSGLVGVGAGVGVGSRQPSFGNFSIGSIGTPAQTPGQHQPQP TNAYNSHNQNLFSPNSQH I203_02371 MSISFAAQDLQPTYQGIINGTADYDWAIFNQTGNELKVQATGSG LDDLEEEFNDGRIQYAFARVKDPSSSLPKFVLISWLGDGVPENRKGLYNIQSPQVQDK FLRGAHLVIQARSELDVTPTFIHKRIQESSGSKYSSSAAAPSPSAPAARTAPSYRPSQ GFGGAQGKPAAVSTPASTFSQPTPPPAAASKPSAPPPRQPSPPPTPPPAPAVAPPPAI SSQAKPTATAIMSAATPASSESAKPAYEDRIAPVGTAYEPVKLSAPGKLGNRWNPAAQ QHSEEEAAPTGPSLKDRMAAFSGGGAASAPSAPQPTGKKLTWSERQAEAKKQREEEDR ASAAAGAGKSISIIAVTGTQPSAPSWKAPTAPVAPPAPRVPSPPPAPAAPPPPPAASR PVPTAPGGIPAPVSRSIPPRPASPDSDEEKEDDDDWGAPPPPPAAPFRPEPVVEDGPV EQAAPPPPPPPPPPPPPAPIADPQIEELERLKQDLTLEEAPSAGPPPVPQDSRPKSEA APPDAAGLRAKVLFEYEAAEEGEISLREEEIITQIEQLDEGWWSGTNSAGQAGLFPAN YCELIEGETPAPAPASVTPTPAPEPEAAAPPPPPPPPPPPPPPPPAAAAAPPPAPTSA PEPEVEENVMIAAYDYEAGEDNEISFREGDKITNIDKVDPDWWQGQCNGKEGLFPAAY VVHPSEWPPQ I203_02372 MFPIALAFLIAPTVARAASFSGCMSSSIPHKSHSGLQIVNVEGT ECIDQCTASGFEFSYSYYEEIDSIHYCHCDSASSLSQHKDSLRPAYQGERCYEGDATV YHLSTDLTFTHCAHSLTSSAEHPILGLIVDTPAQCFEHCSSSGAYLLPPPTGLKDGQY ECFCQQPDIKEEGVSSFCDSAAYRRFDAPVKSSRLVFQSAGNDIQKQKPLTSS I203_02373 MLSRLAVIISALALFSTARAAQTFVGCVLQATVALTADYATRTS SQSACNTRCLAQQATNPSIQYSYFVAGTALGNNCYCDATGSYVAASAYILPSGDTTTD CSALGLGLNLGLLATATDLSTTFAFQGCTNTLTGVVINLAQGTILGGAIVQDPQACFQ RCAGNLNAYFIPIVPSVTAIAPTYGCVCDPSGPGALGACGLSTFFKYTHSASASQQAQ ARKRDQLALNAKAHTERRKSFCPGRMTSCLIPGVEDSWECVDPQSDLESCGGCTHGEY TSDGPTNSTATGTDCTNMPGVLMGGSTCTNGQCVAFACKRKWTLQRGKCIRGSSK I203_02374 MSSSKSSSLINPTYTPVPSPPGGFKSFQSFYPFYLGEHSLPLTR RLHLVGTSIALTSFARSTLSLVPIALSYAARSLPPSGGAHALHNQGFITSLGLDVILA KWSVNTQFLELEGIGRWLLGGVVGAYAFAWIGHFFIEKNRPATFKYPIWSLRGDLKMW WEVVTLRRDV I203_02375 MPLNYSKWDNLELSDDSDIEEHPNVDKKSMIRWKQRDIHEKREA RKLQIAKYKSELSLNSVLRPRIEVIIKGVADKGLDHYRSVQRRLKENPSDEKPNTGSP NQPTYDMMISQLLSDVYRESSFIVDGAQISRDGQVLDKRLNWHIQELDKRDKVVKDEL EKEEKEQAKKITSEGIHDGWSKSMVNKPKPSPLDDKPKILKSIEKEKTETIEVLNPKS VESKSAAEEEKDEEEEDFGPLTPSARAFANIPIGAFEKSFAFIQKDSSVLTESTHDSL LAEAFDAERRGDKDLAKRCVHQSLLINYCRQLGRDGVGLFFQKMISHNPKSIEMFVQD FNQTYSRIAQRTAEMIAEEAAAGEREQIQLVAEDPSMEIGFNLPEGPPPDDLRLEGEG TEEMDIEQVKLFLQRKWDIFQNFPESFRNALKTEKLDEVNKVLGKMKVAEAEEVVELL QEGGMLSFSEKGVRDMTQGQ I203_02376 MSTLAYSPSSSSSSILSYRPTSPLSRPCSPLSNASGSHSYSRST SPHYQHTSKAKSVSSIPTYHSIHRLTQTPMPFDQAGPSNSNISTISRSSSFKHRPNPR SQSPNHKEQQKSGLSRSSSVRSCRSKKFVSETQTQTLPQSPSRLPSIDCVSYFPPFED MGSTSSFDGAETARDHRLHSRLERISSQHSHHKKGKSLSSIAGIMSASLSWSLSGLTC TSPSSTSTSPKHQNIDGNDDKVVEALTKRFSSSSSTSSKRRVLEPFTLTPLDLDTTNR HDGEDRSKMHKRRMRSEIEVDLSLIRSNSLKEKLHLRGDSLKGDRVSINDEDLVDSML GNTPSRPSAQSTRCTRPNLRLPIPTLPNWRFPLGPSPPVTCLSPDIPLEAFSTAIDNP CLLTPSRTTFSQSHSPSTGEEEEVEVIDCGLSVSASPSPTSPCSFGNGPSTPPKDHNG TDNVSIHNNSLSPWSNSKETKSNIDLDLRRIESRTSELSIETIKIKQPSNGWLDVTPK SSKIVKRDN I203_02377 MPTPAAEQLKAKLSSGAAAEMKASNPAFIPPTQPLTPGNQLPTS VPLPVLPAVESAKRLAAFAAVDRHIGLQHKVIGIGSGSTVPYVVDRIVAQGYQANKDR VFLPTGFQSKELIIKAGLTLGDVDQYARIDVTIDGADEVDNDLNSIKGGGACQLREKV LAEAADTWVIVADYRKNSHILGTTWTQGIPIEVAPFAYAKVLTNLSHMGSPHKLPNGK PGLSLRMGKMKAGPVVSDNGNFIIDAPFPEEMMKDPLDLLHRIKMLTGVVEVGLFCNM AKAAYFGNEDGTVLIRSNDGSVDRISSVPNTPELKAQSDGAAIP I203_02378 MSAIPLKPRSSDGPIKTSILGTGMSLSVFHQPSISRLPDHFVLH SIYERTPKGRLDPLIESGKLQGVKVVRSLEEVLEDTEVELVVVSTPNNTHYEYAKRCL NSGKHVLIEKPICPTYAEAQELYSLAEDKGLILGVYQNRRWDSDFLTLRKLLDSNTLG KITELTSSFDRYRPLPSTYTPGTNWKETPGESNNAIYNLGSHLIDQAVVLFGKPEKVQ GRVWDSRGIGMDENFEVNLFYPSKTITLKASIVSALPHQLRFLVKGTKGTYTKYTLPS SHPSLKKFDSPVDHEGFDSEPEEGWGTMWVAKEERDGTDFIEEKVPAISGNYKALYEN LYESINSGDRSKLSVKSEQVLTVLKIIELARKSSEQGRVLPFE I203_02379 MTNTSNHSTHPLHDLSQTIHATLLSPPAQFLLVSSVNEDHWCIC LFNTTKSVSRVWTIERPLRQIADDEEKENIEEAIQQGLLHVDCGSKRQVDFTTLSTID LHILIQPNPLILELKEGDINDHISKLMNATHKLLSRPEGKSKQNGNTADSDVDVKELN LQLSHRDAEIANLNSRLSSLKATVVRATASDVNKKKVQQSPQKQKPLPGASQLQPNQK RRKVVEDEFAGSSSDEDD I203_02380 MSTMRSQPSSSTSNYLPPDVPQAYVRQVIVNLLLKRGFEGAEAG ALTEIERLLEHHITNLFEESLELAHLSGRREANAIDLVAAQEESGWGVKRMKRESKRK RGKAPDIPYDPSSSTPSSPTFPTLSSLLDEQHGEEGEDIKPDFSSTAKAKGRGIKPAY SQEWFPVLPEKWTMVDADASDKGNHTPNKEDQNHNHNQPIQVTSALLDFIKLTATERG DIPPELGVVNYNRVDYKQDSAPMDGLKEMTAGKGLKRKWGVKGVSARS I203_02381 MTSNNDNPNKILLLHPPLLNPSSFLTRLTGVKGHNEPGDHIRWT IDNKYYTADVDIHCAPLSKTVDDGLISNSRDIDVIMYLFEDIPASLPPTLIKLLSTPR DIALAIRPLPSQLSEQFEDEKEDNSVLEENEGSINDVVDMLEEIGMEFVDEVNPLTEE DDERPMPPLEIIRQTLMTHLWPSMNRKPLNIANTSELPKPSEISGLPPPPVSSSTLPI PHIFPETFQPSSSSQIPPIGPSGSSFPDLEDIKREIAKADFGFDFDDIDKLDRLNDDF DFDDEDEDEDFGPAEEEYARLDDWLDSDDEDINNIKSKGDDVKLDGKETTPSKQDDLA SVLESISKQDQQILHPHPEPRPRREGDWLDTDDKKFDPISSDLPSRSTSTSLPQRHAQ EGDAEGFEDDFDVDEFTEYQTAPSTHQRQANFENTDMTLAMDPTPLLLHLQSVRAELA ALEDPDERRFRAGKEVQQILASLGMGEMAGDDLGLDEI I203_02382 MTSFSPDPNLGIRTGDDGAFRLKLFSNTSHCLGDVLGEGNVRMP YLRTGYCDEGAEKWAWNIAFPGSLTVHNPSNAFALESVDPIGDDQNVRLTEPDQSVER QKWMIDDQGRISVGDPTSTSNPKYCLSAIEGVDIDKVTKDSIRLYPCAGGDEQSMSII KQRWTTDYNT I203_02383 MNPSSSILDAFPLNSDPSHTVKSNPIQASDSDAQPETVYIILEH TYEHDQDRKGRTKVPTKFAYSSIHEANQAAYKILISRGGVDQDGTMKDPNCHTECFSG DEDGLSQTTPKQPKTKGKKRASTGVTSGKELGQQDGKGQKKQKKTSDVVDLTGV I203_02384 MREDSTNRYNGDGSYDTERYEHGLYTSWEKAREAALGLVDEEFE GDKDDYERYEVSDEKENENYELNVRDMEGDEYKIWIEKKEVKGKAPSGPKGKAKGREK PPSKPQAKVQAKKKGNE I203_02385 MPPKATYKVIRETSKPSSTWYPNAERYSYEDVIGTYPTFKAAKS VAETNLSEEWEENVSFWVKSVEEKKDYYEMKATFQEGETMRVYTVKEQVEKEDPSGTK TAKATSSAKAKQTVMKPRPQIEAKAKGKSTAKSGSTSKTSVIGRQTSTRTKQTAKLGG RTKK I203_02386 MPPYTPILLQHVNLPVPKGTLHLAQEFYGEVIGFENDPVPQLQR EILLWFRIGDGPQQIHVAFENISPDSKVISSRHPCFQISSPEALLSFQKRIYEHKQSG AESSALECDQPGEENSGAKGVEYPTRFFVRDYAGNRLEFSAPN I203_02387 MPPKRPPPLPKSLFAPQGPLSPSSAPLPPSPSTIHPEFIIDSHS FIKKIEPTPDPIYDGLDPSFPRPPVRSAVQVKMDVSAEPAQAVLGVKPFSIHPTILNL TLVTPPSITNIAKGAVDIIVPSTFPLSEKEWDLLEEAVNALDGCWGKPEGGGSTPVTG ENGKEPGKVVISGILPPPLTKTSTPLLNSDAYNLHLARLANLSLHANVYLKALPPVVD IVQGKESEGKWWDDRKELERVLRMYISPAIEAFGGHRIIFGSTPALPLADLTKVSPIP GDLEQPISNGEWYSVLRRVITQLGEGKEELTGVMGGNAATVYRLAH I203_02388 MDTVKSIVDRATGGESATAAPGGETASKGEFAKPGDFPIESQVD RAVGIQDDMTKKPSPATLQEGDEDFTQYKAAKKLLGKKTIVTGGDSGIGRAAAVMFAM EGADVALVYLPEEQKDAEKSKQLIVQAGGQCLLFPQDIRDEQGCKRVIDSVVQAWGKI DVLVNNASVMYSIPDITDITTEQFDRTIKTNIYGTFFMTRAAVPHIPKGGSIIVTASQ VAYAGPPMLVDYSMTKGAQVAMVRCLSNQLLSKGIRVNAVCPGPVWTPLQPAAMSEDQ MKEWHNSPAPIGRIGQPSELGPAYVFLASQDASFISGQSIHVNGGAIVAG I203_02389 MTGMRSSGSRFGFGNGPLFLILVLCIIGQLDLVSANEHSSSRLE RRSNHTRGKRQSWMTGEIDDVDNQWRNRERIKKQLDLDLDADVGLLDGLLDLAASPSD TTSTSTIESSSAVTTSSTSTSAEGSAKSTLAFTTSTIESTSTSTSTSTVEPASTSTSI SSPEPTSTSSAESTSSITTEAASTSTPTSISEEASTTTIDAVGNTIISKSKFKLKLMY NFNFQVTSLFNLNVTSISILNFQFHRYFLSSILSSHFRNFHNGQWRWHSPIIFASARK LPPTHSRHTSRSRLRNARFANGHKRQENEWAEGGGNENASTGVEPTSSERDESASTSA TSPVESWSESTTDPAAQPTSSSWIDPGSITSNAAWESSNSAWSSHAATGASIPSVESR SEEPMQSTSSAWSDSTSGISTSTWSLEASISVTPTSPSLSQSITDSASSWSDPAMITS SSAWEISNSTWSLDNPVISSSAESVPPWSDTSVQTSSSARSEFSTSPTTAQWYSATSS STLTPVESTSTWMDSSILSDTSTLSSASSTGDFSTPSTEATETVESSLTSFNSKPSST ATSAASSSSPNATSLVENPSTSTISVAWNSSSAASSPTWSDGISATTLTNPDGHVTTS VYNISTSSPSSVLDPISRPSETWSTSATDSSLQNISTSGLSLTNTSSYLEATTASTSI SEWVSSTTSNVVTTMPDGQATTSTLVSPPFTSTLDLPSTSTQSAAWFSTSIATFGIST TIAASWNESTASSSFGNWSSVSESSTPAITALGVSNELASSPWDQTIKGSDTVSSASS PWLIADSSASWNSSSSWTPALTSTFAFAATSSSSSLLEPSFSLTNSITFSPLNLTPSR VSFFETLSSVSLSSTFAIPSTTISKPSTTDSSAIPLAPAFVETSIANTATSTSIASET EPATSTIATSSPDSATAAATSETPLVSVGASIAASVGTSDPPSAATTTQPAAEAIPST TSSEEPESSEVATSSQLSSNEAATTSDAPTTSAVETSSSSPTENSAATSEVSPPPTIT DTTSTADLTSPTPSVETVPVTSEVGTSATSTTEPSFLPVTSTSEESAQSASNASSVAT ETESSLTEPTSSPSLMTDVESSSAPFTSVASSVFESDEPTTSSTPTASESLSNGTPTT LSQEPTTIINGSDAGTSSGVSATATANPSDEVSSSTSGNETSATDSVSAIPSDAISSI YTATSTPSVNGTGVSTFVPTSTESEWSSASVTASSTSSYNVTSTTDEAYTPTQTWLIG YTQASSTEEWTSESTSDTPTTTGTKTTATTSTPSVATIPSSMPTLIVPANSVANDATA GSGGEDDPIQDKTLIAILLSADYYPWWFVVNSSDATSQLLNTFPTLISNALEIDTSDV KTYGLQVYQPAAWDGDKTSLLTQYMSYIPTKYFDTLNAYIKTSSSPLYNQTGIEGALA AQINTAFPLAASSETAPQSSSTTSGSSDSNRKRNIIIGVCVAIGGILWIGLVYWIYKR VKKSNDKAVHKRLSEHMSMFGDHRPMSEVYAQSNWNGNSRRVSMAPSIAASEVDDRPS SFYASPFENDRSMREQQRLERESYGASSSNYSGSGDSRSPTNPNYGPSVFGTSWFQNP HQQGQSQGRSRISQNPFEDIATRSYLGTSTSNMNLNYNNQPHSPTTLNSSIGSQQGAK RRSAVGKPVSKALISNPTLQANSLEFRDYGTLRE I203_02390 MVSCLVRACEIQLTLPQVVLAASICTRSGKPLLSRQFRPMPRSR VDGLLAAFPKLIPVNSQHTTVETNDVRFVYQPFEELYVLLITNKGSNILQDIKTLSLL VRLISSLTPAMSEPAILHHAFDLLCGFDEIVSLGYKENVSLSQVRNVLEGESHEEKIQ EIIARNKEAEAKEELKRRAKQLEMQRREQQRLNQASSRSSLPGGYGGGGGQGGYSSVP RYDQPPQQEYRTSSPAVSSQQQQQSQKPKFSGSGMKLGKKGKQSDLINAALGGEQDVE MDEPVYQQQYEPEPEVAAETEVSADVLEKVEQDSIHVTIKEQLSLTLLRDGGLESFEL KGDLDLRITDAAQSKIKLTLSPKDYSELQFKQHPNVAKFTGSDKVIGLKDPSRSFPVG QGLGVLRWRMTSKDESNVPLNVTVWPQPRGDGTSDVAVEYELEAQHLTLKNVVISIPV PSGSLPSVTGDADWRLSGNSFVWTIDTIDSENSNGSLEFRCQGEADDFFPVSVGFAAS GSLADVEVAKAVLIENGEEQTFSQERILTVDKYEIV I203_02391 MEYVGQPQPASNPTGVPPVPGQVPQPYGLTPGQPAEAPKKPHLY VGNLSARVTDYILTEIFAVAGPVVQAKIIQDRNFQHGGMNYGFVEYADMRSAEQALQT LNGRKIFDAEVRVNWAHQGNQNKEDTQHHYHVFVGDLSPEVNDDVLGKAFGAFGSMSE ARVMWDMNSGKSRGYGFLSFREKADAEQAIATMNGEWLGSRAIRVNWANQKTQTGTAT NRGPGGMGSNGGMGGYGGGMGGGSPAPSNFGSTALQYDSVAQQTPEYNTTVYVGNLIP YTTQADLIPLFQGYGYIVEIRMQADRGFAFVKLDTHQNAALAITHLQNQLVHGRPIKC SWGKDKGADGAQGGQGGHQPYPMQHQQMGYPNQYNYYGGYNYGQTGVPGQPGQPGGHH TPQHAHGHGQVGQTGVPGVPGQEGAQQPAAAQGQWDPAAAAAYYQTGGWGNYYCK I203_02392 MSAPTPEAVLHLATLHTLAQAGFASTSQAASLTLSTVIPKHLKV LATACVERATLAGRGKVAAIDVVDALDDLGVNVDELIDWAVDQNGDHFDDTSMGGLQD YLREGLSVEEGIAQMKLVPKDELEQADEDEEREEEPQQDVSQGDEDITMDRSHEDEEV KVEPHTEEEVEERPYIYRHKSPDFSWLPPLPSTNNQSEHIPSSPNPDDIDQPSSSEAV SLPAPSQSIADRYRRPISYASSQLSQAHPFHDPPQPQPSSSIPLPPAPSSLPTLISTY ASIASDPSITLRQTDLRRQATELLRQTISTVDSYSPSPTLTSPIPPVRASSIVPSHSD ILPQKLLPVNPNSNSTLGPNRGLLSSMIHQINSPNLPYTLRERLTSLRPPVVQNRNDQ PIFYNDPVRGPDESSLMKFKGKHNANTKTEGEDGVGVGGEEGKEIWLKQTWDSGPRGI DKWSKPFLPKGKKVIIQREGEKKPRMDPEDIRRIQEQQERELEKEKERMKEQENANGK VKVNLRLPNFNGNSLNDSHQSVGSAGQPNGISNMNASTSPNPSGQATTPGIKIKLGGG GGFSSSITSPQKLSISTNNEYPSSVNPY I203_02393 MAEEEHHETFEAAGAGASKTFPMQCSALRKNGHVVIKGRPCKIV DMSTSKTGKHGHAKVHLVAIDIFTGKKLEDISPSTHNMDVPNVKRQEFQLLDIQDGFL NLMDADGNSKDDVKVPDSEVGQQIESDFEAGKDLMVTIISAMDEEQAISYKEAPQGS I203_02394 MRIRLNQNIRVEIKKKLARLVDNLYVWIESNVYKKRYQHGVSSL AGPIVPGPVDPLKYENNDLTTHHDSSTYRATHADITPPGTSTSTSTSTPTSNKLEWTI RQGTSEDASAISQLMIETFSRSFGHSCTPDELEKYCQTTLSIEGIKKDLENPLCTWLL AVPQSSSHELLGIAQLTRESFESCLTLPKPIELQRIYLSYAAHGTGLATDLITTAEDK ARQMGFESIWLGAWEDNRRAKRFYNKMGFREVGEHVFDIGGSKQRDEIMEKLL I203_02395 MTFPTPNPSSSSNSRPCSIPRTFRIGQPSDAASFSNLLKEVFTK TFSHDLPNEDLQEYLSNTLSNQQIEREIRDDDSIWILAVSVPVSIRSSTQKEEDEILL GVIQLRLKSIKDCLTLTSSPIELHRIYLSTQTHGKGLSQSILHHAEEISRARGYSSIW LEVYSKHPQGIKFYEKSGFRKCGERIFKVGDEEQVDWVMEKSLIE I203_02396 MDQNHAPPSPLQQHRPSSSRHANQGSTNAQASSSIDNKLKNPVG LHRQGGATCASPTSAQASLTPWSGAQEPSSSSSSNPNQPSTMAWSQESEEAAVERWRA QTQSTAASSSTSTSTSGTMTWDNRNTQPLASSSRCPSPHHITMDMPSTTKESHSPQPF QSSSSLLTSEPLNRTSSANPSNFLHIRDPPPPKRKRNRATSLPNATPVFKRRKSRHDT PTPSEMWKRTYETGQTSPTKIQRQKAAAAELGRVLRSNRQIKIGTQEQHCVVACRQPA INVNSLRTLDATEILKNPQLRHDLLFDSLAFRPVNLPYEIPTKPAYAEILTGGKTPVV DPRASNFVADMYWDSISEELTTGCRCVRWRMPKNQEFNHQLIEKLERIPQCLCGRWRR DLTESEWWSRNAVWPSRLPELIRTLREILVSLMGSTTPCPNHFAHSFSKEALEAHEAV CPTVTHALVPELYAALDPEFLTIQARRGVFDLQLFAKLGEAMKVHCAPVRDEMVDDMV RTALSGDVAKGLRKCFDCAEVMKLDIANHQVHALRPYLWDNANQYEYNAFQALLAQNK VTLETSRTRQWINAASRQVLSAAEPRLRTHLIGRCDCKNNMELVIRSLTSGFLDLVCG PWDRDRTSWPPIVSRRALGSNGIPVLDGLSKPSVDVPEAFKMDSRRLREFHAEVVDIA ISHMILLAFRNYFNQYNGKVSAEVLRLNLDKARKDIEWMNDNFSVIGPTCGSDADLAF QLASRIVRPEFPNSSSEGTARGLPSTKEMKLISSLTSTFTEFFEINFSRDSDLFVGNL NRLKNFLNKILSDVLLGYRLNPTSTFFDPNVNKCLEKKFNTPTNISTTDINDASEVAK DEDNEIKSYPREIRERYELFLSKCKQEESVMIKSFGFEGVIEPIKDLTERMIKIVAFN LSVFGESYASNGILVGSDRK I203_02397 MSRKRPYDQFRPNYQEDMLFPPPSKKSRNGPASLPQASQNDRVL QASSSSVRSAEPISPHDHFPRGHSPWTAKIGDNPFEAQLAQPVFRRLAPQTSLLPHWE YQTAPSTSEVNHGLEGNRLFPQRVDSAPSKRVRLSLPPPVALSSTTATTSAGDPHTQY RTRSKSIPVDIDRIPPTPTIDPYHTHSSFVISPSRRDRSASQSTFHPFYMSASSSALT APVSLKSTTRGDHLLAQVHQKVDKLSRKATRTKEDLEVAQTELKALEDAGEVVGLRNQ LQDANKRIHLLKSETTGRTAELTRYWSSKVRTMEKEHEVEMKEKDDKIRYPEAKVQRW KKEVETLSNAKKNDKVDTSSASRTSTSDFFRNHTYNQPSMRSSCRSREPFSDKSSSMK NGTYPILGVALHGPYRSASRSRNNEGSSRWNLDLTQRSDNQVHLTSTADSTKSNPYRK QAESDWLRKNRLFKSYTICPAFEASQRDPGEIYPFGIYDQLPDTCELDMERDAGPEEG DEVLMNGIKMRHLVESEAQKKPHGLDHINRDNEVHVRNGDDFQNAIEEDALGIEEWDG FGEQDWDGFGVQDLEGFGVADDDGFGVADDDGFGEVDLEGYGCEEYEGFGVEEDDGFL IEEDDGLFVC I203_02398 MTKRRATNYPLARVREQYYPDPTSTSFVQVNEEDGKDNLTLRSP YKKPRRPDSLDSGLAIYDRSVISPTSTDTHDLQGRSIQLPFSPHLHALSESSRDDQPT ENASRPQPSSPIFRTHGSRSVSPLSLWSPRSGNRINIDMENSNEHEVYKAGCTNPVLK PDSEKGLAGIFPSSSPSEQIPACPPFTMSSSIRQGSVNGIEDPFNSRPLHMTMPDSKS RYNRFGTRTVSGGNATTRQTEYGKSPIPHHATSSNTSLQSDHSRTRGDQSTFISEAGN LTLDNDAYIESLQKELNIAQQTVIDLKQSHAHQMEKKDQETQLRTQIYHESILKKDNE IVELKIKLRQLERQLSNLTGGDQISATLATDQGTAPRSMGGVSNRFATLTRRVKVTPL NNASGRVSGPQQTSQRLTEFCEPTRVSDALPRHPTPRIDNEQDIEAGLRLHLEDVGES EEEEVEWALCNMEDIDDIVSAYQEDLEYLQIRKAFGDQCREKDDCIGEWSEEGLIDDN EIEVILAWAKEEEEEDLPIEMVEWLTEEEDQFNDRVEMYQDEFQNPFADHWKGYFGLV ADPEALRDAMEREEGEMVLFEGHWIRYTTGPESDGGMVWEDDREFGVIDDGYYW I203_02399 MTDHHHHIRGINTGNGHGNGNGEKYEITTASRTSLDSHRYVANT EDSKMPDTMPDHSKLAAEQIASMSPEEYAEAEKKLLRKLDLNLIPWMTLLYLLSFIDR VNVGAAKLVGLTKDLNLSSLEYSNASMIFFVSYVAFEVPSNLVLKKLRPSRWIPFTMI CWAIFQTCMGLVTNYGQLLALRFCLGLFESGLFPGLNFYLTGWYRRDELNRRVSVFFS GAVLSGAFGGILGYGLSKMDGIGGKAGWAWIFIIEGLLTFVIAVASFWMIHDWPDRAK FLTPIEREMVLVRLRQEQGLAAEGGFNWRVIKKALVDWKTYCLMLMYIGAAEPLYSLF TPTIIAYLGKFSISASLLLSTPPYVLMFITTIGTAFLSDRYQRRGFFLMGWSLVGATG YLLLLTIPIRYPGALYAAVYVASSAVGPLIATTISWTGNTFGNHYKKAVAMGLVFSAG NSGGIVSSQAYRNKDAPRFLPGHATALAFCLLNFTMAVILYFGVKRENKRRDLKYGPP PRPDEVFEFEDPEYQAKWGLQGMSRKEIVELGDDHPAFRYML I203_02400 MIKNNHHLNQINHQYISSKSTLTPSDADDITATITPKANTPAGI TIIPPSPSNSFCPQPIAGPSRPPRLPIGSPSTSSQGAATTTPLNGGDRDYFSINSIHS SSSSTTGTDNNRTRKPSSSSPSKHLRTHTITRSQSHYINRTPNPDHHHHRQDKNVNIP SSTEKKHSHPLKRLSIDDNHNSYSPNNQDSFSSSRTTGSDVHTPTQEYGNNHITRRRP SLSTPTSINPYTSPRSRQSSLLKSPPTAPASSSALGWLTGNRLTLPPISNKHSKSDDE REEDEEEEEEEKESQEDQTRIVRKDKYRNRDWRMNMSDGGLPKYGESSGSGSTSTTST STPFISMTPPSANGIGSMSPPLPVNNGYGYTTNISPPRTSILPSQAPLASPSNLQPLP QDPYNILPLSLPSSPFVSPCPSRAPTPGPSPHPSLQDLASEYATAAAAAQIQPSGSGS SPRTSISTSSSRYGWTTGRSDSRSSEEDDYIPPHSPITTSHKSHRPTISIPRSGWWQR TLSRSIDNDTSVSPKINFDTPSSSSSSRIIRFPIPTVSASKFVPTGTRNWGWLFELIQ DKISFTSSNQNTSNNNGGGVTINRKNSISAKSRNRERDRLMSGHSHTRSNGGLTMNAR RKSLGPNQKVWGSKWLVRIMVFVPTEPWSISLFLLFFAAFAVTLTFTLKHILNPDKEP LPWRQYCTSNYPTLYSLQDPSLPSPHINSNVNSNPSFPSSAHIESVPLNSFAPTSSKP LTLMPLTPQHPAWPYHPHLTPPQPQISSTDSSIDPVGVLIGVFTTDAGVERRHMIRQS YASHWRSRREGTEGVRIRFVMGRPRKRFEKAVQLEMEAFNDILLLDMDENMNSGKTHA FFSWAAENATVPHWEYPKLHNLDDDQAHSLSPVWKGERKPDYVVKADEDSFIMLGELE KRLRVAPRSKAFWGYLVKNTFMAGECYALSFDLVQYIHASPALRTLTRGKEDKLVAKW MNMHPEREQIVWMTERCWIYDHPKAGTVYSHGFLFPSTVAEVRMENTTGLSPSTLASR GGAESANSYSSVSKFGVAYRPLSNDMTVSQQVEALVEGSSLSLLRDCLSTPKSTNDQK HQQTFSKPASAVGESLKEKINRVYSTRPTRKERFLGDENEMSSSSTVVVHYIKKNEWF IETMVALLGVGGSSMEYDGDVWHKGVGTGLGALERRKGRVVDSRSLIHGNQVGQTEEG HEEREHVKLRKGDGL I203_02401 MTYNPLHLLTLPPTSIDPKPISTVISIDSFSDTIWLGTSSGTIT ALCTPISLTRNVQFPAHGSKLSAVPQGGYLNMAAGINMAVKELRITDRDVWSLTEGGI SGRKRGGVVKWSVDDPSRSLRSMAPNPVNSHEVIAGGTGPLILANTARGEMVRKIDIP NAPIVKLSPSLSSRSVLSASLSGQINLLDPRTGFKSQSSIVPVQAHTGGLNGADVQGN LACTWGWTHMQGHPLPDPLVKIYDIRTLRPLPPISFPAGPAFALLHPTDPSKLVISSQ QGMLQTVDMSTGSTGSMFQQLDINSYVTSMALSPRGDYLAFGDADGNLHLWTNHDTGE TAQLDENGQLVLPTFNGYDGIKPEWPDQADPLPPIVWEDTTPLNLIGMPYYSEPLLSN FPPDSYATDASPFFNPPLPIPTSVLNSMKMVDFVGYATTPKELKGKRYVIPSRPGARN VHKNTNVNNQNGRLMNGTNGRRNSEPRFRSEKDKLEKKNDDDDDDEQDAVTGDGEIPK YYRKVEIKYSKFGIEDFDFEYYNRTAYSGLETDILNSYTNSLLQAIHYISPIRAVATA HICVDCKKEHCLLCEAGFLFRMLEDAKGRNCQASNFSRAFSATPQASALGLMDDHDKS TAPYGSLIQNFNRWLLSTFSTESIVEGETFDIRTKDIQDLSLKGSDEVQKASAIDQVL GVEIKTTNTCRSCGFVSERDTTLHAVDLIYPKKTTTGTDKPKFDDVLKSSIFRENTTK AVCSNCKSFAPLDSKRTLNSDRDQLPPVLSVNAMMTSNDLYDIWKNDLKSKTRFLEPN VQLDIDDGQKIAYEVKSLVVQIQEDERTPAHLVSFVKMSNEAGSIWIMFNDFLVRSIS EEEVFNFPDQWKVPSVIFLQRVDSSDLLHLNGLPKEIDKSVLFKDVSIAWNRRNALIK HKVLEENELPKPGTLIAIDAEFVALQQEEMEFRSDGTKNILRPSHMSLARVSVLRGQG EKEGVPFIDDYIHTSEAVVDYLTEFSGIKAGDLDPNNSPHTLVPLKVAYKKLRLLVDL GCIFIGHGLSKDFRTINIFVPPSQVMDTVNLFTIPGRHRKLSLKFLAWFLLKRDIQTN THDSIEDSKFALLLYKIWKEYDDTDDLRGFEGLMNNVFLEGSKTGFKPPIDRPPSPNA FPPLPAPPTQTPVQQSGGGKQQKSRKGSNKNNNQQQSNWNSENGESAGGGGGGRGRGR GKPPRQW I203_02402 MTNSLVSAIDGVITYLAKLVDTPKINYRELVILSTWVQTAFEVY ILRRQLPCYERPLPPPALKQHVSKETFTKAQSYSKDKTRFALLKLIFDQFLGWGLIKL GAYAGLWNFTGGLLSKIGISPNRVTTHSLAWMTVLTLISSFPGLPWSYYQTFVLEQKH GFNKSTVRLWIADTIKTYALAAVIGLPVLAGFLRIIDWAGKSFVPWLMVFLIGIQLTL QIVYPTFIQPLFNKLEPLPQGELRTKVEELAGQLGFPLKHLYVIDGSKRSSHSNAYFY GLPWSKHIVIYDTLIKDSTVDEVVAVLGHELGHWYYAHPTKLLLVTQLHLLLTLTIFS IFINNKSLYASFGFDPSLAVAKPQPICIGFMLFQLVLEPTDAFVKFLLNAETRRMEYQ ADEFAVQLDKKSDLSSALIKLHITNLSSPHNDWLYSMYHHSHPTLPERLNAMDNYKGE KRLKLKDKGTKTETEGAEGKKDL I203_02403 MFESSSPTLTWTNVLIGLLFILFDSLLSLVLGLGIGGSLMVAAG RCVLQLSVMGLILDKVFASNNIWGVFGIAALLNVLGAFEATYNKSKRRFSNMFPLILA SMLSGTVPISVIGTRFAMSQNPFWKPDQYVPIIGMILGNAISAIGIALNTVHKEFSEN KDKVETYLAFGASRFEACKPVGKEALKLALLPTVNQMSVIGLISIPGMMTGAIIGGQS VENAARLQMIIMFMISASSALCTLLALFFSLTTLVDSRCRIRPDRLDSRKPIFYRYRD LAGEKIWKGLKGLKCWGRKENGTEEERRGLLDGRQND I203_02404 MTSRSSTRTPSPTSSPTINPVSPLSPISPWPPIPPSDDSASQKP QDDLPNSVDVYSSIAILGTYLLFALYLFWAFSPGDSSWTSWLPDRQWSIVVPCWLMMV VLLTYWSYAALTIYRTPDWNSINCITDPYAGIPPMAFSYPATDETSSEDGQRKPYYWD AVGDKASSQAVDLSIDLVNRVLYPPRNRSAA I203_02405 MKSPSLTTPTLSSSSIRPPNLAPDRAPSPNLPHRPSTSSNRSLK RSEADFEAALLDPKSTLFLSAGSRIGEDDNEPNANTNLKKYNDPNAPLPIEKTSFEDD LNNLKNRGLGILMESPSSPTKKNSNIGVMPPTPSTIGTERRHNRRASQMTNDSGYQSS GYSDTTTPSRRRASKSLGIDAELGEKPIPKQSSMAPKRRSIFRSPGTASSPDLATLVR KAKEAKSTTTSTKIDENTTPSSAGLATTTTPIRKGSTNRRPMDNEHWQRYGNMLGGNQ MAVIAEGSGTIGGVGRSRTQSSEEGFKSMRNKARGVFGKMFGSSKDHHLPPTTPPSAT SSRFPDDQSPRPPVPPVPSAYAANRRKAPSPPGSTFDAFNANTIPPTSSRSVSASTVQ TRRQPSPVYDGRDSVTPTKDRTVSGTSNLSTDKPLPAVIEPEDGPRRTPSTSLRASGH SRQPASAAYDDSPPTNEPSLKPSASPATQAVHTFKSDMAGMLANIGQTEPAKELGLPP DSPRLARNRTISTETAREPIKNRFPTELGRTASIKNTTRHLSPIPNQRTSSLPSEPPQ LERVVAKRTTSSPSPNASLNGDSSLMPNQHAISRSSSRWSGRKSSTSKSPVHSTVPSP NRSPSLSRNKKSSDEGGSPLVPSSVKLVSSPKQQESSTISPLNLTSEGLAKSSFINSS PWGKQTPRMMSAPTYSTNELSKDDQLEVVNTPPETPRTTHEEDEKEKGRRLACEFLED NFVSVPGEKVAEFLGGPRAVNAITLKYYMQYFDMKGQTLVDSFRDLCQKLHLKAESQE IDRIIEGFSTRYFECNPSTVYGTPGVVHTVTAAMLMLNTDLHIAELNKHMSRTDFVRN VMRAIHESMPAGDRSSTPDLIRDDSSSMKLGFGSNASMAPSFVMVRAKTPANPPSTQR SASAPVVVNAGPPRTDSTSSIGTNGIETKTRGSSTTVSSFNYNKAWEVEAENALREIY ASVKNDRILLPISGNQGANANRQSMISISSNGPFDRSRTVRSPSDRVNALKRGSIRGM QGLLNNPYGSQWSASDGRLSPTPSYATSINEGLGSFAPTLGFASNLSHTVIREQDDEI RSLDSQTSDDTTEDMDDDELALLGAPWAKEGLLSRKLYWEAVNKRAKKNDWKQFFVVI SKGELYMFTFGEKGGGGFMGGSVGGGNWLENANANGQISLMHTMSVALPKPGYNANRP YCFSLATPSGETSFFQAGTEDLVSEWVSACNYWAARKSRQPLQGGVSNMEYGWNRISS TEGEQRQRDDDDDRASVKSGRSNLSKLNVSTYGRRNNHNYHNLSNPNDKIYINDWKPP PPATMPSPLDEESQLEALQSYVRALVDELEGHKAIEEPMNKLYTSGSKNHTKARENWK AKSHYIHTEIFKYETYVEALRNAISLRVKKQGEKKLEKSLARSMTSLHRKDSQDDANE EEEEEYGLTTTKTNGTHNGHDEEDDEEDEGYGTPNGNDMIRR I203_02406 MSDVTYLKSLLGVHPDFPKKGVTFLDIFPILRDPVAFETLITHL LHHIITTNAERPDVIVGLDARGFLLGPIIAMRLGCAFVPVRKGGKLPGNLEVVKYAKE YGHDEFEMQSGAIKDGQKCIVIDDLIATGGSASAAGELIKKSGGKTLEYVFIVGLPFL KGHEKLDAPSYWIVEAED I203_02407 MTTDPPTEQVLPRFLVTQPQRRSTEEQERIRDDRRLANERVWES RRELESMGITDRPSAPRSRANPPQPRQRDRGRLTSTSSSGLGLGLGLEPALGVEAVTP TQGISMADLENGMEGVMRALIIDSDSEDETTDDSESDNLSESGSGSGEGTVFEGEGER VERGSNHGQSTNNTRAADPINTIIDQIIPPKESFDTDLHLWPSHYNLVSAGI I203_02408 MPSPPSQNPPVLESNNNVVQTTATAQQNTRALLRSLEELRDSLS TRVEHLSNAVERLRGQAGELERALEGENGVQRELRDPTRSRQRARDIVNAYENRENPS PVPPPAPASLTATAATTSTPISRSLSRPITSDEIHTLLNRASASAPGSASRPNPANDV WIIRAQNIEERIRRLSETARDLRSRSTSSINEGNTERDRPDDLLRGVLNRARELREDQ DRLEDGIRRLSIPSPEDGDGRARTPQIVYPNLNRRQSRQGTTGMMSMSRSRSRGLTPT NIRQVERQPPPEIVRSAPVSPAYTIRPSLDSTSCIDVAASPGPASDGNDRSDNHISPL PLPQQDSRLITNRINILNQPVPPRPSTRLSNTDLMDMARIIVEGISTSSLTDHNPPST TNNNITPLHRRNARRDSSLTFRGRRVEASMAQNQGQGGTQPRSRNDDEEMTEDEVLRT WPFLAQILQHPFTATRTTTTANGQSNRTGASTAQSSRGESPMEETVRLMLDDRERRDR YRSPRPAGSSASNIGENERGHRRRNTGQWSSSWSARDPDTEDD I203_02409 MRLSLSSTLLALPLISAVNALHFYFESNEKRCFMEELPSDTIVE GHYKAYIWDEPANLWRMDLDVGIHVAVEELSSGHVVVNTRGPPDGRFTFTSHEPGDHN ICLHSNITGGWLTNQHIKLYLDINVGSSRPDAEADSSHVTTLSSKIRDLNNKVADIQR EQRYMREVEATFRDASELTNSRAVWWSLLQIGVLIGAGVWQMRYLKVYFEDKKLR I203_02410 MTSSWIFSISTRSKMTILGINFGQSYASIAEGHPQCIANEEGER QIACAISYVGEQVYIGNGAKPHLVKNGKNTIMGFRNLLGHTYDEVDHTTILTAPLLAD SQTPAYTVDILVPPAPASKSASNPRSAAASGAATPNPAALEPIPSTKTITVPEVTSLF LSTLLNSATDFLGTKPSACVISAPTWFTPAQHDALRKAAEDAGIAVLQVLDEAAAALV GYRVGDAGENEKRDKKVVVLDMGETSLAISVIQVSEGQYTVLNKGRDDKLGGREFDNL LLKHFSKEFTKKTKVALDLPCGPDASAADKRADAKLVLAVEHTKRSLSASSGAATCAV ESLKDGYDLSSSINRLRFDGLASGVYRQVGNKLTEVVKEAGLDLAEIDEILLAGASTL FTGLQQHLSLLVSPTTPVTSTIDPSEVIAIGCALQALHLETLEDGLKVDDVLASIKEK VDVTSQPIGLVLPGQQGDELAAVIVDSGAPLPVRRRVAIPVKGVNGKVALELWEGKHE VKIEKVERPPLEKTDDDEEDEEDEEEEDEEVKTPITKKVKALGGVEVEVKGDNQLILE FIVQRNGGLNVKAWQEGSEAAADSFEI I203_02411 MSRPGTFRSKSSDKALPSLPAGGLGLDFSGLPRGPAPGSTVGLG LGLKLNSKSSKPPPTHFIPLRQSPTAIYTSNIPPISSPSIISSSPSTPIASSSVSISG YPFPYGNDHYSNSTSTVLNQNTSTTTLTQLARPPDSPSISISTAPPDISSSFTPEKKK VGFGQAESIRSEHVMMASSTSSTSTSASGQKQDVSLGRKRSSGQLLMGLGKGLNRVGS VMRRNTDSNVDNTSPSKKGNAGGGGSTWRKGRGRKTNDWQDGWEKVDRIGEEGDEGDA GIGRPFNVGHDLHVSPDLSDLPEQWLSSLKAQGLTESDLILISAARKKQHEAHRLPLR TTSRLPQAPLSAPPSRLLDAPCREMIAGPSSGDIHHMGSNGLLKKFSFEDRSPNTPTQ AGMTRIYAAGPSQLTSSPTEIPNQNRLRSEVLATTPSKHDRRRAIESFPVSAAASSDL NHNQNHNLEAEDVFNPHRNSTTSALPGHRTDFTVPIRKNKRFSSQLKGFRESTFGLGE EDEGEWGKSVLNSTWLSSSAKEKGKMRDDKIPVPPEPLLPSSLSSSQAQINSGESGYR TPPSHNPIEEVVMPKSPPPPARPRKQPSVTLVPILNQENSQIPKEDEGSEVEIETRKS SESFGVHYNTSIAKSKSSISIGSELITPSTSMEQGMTVREKDDSDEGHEHEGQGECTF GSINEIKKMDMDNCDQPTELIGREGLIRRYHSNPHISLPASAIHSRSTTPDLISKPKP DLVHQQSYSTFDSTPYKALEEDVLMDGLDRANPEERASIALSILSSRTSASMQSLHEL SQATVRSAYKLPPVGEVSPFTTLSTSNPFINTITTNKRTNNNKNENQNDTNNNDNENN DNENNTNRKSSSGLKLIDESSECGSISLVYTLGWGSEEIDGEGEGEAKDAMDALGEAA RRLRSS I203_02412 MITATSEKDASRFVKKYKTLEAALDAFYNDPSSASLSNGSGSNK GQEKKLGEIWEKYKDSSDPKLVKIDGTLAICEEIGVDPSSDPVLFCLAADLGSKVTGE WEKEPFVKGIASYPGNIDSLSSLKNYLPALRKKLNTDPTYFKKVYMHAFTLAKGQDFG ARTLQLDTALDLWTLFIPPALSSNPSALARSSDNRSPEFTEEEFDLWIEFMKKKGKAV SKDTWSLLVDFIRTIDKDFKDYDDEGAWPSTIDDYVDFVRTRRTSK I203_02413 MAAPPPEIYLAEGFDPTSLKVAQLRSILLSYGHGYPSSAKKADL VQAFEQNVLSQAPALRAAASKKIKPSNKGIITVSENGDEAPAVPAKRPRARSRRTTTI DPEVEEPTIAAAEPPAKKPRASARSRKSVSIEPELKKTTTTQRRSRKAAVPIQEEDAD DGVEAEVEDEATPVSEFLNQAPKSTGGRNSLPAPSSTPSLLTPAADRRRSAVGSSSSA TTELRTPSVGPEIKPPRSSRKSEPVKIMDNLAEESEKEESPKKPIKPKTPRKSVGEES GFSDFNPFQSGSEAAADKERRRRRKSSLGLERTKKPLQPRYSEPAPRAITPTSPGLRR VGPSKENLRTPPSEVKAAMKRELDAAVQYNQAVENKLNQITTKDADEPPQVTVESHIV PVETNSLVRKVENQVTTIPAIRTTIPLSVLFLLLLSLLANFKSNSSSIGYCDSGSDTN DIILNRQSAIDDVQACIARKASLELDNHEAAKQVNCDVKNLPLIPFLPRPTSCTPCPP HAECEDGAIEQCIPEYILTPHPLTFLSPIFDGLPGIGPEAFPPTCRPDTAKKRMIGGL AKELEKELSKGRGLIVCNGLGKDDGRKGEGERYGVEENALRERFAARRDPKFTRKQFD EIFESALKDLVEHEDVIESIDVHGKSWYASSRTDVTLSCRAKLEAKDLLDRWKSKLGS TAAVIAAIMYLQSEVKRRKAEKYRAEELAQVALKRLQDQEQSHYVDPALTPHPFIPPD QLRDLVMPPKGSTASRSRLWTKVQELVEANANVAVREKEVKGELWRTWEWAGAAVEGA SGRHVTWES I203_02414 MAASMVSSQPEEISAAQYVGFDSITRQIEHKLLKRGFQFNVMVV GQTGLGKSTLINTLFASHLIDSKGRTEIDTQPKQTTEIHALSHVIVENGVRLKLNIID TPGYGDNVNNEGCWDPIVKYANQHSAYLRKELTAMRDRFIPDTRIHCCLFFINPTGHT LKPIDIVVLKKLAEVVNVVPVIAKSDSLTLEERAIFKQRVMAELQFNQIRLYPFDADE LDEEELQLNERIRDMLPFAIVGSEKSVIIDGKPVRARKNRWGVINVEDENHCEFIYLR NFLTRTHLQDLIETTAQIHYESFRSKQLLALKESSAKNQQSAATASA I203_02416 MSFIPLLTTSSKGFAAFWSLVLFAVSAAFISKSNSYFGSGPVNA SNFAAGNALIAGGILFLIYLGVALFFIFRFPDHILISVMVDTIMFGIFFVYFLASTAA LSTEASFFSRWDDRDTWASLGNAAVGLGWVMTFLVLGILLLEVIYTLKHFGGTYSTWR TPFNQLVSYGAPGSSNKAGTDGGISSSVPMTTTTPNVGSQHTYQSNAQQAYTAPAPAP AGQQATGEPDEPHHIITPYTHQGNAQGQGQQVNEKLSPYQGQLPPGAAA I203_02417 MHNLPSHCHPLLIAKTLSNLTFAEIATQIDKPEVWTASLFYGQA VTDEETAEKIYKALGEDSFVAEYNTNHLTGGQLELTKKRFINGLIGMSESSLGVKGLV DREKGMDMPPKDPVLYRLYEALLVYGYSYKAIIQEKFGDGIMSAIDFRTSVERKPDPK GDRVVITMDGKVSWLSMIGETQSL I203_02418 MRVTSYLTLPVLLLASSALAESSRTASQISQDAGKLLAAGSYIE AARAYGEAIELDPTSYVNYYKRATAYLSAGRHGAALDDFDQILKINPSFAQAHLQKAK ILAKEGDFEKAQSELKAYGKSKSDNESEELIHSVTLAAGAGKSAHKARKNKEWHVCVD HATKALEVGSNSAELRELRVECATELGDVQAVYGDLSRLASLNPSSTLYPVKLTYISY FLLKSDSAISHIKQCLHYDPDSKSCKKLHRLIKNFDKDLAKIRNFVEGNVFRSAIKIF EGESGLLERFETALESAMQPNEDGVIYLDKKFSPKETSEMRLETYALACKAFIGTGDL SNKKRYRWCEITLELDENNVEGLIARGEKLLKDENYEEAIRVLERAFENTGRTRQDVL NRVQKAQRLLKQSRQKDYYKVLGVPRDADERTIKKAFRKAAKVAHPDVGGSEEKMAAL NEAYEVLSDPELKARYDDGDDPNDPHQGAGHNPFAHHGGGMPFQFFQQGGGFPGGQFP GGGGGQKFHFQWGG I203_02419 MAPIKQPDLKKKKRTFFKSTLDIAIPILKDDSVKKQEKGKVVLP TKKSQSQELTLAANSTKPEVNSRTGKTTGVEKKKKDSVYKKVKVYTSKAKSKAQAIVG RSTRSIDRSSKSSVIGTNKSSRTVSVSTKNAKALTKIPKAKATPIDMDKGKKNNVIGT SKSVKGKKEVIPKMQSSSKVVNTTRSRSITLSKPNVQKKKYRVITARSIKATKEILSK RILSTYKSKSQTVAESQKQKESQIGLETRVKSSTGGSSSKSLKKEYMTAGFYCQDPHP PSTKQLHNKILSIRKTESKNAKSQSQRGKSDTAVGRSTRSKQASSSTTTMTKKNNGSA SKDEQNVSVSFPPLPYDHGYDLFFKQEHEFTLPYNIMKEKLDGKLDGKKKPMAYSRIS KNIYPERQKYQTDFHAICKCSPESKCSDQCINRLMSYLCGKDCPAGDECTNKTLRKRK GASYKVVYTGSRGFGIVLTQDVKEGDFVMDYRGEVITMDTFMERIQNEYKGTKNFYAL AYDQDEVIDAGMKGNDARFINHGCAPNLEVRKFEIAGDGLEEYEVGMWALRDIKAGEE LFYDYNFESFGVAAQSDELRTKCHCGAPNCIGFLGRKAGEKTAKGLAAELASKAKTLT IKKSTKKTSKIRKSTSMGATLQGSTAVMGLMEDTPSIISDSGPISSQSSVSLKTPSES SVDVVIGPASSTTSSVELTTKTSGRKRKSEVSTLDVDSSIIAKKRSRKSETVPVISIT AKKAKPRKSEPVPASEASLPNSSTILTPTKTKKYKPRKSEPILPSQGKTLNPRISMAE VREAARIKKAEIVKARRGVPKGWVILPLGTSTGTSTSVASNAGPGITGGRKPPRDRSS LG I203_02420 MGYSDREYSKLTHHSYNLSTSTPAKRAREEEEDTAKFHHKRSKA NGVEMAITQAFLEDKVEYLKNDLAAKQAEIHYLIDQNRAKDKMIRKKERVIDAKERII EEKNNEIGHKDILIRHKEKIIQELRSAEFNQKKSDFKGARFPHPVVSHSFGLLEFTKI IN I203_02421 MNFSSSATSSQTAPQLTPTSSTSSRKRARSTSSSFTIRPSPPCG ISPDPAATKMEQAIRDLRAAEQDLGIIKIQREDRKKDLILAHKDYLGTRMKVKMLKER MDRLSVEEELEISQGKLKEEMESAKHFEQRTRELEDDNATMRKAMKGLEETYTSKMEE MERGRMQDMMAKDAKINEIANLLEENKKVEG I203_02422 MVISDSPSSSPPSSPSWYPGLNVLKRSIGWSDNDDDHEHHSDLK SKRSRIQSSKSSSWTFTRLPLNQVNLSSVHKQLQHAEAQLIQSEKITDQAIDQYKLAK RDEESRKMKRDMLKERQMRMEYELEKQRLEVTVEEIQGRLGKREGIIKVLDARLSSLE KDIIKCHEDNKTLNDEYEKKLEIRAKAMSEKDVMINSANDKIKVLMTDIRKMNDDTEQ LKTNHQTAINNLKSIHQQEMNEVYKENSKKISSKDKHIKELDVSIDEYKKIEIELKGY NANLKNFMQEINSRSSELRKHLLPDSVSLTA I203_02423 MTGEVSLAKINLNPPSKRPHSPSIPNAHQSDNPHKRHSDAECPQ GKARRIGSGPGSDQTLNPTKNKIPTINELSRYLNEAQAELKLTRTKKEKESRLMRLTE NYQVMKIHLIKEKINNTTLIKEKEVLEKDNERLRMELKEVRDTAKKIDPEVNERKGED RDETIRKLEEQLAEEKLWNVNHRIVLHSRFEKINSLGHRLRSQDEDVAKLKNTIQEKE KVEGVLRERIKIFQDKLPEIRKRVSSE I203_02424 MSQQADRYSQQNPNPMPARNIPKPPETIEYICGDCGAKTAMKTS ELIRCRECGHRVMYKPRTTRSPIRSSLEFSHQAVLSRRKGFRVV I203_02425 MMDISTALSALESLSSSTSTSSSGPLNALIDVQFTNAKSRILSG EDPKVVITELQKNVKNCKKEVEKGLKGWYGALGNVGKEVDKAFPPILGEISDAYSDPP LFVEPQAAEALDRAILESLGRRGIWDAVEAMEEETSLSYLPSKRELSTQLQYILTSIR SSNLQPALEWCSESSTFLSSPPHPSSLPYHLHRAVFRSIKDKREAISYAQQNMMGYLP SQPVLKLITECLYPGQSMGKKLNGNGVQEEESGGEGEGELEELAKMFQNEFCRRHQWS KEDPLEVTVELGSRGGTLDKIEKARRVMGEHLGNVRKWDELPMEVPLPPSRRYHSVFV CPVSKEQATDTNPPKILTCGHVIAEESFNRLLKGGRRTVKCPYCPQETSQSVAQRLYF I203_02426 MPIAIPASPSKLDKMNSIHITKVAQQVEETNKVLGAGGKELPAP YKSSGNEALDTLAFLHLLEQLKVQKRSGWIREGVSYFPRVSYHMCRMALMAMMIPQDP LRPLDIPRCVMMALVHDLAEAHVGDITPVEGVPAHVKHQLEEEAMDSFLNEMLGGDGN KDARERFRSLWDEYEARETPESKLVKDLDRVELALQAVEYERSQDIRTLSPFFVGSIP NLEHPVIQKWAETLMEERRQLWASRGREKEEQDGLGGAKVGDKVKKANGV I203_02427 MPPESQSQSQSRTQESTQQPSIEVRPSSPVTPGPVSSRPSSPIP LEGSPLVPGSSSLGRQGQSSLVEESADSSLGWTQSQIQQNKKETDQHNIRAERLQNIR ERSEEGVITSPEIPSPGPKWNTKSPSPARAFQRSSPEPEVDVDQLEESAPNRSIDGLE ETEVDTYTPHVQTPEQSQPPSTPPSRSHNLPQPPIIPNVTNPTRQLRAVTSYSPKKLT PSSKKRNGDLTKITHAHTAPLTFDKPIRSPSSRDQQRKAESLESEESDFAKEYTTTKP RTPYEQFSGIMERTSHRNLDTIPDRSNLQGPSAISTEEEEEIEDRPPRSPKVPEKNDG DTDEADEEEEGDAPINWAVSLPPVNGSSTNLNDHDGSTPPPLSDQADVHGDPSPPSSQ SQTNEHQTRFNRIPGSESQPSQQINNDNAHLFSDAEEEYIGYSESQPSFPPLAATQVR QPSSSALDLLHKEPIPEAVYEPTQPNETQQNVLQVPMDEHQTDHDERPKSPSPPRPIL SRTASDISSTSKIGVPSRRQLKRRSNADDNSFVSRVKFDPPPYLPPTVLPPRASVLPP KPIQSEAALAPPSSSPFKQASLHWNSSEPPALHETLKNSLDKDSSSIESKATNDVSSN NRVARSPNDRLIRAFTPPSGNASSPFRPPPTPSSPSLEAAGQPDAPALPTVLEETQKN SPSLPPARPMKVSPKQYTSRKKRKRISSTPPVGYNSDSSTNSSSAPEDQEDHTYRPEP ANKKRKKTYAASNTTSKPAASPDTTAILSSKRKRKPVVRSSSLSSDGESSSSAPEDLE DNTYQPSLLPKINIKPEKGKGKANASAPSSTASSRPPITKKAKNNALVARLSPTVSTT SEAIVTPQPIPVLAAFFQRYYPGKATWTGKAYKVNFEDGEKRDHIKPDQMRKLMLKKG DRLEACTESEFPPKFEVAEDWDGDLKGVKCCTMEGVKLGRVKLNLFGITNKVIHASFS NRLFEDPHQQGKKPNEIFGPAGDRMTRRISVPRSPVKRVNGYGNGSPAAARRSVSPTR STSEALKGMLFLLTRGFPHDQAELTSAIRSHGGKIASSWEELFDRSSPGECGFLKNLS GTPFVILMGEGKEGTIITPKVMVALAKGIPVLSSRFIDDVVDQNEVEWRSYLISPGFS NYVEHYMSQVVDTRWGEDGWEAHIAGAIRRPLKGKKVMFVLPSAKYDSLKRLIPVCAY SMGVEEILLTPNLKSSETTIQDDKWDYILFEDREYKDKVIPTWLSSEEDRLCNIHWLK QCLIMGKALPPSLDFEPIQEKDKKKEK I203_02428 MKSFIPRSKKFYTTSPSRPVSSKSPLIQRYAPSLHSLSVKTGVP LPSLVLSFMVLHEITAILPIFLIYWIFSTLGVGLGMVRWIMDVGHLDVDDSTSTQESG EDRSVIKRWIRDWYVEGENRIGKIGKRYGIFGYTKVDKDKNNEDQDGIAQEVKVVDAR SGSGAASKVADAIAAYVVVKALLPLRIAASLGLSPTFARYTLVPLQNVFKRFRR I203_02429 MNEKADPTAIPTTKEQRRTSTTRYLKLNRLPTLQEVLDRRTRPP LDLFCFYIFLQRESAEDALDFWLDVQQHENMCKAYFKDIKRSGRTIDEEWPEFANYAR TNGSHFSPLLSLSTSPTPRQPKSPNPAQGDFSPRSNQGEFDSPTLGGTTRERRDTESH GNAPRSNMLSPAPSSTMNELGMGKPQNRDRPSMTPSGKMSLRGKFGGGHVPKTKAPTV IARDRAIEKSALIDGAERIYLRYLLPGAEREIYLPSSLRIHDFPISTPDSASPLIPDL FNAQKVYIFKALEQDAFPRFLRAKAFGNLTPLGSFVRLIVGLVCLWGGFVLAFSLIFL DWKPRLTRLWTILPFIVALNLLLSSYYSLSPLLALFNRSETTPFRHIKVKEPYVKKLL TIRALWIELVCILITAILVVIFTVVPGHKL I203_02430 MFFLRELTHTILLHPSYFGAQLEDYLRQKLYEDVEGTCSGKHGY IISVITISDIGEGKIIPSTGQAKFKTTYTAIVMKPFKGEVVDGKVINVNKMGFLAMVG PLQVFVSSHLTHGDMKYDPNASPPCYRSNDEIIQKDTKVRMQIVGCRVEANDIFAIGT IKKDFLGQIRED I203_02431 MIPSILLSTLPIPSLILLLLITLYHLTPLLNMLPNLTPSLQKLS SIIPHPKKSRNLPREFFNLPPRPGSPSSHKSNDEDTSIRGRLGVRGSLMIILLVEALL SLATGWYYLSTTNTTTTIATEGGVPIGNPGLIATSLVLFPSTLAFLSLFTILSKPSFH HRHTPASASKIRKVIFRNGGVTHSTLLPRILPLSILSSTITIIISLVIPTYGRYVILG YTSLCLMIVLGSGMIGMWRMITKPREGLIRLRGESRMSLYEKDRLESRSCSPDSMTDS AYRVSNELMGMTHEEGMERVRDTSSWLSSPSRPPTPVSSFDYSSPHGTVSTTTTKDTF KTPKPKPSNSSFAASASFAVPQTGGSSSVSAFTSTTTLVTPEHQSRSQNDISGSAAEG VINDQSWLSEPTNTPSSISVWSFPPSPASPQPAMTRNRSPSPSNVPLSPDEEGRDPNL PRPGERRLPVKASDSTTYTHTIGSSSTSPGSRLVDASILADYSPDPFHPLPPRGFASL TIYPVSPDQLQSQSSLITRVAALQSGDSLANGIVPVKKGSSTWTLQSAGTDAYKTPDH KISRARKVLSTADRRTPPPPPMPVDMPLPPTPTLARSSTLFDIQGKDSMEMLLGSSDW VEVEPEEEALEDWGRGGRGVGIVAVAGIVICYGLSLPLLLNGPKDMSIILYLISVLLP SPILAFTSYLLRYRPMPISSSVRSKKSSTKTTSTAHRSLALLSESQLSLPLSISPKLT PPAFKRASTMNLASPTLSKMIEPKPSLTTFIGSGRRHTVYGGLTLAEMQADEDMRKTL ARRSGDVWISNGHAIEGGGLISRATEMLKPVPAMRVLEDTRRRNDDGTMKKMRGGVVS LLAKRASSLFHSQTRDDIELGQFEDADGELSFDKEITPPSPARSGIAISIIAPSPEKR LSKATTAVTRTGSSYSTGEGENGPEIDASYGTAEIGMAKRGRMSNGPMFIFGKEKERR TENGYELDWLTAGVLPGLVPSIKIGNDVRIEPAPHSAPLGQTELDRGHDRELDTPKVR QRPLSDMPISPYQEGDSSYVTMPSFRDVSFKQSTPHGGKHTHTRSYSSSVDFTLPSEY STAETATSVSRELRQRNAMTNMGLGLGRSDTVESRRTITHKPSFRLPKLAKDDFEGEI RKSIDDLSQRPNFDNHEGGDHEESSNGIDLPPIRTTMTLKHKPSLSRVSELTEEPTLA PSKNSAYLEENGNDSSAIFSESALEDMHLALALGTTTPSSQRQNGSGSIHLRPKMSDD STHTGDLSIVNSISTNDENLEEMERMMAMDTPTRTEFVISPPPGSTSGASGTGRDSRA SDRSVSTFTSAYTATTTTTTITTNDSPLPPVPTLPLEYRQPAYPVYSHPHPPPLNTLN VQGSNSILARQISMPNIGTHPPIQSLLPKARNSTETLHSTNSTSISSSVMQSPKNKEM NHQPSKKELRIVKALEERNNQSRSQTSLGFNDKDIEKKSVVEKRGLRPLTLLADNSSY VNANERTSLVLPSIKSAGQVEGNGLRKLSILSDDDGIATRSKSSMGGGKMSVGSGKEN VRKGSKVSTGSGVGAGGVSGVRGLRA I203_02432 MLLIRSPIPSSHSLSSTTSSSHHLGYRSPTHTQPSLRSPIFLSS SPRKRPLGVPSPADQLNFSSSSRPLKKICDRLDITDENNGGQPGTAVGDINKQNREKG VNAPLNIIITKMDIEMESDGLIIPPKLSPTPSRSFSSSSSSISSIHTISRPILGRLDT SSSLDFEPGLTVRSYPSSSSINDMTSSPPKSSSLPPVMHLKRNPKKLSLSLPALGSTS TTAYNSNSSPNCSTPSTVCPTPTYSTAESDSKFGTPYTPGPPKTPALAMSLGRSTYRG NRRPSLLSLITNPPGNDDLPPPTPGAGSHPYATMRLKNKGRARSQTAIGVLGVPDHQE RYSSFPPIDEQPSNGLSALGYALPTTASPQDQTRSYSGSSPTTSTSTVSDDASASTSS TPSTSPPLPTAFTFSMPLNNYYQHPSKRQMEPYEDGPIEILPGIFLGAEESVHQFDTY AASSSKVRIINVAQEIDDPFDPTNSSSTSMPGWSKGKGKQKMKLAVYPGDNTIKRPEI EYCHVRWSHGELGLANIPPTAQLSQLIDPSEEEVLPTTGEEGIWGFWETIKWMESGRK NGIPILIHCQCGVSRSATLAIAYTMALAAIGAMPDILGGIRSMQDAYDFVKSKSSWIG PNHSLVFQLVDFARHLTQLLSAHPTQLNTSFPSNHDAELTEAEWARRRREFEDSENGS SSPSISTPSEGEEGGESCISPEEADEEARRLDEEMLLRKSRR I203_02433 MADITEDPLAPKNGLTLTPFHLLTLLISSLTLFLSSLHLPAPFR SIVGMSKRASLLLVCLMIGHWVITSQMNNYHKRIEKAHREEKGRYDPLVKGKIDKKKN DWENAAAHPSHFLTTRDGKPRLFPFPLGLAGGQDSTKKTMWWEAGNSAHVGHYNQRET PEAREQLRLEVEAKESARQKKAKKAIEAYEKNRKKWQKRLTHLKMISAIVIIGIYGLD PVQRKIAVVCLAGMIYYVFAMEITEMLKPKSKEVDDDDKTAKKRKKIPTTPGMAMTYI YEPNGQSVNEAGAIPTKAPSHRLMTSLDSRYAS I203_02434 MAIPAPPVVANPNLTEVITPAPAPKDSAPSSPGSYDDKKQNELY AYQYGTGQNSLNSSDDELDAKWYEPPDSYESKHRWDTRATWTPEEENKLRRRLDLKVA FVACICFAALQLDRGNISNALSDNMLADVGLTTKHYNYGMTIFYLCFLSAELPSQMIS KKVGSDVWIPVQMMLWSVVAIAQVGINGKSSFYATRALLGLLEGGFIADTILYLSYYY TSAELTLRLSFFWISYTATNVIGALLAAGLLKLRGHGSMEGWRWLFMLEGIVTFLIGV WAFFYLPASPTQTAKWWRPKGWFTEREETIIVNKVLRDDPTKSSMHNREGLSLVDLWR SLTDYDMWPLYLIGLVAFITPSTVQAYFTLTLKNLKYTTLQTNLLTIPSWILFAIGNF TCAYFSKKFNSRLLFILVQPVWHLSFMIVLVTLPDTTGRWVKYAILTLVQGYPYCHPI LVSMNSMNAGSVRTRTVASSVYNMFVQAASLVASNVYQPSDSPYYHKGNRVLAALSAA SIVLVLSAKLWYIYRNNQKSKIWDSWTVQEKEDYLKTTKDKGNKRYACFCQI I203_02435 MQSILHPIIGPAGPESKDLTGTVSVITGGALGIGFEVARFFALY GGHVIMVNRKEEQGEKAIKDIEAQVKEKGSKGSVEWLGCDLGELKQVKEVFGGLAKRL DRLDYLICSSGINSNQFGLDADGIDRHFGVNALGHYYVINLLYPLLRKTTKLPGVEKG SVRIVFESSEMHRFAPGSEDSVSRGRGCHFGSEEEITEAGKELGPIELYGRTKLAMIL YSKAIRDKVIKKNGDDIYILAVHPGAVNTDMQEQWEAAYPGIIGKITKYLTMAGGRDP EQGSYSALYAALSKEVVEKDWNGVYLSDPATLGKETAQGSDLNLATSLWELSERMVKR ILGEDALESWSK I203_02436 MAPNITEIDSTSQFDGIVRSLPPSQLLVIDFHAVWCGPCHAIAP VLEQLSNSKIDVDRQAQLAQRFQVRAMPTFKFLKGGREVDELRGASPPQLNALVSKHA GTPAAATSAQASSSSSTVKSSASSESTGSLLKHIVSNGLSCLNESSDHPLSSIVGPNP GPKGTSYLESDADAELLISIPFQDQVKLKSISIFSAISPSQAPKSIKLFINTPNIDFT DAESLNPAQEIELTPEQVKGEKVDLRFVRFQNVRSLHILIKDNQEDEETTRIDSIDIF GTTGDASTEKAPAGQAAGGGSMMDRLMGRA I203_02437 MPYAHEGDGNNDSYQNEHSSFGSHPYGGNETQYPPGPAQTYPPQ GFNQVPSGYGNSTPAAGTYGSHDQSNSGFYGGQQGSYAHSQTTQSYGPSHPGAPYVNP PQQAYGQPPTWGQPTYGGSYPVASGPTSGTGFDYYQSNPQSYWNNGRPTGILAQAVQS TRPAGYTGAWPPKDAASGLIAIGALLNNKKKSSAQSGASGQFNSQGPPVYGSGGSDGP TSGYGGPSTGQGW I203_02438 MLTNGKFFSQHLKEEEVEHGAEESSKTSQAPTPVVQDNLFSQVG HVAPGWDPHQFTNPTVSSSWDQFANSAYDHNTSAQSQAWMGTSQPSHEQTYNWGGYSD QNQPPQTAPSQHSYAPVEGYGGADPANYGLMQPLDYHTVEYSQGSTNQQQDTNNTQDG LVGKFTGLFNESVFKR I203_02439 MESDKNHSDNISRTGCGEPPTPTSRRANPSSQEFPDTQFMYKGP PPWGCPTTSDGSQCPAFEAQMGFLPSTNFSELPRTGLPRAGENCHEAIAFLPPPPQGF RCDCQSCTQGTTTMSDFSIPSQTQSGYPPPNTFYLLTSQMSRPPIDGRWSQDEYNPLY GLTNHSRPAAMSGPDIYVHNPMGPSGLSHAYYWGDQGMSSYWNGVPTQQGSQRMSSHT YPQVTYSGDVLFYDEMKEELNDERLKENNGNRWPNIVSPIFKSKAAVDEQGNVKGLPM RLMELAEEDYDWKKEPHTRPASPLGEI I203_02440 MSTTTEEKLAGAQAISGEDDSRSPSTIPDHKQSLAQEIAAMSPE EYELAEKNLVWKIDRNLVPWMTLLFTMSFLDRINIGTAKLAGLNTDLKLTSLQYNNAS MSEGFYSYVAAEVPSNLVLKKFRPSRWIPLIMIVWSIFQTTMGLVTNYHQLLALRFCL GLAESGLFPGISFFLTGWYKRKEASKRISLFFAGAVLAGAFGGIFGYALSRMDGVGGK AGWSWIFIIEGLLSFVIGIASIWMVHDWPDQAKFLTPLEKEMVILRLKEDTGIMQEGT FSWKVIKRALKDWKTPTFMLMYIGCAEPIYRLFSPTIIAALGRFTTPQSLLLSTPPYV LAFITTMTTAYLSDRTGKRGFFLMFWSAMAAIGYLLFLTVPIRYPGVYYFSVFLTTCS IAPCIATVIVWSGNTFGNHYKKATSMGLIFSLGNSGGIISSQVYRGKDSPRFLVGQGV TFGFSCICFISACIMYFGLRRENRRREKLYGPAPGPGQSHEWSSEEGKRKYGLEGLTK EQIVELGDDHPAHRFIL I203_02441 MSTHPFFVNQTPFLPSGSIASSSKNTIYPIPSSIYASSSNTHSR TQSSTSVNTLASVESATSTSSISSTSSSFSRSTPIDRECLRWMQRESDSEHIFGSVSS RASGLSVEERDVRRRAMEIEVETTTLSGGEQSEESNIKAIKAERRKGRVGKWF I203_02442 MRVKLALLPPFASAKLILPVPEGCKTVHDLKKHILGSISVVSQH VSKAKELVLEIDGFELLAGSQVGVIESGDVVSVRSAPGSSKVQVDPVKNQKRKARDKS EDSDKIRKKRRTSTSKTPQVNISSTTRPPIVSSVPPPSQAIPPPTDPIPAKRARSLSS SSSSSSASSSSSSSFDSRSDSESSSTSSSSSSSSSSSSSSSSSSSSSSSSSPSSHYPV LSKSSSRVPPGEGKSSTKNRNARRRLAKQYKKKQISQQPPRDKRTSESVVEAVTATAA IVSPGEQQIPVPGSMSNRNKKKGFLSDMKDKKGIKTVFRDNNEDQGEDISFEADGIKV KQDQSDQANENIDAALPFQDTPYVEELGVRKEEMVIPSRMVDLPSNLFVTSAEFPRAP TSPRRSQRHKSLVEEEPSNSNQGQGWSDRQEIEMDGGDDEEEMMNADMGDPEESLWER VERDFEILPVLSVDGIKSLKIGDMIACKELELDMITYSPALVTKIAKATQVTEGQVKL EWMKKPVTAYARYEDEDAVGVDEVMEEEEQEEVILDQGGVAAEKWKVARRDLQDSIA I203_02443 MFSNQGQLPSEGSSFYDLHAKGFQGIPNTQVFRAGQQSSDTPTQ GVSRASKLSATAEESKPGSTATSNGVNLAG I203_02444 MQQNEDQKLSRVSTFGSTKSSDGVTTDELKSALGAEWVEIANRI DQELSRSPTLEEQFNSTDNTILRPGSFYELDRKTLQGPDQWGEYVESTQNQQKRGIQG I203_02445 MFYSISKKDGTFSYRILDDPIKGETRTKPNVSGKCARGFGNLVK SQIDSFNSCRGDDSGGGSTTGQEENTRGIFDRVISKYSCRLSNLCELHKTSNMNDRNS ILDSRTSEHRIPNSVVILVTQDRDGEGNANGGTRSLPEFTSGQYRILGARLYIPPLGD EVRTQSGLEDRISREQIKRGFDLAHEIYKTSNCLSKDPRIIYSIIDGLTNKQGRFSDC SVVWVNQSSLDEIGFLEEIDGIEDRSEC I203_02446 MLLYFVRHGQTEDNVQGIIQGHKDTPLNAHGRKESERLAQRLRD LKIHEAWSSPLSRARETAEIVLRHHPDIQLKLHDGIKERCLGSMEGRRRKRGEHAPPD AESSHELLRRVSDWFDFFLSSHIPPSPLPSTNGFHKSGIEDIEAPHQQKVVMIVSHGA WLSCLLQLISHTLRFKLSHKVDLTQPCYNTSIMVVDVEYNHEKYKWNGKIERWADIAH LRDMLEKNEEVKEVADDIRQ I203_02447 MSPIIVSLGNPLLDIQVDPKEGPQYLEKYGLKSNDAILAEEKHM PIYDDIVANATVTYVAGGAAQNAARAAAYVLPAGSVAYIGSVGEDDLKQTLLSANEAE GVQSAYQTQPSPARTGACAVILSNHDRSLCTTLRAAEMFTPSHLATPEISELINNTKY FYIEGFFLTHGIESALEIAKQASSRGKTVVLNLSAPFIPQFFKVQLEELLPHVDILIG NESEAEAYKEAAGMGDATLAQVASTLAAMTKSNASRPRLVVITQGAESTLVASSAASS SPSNLAPEDENPKTYPVPKLAADKIVDTNGAGDMFAGGFLGSLAQGKTLNESIEIGHK LGQMCCGQIGPKLVWPKENVV I203_02448 MPSYKKVPLKSIKTLTFYADQLTNSRRVGPIPQLTCVGSACKSY QPEVVQCTNMGDDGLGGVQWRCDTDLPSSLRLGKVEVSCEGWSKSGDMNVLQGSCGLT YNLNRVNRGLEYGEDPFLPSNWDSFFNKGFNILFYLISFILLYSLIRSLIQRFFPRYT TPSISRFLPFSGPDGGGGGGGGGHGGGGGGPGFNPGSGGGPPPPYTKHPPSSAPSQNQ STGWSPGFWTGLAAGGLGTYLANNNGGRRQAQRDPYAGMMGARNRFGRRFDDDDDQWD RGVGPSRRSGDEGLGEMRRATGFGGSSTR I203_02449 MSEEDFIPLSEGSGSKKDRKERVNTTVFVSSLPYTATTTDLLTH FSYLGPVRHGFVATDKDTGKSKGVGYVTYSLKEDADRAVQELNGSVFGGGNRKIRVSV AGERAPLKERKAAALDQDLRPKTKPRIAAGEEKSGDPNAIRTLVLSGLPEGITKNVLW KKVRKIDGKAELVYPILAEEGEGESEAAKEDTANIIFPSHGEALKALPKLHGHTYKGS LLSCVLKKRLEKLSARGEGKSQSHAGRLIIRNLAWDTTIQDLRATFLPYGPIHSIDLP TLPSKLPPSSDPSKPPPPPRARGFAFVWFLTRKDAEKAIEGVNGKELKTKSGKEGREV AVDFALSKEKWEEARKVDGAEAKQGDEPAGSGSESGSEEGSGSEEDSDDDESGSEDDE DEESEEDEAEDDEDVNMSEAGSDEDEEEEEEPVKPALPSVDVGSTLFIRNLPFEATEQ ELNTLFRSFGPLRYARITIDKSTGRSRGTGFVCFWKVEHADAAILEAEKVARETGANA MPVSKNPFALPSVLTADPSSSLASRLVLHGRTLEVSRAVTREQAGLMKEDSERARNAG DKRNTYLMREGVIFPNSPAAASLPEAEVEKRQASFNARKTLLRSNPSLYISKTRLSIR QLPLFATDRTLKRLAIYAVREFEAEVSKEEREGLSRTEEMDETLSAALEARKTSKKGK GKERETAVIQSKIVRQTEKVDPLSGQGRSKGYGFLEMRSHKDALKVLRFANNNPQVGK LMWDWWKEELKDLKDKTEQQLKSAREGKTSKGGESVDELEGRLKRIEQRLSEGDDRSE GGMRGGKTLLIEFSIENVQVVRRRVEKIASHRDGPRESRDKDRNGKREFGVGEKRKSG VIAAEDSDDEGGNASTPKSKKAKFDKKRDGKGAKKDLKAGRSERGPRVEQKEPNRGGA EDVNEKKGIEKLGNHLGSLIGRKRKQRRGGK I203_02450 MNATKSLLALTSSFARMAVRPQVPLASSSRSVIPPVGREMRGFA SSSRCEATIQQVLRGARKSSKRKSSVPLLDNCFQKKAVCAKVYTTKPRKPNSAVRKVA RVKLSNGSMTTAYIPGEGHNLQEHSVVLVRGGGAKDLPGVRYKIIRGALDLNGVAGRI SARSKFGVKKPKKS I203_02451 MSDDDLFKRFAALRAPTSQLTDHASQAGPSSPRYNIELSAKRAE EEDEELERIADGRLDGLIVGMEDDGAREDDELARRIANLRGNDVRNGRGDTDSEDRDV EDFLASFISGPSHSHILSEQSTGNTLAKDAREALEDAKSHIPPIRRKKGEEASEEEGE EDQEETEEQILIRALEEASLDRLHDPADDQADEKANEDNDQQQNTIPGLEGLSFPSLP THVPKEDDEEVDEETKKRLNALMGLSPSPIRPGQTQQGPPTNTVPKGWSLPGFDMNRD DDTDSWCCICNKDATLICLGCDDDLYCEECWKDGHGMGEGQERGHKAKKFVYRKQLLG AS I203_02452 MSSDRPNETNAGDPKISVFYWSLPEHPSAKELSLNQTRWHGTDQ SGREGLDQSIRKSTNFEAAYRLVDLYDDTMRASQASKLRLKKDIRESVTAFFPSWIEP KPGYTYRHISDGTLVRNGTYRQKEVRPTTVRDIQRINKIFEENKDLNGILIFGTTHDP EDKEKYLSSSIVNSMKNWWSGGDTAQRDESGSGRDSAITDIRMLVSSSPSSNTYTQKR DRLLEFLDHYKIDNELSYTRAQEASSEHAEYGCEVMKVWEDSLNGFQALESVDVTLSE VEQGENKRMEIRRTKNGSSFASQ I203_02453 MTSEGRTTPYGRRGIQTHYSAAGPVTLRPTGDGSSGTTAQELPS DPVSSIFYWSVDTTNLLVIDKVSFDHVTWYGTNIDARREIDQVARECTKSRDLNWSCY MASTHFYSGKDEEWAISQDIISAYEKIATECQDRNQRERDPEAEDISRFFWPKQNDPT TIQDIRRIKGQLEEAKNTPPLANGLLVLGTTTDPMKSGTSWIGTSAEEAITDFKVLVS PDESNGLFYKHKKSILDNLGNTYWSRKVSNDLPSYTEACLLAEEYTGCEVIKVWDKTL EEMQALERVTLTSPINGIGRSSGEIVESLKDSWDW I203_02454 MATRPAPPAPNQASGSNSNTGGGRRYNSINQHQYGGGYGGGGQQ NVPRQKPRVGQYIIERTLGTGSFGKVKLATHAITGHQVALKLINRAKITTPDMNARVK REIQYLKVLRHPHIIKLYEVITTPTDVIMVMEYAGEELFNYIVSKGKHGMTEDEARRF FQQMISAIEYCHRHHIVHRDLKPENLFLDSRRNIKIGDFGLSNLMTDGDFLKTSCGSP NYAAPEVISGKLYSGPEIDVWSAGVIMYVLLCGKLPFDDEHIPTLFKKIENGVFHIPS HVSEPARHLLKRMLEVDPLKRATIAEIRQMPFFQENLPRYLEPLPEMDRYPALPMDDM TTLLLINEGQADPKKVAEAKGMVFTEDLGIIDPDIVEELLEKISTYTEPMVWDALQRD GDNQVKVAYQLVRDHRRILKDSYGFEEDEDTSAMEEFMASSPPAWNADIPPPSQLQQN GVDEQMDIEEDVDLEIQDIPNAHFDVLDSSLPGYLTPPSSSGASTALATPTSEVPGVD PQGAAEAAARALLSPPVPDPPRRLDKSMSKPKWHFGIRSRSPPMEVMLEIYKTLNVLG MQWKKKEDINLPEIGGAPPGGYTEEVEAALEQYAEENNGTRPVMGKKPPTKKEVLAQE KSAQSLYHVETRARYGDVIVRMDLQLYRVDDQHYLVDFRNLGYYMVTEKEKDVIDVSR HDGPDVSSMISGRTGNTTSSNSTSTNGSSVPKPKDPSGQQSIGGVSGPFHFLEMACQL IAELASG I203_02455 MGNTPSSPHHGRGDHPQIGYDDLISPSPTPTTTHHGHGSHRHHP NLRLPMPARPTHISPNSSNPTSPSGSTHNRSGSPRRRKSLELPDLNKLSFTPSALTPA APVPTTHTHTSHHLAPSTSAVKNTSPSPGPGPTTPTGPTGGTTNQKKWQQVLGGRTAS PLSNANALGAMSRIDNPPRSAPIAMPNTNVQSRLATEENNPYFPTTAAQDAATVRKTP LPIPIPGKETPQTQPLGRPTGLSPPPPTKAPTPLPATTEQQQALAPTDDGMVNVPIHW TGGGKNVYVTGNFADNWKGRIKLHRSTHDFSTILRLPPGQYRLKFIVDDSWMCSKLIS TATDDDGTLVNWIEVETPKTEEEMRAEWAMDAKPAVKEENDDESQWTTSIPSALTLYQ YIEELPNHFSPEEYSLFLKSVPYLPNVPAPPTLPRILDKVIVNNDTKRLWDSHDPLMA NQTGYSGAPAALDDNSILAVPNHVVLNHLTASAIRNGTLGVGTTTRYRKKYITTMFFK PTLSDMPTTEAPSQPPSEAISQSQSESVSQPQSVQ I203_02456 MSESLPSQTSLTTAPTIPHPQAKRTKSSALLTPDLPKLPIPPLK ETLKRYLRALEGLQTPEEHQKTKEVVEEFLTEGGEGEKWQRKLEEYAKEKESYIEEFW YESYLSHSDSVVLSLNPFFVLSSDVTPRASDPQLSRAASLILSSLSFIHDLRNGYLQA DTVRGTPLDMSQYGRLFATCRVPTDRGCRMEVHEQSKHIVVLRRGQFYWFDCLDSKDR PLLTDREILNNLEAIVKDADKTPVQSVASNSVGILTTESRKIWSSLRADLIKSNKLNA SCLSVVESALFIVCLDDAGPEDLAELCGNFLCGGYKLEGGVQIGTCTNRWYDKLQIIV CSNGEAGINFEHTGVDGHTVLRYAADVYTELVLLFAKTINPSTPSLFKSKLSPFSKSS KQPPKPEDLEDGEEDPSFEIQPKKLEWKLTPDLLAGIRYAETRISDLICQNDSQALEF KGYGGNFIKRHGFSPDAFVQMAFQAAYYGLYGRVESTYEPAMTKAFLHGRTEAIRTVQ PESVAFVKAFCSDHASVHEKVESLRKACKKHTQLTKECSQGLGQDRHLYAMYSLIQRD IQAYHASRARGERQKPRVPALFKDPGYNLLGTSVLSTSNCGNPALRLLGFGPVTPEGY GIGYIIKDEGISVCMSSKHLQTRRLLQTLQAYLIEIQKMLITLWKEANERPEAFIDHT GVMRDARTGKKVEMDGEEDREDEELLGGFGFFDVGVQAALPQARRRRPTVGKQLVIAE Y I203_02457 MFGNGGNSFGALAMDDDQPSAGPSTQVIEGEELDVDWIQLVRTN HDVDVRVSDKVELEGLPAECNLMVVSNLWDLLIAGGNNDIRIHRLSHFHKVLEGAAKD ASPTSDAIQTISLPARPVWIRLAMNEERLVVATAEGSGVQVFKLKEVLSGNTASYHSF TTDIPSSLLEVLPNPATSSSDQHSRLVILLASEGLVIADIEDRRLSAPLSGPFTCGDW SAKGRQIAVGTPSGKLVQYTPEGTPKAEIPSPPDLESYYPVFVQWLENDLFLVSYAQT GAQPDDQAETYIIHRNKSEFTFTKFFDPLNSMGLASRASLYRHFSGLKTWGEKTKHLA LIVSGASSEIGVLHGHAASEKEPPKWEVLLLEETARGVLPAAKVGVRDDASVLALALD LTSTQVIRRGIVGGIELPDLPPQPRLLAYTQEGTIISFDVRYPDAGLYPGMISPSDVT TSLSSSSSPSTQPPPSNQVPAATSVSSPAPLPSTGSAFGSTGFGQTSNNSASAFGSSA FGQSSISAFGSASKPAAFGASAFGQASTSSPSGTSASGSTFGSSSKPGAFSGFGQAST PSGFGQSAFGQSSKPAAFGASTSPTAFGASTTPTSTPAKPAAFGSTSTPVPAFGSSST PTSKPSAFGSSAFGSTSTPGSAFGQSAFGQPSKPSASSPSAFGSSAFGSTTTSSSSNV GFGAFGSTSKPSASPFGSGGSAFGSTSAFGTGGSSAFGSSSAFGQSAFGSKQTPSPSS EAPKPAFAGFGPQASAQDKPASSTSATSEFGPKADGANKPRSAFGGFGQQSTSSPATE PTKSAFAGFGSTTSQTSAFGGFGQKKASSPAPEISNNPETDDLGLDGFASALGKNTDP AGVPGLADSPPGSPVLGTDKKPAGLDDETPPNSPPPKIVAPKAAATAPSSSSAFIKPA TAFGGAPSVGSFGQISKSSTPAFGSGSTPAAFATLPSSSPSAFGSSAFGKPSTIGSSA FGSSGFGQSSVPAGFGQSSVPASTKPIGNISGGFGAFGAKSDGQPKSTGFGGFASNGT SVFGGDADKDKKPNAFAGFGGSGASIFGSATDKKEDTASTAPEKPGTPSAFSFGAKST STTPQKEATTDPAPPTPEEQVEVQGYDVPVPCEESKPSTPAATPEKKPPVHQSTTPDS TSTQPIDPFDATTPVDGPTESTEPPAPEEDEEQIYHVEAGAAFLEEGHGTDEEYEDEY EGEDDNQDYEEYDEEEYDEGEGEEEEEEEEEEEIPLGSGRRRSSSIPPDMSPIKEEVS DELASEEDEGEAEEEEYEEEASKVESELSTTRSLTKSPPTWFAKPFKSGKSETIDNGP ISPTPGSEGASLFARLSPAPSTSPKEEKKEPVLPSTTPAQPKLPSAFSFKHASKTSSP LAGPPENASTTPESSPAKPPATSGLFGSKPIEQSNKPEDKPATSAFGLFGTKPAGENN DLSAAPPAFSGFGAFGSKPAETKTEAAKPASNGGISLFGAQPKDQKEEQAKASSSAPN GTFNFFGPKDAEVPKPASPAPAAASGGFSLFGNKPADSVKAETPPSIFGAAKTIPAIP AETSRPAFGLGLGKPGAPATPSASPGVAIQPLFGKPVPAASHTPPAVPGVPAKFILPT RETVAPPSTRPNDGSKSMGAIVEKIILALGDDFDNLKAVLDANAKYHESFDATGLPPV TADNLSSHDIIAFSSISQLTSIVEDLRKELADLRNEDNGTELKLAELQSRMLKTDMKT GQADKFLKARQDPSFAKVMQIKDLSPEQAASQTRLRKAVQVADTKIEELEASIAGLKR RAELREQGRSNTQQPALERVQRSVRNIDAAIRDRQQTIDDLARRIGGVKLSSPGRSGS PAPSSLRNTPKKSTIVHSSNTARSISFEPTKEILKEVDSALNGDRNSRLLGRLEKLKS AKLTKLENQTDDHKKPIMIDALPLPGQLPPSFLNSIKLPSQPTTTKVEPAATQTPDSA IPSTPSAPFTIPGNTSTPSPSSLFGGIKFNLDPGNISDLAKSTGGTSTHRGSGGGSTR SHTSAAKYVPHQSLGTGIGASPVGGSGIFDFKGKGMDGEEKDKEKEKEGKSKPSGFFR I203_02458 MSSESETDYSESNRISHSNGGSSSYIPRVREDDSGPSRKRARPE EYQEDYAPQYAQTGPGYMQLTGSIFNISPRNPFTSVVGDFMMASAAGLENIEIEIKLG ILTAPDHQGPSRRIRLPTQSEMIVPPDYPLGPFQSTMHPQQHKTLNNLLNQAAQSSVN LAPEQGRVKFSRSKLTDSFHGAGGRNGKVRVSRSRETGEVVEVVKKRRVADMNVYCPG AAFDWRISVNVEEPCEMPEGPPSMTRDKDRATYRHQVCQVDLTHVMSRETPQSRPISS FELEIEVLDVVTLLAEGTAGSDRFDEILQNVLDTARMLVKNCDPAPQ I203_02459 MSSSKNATSPITLVYHVYTEPCKSCHLRSFLSMERNAPEHLVLR SRSSLFTAYSVEKDIEDHANEIQSKFHELIDTGIRMDEFDTIQEYVDSRVDLLKSVPS FGDYEFRSTDDPSMIPALEKFKKTITIAEHDRDSGKTEVGYLVCGTSDDQVVYPSIYV RPHPDTQVVDDRRKHLRKFAEAYMTKKEESGDSQVGLADELKKTWIGCGVYSMDFKCM DELTKYGILENYDSTSSNDLHAMDKISQCDTKVSESEDTAIRGEYQNLGNRDTNIMSG LADTDGEN I203_02460 MSQDLQVNLSNGETHTISKVFYYAKPTSDTIQFFSAVHRGSCQE TTNAGLTLAAQVEKKITESGRYAPHEESAKYFAKQMISTSLDQLIEMSQNVRAPVLIL AADSSEVEESMDTIRDAGVYVSPASDVSEEKLREMDDFAQELKKPEHIWICSATQAAT EVYPGMNIVSMDWENFKELERHGLITQFSKEQSLKDRLRSWKLPLIVAATAAVLRP I203_02461 MAPLTLLWLAIDRIVDGKVTNLKSKDGKRKYVIPACYEDIRMSN NGNKKENYKDVNYLIRSGRMAMEKGDAQVFYRIFCLMSELNKHNTGSSGCFSSSDLKH LNYDHGKKESSKLVRSDQSSMRHMKNFAWLMESIMPSPTGGFRLGTGTIFMAIPFLGA LLTAMMNSMVYIRIATRVSAPRWLVTEIFLPLFWAVFFSFIMPSLGDLPASRISPSRR AARKVKYWLKLRTILSLDERDPETGLWISKHLNIVFPNLPDGNRYTWRYSDGLRKEIF GDDLKHLYKTKDKNSIEDRV I203_02462 MSDPSLRRRVPSSKAEQTPVTPYQRHPPPPRRGHPTRSLHISLS LLILGFFVVYAQKHQTFYHSTPTYAKHSKQLPARYAICSKDGQSIYTVPEGEGVGETQ CVVVDRGQVVQTGSLGKIRRNWIDKNPLNAMKIINLPQGHTMTPGFIDSHGHPLIYGH SRQLPLHGCKSVAEVIRKVEEFVKNNPLKEGAWLEGLGWDQNIWENKEFPTAADFDSS PMLKDLPISLSRVDFHVEWVSPAILKLLGDDIPDVQGGQVVRDENGRPTGIFIDNAID MLTAIRPPWTDEDRERYLNIMLEDAMSKGLTGVHDAQGFLKEVSFWKRMAEEGKLPIR FYQMLKCEDEDFCGDKVEQITDPESHYILRSVKLFGDGALGSRGAALLEDYSDKPGWK GFMLKPEEVWGPLIKQWYDAGWQVNVHTIGDRAAHVVLDAIEAALEGHPLETRRNARF RLEHAQIMTSEDIERAVRLRVIASVQPTHATSDMWYAEDRLGPERIKGAYAWRSYLNH GGRVTLGSDFPVESIDPLKGFYAAVTRLSEDGRSPHGKEGWYASEKLTRIEAMRGMTI DGAYASFSNITGSLKPGRKFDAVIWDDDLMAVPDDEILDVKVKGVIVDGKVVWGSLG I203_02463 MGLRTMLRSTLVSLSSLSSSAPIEVITTCFILVTLVYFQLLHAI KGSEFFNIPSASPPPRPVHLVRLSHPPQLDESAYGLPSTSSRLANHFNTATPWSGEDW QPVTVGGFRRILEANAVEGGYVFDEKIGGNPAGEKAAVVLVKQIVLVKEDESESTDQW ENWLLNDFGVEFGGSKYTYKELCFDCSIKPTLTEHPLHPSQSVLTLFLQAPTPYTPTL PYLNGLGKLPPFTPPHSNTTFRILTPASTSWGFLPSFDGAGLFSNFGDGLSQSEKEDE DALYGLRNVRWFAYAVRAFGVRFWNLAKNADSADIFVVLLGYVLMHGVFVHLFIGMRN IGSSFWLPVATLVSSTFAFLVALLAAYLLNVPIDPICLSEALPFLVITVGFDKPFWLA KAVLQNPDIAPVPTSPEMSPVDDIIDETGLGLDLGTLHKELAPLERLQRLAEGKVRWA APVAAKKIVVDAVRKAGVRIVRDYAIEIAVLSVGAASGIGGLREFCYLAALIMAVDCV FLFSFYVAILSVMVEVHRIKLIRGNRRAKHLRRNSSHASLNAASISPSPTGKSFSSTD ADEQPKNPMVRLKLLLIVSFLTLHILNLCTTLTEQTALKRHSTHSVPNVTPRAMLDPR SPTLSPMLQALYDNQPPETDMAVQIIPATNVVMSSEDYIPSRMATIDQFMSEWTQLVG DPVLSKWIVVTLGISVLLNGYLIKGIASNSMGGKGPVAAAAQILVGVFESAEKSDRER KAASKSATPRGKLPANYTHPAPAKDGEKTPKGDERPNGNIGQIMVPSAPKVPIITEPS PPIPKSDSSSSLQSMHFGRRSLEECIDIYAGGVGSNNLSDEEIILLVEKGKIAPYALE KVLKNLERAVRVRRAVISRSSVTRTLENSLLPMADYDYKQIIGACCENVVGYMPLPVG IAGPLNVDGELLHIPMATTEGTLVASTSRGCKALNSGGGVTTVLTHDAMTRGPAIDFP SVVLACDARLWIDSNEGFSILRAAFDSTSRFARLQTLECALAGRTLYVRFATQTGDAM GMNMISKGVEKALEVLRERYPDMHVLALSGNYCTDKKPAAINWIEGRGKSVVAEAVVP GHIVKSVLKTTVKDLCNLNIKKNLIGSAMAGSIGGFNAHAANILTAMYLACGQDPAQN VESSNCMTLMEPTNDGADLLISCSMPSIEVGTVGGGTILSPQRAMLEMLGVAGAHPTT PGANAQRLARIICAAVMAGELSLMSALAAGHLIQAHMKHNRSAPVTPGAVTPFGGITP LRESMLINGPPPKGLSPVTATRQTF I203_02464 MPPPIKLFSSVPSSSKPKKLPVEPLPSSFSEKQAKKAVDALLKH HEKVSAEKEEEELLPKEDHVWLVINTKRGSTRKGLMPVRIQLPHPPLPPPPTTSICLL SKSPQREYKDLLAAKNIKFISRVVGVEKMKGKFKPFEPRRELMRDHEIFLCDERVLPL MPGLLGKMFFEAKKQPIPVNLNRKDLKAELGRAIASTYFHPSTGTSYSIRIATPSSSS SSQVLENLLASIPLVISNIPEGWENVLSVGIKTSTSVMLPIWNSKLEGRFDGSSSSAG KTNKDVEMANEEEEEEEKKEPTTTTTTKKKVSNAVAGTTEKEKKKKSSTIGSANVAKR AKTEVIGTPKIKSKTKTTKK I203_02465 MRITKTQLAGLDQYKYSGVDKSVLSKHVLGPFWTWLVTLFPKTL APNTITFLGLCFVIINVFTLLYYDVAYEGKVLPSWVYLSWAIGLFAYQSMDAIDGKQA RRTGMASALGEMFDHGCDAINTTLEVILCSHALGLNRSWWTVASQAASLCNFYASTWE EYHTGTLYLSAFSGPVEGILMICVIYLITAFHPSGPGFWSTPILTPLIKAFPQSLDLI YQINDVLGLKGYWKLDKLPANVAFMSFGALGTVGNIVTSYSNVIQARRKAGKPILSPL LGYLPFFTHTLILVTWLHAELRGGVSLVHDARLLPFIGYWGMAFSYQVSQLILAHVTK SPFPYWNGMMVYSLFGMIDANALWWFGREPLVQSSPIAANVFISMSFFVALFNYIRFA REVIWQITEHTGIACFTVRHKDASGKWVENGVPDKKGQ I203_02466 MPKVSAKDTKKSAGVQAAAKKRAKKDPNKPKRALSAYMFFVQDY RERIKAENPDASFGDVGKLLGLKWKEMSAGEKKPYEDKAQADKARADKENAVYKANGK AAKKAAPASESEEDDDDE I203_02467 MTRTRASGGTSPSKTIKETLGLSKPSSSSTKTKTKTKPKEEKPV AKYLPTSSEFMDTAESLKVQVGESIHLEAPKKLMSTGSFGWSGSKNGSVSLKNGKKVD VSISINVVVQNSSPSKRKSVGADTKGKAKKGKVIRYHSSSSSSD I203_02468 MIASLSLLLLSLVSAASDSYGSISSRSGVSPELLDLRGADVGFS QYTYNSTLLPPNRPRPPNCPPCFNCQLPAFNCGNAGECNPYDGQCRCEPGFGGQDCLT PLCGALSDGEERYPRPEGELCQCKDGWGGINCNVCKTDKACSAFRPKSGPNQEDDNGD EDEEDQMVCYKGGLAVQRNFQMCDVTNRKIIDTIPDNKPPQVTFSCTAGGPSSNASLP SSSYSPFSSLQGGLDILQEDNLGECNFQFWVDRIESFHCELSKCSWQSKDSFDSNQTN YNCEKIECSCIPGRFLCGEDGSVNIDDFLAEEVKGPGSFNCVSGKGCSFEEPAMNQLI NDIFGDKSITLDCDSGECLHYTQVPGYTRPERPDNSVWVALSAALAALIFVLACLLLW YLGRTHRHPEGFGGVKLPEDEAAKLMADHVPATLHFSNISYDLPSSKRVLSHITGTVR PGELLAIMGASGAGKSTLLDILARKAKSGRVSGDMYVNGRTIPDEATFRRVVGYVDQE DTLLSTLTVYEAVLYSALLRLPRDMSYQAKVFRTLETMNELGILGIKDSRIGESGKRS ISGGEKRRVSIACELVTGPSILFLDEPTSGLDSYNAYNVISSLSSLAKQFNRTVIFTI HQPQSNIVALFDRLLLLAKGQLVYSGESERAQQHFEKLGYECPKGFNIADYLIDVTVE ASGEHRNGDGQNGKTKLNGNGISTGARSTDDVENGFGTSSSTQREIISDDTSSDEDGG EGGVLSEIKSKASKLLGAFTTTTSSILPGSGSTTPKEHISPIPEKLASLVLASRASDD SKIVEAEITRIQQGQSPDGANAIGITERDIDEETRLLKGFQKASLWTQFRLLSGRAFK NLYRNPLLMATHYAVAIVVALVCGFFFYHVTNDIPGFQNRLGLFLFILSLFGFSCLSS LGIFANERMLFMRERANGYYAPITYFLSKILFDIIPLRVIPPFILGSIVYGLAGLNAE VSAFWKFIMTLVLFNLTASSIVLFLSVAVADLGVANLLGSLVMLYNLLFAGLLMNYDR VPDGLKWMQTLSFFHAGYEALLVNELRYLQLIERKFGLDIQVPSATILSSFGFHAQAF WWPDTALLGIVFAVFTVASYLVLELFVKERR I203_02469 MSVTNDTATDEVSLTDPLFDMTLESTSEILTSVPSASPEQPKSG AISISIEPEGTTVTVADTIPSTIDENKTKAIFMGTTHLFRGMKKVDERPGIEWLARLT WDEKHEPSQDVKDSATKFDYGYLVPQLRKLPGTSMREKGETAFHAAWEAFKSTHPDES EKFEIKYVSQEEYANAHPYPSSEHPFSRYGWEHKGTFDFDKHTEEVDEFYADGSEKSK GIVLIAVFLKRGAYIDRKVVSSADKEDTGASGDSNAPVTEDVMVMPESEAAIRLASLP GFTISQENEEKSLQFIAQMKEKLTSVTKDQSGIVVNDSLAPKDRVYAITANNVNSTAE RSGETVQSAFHQDTNRDNHFAYLHLSPDDYEAFGNPVKISRVSYEEYWDWAKKSNGYG NDGPEGTGKEVSVI I203_02470 MTHGIPSDGDSRLEGSLHSTVKSEGEGSEGSTRPATPSSDAEQV LNVLPLMGHRRPKVRVAALDRQGDEGWYNWRDVRYGIGAVADAEDNYDADPMESFAVS VDLRDRLRNRSLPAIDYRPEQPVVAFLSNNTRVRLESWETDFN I203_02471 MSLDTSSSAIHLNLPPTSTSQLRPPTLITVHPSVVASILTHHSR RPTEADASPRVIGTLMGSRSDNGQEVDVRACFAVPHKEDENQIAVDMPFQQGMMQLLG KTGAKESIVGWYATHPTLNAYSALIQNYFSGETSPHPSIHLTIDTELDPSGKGLGVKG WVSTQLGLSNKPENCAFLPVPVVIKYAESERAALDLLTTAAPTPSPSLPPLPTLSASL GQLSELIDQCLAYVQKVNSGEQSPDPEVGRYLLEGLGRWSSTKEGTEDEGGIKAGLQD TLTVSYLSNLVRSQIELSGRLALLQQAAAQ I203_02472 MASEASVDALKVDESRFQGEGSDLTLLHWLRHAEQAIESLEPSD LSPTIPSLHAFFLKILLPTAQPTLPKPGRPIRHLVVRCLVKLHQRVESRSLFDFVQAL TRAVGDGGNKNMSANENVARVASWYAIGEIIKVHGANMMSFMGEICTSSTKVLKNSNL SVILRVHAILAFSKSLQSAGKALPDGLLRDLLKSLRNGIQDKALPVQRACAEAFVSLH TNTSLLQLEQTIDMVTPLVLKSLEGADYLTRRSLSRLLAHFIAASQVPGSGVAPTESS KKGSAKEGEDNSNEPTVMTSAAQDKSSKTLLNTQEMLKYLSVPYNKPHTPRRLRNAII DVYATLFTFLGGDYVEAHYAEIVKHMMDEIVIFQRGQTSRYDTLSTRDSVILLLRDLI GVRLLSEPGQVSAIRELTNSYLKKWQPTPLPGQPKMNNQVLIIALREIAGLLEQLGNA PPSIIELLAEPLVRLLSHESYSIRLAASYTLRRFCTINPSQLPRMLNILLADVTKDLG MLGTPTASKELPNRVIGKSFALSALIAVSPARPLYVSHDISSKVFDLSVSLLKQAGDH EIPIAMVEVQVAWYLIAALMSLGPSFVKLHLPQLLVLWRNALPKPTSKDTSVGERGEV ECSFLLLVRECTLAAVLNFLRHNQSLVNIDVARRLSTLFTNSLNFVNGFATAYAEALK EQANNTAGTQSPVFTARPSLVEREANLRRRVLQCFTTLGPSSATESMQSALLQAAITV FADPENYSGSAAQAAIAAQSGHFTTIWQSTDGYAFGVTSLLGAREGEGGIEAEEAFLN RDRVEMAIESQLSHPILGSLEHDFLELLVSKPLPTPPKPAPPQTGVIDSGVELFAVMF AHQNAEGQVQSLATLSSHMRSSKLEKNAGRKQAVVANTMAALRKSLGNVENAGQKAKR SLGSAQVSDLIKSLLQDAIFDPSPSIRSSAAESMGILSALAGSSYLSSQVQWLVDQVV NNRVPDSRAGCALAFGAIYSNVGGLAGGPILKTIVNILMSLATDPHPVVHFWAMAALT QVINAANLSYEPFVPTTLGMLANIYMLETHEPDGGSLGSVNLRGDLPAYQVICRLLHA LIGVLGPELQEPGKIRSLVFLLVHEFSEETDEGLAVEAIKCVQQFLMFAPSEVDIPKL VSTFRSHLASTRRPLKVAAITALYQIVQRDAVLISKIGGNQLVEDLFGLLDDDPSIEG VRQVITSWLQQTAAALPSGWIDLCQRIMTRTVAQKQKQNLSQQQNTGGGGGPKFIDDE GESFSGSASASAQTSNALSSRWRTQLFALECLHEIIQSVKEHNKLENFNPILAKQMGS NPKHLLFSRIGDLIKMAFSASAALVMQVRLQGLIVLRDVIEHFANSPDPDFESSLLLE QHQAPIAAALTPSFGSDSAPEVLASAVQVCAVFVGSGVVKEVGRMGRILKLLTGALEQ CKSGEVVSLGDVDQLSTNAAIMLKISILTAWAELKISSTKQAYLTDVIKPYRYLLGPF WIGALRDYAQLRTDPEMGGGGLSGMGAGLDSGNAVGREVLLPYYEAAVPNLLHAVAIS LSLSDPFALGSMDGQSFDSPSEPANFRLSVKEEPTANFYILYGLSFESLLKSLGDHSS APYSKACLKLMSSLVRPGLSGTRVFESQIFDELCTLTYRIGLSELASVKYEMIDCIST YALSRKGLEGKLDQEHVRRILAIIVFNLRNCISSKELNIKSNFSHQDSLVDRTNLIKI SFNNYGKIVNELIDHSQRADLFAVGIHLYLDLLIDESTQGVELVQGSLGCLKGLIEGF VAGQVPGVDSAERVVHGLLGGCLANIDDMRTRVNTASNIKIKNNLLAITLVLTTLPSG IKVSKNLIESVAYTIGQYLGAGTERPELGLTAIHCSSTLLTASLRLLPSPLGPNSPPA PSPILQHVALNLLGPMINNLSEVVVSHATSSSGDNNSLEGLKEIIKSLVNYITTLPEE TKSRGYGILLPTLCLLLDPPGSSSPSGQSQLHTISTGVMLGLAQSNPISFKEATQAMG EGERSELELAIRNAVGGRNQGGSNTGMTGGGGAEKKGIELKSFG I203_02473 MKFTLLASIALAGLVTAQTIPECVVTCSTQAAAAAGCTSYTDVS CVCTNAAFQNAAGACLIANCTASDQATATALQSALCAGQSVTGGSVTASITASGSASV DASAIASLTQSGGSASSAASSLAASASSAVAASASASGSGSASAASGSGSAASKAASA TSAAASAASSAASSANKVESIFKGAMGAVVGVVGLVVGTFTIL I203_02474 MPPAESSNKSGKRKKPLSCAECRRLKLKCELVFPCNHCVKRGLA SICPEGELVNGSRRTKILASTEDLHKRIAALEEALKVATSSRHPLLEDSLYANRKEVK NRSPPPQSTTSTSQASPDTLPSVSHLTLGENPHTSRYYGAASSVYFSKHYLPPSSQAH SSSPAGSSMQYDFASPSDFTDLFPPYSQIPRLDMKQIISNFLPPPEIAVPIAEIYYQT FGWFTNIVQRHVWDEYLFPHIYRDPSAIEPGPVKPQWLALSLLILATGALMDLSRPPY NDLARNCFNGARACLLLDSSHSMTYVQCIFLYGLYLMNGGTDTSGGDTFWPLLRMGMA ICEAIGLHRDGSHWNLHTALERRIVFWEIHGMDVLQSVALGRGQCINDSSIDVEIPIS NQSDLNGFHAKTYELTKIWSKINERQVRVKPWIYNEVYEIDQLIIKFQDDLPYHLSPV VPPSPDDLTNPARHKEAFQRNMLLLFINEARLTLHRGWFIRTLKESPIEPLSSPLKQS YLSCLEACRAIVSLVRNMIVLQGQLIHRRWHFFFHLFGACVCLAAAVIRAPTSSLART VLAELENGVALFKMTEREEFVTVERLRDKAVRAIQRSGSTTPIHEGENEDLDLLGAGT TLTRTTPNVPDGDGDGDGHGHGRAANKVLPLQEIPLIDQVGLDTSGNGLDMDFNTMST IVSVIFS I203_02475 MSSEAPIALLSVYDKTGLLPFAKGLKELGFRLLGSGGTAKLIRE NGMEIEDVSSITKAPEMLGGRVKTLHPAVHGGILSRDIPSDLADLSANSISPITLVVC NLYPFVLQTSKPDCTLAGAIEEIDIGGVTLLRAAAKNHGRVSIISSPSDYQTILDEIK SNGKVSEETRRGLALKAFEDTKSYDEAISDYFRKVYATPGVEDGMKATAGVGYQRLQL RYGANPHQKPAQAFVEKGEMPIKTLSGSPGYINLLDALNSWALVKELASALNLPAAAS FKHVSPAGAAVGLPLDERAAKVFGVDDLKELSPLACAYARARGADRMSSFGDWVALSH IVDVPTAKIISREVSDGVIAPGYEPAALEILSKKKGGKYCVLQMDPSYEPAEIETRQV YGVSLQQRRNDCKIDESLFQNIVTKNKNLPKSAIIDLIVATLALKYTQSNSVCYALNG TVIGLGAGQQSRIHCTRLAGDKADNWWLRHHPRVLDLPFKKGTKRADKANAIDLYVTG QAYESEGGERQQWESLFETTPEPLTKDEKKQHLAQLNGVACSSDAFFPFPDNVHRAKR SGATYLCAPSGSIMDAECIKAADENELVFVHHNLRLVSLVNIRIETKSDRVYTEFAFA LG I203_02476 MDYQDQNTHHHTQAIRVPRSPILAQQQENTPSSLAPSISLTTGS TTTRSRRYPSPIPSPSASPPLPPFQPDSPVRQGPNRFPLSLLPSRIMRVSRNNAKTYL NLSIAFNILLLIAIFLPTEHAHGIIGDKAWNKIEKYGLLRNQWPSSSDGGSGLGLDPV STRSCSMCSVSPEFCEEFGEDNLMKALGYTGTNNRLRRALAKMRSGQPFTVGVIGGSV SKGHGLDAPDGDNPHTPRNLNRRVFDHLDELFPATGGIQTGSSGKGENKNSFINGAQG GMGTDYFSLCFNEHIPEDVDLVLIELAINDEVLIRNMNTYELLVRGLYDLPNKPAVLN LQVFALMFQYVANGGDLHNGVAQYYDVPTVSIRNPVLPQVLQNTTLVRHLFHNRVKKL EWTDPLEEIDLRHLSWQGHELMGKLASAYIDTQLCEMDKIESGLGDLEQLNYEKLYPI EPLPRAPLMQKYNPTSNLPKLSPQCYSANAVKHPLKPSQQNGWRHWNWKEKHYLIADE PGSKVSFPISTTLGQVQLHYLRSYQYNLGSAKCWIDNETDKAMRLDGYWKEPYNIGRA ATIRDDLKPGDHVLHCELLKETADPTGGKEFRIISVMR I203_02477 MVFVLYGNILATHTRMAYICAQEMGLVGTPAFELRNVEWSEILY PNEKFDYNKSPFKRIPWFEDKENGVKLFESRAIVKYMAMKTSSPLIPKYDNPVQVANF EVACSLELGDFTTYTKMLLYKLVHGPMAHQKPTDEVLAKSYREALDRTFQGYERILSK QKYLAGDELTVVDLFHIPSAHWLAMVGGIPPLGEGTLPFTKSDGTPAAIPIPKAADGT WPLPHPATAQTDGTLPFPHLREWWKNILELDSVKKVNGQFIEIMQSMVPPQPQREEQE QAK I203_02478 MSRPRSRFLPTRRSLPFIILSTIFVLYKSVPSDISSRAPRLSSY LSSEEVYYPHPNAHAQYDSPISMRSAGDHGTFVETSAYDLPKLIKSKSTTKRQRSKRS EITEEGQKRQVIGEEEEENEVSGISDLRIAVLEHAGFHEEVVGAVLKTLTDIGANFTL YRDKFRWGYDEVLSSGMNYTTPPTMYSDGTFADAVSNGEIDVTIHISCDHEFWNWPRN VPAYEAMKNNQNMEVICMLHELENLSEKERNSWEVAAKQNRLTYLTLSKHVKNYLKNE VLKWSHSLKQLHWGKVDVEEFVPIFPVDASRLPDSEDVSVSEFFPKRAERIPSRLAIL GNIQPWRRTYNPILSDLHAAIEADPAAWGYLPLSSEPNSTYISSNDDSRPPVTLHFIG SLAPTAELNIPDSMRDMVFIHSGLEYISFYRLLGSMDLVLPAFMGWTYLEKKLSSAIP AGVVSRVPVLGSELLLNAYQFLRDPSIVLHAPGLREIEAILLLRKGIDPYTNQPKSHH ASSGTGVTKPLLPGKITYNIQTGEKKQLRLGMKKRNDDIPTKSIGSSSEEDWNEYHDR LYKANKEMMLELLEVLSRRIGDRKIKSMVVDAPDVPVQENKVETVQ I203_02479 MFSFVSPSTPLPQHRGPIRLGSPVSSSSSGRKRRIMSMQCNRLT AFLLLGFGITLFLTHTVIEQTTGQSSIQHISKLSKYLPSKTANRWADLYVAEANFPET TYMSGVAGFNYFHNLYFANGTFLIVTSDPTTLPSYGINGILSGLQDPTDKWHNHLAAE EDRIMIVSPTEAKERMLLGKAAIRKSGVSMMFNDIREGSQSSFLNHYYHFIGEMFLGL WRVVTAAGEIELPSRLIYKALSPDWRDHAGITTWFQQAVLPEAEIEEATIYEDRRKSG VTFLFDKIAIADRWAAHRAGQEVKFWNKANADLPSLAVPLTWMNPLRDQIKRLALAEG CEMNRKNSKVPIVLYINRQLTTRRLIDEDEEELVDEMEKLADEGVIEFYNEFMEKLPR VDQFCLAMKSDVMFAVHGNGLSHQLWMKPGSAVMEIMPVTGFARDYAILGEMMNHEYY AIHHNETFPVEKWRKENGYGVDQGPDFHSSRITIDGKFMAGMVRKLVSTRINLVEPPL PWK I203_02480 MAQRVTLRKRQPYNTTSNRRRVVKTPGGKLVVHHLKKLASAPKC GDCGLALPGIPVLRPRQYATLSKRQKSVNRAYGGSVCAPCVKSRITRAFLIEEATIVK RVLKAKAAATKK I203_02481 MSDITNLPPLPPSPSPSFSLSPPPTESSSKSMPLSRIKKMPSSV RIFQEQHINEFAHLHLNDQEKLSREERDKMLLAERQKLEEARRIGRLKRQTMAHHLER DKENADSDSRIGWRPLSLLARRQPQTKPAQLVVQQDTHLSKQQQQEQQRRQRRFSHRM EIITPTDIDTPDLSSPIPSDVNSPDLALITPNQLGLALGCPSPPLPHIPNKSKARPSL PHDISSVDSHGDNSTYSWASSFSGETVELRTAAHYVPSISEEGAGATSAELGPEEVLD SPEKVKRRRKRIVAIAHTVRQLEGVGSRDVEDPNFYHQLVKAWSERPGAQQPREPIWS PTTQRALAPPIPPRPIDNASAFDPYLAPPAWLAPPSFNPASVPNQGASPVPSSDLEHR TPDLDDVHHSQSEENHSNESYASSNPFRYSYASSLHDLALEQGVQHGTKLMSEKAWLR SPLFDQGTWFDANTPSAPLPVGTFQMAPRVPSPGLGEGGDDSPRRSSEKGPESGCTIN TQSQSRRTLRRHDANIGGIKERQKLGTPIALAGSSTSAPTPAPTNWGLGFLGNWLKDE LADVDIDESSKNDKEEVDFQFGEAGMIGPQRSMNMNTRNKISSFLAEGDVLNAQPINI NDRMTLRETEQQEQQESRKIEMNNQYQDQSMESIPLTINDIQTNLVLLPPLPTPEIEE ISNQTGLPKSQINPPPPKECLIVAKEYRYLNPCRRQSYSTPSDILYPHPHLHHEPPLI SNENQDVNENLRLRHRLQGGFGDLEVQVETETEVGVRPSDHQPTEEAEEEGSWDLSEI SLSQSQFRTPPLKIHRRLGSLTPQDRVHERHSMSPTRMTTTPQLHELPPLPLSPISLT GTGVTTSTPPRTPKSHLRPVLPPLPPTPKYRRPTPPSLNNPMRERRNIEGGSDRVGVG CPPLPLPPPLAQETQPHLNYDRYCYPVSTEGDIGIDLVSQSNTNTNSIMMVEHPSYPP VNDTSLTDPNQSSASSGLVGMDISSRQATTTDLEKALPSKPSSSPSRTPLILFIFGFI LPILWFVGGWPILKPSPEPSTSTEVVTTEGENGSRFKWLYHPDPMVRKCRYAAVISTP LIIIAGIVAVVVVVTIL I203_02482 MLSRSYKAIVIGAGPGGLAVVKSLLDVGLSKVCWIDKSFKGGRL NELYREISSNTKVGIYLDAVDSSATCRRIIDTTPGPNAMTELKKIDRDETCQLSLAGD MIEMIEEGISKDGGVEKIRGDVEEIRLKGSTWSVGLNNHPSPLTTPRIFLCTGSQPIT PSFHLPYNSNLKILDLDRCMVKSTLPALFPKDKKSVVGVIGNSHSGVLVCRNLFEIHQ EKQRDLKILNFARSKIRYAIYQDDGGIVYDNTGLKGDTAQWSKEHMDNPSEQDQEVIE QIDISSNEKEVYQDRLKECTHLIYAIGYQPNPYPKMVIDGKKINQDGLVFDQDTSEFR IDGNRKIKGLYGLGIAHPEKSQDPEGHVENNVGLAKFFKFAEKNQELWKE I203_02483 MYPPRSPDRERDIDRDRDREWNSRSRQGWSNQPPQPTSLPPPHG GWGNNYINNNPYNPGPSSSRRSRSPEGDRSRQNSVGGRSGYRPVDTYLPQSESHEPGQ ISSPNMNQQPVASVFPPRDPRAPPTGPSNRSAPLQPQPYRPGGPAVRKVSADRPNDGG RSPLPVGPSASGSGSGASSRDVLTPSNGSVMGALDNFSKTMHSALMVTSQHALARKHL ERLSTFEHRNPNSVALEEADRRVVKAQKVVDEVMIGLQNSFTELIKRTLGTIGTSSEA ISKLELDGLRERMRKIEEQTLISRATEQPIIDQSRHQPPPPPSSSSLPPPSPPPSEEP PRPRTPSAPPPPLPENTESDQDQSATLTREEKKRRVGEVFNSIVDRLDALEDMINGFD SRIDDVETNLLSVENETDEKEIKKDKKKRFATWEDVESRRDPNINRSKKRKQREGEEG EVAGPTTSNSQDDEDIDMAGATGNHQLVEKLQKDIERLSNEVQVLQNQLSNSSGPAIP APSINNTSTALASVMNRLNPGDKVPTSNAPAAGTASLGAMLQTLNSERQHMITDGNAN ILIAGPPQLDDLKVEVGKLSEQVKILQTQSSTRKLDGNASSVVNGGQQSNHTFLENTI NKLRSDVKVLLDDKESRLGIFEKISSGLNSLADNIQRCKNDYTILNDTQRASAATILL HTENIKIIQNDIKQLRTQSQTQSGITSTNIDMAKDKEIKELKEAVKVLQDGLKEMRQG REEWTKEVMKACLDAVKEENESRKDDYAKIARREIRNTVREFMTKRASSTPGVSSASS SQPSNRSISEPVRDATIGSPAVQPMSISPQPNSTLDPGTSNPLASRINNTAATAVDGL SRPTLSDRITTDQPPTQGLQARFTGGAADGMDIDGN I203_02484 MLENDYRDRWGQQRIEAPTTKERKTRTPRSALHDVVTREYTIHL HKRVHDLSFKKKAPKAIKSIVEFAQKSMGVNDVRISPGLNQAVWARGVRSPPKRIRVR LERKRNDDEGAKEKLYVLASVVEGVTSFKGLQTVVVEGDE I203_02485 MDPIITSGNEHHSSHSHYHYHSHHQDLPLLPPNTINPKLLLPSS SSSSPQRRPLYSINQNTFLQPRPQPQPQSQIQPINLSSFDIGTQNKIASNPFHPLNQP QKEYNSIWLSEDWNSLPFSTTPTPSQYTSDTTRYSNITPVNPNPSSSFATTTFIPLHY TQNTFPSLGSLANQVEYDLSVNNSSNTIERPFIPFSDVNNQLNYPLPSIGYGQPQPRP HLQLNDFPSSSYSNQSFVPSASSFGEYPAHGMDMAQSPWDISMRGRSRTFPFNQMIPL AYTHPGYTISSERDQQQDITMGMGYQGLPYQAIDLPSSSVDQDRPTSWDISVLPQSIV PINNNHHENHEEVAEEETEFDSDDEFDNHQRDLSKHQDIPVGVESEGMGINSGVIDIE LSSSSISSSIADSHPNDKTTVLALGEIASSEVASPQVGSVPGYRPYVPKSFHSPILLS TNSSSDYEYVEEEEEEEKEQEQVSRNGRYPTRLNTLKNQEEKKNNRKKIKKNKEDKKN VKVKGKGKVGCKKRSSTTSRSTSSYKATSTSSVSVGGGIGRKLGNGGAKVPTGAFLFW MMKMLAFDVYPEYVIIKNQVAYIPDTEAFAENVYSIFSEKTNQWTSFQRNLNNYIKDW PFERRAVLDTKLRSQTIEIPTIDELCVAWRNHGLSEETLQQEKQKLERWVKENKPTRT TRRIKAPNEIEFKRKSNKFDKLHGSEHDQRIGKRVRDMKKRKTQVRMEVDNEARVGED EEVDELYSEEESKPSESQKETQARNDNRPLRASSSSSSSSSRPFWRTANNKSQTDRNV TRLDSSERIRLRLRLDGSTFSVTPEKSKDNLKRKFGSLSDEGDDEESQELDCPKELYT PSPTFTNALITPQTATNFNPALGQPSAKGYWSNVRDNCQLPTPVSMPRAVRSENSPEY MNRPSSPIENKSHSQGYSNASISSGNLVENDVFGPIVHAGKSKGKGKERE I203_02486 MTASTTINGGGEPSTKRPRLAGNEAGSEDGQPTYKMAYLGPPGT YGQMAAAAFQSCYDEPIELIPCPSISAIWETSYTFHVFPLENTIHGGVTETLDCVLSN LHSNGSSAQLDEDRQGRRIIADLALPISHCLVVKKGVKTEDIRWIRSHEQALGQSSNF IKTHFPSAKLKTYPSTAGAAVSLINDLNEDEGEGAALCSKAAAKLYEDKLDILYQGTQ GISNNFTRFVLLSNQSQQVPNPTTSILSPHPTEFYVLPSASDIIPFFQSSQIRNIHSR PTPIPSTPISEKDESQRKDGAWTLREDRFPTLYFVEVDVDGMGFQEEDSQISKKGKEK GWYIGKAGWRVTDDQINAL I203_02487 MGKVHGSLARAGKVRSQAPKVEKQEKKKTPKGRAKKRIQYNRRV NVTVAPGGKRRMNQQPAGKSG I203_02488 MTTTSRPAPPPLIHSGYNDLVSLVASTPSRLGVNIASNPQSSRY SSPPQVFRGNYARLPDPLPRADSYVRLIQEEVTTRPVIPSGGGRGGRARRYARGGART GAGNGREEWKVSELKKRKIDKKMIGVPTDFRHIFHASTYEEATELLLRWSIEGVGDKL GDPAWAYPIKELVKARAREQQARAVAAVVEATARTRELANADVEDLQTPGTLRVVNGL PSSIYSTTNTLLRTTNKPRPTIQTRTSGNTNNTRSTGTGQTSISPLLTGGSTPRVIAN FQGYFDDASSAGHKVIRTPTSPLNNPIPFASPDLAKGNTKPLQIKKKSISALATPIES TPTTKSEEAIIEDSPPLPSIEEKPSSPPTPSRKKDSRPKAQEIFTQLPFRIIKPSLET LEKSMSIALFFEQYYHSLLKTPPINLNEYSVPIRNDKEGEGKPIHPGNYVLNRARRLA NLESTFALPENRFMSEDEKAARREELLKEENKILRERRKKVDVKGFELGRVIGHGAFG VVRIAREKESGRLVAIKQLRKADVLRKSQEGHVRAEKDLLAAAASRQLSSNVLGGDSD SQRPSWIVQLYYAFQDIDHLYLVLEFMGGGDLLNLLVERDTFPEEMTRFYIAEMVLAL EETHSLGFIHRDIKPDNFLFTKTGHVRISDFGLATDLHWAHDTSYYEHQRLAILRKHG IDLEYPSVKTKRMKKEDVEKIMGKEWLDKGQNILTWREGKRRALAYSVCGTNSYMAPE VIRGQGYGFSCDWWSLGIIMYESLYGYPPFVSSSRHVTRQKILNWKATLKFPPKPRLS FDCLDLMTSLLCEPEDRLGTTHLEKSSVLTSSNKGSTLNRRHANLAKGLGNDGAEMIK AHKWFIGIDWDNLHKQTPFYHPALYAEDDTRHFDEDIPDEPLAPANGAAANATKDPLL RDNTHGAHLLEIRKNLAFKGWTFKSPSLVESRYGHLSEISHMKDISEDTVRDHDHHGD CEDNEAIKNSGMTTGTVRNRALSF I203_02489 MMLRSSHRVYTSYAAAAVAVYLLLGPLGLGQIPFFPDSFASSGW GGSSGNTRDNGIRSTSPETDKLLNFKMSKIVNFAPGYTVVENLYWHNYSYVFVTDQPW HVPKHEYIANKHVDTRIPLQGHEEVKVYGIRLPPVSPEVKEENQIGHSISLDEAIALF GKAEELQSPMIINNDDNFVSHYYHWIGETFLGAWRTWSNYAWRTGLTLPNIKVVAFTK QYNKAEAPPGSNGGSWWEDTPGANRWFTTKFFPGVTYETRPVWEERAASHEYYLIPLA LIADRRGGHNGPSNAWKPWGDALRLPVSSDWLVNLRDRVLSDYTGPINLRKGKKPHVM YLERQGSGRELVPEDHEALVNAVQKLQDDGLADVTIKGFSSSIPFADQVAEISTVDIL ISVHGNGLTHTLWMNPGGSVFELQPAECTVTDYSPLAIAAGVQHYLVHETSFCIPEEC PGRGCPGPRAINRDDIRVTAHVVTDQVRRIIRRMTKA I203_02490 MSSPYTFLVLGATGGTGKRFVSIALKEGHHVRVLVRSPEKLGDQ ADKVEVWKGSIVDDLETIDTDKLVEGVDFVASMLGDKEAQRYSKINLAFMEKLVPSMK KQGVKRFLYQAGGFSRPYGGSLSPILWIMRNTIARGYNGQHLDNEAVMEYLATQANDL DWIVHRAGIYSDGPSKGVLERSQSKISIAPFVDCADYSYRLLIDPSRDAVHTSDFSCY KS I203_02491 MSPRQPIRRSSRKRSLPLDSKSEPPVSSPNKIQKIKAESPIVES PIKVKQEISPKTLKDRKLKAHAKDSLDGPFPSYIRPTPSECSLAHEILSSMHGPRIRP KVVVASKDRAGCGDSPSVLDALVRTILSQNTSDKNSTRAKINMDNVYGGSDHWEEIVA GGQEKLQEAIKSGGLSQVKSKVILQILAQAKEKYGDYSLDHLHKASTEDAMEELLGFD GVGPKTASCVLLFCLQREDFAVDTHVQRITGLLGWHPKTSSREQTYHHLNKRIPDEHK YGLHILFVTHGKVCDECKAGGKVAGKCALRKAFREQVLKGEAEVEEPVKQEVEQEIEQ EVKQETG I203_02492 MQLSTLLVAAAACVSTANAHVALWDKGMFGLNWPYQADDPQNHN YNNNQPVNPLRQADSLSTAQWFGHGLLGYPPKSGDFMVLPSGGTYNGEVSCNRAQTSL GNPSDTSAKYQYACKVSPSLSCFGATTHFGKQPDGGQYSGVGALHVMNTYNGSVDNSL FGGTALAIAYTSDPSSLSPNDMTVISVNQNSVWEREISYQIPAGLPPCPEGGCICTWN WIHQGGKGEGYPYEIYNVAYRCQVTGQTNSANTVQRGAVPNKCDNNPSKCVKGPKTPM YLWQADGNNLPNLDTPPNYRDNWGFADGAQNDIFQVSSNPAGASDTYVSTTNLPDGWS AVGCMIDTDNPRALPGVSTTDANNNIIENCVAKCNDQGYVFAGLEYGKECWCSNTANL NPAPATDCSMTCSGDIYSTCGGSYRINVYRSADAPATGQATPLPDNLIPDGWSSVGCI VDDQSDRALNGGAFTSTNNTVQNCVRNCASRGYAYAGVEYGQECWCGDANSRLVSASS GCDVACTGDKLYVCGGSDRLNVYALIPNITSTSAFASATVSTSTSNSSTATSTSIIAT TTNSSVIPTSSTAAVTNTSSSSILTTAGSTAPASSSSSSVALSTSSAPASSSASSSSI SSVSVAVSSPSASSSTSSSSTLSSTASSASSSSRPTLASSSSSAPATSVSSSTTVLAS TTSTSSMASSTSISSSSSTQATTSSATGTSFTSTSSVRPSSSAASSTSSISTASVKPS SSSTTTSSSTTSSTTTSAAAAATTSLPAGWISRGCYADSSSKRVLNGNIFESKPDMTY ASCIAICVRKGYTMAGVEYGTQCFCGTALVSPSPAANSECNRPCSGDSKAMCGGYNRI SILQNSQVSSRRAMIGERDHLHIKAGRRRIEGDH I203_02493 MAPKSKSALKRKLADLSSDALTSDSKRPAISKSPTTHVIKTSTS TVDVEQLSWEASGLVETPSTHHQGAIIPKYPTAWLKAEEVPKDYYQAISEYSHWPPLL FNFSDSSGVRQPSYFARFAFTIPITFVYGNPLDSALSNLKSKLVGQPTPSSLIQAFNA SAQGHDLYTTQSYELNHEGGLDSPMYAEFERPALTLLREQFWKEAATSRGIDNVLSRV GVEGDCNESNLDLQITTQRYCETESVASPTSSDSGRRDIVWVPNAAIEWKTRQAFSHV RGLVAMVKAKEVKIGSGPNGDIYHDRRLVTGMARICVQIWEDMSRLRYGCAIISDGEQ FVIFKHTAPGIMTISDVYGYHPTNFHNLGLSIQPWRSAIVEKIEQQRKEYNQPPTNHQ TPQSPTSAVTKAHVWRDSGTKKQEKILLPRARPCGTGLFGAWIALALEDITNGRERWY EYHQQHEGSGEELNKRGMTRLGKGKLKDTQAMVDLQDEETEELYVEEPEGEV I203_02494 MRIVFTGGSGKAGKHAIPYLLSQGHQVLNLDLIPLDNPDVYTLK TDLTDSGQVFNAFTSHFNMSEYSLPHPPPVPDAVVHFAAYARNLLVPDNEMFASNVKQ TYNVIEAACKLGIKKVIIASSETVYGVCFTQGESDYHSFPLEEDTYDCDPEDSYATSK LCGERIARSFARRFKGTDIYAFRIGNVIEPHEYALNFPKYLADPPCRKRNAWSYIDAR DLGKLCHCAFMKNGLGFQVFNATNNTITLREKTKDFLARESPNIKITRELEDYEAPLT NMKARKLLGFEEEHNWRDYVKEK I203_02495 MSLRPHTYVTPTISPPTFAQPFPSRPVSPNVYLAEERHRSQLST YKRDPGKAAAAIRLASLHNVWAKADERRRSEDELEDGDIQCKTRRRRWSTIGGGVASF SKTRRLFIYAIGMFFIYLTIIRPLFKHEQEEPHRTSYLFHGNSTKSLSIKRPALSRSP VPRAPLPPALLARTAQEHSVKDGLLNVNPKSTVHPIHQLVRDAREAWDKKVAKQSKTF LEATREYQRRYGRRPPKGFDKWWEYVVENDVPLPDEYDQIHHDLLPFRALSPKDLNNR IQQTSKSTDTYTLRIKRGSIRTNVFYSADIHGADERLEQQTELLRLIAKYLPDMQVVW SVHDTPRSFIGWDHKRELIEHVEEDEWFDEDDEIDLTLSDWSAACPPRSAIRSFNSKS FNPTWIPGTSLTSKSFISSHSQSMDLCSHPNVIQIHGALAGKIPKVNELMPIFTLSKT KLHSDVLGVPVEQWIEDESLIEIPFEQKENNRLLWRGSNTGTVHSVETPWRTSHRTRL IYLTNYQDENENENLKVNYIPPPKGIRSKVEMQKVIKQDKLDGWNQRSMDLGFTGGPI QCDVDDGTCDDLMEEFSWTEQMTHDEALNYKYVIDVDGNAWSARFKRLLASGSLILKA TIMPEWWTDRIQPWVHYVPIQMDYSDLYDVMAFFQGLPSTPGEQALARDIANAGKLWS ATHWRKEDMVAYMFRLYLEWGRLVADQRSSMDFVYEERMEMRRE I203_02496 MVHPIPLTPRWMILYGVILLSGISIWFMRDDQGGFSSLDSLSLP TSLSNPFSSARCPLSCQPDPFSHRGLLTYPSIERYNETRWIRLPPYSSDHKIKLSQVD YTGSIDPEIEGRLAEQSTARLNREELIEDETEWEWLKGRLVVFLDDRNNVEQLCGEIH GKSKSWGGHVGGFCHVERIDLTIVWWFSYGLVDDESLDLWRKLEARPITFENRIKDVF LPAMRSDGIDKIPDLMVVSSLFWDEGFIRDYPQLYPPNPPLPANHRDRPGFLLGQIQW HQSRLSDLFTYLREIYDKPDLPLMFRTRHIRSNMNYGGGLKIIQIDQGARDVCEAMGV KIFRWGDLLEGVSDYYDKDQHFPLGPNTYLFGDMTFFYLRKALSPGCWTCKD I203_02497 MAATLPPQQPQSESSTSAGTSSAASAEVFKRLHPAQYLSRFLAK GYRSDGRKIRDWRNVSINVGSISTSNGSSLVRMGDTTMVCGIKAEIAEPAAQSPNEGY VVPNVDLPALCSPNFKPGPPGDEAQTISNWLNDLMVSSNTIPTSSLVVSPGKAVWALY IDVVCINYDGNAFDAAVLAVMAALRNVKLPKARYNEEKNQTICSRTEKYPLHLGRIPL SCSFGIFDSTYLLPDPTSYETPLLPTTLTIALDEHNQACLIRQEGLGGTKGKSGERVL GEGWSMTEERVRLLRGILEVSSI I203_02498 MAQAKAIHPSPSPSTIPDRLPSLSPRPSAGSIRSTRSRSRSSLS VSPTRGGSPALRTSSKNESKENLIPINNSKMNGHSPSSSKVDGSGDNEMRIRKNPPRS ARPSSLVDNINHLNTLPTIPDPPTEDSDNTSTVEDDTQKDSPSSTNTHIPPPPAPSTQ TGTGDRPVVTRKRRSSSVKRKPSPGVTPTKAVDWEIPRKTLHSSIGFLTLFLNHLNPP TLKPLITVLTSCLISVTVTDFFRLQFPAFAEIWENYLGFLMRESERNKINGVVWYLIG VIAVLSLYPRDVAVVAILTLSWSDTTASTIGRLWGKYTKPLPSHVPGIKALKFAPRKS LAGFLAASVTGFLIGITFWWSGSKGRWIVLDVEDWGHGYWGLWVTAAVVGLGGAVVEA LDLGVDDNLTLPILSGAVVWAWLAATNFLLK I203_02499 MASLTPVSITPTPKEDLLKSLEKHNSTFTTLLSLIPAQYYIAPD PEVADSKWMKNKKRKTGEEIKEHKKKVKQDKLDPSNQKTLDQLQSTSLSDPLNGEVDE NTAESAASTSASASTSLQPLPPSTSISELRAKLQNKLDSFKRQRGVNPEDEAGSRNAL EEERRRRRGELRDNRREKRKEERKREGEKGKVAKTQLIVPQLPREDPTSSLSFPSVSL PSSSSSKPKNKLGFKQLSNPTQALENLEKHKSHLSSLSEDKRKEIEERERWAKAEERA SGKKIVDNETILKKAVKRKEKAKSKSSLAWADRKKELEKSAATAAKKRNDNIAKRVDD KRNKRLGIKDKGTGSKKGKSRPGFEGKKGKGGGKK I203_02500 MSSPHASAPSGDPSNPPPPPPRPTSPRSPRPTTTTTGTAPLQIP NLPPELLQPQPPPRPNITSMLFLTAFFFFMSGNNHPIGSGIEIGPDGELRTRMSELEY AKIIRDEWVGVINGNETMKGNYTEPSMPSLLPSSLISPEYTYNPSHHQFFSNITGFFR SSTLHPISFDPQSHTSASKSTDSYWRHLSSAPDLNSTGLWNLTLSEELRGEWEWNRTV KWEMNLKERNISSVSPEIEVEYKMNGTNWEKYEDWTWIKGSLTLSTSSKSPSPNNGEH QNDVQMGSSDRSISYDFFGLHYLPNGTYNLYGLPEGMRIDIRKLPYLWNVQHQTVTKE IILRELEKEVRNLDGNLMIGDLRDDDISDQTTCPLLLHLTLPPLPHGVTKEEIDFYRR EVQNPTGIKSSIPRPPSYWEVGHGLGGVIVADQCGWAMGITDGHGIDIDSFWERSINY AAYATVSQLVVLLLLVRQMERTRTPSSLSKVSVYTIVIMSITDSWVFSAHVVVGIMSD NKASLPMLVPGFLCLCTAVVFGPRYAVLLHRIQAPERGSTTPVTTTITNRPTAANAAG DGDSLAGVVVDENGTARRISFIASIKAFFSEHPLMRWLAILGFLFCFLQFAFLPSVIP FFLFGLYSFWLPQIWRNARRGSTRALDAWFILGTTAGRLALPLYTFAYADNVFFIEKI NWIWGIVWWQLAQVTMLFAQERFGPSFFLPKSLAPPESYNYHPLIPSPSADPEAAAAF HPLLSSEKTCSICMEEVDLSQNHASAHAGPGGAALGNKRKNYALAPCGHLFHTDCLSQ WMAVKTICPLCKRSLPPL I203_02501 MNSTLEDIAKDTYLKKGFGISFGPYIVGFGLDLFLLGFIIHQTC SYANTSKGDRLWSKLAVYWCLSLSMAATGYLFSWMFRLFVYDYGTYTNFYLIDSFSWF VLFDIMTTTVVQVVYLERAWRLNNKSYLLGLPILLIMAASVASGLLMKITSSRLNTVE GESRYWIAIYAWPQLSTVMAADLALTVSIVHGLRKAKTGWQHTDKIITRVMRWVDDCT DYLDCLLIKDCRARMSAEVQLPATLVSTVFVVVISVQSICSIEVICQLIQPKIHVFGL LAMLNSRSSLRNQMSSLQGLSYQDKNSFRLRRTDDTASSRAYANSETALVKSAAKDTE RGERGRVKVMENER I203_02502 MAASYKPRKGDKSGAASDSQSQSTLIRRWGIRAVLLALIVGLYQ WANTINDRFYILTPAELNETVQHSLKLAADLNTDPKTNASLIISTLVNQLADRHPEMS WATDIGNKRDWVFNNAGGAMGSMYILHASITEYVIIFGSAVGTEGHSGRHTADDYFHI LTGQQSAYEAGDLTREIYNPGDVHHMKRGVVKQYAAAPETWALEYARGWIPLMLPFGF ADTFFSTLDLITLYHTVRVTGKEMIKNLLIGKI I203_02503 MALYYCGVFQNPLSGLCFIRLHKRCDAQLEELGQSPGSDLDIAR RDVWGYTLNMSKLQYWSLLRSSRLLLTRPSEGAIWATFYAQPALPLLSSSHQRPPYVY ASEPDENERRLSLMGRYHFHLSRFGLKALEANHLVRLPITTRVQRMREAANNLLNWRE NLPAEITWPPTPVGPLMHPNTIVTHGMHATYVILAFRPYIIEIGGGASLVPEALERCV SAAQDIVDQSKYLAENAAYVCGTMLVLQASGLPGVTAEARQTALNSLNLLQNMLDEFG VIWDAARNTAASLRQLQTECDPVQPGLDILNDLSNLIQSDNFAF I203_02504 MTNSYNQIVLLAVSVGSFNYSLSFGTSSAIIGLEGFLDYFRLHL EGEEASYASSMQGAFTGVFFAGGFFGSFIFAWLADYIGRKRALDVMSIVALIGSIVSG ASFHIGMLLAGRVITGLASGGMNVIPPMFQSEVSVAEHRGRNVSLHGFMFVAGLATAN WAGLGANFSPNEQLQWRLLLALQAVPSILLLVLRFWLPETPKWLTLNGRPDEALGTLK NLHDDGSDAEHQRALHEQQEIVQQIELDSRHDTSWKALFTRPSARRRMLLGIFLMFFQ QSTGQNVLYGLQINILNSLGLTGWKASLVISCYITWAAFLNFVGAALLDRVGRRTMLL IGLASHTRLIHKAGTTIAGAIHTSLAVTYGGGSNKVGAGATVAFLFLFITFFAPCIDV TSYVYGAEIFPTYMRARGLAVTIATYFGFAAVYTAASTAANNSIGAKFNMVFISLSAF VVAYYVFPETKGLSMEEMGILFGEETEVAHIESGTVSPSDPKIEPVNIQVQSVAVKES NV I203_02505 MTQTKDTKETIRIGAVQAESAWLDLQAGVDKTCAIIKEAGEKGV EILGFPEVFIPGFPFQIYTSTPDQDFVLDYQKNSMVVGSPEYNQIRRAVRDAGIWAVV GFSERVGSTLYLAQSFNNPKGDVVHHRRKLKPTHVERYLYGDDTKTCVTTEKDITIGG LNCWEHFQPLLKYHHYNQGVQVHVASWPYLAPTGPGVPMSTSAEVSGDVITRTLAVEG GCFAMVCSATISIEGSIKMKVPTTLGGPTATPIEGGGFAAIYSPNGTILAKSKDQSSE EIVIADVDLDDIHRHEQRQIVSGINELIFHVTRQCTTVKANDSYTGSRPDLFSLNVTN TAMPRVKYSDGSHIPSVAARQLPLED I203_02506 MSLQGKDPDETKETAYGYKLRDLSGSEMQQFPGLKRDHWWQLWR PRNSPPPPKATLEEADEIPLATASILSKLTFQWVTPIMTKGYQRPLQATDLWKVTDHT ALPLSARFLEALELRQTAAQEWNERLSTMNPSMYLKAIWFLKALRRAAIEYEWRCRSG RKHGSVAWALNDVMTGFWIGGIFKVASDVSQLMIPLLVKALINFSKEVYAANHASVPQ PNIGRGIGMAIGLFFLTIMQSVCQHQFFFRSMAIGVLARATLISAIYKKAMCLSVAGR AKHPNGKLLTYLSSDISRIDYCAQCECSIWTAPIQLIITLILLIIQIGPSALVGFSLF VVLAPLQTWFMKLSFKVRKKSMRWTDSRSRLLRELLSSMEIIKVFTYEIPFLKRLSEF RRKEMIGVRKILVIRAANQALAFSVPALASVLAFVTYAATHDSLDPALIFTSLAFFNL LRQPLMFLPRALSSLTDAQNAIERLTEVFEAEVRKNSHAIDATSEMAILVDNATFSWS VGAQANDAKSFKIPNLVMKIARGKIVAIVGSVGSGKSSLLQGLIGEMSILSGSVIFGG RLSYCQQNAWIQNASVRENILFGQSWNESRYWQAVKDANLMTDLEMFADGDLTEADID SGINLSGGQKQRVNIARAIYHNAEVVLFDDPLSAVDPHVGKALFENAILPLKAQGKTV VLVTHAIHFLPKVDHIYFMSGGSIAEQGTYEELSQSKGSAAKLFSEFGGSQKDDIEEQ SDESLEEAEAANDDRPTGLENSKLTSKAAGTGKLEGRLMVSEVRKTGSVGHKVYAGYL HAGRSRYTLPLTLLSATIMQGSQVIATVWLTWWQERRFNQPWNVYQGVYAALGISQAI FTFAMGTIMGILASLASQNLHSSALANVFYSPKSMFDTQPLGRILGVFGKDIDTIDNQ LPDSLRMMALTLVTLIGSVIIITVFLHYFIVIIFFVGVGYWYFAMFYRTSSREVKRLD SMLRSLLYSHFSESLSGIATIRAYGETDRFVKENAYYMDLEDRAYLLSATNQRWLSIR LDFLGACLVFAVAIMSAKGGGGLTPSQIALCLTYLTSITQVLGMVTRQSAEVENNMNA VERVLWYADTTSVPQEAAHGIHETTPADSWPQEGAIDFENVVMSYRPGLPPVLKGLSL SVRAGEKIGIIGRTGAGKTSITMALFRLVEMSSGSIKIDGVDISKLGLNALRSRIAII PQEPILFSGTIRTNLDPFNLYDDAVLYDALSRSCVIGDKTSGQQQSLHLDSVIEEEGQ NLSVGQRSLVSLARALVKNVRSQRSDVDKCAIIDEATAAVDLETDSKIQQTIHREFQG KTLLCIAHRLRTIISWDRILVMNAGQIEEFDTPLNLYDTQGLFRSMCEKSNISREEIL RAVQG I203_02507 MPMLADPSQRYLPFKPVPFPNRTWPDKVNKKAPIWLSTDLRDGN QSLANPMTNQQKLRFFRHLIQIGFKEIEVSYPAASDTDFQFCRDLQNNGEVPDDVWIQ VLTPARSDLIKKTFEAVAGLKHVIIHMYNATSCLFREVVFNNDRAETIKLASNHTRLV RELAEQYAASHGTSFRFEYSPETFSQTETPYAVEVCEAVKKRWLAGEKSVVSQNLPAT VEVATPNCFADQVEIFCNTISEREKCIISLHTHNDRGCAVAAAELGVLAGADRIEGTV LGNGERTGNVDLVTLGLNCYSQGIPPNLDFSDMFSVIDTVTECTGLPVHPRHPYAGEL VFTAFSGSHQDAIKKGFEAQTRRERAGDKVWSMPYLPIDPADVGCTYEAVIRVNSQSG KGGIAYIVKSALALDLPRRMQIAFYKVVQDRSETTGKEMTSKDITTAFRQTYHLGGSI YDGRLVLKSFVTVDIRSATPSAVGSPDRSRSHSRVASLQGAIVEASPDRSLDSNLPSA SKRLTAKVLIDGTLREVSGEGNGPLSSFLDALQGDLGIALSIREYTEHAVGAGSDVKA ATYVELIPPNVDAKDKTKGGFWGVGVDADITASGLKAVISAANGYLGQNPIQVPENA I203_02508 MLSRRILSSALTNGTRLVHSSAARPASEAINRYSKTITEPKSQG ASQAMLYATDGVNNDEDLKKAMVGVASVWYEGNPCNGHILGLGQRVKKSLGEAGLIGY QFGTPAVSDGISMGTSGMSYSLQSRDLIADSVESVCGGHWLDGAVVIPGCDKNMPGTL IALGRLNRPGLMVYGGTIKPGHCNGETLDIVSAFQSYGRFLSEGQTESAEKTRYDTVR NACPGSGACGGMYTANTIASCAEALGMTVPGSSSSPAEYPEKLAECDSIGGVMRNLLE NNILPRQIMTREAFENAMVLTMALGGSTNVVLHLIAIAHSVGLNLTIDDFQKVSDRVP LLADLKPSGKYVMEDIHTIGGIPSVIHLLIKHGYMTGDGLTVTGKTLGENCDRWVEKH GSKWEGQKILRPVDDPIKSTGHLRILRGNLAPGGAVSKITGKEGLRFTGKCRAFDVEE DFVKAVESGSIKKGEKTVVVLRYLGPKGGPGMPEMLKPTSLIMGAGLGYDVACLTDGR FSGGSHGFVVGHVVPEAQVGGPIALVQDGDIIDIDAVANTLNVNVSDEEMARRKAAWT APPLKVNHGTLYKYAKLVTDASHGCGEW I203_02509 MSARTASVERKTSETEISCTIDLDHVPGVTTQTIDVSTGIGFLD HMFTALAKHGGMSLTLKCKGDLHIDDHHTAEDCALALGAAFKKALGERKGIKRYGFAY APLDESLSRAVIDISSRPYFVCNLPFTREKIGDLSTEMVSHLLQSFAFEAGVTLHVDS IRGENNHHIAESAFKALALAIRMAITRTGGDDVPSTKGVLAL I203_02510 MVWRTTLVTMSTCFLLGTTFTHWIADHNVLWRSPVTPDALVTSI KYYSYISNAPNGMGWFYIAVGIVALLSAGGRAVKGYQGQGGEVLFDGGSIVLWAAIAY TQVAEVYPTIKRIPSPLPASLIEDKSYPELVTAVRDLATNNIMTAAGRYYSKAPAGDL PPSVDVSAASTPGRASSPESDPTPVIKRSGTPFRELTTEESAELNGR I203_02511 MTDNALPPRCPCCHQQQHPLYCAACLREGIALHNEALKNIQAQI DSIIANATILLNGPQDPTGSRNIIRGQNAWRTVRAEVDEKEQRCAELRRTIRNKESKI ETSKKRISDRTISQRRANLNSLQSSAKPEASFRNAIKRCEEQQRDIGYHIINARRVLV REAVNVFGLKKKSIGEWTIAGLTLPSPDALRLYPSTHINAALLHTIHLVSLITSYLSI TLPFTPTPPSPFESRHIGRPTMKASTPFVGTTKWRDKNVLWMSSTASIASKLKSRGSL SASKVFAQPNISGIIAKSMNKHRQFLTSFALFSFSVAYMAWSQGVQGIGIPDGQDYRD DSDEDNPSRTASINPDSILISATSILELIAAISSSPDLGRRTHEPGTSHVLRHLGFGL DVAKVVQSVLSSEENRWGVKPTEGSGEDFSEGWDLLDGE I203_02512 MFVQTLATLLALLSIVLSAPAASTASTSSVDLKSLSSIQRSNFP DIASKIPRNCPVGNISVPLNGIAGLSLPSGQTVSNIAVGRGIQNYTCISGTYASAGAL ANLFDVSCLYTLTSGFIDPITISGLLPKMAFSALSFPEAGKLPIAIHHEFVATPGSST PGAISPEFSTATDKVILSKVASANAPTDPTTNVPWLQLAALDGQGTLSRSVFRLNTFK GQPPTSCSTEGEQLSVQYASMYLFTK I203_02513 MRRLSPSTLRQRVLPPISQRVFSVSPTTTRTLKTSTISNFPRST SSRRTFVASSTDHAPEEPPYEPAGQVHEEEEIPDEPSIPPSDTEDLFQHTTSVINPSK PSSALPMELGPALTHLSTAFLSGNQHLAEDIRLSQPFTHVVSFPDSSGRPRTESAEPT QELDESAPEAVLALASPFEGGEAYVTDAVKRLANELNADIVRFDLVTGLGLDGPSSPL GSRGIEPPSLPQSLNPLYLPAPQPLVTAKKETIEPEDQDDQGGMPGMFASVPIAVVGG GGGMMPSGLGGLPGMGGMEEDLPQGQINDEWVHFFSKIINAGQSQPGKKRIIALESTI AMSKTFPIWWASFVEAVKQRRKGLITPSKSSRKGQTAHIEEPSLAYPTSIVLQCTPSL TLPHTAAAFASAARDEDGSLREEDEHHEEMEEEEADSNMDPAQAALAAIEEKFKSMGI SVHSHVEVVKPRNDAKLWWGSEESDVNGRKEGDKGRLSLMLAKGIPAILPSFGSKATE NGQKPTNPLRRFLRNRFGAPPNQPANSPNSLVWKAYPVIPQHRNFQAEKEARVHQRRV WTAALIQRAIHQLGGVLNNPLDVLSTSEITGRPLTRKTESSGAGKGWGNMVISWNDAM HIASIALGTAIRSGNVERGAVKITWQNIIQARKAMGEEKKIATEQIKRHLPSGAVKID SQMKTEIENAPQSVVDPVVEQIKKTKSLSQHEKRLLPCIVDPTKLASTSFKDVHLPEK TVDGIRSMVSLPLLFPEAFRGGVLKDHATTGALLFGPPGTGKTLLARAVAAESGARML AIQPSDVNDMYVGEGEKLVKAVFNLARRLSPCVVFLDEVDALFGARISRGSAGSMSHN LLLTEFMQEMDGLSSAIANKDKRVVVIGATNRPFDLDDAVLRRLPRRLLVDLPSVEGR QAILEILLRGETLADDVDLQKLAKETDGFSGSDLKHLCVSAALAAVKDTVQVPWSKKS ATDSNASTPSPAQTSAGTGGGAQAEVLVFAPSEGGGGGRKKAQVKREKTAAPIAGPSR LVLDTTASVQPIPEDAEKGLSSVEGEGEGDQQIAQGDIDELLSANQAGYEPSSDESII PEEGEGESSESDLPEPVARVLGWKHFKIALEEIRPSSSEEGSLPELRKWSEQFGEGGT RKGKKSGFGRGFGFGDEKSGDRESGYGKVKQDD I203_02514 MDIDGEQVDILGASSFSQESKASQGQDPLVDDESYQISGGQGML TPGFSSSLTTPSYALFPIPDPAPTLLDLLQSSSPAIDFSNPSAVQYVDQLLSLSLHEL LRQPQLISAESSTVESDLTNLCFREYPTFISVHKCSSAVSSAFDDFSDSLGKLIGAIP SLEDECKTFTSTTSSIQNTRGKATLVQEHQDKLLDLLELPQLMETCVRNGYYQEAMEL LNHCQSLSNKYPKVALVQDVNREVEGILQLMLAQLLALLREPVKLPALVKTVSFLRRL DAMAESELGLVFISSRYHNFRAQLLSIDRDKGEPVRYLRKYIDLFREHVYDIIAQFTA IFLETSPSAIAGIHITSFANQAINDLVDLVTAYIPRISADSASMSSILVQLGYCAMSF SRVGLDFAPLISAPFSSTVLSTFSQALATASNDFSSMLRDLAKAVLPPAQILVTAEHI HHLVSSSSSPPPLPSVDSVSHYPPFATLVNAYLTAFNNLRLLAPLELHSQIISIHTSS LLTSTSVLLQYVTQATSFSDELPLSPVKSRPGHGRTASAPRADLLRRNSEVQMTPEAR AAKRREAKRVCVASADLWCRMVVPFLVDKLNDGVFTDLSSQEIPKDLANKLHELAGWV QANSEGLEKEPAVNGNGNSVEPKTPPPRTSPLVSSPVTFNSPFSSSSKLPAIPHLATP TPKNIPPQAPHSDGIDAVFDSPQPSGPTENATHTSVPEPANLRESDRDTVENMEAQLE AMNIGLTGEEAAVAVEVKHASHHQEPHTHIHVNREVNEEKVKVEDDEQVKVDEVKVEE DAVKVEEVSATQKPIDSAIHEDGKESPPQAVVDESAPGPVVDESQEATGISHQQEDSN IPDTTGTSTQSLEVQDTGSITQSETVPQAVIDESLPGPTVNAAEVIEVDPLPSSPGSG NGTVTLAVEDNQADEETKSSSKDVSDPHLPATGLPESIVDVKPPVEENISAPINNGTS SDQQQTTGKGDATELDDTVDVPAETTPQPENIESTSTSADASRAPSPDATANNASATA PSTSSGNSKKKKKKKGKK I203_02515 MFDHGFDPGYVFRHPVFLVTFIIAIPAWIIAFASQAAAEAKYSS ADGRTPVVNTLWFNIWIQLAVIIHLFLALASDSLAIHRFQLAVVLAIATVFAVHGVEF IFQPQGALIAVGVGWLLLTMVDLVWIIYLTSEEESFFYNLLNSGGTAGLSGPDRRMRS APIPHRDSVSGFGDGGGEMGIGGGMNNMSRGISSHSIGGGGGGIGGGYPVGGGSGGYA PAATEGTPQKASSARHDYASPGGEEPEYKHRAKAMYAYSASPDDPNEVSFAKGDILEV LDNTGKWFQVRTPTGATGIAPSNYLTLL I203_02516 MKRRKLSPELYQVSNTPPLPPSSPHLLPDSALLYHFALSAHRAS HQHLQQAFIHPSISADPNLGIPIAPVYNRSAAQPFIHDPYAAAKALDLQLVALDFLRA GLAYPDLSERERVAFGLEFGIVGLKFYTACTGNSTGKGKDSEKNQRVDLSRLIGDIQD VIGHSAEAYAKRHFQIVRLAALTKARFIFVYRRWELAAQALSAFSSVIGLTEDLSKPL QLSGQGNDRIWEASFIIHYLILRTLWEGRIGNDLNAKYCLKHIYALMDETAESGLFNL LRANGGVLNLNIPGGEPIQVQVTPPNILYMLTYFTTVVSRRDFTGSNQTCKTILHSNA LRLHENAVRAEDMWDTGFSHLHGIGQTEIQQKEVMIIRGEMMIEHATALMFRSEFEGS YKLLMDTVDHLRINDLFRVFAPHLCLLVAQYAMLIGIKGTAAKYYEACRRLINQGSEF GLIADIGLMGSQHRLTKLLEHPENREKVHVLAEKCKGSTSAMFNGAGYLLASLIDENV VNSKKQLSNAYEISVRSNNNILRLLIFAFTTSTHHYGGRERMYRQLETGKELAKLMGG KDRPDSVGQVILGLWFAYRIKEYYRQEGDQERAGQARDSIKAHLDRLTEIKTQGLSLE RMLLPLPLKA I203_02517 MTTRSLGPLPANLCAHLQNTSRGFQAKTSVPFSTSSLAISSILL RSGLISNVSLGSPAGPDPSAFQSLPIPARKLWIGLKHRNGQPVLRRMGLVSKPSFRVV VSRDELGRLLVGKRARNVAGVGMGEILIVRTVEDTKTGRTGTNRYLEGWEAWRAGLGG EVICRVG I203_02518 MPFTTSVAGPSRIPFQAVSNTCRRTIARRHASTAAGEQRQPPRK VSSNIFFADWIKSEGSQYRDPVKGQKAKWLGDKVPYSSNPTFRPPPPLSDYTQNQVYA ELRRGRKVAELAEKHNISKARVEAIRKLKDIEEEFKRRSIPLQTAFQQGMEPLLGVQT PINPSTKEHDAARARQIDLAHDSHPSTSAERLEEQRWDSGVGQEGSFGSRTRENSSKG VERTAWEFRDEERDLEDRRVLEQKEEELKKDPAHHGVVHEVLQREVMTATLFPTPVTE QAAGKKEKDATKAKELQVKRAQVEGITIGDIHFVDTSSTKEFGSDSRGAKLREKRHRR KEAKKVKSTQ I203_02519 MSSANYEPLPTDSSSPTYPPLPGPPYRQRHQKVQITPTLILKYV LGACGSLVIFHYVTIGAFPNSSYTSYTTNGRNHQYDQAYASAAATAQDVLDRLDPSAG QPGTFFRDSFPLRTMLAFWDLAEKEVKARGLDTCNGQLSRELVDAYHSSQLAYCVPPG QSLDTFTPVPIRNDTHSHSHSPHWNPEEGVEGSTIFCSPVHRSSFSKWWPYPAAPCVS KNLRVIPESERRFRAAGCDITDEGVKLNVEMGRERFLGSDTEKIDGEIDEAKCKERIE RTLLVIGRQDQWNPFHVAEDLITTLVSVFIGVQTAPALIDSRVQLVFVEGYGMDSNHF TPLWDRMGAWAPRRLSLDPWTEGTCLTNAIHSVGAGASLLSAMGVGTSYSCASTITWA ASHYYRHLFGLLPPSLSLPANLLESHHASDRPRRPINVMWLSRAKLDEYAQKHNDWSN WRDVRHITNEPELIKKFRTELENMCESSLKSGEFGSTGCVYEDAQDIPESWSLTSPET ISDEDPLPIRFAMIDPTVHALETQIHFVGHTTILVSSHGGALGLSLFLPPGDGTVIEL QVENVAGNYHFEHMAKEMGHNYEVLNIRREVDVDQVWESLRRWIWKVSQSG I203_02520 MAAEAGPQQPVASTSHIPPTKYILSKAHLAAFQRSQTHQDIVDF IEELNEGIIGKKLSEAGEGSERTKPIMSILESVLEIAKSTPPVDNKLSRFGNPAFKTF YDKVGDASSELHSRIIGLPAEAIPEVEVYFKESWGNKQRVDYGSGMEFNFLCWLLCLT KLGVFTKDDYPFLVLGVFWRYIEVMRYLQSTYWLEPAGSHGVWGLDDYHFLPFLWGSG QLKDHKYLRPKAIHDPEILEAFSKDYMYLSCISFINSIKTASLRWHSPMLDDISAVKT WSKVNEGMQKMYKAEVLGKLPVMQHALFGNLLPFPTPEQDPELKRALEEEEGDLPQPD SHGHIHVKGETGWSMDCCGIPVPSAFAAAQDGATPHGGTPTFTARSGIKPIPFD I203_02521 MASSGYTDAIMLFGDSLTQAATDGSLTQRMTEYYMRRCDIVNRG YGGELAIPVFEQVFATREAREKGYAQHVKLITIWLGANDATLPDTPQYVPLDRYKSNL AQLIRYIKDPSSDYYSPETKMILINAPPIIESAWVEARVEKWKSFGSEGPKPEQNRDR KVTKQYADAALEVAKEQGVEGVDLWTAIVQAAGGEGADQLAPYFYDGLHLTSEGYAIL FKALSDLIVSKFPGLNPETMPMRMPHWADVDLANPREAFEKVKKGRLAGEL I203_02522 MQYQYNAPAPQAGPSRYAPYRPPIPSQPLPNPHDQQYQPGPSQP YSPVNPSPHDGRYYPNGHDIPSPASSIYTHPSQQHTYQNPPPQASSSHTYASYHPPVP TVEAVPPPTPKVAYEAPVFQTFQARRRAKEAALRAQAGISSSFSSHPTTSSTAPPPSM YSQPQPPSQPIHPHRSPSPIPHPPPSTMAMMAQQSPSGGPPPAPPVRSRSPAPPIINT ANPQSTQRALPHSQSRPLPSPRALPSFPLGTPNSTPSHSGTSSPRTPIRNDMPPPPIP VPSPIEANLERSDTVSSVKSLDRTGFSSSPVKRSLPKPPVGVNSSKSLDRGIPSSIGM GMGDGFRKNMSRKQPSVVEEGSERTLVNGLADMSMEQKQMSSATPIPPPAIPTIRTPS PSPSPSPSPNPPTVITPDSSSDNRAPAKFTPLPAINLPDSDASSIATADDEIDPRDPS QVTPKAKRMSNGPSSPGIEFSGLPMISVSSSDTADEPPHDGEISFAVPTIKFGNDAST INVPSISTAPPASTASRPQQHRIQPDGSAFLCSGCGNAIIGRIVNAMNQRWHPQCFMC AECGELLEHVSSYEWEGRAYCHLDFHDKFAHRCHHCQTPIVDPRFVTLNDPVLGQRYY HELHFFCSECGDPFLDPSKSSAPGTEKIRGGSNDGEEEEDNETSAFVIQKGHPYCEKC HLRLHKPKCKACNLPIPDLAINAMGAKWHKECFVCAQCHNGFANNLFFPKDGKAFCTS CYESIISDE I203_02523 MSLALPTHNDPFTTPGTSPSSSNDGHSQSPEAGPSTFVAPPLPP RPIPAGRRKPVPSYPNNGSLAEPVPRGVAVAAAPPLPPRPGSARYSLTPDQPTYQDEN IIDRSQLPPPPPPAYNEKYGPYPPRKKEKWWYPASTKGRRWWWGCLVGLILAIAIVIA VCAAVFSKKHSSSEDEDQNSSGLTGSSGSSGTKSSSTNDGGHPLSIANGGVDIGDPGD IAKFGKKSTDHFVMTTNHSIAVTRLDPIVNPNAPASHLHRIHGSSYFTANLTSATQMQ ELADCTTASVQDDKSAYWVAQIYYQWPNGSLSSIPLDRTSLYYFQKAPTGVPIYPFPD NYNIVAGDPMRRSVNTSDPTSSAFWWQCYRGSKGDSKNLGFPSTDCGGGLVSAIQFPS CWDGVYADDADYSSHVAYPEDGTNGYTCPSAFPKKFITLQFETVFATYKFPFNGAGNI TWVMSNGDTSGYGIHADFMNGWKPDVLQGVLDDCRYMNATAQVSAADDPGNCPHLNKT LSLDAAYNCRFQSQIVDEDVGEINPIKYLPGCNGIWSGNISKPACPSDHVEGGYLELT TPTVWFNNEPYRS I203_02524 MPHQDDPGPSTQAPQIHITTTPDLVQPSTVPSHPSPTDEQTRSR SPGRKRDRGRDRQSSSGHVKHASFALAGEGGEENDDANINTNLGTPRPVTSRLESNSS DSVKEKVEGGLERRSWMNKVILLSRLRARKIVGHKWFKWVGPKLTWKDMRPVARGSFS SWVGLVLLLIVPVERAIGQAAFFSLIVAFMLPPSQPVVQQFEVYLNLFFFNGLAWAWV ALAVFIAGKTRQTTDPSKIAAAEAKYAYLRDSNPTTYRERILYDGTYLQAKPAVVCAI FLAVGTGAALWWKLRTQPSPATFPLVLSCVLIDIGLTTAVFYPYNLYTSGLLFFLPMA IQAGLGCIATLFIFPESVGHSFQSKFPGILNPLAAAMKSIELLFQEAKTIPSDLNGDA GLSSTNEARREKEFAEKLEDWAERSKDIRQQLLQSLAGLPPLRAQQRYLNVDFSYSRL SGEDLRTLFDHLALVQARSGGMAFFFDVIVTNARHTHLDSSAWSVYKVNQSRPGSRAA SIRNENAADDSRRESLADDSLAGGDGTVTPPIDHDDSTDNLAHERSSYFNGKKLHFAS FIRKSSSAHGLNRDKGSHVSLLDHLRKIQQPVGVYESTRYMDIEKAFASDTEYVLEQL NILARGCLPVIRACEAALSTSTSWILNVNRDRRVIPKLHKKRQDQISANGSSEKRSPE AINKLIQVTNDLQAALDEFCVIRVEVIKPYRHLFDPNHPADEGIHGSDKTNFRGLFQN FVAQYHLIEFTEALLNLLRQMQELDKSRQRRRFWYPRMSNLLAHLRHTHKEKHLTDGD DGHDNDAAFSRGDEDEDQFLGEAKKRNPEYKPFENPYLNVISRLATITDILGSRSFMY AIKAAILGALTSLPNFIASSASFYYFNRGIWVTIMAQLTLAVFSGDTTVAWLGRVVAS FWGCLFGMVAWYIGSGSGKGNAYGLAAIGAVTFPIAIFFRVHFPGQVLTAVMTPVTFG LVIGYSYFNGSIGPLTYAQWGWDVAWRRFLCVLIGITAAWIFSYIPPVYSAKRAIRHS YAQTINAAGSIFCDVLSHANDHHHRLREDDEIRQKLITWRSKLNKLGARHNFASNEYS LRGQWPEERYKALLDTLQDLFSLLSQLNHVLTQLDRPWRKALLDRTRLSDPTFLGDVL AVLSMCSTALRAGTALPQITPSPLVARFRMGKTKGLDLPHDPTDQSGDLPSLVTVDVL ESDNYLRYALGITTTFSLISRLDRIVVICKTLLGENFHISGLHLEQNHRV I203_02527 MGNDQSRTLGGDDAKAAEDRPPNYYELLQVDEEATADEIKRSYR KLALVHHPDKNPHRIEEATKLFADLQQAYEILSDPNERAFYDNHRNVPVAATDDDIFD HVRSGDKATNDPKSKLNKRRHGDPGVRLEQLMRFFDPKLARKVDDTNEGFYSVYRTLF ALLASDETLHTPPDSPPLVYPSFGDSTTSYAPSQGMTRAQKDSQIWVRDFYLVWTEFV TEKKFEWVAKWDLERAESRDIRRLMEKENKKVRDDYRKEYNETIRQLVLFIQHRDPRY KAHQSKLAREKAAAKASKGNSGTSTPSGAASGIDPEAARRKHEERMRSAAEYEEQSWQ KLDGRRSDEEEDDEEENTEEQETGDGTGVRLDDGMGGEFFECVACSKTFATEASWANH ERSKKHKQAVWRLKKEMMAEARAMGESRDLSDEEDEGDDGEDTYEDAEEGEVMEEDGC YEAKDAEMDALEEELVDLALEESEDVDYPSKKSKKKAKKARNVPTPQHLLDPEPESLP TTPRPDDTPENGDDDQSDVPDSEKTPELSKKEKRRAKEARKKEEEEARKVALKEARKA AKKGRGSVPAPAQKGKGKAKDDGFTMPKQKRKPNKGKGKVEQVDEFSQEKIDKVVEGI KEKREKMLEKWGDDWTNLVTKLQVILDHTSAPDGIGVLCLGIGKPFSDRTAQIQLALL LELADSLSCPTSSIEVFDPVSDEGDKKIFSGFGINHLEENLMGKHVLSPTKAYLLYLP HAPKQLYESLLQINFSPSLGDKPGRVLLGNDLAEYIPGFVRANGEQNKENGANEEGEF VKAKKKRKGKGDGPREIKDGVLQRLVPNMSILQFSSALPETNLPGFARAFLSLAFQWI DWDNVDKVDWEKELPEVDWADGEVIG I203_02528 MPTLYPSNDHTPSGMSTSLRRTGSVTRSRSGMTPSVASKRGSAF LNASSTTLEFGSISPGVEGDLMNETAGLKKVVEGLNKTNTSLRNRITDLEKLIEHNTG PEVERLNKELAMLEDLFAKSQKDNEAQYAESERQKAYVKELENLLTTSLGMDWQESHN IYPPAPTTAIVTSSTPLPPPKPTHPLRHSVSFSSKRSSSKLHKRANSVMDLDLMSLQA VKEDDMGVDDTPSGALRKLSGSTSAKANENEDKNKEKRRHLLSHTPEPKLSTLTTSQK NLRSPSTSKPKDQSNVDAVHTVIRLQQPDGANTNRSGPTSIPPEVDIKQLNKVLHLLS SLDPTTIINGLPRAQSQSPGAQASLHSTHSSQERDMFNSMCRMLESQQQILSDRETRL NSIIQMAKEKEERYAMTT I203_02529 MPAYPASSHSTIQAFIESRIPENHPITTANVKRILATVPVNERK LQFEFGAWFSSMVEASMIEPPYDTEYKHDLAVMTWGAPDLGSIQVRRGIEDVLYIDTE FHRDISRWETEASDWQGELDGLYQNFGAEATDGMVFVQTFASITPTSSSSSDDLIITD NQCHPEYAFRTSLEEKYGAPGERAYVVPSMIIALKSGDAPMEKVICEGLSYLKYLNHF RSLPDILDTYPAPNLEGFKDIWKYVVRAIDLLVSCPLGRPVSPVRRPSGPIIESLRQM GSRDVDIVVITKRIAQLVGSNGKSSAEEVQDNSEPSGSAALGSSSPLLKSMPGDEDDE GVLLPSGFFESDFPSELGEEYPDDPDDDSIDEEEREKQDEEDMLYLAQKAVLRKRNVK IVPLSSAVFNALMEEVKANHQLPSE I203_02530 MVSPPSSIGSPELLCSPSSPTISFTEIRDTIPLVPDPKAASAFV ATSPRNRTSSPYAGLGHQRSHSETAHLLRPKAFSPSPSNLHPFTEMVYPHSHRHQGYQ YNRPSSPLKVGSSHFPVGGSHSHTHVNPHGHSSNRYPPITAAFGSQVSFSASSTPAQS HFPHQAVAPHSPPYDHAGPSNLRRYASQVHRSHVSHRQRAPSGGHDVNLRCSPDCTAA EAPYHLLSTVIGRASVNDIRRTTSKPVKRKAPNDEDIEMEEDARTTNPNGIVNDLTAR PNIQTRRSRTRTSSYLGPSSPFSSDSFAKPLTFDRKLLQSTPPLPPVDHLRDPPLEGD FFANYHKSGLGARMTSNAVLLHGVELELEFDLPRSSSAPAIPLLAQRDRGLSDASAAS AISADVLGVHSKITTQFPRDEKPHESILPSYVSSPKTISTANHSHGLPPTTSRFSTFS GARRLDLGEKLGSLGQIVGDANELTSYLGEDLAAALKQRLQPEQSEMVKEIKRKEEVL SEAEWSFDVPLAKALGDVVRGWVKGVEDKEHLQVVEYGCHKETPNAVLAETVRTLALR SVGPGRPKKVLTVTHQCSPDFDTRSLQANLSNHPQSYRKIKAIPSPLILTSYSFAGFA EPSLPPNSVDVALCTNELSKLHGTIQPKPLYLFTSQAEEREQRSEKDLSGWLKLRAKE VRPGGILACSFAVRTAPSPEHNNNRRNDRPGNSSGQEGFVNPPRGSPGNYSMSLPTSP RTSNDEHVPNNMNITPMTEINNSPFVPGPPLPSPPMTNGKTRKYRPDIWQAMSHALSP AIQRLVSLGEIKTQVAPLLVDVPYWPRTLESIQNTLSKTYNEWEPLTDHSSGEALGAS EEMKRSFSDDSDEFRPNEYSPEEKKEWEDSGIKIFRLTHPAWIDYRKGKIDRSGYAKR IATYCRSVYEGHLKKVLRERGRMDISQCETTVQELFKVLVEKCELGALDALEIDVGII VLRRK I203_02531 MTDQGYISSGSGSGRVSGGEGGDRNSIVLKVGMVGDSQIGKTSL MVKYVEGSFDEDYIQTLGVNFMEKAITIRNTEITFSIWDLGGQREFVSMLPLVSNDAV AILFMFDLTRKATLNSVKEWYRQARGFNKTAIPVLIGTKYDQFASFPREEQEEITKQA KRFSKAMHAPLIFCSTSHSINVQKIFKIVLAKAFDLKASGRDLKSDSYR I203_02532 MSIARLVRSGPRQVSRISSTALRPVPKTTPKYPSSQNTFRKTHT LLSEPPVILSRQYASAATAIRPPEPILIPIEDEIISRPVQGAGVILPVLQDIQNLLEN EIGGDDLWSKRIRSSIADLSAERRGRIAVIGDSLAAPRDVVSALLQDPLADTEASRRA LLSRHEGSNIDVFQIGHGPNPHREPESLSLSASWLQATGYDVVEVNTMKAEETISTLL STDSLVVVLDPIRLNDIPQLSSVLPLALSRGSVHFVINGYLPPNATQTALEAKLLEQL SNLKVDPLEGLTLNPASIPISFVKAEKALNALDALAAGLQDHGPSTSTSKARAFEVFQ KQFLESHIGPLQSSLLQSLKPVSEPQLSTSRQVAGLALSHIERIISTDRDIVKDALHT VSELHRGAQQGASKAKHLSVASRGIEGGLVEGSVEYDMNKVKNQLEERFNGRLSWLGL IGRTRVDDVQFELTNHLNNHFATDLEKQIIFESGQLSHLQIQLNQLSDHTIRQLSHSQ SHSTSTTHPFTSPLLLNHLSTLSLSIPPLTPTSLTSPISTRREQLLTQSIPRLHSSAQ RALLMTYATSLVGVSLSWMSYVPPISITSAPTAVGLGILSVVASLALGQRLWGKAQKK FWRDWTRITGMMKGDLETRFDTALRTQVLAKPLVAAEGLEKLIQKREKRLDELQDKVN GLGKRI I203_02533 MIDSFNQTITKTDNATLSQDQNQDSDSSISLIEIILSDVPFLAL GFLGLTIITFYSTFNRSNKRILLLEISSLTACFASLLNLIGMFYNQDQVAFFNFQNIQ EESLRRFILGMLGVKQVLLAISTGTKLLYFHMSLEKMRRQQNPFSDNTIESGGGGIRN EKRPKSFTEMIQEGNRTYCDAMDGRDSQCILGKLARLSIVLTIFTVFVLDLFWRIGFL FDLSQASLYRRINIAGFSLQLILYLIFSSCPIHHLLQTSPSFRKNVLRQYGGLIGGIS LGVVVVIVSLAALGFSERVIGRLFQGVQLYVLITSQMILDFTPIFNTKSGSGPMTNDQ DVNDHYNSQKSFEVLSIPDASTFRVSPPSISTPSLHPIQSGGKRVSFIPTFTSRRPFS SNHDHELPRNIDRRSRTSVSSRIKTWVLSGHPSHSLPHSEEEVEGRYLTVPSIQRSSS STNQEGTYETHQNTFGRGPTPPPKSRNRISGSMEPARRSSQTIFNTLTRFSHGQFDGI QDLIGIRRTASSPGARKLVLGSEMGDGEGLPSSGDQSRGSGGSGLLDMPLNFPRPPSR SASLPGVGVKDPLMDLESMNVDDLPLPNRLFVKEQQIEMKNKGHGRMRSDMTHINVTS FIEGGSSRIDSMSSSNPSNQDQYQSISSLIQPSDWMRKTYRSELSQVPSATLTLSSEA PTEIYLPIPPSKALSPTTSRSGSGTASEENTPTYSIHDVREAKISRVLMRSNSQPLLS TNTSSSSIPIKFENDPLPFGRQRSNNSNFNLPMPESPTLPSSANSFGNSSGQVKMARA ATTGQEWYKKKYGVI I203_02534 MSRNPQPPSSRYKISSPQPYVANELRGAYISDNGPYPSFSQAQQ PSQPQQQQAFSPPDGHGHLGPPQHRQNGGPSRPAPSAGSGGGPPASPARPARSRMREA PQPPSIPNPSYSQAPTSAPAPAPAPIPSRRHDLRPIQTGRLDTRRPSAGSNGGYDMAA SPISPISPHVANQQNTTSFSDPFAADRSQRADLRAQTSAAAQQASQPFQGGPGTDKLR NVVGAFMNASKQRSVDEPSQPPSARRPNRREARDKARTREEDQWEVGLGESDLDQVLK KIRKDWPFVLESDFSPSTLALSLLSSQQHQQTSSSLPSHPNLSSFLRLHESLSSALQA AVQAHFQSFAASLPQHANFIATLSKAQDQVKKSKEALREARDGFVGKGKSELSGIRAR ERMVRDMLKILDTIDHLKQIPDQLESLIGDKRFLQAALILVRSLKTINKPELAEIGAL SDLRAYFVSQETTLTEILVEELHNHIYLKTFYSDSRWKSYVPGQQSLPIIEPQNDDLP PLPPSSDLTLATSPTINGDVVPLAGPGPSSRFSRYLNHLAAKPSHDPLLDYMDSDLNS APDVPPIPHQRSGSNTIGQAGSHGSLSSLLGPSHGGSDSSNPEADSYAYMETLLEALA AMGKLGSALDMIAQRVPGETHALVETTLDEVEERSEARREEVDSSLRPSSLLGPSPDS LTTSRPDSSLKRRSLFSPGETHRIEMSLGVTGPPQHAAILRDLFWTLYSKLAAVLEGH RVIYEVARWISSRRDFKDSSLSNASTSLTIPVLEVWRPVQNEVRTLLRTYLTDESQGS TLDRHPIPTINEVLRDGKWGRDRQKQMFKFADTDSRAVQNEIKPIDDQLQQALRVSVP GLVSMQVAGESTLTVLTDADDRYSSAGKHRTLIPPNAFNVTTLFQPTISLIQRATFIV PPGFEDATGAFSTVLEDFVVKVFLPQLDERVTASFQQAVSGYDAYQVDRSIKQDVVIP PLKSSVRVMALIHSLCMMLQTTPFHRENYSRLIVGVIVQYYQQCSSRFKELASLPATI ENPSAPLALPAIWAQREDMIKILTELRAVPESDRAGLTSVEQKEIKLELDLLGDQGLV EKNLINSMRKLEALGNLSQSLRWFIDSLLDLQSVAEEPLSPDNEQPASDFMSGSAPAP VPLANGDTPRLPLTRAMAQRYEAIIQTYEQLAEMVLNTIRLEIRCRVICNLGASTRKG DFRLESEALEPDPDVLDLNGSLMEIEEIAERTILGDDHSFIFRGLGQLVDQVFISSSK NIKMVNPAGVRKIKRNILALQQTLRGIAPAAKEGQLGKALDFWELYDKGPKEMLEELK TSKPLFSFDDYNTMLNLQCKADQDDAPSSELNTYLIDLHALSMSIEGWEIGES I203_02535 MSGNDSTSQEAESSVAPPTKHQYTSLASLLRKSPKPDTTSQDGQ QLPGRRTGNAGWGLTVEDINRERRGSNASRSRSRTRMKKKDDDKGNKGRLSTWKMMAL TISMGGSQIAWTVELGYGTPYLLSLGLSEQLTSLVWLAGPISGLIAQPLIGAISDSSN SKYRRRYWIVTATILLVLSGLGLAFTEPVAKAIVDLFGGGQGDWDPKSVKLVKNTAIT IAVFSFYCLDFALNALQASLRNLVLDVTPGEQLASANAWHGRFNHVGNIVGFTMGFLN LANVPIIRLVGGGQFRKVCIVALILLTITVWITCWTTEETEKESIFGERRSRIRDVIG TIYEAILHLPKPVRRVCIVQIAAFMGWFPYLFYSTTYVAEVMTHEIGHEPDVDTATRA GSLALLIYSFVAIIAGTLLPYLAARDRRLLKPVSDKIRDGELQADDSEDEDDIEMERI REMVREWKADAARQGRPLKLPTMPFMLRNIWTAGLLLFGILMGSTFFIKKVWQATVMI ALVGICWAIACWVIIMEFLKEMSDSPPPPASRSGRSAVPASAGPAPGYRPIHSRAAST PVGPWRSVPTSPSRRERDPDERTPLTRSYSTADIENAGESMEYTGSGPVAGGTIMGIH NLAIVFPQFIIAVVASVIFKLADQEPDIIPSSGGGAEGGGGKNGVAWVLRFGGLMALV GALISRKVPPTKTEKAMRRRLAEMREESAE I203_02536 MAQRQGRRSLGLAPLPGLPCKGDAGLTEAQKFEDFRRRHSKQNK DIILDNVSRKSMIKGLQDDIAALHTELLEVRQANLILQAKMKKAQRDANQSLLGGNAS QIYEALNTLVSAFPALKQLRDHISTTTSIQENEGDGHGMRKGNRSLGNDWVVENTYAT RPAEVARQNHGLWSLVEASENGSESEREQQDTRKSKVKQKALVVQSNSHSLIVHQCES SSSPLKLCKTDAKHLRFANSRHTGVGSIATSRSPRRSTQSPIVTYVEINSPSPSSSRN SVSPSPRKAKQHIVGEKKQRRRRESGLITIPPKSPSPSLSPNILVHEDVGEASEWEEG KAMELSLSDTNLTEDIPMPQDILNSAPSATGSGSGSTEVELMDTIREVSSSDSGSGSS SSRQEPSTGLPLSSKELGNVQEEGGIGRGRRSRSGVNYKEPSLSKKMRKPDGISTEEV LLSTNKPPSRKSLIPNPNPTFPPSLTSSLSPRTPRRKPSSSSSASMSESPLSPIPASY EDIPIIDSRNTLSLAKQNGMRRKSALPNPANQKVPIEGEIGDEENDVDDLVDPQHGSE LGLGYQDDLDKVQLRTEKLILSSPSKLKASSKSTKKNAQKDIPPPNLPNSADDNLPLP KRTSSLSSTSRSTSFRPTSATIASKTSSGLGLGRPIMPSSTTGSNIAGHRNITPMPTS HARLPVNSSSRKTEQEIQVLAEGDNVNIPTTTTTTTTGKVSGGETLSKRGTMSTTRRR ISAAV I203_02537 MSTLFNLKGNQSRTFKPKKVPEGTKQWQLKQYAQQTLGSGNLRT AVQLPEGEDLQEWIAVHVVDFFNHVNMLYGTISEFCTPTECPIMNAGPKYEYFWEDGE NYKKPTHLSAPAYVEALMTWTQSILDDEKHFPQQIGKRFPPTFMNTAKTILRRLFRVY AHIYHSHFDQVCALGIEAHLNTNYRHFLLFVDEFQLLSEKDLIPLEEFNKTILDETGR R I203_02538 MGTSAYVAIAFLPLIILLAPYYIEPYQLWDPIALNDKVEGQRQT KWCNMGWWEDTNSFPLAASALAQKLIDFAVEGGYAGGGNVLVLHLNSSAPPSHLHGLT SLSSDTRYAQSLLDRYTPEKAISTNIELYTASAQFNPTKDLNHPLNGMKGFMGEKKRD LAYSDDDDLLDETDLDLIQAQSQGQLTLSDEAPPPYDLIYILDSIYHYPPSLIPFLQT LKPVLRKDKGIVVYTDILPPPKGLSRWKSWFVSYILSVPLPNLADRPASLEEYKGLLE KEGWKDVAIKDWSKGVWEGFSKNLVGRGGRWEKVGRAVERVEKQGWRFVAVRAKKVR I203_02539 MDMSSHPLLSSMDEPPPFPSNYPQLRRLDRSVICQICKEPFQAP VSIGCGHSFCSSCIRSSLDVMKKCPSCNEPASEGQIRRNRALEEITDAWEESRPTIYD LAKPPPQAQTSKKRPAQEPNSKPSSLSGTKRLKPNSSREASGSRSQSPTKSRRSNDSD AEERQNGEGEEEEDDVQELTENDEAPCPICQATLPISSIPLHIEKGCPPPKGKINGNG ARKGNQKADWKKVFSGQAPGGKNKDKEVEMKRIIKPNYALATPAELRSILSDYSLPAT GDKATLISRVQEWIILFNSNLDTSHPSSLSALRAKLSDMENSKKRDKERGKDEMINQL GSKDGLQKYAKDKKSEFERLRKEIIERDRKRKEQDEGKGRGRDNAIEVE I203_02540 MILDIRIAGTISLFAALFFFILYVVVWSLCLLGWRTARIRYAHP TLPSRISSLSPSSAPGATIIRPLCGLDQNLYNTLESCMKLDYPKFEVIFALQDENDEA LPVVRMIMEKYPEVNARIIIGDTKIGVNPKVNNLLRPFQEASYDLLWVIDSTISVTPG TLGRAVEAFLSNHDVRKPPMAGEVGLVHQVPIALCYQKTWGSLIEQAYLNTTHAKMYL AINAVAVDSCVVGKSNLYSRSSISQLTTPSPSLRQLPNPPTGLAGYSSFLAEDNMIAL GLWHQLNLKHAMTSDVALDFLGALSVKDYIDRRVRWLRVRKKMTPIIATLLEPFTESL VAGLYGSWAIQRLLGANIPALFLVHLFTWLMVDLSTRRSLETNIRSLKPPSSQGVFLL AWLARECLALPIWLLAMTSDVVVWRGRKYKIVSSGEKISYINLSKSLTDEIFTYL I203_02541 MLRLLKSPQVLRSSFSKTPIQRVSRRAHHTSGSGAPKPSPTSSN SSPSSDHMGFLFVGLTGGLVINWLMNRHSDEVIRCDKEKLAEKDWRRDVLCELKALNE KKNIGGVSGILGEGHQQRFHLK I203_02542 MTDAALHGDKLNEERAFIKRYTEGLSSHKVEYPADFSTPLQDRP RKVAVVGVEVAEPPSVEGMDVDTPAQDTVTITIKCLKPSLTLPITANLTDTVTDLKSQ IAKSAASAPSADSQRLLLKGKALTDTKLLKEYDIKDGAILHLMVKVATTKELTSDEAT FTAPSSTSPAPPALTITTSIDGIEPGTSMPLTNIDSAAPPLGPQPQVSSASFHQTIAD PQFWQKIHALCVSEFPLEDEADAAWETFLVSMKGKLSAGEAAKIRDVVGVTGMGGQMA T I203_02543 MAEPPQKRFRYATYNEQIKNISVNVGNQRGLEWEKENFDEDAEQ SHTPLTSELDRLSLLDLTTPYQDLQRTLIPFSSTLPITLYTLPIIQQTFSDYYSKLQE GQEHTHSGLDSALYLHQALYETCLGEALPHVPDTTKDLLRVGALRALDPKLVERTYSS LSLILRSIASSLLKSDESSQTILRATWKEVRPYLRPRENKRYVRKCVADAWVGVIRKA RSEGLQRLMDVLLEEEIDGMEAVWSHSLKGTNSQLHSRAIAIYDILLDRLIANPTEQQ LATVTMVSIALVHHCSTSNIKPVIESVISRYQISSPSAGSSSSPSISLVQSSMVLRVL STFLLTRKGKRFPEPLLKPLMQRLQSLFPHLATEASLGQEGIADEERQTRDTWRKALV ACVVGCLQAGQLQHWLSPGVGLIEHLWGQLDDRECFAFANALISLKWPGVEQFLLSHI AKKSLPSLTKDPLSTLVLLNNLASAGYLSGGLSNVQGGRWRRAFISALVALFEQIKEK GLEDLNDRRILGQILRLIPALPSDADQFVPHVTGLIRSTLSTVKGKEVAQIRVGWAED GAWNDSHLLGSLLRAAQDLLDSPQVTADAELRALLVDEGALAHVVEKVYWNREILTAC SSLIARWSGDLQLSEDHILDLFFPNLLSADSELRLSTVQMLASISSTSPPANAEDHIP SPSGIWSLCAAVESSEMTLKNVRERTTSIARLARGVLSLPELTSKESSKLLKGVITFL VSQLKVNFRPIYAETITALSSIAEKQGQFIWEIVWEELQKTDAAEGSSMLDLGVFKPS WAEKRFEDSESRRVEQDEDEAEFHCYNLEKSRNTLNKVWIQATQEDKLDQQEVFVQIS HDRLDVLNYEAQLLSTLAAVPSIAEKHSRAIIPVFFGVARQADENDDQVKSTHLSTKQ RQQRTASYLELLAKFVNPKAAYRSEELHTLYLDILAKGEPKLQGLALKCLMTYKSPKL LPYQESLETLLEDSKFRDELARLRLSATEQDYGVQSQEKSALAKTRIVFIDPSHRAEA LPVIVRLLYGIITSRRGRSSSAQGQTARKQAVLNTLSGCTEDELKTLIDLMLEPFGEE LENINVAGRQQIGFLTLLTDLLRYLGPQIIPHWPRLVKTTIALVASAQKKLSQANADA EEEGEGDNAEDVEVPQQESDKGLAPLRNIRSMGLKRLVQFFRSSVQFDFSPYLSTIFD SIISPRLDRLEVENTQAPSGTLELIATIASLPNNARSLVQQDDRTLPKAFSCMTAVKV KPVVILKVFDIIDSLLLEDTPGLTEDVLLPNIRVLLDNVIGLVVRLKASANEDITRRL LAILSRLSAIVSDGQQAQELASLLAPMLRQRQTTEKAKTNILSTLQRLYAISPDVADP SNKYFTQNYELISNLFQTIFFPSSRRALFDVLQTFAKVDKSLEKAITITGELNAYSTR RLDEPDFDRRLTAYAQINDGPDEELPQSTKAWLPILRSSIFYLHEPEELSIRTSASAV LQRYISAIGQAESGPYVEALQHVIMPGIRKTLRSKQELVRNEAIHIIAHAVKTCSGVP ELAELQPLLAEGDDEASFFTNITHIQVHRRARALHRLRNFAADNKIQESTLSTIFLPI LEHIVAGSTDVTDHHLINEAVQTIGGLAGELRWSRYYGLVGRFMKLGSVKAQQQKIYI RVVSAIIDNFHFRLETPAVNGDSMDVDGAAAEAEDKDDEAEENEDEEVEQEKQIDVGT SPERITEVILNRLLPSLSKFVATKDETESNIRIPLALAVVKLAHALPRASSADEVLRV ITTVSQILRSKEQDTRDIARDTICKIAVYLGPEWLVRVIKELETALQRGPQKHVLAVT THAILVMATTQAAERFSDLDEAVEFAVSISAEVIWGESGKDVASEGFKTKMREVRGAT SRGFDTFQLLSQLVSPTKMSVILSPLREVMHSSQAVKQMQQVDEALRRISLGLNSNPR LAPEDILSLCYSLISGNSSYLKPKKKAVKPADTPDSYRVQMRRDNKGNADFYPQNAHK FVVFGLDLFVTAFRRGKFDFDDVSILSRLGPMVNAIGNTLYSSASNVLTLALKASAAV LRCPVPQVEPALPIFVTNIFEIIKHAGGTAESEVAQTALKTLAVILRDCKSSEVTEAQ LKYLLEVISPDLEEPDRQSAIFTILRSIITRKFVVPEIYDMMERVSSIMVTSQSTQVQ DLCRGAVMAFLLDYPQGKGRLKNQMTFFAKNLEYTFEAGRISVMEILNNIFLKFSDDL IEEFSDMFFVSLVVVLANDDSEKCRQMAGELLKSLWKRLEEDRRNKMISVLKSWVDKR EDNTVLASAALGVFGLLVETGQGEGLVEELIKVVQPIIEESAEALLEAEASEEDAVLD HGLPHQALSSTAKAILQSSSSTSSLPWQAIISHLLFPHSWVRFDAARLLSNYLTSMES AYGILGEEALLDIARKGCLVLNGSKGDEGEFVLVDGKLSDEVVKFLYNIAKHWAATQE SDEELPTADDVQADAEDEEADGETEGRNPLSWLMSRMSFLARHLLVNRPAPHSFQAHE KWSAPILSILRFFAGVYEALSAKQGKTYLMHILSPIYRILDEGGDLAKNEDGQVEELR QLSMQIREFVQSKAGTSAFSTVWEKLRRSTINRREGRREERVRLAITNPVKFAERKSK KVIRGKEGKKRKMNLFK I203_02544 MSSLTPLRPLSSTLRQSHRGYASVLNTPTEVARELPLRLKAIKL YKELHRLGRDYPDPKYDFNKRLRRAFEKNAKVTDPEQLKKQLELGEHIKKEVLALISL KKFRHLRRSYHANEGPR I203_02545 MSLLGSSSESCEITPVSSRSATPDTSNANVSPLNPQGLKPCCAC PETKSKRDDCFLKSAPGEGDVNCREFIEAHKACMRGYGFKV I203_02546 MSPTSLLSSPFPPDTPVDRPLPQPGNALDDREQKHVKWEKVLWR RQPFPDNYVPPDFLSELDDLPPRPIPSLIPLLFAALPISLHISVIALFLAIFYALLEG DLSPEEVAWKCVISGLGGWAIHKYGWGIHRSQPEEVSIIPARTPLRTLILPPLLLSLL SPVLGTLTSASTSDSIWPLAGGLGFVHLLLADFRTGEDQRLKRRREKAKRMNKAHKRR GSVGMIEIEEGEEKSLTSSLSLTSALSASVVLASRLPSTSHVFSLVLLAVLLFAGWPP IAKGVREAGKLFSLILTISMATLSISLFPLRDDSSPRHIICGHEIVPTTPTLIFLISL CLVNIIGPVMLIYAWRWKTRRGGGWDVAVVKLRKGRNGQVS I203_02547 MIEGNGFISAEAGPSRPRYDEQRPLANGIKEIHPEGEIGDEGDE DDLEENQREDEATRLEEILTSSSQRPPLPSKASSTLLSRPQLSSRQSSKLKALHPLAS IDFPPSIHTPSSEVFDLSSTPGLNGTTYSSLPPSPRGEVDFEFTVPPPLPPQKRGLGI NELGSVLDRLTIPSSEHLAADEDEGEEEFWPARSRRPTITENTYTLNDTNEDGLRISS PEPEKYDRHLHNDDNEFKGLNEEGKIEFSGIWDLLKDEMGAEEWDGWVVDGKWERIAN FLAVPIAVEKTTTFGALLCLDGFLYNFTVLPIRSAFAITRIISNVVKRKEWWPIPLAH QHSILRMLLLLIPTIILLGATDSSKMYHSVRGQDTIKLYVIFNALEIADRLCCAFGQD VLDTLFARETLSPSIRKRGKGRKRQQARPVFFFALSLGYVLAHTLIFFYMLVSLNVAI NSYDYTLLSLLISNQFVEIKGSVFKKFEKENLFQIMCADIVERFQLSLMLSVIALRNM IEMAGSEIAFLPKSFIRGKNLVDSILSPVLFVIVSEMIVDWLKHAFITKFNHVRASVY ERFTDVLAKDVLLAGSLGSVRNRIRGRNHQVLLDQSPLVARRLGFASIPLACLVLRVA AQAIGMLSTSSHHDESLNDLTAGDWIWTIMKWTSWTGVGLCAWGCLVFLKVILGLALL SFSATRQEGMDAREAEDAVNDFGRSAVGESKEETEYNKQNARYLSHPNDDLPAYPSPG SIHTPTTPFAMPKSVLVNNAKKMNGGSLSDPEKVNSNSDYETKDKDKEKKGGKKGKKW KLEEVERWTMVKRIW I203_02548 MAGGHGGFEPVKLDPAIERWSSMRENVFQHFKFTRRATRQVFTW GFVVPALIATIAVTFDNKYDWAGKQKGSSLLKGTPAKPTTSEE I203_02549 MSYRPRSRSRSPDYRPRRYSPSRPLSPMRDDIPPPRRYADEREP YPLARRSPPPPPRRYDDPPTRRGGGYDDYQPPSRQYDDDYNRPRYKEDRYGLPPRQPR GYDGRERDWDRRGSGMMADREELRIPPPRREYNNGGREEPQWERGRDVEELAAQQGDD YPNSEYPQHPKRGGAKGPSEPSRDIIFLGLDPELTEKDFSGYLRLEHKAVLETVKIVK DKFTGVSKCFGFAQFKTLDGAEEFININYPAVLMPALYSHSNPRKVKIDFSATLPSNE GHGGAGQPYVHQPTYVRPAHDGMKDIGVFGAGKRVLLLRGLDASTTSNDIVSRVSQEI ARMMGKIGKEIAAESTIVRVVMIVDRNVRSNWGYAFVELATAELAAALLPFLLAPQHQ PNGFVINYVPVAPSFANPAAFIPTSAGPLGGEFILRPSRNGGIASDTIDQPEGKWCAY WHQAGGAVETLTSGAPVIDEDGLVQLTPYHRSFLGHLAGVPPQVPQSAPAEVAPTSIA PINIAGGVQPIKISGKGKKKEELAGIIPITGKNLLGGDEEEDLVGKDSVLLSRSKGVF IIPPTSSSRKIAKNINKWNTKQSELAAPEPTADSNAPPKGISDVNTTLGVRRPIGSSL GGEQSTSPTASLSSAPGPSRSPQAATSSDDFDYTDISTLATTGKVACLLCQRQFKTED TNLADSNAREAGMKRKNAAGASSTTGEGSQQPKYRDRAAERREAFNQPSVPIPEESPS WQLEQAKKRKFAEGPKPPSPAPPPPLPGMEPGKDESNVGNQLLAKMGWKTGTGLGKDN EGRVDPILVQQFENRAGLGASKGVEAGRWSGPGGFQQRALDMAKARYDNSNSNSSDKS I203_02550 MNNISEANRAETAFFEGMHLVSPPSPSPPLPGLIANEMRTPPSS DDETGDGLFDLWCWSEPHDQDQLVQIRRGDQEDLEMLEAVERDSQSEDDVRFRFEIDK YAFRAMIFADQQGWYGPPPIVLSLPARLDLYSQGLLSPAEEEDLFRSIGITEAEASAA FSSFSALAPVPPPPPPLLPASAPVEAGAPFWSIPAVAGPSSSPICSSAPSPPPPPPPP DTETRDEPPAKRRRGRPKIVRPSPPSLCQGSRGILFFVGEVAPNATDKESRRRRGFTV RDDAPRKNGGGVGKRERE I203_02551 MGASSSKAARRLPTSTTSSNISRATAQGVKPSTLPYGGHPPPGS PKPPPDGQEPDPLMEAPTYLEQGLAGETRTPSEQEGKVQPGDRGANVGAEADAKGMAR GGMGRVEFSGAKDDAITKDAMDPQFMNNLSRLGQVRIQDAGEFVPTQAQRTLLSRSSH PEYTTPLTPFSAPPSNHLTVPLLVSLLDKLKSLSPTQDASAVYKEYGVEKSVMDDVRR FVNSVSVAEEDEVRVEEGEEVREMKAIWV I203_02552 MGKAPSKSSLTRSSPVGPKALPLPIFQKLKDKRVVLASASPRRK EIFAAADFHPEIVPSTFAEDLPHSRFQGRLADYPIATGAEKAMEVYERLVKENEYDPP DLVISADTVVIFPPEKDTVEGGQYHGEISEVLEKPINKHEQIRSLSSMSGRQCEVITG VSIVYPTVEAPGFKVQSISASTLVQFYDNSKETIQAYVDSEEGIDRAGGFAIQGLGGV LIEKIDGDYNNCVGFPSSAFWRWISELDSEGVFDEAWKE I203_02553 MPSRQNLLIISIVSIILLYILISKSSSTASTPIPNDPSRHETSK GQPTFKQRLVAVGDLHGDISNAQKVLRMAHLTDQDTNWVGGEDILVQTGDIVDRGAHA LDIYRLMQKLRGQAEGQGGRVVSVLGNHEVMNAIGDWRYVTQDDIKVFGGTKRRQEAL AKDGWLGAEWLANYSITASVPLSPHPSSPKLSFTHGSLRPSYPNLLPYPDAINDLGKS LLTKALTPPLNPPYPPNPYSGLPKNHTREEAELYAEGGPLWWRGLAEREEGQVCKWAD ELKEKLGVRRIIGGHTPNFEHIVSRCNGTIIIIDTGISSAYGGVLSALEIVYTLTPIN DKNQGHRQDPFMAASTMDQDEDKRQGERVNEDEQVDAKPSARGGLKGRYIEREEVHAI YEKRKKWIAVEEREVSL I203_02554 MSTLANADLSSDSENDCDYVPTSPKRKNKSQKGSKRIKRAKLDG EESDSATSSSSSDDEDEIENDEVHEKIDEIEERKRKAREEFERMKAELSTSANDMKEK KSEKEELVEVKRARRFAGETIYEIVKLKKDDPETIAYLSKRDQDQDPNQTTSGSKEGT TNTSENQSDIPKDDHPSSIPTQGQQQQKSPITTSAPAPKPAPRPKGPPIRRKPRQSLE AMSAALDKGKKMTTLEKSRMDWKSHTTSTTGLSDELALNRKNGGGYLDKKDFLDRVGE RRSNTFDSKR I203_02555 MTTSTPQRYPTSLSQANPHPTANTSGPSRTRPVIRGYPAPSIDS SIGSPPSSSSSSVPLNEYSSLADLLQQAGYKETRVFTPEAEKIPRRKGIKKTFNEQDE DEVNNLYGTYGFTRPGVNPPMGLGLGMERSQSEEERMIRHQHITEHGLPMKSSSSILR SLAIQDQISSSLPKDQQTPTGTGENAASWWNGWVKPKVSPADSPSAASDTSTSVEVGL RLAKNGEGVRKVKSTIGIRDRDRRAGTDSPPQEQRPPPPDARQRVISSPTRFMGNANT NAVGYIPSKDNDVFTSTLTSPPPPQMIDEDEYGYSPLPEDYEAQCTEDEVLYSMGLND YTSIYSLGSSSNSNATSLRDTASIISNDSFRLANETSSTIREDRVVREINEFQMYHAY DNEIGRRILNDTVDVDRYLEFDSPDSENMELPNHDDEETRIGMDHGVPRIPLPITPQS ASSSSSSEWTEENEQQQVPPVEVKKPLKYGDRATKLRIAHSTPALRQTALSYNSTSAV LLPEGWLGSIKSALLGKSTEPPMPPYQNEVSKPRGPIKISEAKPALPTLITTSPVICD SHSNEAVDLPPVPATSRPKLIHHTTSISNLAMSLMSKPSLAKLRSAMLGQGQAQGIIL KEDEDNLVLSPRLNWDEQGKQFAGWSPYKSRSNDSPSQSQGLFDAGRGDIDYSKSFFY KPITPPKSSSNPSTTVTPSTPPSNTTTTADKGSLNKKRSIKSLKAALLLPVAPSQPPV PPIPDHLSHLATPRKLRNATGPPILAIQSPGAWVPRELVLEGEEWDAREGDWGRGRGR ASGVGGGGGKVRRRKSKKIVRD I203_02556 MDQPVASSSRRSSIIHQEEEDHSTRLTLENASQAQIIRAHQRDS STIYQLTELVSEITRNIAGTRWLAQKQSIIDILVKVLYLSLTFGRGYSTLGEEYTDIL PFHLRRGRLPSKKRRIISIIFLLLPSMIFSPMITNYLRTTTSNEEQSQQTRLEKVKRR LISLIDSPFGRIVPEMHMILFLFRGKFFELARRLTGLSYVSTLPPKPIERRATSYEPL GLLMLLPFLYRLSIQLRSSISSSSTSSSEESPKPQGHLPIPTPYKDDILPLSPPLTPP LTAQQTILLESHNTAKYDEANTYLTPDALDLPERQCTLCLESRGTGEGSGGTTAVTEC GHVFCWGCLGGLEKLECPLCRQSLRMERLVAAYNL I203_02557 MTLTDYPTFSESPFFFDRRSASIPEQEDGLVSPHSTPRVAYYHP KNVGNYHYGERHPMRPHRMELTNQLVLGYKLHEKMTMHAPRKATEQELLEFHDSDYVD FLKRVTPKNAQNLTKDWTKFNVGDDCPIFYDLFSFCQQYAGASLAAARKLSSDSADIA INWSGGLHHAKKGEASGFCYVNDIVLGILELLRYQPRVLYIDIDIHHGDGVQEAFYLS NRVLTVSFHKYSADFFPGTGNLSEIGSDLGKYFSLNVPLQDGIDDESYISLFKSVMEP TITTFRPSSIVLQCGADSLGCDRLGTFNLSIAAHGECVRFIKSFNLPLLVLGGGGYRQ SSVARCWAYETGVCLGLNLPNDLPETNYTEFFGPDYQLHPPLTGKIQNLNTRQSLERI RMTIREKLRYLGGAPSVQMQEIPNDLMGFLASEEKDENELMEEEPETRKEGKLKNGDL LEREVNGLGNGESLGGLPLGGMRRKTSVRI I203_02558 MLTLLRSSIVLTLLSALALPALSAPLEKREDRTVVVNIPDDTFL IRQDPSVSVRGAGSHKFTFPPAKEGGTSTDYDKQEFFYDLYEEGSNFGKPLYHLTCYV RVFQVFGGNEEWILSRIGAKAKDDATRTNMVDVICPADDHSCMDGADCSKTPGWGK I203_02559 MEDIIPTIIIIAAIYFLVRWITGSKSGNNTQGGIRGVTPSMVDT IHGAFPHVPLPNIIYSLSRTRSAQATSEEILERGTLPTPPPNFQIPASLLPSTPPSST TTTTTNTPSSNNGKSQNAKNSSLIDRYNLSSRIPTPSHKGKEKELPDEVDSGASTPVS ISETEGKKHWEDTREKREMGLRERKEKMILEARRRMLEKQAQAQAQNTNSTTA I203_02560 MSNLLKFSIAPAVEATEAPPIPRAQAWGREYLNSPTYGDLLDLS QGVPKNAPHPSVLEALAGSSSDPLSARYGPILGELALREAYAEEIRVQYQLPSSSSSS STTSTSHKGKGTVNFDDFSITTGCNMAFLVLIMALCPSGNSSVMIPLPSYFNQMMVFS LQGVKPIYIPGDSMNGFKPDIQAARNYLSDVNEQGKPKMIVLVSPNNPTGAVYSHEEL KEWYDLAKKHGMALVLDETYRDFVEDEQGGRGVPHRLFEEEDWRETLVCLGSFSKGYR IPGHRLGSIIASPALLKHVTTISDCMQICAPRPPQIALSPLLPTLRSDLEESSKALSH RRHLFVDVVNQVQGWKVTSIGGYFAYVSFPKEYIHASANVGLKRKRLGSEDISLILAK RFGVVTLPGSFFMPDLKDDEIWEKDVLKGGEGLREDIWLRFAVANVGDEVILKLGPRL EEMNKLMGIGELSKTE I203_02561 MNPLLAASNATPGGAGADDDKLSDYVPNSRDLSVSRAPSAVASD EHHINTAPQTPEPEQEQDSEDELDDEQFDEDDLMNGSSKAAKKAAQSSARKAANAERK AQVAEIGKKRSAMEQAKVADSIKRFQYLLGQTELFQHFIDLKKQREPEFAKMLDEQMV NQSGKGKKKANDHRHRKSEKEEDEELLKEEDAEDEAYVFEESPPYVKGGKMRDYQVQG LNWMASLHHNGINGILADEMGLGKTLQTISFLGYLKFHQNIPGPHLIVVPKSTLDNWF REVNKWVPGFNTLVLQGTKEERADLINKRILTQDFNVLITSYEMCLREKSTLRKFSWE YIIIDEAHRIKNVDSLLSQIIRTFASRGRLLITGTPLQNNLQELWALLNFILPDVFSS SEDFDAWFKTKDDSDSDAVVKQLHKVLRPFLLRRVKADVEHSLLPKKEINLYVGMTEM QRKWYRMLLEKDIDAVNGASGKKEGKTRLLNIVMQLRKCCNHPYLFDGAEPGPPFTTD EHLVDNAGKMVILDKLLKNMKAKGSRVLIFSQMSRVLDILEDYCQFRGHQYCRIDGNT AHEDRISAIDDYNAPGSEKFVFLLTTRAGGLGINLVTADIVVLFDSDWNPQADLQAMD RAHRIGQTKQVYVFRFITQDAVEERILERATQKLKLDQMVIQEGRSQQAQKVGQNKDE LLDMIQHGAEKIINNSASMLIDDDIDEIIKRGEEKTAELNSKYAGLDLDALNNFKSES MVNTWEGEDYANKRGGKNLIWIEPAKRERKNNYSIDQYYRDQLNTSAPKSDKPKISRP PKQVNINDFQFYPPRLVELQNKEFDAHRKSLNYVVPSREPEEGETAEQVEAERAEEQE RINNAEPLTEEEAAEKDTLVGEGFPDWQRRHYQAFVRGVEKFGRDALDKVASEISDHT EDAVREYAAVFFERYKELKDWEKVMERIRIGEDKIREQQDRIDALHRKVKATKFPLQE LKITYGQNKGKTYSDEEDRFLLVRMHHHGIERDDCYELIKRDIGEWPLFRFDWFFKSR TPDELKRRAHTLLLCVMKEEEKEDDKKPAIKGGKKRPIDELKSGPGSRDTTPSSVGGQ KNKKKKV I203_02562 MQKTKGDTFDLRAEEDAARRLNVGKSINVSSSISGLNSRAKRTD LIGKVALKEKVDAIAKDHGVTIDPEVSLYLLSTIENRIKSLFSSAIKAQQHRTQSSHL YFPPLTKSSHSDSKSDNTNASGSGNGGRAMWSSRITSDPSQVLDLVNKSYREEEQEFR KSRMNRLAKEAELQKIRDRASSLSLNPDDGGVGGSGPSTPISKPSPSSYSTPAAGGSG GGTPMFGAIRESTTKSGSSSSKKGKINPRDVSAEVQHKMANATAMRSVGMGKKYGWMT GNVPSISSPLAGGGSTSKKRKLDKERDKEKEKSKLSSNVTTNSPIDRDSNSNSNKQTP EPSTPNTNTNTSDIEKEKERPNKRSKPTIRQPTRRLIMVEKEETEGGDVEDKKVEDDK VLTLLDLVFAMEHNGLDGKGIGKEEEILQKVWARKGGPWGEDGWDGRR I203_02563 MADASSSTAPAAPVQPEGTYSLEQLKEHNTRESLWMLLHDKVYD ITAFMDEHPGGDEVLIEEAGGDATEAFEDVGHSDEARGMLPKMLLGDFYGEKKSKSKK SVSSTGGSTSSSGFPIWVVPVALLAAFLAWRVFLA I203_02564 MLSFRSSYRTNHSRTHWPKAAKGKSKPASAPYGTKKAAKKDQNP LFEKRSKTFGIGGDIPPKRDLTRFVKWPEYVRLQRQKVILNQRLKVPPAIAQFSQTLD KNTATQLFQLLNKYKPESKQEKKARLLSEAESKAKEGDKSTTKDSKKPVFAKYGLNHV IALVEAKKAKLVVIADDVDPIELVVFLPALCRKMGVPYVIVKGKARLGLITGKKTSSS VAITDVRSEDQQALANLVSAAKANYLDKAEDIRRHWGGGIRGNKSVAKLRKRAKALGQ DAKKIDVSL I203_02565 MIYVILPLFISEFNHQQISYSIFPSTHIPCTFPINPIVARIKKT SIKPPTGAALKTKAAKKAPTHVTKFKIRCSRYLYTLVLDDAEKAEKLKQSLPPGLKVE DITNKAPKKK I203_02566 MNKYTNPQLLLAQADDFKLATQHEFLKQSGEGSIDSEVLKEWLK QDYLFAYEGGIKYTAALLSKINLSPSPSAIRPFPAFDLVPILGWSATNLLRETDWFLS VASQHGLEVFDDEPRERDMNKYGLLGEYSPVTRGYIDYLQVIGALGSIEEGMMVLWAS EKIYNSAWIYAKTFSHLNNSSDETQKALIKFCDNWTTPEFTEFVERCENAMNKFDIEL GSEVAERCEKAFKTILWYEQRFWPSVETK I203_02567 MSSDNVLQQSKVQPTASASTQPHPPSFLQKKGLLGGAGRGGAAS IVSPTDNVLSPCSAKLSGAKQRHFQKGKPMLLASQLSQLASSNSNSSVKSDAKIDF I203_02568 MSNTPPLPPPTSSWTFTQGFILGQASFLIIVLLFVRYVVFSPSE EIDAEGWKQRRAEKAKKALLSTTSVPPPPPAQLLQKTNYEMATHAAESTDWVNVLLAQ MLQGYRNDLLSANGEEGARQRIEKWLNPTGKNLSWLDPIEVTSLSLGKAYPLLSNARI RPADGQGRIRAEIDVDYLDSISLSLSTAVLVNFPRPRFAVLPVALGVELVSIGGTLSV QLHEPVDERQHIHVSLLPDFHLNLKTTSLLGSRAKLQDIPKLEQLILSRLRSVIQDRF VYPAHLSLALPRILSPSVSPTPLLNDLGESAVNAMSEAMSQGISRMVNDMMGPGASPS MDNTTVDVDEDEDEGLYEPLDEVAISRARELDREEGLDGNTDTTPSVSMGGRGEKKIP IPANLAPSNTTSTSRVEQPIPRRPPYLSTQTSRPNSPSITSYRQQIPVPVPTASSATP SVNDSQNLNQFRFRGQFASNPPTPGADGLGTMQGHGTGRRMGVFNSRAVG I203_02569 MSTAFLGNTVVWGNPTCGGPHLLQLATGDNSTAAVEAGLKAILE SHTGFFLGPVMIGFVADFVLFGVMLTQLVKWGAYAVEDSLDHGLAGGFTGLTDQLCVS NLAYVHHVFVNNFGTYRTFASADWSSWLGIIAPLTSARVQTFYCDRAYKLSGKNKILG GIIMSFILTSIIGGIGSKITSESATQTTSTTKATIFIYLYTAGAMAADFTITTSIMWC LSRSKSGFVQTDQIVKKLLAISAETQLPPTLMAISFLIIFAYKTTKAAAHPDEMIIDV TSNLTGFFMMTMPKTYVVGFLAVLNSRMSLRAVMSSKDASSQQWKVNTYRLKKRTMEG NVKVTTETYVQAERYDPATQTIARQEGYINNTIPEESGEDESIYKGELDKTPHTSQTG FTFADALKESRV I203_02570 MLFNIPIIISILSLGSGALAAPAPSELSQRGDGSAEIVLGLPAG SRMVDGDDTSVGVMGVTGKDFILKWPKETLDKIGNNEHTEKAVWWDVFESPEKSVLKW HIKCRARISSNFANSYRFDLLTTAPWKDSKGDYQIRESRNIQDLQNSISFTGNTSVNN I203_02571 MSTPQPTFPPNSRRHIPYLSFHKTLIRQICRPQQDDLVIVAKGL GLRRIVCALLKTYDRKEDLVLVVGATPADEAGIGDELGIMGVRDPGFRVVGYEMNLKE REEMYRHGGLFSVTSKILVNDFLKGTIPAKLITGLVILHAERVSHGSQEEFAVRLYRR ENQSGFCKAFSDEPEMFAHGISPLKDMLVNLNMNSVMIWPRFNEDVKQALASRRADVV EMYQPMTDLMRQCQDSITECMEAMLVELKRDHSLNLDLEDINVRNAQFKNFDTIVRSK LKPVWHKVGAKTKIHVAALTELRNLHTWLLEYDSATFASYINTLQRQHFQAEKLATGP ARHVHDWFNAKSASKLVEASQARVSHKAPIMDNEHHDDSPSREEVREGPQGIDEGVDE FRDEGEALRAAESMATTNGTADDENEDEIMEVFATQTQTVPQMQDEDEDEAMVEVGTN GDGDGEDTLREATGDAPPVFRPVLVGLEEGLSRSVKKRLRKGHEAVLEEQPKWSLIAK VLKEIEDTIARVTESHAESPGTNIVLIMCSSDRTCLQLRQYLTTMQKTDPPFGPEAGK KMMETLFLSNWQHEKNGERLSDPNKMKGDEGDEVRVKRGEMEEKRLEDAHQRRGTNGN RGRGVPAYKRRRIRGGAAAAMAAARLADMERDHKETMMKAQSAFAGGDDSMEDQQMQW ALAESSRGAGSSSSTPAPDPSSALLARSGVVEEQDLDPTTTSLTFSHTSSTSNQSPDN AGYDFGLMPENFEDEYGLLAPEDTVIIRPYGGEDDDILLQELRPRFVVMYEPNLAFIR RLEVYKNSNPGLALRVYQMIYTNSFEEDRFLSTITREAEAFKKLIDDRQTMVIPIYNN NPRAPMRDNVTRSKTTYSSRNAGGGEPVEDARIIVDIREMGALLPSLIDAAGIKVVPT TLTVGDYILSPKMCVERKALPDLEASFANGRLHTQCESMTAHYEICILLIEFEEDKFG LRTREDARRETAGRSSEKDDSWRDTFYLQSKLVLLTLHFPKLRIIWSSSPHESVRILS DLKLNHDEPDEMIATMKGTTSEGGVTESFRSGIENTGSVEMLRSIPGISGRNLKLVMS KIESIRDLVRMNKREMKSLLGDENGEKCWDFVNHDSRYRRY I203_02572 MFAQVLARRALHTSRPLLSAQPGLEATLRNALKASMKAKDKPAA ACLKSILADVTNATKSGANPNEPINDEGVMGVLKKGISQRTQASESYSPTSPSPHPEN YSSLLNEINLLKSFLPEAPSNEILQQSIDKIITGLTDELKASRGVAGQILNKLWEELG DKKAGVDKKLAGKMVQEALKKLQI I203_02573 MSYSHPNARYPYRNESPYGQSRNGPVDYSGGESSSFRYGYGRDD YRNDYGGIYSGDDRYRSERNLPYGDRYTNHGYGDGFGYERRNDYNYNASRPYENPYPP QDQYRPTNNDRASTYIPPYQRGFSSPIQPSFPPYDRPPSPQSIRHYSTHAHSQSRTPF SRDRTVTPPPVNLPPREYLDLLRPNPAEPLKSERIIPKLLVLDLNGALVFRNRSSSAD GRNSHPRPYLQSFLEYLFLPDLNSKRGGRGWEVFVWSSAQPHNVRGMVESAFGPRFIE GIWEEEAANTKSAREQEGEGRLLDVWARDKMGLTDNDYSRKVQTTKDLRKVLDHLRHL SSDTNQPLWDFDEKRIVLLDDSPLKAIYQPFNQLVIPEFGKDEYQNSKLAAFSPGLQE GSLDQTLLAVIGILDELRYVNNVPFWIKSGGLLDPNGLPLSVSVDSKEDKKIQLEHLP THDSFTHWFSNKEIFDGWVEQGKIALKEKGIDIKHGISPDHNFISQSQKTKETRTHPP VKLRNKHNRGYHTNNGIDDDEFSPPPPSPSQMNPLDVARYIDSLICSTSNLTVEQKDS LVVAREIISELHSQHPQAHAAGQDTSNGNNIVNREGISGNEKSPYRSSAPVLSPPLNP GTQNIARDFVDGANLLSEGFRTSKGSESRRQRQNEYRSEFSKAKILNPKLTNKEFRKK FKAIKKDSSALQGDLAEVGESGSENDKEEIEADQARNEDEDEDVRVISKMEFINNYQK NLSSSSQHQNPDQIEINDALSELSYGAGSRSRDGSKKKLRSDSWSGE I203_02574 MNSESRSVPTTQLKAESCPRLFGPLEQLVAAVVILGLAMQAPPP FWTPPVLPPIIMNNTDLPPLALSPGPIPDRNLSTISTGNILLDRIYDHLPGWLQISPV NIALVLLVFNLGTMMMGDDLRKRLKESWGLFWPPWQDRRKVNSTSNSQVRFDNPESSS SNTSRKAELEKAREAKAPSADPSRSASADKTKGSEGATPDSKMVKNDDERPKEGSGLT ESEKARKAKDLQSDKDRTIIEPVAKDKGKSRMEGERPGESNPASGSTPASSDKTDKTL KEPADSTSPRTLGDHESKDKAKDSSQSDTDNVTRNTSSSSRSTSGSGIDTEDDPLMSG DYRPLKSVLKKSKKKPKQSKNIHHNFFMTMRGYRPSLIPFPHGFHPKPQDPRNTLWWD NIQRSADHIMALPRVPKEPENKNEDEDKKEKKVGQEKVKGEDENKDTDKENKSKSTKS TSDEKNQGNASTNEKDKEKERAKSKAEAEAKAKAAAQKEAELKARAKAASGSSSQPDA VVRVPVDPKM I203_02575 MDYNTVNPQLGFLLLTFFAWQYINSHNDLILSLRGSDLTTSSSS SPSSSRTTNSNSATSSAPLPDQGRVSPEKPEKPSNNAAKIAELEKLIKKLKSLPEISP EHKEKLQRAMIKRKQLVDEDAAATREPHSEDESNTSPKKKDGEEVDHGKGEKREKVIF VIENLEEKAKEMDDYVIKFRSIENPSEEQKSKLIKAEERRKALWKQVRTLKGNEPASM MPSTPRSEQEHANAKPQSKESREVDNLEKKARQLDEYVMKYRNIENPTYEQTAKLAIV DDRRKELWSQVRKLKGNEPTSFMPKSTDDKQQELNGKIKEIEMCIVKYKKLEDPSNDV KDKVIRAEAKRKALKKELLDLVEKTGGGSSGLTIDEKESLARPES I203_02576 MKFTLGLLSFLAYIGHTSAAPDPGLAAWHLDNLYPLATERFDPV VSPNGVASHLHRIVGGSAFGANYNYNDYNGASCSTAAVQADKSNYWMPQLFWRENSTY VPLKAGTRFYYFLHRNKPDEPVRAFPPGLRMLTGNLNAKSYAETGLPTGAINFICLKP TFQLLMGILFPTCWDGVNLYKADGSHMKYTDNLQFGVCPVSHPARIPGIMLEYTWQTY AYRPGVPLRNKLIWANGDTTGFGLHADFVNGWDTDVLEKALNDPDCLSGDMTMTACPT LAMYMNLGTAQSCQPSRGVLESYEDFKPIGALPGCNLPWSSGSKPACNPSVPNPSIPN GLKGTDGFLTYSGPSYLTNTSSPPNTWTRQGCIGGSTSLVNSFQYSDAAITQAKCQST CAEWGSQYAGLLSGQYCVCGTDLDPAAYHYADSNCNSPCGGDSTKTCGGNGKLELFRN PSATTVNHPGVSDPQYIGCRREGGTGHALTAAYINYDSMTVEWCKNYCIAQKQTLAAI EFGRTCMCGNSWQNGGGVPYPQNQCNTPCKGNTQQFCGGPQITISTFNLTLPGGSSPP VSSSAAASSASTKITSSSASSGPSGAVTVTKTVTVTGTCSAPAGRRRNHRDLKIFGDA I203_02577 MSDDPILAKEVGAVLKSRAASQEKPSDSDLLPVYRYLVPTSTST SSEQKEKHWYCDKAKSALHRESATYLIFLFAFQRQGTSKAWVDRLEDILLSCEGCARA FGGARRTLGSKYLSKWPPHVRINFFAAVDRWQSAVILNHVGDATKTAYGSSSSSIPTL YTLDRPIIQLLFGEPSLLNDHNDTGISSLINEAVYSTSTSSSITSMGLSPLLVKLLSS PNEGRKKWALTQLPPASRRPVSFSDWYSLGIGYEIQELYNGKVEIDEGDRWKMVEALL RDRVLDGQTIEKGLLGGQLEEDPKGRKGRGLMSALSTLLGSDVPYLPELLSCFSTLLE ISPNRHIWSFDASPEIPHTLFSEIRSNSAFQALLERHYPDVPDLVDLTVTDPIARLDK GKGKRKESNSPMDWTSDFLVSLVDAEKTSATTKDEKRSGGFSEALAITMNFAFQEMQH TRLSGGLRAAAASAGCEALTKVHQALSTDDVALAVVLNSTLELHSTFITTVALRHKNH PLPVWSHAHSSACDLIAACFMSDGESAIQSVLAMATASHAEKKRLQKKKRSKGDVPPA TRIERLPHASIKKELWSMAYEALSPTDAFGAAIILKSIAPFAHLEVIDRQNSWKYEGL EEVLKKEEWVDTIRSVNSTIGGSRESFPRAIESLAMQANPDIVKSLWTQEGVPKAVTI LLLSPDDEVHTPIITLIQQSFEDVDDRADCFRALLQQYPDMAMDGLTDFLRTFIQTAS ITPESCSLAKWLARCFHDVLEALCTGSGSSEALLQTTDFLSSFAEGKSMAKRIEELWH LMTTSLALIFKRTLDWAPLFENEVMIDWMRDALIFGRQITDHIRAFEGAVLGQSGSWK LEEGTSSPVKVTSVGKKMTRQLEMILTDLILWLRLTDVETLFQTHQLVKTILGRIARS STDLSRNPSLEKTLQEIDKFCRKASRSYTSRLTDDRLSELSELLAPFNLADTDEIQFV KQVSVGTATPEPEASKPKAKPVMRNAFEEMMKASGKTPPANEKPKSVAPAPKSSDVDD FDDDFLSNLSATDLDIIEKRAKISAKDGKLTISRGSAPPKLSTSARPQIPSNKLHVNL THKPVPVPKTATSFTSKLMREMKMQHNQSISERKRTEIGGVVPRLPAASALGTGLGAY TGSRPKVVEPVDSGSSASESSEDEKGAMTNLVAKQKPLPQIKTIEKRSIKIIGSDTNE ILRRNEERRARAHATKMRLKPDLNPLYRYVLSWNPDHSGSIAPHGPKYANELSNMRNV PTTFGSAKQYEQVMLPLYLQELWSQCNKEGSNTGLPVPVEVSSRQYEDDFIEIDLTVV GPGGDFYVNETDVVTLRRPGNPNAIFAKVQAYKRKPKEIAIKIKILASMDQKELSGRS KWQMRKHLSLSTAVREFAALKGLPFYDSSLLQDALAGRTAPMPKLALDDIEDAMKFYD VNEPQARAILGASHVKGFALIQGPPGTGKTKTISGLVGKWMSERRTPMSIDGRPPPKP KLLVCAPSNAAIDEVCKRLILGVPGSEGIRLNPTIVRIGIDSSVNIAVKDVSLDSLVE ARVNAETVGKDGGSEYSRIQGELDSVKQQIKDKQEQLRLVQNHDEKRKIVETEYQALV TRRTQLGQASSRAKDAARDATRHLDGARRAAKEQILNEADIICATLSGAGQDTLSAHT FETVIIDEAAQAIEMSCLIPLKYGCKRCIMVGDPNQLPPTTFSVEADRYHYNESLFVR MTKHNTSQVSLLSIQYRMHPYISELPSKVFYNGHLKDGPDMAKKTAAIWHQRNVFGPY RFFNVNGHEVKAGTSTKNTEEALAAVDLYRRLNSDFGMKIDLTMRIGVISMYKEQLWE LKRKFTEAFGSKILETIDFNTVDGFQGQEKDIIILSCVRSGPNIRTIGFLKDARRMNV ALTRAKSSLFVFGNGPTLERSDERWKTIVEDARERGFYINYTSSTFGPEALEPPPAKK KKKDRLSDGKSRSASTVPPSPDSLLPPKALTVEMTSIKREASKDGIKEEKKRKVPDSS EFESGNVPSSKQPIPIAPRSVPVPVPVAKPGIINGHAASSDSKAIPTGPRPRHNVTNG MPTPPSRPPQPTGPPPLPPPQRPPEDVLFIKKKKKPNRPGNTGPSTGTNVPAAMNERY GGRP I203_02578 MFTLTSTSILFPILCTLVQAAPLPMPAVKQSEQLASRFYNHLET DTIFSHRTPCWQDGLQGILSDNNICVLAALDINQSQNGRYVPTTPYRTGYVQQGQPCT LNGQPGFWQDAICVLANLDIDLQKKDFTPFGYQRGQECWLEARRGYFTQNGLCDLIDL NVIADVTDGSARLPTAVPFANANDPCWVNGKQGFWQNDLCVLADLNIAKRYATSNGLV SDVLETLTGYEDCYDCAPGLPTRHAVLPTNDIVDADAIIDVDHLNRRNGLLHNAPDGN ELDGATRTITQLLDHSITKKRGLDLLGAGADDRTRYINTYYPNGYVQPEHVPVIDNTL AEIDAQVNIDDEPYYGTNYPHYLNGNQKGPLGLGLKRDILGNGSNNLNVLSSLGSVLD EPHHQPTVGPGFYRTTNGQVIPFGETSDILAEVDAAVNIDGLESDGYAPYTYTQDGRR IYGEFIPSYAGHHGLLNKKNLGGSSGVDGLGLNKDGSFPEGLDIVPQVGDLLSAGKGD NNILKGTNGLNHIL I203_02579 MSTSTIPRLAQQLVSALVPELGDDRASTSSLTNRVVRDIRADVQ GGARKEWEDIKHAIQGLSRTAKVRIQEDLAEALKENLKALERCREKGKGSWEGDEQMK MSNLPQYVHLLLNLSDKPTLPTHEFAYSYLHRSTPSGPTADQILYEEIMDSEPFDPGE IWDEEVLSGWTDSEDEYDRDFLSEGSNSEGSPEEDYVKTPSSATIRAQRKRDDENRRK RLEEGRREEALEVVRGLKDGYWNRPGMGHVIKEGSYGWRDLVTQSSTASLAAKAVQNR PVISSTQLQREILFALSGRSGVVFHFSDKGVCYVIPNHPQVNHLSSGSMGDILITFQK YANQAASIRRFILETLQPNQIASTNRSSQAINKPKGPNKTQQAFAGVCQIILSDFDLW LSELECSFIQGIHKASTSSQGDRSSSASTPSSLLLALDRRYSVVLDLLASFIPHSNNS TILLNLILTTINTFDHSAFNEQFDILYDIFIETANPVWEMLRIWIQHGMPIPSSFTDT EEAYTTSIDEGTERALEDEFFIKRDRDVSWADEDFYECGYVVDEYGWPEWLGEELGEI ILEAGKARGLLKSLLGGMGMVEDWQDLRELLRLDFPSPNEKRSKRPEGVNVVEKISGY LMPMCQLIQFHLRRVLDEECGVDDHLDAIEGVMYHRGYDVLDGWSKVLFDKVSSNAKW TDFQTLTSTFRDVVEEKQAGWMNPAAIRIRTIRSSGALVGPRALEILRVNYEVPFPLS QLFSSTSIELRAEVFTFLLQLRMARYLLIQTKEHDRELVAKRSYDGEREVRAMWMMRQ KLLCTIYIWLTDRIIEVQNIDFRRKLSEMTSLKSMISVELQHTRKMRNHAFLHPSTSE IYEDIQDIFDLTHLLWECYTSYMVQTPSKPDPLEEFVTRRKPRNRRKKKIHAISSDED DEGEVAREASISFVELSLGDRMNKMDQDLDGLVSQVRDGIDMLAMGSEGEEDGWSMLA FALEEWK I203_02580 MKKRRRRDASRRTPDTSSMPQYLYQRIPSLSLTFLFISCLLTIL LPVVSAAPPCVRFADYDSINQMFIDGGPGTKVFLCPSKLYRLSGTIVFTAADQELATY GYPTGSERAILRVEGKQTSTVIQGDCRRCARVGVRSLIIDGNRKKLGRIKNMDDAAGL VILGGNEGQSVKNCWIKNPRGFTALHIREGDKLQCTGAMIDKNEIGPVGEEYDPDLDG EDPESSPLGRPLADGLSIACRDSFVRDNTFYDNTDASIVIYCSPGTLVLANHITARSL SSMAGILLVDQTPFDGDYSGVVVKQNIIDAASRSIRVGIGIGSTVWSDDTETILKGGT ILNNGLKGRYMGYGIAAAGLEGFKVKGNWDEAQHQGQKSARCFDEPVNPDPMPFLYNL ETIKESEFQDGFKDHDFQYVVCIDGLYDKSNPPKHDLPPLPHDLDNEESKKEIIPPPK IDKANTEKKDTATSNDVANEEEEEDRSPDIAEPSKDGFSTGSEVMDDILTHSQQRMLE AIDHLHRRVDILASNVDKSDKDKKKKSGVVGESLDPAISTHLEKLQRRVEHLETSQKN LLESAIAMRSSIQSWDQEMATIGEWQYDILLDVRHKLDLHSSHFDAESGYVPPPGDEA EEAGMINEINSPRVDHTHPSIDRDNIRDLSNKQRLSQTDRKNGWIGNLGWWLKVILLQ GIIGIGLWLLRGWWKGRRVHGKIL I203_02581 MTSSSTKEAQNPKESGDNDDVSSGPLDSREPHLVFDNFDIDIVI KVLDATIFSPFFVIFLPITLLSQTHSGHPAFILSCIWTGIICFIGTLNHIDRKYRSGG TWLFAPEKLKWDEQIVLITGGGSGIGALLAETLAMRNVSVVVLTKDPPKFENDNENIY TYICDVSDYKSVEAVAEKVREEVGDPTIIVNNAGVVKGKLLLDLTEDDVKDTFGSNTL AHFWILKAFLPSLLRQNHGHIITVSSVMGVVGAAQMTDYCASKAALISLNQSLRFELD NRYKTPNIRTTLLLPSFISTTSLFSKTVLPSSRLFNFFCPPLQSHQIVKVIIDNLDSR ESKIIRLPFYTNLARVINDSVGVVPSWMRDLIQRIAGADYAMKEYGSKPDAAERLAVD RQSKSKQE I203_02582 MATEEEDLPPTTSTDLLDPLPNSIPLTPSELLALPSSELTPSII ESTFKSLSDHEALTLASQLISSGKNDDSSLLRFMVQLGQERGSSEYTRLQQELGEDIV NEDNIRKSFEQENGRREIVEGWTSLEEINTRLDTWDIIAPKPQIQEKQDQNVENNDDE KDEMELDDPWGETDEKEAIPPQTPSALLDDPWEIEQTSNAPEMPKTSKLIVVESFNSH ESTLEPTSITLPSFLTQPIPLSALEIASTASLYALKTVCQRHYDQVYPFRFAIIEAIP GWVSPTELETQGFLPSLGEDEQEKWLSSSSTTNPTLFSTLSKLYLPISLTLVSPSIPS RLKPLSSSELTQWYINRIISLDSLGILDNQLAYVQHGASLGVNGLDEIGEDLSLLSRL AYDSNLSASQHSQWTLTNWRKSMPNQIIQGYLSNSSPESIVGDIRKLILPYLYVLESR LERTGKPDSGLVETLLYDCILDLPSLELALPIFEYSKATLPSPERIIKNDLDTARIAL SLLYSTEEKSRGVWEVMSAIFECLPVWELEGTDPLEDQELTITTLESIAMFLTPTTVT QSSGELPSSKDLYLFFHPLPFSSLSRTLDILDVHLESGEILSKWGVYTGLKFLLQSSK NHKDQLELAEKLVRKQQYGNLNEDSWRKLWDDMTRLAGGDDDEGSPGGSLKGALGMLK RRERARVYLGGVLSSGNFDVARKVIKRLQQSSSIDDQMIEQVVLETSKEFYLKAENGN LHTGEMKLAYDCLSVSPQTNKILSEKSFIEATSRLSTFPSLTLTPLEIRHTTDPLTLI QQVLDSSNDSYKYPELIIDLSTKLGSTDEVDQGLIRVMIGKAAYGNDDYSKTKECIED AMAAFRIMNKNKKGYERNKSSISSTDPTTRLGSNDLSTDPTTRLVPRSGETIETPRDN RLKLKDHLWKLSYLLSTTTDYSDTPSKIQLISYALELCPSSSIPEILGSYRVLEDGRI KFDKAMKRWRQTGISTENTHHRHAKGHDDSGINVRGEGVEERVLGSRTAAKAAKLALD IGGKFRNYSPNLGNQSPVLGQLPFHLSRSTSRSRSPVPPQGRISTPRMVSGPGIEDEV RSETGSDTASVRSHGTGHGPRELFENLGGGIDEAERVRQGARRVLVRGVGWLLGAEEG EITG I203_02583 MADPFAANPQYASPSGYQHPLPHQQARPQQPYRASTGPGQIMAS TDEFGSYSPGHAPLPGPSQSTSAAAAARNRQSMPMPLPVPEPIASTSHAYASSHSHTA QSQGQQQSRAANRRSHNPTTTLGNASPPKAEYLTDEYVLHPSVYAYKQAHPRRPMIGF GPYVLLQTLGEGEFGKVKLGVHTDYGVEVAIKLIRRGSLDDEVRASKVEREIDVLKTL KHPNIVRMFDVIDTEKYIGIVLEYAGGGELFEHILANRYLKEKDAQKLFAQLISGVDY LHRKHIVHRDLKLENLLLDKHRNIIITDFGFANRFDHAQDDLMATSCGSPCYAAPELV VSEGLYVGSAVDIWSCGVILYAMLSGYLPYDDDPQNPDGDNINLLYKYIMNTKLNFPD HMSPLAKSLLQIMLVPLPEHRCTISQIMEHPWLAAYRDMFVRSVEEHEYVFQEAMYRK SQQAKRELSERKRIQAEAKEAKAMMQRSQSSVPGSSVTASMLDYQRRREQRHHSALPT TSTMPEYLSNAGHRTPLEPRHVSPAPLPAPTQTQLLPEASMVVSPASMPTPTIPSLPT PSPSGSPPRAVETNPAVHTPTESVAVESVMAVENESLATPLVTPPIETDTKSRPPMSS NKNRHTIQVEYDGEASYERMQEAMQAKQKGKEVEEAEIKSEHLAPVMVNVRHGGTSDV EMESGSSDNEHARPETSESVQEETPEVTPVITPSVTASPIPEVKEEVAQVPTVPSTPS RKTTTAADPSSPSTPRASTAAKPETIIATPRAERAAPPTPKASLVAERKRHDSMPPAL STSSVPPPRNPNLKPSGLPKPPKRERYRKGMSLDKFGLAKLLGQASHTNDENRNAPPS AGASAVALQSGHSKRASISLSRPGTADPEKKSRRKTLQLMVNRSNSRDDRASQTPITP ATPLTARDMNPQALVPEPTSPTVVIERDGIQPSTSPRPDQSSPSIVTVDAFAAQQASS PPHKTASSKAAKKVMDWFRRKSLAKDTLVQLKSAGVKSDSQSSFVRVSPARPRVAERL NGSTANLAMSSVSSIGHTQEGPVVTVSEEPNEDEAQPESATLPKSVTATEPARIPLGE AVNKTNVQSTSDLLSPTRARVPTPERSKSHRVSPSQSQSGHGTSSLSKPVLTTRPRAG SEDIKMRVHTGLVDQSALSSKPPKEVMAEVLKVLQEMGMDIKRENEFRLRCTRVRRRK AGATTALGSVMSVGSGMSPFTLMGTASTSKTDSRGLPLPMSPSSGGLSSGLKGMLLRR GSSYSSQPHLPRSDSEIFNSPSIGNTPVLPSSDKLPSHEPLYGEHSVDSGDEVKFVIE LCRIKNLPGLYLLNIKRLRGSVWSFKFIYQTVLE I203_02584 MLFKSLISLSLLLFTSATPTPLFGKRDESIVKVMTTSYTRISHV NDLKNVALNGLESCYVEVNKNFRGTAKYNLNLGPPHITLISGTLDAQKDIGFICPKAD AQCSLPEGDKGCDDLPGWDGKGASSRLPITSHMVYD I203_02585 MPGETKVILGKKYTVTTEDQNLSGVASGRKRSGPASTVLEVTVD DPDYNSRMRTPLKIPWYMNVGDSESHGNAIFTAKEGHLEVLYPRKTQGILRPATTQIY PSKTWSNSSYTVSAKEIDESEVAQKRVRGDDEDSPGEESECSVASFLINPFFGQIPWD MVKFVSRAISELS I203_02586 MSLSSLYKIKYIKGDNDERSFNLTEGSALNLCGDRWTISDEGEG TRLHFLTENSTSSDSKVIKPDQILVAPRYKASVIVARSEGSEGTSTSSPSLDSPYQDS DTISTMTIDPQDGSALDPVESAEADKASSATYRS I203_02587 MSLPSDSSTADPATDPTPSSGDAPGSNSNNYLIASSLKKNSSSL GSDTVPIRFLLTRYLTEPYRGPPDMSYTKAHHWRLVAGSPSKESLKDWDTFSRNLNGN MKRHLKSTICINGEVRPEQYPDVTDQIEITEREKLFREARAIFSDFFPAEGSGLSGSA ATFELECVGIEQYLRRSPKISVDSLNPPPYDKVKYKQEMTARKGRSWFLL I203_02588 MTFIPSNDCFQAHPLVSTATPGCHESSSQNEHDGKNASIADTTL TKSEEDALDQAGTSQLTQHSASLHSTDRLGISGHGETANKTKMTLLVTSYMLRPWTGK PMHGEDLAWAMRDVWTFNDSPPPYKKMMNRSRRYTEGLSYEIERILAGQWSVNQGNDP VIYKGITDTIESICISSPDKITQESKSTHLPPEVAPTLASEIDVKVLNPESFMPFDPP VPKDWLRQQPYDKDEYKRFLANMEKPSSQTNQEDTSACVQREPDLL I203_02589 MPRPGQAQARRKRALEEMESGGTTSYTQAQYDYPDGPSKVNFDN DELDQEQVEIEDIEDEGLIDNPRSQCLPVGVLPEDFSGEPIDGSQYLAMANRDNQNLP FVKTVINPYRSDLIGPTLPSSLSASNKTGSSSRHPALPKESWQELFPIHYQGYRKHIQ SQLSSSSSSTSSYPSDYPRIPPASRRSDWYAYINGYQSNPKKGKPKGKQKAKPALTEE EMMNAAMGEGMDVDEEAAVEAEVVEDVVIGKTSRGNEKVVGAPREPLLGVLRKLNSSQ ALLILSHFAHWLSESIEQSPPPLPGGPDLPPDQPGISTPSPSSRHPQNTNHLSSNYFN WIFSLLLITDTQLSSEEISILRDLARASMKVAGHRYIIGVVGKHINEGWVLGEGLNNQ QRTVEANGQDRTEVPGEKTEIGDSVDQILARCWLIIHAVAIGWGQKDLLFELDNLFT I203_02590 MSSFGNNYRVHTYGESHCKSVGCIVDGVPPGLRLTEEDIQVQLS RRRPGQSDITTARSEFDTVHLQSGTEHGVTLGTPIGLLVQNKDQRPHDYAETDLYPRP SHADYTYLAKYGLKASSGGGRASARETIGRVAAGAIAEKYLKEAFGVEIVAFVASVGK VALPFAEEEDEVLGKEYMDLVKSVKREEVDKEITRCPHKATSQKMEETIRAAKAKDDS LGGSVTCVIRNTPAGLGEPAFDKLEAVLAHAMLSIPSTKSFEIGSGLRGTTFPGSIHN DPFIEGVDERTGEKTLRTSTNWSGGIQGGISNGEDIYFRVGFKPPATIAQEQPTARYD GSAGVLAAKGRHDPCVVPRAVPIVETMAAIVIMDMVLQQSARKSAASLLPPLTHLPPT MVLPGKSTVQAVVNGKDVGEVQNQKVGEE I203_02591 MLRAFLVQRMFARSPVLMNLDALVRTNTYRPLNRQSFLHLRAVL TRTRFLSAQVASEETSSSQPVCTDFEEREDPAKRTGIERYGPPRNLYKHQEETIQACL GAFRDGLTRVAVQLPTGSGKTFIFANLIPLVYQQQDRIDGWGRHRTLILVDGIELLDQ AEKEIKQVLGKDWSVDVEQGFRMSPGTADITIATIQTLSKSNSLSKYDPSEFGLIVVD ESHHSASMSWLKLLYHFNREIDLPAHIEPFTLEHPFAKVPIVGFSATLARHDGLSLLP VYQKLVYHQDISYMLENGILSPYIETTVKATLNLDSSIHDNDQHYDFSPTPLARKVNT HEVNQLVVRTWLEKCTMRRSTLTFCVNRQHIEDLVQAFKDAGIDARGISGYTKLEERQ KLVEDFRKGVFPVLINCRLMTEGTNIPEIDCILDVCPTQSRPLLVQMVGRGLRLSPHT NKVNCHILYLADVNRGLGSDSVDVLPTLGGIILQDKPTKIPSHGLSLASPEAPYKENT DHDADSFDVSYMTEDVNKRGLVISERSPAKVPYMTENAWVECADREYVLSVFEHGKIV INRLIPAQVQYRVYCATASASRGLDWDWDVEGHVETLEDAFKLGDKIALDVFGEEIIL SKFICQAKPKCTISLLLYDSGA I203_02592 MSEEIGEQIIVDFPPRSAHPQRSTPTKQPQQPPPTSQAEAGPST RRRTRTSDVSMNGGTVPKVEVQSGVKEPIRYIQPDHFYPTTNNPNQAAIARNLPNIGD GLLSPEDDPQALRGIPVFKPTMEEFQDFEAYATATTAWGQYSGIVKIIPPEEWTESLP PIPKNALADQKIRTPIQQNFLGSSGLFRIANVPKNKNRPLSIKEWFNKSNEKKHTGIG PRDIGKTLNRDSKEAIEWRARRNAELKKEKEEKRLKLAQKKARKAEAAAAAEAHAQEE DKAEAHKEDEDVEINGKDIDHDPSTTVPPLDPSSSNSAHSSPEPTNPTTPKAESSDLE DQVEPWYKSFSPFEDWLPKDTKPEDYTSEACDALERHLWKNLSLGEPSWYGADMEGSL FVDDKTPWNVAHLPNLLNRWDLRHLPGVNSPYLYFGMWGASFAWHVEDMDLFSINYIH FGAPKYWYAVPQLQAEKFERVLQGYFPEESRHCDQYLRHKAFAVSPHRLANDGVRVNM LAHHQGEFIITYPRGYHAGFNLGFNCAESVNFALDSWVELGRRAKACQCVSHSVHIDV DEMIAKEEKRLNGEQELLDAIAEERQNKKPRKRAATEQSGNTPRKRVKRGPKIEIADG EGDKALEVDVQDEEEANHETEIPVMRKKRPKSVIDFSPNRPKLKPAIVQETPIYPCLF CPSLDKTGLVLVLDPTAHIKNIWKPRTEKIKVHHTCALAMPGVGIEDREVDGKLVTCV VGLENIESARWSLKCAACEDKRLAKSGAKIQCTKGKCPRAYHVSCAKSHEDIAFNIWE VETPILLAEREEPLPPGQPVPTETDIKVDLLCPQHNPDMKAQLEARKAEHFMMKVMAL PTGSKIKIKLRGGASLELELVEIREQTQEILVKDDAGQTGLYPWTSIDFRPAQVKTEN EYARVHTHTRKTSEHSATTNTPLTATVLPPPPTAEPKQTPSQTANTVSTPSQARRANR HHESYHPNPVIHSAPLRVEQMLNPQSSTPSRVILCEAPQAAYHPSIQIHPPPASHSLH QVHPVHPSNPYMNDYYGSTSSSLHHPAPTMYHHQQPYPPIIPYNSRDPYNGQLLVYDR GYHVPNYPVLPDTRRSSLTDYPPPLPVANGYHMPSSREYAVPPLQPAHSRNHAATSQT AIGSYLPASSAGGPSFQPGSTQQGHQQSTNGSSSNGVGKIDLGLQRMQNLMSHLRPLG VPAIHLAGTNGKGSVSAILESCLMAAGMNVGRYNSPHLIEPRDAIRINGQPPSRQAYN DAIRTIESVNMQYNLQVTTFEIATAAAYHILNSMQPPLDVMIIECGMGGARDATNVIP AEVKLIAGLTSVGLDHTSFLGNTIHDIALEKSQIVPRGGILVVSPQSNLDAINAAQKG SASKRARVIQASRSIEIPRNVPPLSFRPFVRPSPRLIRTEYPFTDSRKRGIETELHLG GEHQLDNLSLAISILHTLRTDQRSLGIQPKLAGLSDQVLQFGVKRTEWEGRCSWMNYR GRIPLLVDGAHNEDSSTSLRRYIDSLVFEKYTKVKKRYIISLSASPGKLPDSVLKPLL EKEEDVEVILMEFSTPIEGMPWVKPVPLEQLYEVTKKMVGGKGQVKFGGKGLEGLRSV LDGLFSSGQGEGEDERLNVVCGSLYGVADVYRLMG I203_02593 MGSSNDKSLAFRRSLTISPDDLPKSKESLESKISSISLLSTPST LKPTSSTDEPHKIKASAETINSIERTLTPMPPPASFATVGPTHDVLGRLVFVPSLPPP ERVKWAKYFPGGYNSALQIPLKGKKMMYAIQAIGGLAILFYGYDQGVMSGVVINTQFK ELMGVNSSPQTQRDSAAIGGIVAIYYLGSMIGGLVGGYLGDKIGRVKTVIFGCLIGLI GATLQTFTMSSTWMCLARVISGFGTGHLNAIIPVWSSEVADHNARGSILAFEFFLNIG GLAFAYWLEFVLKYTSHRSQSFIWRFPLAFQLVFLLILIGLFSFFPESPRWLAKVGRE DEARQVLAVLRTENGDLDDDKVNQEMYSIKEVVDVERKMESSNNYTAMIFGSFKNNDK GNTLHLPRRTWLVIGLQIWQELTGIGVVTVYAPTVFQSASYTAYKADWLSGVNNIFYM FSVLVAVFTLDRVGRRVTLYWGAIIMAICLALCTVGARYAIRTEGKEQAAWGAVVAAF TFIYTSTFGASWLTVPWLYPTEIFPLFVRAKGGAVSVVGWSIGNGVVTEITPFLFNAI GEWTFLLFSLLNVLAIPWIYFLYPETAGRSLEQMDVLFAQESIFVHRQQKMPESLEKA NPEVYHSMETGKAQVTEHVEIKQEKVVVIDSVPTEERH I203_02594 MGIKFDAQGNFLIGWDQGQSKNDSNLWLASGRASPSNFVERSID LGPGEGFGDDVDHSTYWLSRGRGLTSSIIKVTARDQRHSAEVMEGTLSPFTCPTLTLG HYVFSVASKEPPSDAETPDEAALGSQLLTELDQATNNSACAS I203_02595 MSCDSNTIKISVKPSYLAKYEGTKFDENGSFLLNPDTQCDSSRG PYSLSRFVRKTVKLGPGQSYGSIYPHTYRLSRREDPSDQSVDVTVIVGPWAKGVASGT LLPSDISLTLEHYTFSVGSPEPTLRVIFDKSVVYDQHFVDENGCLRRASDVEGVSRFQ DIDIPLEQGDPHHIGGYTAMMVTSKDDPQICICPPGWTEEKPTVLTPAEPSVDKADLT STLLDD I203_02596 MSLVLPLPQHHPQPVPHYSMVRSRSSTPRPTTPLSAPPTPVTPT HLLPAAMERARSSEAVLGINPRRPPPLIDTNEREGRKREVKDTMLKGEWEISSSSSSP TTSDGGDGKMDIDIDSQDQDETESDPHTVMLGETIHFPEQEVAVHLPTPPEEGRPFVW GMPKWGYEEDRTPVRDGVRLISADELSQLVERHSMIDTPSSVMFPWLHGISDDGQKGR DMATFFGHSPPFEPPPYRGLSLLFCPPHPLDEPAHPHSQRPKPQRTDTDRTTQQPSYT VPAPRERSGTMSTSSESYHSTGTTEGTSPSIGELSPQFDKPIIEEEAIYHDHDDEEHG NEMDVDVDVAMHPCDSKRISPVAHAKGIDEPHHPLPCLGTDKIGNAHLEETTSEHDIS SSEEDGFTDEDEEDQGPTCILFNALHVTDCFDLPKHSQSHPSKPREQQKKAKFRNARL PNQINLRNLNIQQIKYSTVSDIVLYSKDGVGQGILEVAEQIAKAQQDLWEIRMQEFYQ HVKGRNEGEGSTEPVKYGVWVVVEPFSKIEKLHPHLVNIDSKGNACAEAFQTDLFERE AKESRAMTKGSEVVEGFWVGNDCDVPGGADDGAGASVPFDLCVRASECAEMPSTAHLA TAYQHLVDIDRRRLPQEVNHNPAPSWIASPATIALRNLLSPGPSMPSTPISENESTSS AADDGPQPPPQSTTMDHEYVSLECSGSCRTITGQTRNLNYMTDRVVELVYFLRKLIEG KTTPPDSSGKKRKVLVHCQDGYTESSILVLSYIMSSLSCSLPEAFLHLQTTAKRSFFL YPSDRPLLKKIDQRLTNDRRSKALKIVSNTTAQEGKTGSPIRSPPPSTGATGSPRWKS WSIGLPFGTGSSSTSSSAPPAPTSASSTSVKEKVKSSSIDPLTPIYSSTPTISTTVEV ARDLLDVSQIHNEAEKRERHKVWFEDRRFDGFPSRILPFLYLGNLEHAGNAAMLHALG VTHVVSVGESLINPNEMMDPHHGIGEGNTLAQAAREGKISVLDLTDVRDDGNDPLRPV IARACAWIEAARREGGVILVHCRVGVSRSASIVIAYMMQFERMGLMDAYMMCRARRLN VLIQPNLRFFHELFGWEVELARQEDELLQKKIQEVKSMGVRDEEAIKLIIENGDSFLY HPETDAEGERRRGRRRVMYSWPSFCRDLVSSHRYYPDHMIKANDSLVGNTVLPE I203_02597 MWGTRTRSRTRNDARSASAEHVKGGKVTWKRCRDLIWRHLHFVG PGLVSSVAYIDPGNWATDLEAGATYGYKLLFIVLLAGLAAVVLQLLSVRLGAITSTSL PQQTRLLFIRLQAKYPKYRIPLKVCLYTLYALAEIAIIGTDLAELLGSAIALHLLFPK LPLFAGVLITAVDVMIVLVFFRSNQGRQGMLFFEIVIVSLVLAVFVSFMILLKLTNPV WKDVFLGLVPSKTLVKPGALYIGVGIIGATVMPHALFLGSSLASVDRLNMLPIEPSPT KKPLSIRMPSLNPFRMRPNFKRRDSDLPTTQSQLEVTSSSPVGSSSRQLQETPTPSIV GGEGKDLPTPIEYDIKDQDDIDYLRRMKEYEVSVRKFDRIKWVDVHLLHSTIDTALSL LGFALTINSSILTLAGAAFYYGNNDASADDADLFGAFALIKSYIGHAAAIIFALALLC AGQSASITATLAGQVVSEGFINWKTSMALKSLLIDWFFALQQPLIRRLVTRLIGVIPA AIVASAVGPSGLNTMLVASQVLLSIVLPTVIFPLVYLCSREEIMTVQGPELDDAIDPN QGIRLNDLNHDQDTNTNHQEHGSNELSSTRTQTQIQIQIQIQDNIEEATSARRSKSYV SPKWVTTLGYGLFGVVVLANAYVIVQLCLGNG I203_02598 MSAPEPTQPVASSSSTSSAAPPPPPQQQQAHGPLPPPPSRSTNL TERSLPTTSEEIRQLILQTNSLQYVHEFLVPALQDVADGKAGPQYVKGVKLKDKVKME HGGSLLTCGESEEVYDLPDESIFRMTAGLSYIVSARLEVFNSSASLYNDQLLEFAIKL CSLGDAQQFNLIPKRVAQLSWGILRLSRHLKKVPLAVPAIAALVQKSFASGHFSPIYA AYLEACLIARQFQAGLLVLDQVFLHVRSAGATYLDVLTYYHHAGLISAALKDYNKAKQ YFVIAVSLPTTTTSAIQLASAKRAILCELLGTGKRISFPRYTASTVTRAIEKHAGAYN DLAREYEASRWDEVRGIAGKAEFANDCNKGLIDQVLKSITKRRILQLKEIYSRLTIND LVIKIGQSSKETVETITTILGEMITSGQINATVTPGSTPANSIVTFIDESNTTNNPTS SGTNINDSDSKLAKVNYLASQLEFELVEMSRNLGISKEYLKKQANLLETGSGKGKSGG LGGGGGRTDDFDTLMAAEELAGVGSGMGMGVRGVGGNYGDMGF I203_02599 MSVISTPITELFGVKHPILLAGMNVAAGPELAAAVSNAGGLGVI GGLGYTPNHLRGIIKELKSSLDKPDLPFGVDLLIPSTAPTARKTNYDYTKGKLDELID VIIEEKAKLFVCAVGVPPKDVVERLHKAGILVMNMVGAPKHVPKALDVGVDLICAQGG EGGGHTGTTATSILIPACVDLCKGRTSPLTGQPVHVIAAGGIYDGRGLAASLMLGAQG VWVGTRFVASTEAAAPKKHKDLILSADHGDAGTTLIYTGRPLRVRQTDYVKSWNERQD EIIALTKQGKIPHDLEMEKHPEKSIQARSWLMGDVSALIKDVKPAKEIIDDMVNEAKQ HIERGYGFVNGNGNGNAVRARL I203_02600 MTTHLTSHSNTNTNQNDLPHTPHQEPQTQLFDEIPSSPPKMMDN QPNGNGLSMGSPTQNKNKNDQTLNKAKRRYSISLFKWTQELWENTRKDIERRSSTSSS ESTDSISNNQNEKTDLSSHQVNVSAIH I203_02601 MDPSLLQSSSPQGSTSGSGPNQTSPQASNHSTSPTSAQTQQGDV KPSILSTSPSSTNQVSNANVQSTSSTSSSNPTLSTSKSVTLKSTDGPASTSVTTASQP YHPSQPSDIIDSEMTDGSESAKRPRLRLAHACDRCRRRKIRCDTQHPCTPCQQSNNQC TFETPSRRTVKTKSSSNNNNSKEPKDSSASSSIGGGIKRPHSPLQPTLASLTSGSGGP GGQTNLEARLAALESMLRDVPPNVHNAFLSTLDARLGSGTGVGLKEGGEGVGVSVAVE ALTGASNPHLNNFLGTNTNTDTNITTNNSSAIGGDLSWNFNSSTLSPDWNPPSSSTNN NNSNTGALSNWLAGIGAGPSLSKRREEVGMDELAKRMEGMSFFYEDEIGQAKWQGATS GFPLLHLLTAANAQQDNIEVNRQGSHPDVQVSPANSNLSTSPANAPPIPRRISSASTA LGSQVRSSSVPAKGRSSSMGLGGRGRTSSPGVGKKEKFFPDRTPRPHQTLNPEASWKV ITGVIPPDLMDTLVRCYLSTSHLLWPFLHVPSFLADYANPQQWGEPGFTCFIVAVCTL SSRHVDDPRVRANPNDPSTAGKQYFELFKRLRDLPSADRPTLYSIQAAFLAAIYAFGL GNLSKAFALQAESITLCLDGGLHRSVDGYDHFDAVEKETRKRTFWSIYSWDKQSAALF GRPPIIHLRDCDVTEPMIVDDENLTAEGVKDESSGNDPKSRMCAFVAAIRLHVILEGV IDSATRPSSFPTSPFLAKAAATIARRSPQNESLQDELGLLEEWTRILPKYWHYDSETA ASRDPIRITQAERLHCLEHLVKMIIYRHRFSGFVAMPASTQEERARHLDLCRKAMQCA LTIIADHVHISQRGMMTYYGVHVIHQLAQAGRTLVAVILNCRDADFRPIIAPSIEGLR SCVGLLRRFSGRYLCGLRSADIIDEFCRICNIPVNSPRVPDSTTRPSPAWLRPVPKRI SLSQNGFGDSPGAMMDFNASSTSLPGGLSSQPDNNTSNNFANDLDALFNTSAYFDMSA IEAGTTTTSSASTQNQTYNNNNNGSGNDFSSSHLNIGGLGEPSAPFDRFETLTPAPMN GNLMLSGDSSTGVNQNQNQSSAYDQSQNTGTATGSGSYDNSGNGNNNHNISFDYGLNG MGFGGEALAGEGMDSVSDGLKGSNGGGGLSAATILSLMEEGSFDYGSIFTDQAPLPMD VEHGM I203_02602 MPPRKTASAGTSSKSKKSAGLAQPTLTFQSARPSSNTKSKSTKL KRSEPSLSEIEVEVQKGSLSSRDVSPVLVVEDGLKEKEKVKRRLNVKAKEWKGIVGDA KVQMGGLEPIHAGPDTHNDIHHVLRVFDLTSSYGPCVGITRLQRWERAKKWGLNPPEE IRDILTTQEGEDDVRYRENVLYGWV I203_02603 MVDTHHNSDESSSTRIEGSTHTQPSSPPLAPDTLPASTTIPFPP LHPTATSASSKPYSAFSRSTKILIVIIGGIAGIFSPISSNIFVPAIPTLSVAFGKSES AISQAVTVYLIFQAVTPSFLGSLSDSYGRRPVYISTLVVYLASNIGLALCPTGAYWLL LVLRALQSTGGSAVISIGYGCVADVAEPRERGKFTAAFQVGGMLGPALGPFIGAVLTY GLGWRSIFWFLAIATAVVLVPLILFLPETLRSLVGDGSIPPPALNTSPFILLQKRRMK KELTEHQEEVERPPKKKYQPFSAFMILFTPEIVLVFLFVSLLYLEFYSILTVYSTILK DTYELTELQIGLCYLPSGLGAITSVQLNGRQIDYWFRKEEKRVGGDYRKKPQEFDLEY TRIRCLAPFATLFLCAVTSLGWCLQVKAPLAATLVVNFFMGLGTGTIGTATVYGQDLK PGRGGAVSASLNLVRCIFGAVGVAAIQSMYKSLGAGWTFVLLSGIVILGIPMPILVVK RGKIWRDKRNERKKMKEEEKKVRDRV I203_02604 MSGGSIVPSNFCVHERNVDCCKLPPVQAEYTPKGSYSTINGLKT YAVGPEDAKVTVLSVYDIFGYSPQILQGADLIASQGYRVLMPDFCDGKYATADMFAPG GEEERAQFFSGFPGAIASQLEPVSKYVQKLRSSSSSSKIAAIGYCWGYKVVVEAQSQA GVEAIIGAHPTFAAKEDGDKIDVPTLLLPSGGEDMEVMTQIQKIVESKLPGKISLKHY PDMPHGWMAARGDLSGGKATEEFADGYKLVVKFLKDTF I203_02605 MSSSTSSTPNKSTSSSPTITPLHDPKHQAKVIAPSKPLGTANAN IVPGQMTELSKAGAGLGQKALLAKRFAKANKPTVSPTDQLQSPCTAKLAGAKQRHFAK GKPASLAQSFTAIRDSAGAAPSTSASKNIKTDF I203_02606 MIGSFRDHLCSPRDQYGHVKKGKRCSWDCDERSAEVILHYIQSY PDQSDFPPLRIVERIKTDLVQIEAEGAVGKKEEDGDEDEKSPTGSEQSEDEYEKISTT DTDIWRTPRSKQTTLIASKLDKMIDREAAGWKYNPDQNEEVRLATIEKWQKRVVFVNE FDGRKLRGFGHDP I203_02607 MIATLASPACILLPPELYLNIVQLLLSQGLRKSVAALHRCSRSH YTFVAPYLYESFTLNAEAAGGIFTLLRDSTDSIQQRLSTINLVKPIKFDDTDAVRYIK LLRYVKRLFVPLSSVLLLHDEVFRSLCGPDGSSSADTTLLFQHIDYLSLYDEEPKDRN YVLRMRLRLNKDAIQKLIDFIVLHLPPLGKYQIKISLQSISAYEADEWIDSGMHTAEA RESDEGYQYDILYPLSNELKIVKLDQKDQAVTESIKPVKMIVARKEHLTEDNIQSLHR RLLEDALVERGQHRSGTSTDDVDPEQVALAHEEVEKRKKCVEWVVREDCQVGENCRVC SGGSTPHPIALYR I203_02608 MTDQPASSSSSSSSSSSSSSSSSSSSFASSSSSSTPTSSPEPEP HYPLLPKPPHVDPTIRVNPLEPVGLQQRLSLREPPGPDIIPPTRPALNEFRLSEGPQV RSAKLKALWRSLPHLPDIPSSHGDDSPTPTKRMKLPGQDTLTALSPERADRLRKLYQE ELVKACNDKRPEARLWGGADDLTEPEIKNLQGKGIAWQDFRRFLWDKEKELWDIFQDL DKNGDGRLDATEMRAALSRSGVDITPATTSDLVQFLASHPAENGVSTRETRNAWSPEP GDMYITFAEFRDFLIMLPRKATPFEIYKFYQVKKRTSDGRGAARVDKEGDINVSFPKA PNSSQQSTAAGFFHPPQSRHDEEYADTPGTEPEEEEIVEERSEAWRFLLAGGIAGAVS RTVTAPFDRLKIYLITTHDFSAFNKDAHLNHPFRAGIKAVTNLWGAVNRIYIDGKGLK AFWVGNGLNVTKIFPESAIKFVSYEQSKKFLAVYWDKVSDPADLSSSSRFIAGGFGGI TSQFAIYGLETLKTRIQSEVGPNEGWRQVRDTARSMWRSGGIRSYYRGLTLGLVGVFP YSAIDMGTYETLKTAYCRSTGKDEPEVFAVLSFGALSGSIGAASVYPINLLRTRLQAS GSSGHPQQYKGFLDVAQQTLRNEGWRGMYKGLLPSILKVGPAVGVSWIVYEDCKRRLG V I203_02609 MPRKQAVNQAIYLDEAEGFILEHALFSPPRTWPPLPPEPQEVRL ANSRKKMDWDEEVRAHPDKPIDIPFPTRKRSITHKERALDGDEDEDEDEDEAGDSNKE RCVICLMELKDRTIVGMCGHEFCFECIGVWANQSRRCPLCSADMAPFLLHDLNSSTPT KFYLPPLPSRRFPSASLPGPSRSRLPEIIRRERIDERDIEPDEPDELDIQVERRREIY RYGLYVKHIGSNPHTKYRPTPTPRQFSEDPSLIQRATAFLRRELRVWQDSIDVEFLTT YIISLLKTIDIRSDPAIRLLADYLDSPSTTNENRPPHTTVAEHFSHELYSFLRSPFKE LRKWDEVIQYDPIPTSSLRSHSSRSPSPVRSVSLSPRSLRSGSPSSSPDRCGRNWNRR DVFVPSVSPDAKRWDENDTWLDPEYAAWLEEEKRREEDRRERKRLKRSSRRESQLQLE SKPMRAWGEGEARKERVELLPEPPEPPLRMDVDGKEEEEVKPTVGLTIKGVARSTSSL ITTPAPSEPAARRRLIEKLNKEKANGPSQPVVEGGTTRKMTLEEMKERLLRLKSESAA RSSPSMSSTNDKTVRLKEKLARLKAEASISQPVSSVEEDQDRDQNTQTETEMDDNTLF PIDQNTYNHENNDDQYENTYDNAHVSHVGRNRMSESKRREIRIKTRLETERIIYVKNT NESKAQELRRRILQNKAKREKEQMESNFGGMKDDKILSSMDVEERKKEVRRRLMRLKM LGAETEQERRARVLKERLMEKKRKSSLPNGVVEVAA I203_02611 MDDTSPNAVASSSRHTLPSIPLADKSSPSTRPSSRSLRRRLANR TIYFLLTLLFPILSAANPLPRSKQRHRCTLPIPPRAELQLDQITSHFNQSLHLSSAGH WVLSHLSRRDDQPILAIANFDSISETWKLPEGYVELRQHFHAGFIILSYAIAFVGSLC TLELLIRRTTNSGWRNQLLLASAGFCFGAVSTFAMHFVFNNALSLHHPMVDEKSYPAL YLSYDPGFTILSLVVSCLAMTTAFFIMGTQLRDWLVWPGQRKDKLDGRRGSPSKSEAA DDYGKWKKSHKKVLRRGTLGVGALFAHASHAAKWSLMDLEGLESGGRRNSKGEGEKKH TNWKDEVQGMYTPVEGDTERMFGDDDALQELDFRLGKDAVRQELERRAGASTPTSTRA SQRGSIAVSHHSITPLPVIYAPSSRRSSVASSQPIPLPSPDVFTPGFNFPPKIEPDLT SSTNLISPPSGGIGRIPSPTFGETEPIHQPERRRASLPANVMVTHRDRPSWGGPSTTL ARIQSLPEGDIEPSSSGSVGSIISSNGEKKSHKSASPPTITARLSSYTGKSENTVGRN SRRKVRLVARSTRLTRLGMFLGFDVVTPTEIFKIVITGTIAGFGVVGMHYIGQASITG LPYIAYHPAYVVGSVIIACGAVIIALYIMFIMLRPKLKHTWFSKICVALILAIAVTCM HFCGMMGTTYAWPASRGISKHNKITGTNVVITGIVAALAFSACMACAVFFLLHSLNIR RERARRRRVVVAAVLLDDRDRVLVNSIDGMLPMCDIASLTGGDLPDTKKSYIQSVSSD STVLGMDLTTGHDAFVSALKLSWTWKNPSLASASATATPTTSITTDARTQANESILQA TFADIRRGSMLTTNTTTGTTGSRPPVSITKFLERFTISSCQLAVRLLGQTDGISRLGV LYDQILTTGWVKLNNSNDTVSKGQLIFLVRRVASAAERADLEARHFIFAERQAVATAL HKTLSVPFDHTMPLLDDMRTFCDSTLQSRLQPGKLYAGVAVVQATPFDGLRILLEKDM RSQLPMREVCALGIPNNDENELSGTVEEIGEALALLEGMTILSIMTRNMTSDSNGLLS RRVTALMVELERAIVPMLDEILTSEDMSHILPRLTLHPMLIPLTPGDSKRTISSYIPP YAIVFYANYDAAVNTFTDKWLPFSLFRAQNACVMATKIAAAAKMDQLYTADTSSNDTP LPGGRRPSKVQFDFPNKDKEKEKDQQPLNSLIQEPTEGMFNDFTFPPKSDEHSPSRPT HSILGHGAGHGHGHARKSSLARSSRYNSISGESTSPSTYGYGVNATHQDDFKTSSAGV AVWEVDWLLHLLKMKLRAEA I203_02612 MTFGSRSKLALRRGISLSSRPSTSPIVRSSRFIRYNSSSTVPPQ APLPRSTSLSTAWYALSLALFAGAGYLIGNVGVLPPSTSLVDSSFAIAHQLANQPRYG SHKDYQDAIKELTEYFKAKGKEDKVSTDDDDLRSHGISDWSYHPAKKPTVVVWVESTE EVQHVVKIAQRYKVPITPFSGGTSLEGHFSSPFGGISLDVSFMDKVLKVSESDGDVVV QPGVKWEDLNAYLAKENMPLFFPLDPGPGATIGGMVGTGCSGTNAVRYGTAKAEWFLN LTVVLPSGEVIKTRQRARKSAAGWDTTKLFVGAEGTLGIVTEATLRLAPLLPTKCAVV NFPGVEEAVQAATEVVNAGFPVQCVEYLDSRTIDAINKGGMVKKAYPTADTLFFKFQG SDSAMSEISKNVLSVVKKHGGKNFEFSKNDEEARSLWEGRKAALWSVLALKDGAKVWT TDVCVPISRLPRLVRETAEDFEKRGITACHFGHVGDGNVHSLALFTNDEELAVVEHAV HDMVERAIRLDGTCTGEHGVGIGKIGYLPLELGDGTVNLMETVKRTCEFGFC I203_02613 MGAYKYLAELYTKKQSDVLQFVSRVRCWEYRQLAVIHRASRPSR PDKARRLGYKAKQGYLIYRVRVRKGNRKKPVPKGATYGKPVRQGVNHLKYQRGLRSTA EERVGRRCGNLRVLNSYWVNQDGVYKYYEVILVDPSHKAIRRDARINWIVNPVHKHRE SRGLTAEGKKNRGLGKGSKHNHQPGRSTWKKHNT I203_02614 MPPKFDPSEVKIIYLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKATSDWKGLRVTCQLTIQNRQATVSVVPSASSLVIKALKEPPRDRKKEKNIKHSG NVPLDQIYDIARKMAHKSFAKSLTGGVLEILGTAQSVGCTVDGKPPHDIIDAIHDGEI VVPDE I203_02615 MSGLALPTASSSSSSALNKASEPLKDDERLDGEGEVLSRVPNKA ISSVPEITSVQGLVPTLQNIVATVNLECRLDLKTIALHARNAEYNPKRFAAVVMRIRD PKTTALIFASGKMVVTGAKSEDDSRLASRKYARIIQKLGFDAKFAEFKIQNIVGSCDV KFPIRLEGLAFSHGAFSSYEPELFPGLIYRMMKPKVVLLIFVSGKIVLTGAKVREEIY MAFNQIYSVLVGVAITYRYPEIQQKVLDSTNKDLDRYQVGRWVKAAYDDGHVLKAAGW TFLINLTIGSFGCITLPSMIVPTSGILMAGVRAMTWGLIFSPIAGKKDALTLPHFITM LIEAQPYILAALGDWFLTRRFISQFGWTRVEGEGQIRSIDNEENKDKKGKRTIWSWIP ISWSGYGQGLKDVLSLYGPITGILAIAAMWEGYEVIHFKKGFW I203_02616 MALSFILRGEDQQTPMIAPDSNAPSPAVLSLSGSPRIHNNNPNI EGQTFSSLDPPSPDSNDKSRRYSSGGPDPEKIDHALKKERFNMDHPLYHDLAPEDSYK DGVYWADLPGSERRSWVHTQNHTEVMREVRHVWSMFKKDPLSPLSVYTKKYVMGGFGL FTEGYTLFSIGNLGALYKAVWPLCWKTHEICDSNWVAAVDYLQIIGIILGQILVGIEG DWIGRKAGLVQDALVMTIGLVMLTASWGTSLEGWVICYGFSQFFYGIGVGGEYPMTST TAMESKSVAGSQKDDKLHRGRNVVLAFLMQGWGQLFNQGILIILLLIFHHSANPPYSA VSAQWTFRVSFGIMAVMTLWLAYFRFYKKKYSSAALKKSKKNSRVNQSGYDLHSLKLV STHFAGRLIGTTMGWLFNDFLFYGNKLFASTFINIISPSSAGNVVTTWNWNLVNIGVS LVGYYLAALFIDHKFYGRKRMQIIGFLGDGVLFLIAAIWYKELSTPQHIRGFQTIYYL SSFFQQFGPNCTTFLLAAEVFPVSVRATAHGLSAASGKVGALLPAVIYNYVDTRTRFW IVWPFGIAGVIVTLLFIPDTTGLDLREQDRYWAFVREGRANEYHGIAVHPRHLSVWER IVLKRHLQYDAEKDRHQRVKELKMLWEQKKKAAVEEQEHEHEHEEEGELSHRAFHHFN TIHEKQIIPSSSSTIAE I203_02617 MSRESVLLFGLGGIGGIYACILQLSGKCDVHVVARSNYAAVKEK GFKLISPKFGNHDGLKFAGVWKSTEEAAASGTKFSYVLCANKALLDAKPSLSDHLRPI ITPSLTSIVLLQNGVGAEEPLHQSFPDTTIISAVVWTGGKALSTSDGVEQFNREGLTI GVDYRENGAKKEEEDAKLEKLVGWLKAGEGDCTVTEDIQSERWVKVIWNCCWNSLTTA TRLKTGPFFDSSSQALPLCYTVMREVEAVAKAKGLKIEDGTVDKLIKQCTDVAYPGLP SSMMADNFAGRPMEVEVILGTPVREGQRLGVPVPTLTAIYTIVKALDYGNQNPEAAKA I203_02618 MLNAMRPALRLVTPRAIPRRAIQIPKRPFSSGSAIREARSRARF LQIGSAAAASLAIGYALSSPIRLEEAGDDPAPSKDTAVGRALIPFSEVNKHNTPEDCW VVIDGKAYDLTEFAQIHPGGAGHIHRVAGRDASAIFNPIHPPGTIENGLDEEAFMGLV DPMTLPQTVNKVASGEKEERKIELAEIIGLPDFDEAARRILTGKAWAYMSSGATDQYT LDLNRRAFNSILFRPRIMIDVDIADTRTKMLGQETSLPIFISPAGMAKLAHPEGECLL AKGAGQCDIIQMISTNASAPLPDIINSRTSPTQPFFMQLYVDRNRDKSEALIRKINDL GLKAIFVTVDAAAPGKREADERSRAEVEVASGISGGKISSDNKGGGIGRSVGGFIDPK LNWNDIAWLRKHTKLPIGLKGVQTVEDAMKAAELGVDAIYLSNHGGRALDGSPPAMYT LLEINKICPELLKKCEVYLDGGCRRGTDVVKALCLGAKGVGMGRPFLYSLTYGEEGVV HAIEIMRDEIQTTLRLLGVNRLDQLGPHLLNTRALDNLIFDRPVFGPGEKPL I203_02619 MEPVHLVILIHGLYGSSLNLAAVKEELQNLSSQQIPSSPASSRS TMESLETVVYLPEGIKGARTWDGIDVCAYRIVEEIDREIERLEDQGKDVVGFSVMGYS LGGLISRYIIGVLHSRHPSFFSRHKPISFSTAATPHLGVLKYGTLTNTVVHTVGRQLF SRSGRQIYCLDKGPEWEGRGLLELMADPVDHVFIQALKKFPKVMIIANGCEDQTVPYP TASISSSDPFSDLSILDIEVDDDHIVQSWKRIELPDPDDLPVDHSMDVDDDGEEVQVQ IKVMKSPINRIPTEWKGRRRQPLPPPFMVFPWPINYLLFLIFPLLLPFVLVYLAGAIT LHNFHSRKRIQSHRDSLDRQPLLVSSSSSLATAIENVTSELRDTLSDSVRPSTSIDGI STPPLSEPVEHSKTAPLLLTPAQKAMIRNLNEAIPHAERVIAWFPWAYNSHAMLICRD TKRFPWQEDGRGVVRRWTKFAYRAGIDQLGEDRNYNDTSSMTVHD I203_02620 MISIAFLLSLVYLPYLAWLHCKVDNISSLVLERVETPICAPQGA IPEPIQQMMPNHRDAIVQQEPQHQHFVEISYPELASNEPAEDTSPQSILCSIETKRFS TAVRRLYNVASQDHFMLPDDLDWDKFQQALNLSVMVNTPETGRRLLKNFRMISTIGKH KKAQAVAASSFWNTETYDKDTLMALPDRWAWAYMNALHTCEYAWPNVYERRFWRCVSA AFLEELAPVGS I203_02621 MTLPGSYTSQEGASVPYAAGFDGGQGDFVVISSDKKAFCAESSK LAEASKVFRYMLEVSDSNQQKELKLDHCSEVLHIFLSALMDRYVNLEYVQWSRIKEVG ALFLRFETCHHGPELLKSWIRAGPCGVNHFEMFVLASKFDDVATGSWVIRDIGCPGRI GHPGEFWTKDRWPQSELRQLSSAWIGAYAKAHAQCQEKYECLSSTYWRAIASGFLANV LSIATI I203_02622 MSKNSRSKSTTSTTTILGLTILFLILVSQLTWIGWKIDNSFEIS SSCLYNSSINDSRQSATPLSLDKRTGPSIATGLRSVFQHLRAVVNKVKDKIMKNDREQ SPSKSDFIVNLNHNENSFTTDQLYLINKYPKPVRNLYISTFHSIHRYPDPQAAPISTI SETIKWKTLHQTLNLSLTLQTPEVGRQLLSNLQPVDQYGVKHQFELFNLAAQFDDVYA ASRVIASIRGYDSDWANWND I203_02623 MSAPTRAAPPPPPVANGDDDQGASANERLLAAAKHDNEEMFESA LSEVTDVNYVDGLGNTALHYAIIHASTSILEPLLEHETCDVDIRNRLQGDTPLHIAVR NRWEDHEGLRLFLVGHLLEAGADTKIKNRYNQKPIDLLPPPSNDSEADDEKIRAAIRR TEAEEMIADKGDIVEDDDDIVDPNDIASDSD I203_02624 MSGLKAHFNENPVTPPVERVGDPIDHFGGTYEPPKEEHNEPAEP IPFSRPSTPTPAHDQLAFVGLGEMGKRMASNLAKHLASEGQPPLMVYNRKEDGIQKFL KYATEHEVPESSYKVVTDLEEIGRTADLVVTSLAGDEAVEAVYAQLFQGQETQKGTGD GIKPGGRGRTTIFVDTSTIYPTTAGKLEDLARSAPHRVFLSCPVFGVPRAAETADLIL AISGDYFAKKHAAHALVPAIGRKVMDLGSNVERAMSFKLVGNALELGFIELLAECFTL CDQSGVGSDKLVELIKDQHKSPALIRYADRITKNKFNSEGGFNLGGGITDARNIRQLA ESHNVPMPTMDVAQQHMLSARAHGGDTMDWTALVGGQRIAAGLKPFAGRVRLEKYEE I203_02625 MPPKLSDDIVLLIGQQLQSLNYHKTLSSLSYSCKTNHQLLTPLV YRHVILTDHSLPKLFTRIIDIPNGEKHAFIQPINEEDDEEDDIPLSSLTPTRRLRVQL GMVFKMTVDTNNEQFPYEILTTIAKCLLFYFDDLLFPRISKLVFTAKHGTMGRIGSLS SDKDPYHLLRNFLPIACRPKYICCSFNSTTPACLNDVLVPYFTVMDEDGEVVNIHEAR AFCIPPKLSKSRISYGKAE I203_02626 MRYRLTEILVGSAILTHTTSTIPLEDSSTGEDSRRSDQNVIIVE RTDQTGLTYHQMYEEMIEDVKKSTNTNSPFSPGIMQFLHSVAVNGGVMTGFGPNGPIV TPIGGFMNNGGNGNSNVDGSVYNNNGNNNNNGYTTASSTSNGNTPANTASHSSTPDAP ASIHQNPSFIPGGAGLSFGLPNFTSMLNGSNNNANNANTSNDNGTNSGVNTGGSHQNM ANGNSAIPVNMSSMFNAFTSLPLNSSGPANGTGTASNSAGGNTGHRSQPGIPNISFAP VPTEITPQDSAKTPSKANIRFVIREAAERQPVCEACGDFELRLVMSDVRVAMILRFEG RGEDA I203_02627 MADQLMSKEDLSAWRSKLIDKKTTLERQIVIQPTAEQFSSAGNI SEYLRKQKGTDPVPIAKWWRDNHEVEDERSRAVIQGSFDYLSKYAEYCRSNDQASKEP NSHMWHSETMRLLGSRENFLASFGEAGHRFMNQVESAIVLKPNHRSFKRLNHLIQDPK YSEIYESFRSKNPELASEGVRYLIDYADRERRSRDSAKLSAKLSKWKKPRMNDDSTVD SNSNSNELDLGITSIPENLGHAGEELFQRLTADASINPFSDQFRDQDTLRGFLSRPVP EEMSYVQDVDPNVTTQIQGYISDFARGQRDIQGQMIRGLSMLSEQESGQPPTDGLGLT SPQVAFGQGIAGLGSAGMSSKSRDTEHVPETISELEREPSVHDQEERVKSFEEEFGSN HTGMGRYGREYLERLQKYMGSDKFQANKFTDKDTVIGNFFSLAKWSNYYKVVNEKKPE FTEQLVDYTLELAQKRIQSDQARNDTLLADLQSSSKEQDEDNFSADLLKWSKFQADYR DTKTGLGDKGAEQLRVLRNYIIRSGSDRVKYTTEEGVRSILTQPRWHAYPKLEKTDPE RAKQLLEFGSWYSRQLISDREAKSLTLSVKDIEMMESRLANQPDSQGGTSSGRKRQRQ ATPSSESASSTGHQMTERRTPDRASQSNFSESQRVADGLHKFDEEQMRIHRLTLFGLY PSLETEDDPAGEGASAQEQKADSEEDLNLDDYPVDRQRERIARHLLFSDVPQTPFFDD I203_02628 MFCNPGNQAEALQDFQAAPMPIVGMPATNLSEKEKHNPDATALT TVGPADDGLFGADHPTEEELSTLRKVPATMPWVGIAMCLIEFAERASYYGSKGPFNNF INNPLPEGGNGAGAVAKGAAGLNQSAGALGLGSKTTSALTNLFTFLAYVIPIWGGIVA DTKWGRFKAICVGTAVGAIAHIILIVPAIPSVITHPNGALGSFIVSIIILAFAAGFIK PSLGPLLCDQIPNRNPVVKTLKSGERVIVDPGVTVERWLLIFYACINIGGFFAVATSY AERLVGFWLAYLLPGIVYMLMPIVLVLAGRRLYKAPPQGSVVVEAWRVVKLMMSSGGW KHMFSGKDEFWNRAKPSHIAQSEGTIGSKVTWDDRFVDEVRQSLNACAVFLIIPIYVL ADGGLGNQMNDMSVAMTLNGVPNDLISNWNPLAIIVFSPILTFLIYPWLARIGFPLKP MTRMFIGFMLGALTCVLSAIIQWRIYKTSPCGYYATTCDEVSPVSLWWQVPLYTFPAI GELFVFVTSYEIAYTRSPARMKGLVYSISLFNQAIASALSLALSDVIVDPNLIWPWVA LAVACFLSAFLFPTYFKHLDDPVDDFADADRQAGIVRQPVLLSRESAQQRTENEKDIM A I203_02629 MSYDDLGLTHQLMDRAKNHLSRVSPYGYTPEKSAGIAYIVVFAV LALVHIGLGIRYKYWLVFVTLIPGTFLEVIGWAGRLWSAYNVLRVTPFLMQIASLIIG PAFYSAWAYTILGYCITQLGHAYSLLRPRMYLAVFVTADVISLIVQAIGGGQAAVAAQ NGTDTDKATKIMLAGILFQLGTMAIFVALASDFIIRVVFRKPYSHLKRKYHNDPTPNG EPKSSEGNASPPPQALPDDMGGTVRTDEVKRGERLLAGVAFASAMIFVRGIYRSIELA QGWSGYLITHEPYFIYLDGLPMVLCLVAFAVAHPGWLLPRRRGWLRA I203_02630 MSLQRKLRYAVLGIGRMGSRHAQNIAYRTPRAELVSICDPRPTS LTWAEKHLPSDIRVYQDSKTLFNECGDEIDAVLIASETGSHASLAIEAMRAGKHVLLE KPISIDLETSRTVVEETKKFPDLKVMVGFSRRFDESYRSVKEMIDQGKLGEPHLIKSA TNDQHDPSGFFVSYASASGGIFIDCGIHDIDLARWYLSPQGIKQVKRVFALGHNIQHP ELAKYDDVDNGVGVVEFENGKILVVHVNRTMNHGHDCFTEVFGKEGKVVVNGNPQLNR VEIRDTHGVRTESTPTYYERFREAFVNEVNEFTDVVLDNKPLPVSCEDALEATKIATA LTHSFKSGQPVYFSSDGQPILV I203_02631 MAEQTMSKDELRRLRASVGSRKTSLGQELSRRIFRMEAKIRAEP NAGPFSSLENVRDYLSMQKGKDPVKIAQWWRDNQEDTDPDRARQIHDAYRYLYHFAKQ CRSTRDSNNEINRSNNEQEMHRMLSRWESFRSTFGTRGADTLLRAEKHILISPYSRAF DSVQTLIHDPNTFTKYRWYNRHNSEVALEGLEYLIDVAHNERRLRDKSKRLKAIFKWK KPKLSSSEMTVSEQQSQSEADLIQVLTAEASINPFQDQFHNSEHLRGYLAQPAPEAVS AVRNFDLDTTQQIVEVIAAFAEDQRNIQMQVQEALLLPVDHPTLTDTTEEDRAFFLKA FGRGGAQTLRWLHSEISKDPHNSMFSDIPNPQLTRRFQKYLTKNKEGAESGMRYVVKS ARAARNRLGPAAVEAGTTDPDGSDPVAMEESQMSQFAKLLGSDSVGMGHSGTDFIRKI KKHVSGDQFQERDFKDKDAVSRFLTSGKRTYLIRVREQKPELSEGVVDFVYRLGRKSI MAEAAQYTLPDQSEEEFQSERLKRSSQRHVTKDDIKAILTQPNWTGYTTLAEQNPTLA DELLQFGVWYTERMMRGRPSDELLLSPETLQDYEAMGDGASRLTVGMYQGKRKRRRSS ALTIPLDEDPDARNAARILQSLESLADWRATTEGSERGASVSGQAIDSEAESEIFGGE VDYFDENDMRQHRLMLFGLSLAGREDQEVEIQASSDPHMQETGTASEHPEEHDNSEEA ANSDDTFSINTNVNESVRLRAKRSLFPDLPDTPIFRD I203_02632 MALLHSSVIALLVVGLLCCQIGAFRVPISDTDSLREVCSGMYGG KEAYIQVTFDPTSSGQVALIVYEWKDVPYLGIETPESTGGGEERPKTYICTTSAIRSG LCLPSELGGFITSLPEGTSQDSTSIFTTPLKFSSSSFPSPTTDEDEDDISDEFPTTTS STHAIASSTAIVGADEKESEAEAEAEEEAEELAEAIGDGWRRQLGDEILDGVAGSVGA SSDDDLGAGDGTGFEETPTTGSSGSSGSASVPVYSAPITYSVPKTGYYCVGIVPVTLV NARSDIPIEFRQTPSHAEYSGLVLFKNTFEGELPAVEYPKIDFYMALSLVYFVLGCGW AYLCVQHLRELLPMQYYISGTIVFLIIEMLAQFAYYRYINKHGGGTTSIVFLFVISIF NAARNSLSFFLLLIVSMGLSVVTQSLGSVMTRVRILTALHFIFGVMYSVGTVEVELDS ASLITVMLLIFPLALTLTAFLMWIIVSLNGTIMHLQARKQRYKLQMFQRLWRILIISV IAVAAFFVVSSMSLSNRLDEDYAPNNWKYRWILLDGSLATIYLCAFAAIAWLWRPTRD NIQFSMSQELAQDEADADAEDYEIDSLEAGRGMGHRPLAHHDDDDDEHRGLVEGGDGN VVFAMGEDSDEETENHQNRKKDGYRDSDDENDQGEDEDRKGKHD I203_02633 MDIRNTLAFIAAAGAWKMSTSASASSPNSSLTTEQPTQCPVTGH KTANGSGCPFSNGLPLPDPGHRCDPSSREETTIPNVPPLTQKQREIIKSTAPILAEHG VTITTHFYKNMIRAHPELRDVFSESSQKLGHQPRALASAVYAYACNIDDLTPLLPVVD RIAYKHTSLHIVPEQYGIVGKHLIQAIVDILGDAVTPEIGDAWYNGYWNLAKIFINRE KEFYTTAVESGGWEGWRQFKVFKKVKESDEITSFYLKPVENPDNKPLPKYHAGQYLAV SLYIPALGHKQARQYSLSDKSNGEYFRISVKREDGVGIPTKENPKVPDHPGWISNLLH AKLHEGSLIDVASPYGDFLYEPSPTSPNAPLVLLSAGVGQTALLSILNSQLDNSDKPI TYATVARNEKVHAFSDHIRNVAKEHSNVKYRVFYSSPSTSAVQGKDYDVRGRMDLAQI KDDLHLDDKTAEYYLCGPENFMVGKFVELKSLGVDEQRIHVELFAAGDLPPSAK I203_02634 MVDRYGRKLGLYVGALFMFAGALLGGFCHSVSQLVGSRILLGIG TAAAQVTAAALVPELAHPRIRHYAGGFLNTTYYIGSIFSAWLTFDMVYYPGTSSWSWR VPTLVQGFGPLLLGAGAYFIPQSPRWLVKKGRVEEAHKILATYHANGKMDDELVLLEM REIKASVELEKVSEEASWLVWLHTRGNLRRFFVIIILGTATQWVGNGVVQYYLVPVLK TVGVTRPAQTTGVNGGLAIWNWSISMSGASLVERFGRRPLFLTSIIGMFASFGMILGL AGKYNSNHHSATGIAMIPFLFIFMGFYSLALTPLPMLYVPEICPLALRAKAAALLLLA QNCAQSFNQFANPVALSAISWKYYAVYVGVDLVYIALFWYMIRETKGLTTEALPHAYS PSQLSNGCGEERDATCSGNKGILMSLPLFSSACRRKDKASFSVTLSFVTCKRNPSS I203_02635 MTETTKFSDLPNNTNAKWWKDHGMRISFVHIITLYCAVYSLGYD GSLLNGLQALTEWNKDFGAPKGTKLGLIAASYYL I203_02636 MTKSAVIYPEAILKQLTSEEKIALLSGDDMWHTVPVPRLGVPRV RNGVRGTAWTNGAPASCFPSATGLGASMDVNLAHRIGEALGEECRARGVHCLLGPTTN CQRHPCGGRGFESFSEDPYLCGHVALAWVQGVQSKKVMTSESVDFLANEQEYLRRSNN SVIDERTMHEVYLEPFRIQNKAKPSVFILRNDFGFKGMIMSDWSGTYSSSEAVKASLD SEMPGPALMRGSSLERDIIGGKLVPADIDECVLRVLHYVREAQQSGIDFEKEEDTINT PEVRALLREAADSAIVLLKNEHKVLPISVSDKKKIAVIGPNARTASYAGGGSANLAPT YLVTPLQAITRHAESIGAEVKYTIGSDSSRWTPLLTPYISHPQKGKAAGPGVQCDFYD QNPWEEDVKPLFSKFNNSAFSYFIDGIPKEVPVRGYVSLKTMFTPDESGPWELGLGVA GQADLYIDGQKIIDNSTDQKEGLLFFNTGAEERTGEVEVEAGKSYDIEVRFSNFKQLN AMSPYTGRRGGIRIGGKKRRDPQAEIEKAVKLATESDVAIVCVGTNSEWESEAYDRED MKLPPGTDDLVRAILAAKPEAIIVNQSGMPVEFPWIDSASTVIQAFFGGNECGTAITD AIFGTINPSGKLPVTWAKVVEDFPSHEDFGHPIDTVYSEGINVGYRYFDRKNHPKSAF PFGHGLSYTTFEFSDLIVKPEAFEAKATFTITNTGDLAGAEVAQIYVHDLAPVVERPE HELAGLKKVLLQPGESKQVTVNLDEKSWIGRRGDYEIRVATSSTKIHLSKPVHLARSF KWIGLQEPQLYEPNWL I203_02637 MWNRVSLNSLCSTQSGYSQRGIEGPSNKRDSWTSQRPLLSPSPA GERMSTTVIPSESRWNAMPPRGESSTSLLRKRSGGKNKKYGPTAQPSPPSPGVALMDT PLEGDFEDGLDPRNPAALGLDKTFSGDPEADDYLHNPDVDEWGAMDDRGNVFTVRGAA NVGCLVILMIGIIALFAGYPIADFYTQGSLDSKGGYNLGGINATGQIPSIRGFASMVD EDTPSDVYTRTGYDGEEYTLVFSDEFNKDGRTFFPGDDPFFTAPDIHYWATSDFEWYE PSAATTRDGNLVLTMSQQSIQDLNFKSAMLQSWNQLCFQYSFYLEARISLPGNTRYGG FWPGLWLFGNLGRPGYGASTDGTWPYTYDSCDIGTLPNQTNVEGTGPEAALTSNKGQP ISYLPGQRMSACTCPGEDHAGPDVSVGRGAPEIDVLEAQVDLHVGQGVLSQSFQVAPF DEGYQYKNTTDVAHQYDTDLTSFNTYTGGVYQEAVSSLTFVGTDIYQGTSREFGVQGV EMFTDPNDRSSGHITWVANGEKSWTMYPGAVGASESMQIGPRILPEEPMALVINFGMS NNFQAVDFVNLDFPAEYLIDYIRIYQRPEGRIGCDPDDHPTADYIEKHIEAYMNPNMT IWSDTGYAMPVS I203_02638 MSIENLTSSPSSSLPHSHGVHANGHTHNQEELDRTPKAIPHNPL SPISPHSIYVNYQQLQSQFGSLDNVIPRDVAGYVFSLFFDYVHPLTPCLHRPTFLMEV ETRRDEKDPVFLALVLSVLASAMVQIPKALLPPINNTPAREMADRCYQVSRLVSLNAY DPPSIEMVITRFLDAVYHLISGRLGAQGMSGTQIPLFISRFWMIYPAQDPISTEVRRR MFGLLFTSDKSAACLRDRPIFLATEECDTMMPREVDDDYITRTEYLEFPPHATSTIAG FKIIGDAIVLRRTVRREIPLTPETILAYLRRIETISDDLKAVLKDMPSALRLHETPAP FDIPETNQEWGQDILAQLDVYFTQAYQTRSIAKESFLVLKGNVYVTHALARYVLLKCR DEIVEQANPDGGVTVSVTARMVSIFTNRQDKYEAIVLDLLKALHSIPIQNLAVNGPSL VNKVRYVAVALLDALDARNPVSPEGAYLLDFLGILSEIEQVSVVSQRFTSSSYHIYIY I203_02639 MPPRQPNPSVARPLEGARIHQPLTVIEPASNSTSPHFTSLRRTT RSTTTSSGESSKRSILDIGKYQYVPSTPPRKRAKVEIKVEDVEDTIIASTPKSNDRSI VKVETVDTKPSISPKTPKSTKKPLPQLSLTKPHPPPPLWEEQYRLIEEMRKNIVAPVD TMGCERPRTIVDNDPKTLRFHILISLMLSSQTKDPVTSAAVTTLHETLRGGLTARSLA DASTELIQQCINKVGFWRRKADYIKDAAIHLLEKEDGDVPKTLEGLCELKGVGPKMAF LALQCAWDINAGIGVDVHVHRITNRLKWHKPPTTTPEGTRLNLQSWLPPQLHRPINPM LVGFGQIVCLPVGPRCDVCLLGRRKLCPSRITNVKSEGRKEVVFIKNEGQEAKVEIAY ESQSPPVDAEAGLIRIKDEPSPPPPSFPVVGLRDGVKMERDQDLETIVQEPGMKKVDE VLEILDRVDGAKDVDGEPLGT I203_02640 MASDDNHTDGSNYGYTPSPAWCLAFIVLFSVSAAVHSYQAFRYK YWIIYPTLVLGALVEVLGWSGRYWSSQNVTLLTPFLMQISTLIMAPVFFSAYDYVVLG MAINRLGPQYSLLRPKYYFATFITADIISLVLQAIGGGKASSSAAEGAPTQSATNIMV AGIIFQLVSMGVFIGLGVDFLLRATSEKPYAFRLRQIENNAVKKVGVEVKVNKTEDDL EAQKQNQHNEHEHIRAWWILLIGVGISSTMILIRGIYRSIELTQGWTGHLMTTEMYQN ILDALMMLIAVGIYNFIHPGYLLPRKRSWREYH I203_02641 MERLVCADDDRKVAYQSRKAKAFYSWDHYEPEVRDHILDVVKGP WCRLGFEEMLREQRGIKGVLHAILSLL I203_02642 MFNHSFGSPPPFGDASFATMFGVPAAPAQDPPMLNYRGHALHHT FDLRSRMKISREKPMIGTFFAAFPHPALARMVGQAGYDYVLLDWEHTPFTPETIVELI KTIQYAGEGRTAVVVRVPVLDHQYAAWGLDAGASGIIFAHISTVEQAQQAIEACRFPP VGKRSGPPNAMQFGYNDGAPNGGSVFEIWGKAAIILQIEDEEGAKNADALWLRWRKVD GLITLTVDGLMVGPGDLALSLGLSFGNMAQDERWLSSVGNILTAVQKHNKASLMPGMT SHQIGANLQNGVTMLCASNDSMIMAIGLRKELVSAHEQLDSWKKEKQTASK I203_02643 MFSSALIALSAVSLAQATAVLPRAASITEFSTSPVEFVYPTPRS GYLANNASAYPCGGSPLGERTSYPLSGGKVSLDVDTLASNVNLLYSNNSNPSTFHEFS TFANSILDVSDGGWCGNGPNFEELGLAAGSDATLLVIYQLYGNKTCFYHCADISLVAA NSYTAPSDLTCSNSSAVLETASGEDSMVLKGSNFSAAQQGADGQTVSIDLAAATASPS ASGSESGSAAAAATSAASSAAASGSASSAAVMTAKVRSMGLGAAVLGGLALLL I203_02644 MQPNQWQQQQFSYPSFQQPQPTGAPQGQGGFGGNNVNPSFLSTQ PTGYPGQQQQLQPMQTGYQPQQPQRTGMMGGISQGNTGNNYSFLNQPPPSNSSFRSNN LTSQMTGYPGGGASGLMSQQTGYQPSGLMSQPTGASGLLSQPTGLMSQPTGMGMGMGR LQPQATGLPHDPRLQQMMQSFMPSNISQPFAPSGLPQFNQPQSQQPLTQSFQSLLQNP SVNTPKVPWTLSRQEKKDYDQIFRAWDTKGDGFISGEMAREVFGQSGLDQDNLMKIWN LSDKDNRGKLNLPEFHVAMGLIYRALNGNTIPDVLPEELVPASMRDIDTTVNFMKDLL KHEASSRSNASSPGYGGNSPAPTGASKDALMYKHSDERPSTYKPSSRHLDRKSVRYAG EDPDAELKDIRRKLENTSTFLEKSAEKSIEDEELEEETEALHYRVKRIQEDIEYTSKG RRTVEKDEERRKLERELLYLMHEKLPELERKQERRLEEKAMEERAGVRRRDERNQTHG RYDNRDRDRNRDDHDRYRGTFDRDRSRERDRYDDRDRYDRDRLGSRDKDYDRYDRDRR GSYERPRSPPSTRSPPPAPPPASVAAAASAPPPPPAPAQAAAPSTKNMTPEERKAYIR EQAQKRINDRLRALGVESAPAEETVDTSVQDRLEKEKKEAEEKSKQAEAEQAARDEAR RKRLAEAGGPAREEEKSQTSAPPAPPSPAAPLKSAMKKPAAPPPRSKVAPPPPTSRHP STPAAPAPPPSAPKIVAPPEEDPEEVELRQREEAAAKARADRRARLEQLQREEEEERK QEEALLAARQNRSKAPSPAVIAPATESAPPPPAPPVPPAPAPPSETSYNPFRKPGAAP GATPSPAAPAGGFNPFFKPSAAVSSGTASPAAKSPEPAASAPPPPPPPAPPAPPAQPS KTAFRSPPSEPEWEDITEKEADSDDSSDDETFSSRVGRQGLAQALFGNILGSGGSSSP TTSRPGSTTPAAPAAPPAPKAPSAALSNLGGGDPGQSRGALLSAIQGGARLKKTQTVD KSGPPGIGKVIGDSAPPSHINEQPRVVSPPPVPHSQEQDDEDDFQSSRNANRQSVDWY AGLAADSTHPAAQHAEESTLTSTKEEEEPTSNGGFEQVKENGGEADGLDEFDLSKTLR VRSLYEFAGTRDVDLSFKEDVVLEAHPAKDASSAWWYGTLVKEGSKGWFPKDYVEELH VTQAKALFDYPAGEEDQLPFTEGDVLEIVDQSDQDWWKTEKAGVIFLVPASYLEIQVG SESEAKAPTDPILDITPPPQEPIIKDTDKVEQLAPQPTLSSAIQPRPTSMLSVTSSIG RSPSLLSDDDDDSSSSGDSVLSWWSSDEEGSDVDEAESEDEEKEAERKRREEDRQKIL SAAGLQIKREPPPIPGPGSKVGRVVSRRRPPPGVPGKKRRKAPAIPRPSPGHPSKSLP AIPTSESPITSPTGTTTSKEPQDAYARYEAFLAQSQRPPNLRVDSSASGRARSQSLIQ KVTTSQSISPQLTGPSASASTSSGNVPQPQSPTPSTALSLSGSTSGGKISGFFNKLMT NANQPHHAKHPSISGPIISEMISRPDTPSSTNANNNGGGTPSDFGKTWGSLVEPSVLG TMSDRERKRQEAIFEFIATEAGYGRDLQLIVEVFYASLIPLLDEKSLETIFANIEDIL LFNTSFLSSLEDRQKSCRLYIDWIGDILEEHLANADVYTTYCVNQPAAIKLLQTLRES NPDLAGHLASLRENTPTLRGLDLSHFLLSPMQRITRYPLLIKQIIHYTDILDPSGEPN SDLPRVENALRMVEHIVGQINESVREAEGEERLKALSENLWIGGEGRLDLTAPTALMG PRRLLKEGKVSKSKSGRRLTMILCNDIIVLLDGQDLYRMPLPLHEVQIRHGRDDTSFI LKIDARRGGDTVALRGVNAREVKDWIQLITKARSGALYARGGRK I203_02645 MSEEHNEMHSSLSEIITGVESNVASTSSSASSSSFNDDITPFPS PVLSATSLAGLSLSSDGELEPEVDDSKIVTEEDKQKALELKAEANKAFGAKDFNKSID LYTQAIALNPKDSTFWNNRAMSKAKMEEHGAAIADATKAIELNPNYSKAYFRRGQSYL AILRPTDAVPDFKKAISVDPTNKAAREQLQLTVKLIRKIEFEKAISVGETETASSKCL SLISSGACTLDINTAKPDFPLPIIPSDPNGRYKPTEEFVKGMIESFKNGGKVPKRIAW EIILGCRNVIEKESTLVEISIPKGVTCDIIGDTHGQFFDVHNLLSMTTHPSESHYMIF NGDLVDRGSWSVEVALTVFAYKWLYPDYVFINRGNHETNDMNKVYGFEGECKAKLGEM TFKLFADVFTSLPLAVLVSATQPPASPRSEGSQPAILSEGKRRFFVCHGGPPVSKDGV TLDEVRKIDRFGRQPGQEGIMCEMLWTDPQEPNGRGPSKRGVGLGFGPDVTKRWCELN KITAVIRSHEVRADGYAIEHDGLCITVFSCPNYCDSTGNKAAYIRMQSNGSLSYHQFD AVPHPDVKPMAYSSGFNLGGF I203_02646 MPIAIPLDTLSIHPLPLKKVIPFPPRISKDPLPVDIIRRISSNL AQDGYLGTVARIHSCSKEVYTAITPILYESLHIKKGRSDHLLSVVPLQDPVVNSVQHD PLVDDTFFTLSESTTRRLAAFQHVRHLIIHSLPSDILSNQFSTSIESLSSLAFPKLSS VQLLPTATDEIRSWSPPTYDRPRNPPFLETLVTTCQPTQLCISFPIVLSENWELHRDL TVSGQYQFISRIAKLHQDGLWKDSLKVFNVHNIVHQVLPSLPSVINNYHFGSHITGSI TRPIIHPPGVQSVYIPGIQWSYRAWQIGKLIKNLFPSGTTNAPEIIQKTRWNFINVKG HILTKMFRDDDDESGVGHDEVMDLIRNAVKVGLPQDLPLREGFDKELVNDVLDAIAYE DKGECEVCHCE I203_02647 MLTSTQPPILPPEILILIFQNLQEAGSMKTLSSFRQVSKSSYSL ASPVLVSSSQVPVSHLINLYNHHRSFETYEIAAIETGFSFSSSGHVKRKLYDLSLIQN LTLVKYPMQNRMPNIPTLSAWIRSGIDERTSNNLPRVAHTKPPFLPQLTELTFSSFIL QDIALHLSSSSSEGIRDQSPDTVSFLVDLGHSAGQLRTIRFKYPSSILDQRDMDLTGR LAKSIQSLIALFPSIKEMYIENVHLQPLLLPAPRKGLKKIVVEFSRHAKCPDMGGVVF QKRKEQLREALLDLTRQKGDIFDELLESQITKYELRDAIGSIPQWEGAEDIEAQANEL EDMLKRGMQEELMTKSAHRINNDLFNLVERVT I203_02648 MAELDAASPNTRPGGNDDNLRIKEVGNPSHNAHNADEFDMVAYQ NEVPLWKRIHQHSLTQMLLMSVQAFCGPAMADAIAGLGGGGLATPQTSNIATSINYAC LAITCLFGGPIVNRLGTKWALVLGAMSFPIRGASYYCNSKFGVQWFLIFGAFITGTGS GCWYVAESGTIMSIAPSGARGKYLALWLVARNLGQLIGGAINLSKNHKAGATGGVTPD TYIAFLIIESLAFPFAWLISPLHNVVRSDGTKVRVSEKLSTKAEFKLIKITMTSKLIL LSALWAVWSFFYSGTWSTYLGTYFSVRSRALSSLISPSSACNIGCFGLGFILDMKKVS QRRRAQLGLFTVLILNMGVYIWTIVMQVKFNKHHPGKIDWVDKLYPSAFLPYFFVQTT GPLSQSYMYWLLSSFATDAQANVRNGAAFRCLEAVGQAISYGMNTQIKTSPLIGFCVT FGLMAAAMGPMILLVNSTPNEIPADALDKEEHEKEVQQLQQKDLAEKEEDVNYSVNRV I203_02649 MSPSSSKKDAKVVVVGGAGTIGSSTALHLLRNGYTPSNITVLDT YEIPSAQSAGNDLNKIMGIRLRNPADIQLSMEARDMWLNDEVFKPYFHNTGRLDCGHT PGEIKSLKEAYETSVNAGVRLEKTTEWLDSEEEILKKMPLLDREQIKGWKAVWSSDGG WLAAAKAINSIAEVLKKEGVKFGFGGAGSFKAPLFAEDRTTCVGVETVDGTKYHADKV VLAAGAWSPTLVDLEDQCVSKAWVYAHMQLTPEEAAEFKDTPVVYNGDLGFFFEPNEY GIIKVCDEFPGFTRFKQHQPYGASSPKKVSVPRSHAKHLTDTIPLESEASIGRAVDAF LPRFKNKKRFNQALCWCTDTADANLLICEHPKWKNFILATGDSGHSFKLLPNIGKYVV ELIEGTLSKELKDSWKWRPGSGDALKSRRAAAARDLADLPGWNHDGETDSITASIDQL KLSKEETAKTGGGPAVEI I203_02650 MATAARFLSTARLARPSIAANVARQSRGYASAAGAGGPNYGLIF SLAGVTGLGAYAYLQYNPAAKAEISAKAHELEAKAEQKINGVQSEVDAADQGTGSYAA LIKDSWTPFTLTKVAPYNHNSAVYTFSFGEDGKDKVSGAEVASALLVRSPQGEGEIKD DKGKPVIRPYTPVSSNEQKGSIDLLIKEYKDGKLTPFISSLQPGQQLLFKGPILKFKY EPNTFDRGLAVAGGSGITPMYQLITHSLNIPDDKTKWTLVFSNVTEKDILLRKEWDEL ARQHPDRLEVKYVLDKGPWGWKGETGFVTPTMISKLFPRSPDSNEKVRAFVCGPPPQV KSLAGPKDGPRQGELQGAFKELGYTADEVFKF I203_02651 MNFAYTNQARRSSKTKIPSSGKYVSYRTKGNPRPIVGLLDPSST TVTPLRFPDNSPVRSIHHLIEEWDKVHETLIPGTPVENVDDVVILAPLRGRDVICVGK NYKEHAEEFHSSGYDKSDTKAQPDFPVIFTKRASSIIGNGSHIYPHPNITNSVDYEGE LAIIIGRGGIGIKKEDAWAHVWGATIVNDVTARDRQRDHKQFYIGKSLDTFCPMGPWA VHASNLDFKNMHLTTKLNGNVVQSQNTSELLFDIPTLIETISMGTTLQPGDVIATGTP AGVCLSTGVFLKDGDVVEIEITGIGKLRNKVVDRGRAPDCAPVRQS I203_02652 MPVAVQEQNPVETIKAALTKVSVTETNESFDLRSYSHFDATPSI GTEFRAYSPNGKPTLSIRDILGNTEREKALGRLVSERGVVFFRDAVISPDEQTKLVES LGRLGGKPSTSKLHVHPLTLGGSELGDEISMISNKYIFDNHFKRDDFTVLKRKFHAYL WHSDITFEPVPSDYASLQIRKLPKVGGDTLWASAYEAYDRLSPAYKSLLEGLTATHIG QGFIDIARKQNTTLREPRGAPENVGQHLSTVHPVIRTNPVTGWKGLFVNRGFTKKINE LTQHESDNLLDFLFEHISANHDLQVRFRWEENNLAIWDNRSTFHSATEDLDNVERVGT RSVSLGEKPYLDPKSTGRREALLKAQSQ I203_02653 MSSSLEGEREPLPNNGKDDIQQDWRHLSVARKRVIVATALLTGF LSALDPTGTAYLWSSVTFTPLYGRLSDLIGRRAAYVQAVFIFTLGTLGCGVAPTFSFL ITSRFIAGMGAIMGYICQKTLHFKWLTVLNCAGPVMSMTLFVTLRESSSWAAQWLSVV PMGVGFSGLLTLTLVGMLNSVEIDEIATATGFVFVWRSLGQVFGVGLSSAVFQGSLAS QLNERFESPEIIDKLRHVFHAFDDLPEAWQRETAREGFRIALRNTFIFGLAGASLVFA TSLFIPDDRLKGPEEGPIFAVPGTDTHTDLVEEEA I203_02654 MVYWVFGYGSLIFKPPRFAVESKLTLAGSGYVKGVVRRFAQSSI DHRGTPDAPGRVVTVIEAREWHKLEGLNTPKGTILPEDYVWGMAYKINPDYEEEVKAY MVLASELRVPLNATYQAEIWIGKLDNPAFVGHEPIDELAETIFQRHGPSGPNKEYLYK LAESVRHLYPHVRDDYLFGLEASVRALEVKN I203_02655 MLYTPLQPLVYSWANMNSAGITKQRTLGAILFIFQCAGNVAGPQ VYLEEEAPIYRTGLYTDMSCWALLFTLICSMAVYLRYLNRRQRKKRERMGRMVDIQDM SIMTLEEAAAYKRELAAAGEGHDINAHAFDDLSDLQNPEFHCIL I203_02656 MTHLNQPSTTVQDEKDLEINHVEAVNDARHALDDKYLSHAEDDA ARILRENGPVEYTIEGDKKVLRKLDIWVCIPKFIVYTLVHLDKSALSYAAVFDLKKEA HLVGKQYSWLGSIIYLMQLLVQPISAYALVKLPIAWWVVGNVLCWGISLCAMAACHNF AGLLATRALLGAFEATISPSFIAMTQLFWQRREQTYRNTAWLMSSSIAGIIGPILTYA IGHVKSGIQPWQGIFLFLGCITIALCPLIFWMMPNDISSAKFLTQKEKVIAVERLRDN NTGTKTSKWKWEQFRETLLDPKTWGWGLMLTCMAIPSSGIGTFGTLITKGFGFNSFDT ILFQIPPNVLTLIFLLAGTWTMNKIRLRFPVVAFFVLFPIAGAASLL I203_02657 MSANIKPGYSAPLFDYRSYEVKEENVDELVARITKALVELEDKE GSRTRHPRRGISSSPESWKIKPLFEDGRVIDSTSWKFWEWQQAIGLFGLFNYYNLKIA QNPSSPEGAKTLKILKDWYTARAEEGGTTKNINSMAVLLTLASLMEVEYERGGIFTPE EKKLYEGWIDEWAEWAYHDCPSRSRLESHLTFRLENKNQVWDDTLMMTVLPLAKIGKL LNRPQYIEEAKFQSIQHAQYLMDATTGLWNHGFEFDGQGGGHEWGHIAWARGNCWITI AIPIFLDMIQLSPSDSVYRVLKSVLHRQLDALVKLQDDETGLWRTLPLDKTSYVETSA SAGFVAGIFIGVRTGLLEETKYLLTAVSELKACIAQVKVNGEVQNVSKGTPISDDPNF YKDMIKMTVGFGQALPIMALGEWLRYEKAKSKKA I203_02658 MTALEMTSKLVSDSNISIGSDENTHPSIPLTLFGSSQGDDGFVN IRARVNSRRRSILAIEPGSADEDPGLRRPSDYRSAQQFTGWSLAKLSFLSLGVIYGDI GTSPLYVFSSTFSEPPTRQDLVGVLSLVLWSLIFMVTIKYVIIVLHADNDGEGGTFST YSLLSRYLNIAKRDPREASLMEMRRTATVDLEASGRRLRRGIESSKFIKTSLKVIGVF AVTMVLADGVLTPAQSVLGAIQGIEVAAPTISKSTIIGVTDAILIVLYLIQPLGISKI SMVFAPIIAVWFAFNAVFGIYNLVKYDATVFKAFYPYYAFDYLIRHKEEGWRHLGGVL LAFTGVEALFADLGAFSRKAIQLSWLGYVLPCLVLGYVGQAAFISAHPEAYSNPFFNA APPGTLYPALVIAILAAIVASQAIITASFQLLAQVMKFSYFPQLKVVHTSKIYHGQLY VPLANWLLMIGTVLVASIYNNTTSLGNAYGVCVMFVTFFDTLMVTLVSIFVWRFNPLL VVFPWLVFTLLDATFLSSALTKVPDGAWFTLTLAAALACVFLLWRFGKERQWLAEAKD RFPTSHFIARSSDGHLRLTDAYSGVPLSTIRGLGIFFDKAGETTPIVFSQFVTKLTSI PEAIVFFHLRPLDRPTVSSEDRYTVSRLGIPNCYRLVVRYGFNDEVVTPDLAIVIFKQ IRSFLIKQTTDNNNAAVPSAGLTSGKDDQNIKDEITRLDRALAHKVLFITGKGQMKIG HNRNWLSKLLLWAFLWIRDNTRNRIASLKLPVEEIVEVGFLKEI I203_02659 MKISASITLIAGSAFGLTAASSIVSRNNDGATLTPIRILQGGYN SSYAVLEFNPFVEPNTLRVAARYNTSDGNLKAWLSRHPINSNIIIGCNDNAVPNAPGY LTSYSLNSKTGEMKYIDSVDTGGYPVPDYSVAAAHCAFFPSGKTAVVANYFGQSASTF SFDPQTGKFGSQSNKGLLDFPGYTPVQGQIGVAGNDNTSQATSHPHMIATHPFLPVFY LPDLGEDKIHVYKIGANDSLSNLTSYQQPLGSGPRHLTFTSSGQYMYVLHELAVNIRP YQVDQATGELTQIQDDQPIYPGNATFSLNISAAEVHVSNDGRFLYASNRNLTAATLIE SGDASDTIAVWSIGTNGTITRIQSAMAYGARQIRAMELSPAGMTASAGGEDYIVAGGL KTDNTFVFKRNKLNGTLELVAEVEETYMPSTYLWLG I203_02660 MSTDKELYKLELLSLVSRVSQELFNHTKLQDKKLAEFVIALHEQ SKTPEAFQTKLGEIGADFPEWFVKNLDRLIVTMHPKYKRKAAKAKAAAANGKSSSKPA ILDEQKAMQSRKFPGLSMPDQDWTSADKYLETRQTKEPSEEKLPESLTVDDTLAQLSE VASRRNRPSADDWMDGEPSSKRPRHMDNGRDNGYGGRVSEHPRGRPGLDERPVLYKIY NGSVSNVRDFGAFVSLEGVQGRTEGLIHVSAITSGRVTSASEFLKRGQRVKVKVMSIA GTKIGLSMRDVDQNTGADLSPHLRVKTAQEIAEEERRAATKNLTGSNSTPLIHVDERK GSSAKRLSSPERFEIKQLIASGVVDAADYPDLDEDLNPSASNPEIEEDIDIEVNEVEP TFLSGQTKVTLELSPVKIIKAPDGSMNRAALAGASLAKERRDLKRLEANEEADSESRE INQPWLDPMANQNERQFASDIKGNLLGQKAAQLPAWKAANKVVSYGKITSLSIQEQRK SLPIYKLRDQLVQAVRDNQILVVVGDTGSGKTTQMAQYLAEEGFLEHGRLGCTQPRKV AAVSVAKRVSEEVGCRLGAEVGYTIRFEDMTSPETKIKYMTDGMLLRELLVDPDCSKY SVLMLDEAHERTIATDVLFGLLKKACKRRPDLKLICTSATLDAAKFATYFWGCPIFTI PGRTFPVETLYTKEPEPDYLEASLITILQIHLMEPAGDILLFLTGQEEIDTACEVLYE RVKALGPQVPELIILPVYAALPSEMQSRIFEPPPPGARKVVIATNIAETSITIDGIYY VIDPGFAKQNAYDPKLGMDSLIVTPISQAQARQRSGRAGRTGPGKCYRLYTEIAYRNE MLPNPIPEIQRTNLASTILTLKAMGINDLINFDFMDPPPAATMLTALEQLYALGALDD EGLLTRIGRKMADFPLDPPLSKMLIKSVDYGCSEEALTIVAMLQAGGQVYYRPKDKQA QADAKKAKFHQPEGDLLTLLAVYNGWKASKFSNPWCFENFIQTRAMKTAQDVRKQLIG IMDRYKHDLVSCGTNYNRVRMAICSGFFRNAAKKDPTEGYKTLVEGTPVSIHPSSALF QRPPEWCVYYELVLTAKEYMHQVTVIEPKWLSEVAPTFFRVADQNKISKRKASEKIEP LFDRFATNKDDWVS I203_02661 MSRPNPNQNVSFRDDVHPSRRGQREDQPPRPPAKNLPSKNSLTT TVSVSSSGYNADALGYENDGYVDAGAQNMQGFSASNGADMRRKKSLVRPERERIDPGH RLWHYREHATEDQVDVQPSSTGNQPYNRGANLRRGKSLLARDTDETDHQSGLNIFKRG ATIRRRASQATPRQPPTGAQSNRAGAGSNREPEENLGCLGNFAPGPKDAWMVYCYLLT CLVPGFVLRNVFGKRTPEAQRAWREKMGIVGIVASLMAIVGFVTFGFTQTVCGNQALR IAGGKADNASLVINGYDYDLGNWKHPAAGTTFNGSTSPLYMDQYMAGGKDVSFMFQNV NRHCLGVITPASGTGIQHSGDQMAWYFPCNIHDQNGTSAANLTGYTDANNCHTSNKAR SEFEAMVPTAEIYYTWDRVKNESRNLAVYRSVVIDMDLLQWLDTSQVSYPSFFDTIKK RNETFAGKDITAFVQRNGLEQYAQCLSDVVQVGFVDSISIGCVASDIVLYVSLVFILG AVMIKFGMAVVFGWFLSWRLGNFEGESYQQRMKRAAEIENWTDDIYKAAPGYLRPNAA NRSGSGGNSRKTVFLPTTSRFSKAEPMLVSSSRPSTTYGGLGESRRQAASVYGGKLAP GMQTTPPGSPMLRNSRSSTSLPFRDDSHQSLSDQSMNNNPTNCPFPLGNVVPQPAPDF EPFRFPLIHSICLVTAYSESIEGLRTTLDSLATTDYPNSHKLVLVICDGMVRGSGSKQ YTPDIVLGMMKELVVPAEEVEAHSYVAIADGHKRHNMAKVYSGFYDYDSETVEPSKQQ RVPMVLVAKTGNPLEANDAKPGNRGKRDSQIVLMSFLQKVMFDERMTTFEYEFFNSIW RCTGIPPDRYETVLCVDADTKVFPDSLTRMNACMVNDHEIMGLCGETKIANKSETWVT MIQVFEYYISHHLTKAFESVFGGVTCLPGCFSMYRIKAPKGDRGYWVPILANPDICEH YSENVVDTLHKKNLLLLGEDRYLSTLMLKTFPKRKMIFCPQAVCKTIVPDTFRILLSQ RRRWINSTVHNLFELMLVRDLCGTFCFSMQFVVFMDLIGTLVLPAAISFTLYIIVIAI IPESVTHMPRPTVSLILLAFILGLPGVLIVITSRKVAYVGWMMMYLISLPIWNLVLPG YAYWHMDDFSWGETRKVVGETKEVSHGDKEGTFDSSHIVMKRWVEFERERRWRNGTES RDSQYYDVVQRASSPKGYSVVSTSETSYSGFGGTAEGNPLFRQSQSFQSMSQMIPNTE GSTASMSQLALPPARGASLGRDHSSGSAESSGSNTLERANSDDPSYGHGYQAYPNESY QDDAEQPILPSNYLPHASSPDTASFSNVVYAEPERTRRPSQRGVSLVDTGPVRQVAPH DPVRRVSRHQRRSSSRNQLVSPISSSGGHGNLPPGAVS I203_02662 MPDSTTRSTRMDNVHLPPHPDRPFSPSPPRENRHSASRPPSLLF GAPPVGTVASSSNLNVEGLPSTPPRVKSIIPRSPSHNYVNNTPFFDRDSPARRRYGSE SSPSTSQAGQGRPTSIQSSLQRRDSESSTTSSASPPLGATSILSAIASSTPPRAQGTP SKSPVIYQGHVRTSSDITNIRTPTLDGPGSPKSYQSGFSSSSSNYDDNTSFSKSLPRL HQPTVVRRTSGRGLAFLPPPAQALSHSTAIHHHPRSSPTGANHDGERTASVASLQHIQ HHAHMLDLGRPIGRPTHKNSDDKLISMKGNDGRPANQPTSYSEIPLPPLSLEETYILN EVEEDSEIGQEEEEVDVDDPEPFHPLLTSDVSDADTLSSTTSGPHLVADLSIPAEEDI TRSVDDPLPVATPDIAERVLHDSTTSSENGADNEPVTEMNTDKGLPTDENIPTLHEVV SSQFSQADLETPTSPPPQPDNQASKSIGADPPPPSVPYKVREGSQTYNTNSPSLPDRT RQISLYATMNHSANPLPQLTAYRVELALSWGDAQILQWIPGRKYIPDWNLKVVGGNLV IDVRSMIKTVVSHIPIFWRFASWL I203_02663 MSSDLRMLLHALVNPTSNEGYVRDQQTLSELFKEPEFFVALQAL AADKSLQQQERLMASVITARELKTKWRSKALVPENRKPEVRERLFSFIEEGDMSIARP QLGLLVAIARIEYPKTWQNLPQLLLEPLMMCLAHLDNLSSSSSSTSTVLLNILWTINA LVKEWRTVKVSHGALVMQKFEDVFTDPVGRVLAIWAEHERNGQEDLTLAEAGRYSFKI LARLCQWQWSRSKGLQTQEAHQKINHLVHHSVHHAPIIQAHRLRLVTQSNSEKLVRSL TKHLRAIGKWWRVMIGLDPKGFCKIPGVTTGVGWWWGEVGGVVAGSDGAVANDDSDFI PYPKRFLLLGLLLFKDILPILAHDHPDIFTPHFILSAFHLLVDKLLPLTSTDLEALED EPEEWLVGESFDEEAWAFEFRPCAERVLIALNNACRNVPKEHKTVADRIAAPASDLPS ILRREAVYCALGRLSRSVATYGGVDFNGLLTGIASWIGNGQPLHRIAKRRVAWLIGEW VSADEDAAKLPIVWQMLLHLLSERSEATDKAVQLSATIAIKECVDLWELPIDYFIPYL QQTVEELTKLLGEAATLDGKRYVNDAIGVVIERVGDQILPYLPKLAQSVPVLWHSAGG LEGEWLFKASLVVLTTKLVSAAKATSGDLMELVIPLIEESLQPPAKDFFEDDGLILWQ TALWNAASPYQPTKETGLIRLIPGLLSMLGENMDLLPKLLPLLDSYLLLDASGITQTY GEAITSTFAKALTTSKPNAEAVSRILVTVSLLIRTAPLAQLAPLLLHSGIFQHITTAL EDDKASGLILAAYLEILSRIAILDPRIFLQLVAESARMQNRDGQKLLDEVLDALWRNF DYVGETRMRKAVAMGAGALLTTGDLHVLDRFDGEFMNIFLDVLGEVQVAQDDPNSIET GLRPWQDEHSSQWSDIQYTPEGKRRVTLEDSDPAYSVPLKGYIVQILHQAHAVGLGPF WDKADQGTKRSLETFLS I203_02664 MQDQRVDQQKLSESSRSSLYSKSMFHSRAVIEAGDLVIVDNLTS IIITPGEEMHNKFGRYAHVDLIGQKFGTKLHSPPPHAGYIHLLRPTPELWTLSLPHRT QILYLPDISYITMRLGVRVGGKVIEAGTGSGSMTHSLSRTVGPTGQVLSFEYHQPRYE KALEEFKSHGLENVRLQHRNVCKDGFNDASGVEAVFLDLPAPWEAIPHAMKTLRPDII TKICCFSPCLEQVLKTVSTLRSEGFSDIFTQEVLIRTHELVKESDPDHLTSVSSVVDS LKAHEKRKVERRAVQMKTAREKARRQKENRDGVSSSEHVEPGQKRKLEEDKAEGTPSA DIDNEEKQQEQVKEYSAWIEPDTQLKNVVLTKPTPEMKGHTSYLTFASLYPEIIRNAI AAQESSKSAVATPRLAELVAEKLAGRAGSQETEYGSDGLDEVMGTLTEEEMIALAGK I203_02665 MRVATPAPNFYIHPLTPPTTGKKALHHSITPSSPLSPSPNAIKA NAKAEDADDWRELPLILYHDSRQLSNRDAATKTSPSQSIGQGGKEKEEALFFEKSVTP SSDETLKEIDESESDDEVVFVGDALSVTSSSRNNIHHSSRPKFNIDVTPESPAHHQDV VLSSQAILKRTYREVEDEEEVSFVGRSYKVPRLNPAGHAQMINREDTPLQAMVSSKEF SCLECGCSCGGNSSISKGKGSGSTTLSAKVRGNITSLLLNQDQSLYDQLRSDPRVQDW KDIAELVGAQREDYDRVRHAARWLQQHLPGLVAKGLP I203_02666 MSVTPDNLASRLAGLNTSESVTNGRSPSAPGSPSSETPRRGSVL DNGTNLGSALGRKSSVGGGAKPGFAMTNSETERRGSAGSAGRISRRGSNIVMTPGGAQ AVYHTRTNDDVELPHAEKKTIADHLRKYESLLTLTPQRMRMIVHSFEETLDNGLQKDG QVVVLPTFVFGWPSGKEVGEYLALDLGGTNLRVCLVTLQGQGKFQVTQTKYRLTEEQK QDEGQKLLDFCAECLDSFIRDTLGRTEADGILPLGFTFSYPCSQDRIDHGVLIRWTKG FGAPNIEGRDVAAMFMDSLKRFNVAAELTALINDTTGTLIASNYVDPHTKIAVIFGTG CNAAYMESAGAIPKIDSIGLPKEQGMAINCEWGAFDSFDHQHLPRTKYDIIIDESSNK PGEQSFEKMIAGLYLGEIFRLIICELIDAGDLFLGQNTYKLEKAYAFDTAFLSLMESD ITDELLTVIGVFTHFFGVETTLEERQFFKKLAVLIGTRSARLSACGIAAIVSKKGYLD EGCGVGADGSLYNKYPNFANRVHAALVDIFGEKGKNIVTHHAEDGSGVGSAIIAAMTK ERKDRGFFVEY I203_02667 MFPAYGTMMSPYYAGGLGVPYMMGGYGGMGYGLGAGMYGMGMGM GMGYPYGMIATDPYAYNQYVSPNKP I203_02668 MAKQDPNGPPKRAAPISASAFAFSFSTPKNKKPKVNHTNTITPL SSIPNTTQTPFNVKKEWSTPRPPNFTAQRVSRTPAKALKVEQDEHQLLDGIPKPFETP LRGASTFKPLTASTTPQSHQIKLGGPGPSSSSKVLRPLHERIGDVTPVKGKEKEAEPE SKPRFALHETLLSGKTAGDLLTKKKLTLKDEDEGLGVSPRGKRIAKWSGNGPPPPSVH LANLISSSNASLHLFYTSMQHLLYPSQRRNTSLVSSRQTTSDNTILTPLQHIENSASI RLRIVDPVQGPSHHSTMFWCEPIKWHNSSILKRHIPVIFQPLPHECPKLGVDPRLLAM KMKDEAGKQWQVGIWAWTEVDLPLGAEKLREDEDDLELNDKEGEEDESVKSISALIVS RYLIVEQPVVS I203_02669 MADKKIASLQTQLQSSSIAFQKIEAELAGVIEARQRLDSQLSEN ELVLKEFNQLKSHNTVYKLVGPSLVPQDQNEAKVNVEKRLDFIKSEIKRVEVQLKDSE EKAAKKKDEIMGLQQQFQALQGPNGPQQTVQA I203_02670 MTSPSGDENQAESARERPSLLQPPATIVSPPSPTDAKDFHPPTF SFPPQPAGPTSSDTEDVVEYDSPEEEDEEESTSTQPTPARPNNRTRPSYQSLSPKPAA QPQISTQMSDSPMKDSTLQLPESPDPSASSSRPPSPSSPRYRPKGLHHRRTSSTHRVR ETTDGTQTSTEDGTRMINQYKIGRSLGKGAYAKVELGVDVGTGQEYAIKEFSKSRLHY QALQEKHRQTSRGRIRRAQGPSGLLRETAIRRAGEEQMPEQEGNQPWGGTKTIEEDPL GLIRREIAVMKKLDHPNIIHLYEAISVPTADALFLVLEYLPGGTLMQVNIGADDSNAK APFEISQTREYFRQLCLGLEYLHANGVIHRDVKPDNVLLSANKELVKLCDFGVSEMFT AADDDRIKKSGGSPAFLSPESFTAHQQDLHGKAVDIWALGVTLYCMLTGKLPFNVPTP MELFTAVREKDPNIPEDWGSPLKDLVRRMLDKDPKKRIAMADIREHSWVTEHGQEPMI ETDTNLFDIGKHVEEPTQEELKNAIGSLRGIFTVIRAVQKMRRLQLHRRPQSGQGPPS PGSTNVSLASGSMDSYVSAEPGTSATSVSDENEEARYRDIAGETVMSPRSMSRASLAS TERQTSNKLEKLTRLDTNTYKKTESGDEGEEADEKDNAGRKGKHKGKGEGGEHDDNDR EKEDGADGDDGEDEDEQVVMVESPISSDDDEVRKTPVRGEY I203_02671 MATISHTSPYYQRPSPYIRTSSQTPLTPSALSYTSYTTPSPQIP NFPTTSTSNTPMKRPLPPDAPGQYPPPPERKFSTDSSHHQDSAGGQKKKRISLSCAQC AKRKQKCNREFPCNHCESRKVPELCVPYNPQANNNNNSGPDHHTAQRLDSIEAVLSVV VRHTGGIAQYDAIRDWISSSIFQKHLASAPSTPSSPHHFLSHGGSAGPSGSRPTPNGF EGNQAELERGASSDEDGLAKVGKGWLGELEGGLPETMNINDKVKMKLDIHGTPAENLQ RLITDCGVSPHKVAELVQELPPKHFADRIVDWFFDKLNFVRYPIDERSFRASYEDLYN RSTAVDPSNVRALPLVFIVLALAVRQAPDEWAGDEETRKLSSLRMYWSSRRSILIATA VQSESVELVITRLLSAMYLVLIHDRRLTECWSQLGASLRTAQAIGLHRDGTKLGLDPF QTEYRRRLWSYLYHADKLYSLVLGRPPSISDSYTDTQPPSNIDLTEYNPALGLPPPRP FNEPTPALFLILRKRLAGIIGKVVHHFQKLNEPAQYSDVEKLQQDLDAFVDQLPPHFR MHDPDKSLDQVHFWLPVHRLMLLTEVLVTTIILHRPWLLRKLSSDRYAASRTACFEAA KLDFHIRQDFQRDVPDFRFHAITGQFKMFNSAMIAGISAIIDPRGADADQMRRILTTF LEQNPWHEVASKDATTRKEVQIIQTLSRRAAQIFEDSFGPGELNTHDKDSVALLLALR QSNDSSTHANAYPRGVPPREDAPTPGGPGNNQFSRPMAPPRTWGNIAGGGVQFAPVHH GGITQSPASTGSHEDDHSQKLLDHWINANTSMAVGSINGAVPAIDPIGGMGYLPIPSM STPSAAPGGLNNMSLGPGPGLAPTPGSMPSFSNQFAEDTPSSTVGFIGPPGEFGYPNQ FGLMGGVGDVVMGNTPLPGGIPIEPGAENSDEYWNTLIDGEYLVLEVRILESQADEP I203_02672 MNILDTLFGRSMTPAERLRQHQRSLQKAQRELDREKGKLEAQEK KTMADIKRNAKAGNMNACKILAKDLVRTRRYIQKFTQMRVQLQAVSLRMQTLRSNEQM ATAMKGATRAMGQMNRSLNLPQIQKIMNDFEKESSTMDMKEEMMSDAVDDAMEDEDEG EGEEVESDKILKEVLDEIGMNMNESLASAPTANPLANEPLQSSRVAVAEGLASIPSGG ADTPKASGGGGGGGGGMSADEADLQRRLDALRRD I203_02673 MRIPRFLLSALTLTLALGGASGASIDKRDDFELRQLTDDNFRSE TARGVWLVEHFSPKCSHCRAFAPTWTQMAKDKQHLERLSGFHMAQVNCLAQGDLCNAN GIKFYPQLMLYVDGEAKPHYTGDRSYGDLEKFINERVTEYTQNHSVAGKDEQQEGSNG GRPNPDGQVMEIDEKQFEEYKEQGPVMVEFYAPWCGHCKKLRPTYEKLAEAMKGKLNI VAVDCENHKGFCKNAGIQGYPTIRMYHHGTRTDHNGARSLDKLKVFAEKAVQVTTLQS IKFDEFDSIVKSDEAFFLYLQNYDTTVADVKSVKSALEPLLGAVPAYTSSDPQFYQQL SVANPPPTSVLFAFSSFSSRPVGSLSFPASEDSLKRFIQSHRFPTLVELTGSNYNAIM NSDTRAIVVLGALHKADEGQKEKEKLAEVAKAWKRGGRPFSQPVWFVWVDGEKWSGWL KQQYSIKKSQLPSAVVIDTPQNEYYDTTIEGTEITFDGASIFSVLEGVYQHFLRPKRI ESTLEWGSRSAAATLINFGQMSVDHPLLALVLLVGAVGLFVGLLQKCNGRDMKDNGTP VGGPRLD I203_02674 MLEDANDPKPDMDGVYNDPDADLTLISSDNIAFKIYTYHLGTSP VLVDMVKSLPCSDSIISFSDPQMEDSDAIRYILNILHNRHSLLDVDHLGIFRNTIAFV KKYEMLLLEPYLAYQLQRYLDNNGGKARYVFILAAELDNVSIAAAAIQKGAQGIFVQN NAKSFPDKWFTREQLNERERLKPHVRWGFVDGSWMMDPAAWPLWELRRVPFDYLSALI RTHAKFPFGQDKSDDQDAAEYFIRLMDDLKI I203_02675 MPDARSSNSEPGVDPSHNDPEADFMLVSSDNVAFKIYKFYLLAH STIFRDMISSCSAPAETTDRLDFTDSQIGHSSTITIFLNTICGRPLKLVRDSLGPFRD CIQFCKKYECEILWTALRAHAAAFLDQHISPHYIFIIVAELDDVDLASRAISTVGSWQ WPIDEVIPDLPRWMTSGEVEESKTATSLGLSKGAGVLEITSWPIWEFHRVPLPYVIGL IKVGRKFSLAANSGNDIPAGKYFKEIMEEWQKGR I203_02676 MDTITTLAGTNRKIETAKPLSSSSTAHTLASDHTPSPSDAAHKL DDRYNDPTADLKIVSSDGVVFKVRSIYLRAASKILDNKITSLATSFDMTLRLEDTSIE RATAVRLLLEFLHGRIGRLEYNNLGIFRRAILLAKKYDCELVLAAMKQYTRTLTRSGK DVHCRMVFFLGSILDDIDICIDAIRNAEADTWANTESGLAPPKEWYEHLLSDLNDPDD WFDGECSMDPSTWDPEDIYQAPPRYLAGLLRATRVVYRDGGTWKAAADKFRKVMEPLD TDSEDNDVDEDRDEEMSN I203_02677 MTLTSGDKDPEFSSIKSEPPLRPVSPATKASNRFATDSDIETLG NAKQQLINLQTSSSACPTTTKNSRGREAKPLPLPKMDVPKRQKDELAEKGIKVDDKYD DPKADLILISSDGIGFKVHSIYVRAASKILNDKCLALVSSLSPNPTIQFDDPSIERAT TIRFLLDFLHGDIPEPKHELLGIFRRAILLAQKYECNLVLSAMKNLAKTYHQNGEIPF FIFVLGANLGDVQLCCNAIMDGDESPESETDNPPEWYDHDGDDDVDEGDLDEDDDEDE FVDDDDSTMDPTTWHTQDIQHVPAKYLAGLLRASRVRNQPGKDWYDVAHKFEELMSPI QQVDKPIKHEEKDGKEKESVAKKEIKDKKEIKDIKAKPVAEGDTKKKRDAKESKSKK I203_02678 MPSLDPSDLASLLSQPSSSTSLTSYLQSLSSTSPLPVPEIKSYP DTIYHNYYPLGLSLAFHPIKGLDSIDIYNSSPHPQPKRANQKPSPVYSSPPEITLHFP TDSVSLPPKKEGEKPLSIPRSTTFKLLPNSTGRDFVSHLGEPTRKGSGGWTGLWLEWS SVELKAKEGEVTVGIMVELRDPGANELLTEEGRKKGMGGVWERASRWEWSNIKFFKVG Q I203_02679 MEAIKLGSTTVGITTPEGVILAVEKRVPSPLLESSSIEKIMEID SHIGTAMSGLTADARTMVEHARVTSQMHNFTYDEKIGVESCTQAVCDLALRFGESVED DDALMSRPFGVALLIAGIDEKGPQLYHTDPSGTFVRYDAKAIGSGSDAAQQSLQDAFH KQMTLMEAHSLALKVLKQVMEEKLDESNVQLAQVTKSKGFEILGENELKAVIETLAA I203_02680 MPASVASQSSLFSRGDIFANLPPGTYHTSDDTSDDDVMALDEAP EHLKKITNKDTPIVVDSSSDGEDHPTSTRAGPSTRKRRNQGSGDEQPVRVSQGRNSQR SPAKRRKSTTVPRSQQTPAAIHINLPSSSPVAGPSTPRSYLAEDHLNHVLEILPDIDS EWALGHINQEMVLRKDDNPANRVVEIALEMEGGYPKLKETKKGKEKEKSPVVAGQREG YRNPIYRSDERVGIGYYQKGVSQLEEDFPLIPAHYVRNVFHTMQTLYVPTYFRLLEHS KSPAKPYVELKRARNTGKGKSKAKQVERDDLTEGDQFQDVGSEEFGKEVKWLRRTLAT EQSERDAAEARRVAEEEALAGGAGIECGCCFCDTLPRDMIQCAEGHLFCRECATKHAE TKLGEQSTSILCMDQSDCTSAFPESELTRCLSEKSLQLYHRLKQAKELEQAEIEGLES CPSCPYATIIDNPDEKLFRCMNEECGQVTCRGCQLIKSLFGLWSIEVEADLKLNNRHT VEDAMSEALIRRCPTCSKPYIKDSGCNKIHCGKCGTLSCYVCQKVITGYEHFDQVPGA SGRPRESNKCILWDQNERQHDDQAVRAARDQAAARVLAAAQENGVDLNADDINVALPD APLAMAAGAGPVPMARYVPPAGVAQRWIPAAGGGNDAADQRFRNALARMNDIAVGVNQ LPQPGPLNPHPYRIPPLRPYALPNVPPGFDQAMIGGVIAPLPQRREFNRPPIHELLND PEIFNDDDWDDIDEDDHVDEESNSDSEGDEDAAADLQDERRIRNELRAREEQGQIERE RQEERRRGAEERAERARKRGRR I203_02681 MSTRRNYSIDGHADHGEDPSRSRDTFIDPAAQDLKFRVSFSPSS STVDLNEGFASPITHDEEHQWTGEDQECESSSCPEDDEQDSSPCHSEYSDEENSHLSD SSAKRNSSSGDIPHRPATSKAIASRDDSPTLPLNSPPILPASISASELALINRSAGST KDRRRARIRPFEQYSEGALSRIQTGSVSVGSSRTYNHQQADGSDGELTEFSDGE I203_02682 MPPRRSTGSTTAPKRERSTSSSSLSDIPANKPKSTSKAKGKSNG DDSKVSVKPKTALADDAKAGDDDPAEVEPPKKKARVAKAKVWPPPELSPDIHPPRNGY PIFKLPTPTSAKNGALPPSTKEDRPMLLGAHVSIAGGPAGALLRAGVAGANGLALFMK SQRQWKSNPFEPEAIERFRSLMKRKEDGGLGYPPESILVHGSYLINLGNPDEAKWNNS YECFKDDIYRCHQLGIKLYNWHPGSTVGACTKEESFALIAKAINRVHKEVPEVVTVIE NMANAGSNIVGTAFSELAAIIALVEDKSRVRVCLDTCHLFAAGYDLRTPEAYAETMKK FDEEVGNGYLGGMHLNDSKADLAGNKDLHENIGLGKIGLTGFRCIMRDPLMSGIPLVL ETPAPEKALEVGDLAIWMREIKLLYEIQGIDDEQWEVKKDEIEARWRKERDGINPPKD KGPGPKGKAKPAPKGKKKAKKDESDEDDE I203_02683 MNRPNRPPNLSIDDPKAPERSSSSYVLPELIVDRPSPYGLEHYG ISIQDWDPRSKDAQTTSDAPSNVQDQRSEAEVSLRFGRWANTGAASPASSRPVSPAGS SPQSLYHPPRTLGKSRRRGRTLQTGDGQSLRERARQDINFETYGSITEDGPMSPRGFQ RDTISALHADPPDSVIVNRKLYAYDPRGEEYIPFGQVESIPGSPTSPASTPSVTDYFD PMDRRPPLSRLPSQQSLNEGDKARFYRSNAAAESTQSLAAVLAEAQDESPAHSPYASG LPGLTIDTSGNEYRNSWASYTSTVPSSPNSPGAGSSRSSPSDLRRMYSSASRLMGSIE ALPEASESDDSIQHSPVNKNEKGIELSSFRKDTEGGNKAHPWIQLAEGSVGSNSRQVS EPLTGLARKKEVWKRFWRHKVSLDFRSSRQKSGTPSPAISPTTGRTLSPEEYGYEVRY PKAAFVTAKELSQMSATERERLKAVTASMRSEPSTSRIRLQTYDPTRFQSFAGRVQQA QAQARDNAVTAFGLAEADEQSMSIMSSEQDTSRAGRSTDPSARTLRRRSSSKLGRTLS SASSRLFG I203_02684 MSAPPPSTSTSSTPIPGAALSSTAKPKRNRKKPTAKSDEAPVTA EEAVSSPAAQPQDDVTASVPGANGSGSKEKGPVEEVIAKRMRQLTKKLQRFRGYASQP HETLNADQKAAINSLPILENVYKELEDLSKQVEPVELEQAGKLRELKEQARQEAEGSV AGKIAEFQTSLSTPLSIFLRLHRLLHPARPSDHEHLTFARLDLPTNLQDEVQATDVLR VGRMYDDLLAGGDRGVEVIAGLVKGSTGDDEENDHIHHLLALLAGSNSLPAENAAPEE VDLEESQPAPEVEEPASKAPSINGEVSGDANEKEITTAPASVNGTTATGQGALNFLQE DELAEEEEFEIVPSLRPDQTSGIQPPQEPVTTIPPLPINAEPASQPQVNSTPPAAFTA SGKFDWAADEDLDEATEAAHIRQAFALPPSGSQTPTQLPEPQGKVEETTVPTADEEPA IALIQENELANAHVSESAVAVPTAVESDAVPAPPAVETKATPVQGKGQRGHGGGNGRG GKGGRNASGRNQVQKAPVKPTIDEDGFQVVGRQVPPTSNRGRGNVNGSGRGDGGKGRG QSGRGRGGPGHRGANRPNGEGTNQNQNQQGRNRPPRQQRQPSQAQGQVRTPAAA I203_02685 MSNFQLPQGFKPASGGPSGGNNGPSPEEREAAEARARQAEEMKR TMIAAMLEPAARERLSRISLTRPQLAAQVEDLLVRMGQQGQIRGQVTDEALKGLLEQV SNPPPNKNTTPAVSAGGRTKSLGGGITIQRKRDDSDSDEYDL I203_02686 MAEPLVFKGTLAGHSGWITAIATSSENPDMILTASRDKTIIVWQ LTRDDGSFGFPKKILHGHNHFVSDVVISSDGQFALSSSWDHTLRLWDLNTGLTTRKFV GHTGDVLSVSFSADNRQIVSASRDRTIKLWNTLGECKFNITEDGHSEWVSCVRFSPNP VIPVIVSAGWDKTVKVWELSKCKLKTNHYGHTGYINTLAVSPDGSLAASGGKDGITML WDLNDGKHLYSLDAGDVVNALVFSPNRYWLCAATSSSIKIFDLESKSIVDDLRPDFDG LSDKARKPECTSLAWSADGQTLFAGFSDNLVRVWVVVV I203_02687 MSQADTNVKDADTKATIQDWRENITGPSKGTDTVQSDHSEPSNI SQPEASHQRLIAEDDWDARSLNESTFSWGGTTQRPPTEAAAPSVYQTQYNSHSGPEYR TASSSAPRQAQSRSYSGTTIMGVPGWLFCGALVCGSCASAIKKAGTVAYTASSSQNLN TQQKQMAYPVKPVMTSKQDNTLRAAAEDTISPGSAASHVQSTEATRKDTGTLDDYLKI QQRDITAPNRERSTLNQMTYSALGLGTGTDTGEANRSTLNQCAGCPGSTACGG I203_02688 MFSEESKSGKNHTDVRSRAAVSDETREASQGTAENTSKDVHDGT QTSDDGDTHGTYPKVESIPEPHQAHTRSTSGPDDSFFRYGYSTRFTGPTDNKFFSEPR LFGCAGYRSQSPQMSYTPGAGGDSHGSMKPFGPGAVAVSSLEQVHFFGIRTRNR I203_02689 MTDATKDPAAPPLAAYALWLVPTVPEQGEKFQNLITDLASLEQP SPVFSPHITLIHPIPLSTRLRDIHAGVRDAIKATSSKHSLQTLTADLNPAQKGDKYYQ SVLAPVNLPNEALSSLREAVEDVFALKNLPEYFPHLSLFYGGVSPKRRDEIAKIANEK IGELGKVEIGEIAIVSCVGTAEKWEVVGREKLS I203_02690 MSDRLDHQGNAHDEGVAGPSRTIPILGPPIDQPVISPRRLSRDE ALGHGPTVRDRVSEGLEAGPSDRKLDQPVSSIKQDDLEDRSDKGNDGVPQSRFLVMAE KQPSTSHLPSANGISPRRSPTIDHVSRSNPESHELQPLPSNHDIAISRSNDVNALLNL PPPLPFHLSVESLTIGVPNKKVIPWIPGFFRQKQPAAIGEDKPKKKWILKDVGCECRS GEVLAILGGSGSGKTTLLNAIAHRLSGLPTESGQVAYYAANSQISTSQTAVRGQKLGK SEVKRRIGFVRQQDYLVECLTGTSHVLPSAAKLRLPTSLLDEAITLIVDQTIDELGLR DAADTVVGGPLRKGISGGEKRRLSIGCVLVTLPSVLILDEPTSGLDAFTSYLLLLTLS HLARRGRTVILSIHAPRSDAFDIFDRIALLSKGEIVYSGLRSDCLGWFGSLGEHVEKG VNPLDFLIDVSTIDNRTPEAEETSRSRVSNLVNAWNSRSPNHFENRLSKSSIDSSISE VRHSQSNEIIENTGIENGGSSLDARDEKRPGFWKQTVVLTARAHRNGYRNVPQLVGFL VQAIVLGVIIGVTYYRLPETPTGIQSLKNLSFQLIPGVFYLQQVFWIYKFCTDLIIFD REREDVTPYIASDFISYLLPSVLSPTIYVVLVYFISRLRTEDLAARLFTSIASTILVQ FATQGLSLVSASFLRSFSAASLVGNAFNLFMITHVPAYVAWIRWLSPYFYSFRIIATT QFKDRVFDCPQDSAANLNQCDGNNVLNGLNFDASINIGAWIGGLIGVTVAEYALACFI LWIYPAGGVKHASEIESHNRGKGTDVMESHMTRDKIDVAVKNLTLTWERKGRGAIKDK SKIILNDVSVNFPVGEISAILGPSGAGKSTLLQLIAGRTLNPGPLSRFTHSGSLLFAG EVASQASQSNVAFVEQDDDWHLPSLTVRETLTYAAILRLPDKMPRKQKIARAETVLRM LGLKDCADLPVGGQLLKGISGGEKRRLSLAVQMINDPAVLVVDEPTSGLDASIALSVM QVLRDIAATGRTVIATIHQPRSDIWKLADNVTLLAKGGVVAFNGKRSEAVEYFGSIGH PMPSEFYNPADHLLDLVSVDPRPQGYETSLSRVRNLTSRWSSHINKENGGESEKGKTS QVLARGGGTTSMRVALPVVLERHWKNLWRRKDVFFNRLVQTPLLGGMFILFFQRLNHG PSGAQDRIGITIESTSAIAFVGLLNAMAIFPADRNLYLHEAKSSARYSPATFVITYTL VELGFELVGGFGYAAIMNIGVGMQTSVRIYFEFAITIWAMVNMGESFAMIFGSWIQTE GLTVTVVSTILSMIGQVSGVISLSVPTWLAGLAWGTCVKAATRIQIINESVGLVFNCT DEEISSGACVAQSGEQLLALFGWNDLNTARYMGIMVAIAVAWRIIAWLNLAGRVGGLR I203_02691 MVPRLAIYGLSSTLLASGVVYSALNTRPNFYAAAVALGRSSGAL MVLANFALFNTILFGIGLKKIFFGQLRAIEYEHLFERLWIFLTESLLALTIFRDDFSA PFAFMYCLLLFLKCFHWITADRVDYMDQIPPPGPPTLYHIRITSIIVLLAIFDFALVS YSIEAILSEGVSAMVLFASEFTILNASILGTAARYAVGLVDLRRARGRADAPPWEEKS MWLFYVDLTVDFMKLLTYLSFFLVILLHYGLPLHILRDVYMTLRSFISRCGDLIRYRR ATRDMDALYPDATEEEMERGGDRTCIICREEMIPRAVAEREGNTGSGEGGGPNETPKK LACGHIFHFHCLRSWLERQQSCPTCRRDVLHTPAPAPGRAAAQRNAAGGNPPPAQPGA VPQQPQQQDRNNIQQAYNEYFQLPRMGWDNPVPVPQPTALPQAGSQGNTARREDSIDE RLQRGIWGGPIIPGRFFPAPLGAAPRFQQSSTHAGPSSPFTPPLSQPSSSRITQPAGQ NPPPTISRREFHLSVPATPQMSGSVTPFSSNPPVVFSPTGTARPIPQVEGENDDKEVE EVVEVDEVAVRRKAAEAALKRFGGSTFHSASIGKVKGKGKEKEVPVEAQVGNQNENIN LDDWETLPTVHPRLIPSSSDLLGDRQHQHPNLSDSSSVTAAGSLEERIQVLRKVDETI WGLVGELTRLQSSWQAEREGLDSISDKEEGSGPGPVGRPRLEIPDEDQQ I203_02692 MRLTTLVFSLITPLVSLALPVSEYVLHARDAGQNVSSNTPVLSQ QAEFSGDVTQCTGYNVDNTTPSSSGGLVIYLTLTNKCSAYGNDISYLTVSVEYETSSR LHVHIYDNDIHQYQVPQYVLPRPDGTTSEDKSDLKFEYKTDPFEFWITRKEDNAILFD TRSENIPTYTESIQIEGAYSNYTVLPSHPLVFEDQYLQLSSALPNGANIYGLGEVIAG TGYRRNESATVQTFWTRDVGDPVDENMYGVHPMYMETRWDEQRKKLMSHGVFLLNSNG MDVILRDGVIEYRAIGGTFDFYFFSGPSPNHVASQYAQAVGLPQVMPEWSFGFHLCRW GYTSVNDTRTTVARMREAGIPLEVQWNDIDWMRAYREFQFDQNYAPDEYKAFVDELHS MNQHYIPIIDAAIDCFINQFDVYSRGHELDVWMKNPDGTEYVGAVWPGELTSVSYWFN PKMQQVWTEAFYNLSQVVDFDGIWLDMNEPSSFVDGSATNSTIPIEDTTVVPPNYTPQ APPVDFPEGYWPNISGYSGNITVNGTLTYGQNGTAPKNTALRRSYTAEIQQAKRNGGS GPTTPDLPDYIPDIPYVDEPPYPIRNQAGRLSAKTVSPNATHYRGLQEYNVHNLWGTM EELAMHDTLLELKPGKRPFMVARSTFAGIGRKTAHWLGDNYSTFAYMKRAIQGVLQFN LFGIPMVGPDTCGFNGNTDEELCNRWMQLSAFFPFYRNHNTKLALSQEPYVWDSVRDA SIKAINARYSLLPYWQTLFAKASEGGTPPVVPLFHEFQNPSYLSIDSQFLIGSSILVT PVLQPNESTVYGVFPNDNGVFWVDWWTHSRVDNSNSDNISISLPLGEIGVHVRSGSVL LVYDQPEYTVKETRDGGYGILVALDGKGYAEGDAKVDDGASYPVNELTCLSFVASDGK LCSTPQGNYHIDGQVNTITIVGVWNKPSKVSLNGQDVDGSQIEYDDQVGRVKVSDVGG DLNQGWTLNWE I203_02693 MAKTSKAFKKFASSGKLKDQIANRRSKQQSKRKQDDRKAQRQKQ RGNADESDLEGDGEDDEDDEREARKVGDAGVGGKAGGVAKTVDELFGKGGLDIEAGDE SELEELNSEDEDEDEDSEGEDGEEDLLDEQAMKKAMKDLQKNDPEFFKYLKENDEELL DFGKSKGKAKQDDEDEEMDSDDEDVEDEDMEEEEEERKKISVNGKMLRGWQEGMLKQH SIRSLRKTLLAFRAAAHMNEDDGDQGTGLDTKYSIDSAQVFNKLVVTALKYTPVVVAH HFPYKTLANGRIKLQQPKTPNQSLNRLILSHFSTLLHLIKSLPTTPSSLSSGSTDEDA GSLLLVAINESTKLLPWIMGARKHLRAYLKVLLELWGSAGDQVRIAAFLAVRKLFVIG DDAVKDLCLRNIYRSLLPPLRNTTPHTLPSLNLMKNTASELYQLSPSLSYQHAFGFIR MLAFHLRNVIRSSTSGGSGGNQEAFKNVYNWQFVHCIDFWSQVLAGSASIQTQKDNGG LESPLKPLIFPLTQISLGVIRLLPSSRYFPLRFHILQSLMRIIQKTGVYIPLAPFLLE ILDSSEFKRSNPKKVTLKPLDFEYIIRAPAAYPKTRIFQEGLGEELVFLLGEYHSLIS LNIAFPEIVLPVLITLKRHIKKSQAGSPKGVSQLKTLIDKLESTKTWIEQKRRNVSFA PRDRAEIDRFLENEVVESSPLGNWIRLQKKIREKRRAEIEKSFRERQGAEDDDDSE I203_02694 MEDADWWRQAIVYQIYPRSFADLNGDGIGDLQGITSRVPYLRDL GVDAVWLSPFYPSALKDGGYDVADYRNVDPKIGTLEEFDEMSRALKQAGIRVIVDIVP NHSSDDHQWFKDALKAGKGSTERDRYIFRDGLGPDKSQPPTDWQSIFGGPSWTPSGTG DGQWYFHWYDSSQPDFNWDNADVREDFLTTLKFWGDRGVSGFRIDVAHGLAKDMSEPL LKWTQLKKLTERKLQNGNGSLKHPLLDREEVHEIYKDWRKLFDTYDPPLMAVAEAWVA PDQKGLYASSDGLGQAFSFDMLLCNFNIQEYRECIDRSIAEAKRNKSSTTWVLSNHDV IRHATRLGLPDVPNSNLRVAKNALDPFLADRFKSTKLDIESGLRRARAAILMILALPG LTYIYQGEELGLQEVVDIEPSQRQDPAFHRTKGEEIGRDGCRVPLPWSSASANFGFGL ASGKPAHLPQPLWMADYAVDTQLKDPESTLNLYKDAFKLRKQLLGPEDEFEWVENRDE QVLHFRRSEGWQVVVNVGKDEVALPIGEVLLSSNGKLEEGFRGKIPGETTVWLKNVQL SEIGSCVD I203_02695 MSPSSSNTGREDPDHNANHNAGNGDTIISIPFEDERSIRYINTH TPSQITTPDTIQLPGFTYRHDDSNEGSLQDIYSSSLIVHDPSREDEESNIGIMQALTL TDTRLLIDQEGPYTNANSTSTETAENVEAGVDQNPSTTPEEEIPSTSTHVHVHVHVQT LNSLCPIQTTLIHLQREISNI I203_02696 MNLSNIRDHMLSQPPSFKKKKEYQLEELLGKGGFGKVVRATWTP REGGKKEVALKIISKKLVKDNEQAVMDEINVLTGLDHPNIVHVWDHFESRDKFYLTFE LAVGGELFDRISERGKFTEKDALECIRQICSAAQYLHSHQIVHRDLKPENILYKTKDP NSQIVIADFGIAKHLEDPEEMLQDAAGSFGYAAPEVLTGSGHGTKCDCWSIGVIAYTI LCGYSPFRETDKNALLREMTKGRVVFHERYWKKVTPTAKDFIKALLVVDPKKRISATE ALKHPWMTEGAAAENDLTDAVIPALNAKMKWKKAIRAVQATNRLRAGSHSNSLTTSSS TSTTNSTSTEQQNLSASPTTLVPTVVTPLSMTEEEPMSDSYGYFTADEETHHEVQTPN SATRDDARHIKIVKMNDAGVEEDESADTGNKIEKRDYGNPSINIQKNEGLSVEQKETR PETSRKSSVENVVEGVKGLMGKLRL I203_02697 MSTQHLYGTPAPHPSDQDQSSSTPSSSAPEKLHLTSHNQYKTPR LLRDNLHTNPLIQFNSWLSAALDPKDGQPIVKEPEAMTLSTSLPSGIPSSRIVLLKTV DEKGFVFFTNYNSRKSQELSNNPYASLAFYWREVSRQVRVVGKVEKVSRQESEEYFKS RPRGSQVGAWASPQSSAVQEGEVQQIVDEKTKEFGEEGEIDCPPHWGGWRIVPFEVEF WSGQPSRLHDRFKYTRPQDSQESQWEINRLAP I203_02698 MFAPRSTHLPRTYSVYHQPTTTRKNANKENAGALPSKTPSRAGK PMMGGGLGTGLRMGLGVKTEVRDRNVLIQHQQQQGYQGGGKGKGKEGEDIEPKRLFSH GPSKSTTSIPPSKSLSSMPHIQLTKTPAPSRKKQQSFQALRTPAPSFQEPAPTPLPSA TRQRRRSRQSLSNISLTPIKASIEQSFVTPAPVQWEEELSLGSIEETTNEMLQDVREE NELDDGSDGDPEYMPPPVQELPYTPAYDHPDLTSIFSTLSGLPPMWTVRDDVIMRDVP EFEFQEMIVGRLTLKDDEELEEEWLRPKSKPQLEPTQPTKPQNTSIRGITSKSSLQPK TTPRPSVTSSRLDTTTKTKPPAPVPRPPPIRQRTTISTGSRSAQSRQASLHPAQKAAQ PVKPIKISEEDQKLFESWEKEDVPLGDFELNLDMDFVDGDLNFGALKLSDYESSSQSR Q I203_02699 MVLFHQSKFPFHLLLLLTQIGGKGTPRRKQVKKSVTASQGDDRK LQAALKKLGVQPITGVEEVNMFKEDGNVLHFGAPRVHAALPSNTLAVYGPGQTKELTE LVPGILNQLGPDSLANLRRLAESYQSMTARQAAAAAAAGGAGAEGKAEGEGDDEIPDL VENFDEAEGDKDAKKETDLEELE I203_02700 MPHKRAKRSVREAETAKKGTNLAPSSKSLNSAYDDTPKSASRII SGWKFQSEFRKSGKTNSEDTGDYSKASNSNSNPSSSSTTKGKGKAVDKSEIPKILPNE TLGKYNRRIENLLRSGVSKAIKDAASTKALEVAQANRDKKVRKRKAKLEKLIKDGKVP KEALEKYLKEVREKEEQRNDNQSKGKRKRDNEEDEELHEDGQGKQARPAKEFKEMEGP RRLNDIVQAPPQLPHLRKSGEKKTSTKEAYSAIGKNSDKIPLNAGQKRILEEERERVV KMYREMKAKKEEEKGKKV I203_02701 MSNFAKQIPKAVKEIRLHFCQTSGHSAGVRQFVQSSYPSIKSSN PDLKFLIREASDISPRAFVRFERGAESQTQLADLSESQVSAELSNLVNSQTVGKPQ I203_02702 MQVVNLLPLLLLLTQTHLSLAQVVLGINVPSDASPSPTEITPQP TDPASVSATPTETTDGDTASATITEFVMPTPLQAGVKYTKAQEDKCGQWGCGRLMSYD QASCYPAVTSWGYHSMNCGYGHTRNSQGNCQAQGWYDSQLGCYETTIIQETTSVIQEC YASTETMTEKITMTETATMTETKAEVSTVTETVNQTITETVPITLTATATELSTEIQA TTEVQIMTETVVHNVTSTQMVTETQTSVQTMTVNHTLTETMTKTESVPVTMVETIVST MVVPTTVVQTEVQTARVTDIVTKNETQTQVQTHLATQTEKVTETQVATETDTQTAIHT VKETQIQNVTATQTEKAIETQIHTVTDTAVSVVVSAATEVQVATETLQQNSTETLVST ALATETATATATATITEAATLTEQATITALATATITETIAAAVDANALSSCQMSCSSA FGWSYSTQAAYAETTQAYGGGYDQQSESSSDGGYQYKNRRRLRKHW I203_02703 MVFLGLHPAVTSRLAGVIGFTFAYQTAFALYSVPNKTEKLYDIA GSTGFITSTLLSLYYPSVKSWFTKSPSIPLSSLQHHPRQLLISAMILLWAGRLGYHLG GRIVKHGSDSRFDDLKTKPGIFSGMWFGQALWITLVGLPAFIVNSVPASAHPALALKD FVGLGIWIAGLGFEVIADQQKSTWRKQKDEKKHEEKFISSGLWSLSRHPNYLGEVILQ TGPPLLLLTTQLPPSVKYLSFISPVFTYILLRYASGIPLLEESAEKKFGKDENWRKYT DETSMFVPLPFGLGKGKI I203_02704 MSSPFPIPNVASSPFPIPMHLGQGQSPATLLPHSTVLAPSSGPI FAPSPLSQLISSTINNSNGIAPSTGLTPGAIGMNNGISSTTPNFSMNMGITPNTSALL ASVGMGNTNSTQVQGQGQGQGQGNGLSKESLIMSLNELDNILSRIQDVQEEIKDIENR VFEGKRKGDEDRLISLHTEYNQSLQTLLSISSTNFTSSLPIIPSSDPGNQSNLTISDL AKWSEEKAGLEFSKRENLRAGSKAVVDILRASGR I203_02705 MPRTRDASAGLGIEDELSFGSDEDLLAEVGEIPLPSTTAVTSSP ALGGEPSKSHVSNGKSDKLRSGGMFKSLGGGKKDSDKRKRTTTEETVKLAPPLPTSST HGGSTSVFKKDKSSNNKTLAKSSLVIDPSLVESVLDVTSTIPPTNGSTGILSSKNKSR DSLSLSTVSSSHKSPSITSSSKHDLVSLTAVGGGKKKGFMSSLKGLGGGNAKNKKEDP FSVFGIANKTTNGPQRPDLASLRSRDSFGGTSDYSRQQSLDITSSSFSSPRTESSDHS MQKHTLAPISMSPFQAHPPDLTPDSTIRSSISTSSKRPSISSFARRASAVLHKFPIVD ESYTAANGKERSDLVSRSTTSSLAIPSSPMLSSDIVSVLLPSFPSSLSALSSIQILQA TVIRQTIPSSNNHNGSGMPDKEKSNSIRSLTSVLSSSNNGNSSNSNKPIWITQQLVLT SFKVGGSTPQSTPDPNEYLSPSRSRTVAHLHLFSVPGTSSSTGGSSQTFGRRPSLPSN AMNEEVELERRIVSKESTAGVWNHDENGRKFVMRLGFENETDQSQADNEWIVEMRNAD QLQEWIRQIKSIAVVIRAEREGHGHAIRNAYSDAIRGDDLALELDIQRNSSPSVRSPA SRPGSGSIPSVLSGQRDSTISAISGQSAAMEKMPSAARAESPDMLPPTPLVEDLNGRM GSLELGREIGPARSKSLSRGQLPSPTYQHHQTPSAPSARNGSIVSNSGSAISRIGGSL HRHAKQGSWSSSASGGSGHFSRRNGSLPPAPPPPIAPPPTVPLPALPAESPLELDVSV VPGSKERPSPEEEQKSGQDEGILRSPGGIEFITPFQSPDSTRDSKIQLTDRETASSAG PRLSLPSNEDEDILKLRQSTGRSISLPDNGNAILAAKARKDRLSNAFRPIPLVPELET SPKIGVVSPSDSRTSSPLTVGSSSPQMGVPRPPTPPRRSTLTSTSTDVEVEDGMGFYT PTETPIASFSQPHPNTQSTEGSILSTPSTINEHLQRPELGDRDSKKSYASSINSFTPS VESRSTTASSHRLRLREKKIAVDIMSEFSETPSAAFDVEGEEEIKEDRPRVIRFA I203_02706 MFKFEFQLDEEEDGSFQIPLQSEAGPSTATLPPPSTKDEGDQNC YHITLDELIIALPEEISYSPLPLPFLKSPILRRDLFDARFQLYNRQSEEDPSKEGQKE EDEGEDYVDAKTDLIPGLYEGGLKSWEGGVDLVEVLSSIGDEEGVGRWVESGRVLEVG CGTALPTLYLLRSLLSTSSSLSTKTTFHVQDYNSLVLSLVTLPNLILATIPYLPPESL HQPNDEEDVEEVVPDLENPGNLVISPQLVEGFKKLLEERNIELKFTYGHWSGFAKDLQ KQGEEGYGLVLTAETIYAEDSNASLLSVLKNAIKRTKAIRGGENIKHKEEVRLEDSLD NLKVDDEWKNIALKEQGDGFTLVAAKILYFGVGGGLTAFLNRVEDNEGWWKGVKDWTK GVGRKVVQVGW I203_02707 MSVFIGTILGLAISNIAVTPVQAGSTPLVRSYEGSSFFDRWDYY GYYDNTTNGDVTYANQSVASSSQLTYSTSSNTAIIRVDNFTDVAYNYKRNSVKITSQD SYDVGSVWVLDAVHLPYGCSVWPAFWSYGKEATWPEEGEIDTIEGVNMGFSNQMALHT ESGCSITSTSSFSGTVNDTSCYYSDNDNSGCGVTDTNHKSYGAEFASAGGGVFITQLA ESGISIWFFSRDDIPDDISSASDSIDTSNLGTPSAFYSNDGCDIDKFFGAQSLVFDIT LCGDWAGQSSILSSTGCPALTGSDTCYTTYVLNATNYDTAYFEINSLKVYSNESSSSN SSSSSTSSSTSSAISMTPLLSIGQNTLLAGSVFGLIGLLAIM I203_02708 MRVDQGTRNTDYHLSDMGGDTRTPTGSAVTRDSLPSTVCEAETS RNSRVNTNTGSRSSSVFKTSRTLGCTLTRINRAGEPAKKGTILKFDFQDPLVLQDIVG MSQAQAINLPRGYLAYTDNLSEDDPKKKVFDAAKTLIDFLSNDDPSEPKGLVDQGYGT GISSVSKVRNPSTGIIGVIVRDDGSIISSILKQA I203_02709 MSRTASKGEGPKSPLSSCWSFDDLEFTDKPSETEISLKLSMSSS GDKTHFDILFDPLKPSLQLTKSQEPSELDQYFIASVEGSFSRTIEATRPELIRRYVKA MEKDNSKSSDPSLSQCILHLDPKAPSNRLVFDSDEKDLWESALKYFSKRSTNEWKRML SDTVSLNIKR I203_02710 MSDIEDQAKTPTQESFSSDPTTNQSDAGRPNLSLDLYTINEIGE SLDPRYTFIIDPVKDPHLEMTVNINGSSFDASSNRWKEVDHEFVSRVNEDFYEDILNK QHTVPENESRIQEKVKTAKFLSDHPGELWQTTLRDNLSNKDRKRRWADWLVKHVNRSA ELAKEQMNDEFDDFDEYWNIRIQGQLKQPTKNSF I203_02711 MLTKATAHIRPFIRPLQSSGAGPDHFTANPSLLHHLPYGGSGNS LVAQGPNPTQTAGSSGSAGRHGYGGNAGAGGGYTGHARAFLSLPQTASVDPSLTLSNS DDNQLQDQSSNKSSLLLKHRLSKRTRIIGPNDGVGREVRREIEGRAGGSKVTVMELEG SEELERSERLGLPPSSGSRRRTGLTRSPSAVEIWQVGIPQPRGRLGLRSLSTRSDIPK SLEAEDAQPLVSVIPTKATRVLGQPNRVLMNLAGRDLPGRRLGIVRRNSTAAVERASL DQPPVELLLQQQKKEDGLAGESNRGSNEAAIHDAILAARNSGDVALLERLVQHYRSPR TISPFSQDQQAGDPALSQKYPLSEGYSIRTYNACLNALVGTRKSGQSIAEILEIYNEI LERDLIPNNVTYGFVIRALALRAVEVSEAVRLWEEQKSWGEWRALLLGPQTWDFDAAA EKDRLFKSYEAEGNLQSAHKLFRAATRVNSAAGFPLSVYGTLMDANSKESNPDIQGML QIFSIAHRFRVPGTISLYKQLFRALGAAKDTKQLESAWQDFRQSSESGNAQQRWLDTN PPSKTIDPAMIPQRVDGIRHQVWHSAMSAFIAVGQSSKALAILEEMVQSATSASTEEI DFSRPPAATHRTLGQFIVDLAQADEIDLALEWCDKFHSADYLEKLPPHRLTLEHTSGL VDALVKAGRIDDAKKTLERLSSHLGEFNTAASKTTVGRRLWRIYSVLVVRATEASGQE REQILDEIKTFSETGTIPLDILVVNRHLGLLAKCARWNDIPLVLEGCVIREPLSRDMQ SSFSKTLLALSETEIPIKSLLALAQRMVTLGVQIRSEVASAIVAKFNARDINEKLDIP SQDLFVLVESFAALPKFQVNEGDHDDAFTYLLSVLENVNKEDGSVSKWKNNVAVESVI KSITHRFGIERSKHLLTPVFGESEAEQLTAPIIGSLTYSSSSGSPVSSDFTLATSPSS ATTASSAGQPEYKLRIDKSLSTSIERITHRNPPIRPLDAYDLVKQGLTRHAVPFPNTI CQLIDHLSRSGDEPKVRELYSLCQVILNSVIRPEHQASNWHQVEDAMLIACCHLGHLE QAGMHRARIVEAGMAPSADAYATMIASSKDTTDDALVARELFDESQMMGVKPHLYLYN TIISKLSKARKAETALELFAHMKAQGIRPSSVTYGAVINACCRVGDAQSAETLFEEMA SQPNFKARVPPFNTMMQFYLQTQPNRSRVLHYYTLLQRAHVPPSAHTYKLLLDTYATL APIDLEAMENVFAQIQQDRNVKVQGTHWASLITAYGIHGDNLEKSKEIFESISSNGIR EAVVWEAMLNVLSQKGSIEDLESTREKMFKSGVQPTAYVYNALINGYSRSGDIQRARE VFDSMGDSISGVAAPNNHPMLLTSSGHVKPNTQTEIPTGVVYREPSTYESMIKAEIAL GDKERAKLVLDKMEERGYPLAVFLRGKNAYDEGLNILG I203_02712 MADLAAAIDFNSRAVSAAIEALHPIATEEYNRLTKAEGKQNFTF GPDPETAKLQDLKSYSTGLKGLETLIRSHERKSSTRSINRGLKELIRALSNSEDGQKS RSELARKIADERLYGNDKLSSYLARKAVLGPISAARDVFDARKDKRLTKHITRASSAC ATANTNLSALDANISGKTLFDFRTNLERLHDIQDTDLRSVIAKHERNVFEGMIYSCRD KYEGSRLKDIRDTGSDPSSSANDITAKLKDMTLEHQKLTRRNTQIVKAEHQQRLKAKD IDVEDTSLLKASVLEHMKGLLDCSEYQVVLDDDPGYHSELLQYLKENYENMQWELSKW YSSQVDMYKQAFPTHNLDETKVPTEQFKSFMKTLNSDQTRTPQGELAWVIVRKEEYPK LEIINRASSIISHGNEPVRSVAQTLLGIATYDLLSVSHTGEIEVGKLNRGKDAHAKRS RTTDSVKDTVLLGSDDLASATEGYLALYADYLNTPFGNKNLWSEIEEMIPDLSAHSNA SKEQEGREFEQEEGTSSRTDPADVTEMSHPTDLDSFEAARSYVPPIRSWADVVRDSIG S I203_02713 MQWEHSKWQDTQVTEHGRRLVYAPELQRLTLPSEKYDHMMSILK SSGEKDSKAKELWRSIKRTELDHIVLMKKAETISQVAPRSMAREIQAQRAQLLDSINR DASYTVNTVRSAARAFLDKANYNLLMLSDISGVPTKELIQGAAKTTGRSGKPRLTGTV VTDTMASGNCYLAASKQQYQDSFSKYAETSHGEKTLWSEFEAIIPELVSGADPEGEEH ESEGEENAKPQGSSDSDQYVPDDATGVSAAIEEMKSNTLPDRTYAQVLQGPPSK I203_02714 MSTSNQTPTTRADIVSGVPPDILTAMRGGLRDMANIEYERLLKA SREHQILLTSDEIDQMTVHHAQSIPLPSEFNMKKVYKPRIKEYVERLETVGKVAADLH PDTDGRNLTESADGLLGSQDLETVATELATIRSKSVERVSSYLFNKAAISCLAEIEEG FANLKSKTDAKVWKLIDRATAGCRSAEQVLSDLGRGMTYELISQLNQKIEALGNYDNP GVKDKVQSSIQDNLDYTLAAGEPLFFGHRLGDLRKPNLLSSASFKEVRATSESIRDEH EISVYKDRRNRKAAFRHRQAKKDNSVGENSVLQQSCLDDLTGWLNENR I203_02715 MSVFKKEFYDDESIELPADLEERFSEVQKGHAALTSEALRGSQD AQNAIDDAIQNGVVSLHNRDRLTYDGNRLLRGMMNNFLGLVYVGSKDSAKLTEGQMNN VKTNLEIIENKMQSKSERWRSFFEDTENPLVELEIGTKPLLESLKGDGNSRKKPAELT ACWAIKHTIDDLLSDLEVRRSMSEFLSRSNAQQLEMGQTLRRLTTRPLRSLKKLNSLE RAMEMMTDWKEDYARYLRASQAIGNTLNGLYPKLSEEEIQKGRSFADEFRQGSQEFVE NLEHEHLMGICGYRELPLELVNKYGDDEETDEKVRAADDQVVTILRATRLDDLRSFDK KLKIGWANDEDTAKNDDDTDDEDTTRRKTGESPVVRVLPCREGTPAVVFLAEDLGKRA RKMNYTLNITDNPSRCISDMKILIQEGTAVAQSATKQCNSFICSDRSLKSVLPKNEYR SLDELQGAVSLLGTRSKKKSGLKLVHHELKDMYNQLSETVSSCRTEIIDNTERLISEA EAGARYIEESNLTEMYPNDRLVNLAEEAFSAKSKLDLNHLYRLKSMISGNVLDSEGKP LPHMSRKTRFSLQRKYDSYKKVMSKTPFKDVQSISDLEFTLEEMGDWQENDEANLTSA LHELTVSEDQEEGADTAESSDDRPKKYTSWAAMLRESTTRS I203_02716 MPKVSQQMLSPNEEDANSYVSNDVQNKGKGGKNNRRGKKEDGEN KRELIFKEDGQEYAQVVKMLGNGRLEAKCLDGETRLAQIRGQMRKKVWIVVGDIILLS LRDFQDDRADVIHKYTADEARNLKTYGELKDFTLVENAEAGSEEEEEGIEFEEADIDD ICEY I203_02717 MRPPLRSTRSPRSPPASPNSESRPKPTLADVAIAPRRRFRDGSS SSRGRSSRGNSVVSSTSSRASSTTSRPAGSGTGSGRVLDNIRRRGSRDMPNQIERSGS LPSNVTVYSESDGGSFVPSNSNSTSRESSLTPPPATEPQTSTTRHLSNSSSPNDTRHQ SQYQRKPPGYNQSILDVMDQYQSQSQNTLSLPNGDNASSRSRHMPPSSSSSSSSESSD GRRYTTEEKGKGRAIVPATTPIEIQSSPEESDDPQRDNSIQLIDSSPQKKRRRRSDSD VIVDSVKTDSNDRSKGVRGEEEKEVDEDDTLAGGYTCPVCFCPPSQAVMTPCGHILCA QCLHSSLLAAIGRNPNPYPDPMLNRPAGRGRNHHTNRNPQRTVSMHGMTGGPTKWTKD LLIDFWLYHLTQECEKSLKDAQIPQEEWEGIKAVQIPGADEVKVEQRLKCLWRVDESW VVEGECPVCRNPLPGGYGPYGTGIGGIIPLQARLSSTVTGPKRKR I203_02718 MTIAPSPSLPTTRVGMIGAGASGLSQIQQLLEIWDQHKDVRGKT KLEIVGFESKSDVGGVWLTDDQPKPNIRTHLTSKENNEETYSYPPKGTNPSPMYQGLR TNLPHDLMSFRGYPFPEDTPLFPKQELVEQYLQSYTEHYNLRKHIRFSTRVERVYLTP QQDQNGSSDKKRWTIESNNLHSDERKSEQFDYVVVSNGHYSDGWIPPIKGLSTFPGQI IHSRFFHRASDYIGKTVLVVGSFASGGDISRLLASENINKFSPSGEPLNGFSKEEYLK VYVSTSGYTQYSATEGPWAEYIQHVPLISHLTPPDESQSHTKGTIHLEEDEQGEKREL DDVDVIIFATGYNFLFPFFKITDEPWDKTRLSEGNVKSEERQKGDGWEENGIKGQGVD GLDELLLFLKGDRTISFPTLSYQNVPFPLTQVQSRFTAYLWAGLLELPEQITLPPNPS NPYSSSQQEIKDDKAADSFNTSSSSSQDKGSVDPPQPTAEPKKPRKVLQRIRHLVFGA PYEWTYSEFLMDFMTQSDQKHGVETEDHWKKIEGWRRDRRADTSLRKRMLGY I203_02719 MFGAIVAGRLVQTNLQQIDETHFVFPLEQPYEINHLTVFLLGTI PFPEGYGASVHFAWPGKEYIPLGVLTNTKPSAIYRLRPHLPPNAPLNQPSPPAQLGIE IAPLAQLEHIAAQIAGEGAGAGKGGELVKKVEVGKVAEKVVKNLFNYLHSFGGEIKLT PETPIPLSVFQQWYTNFTRKIENDKGAAFLDRED I203_02720 MPKFYEEEAQSNLLSKMTNQYLLEETVSDMSIVEGITDDSMGKD DVRAFRKEYKRQLQEKENQGKVSRQNLEKAKNVKWYIGRAADQQPVCEACGDRI I203_02721 MAFNPIYTASGKRFPEEVMQHISHEVLSSSDSSLLKVLQTISKD MYKSVTPVLYRHIRLSRKSFDKLFKTLKSIPKIQVPTLIQVSSSSPNANLPSTSRIRQ NLSYIQRISIDLTEFSIKEYQYDRMLGLLTFLREWMDQLLLPNASSICFRLSSRHNPP EKVLTIIRYLCKPKNVCVRWDQYDPEDEDIYEDDTYVDGEKEHVGIRPMPSTGSSDEK ITFHNIDVNLLQNIGVSSKSTKLSLGKITPCQKVSQNLNGKEKESYYCRYHDRWPYDH EGKCGTFYETEPQRELLRRVMGRYSSSSEFSKVFVVQGASEHSMREDELRAFKKEYDR QLEEAVSGGRVKNRSLDKARQVKWYIGKDVADQPECEVCGEPI I203_02722 MSYNDIRCRLFALFGSRYSTRCKRGSVSESNPSRYTDTPADVSG LEKRGGTAPDGLVLADWQTYLSYVTTINDTPYTVTTIANLPLTYYGPSIPLGDGWTYG GLTSLTGTDNLAPPMTETPVPSTDIEDSPSPTVTALSSQGSTASSSTSLPTSSSDPIT TCRSSIQSATFTPSTHTPTSVSPITSSPSTTFAPDTINDPPPVPTQPIDPIPTDSEGR TLLGPLLGALIPLALAILVILIFFCVYHKNRHSRESKFFSLFSK I203_02723 MGNKDKSREIGEHADIAGAGVKSPNEKSALLPGWTAQHHRRNSQ QYTTEVDDELMELAKRNDTLLQRLNLGLGWLKTTPTPSNSSNSSDGSGGRKASGNTLE KGQGRRIFSPATLASATAALATTGIGNKRNKRNTNLTASTEGYERVLEDDQLFFSVPQ RNESSDYSRSRTKSASRSPSVSQDLSPGQKRLSPPAQIRGMRSQTFSVGIPVTPGTDV QELSMEIGDGTRRARWSEDGERIRFPAPPETAGVGLGLYDDGTFGRPTELGEGDEGER RESYMSAETEYYSAPSHNSSPHVASRGIPIPRDTEEYRHVSVSAFGSHPSTPTQPGRF SQHGSSQSDRDVSPVKLVSPLASPSKETKARPISGIGSTFHSIRNLFSSTPTPSPDIR DQGSSNKDKRRSYVGQLLVDDRLKKISRSMREFGEPLKLGALVAPALISPRPSHSSEQ ASLHLSIPSQHHSHSSHTTSGSSSNDATEASHEPVVRGKRSKGMLIRASKIGELSRPP PLPIQVASGSSHAGGGIREHHQREWEENVDEFMGEGELLPPLTPDWEGTRDKGMRWSG RWSNN I203_02724 MTGNPRVKSLSDLPLEIIHLTLNHLQQSHQLGTLASLQRAPKYH YDLTTPSLYKEIIVNEVELAQLILPVIFGKAIPVLCTKLTYSGLSMAHYLQSESSKLC KNLSYTEKITLIQSQFKGNCATPTEGIFSSDFGRAEEEEENVTESTTTFSRTTLFPQL KYVSLQLSKRINPLLEDITRILSLHCNPRSVCVKWMDTTDYEMNDIAGRTRALGEDML KLMLSFSSNPVNFVIHAAETDFPFTGMGRHEIIRISYRSPFKESTIANQVEMDHLIGF CLNTGSVLSAQESFHIESARIVLPPGLDESVKHATETVKSTAEQFSIDYSSVSNRNDF DITKSYKWIESIQWVYGEEAEKESPCEVCGMSV I203_02725 MIASDSAFSRLPTEILANISSILQRSHSYGSLSSFGRTSNYYNT ITTPYLYRHIEITEIHLSRMLYSISTHDKQTSPDGPWPIDYPNYPTNLRYTHQITLRK CDYPSTIEVITETWGFLNDVRSDIWSKSPFIELHTLVLKIQSKDQWAPSFIRSIELLG QVVTRGIKHLYVIFEDTQESAILVQDNSEWIKWIAPFSNHSTKVYIQHTQFSSFPLYH RQFHYETTNVESPYVQDQLEEGILKATFDRSMIRVVKSKPADSRLTNSLIIEIPKAPS DYRDTKVMPFVLEAKASIDRFQYDGMDPKLAHRWLDNIQWVCGEDVVKEEEGCSICEG ESEVSS I203_02726 MNRNVIPHWANGGHDLGELNESKPLDLLSASDVVLSGHSCLMTP TIQDRTEEDHGTCHTLSTPDGTHLTYDTYSHLLSVLLAQDDIPTLINLQLCSKSHYTV ITPYLYRHLTLDEKCIDELLGQASRWNEGEGYQLFRSVRFGSTLIPHDDIKDILDLSA GTDTFDIDEFYGLPTSLQPLVTLLGHYRNFTGQYLFPSLQSIACVGTPDSPLELGEIW TLLSKLSAPTIVCFKHTEPFLYSNTTFASLDSFTGPVENISVHSAMPNWIPRSYSHPN CRINISYAESSCEERCDRKKYHPGKSCKERNKEEIAKWLVAGPKSNEERWKGNWSVHT GYSHTLQDLHDIKRICIEQIEGLEAGGETFRGKGWTGTSILNARLFVQGIRWVQEAEA CRCCNEFI I203_02727 MPSCRITNNTAHPLNICLKQVTALHFENSVQPGQAIKLKPGKVW FTLEALVDDGTKKSRYSILKSAATIALLSIAVGAVAATAGAALIPEAIALEGIAAASV AKGALVTNSGTIAKISSMALPRAIDKLSAELGGLSALQREVASIIASPTLSSDVRHRA ATLLRSIHHAYKEDKAKSSGATEETTTGQSSLPEKLQSQKGKKKSKGETTNDPITPLS LEEAKKLDEQIESEEIDHLVENRPVNSGEVLLIHGIYMNKRREFEIRTGDNGKLILWD LKEKVVVT I203_02728 MVPPPTRSPVTGNPVPPYYIHSDTLNFRDVHGRSLILRGVNLSG SAKNPNGQPSHIRQNFWESAEAGEGDFMNNPLNLEDGSADIHLARLRAWGYNMLRYVF TWESLEHRGPKQYDYEYMDYIIKVLRKCKEWGFRVFMDPHQDVWSRFTGGSGAPLWTL YACGIDPYGLTPTASAYIHCEWPNAENPKPEEFPAMIWGTNYTRLAGQTIWTLFFAGK TFAPKCVIDGKNIQDYLQDHFIDAVGTLAKKISEEASDILDECVIGWDSVNEPGEGLI GHHDLSKIPDEQQLKKGPTPTPIEGMRLGEGRPQQVQIWNFGAMGPYRGGHELIDPKG RKLWLSKEDEEKRGGGKWGWTRGDSWEMGKCIWAQHGVWDPTTGDLLKPDYFVTSPED SSHEVEFVADFWSLHWLSYSSRIRIHHPESIHFIQAPVLKQPPKLPKSFLKDRACSSP HFYDGLTLMTKHWNWFNADAIGVIRKKYWSIVQAVRVGEQNIRNMVQGELGVLKQDTV DILGSYPTLIGEIGIPYDMDGKKAYGYVDGGREEGDYSSQQRALDCSMNACDGPNCLN YTIWNYVPDHCHEWSDNWNGEDLSLWSKDDIPRETFHDESKSSPVINSSLSIPTSSST TLTASRLTTPKIPFTLESISAGDIPPSLILDGSRALSAFCRPFPIRTVGVPDRIDFDI ATTAFKYVVKVKLNDIASDQIATEIYVPYVHYASSLGLETSDVGLDGDNSRLSSRNSS RIDLINGDDKDKKNGTSTVRSVNPSIRTKDDINLELDIDVKVTHGRYEINGQTLTWYY DVPSTSVEEKYEIQIKRNGGALRKDVGFVQQGSWFDVCPSGCVIA I203_02729 MQQTGPFFIKHDDDEYDHIRANEEGKVTGVIDWEWSYTTTKFEA FIAPAGYLPDEFFRGTNDSLSTLEVALMEAHISFDRPDLTDCVKKGRKYHRLNDMLKW MMFVPAHLDGMYRAFNETPDPTPAKTQTVQERVLELVEKYRDNAGLKYLLANPLP I203_02730 MEFPLHMRKHALSTIYRIRSTSKGHPAIPLILPQYTGHPSVPSI IFHPRSFTSTTSTRQDGLAASNTSNATSDISLATEVSGVTLRPYQAHAIQACLEALSS GLTRIGVSSPTGSGKTTMFMYLIPLINSNIPNAPHSSDTTKEPSGRGKTLIVVGSVEL ANQSEKAAKRILGKEWTVEVEQSKRVSSGKADVTIATYQTLNNLDRLAKFDPKEFKVV IVDEAHHAAAHSYLRLLHYFNHNVQIPPSVLPISSTSSLDKMNVPIIGFSATFSRPDQ LALSAVFEKIVFHRGISEMLEDGWLSPARSTTVHAKLDLESVEENDQGDYKASSLASK LNTQEIRDLIVGTYLHKASDRRSTLIFCVDLNHVAELTDAFRQAGIDARSISSLSKPE IRKQTVLAFGRGEFPVLINCEVLTEGADIPQIDCVILARPTKSRNLLAQMVGRGLRLS PETGKQDCHIIDIVDSVNKAGGMLVSPTLWGLSHEEKEEQERERGESQLSQQEQPSDH AQGDYKITFIDQDDPFRLAGDSRPIVDKASNNAWVACGEGKYILEAMGNGYLAIDPSS PTSQAKYTITYRNAIPPELTGPRGSRSPFGRVKTVGHADELERALQTGDKYIERILGR DLYLQLSRYASWRRKPASEKAIKLLLKLKGADDPTSLLDDRGQDREIELYGKKMNVAS LTAGEVSSWLCAARHGAKTAKAAEDRKIERALAKQVAKEEKARALRERNLPLPRSIPT L I203_02731 MPQPPLDLNKYLSTPLPHLREQYPPGTGGTGPRAEFSGDLKGVQ EIENFDDEVTKYFKTLPSEPITPHPHYKYPKFVAALNTIPNPLKTPSDLQKALSSIPL LATSSVLTALDSDPEDFKFVYDAQALDRAGYESDGGVDGSGESKPLKPDYVTKPWTFK FNKSPLGSGEFLLTKGGSDDVKLVVRTINSSAFTSADWKEYIVTSPYKYNTKSKASWY WSRSYNAAKRLGCQYYVLTDWQRWTFGYFNEDRTHGWTSPILEYDAEKPSVLQALLFW TRSAIGAENGYKPTQKDVSSLPELFPANPARRISSSGNKPHQARDERKPRKANESDIE ESDAEDAS I203_02732 MFQGDRLQISEEVHDALSTSKPIVALESAIITHGMPHPTNLSTA SSLESIIRSKGVVPATIALINGKIHVGLTESELIQLSDPQSKISKGAVKVSRRDLGPV ISLKKTGGTTVAGTMYIAESLGIKIFVTGGIGGVHRGAESSMDISADLLELGRTPMAV FCAGAKSILDIPRTLEVLETQGVCVASYGDKQDFPAFYTPSSGCQSPWRVGDADAAAR LIYTQLSLPTKLSTLFGVPIPSQHAEAGADVQQSVEQAVRESVELGIDKRGKEVTPWL LKRVGELTGGKALGLNVNLIENNARIGAEVAVKLSEMYQLESEKGDALQYLYSLPSSH DESTITHPTKDVLVFGSAAIDLTSTSPRTLEPRTTTPGTVFVSPGGVGRNIAEAAQNL LPDHAEADSFGKLLMLELTAAGLRTDGLIGRSGKSTAVCSLTLEKNGDLVAGVADMGI VETLSPVTVEDIIRKRSPRMVVFDCNLTEEVVRAILKTCTQLNIPTFCDPTSLPKIPR LTSSLLSVLPASPTHPRPLTNISPNILELDHLYNLVGTLSERSEEIESRSWEYINSLN LGYEWRGEVDNWLSKPDRQWIKEQGIIPKMIRLLPFISSFWLKVSSKGLIHLELASSP TRVTPHSGDGIVYNLDSKIHQGKYLVLKHYLPPDIAQDEIVSTTGAGDTLVGGLVAGL VNGMEDEDWVGKALEGVKKSLKSRRAVG I203_02733 MSFRTYEQGLKQATDQANKAIQIESTLSTLSPLVSPLHTLQKAF PAYISSAEVYSHLLASSLVPAHEVSNIRKKWRLVLERAEKIKNRIESLGGQVGKVEIG DEGEESAVLRRGSRINNLELPLWTGEPSGSEFREDRFIDDRQPELAEEQLKYNPIWKE VPVEAWSSDKDGDRWVLKQGPVSDCSVVAAMGVGLEHDRRFGNGLNKVQLYPKSEDGR SRRSENGKHVLKLLLNGAWRKVVFDSLLPHTPDSKPLYTTCHPASVSEVEPSTSIGVP WIPLALKGYFKAFGGYSLKGSNPAPDIYAYTGWTPERVSLKEGFQREKEWKNIYERWQ RGEVMVSLGTGEKVTDSLVKLHAYGVVALREEGVERLMDVFDPGATAFTMTWDQVCSE FEALHLNWKPDLMPIVTTRHWSWPKPKSYSSDLTQPTVIANPQYRLKITSNASDSQEI WILLSQHITSKDRPLDDIALHAFEEYDHGGKAGRLIKPERADQTSPYANDMHILVRYT LRRSNSSILVIPARDRGNFQTGFSLNAFAAQGSTLTLERISQSMPFSQVISGSLNSRN AGGHPGHPSHILNPQYKVILKPPPRGGNIEGRITLQGEKERAWNVKLLWGKGELVYDV SEDMVVADTGSYSFGMAYCDISSVSPGIYTLVVSSFEPNHAGLYTLSVETTAPVDITP IPAEGAGMYSRTINGAWTEASAGGRPSLGGYDRNPRIEVIMPSTGIVLSRLYLPTPSS TPINLTIFRRGPGGGLGEQISTTGPYADTLSGVTTGKVKLDQGIYVFVPSSYEPVKTK WVLKIWSDVAISVEPFVSS I203_02734 MTSQLASFKIPVIDNEPMKTYAAGSEERKGLQAAVEKMLKSAPY EVPCVINGKEVKTGDIQSQPMPHDHANPLCTYHAASSEVVNQAIEGALAARQSWEEIP WADKAAIFLKAADLIAGKYRYELMAATMLGQGKNAWQAEIDAAAELCDFLRFSVKYVE ELYQQQPPRNSQGVWNRVEFRPLEGFVLAVTPFNFTAIGGNLVGAPAIVGNVLVWKPS PMATYSNYIVHKIFLEAGMPPSVIQFVPGNPPEVVKQCIDHKAFAGLHFTGSTQIFRK LWKDISNNLDIYRGYPRIVGETGGKNFHLYHSSAEIKSGVHQAIRAAFEYSGQKCSAL SRCYVPSSLWNNGFKDQLVDETNKITVGPCTEWNHFTGPVIGRPAFDKITSIIEQAKK AGGEVIAGGEWDDSKGYFIKPTVIVTKDPKSVSMTQEIFGPVLTVYVYEDSEYDNLPK LIEDTTEYALTGSIFAQERAALVSAAHKLRNAAGNFYINDKCTGAVVGQQPFGGARAS GTNDKSGSIAIFSRFVSMRSIKENFIAPQDHYYPSNFL I203_02735 MSAPSSANEALAAGKKRKRTGKEREERKRLAILAQQEAEKVEDV AEDSITAESSTHVAQDTSIGTGPEIRGVDVEQAIKRIPQALKFLHPTFKQAKTFETRR LIKKIKFLRTKPDTKAEVSDLEGQLKLLHDTQLHPLAQSHLLVKLRKNPSFKASSLPR SILDLLTIQETTSTSTSSTAGLVAKVENRLCSAKNVAEAVKGVVSWIVGEEGAKLVHH AKKQSKAISVQKGGLKIQDDNENEDSSEDMIEGGREMMVVGSASENEDEALEDEGLAQ QEYAADEAGWESGSIGGEFDGSDEEGEEDISDEDAIPIPPSKKSKPTPAPPQSKEKPL KAEKIPKGDITSSMFLPSLAAGFTRGDEGDSDPDDDLDPNGVIGKNTKERKNRRGQRA RQAIWEKKYGKGAKHVVKAKADDEAYQAAKAKREADKRGRPEHMKGRDSGWGARSGQP SIPSGSPSTPKSTMAPLQQQPPKEEKKNLHPSWEAARLRKQKMGAVPADAPKANKIVF D I203_02736 MSVATLRPRSSPTAQRRIQVAFASTSAFPVASSSTNSTPRRRRL RDDIPTSGVDLVAPPDPQSNIRPIIYASKPSINPSTNSPYSASEFPTGSRDARLENME LEWRLKRERVDLRNHRFWATTNLSFEALKAHRLSLLPPASDPPTADDERRKEDMLTQF YADWQIANQERQIRWVKEWWREVWDGIKIQGKIYFLRALRRKRN I203_02737 MKRCDFAKCVIEDCSEYALFGADRCPICCGAYCYDHVDDPSNHI CHTNPPSDYLFPYKSVVEDEIAEILEVLNFDAIVAEVEALRPGHRCIHVDRPENASHM EKLTGAYNFHLIIEYDDGLKWVMRIRRKQTSLQPEGALMMSHESEIATLKALYTAGIR VPRAYARPQDSKVSSHLLYFYQEFIEGLPTSVVLFNPACTETLRLAGEALIRNYAAWM IDLEKVTFDKVGSLFLTDIGTIHVGPHVERDRTILLDHPYLIGPYDTAKERWIAAIEC RMKLILDKKEVSPSNELHAYLVMLEMRELVKGCPELDEEFKVDRDTGELNGIIDWDWA SLTCKAEAYAAPEYFRLEHSKMYSSQPTTKERYLIQAYEDLGRCDLANFVRRGRKYHW LHNTIFWFQWDVKEMNAARRAFLALPDVIEGEPQTNEDWREIMLRKFDGDAGLMVLKA QKRLNCHERPRLDQPVC I203_02738 MEKCSFDKVGSLCSDHFDHQDIVVGPLIERHPVYTVPPYLQGPF NTAKERWLAAIDSRVTLVLSHKHCSSQTEVKYYLALKAARELVQNCQELDDTGPFYIK HDDDRFDRIKAGEDGQVTGILDWEWAYTTNKEEAFAAPSGFVSAEYVKGNNDSLSPRE IAMIDAYTLRDRPDLADHVRKGRKYHRLVHSLKSSAINIKRFNALERAFLDLPDSYFD QSQTLDEWVETLRRSTSTMRA I203_02739 MKFRGSCNFHIKIDLEDTASLILRIRRRAAHKYPDEPLRLNLMS EVATNQALSRAGVAVPFAYPGPKDSFIQD I203_02740 MYQPEVDQIMELLKPEIIKAEVEYLRLGHNVKQVHIPDKWLQFA IGFYGSFNFHIKIEFDDGEFWMMRIRRRKGRDYPDGPLKLNLESEVATNRILHKAGLK VPHAHLRLKDSKFHPKLIYCYQTFMNGALWRPWYYPDTCDLPLEEPSIRLLNGIANWF LEMEKLKFDQVGSPCFGKDEDDIIIGPLISRHPVYTTPPYNQGPFKTAQDKWLAIIDN KISLILSRNYCTPDRELQEYFMLKQARELVKDCEEMENPGPFYIKHDDDRFDHIRAEE ETGEFTGILDWEWAYTTNKEEAFAAPNGFVPADYKKGKNDILSTRELALIDAYTSHGR PDLAECVRKGRKYHRLIDFLRHDVYDVQIINALERAFLGLPDNHIGQPSTLEDWLEAM KEKFKDDEGLSTLWTYPVATLKLPEELKNP I203_02741 MPRRFYGSKSVLPVLQAAVNCLANNFYTYTYNELKEFDELWYDE DDDCFYFEVHQWEEGETEMCYVVMNPDKEEHEEYRFCTTPHWSNYRDEMICVDHLALL SNGPYKVDWLSTGDDDSEVDDAV I203_02742 MKEPEISQLLSLLDEKVIVSEIETYIPDHKVTKVHIKSTEDTIV ELRGGFDLLIKIDLEDVGGQSQSWFMRIRKRSSGRPYPDEVLRMNLESEVATCQALFE GGVDVPQTFPRPGDSKQHPKLIYCYQSFIPGDAWPPFDPVTKFNDPPNPPVDYLTLNH IKSVAKWFIQLEKVQFDKIGSPSFDTSGKIMVGPLVEGEPTKAIPPYFDGPFTSLKER YLNVIDSKLRLLRNRELVGPDSEIYSYLVLLELREIVENVKELEKKKGPFYVRHADDH SDHCRATEDGEVMGVIDWEWAYTTSKEEAFSSPDTLLPRVLSRTDDDHILTHREEALI QAYESLNRPDLAECVREGRKYSRLMRCLHHAWASIHDIRAMRKGFKVDLVAGEAYPTE ESKEDWVERMKLKYADDEGLKWVLDNPVFEPELPEECLEVK I203_02743 MTTHHPRQFPIILITGTPGTGKTLHSQLVQQEMSESEIPMKHLN IGDVVKEQGFHEGWDEEWKCWIVDEERLLDYLEGVVNPENGPSQTGFILDHHDPSLFP ERWVDLAVVLICDNSVLHERLTARNYPPNKITENVTAEIMQTCLSETRESYDEEIIVE LQSMGQVDNEVEENVERIVEWIGQWRKDRLEGKHD I203_02744 MSDPTAIAQQFTQFYYQQFDSDRNGLASLYRDTSMLTWESTQIQ GSQAITEKLVNLPFAKVQHKIVTIDAQPSSPSTASLIVLVTGQLLVDDGTNPLQFTQV FHVGRIQRRRKRNAGAVG I203_02745 MLPTLALFSVLTALTASVSAVPCVQFDSSWNLYAFGGSDDVKLG ANTTWSSPSTSTLSSSGRPPWTGTNSQCILSQTNNALYVVGSDSSDLSKIYVYNFASD SWSTQTTSGAPSDLGNSRSASVLDHDTNVIYTLTTSSGLYQLDMSSVTNSASSSALAW EAVENSSFSVDGYTVTATQAANHIFYFGVPNTAAGSANVFVVHYAYFQPEAQAFNGTA FPDTAGHAISIPTESDSVPYSMVFVPNDFSNTYIATHWTDLGDYTVTSDAPFATDLIN STQTIPAPTSQDKTASYAASPYDLVQVDSSGDIYYYATPVNADYTVSSSGSWQKLSYS VSGGSTSSTNSTSSASSSASASASGSQASGSAASSKTASGSSSASASGSAASSSSSSS ASSGAGKSVVARGDLLGLAVGVVALGVSMLI I203_02746 MLQDKLILSNLGILAQRRLARGLTLNRSETIALIASQLHEFIRD GHHSVAELMDLGKKMLGRRHVMVGVPEGIHDIQVEGTFEDGSFLVTVHDPICSDDGDL NNALYGSFLPIPSSSSFPLPDPLPPNKHLAGSVICLKSKIPLNVNRRRFYLEVSNLGD RPIQVGSHYPFLEVNPYLQFDRLLSYGYRLDIAAGTAVRFEPGEKKTVGMVELGGKKI LYGGSGLGAGEFDEGNRETTVKEKVEQGGFRHKKQEELKEAKVVEMDREVYASMFGPT TGDKVQLADTDLWIEVEKDHTVYGDECKFGGGKVLRDGQGQASNRSDEEVLDLVITNA LIVDWSGIYKADIGVKNGIIVGIGKAGNPDIMDNVTEGMIFGSNTEAIAGEKLIVTAG ALDVHVHYICTQLWPEALASGITTLLGGGTGPADGTNATTCTPSKFYMEAMLHATDTI PLNFGFTGKGNDAGVKGLKDIVEAGACGLKLHEDWGSTPECIDRALSVGDDYDVQVNI HTDTLNESGYVESTLAAIKGRTIHTYHTEGAGGGHAPDIIVVVEQENVLPSSTNPTRP YAMNTLDEHLDMLMVCHHLDKSIPEDIAFADSRIRAETVAAEDVLQDNGAISMISSDS QAMGRIGEVIARTWRTAAKMKDVRGPLEGDSEKNDNERVKRYIAKYTINPAITHGMSH LIGSVEVGKLADLVIWKPENFGARPEMVLKGGVIAWAQMGDANASIPTVQPVFGRPMW GAQPEVAPRCSIVWVSQASIDTGTIEKYGIKKRAEGVKNCRNIGKKDMKLNNYKPKMS VDPETYEVLADGVLCDAPPATHLPLTKKHFVY I203_02747 MKAANEPEVTQLLRLLDVELIKEEVESLRPGYKVTKILKNPDSM DNINEWKGQFNLQIKIDFDDGKSWMMRIRRKAGIRPYPDEPLRMNIKSDVAFVKHFIK VVLPCLHPKLMYSYQTFIEGPPWQDFPSSKPRDQLLSPSTKRHIESIAKWFISMEKVK FDKIGSPTFTLESREEVVIGSLIERQPSMTIPPYFSGPFRTAKERYLSTINGRLEALK SRTLVDPSREVPNYLALLELKELVGDDLEMGRDTGSFYIRHADDHWDHTRTKEGGEVT GIIDWEWAYTTNKAEAFASPMHFLPSEFYHEGSNDVFSPREYALIEAYQSLGRDDLAD CVKVGRKYQRLIYFLKCMFTNVLELNAIRKAFLKIPDEDIDDFPKTEEEWVEKMSIKW RDEKGLRGLVDNPVEETVLPERI I203_02749 MAQVVDKIVNSTVGDAKKRQMDGFTLEQNDKTPLTSYFGSKIAE TDIALRAGARGPTVLEDFHNREKISHFDHERIPERVVHARGAGAFGEFKLHTPLTGLT TAKATRMQILTDTSKTTPAYVRFSTVGGSRGSADSVRDPRGFAARFYTDEGNWDLVGN NMPVFFIQDAIKFPDLIHAVKPEPHNEIPQAQTAHDNAWDFMGLHPQSTHMQQWTMSD RAIPRSFRHMQGFGVHTFRLLDAEGKSTFVKYHWTPHLGTHSLVWDEALKLAGQDPDF HRRDLWDAIEAGAYPKWELGVQLVKEEDEHKFDFDLLDATKLIPEDLVPVKNIGTLTL NRNPVDYFAEVEQVAFCTQHIVPGMDFTSDPLLAGRNFSYQDTQISRLGVNFGDIPVN RPVCPFMTNQRDGQNTMFSKTNRTAYHPNRFDALPTTEPAKGGFRSYHETVSGIKERL HGPKFNEFVSQAQLFYNSMSEPEKKHIISAYQFELSKCYETQVQQTALNRINLIDHDL ALAVAESLKDVTVPDAVPNHGKKSAFLSQVAGKTQTFTAEGRKVGVYLLPGYSYAQVE PIKVALTAAGVMVKFVGPATGKVEAGNGQTQVAEFTFENSRSTHFDALIFIGGDSDDY TKKMKNGRLIHAAREAYMHLKAIAATGNAIGWLTDLALPGDLPADAKAKEEITQANGV LLAPSVGTGAQFSQQFLDSVAKHRVWDREVEHIAA I203_02750 MTTTPAPSQDGTPPNDPPTVPPMTHSIPPKAPMQLTPPYSSFSK RQKHLIITLASISATFSGFASNIYFPAIPTIARSLRTTESNINLTVTSYMVFQAISPT FWGAVSDVSGRRLTLLCTFVVFLSACIGLALSNHFYQLIILRCLQSTGSASTIAIGSG MIGDITTREERGGYMGIFQTGLLAPLAIGPVLGGVFADTLGWRSIFWFLTIYSGVYLI ILILFLPETLRSIVGNGSIPPYKKVKAPFERYVSNRLSASEQSESSVESEKKKLKIDF IAPIRILFEREVIFVLIFLSIHYATWQMALTIQSTLFSDIYGLGEIDIGLTFLSNGAG CMLGTLTTGKLLDRDYKRIKQKHSSAEDDFPIEQARLRTVWLWSPLQWISVIVFAWTT DKHSHISIPIIASFVLAWSAMSIQSVITTFLVDIFPKSSASATAALNLARCLIGAIAT GTINPSIRSIGVGPSFMIWLGFMVGSLGLVGVQMKFGPGWKKGRQEREKEREKGV I203_02751 MSPKTEQHSRLAVLGTVVFYMVVAISMTLLNKSVLSSTPMPVFL LFCQSAVAVVLLGLENMFGPYKTPRFESSTAKDLIPLVFVNVLGLIFNNACLQYVDAS FHQVARGLVLPFTIIVTIVVLHQYPSPLALIAALIVTFGFFSGVLFDPNHASSAASAA VAGKHTSSLGITFGAMSSVSSACHAVLIKRGLASVSNSPISLSYYNNILSTLALIPMF IISGELPSAIRLLSNGGASQFLWGATITGLFGFLISLASFISIKVTSPVTHMISSATR GVLQTMLAVWIFGDVMSRGRIISIFLIISGSVLYVYAKTEDSKKSSKQKHDEVEVPLN PKSLERGDVLFENDVEKDDDEKQR I203_02752 MSNIDMDFPMVLSAPAVGSGPIGQAGESGQGGWFPPIPNLTSLP VDKSKTDPKPAYYKLQFGDEVTGFSYYVRTLAVMIGRNTDRNGAVLPPPSITAPSVPI NPPLDPNQPSPPLPAPSLVDIPSTSVPTIIEFPTPPIQQHTSFSVPPQSPPHPSSAPL STEFLQDGFSAPPRAQSEGMIDHSIPPDLSFLQDEDYPAIDMGGFGVLEELAEVVKVE QNRAASAQRSVEPDSSSSRPQSQPPVKSEPIENIPQSSAEPAPIDDRTSQIGSVEPVN PSGPADSANMNMDLDNPQITHKDVVQLDMGLSQVKLEHLDDLAPPPPPPPPPAKSSAP VEHVDVDLGPLKSVSRNHAKIEYRADLGHFCLEIFGRNGAWVDDRYFVKGSIVPLAQG SQIQIATRIFSFVLPPSPVSSPTYTHYALDGVTPDINEDLPYPYNLPASEVGYQEFYG EPGPGPSSAASMAARAPPIFNAFAAADGYGLGIEGVGEDSWLGWNSDDDDGNDSDDDS EEELDESGEEWEEEEYIPPEASAATSKSKSKAKSKSTKTVLVPGEDESELSSVVSESN EPNEGEDGTKKKTKKSTVPSGNKPKPIAPAPPTAVDTVTTDLPITAGSTNGRKPSIVE SVAAEDPTIDGKTASPKKTTKKQDKKGEEKDPETKEKQQAEEGDMQVDDGKKKKKKKP KPKSDEATATTKEEVVDGNAAANVEEGDAAKATPAKKTKKAKASETSAAGANGEEDVK PTVPGEKKKKKKKPKADAESKGADDKAAPAQSATSATTNPSGPPTLAAALPPAPTAPP PHVPPTMPTLAAAMGPVASTAVPSVSNTAPQQPAVRRPGPSVVPPHVMPGQGQPMQPT QPLQPGQQAIRPMPGQPMPPRPPMQGPGVRPPHSQHMQNPPHPNAQYVRPPIPGQPHP QQNQLPGQGQMPNQHSYPGGPGQPHPSQIARPPPGYPMPPQNRSTPPTAPPSPQPLPP FYCTELNETPGQPGHIIVNVPIPPSGAGPRPPPGPLLGLDGKPFIGPPPLKPTQTFAT IIHRALQCLPRGRGTLGEVCNWVAGEWEWFRLNVDSGWQNSIRHNLSLNKAFLKVPRI PEDDPESKGSVWIIDPEEGPLFEEKQRKDAMKSASKDKNADARREKERIRAEEKAKRQ REAAIEAARNPQPQMIQRAIPVAPRPIARPISASQTPTPSAPPAPVSANVKGVLQPKA KIVVVMQPITSAMRAKSVISTTDANGNPLPFVCDGTTLVLDQATFGHLTTDILDKLTL LGAAGAVDVLSAWVINKNKQQATKAAQAKAGTGTGPTTTANKNGTTVNNGIPKPGVTA ATTGTGVVRPPQPTAKPGPSQPAKPTAAPVKPGTNSTNKALPGPAPPGTSLTKVIGMI AAVANAKGDVNTVGPNASALLRYIRVVGVDIDLRVAERIWATGVVPPLPQKKGAVNKP NSNGMTNGVNKPSNPTTSGASTAPKPAGNSGTTAQINTAKPSTPATTANTASVGKPVT AATQAAATPTPSASKVGTIPPSTPVPTVLKRKLEDDTTASAAPPKPLASGTGNSASNP IVVGGGPSSGSMEQEAKKPRLETSGA I203_02753 MRSTATRAIRRCFTLGIEPSRRSIPVVSPIARSFINTARPCLRR QPRPFTSASPCFVKQKHTTSSPPKHVIPIDMAFDVVQPNEVTAKGQSLVICHGLFGSK QNWRSLAKTFASKLGMPVYTLDLRNHGASPHAEPHTYSAMSEDISHFLQKHGLNEGVN LMGHSMGGKAVMAFALNEKLNKPLRSLISVDMSPAVGKISTEFAAYTESMMEIERAQI KTKHDADKILAKIEPSLPTRQFLLTNTRQTHGHDPHLVFRIPLQLLSKAITDIGQFPY TPPPPVSEHSPIWEGPTLFLKGSHSKYINIKNIPVAQAFFPKMKLKELETGHWVHAEK PHETVQLVKDFVESV I203_02754 MPPLFTSTSWTTLVRKIAVAMVYAPKPAVAISKATASKRTVNHI QKARQVIQSSFPSLSIPSHQLGHSYATIPIKSGPTSASRHFSSSNVAKRLGPVRQGLN AAGSRPRWVNGPSIQANVGLGKARTFVSTTYPSAQNAVNGKIPMVFRAFASLIEDEDR NKISNKGLPKASRYTPYTKNKLKPTSHGRRIRRSEVVKSIDSSFIEDLKHYFPLPSTE PSSEEIISLPLLPETLITEGKITILALPLSPSLEALLIPSQNGYDETEIGISILSKLT KGLISIHNAFSLHSSTRIIPLLNKLESLGVLDYHPPGLAGRVDGEVINDQDDQPDILR LIFYDRSIKDVRTILGESLRENEQGQWWVLYEDTNQREEMELNKEESKEIMENWNSPI IPASQDQNEQLVFPILDMSYQQQEGEGEVLFDISESILSTPSNSWPSTSSGTPLEVED QSISMISSISTESLTESLLSRLSSSDEDQRIWSVHPSDSDSDVESSSVLSQEMEEWNQ VDQLLASPTGGNGDEEGEVMVSWSGSGEGFGFLAQPW I203_02755 MKFISSFLALLTLLPTILAVTITGQIKFGDFPTRNILPVGSKVS INNGERKVWVKDDGSFEVPHIDEGEHILEPLIPGYIFQSYLITIHPSTMPPPPSQPSS PSSEDPSTPSSPTIPTYSIHIQPFYPAKAPLPISSTSLAHPLMITPLAREDYFTPKGG MNILGMLKSPMVLMMLFSAVMLFALPKLTASMADMDPEMAKEMAETREKMKGFQNMDL AGSLSNMLAGSTEDSPNSGTNTPNKSGSNSGSGGKKRRGR I203_02756 MTQKHLFSIFDVTKQVFYSTPLSIGIVNLKPLLPGHVLIIPKRV VPRLSDLNPPEITDLFLAVQKVGKGLERYYNAQALTISLQDGKSAGQSVPHVHVHILP RHSTDFDGENDRIYPLLEENESHLKENLEKSQAQKETTLPNGEINNQPKMTNGNGNAT GNGNRIGENWDIPSDEDRKPRSMQEMENEARALENYCKSIEGTQ I203_02757 MSTIPTLTLNDGIKIPKIGYGLGTANYGSECASHVVSALKTGYN YIDCAQMYANSKSFGEGFETFGGKREDVFVVQKCNLGGKTGTESHPRKILEGLLKDMK TDYVDLYLLHSPLLTKPLSLSEAWKVMEELKEEGLARSIGVSNFREEDILEIEKTWKV APSVNQIEYHPYNFHAPNVQRLLGVMKKHSIHVEAYGPLTSLTGAKGGPVDEVVQEIT KSKGVEESQVLLNWALQTTGGVVVTTSTNEQRQKLQLEAVTKDITLTEDEINRISEAG KKKFFRYRMTDVWDAAKP I203_02758 MSINTDRDRGRQGIGTVPSSSPPVLPSTGMELGLGIRLDDVEAG PSRLSSSSQEMCKCEICIQPDSSRSRTASRSRRSSARITSTKTTISSFLPLFLLPFVP TSLAAPPPSRRLRRSPSPTSSTSSFIPTPTSSEVEISSSFTEHRNIQYLTSVSTPSVL PTYNEYVDETVLPYLLTRHEEGHWTRAEGGWSLYGRQVATATAAPIFADDDGEGTVDN SQPTVTAPSYAVESVLPNGWGVSSNRTSIYKVPLISIASVILACGIVGLIVFIVISRR KKHRKRKRAKERLRRKALAAAGIREEDLNSGAGGANEVAFKEKLKELENQHSAKKRKN GQMGVAKNKVRVWNSRLGMRRRKNKGGQKEKEIEEDEDDAVRASIQVDDNPGDGGEEE DKAVEGVDQAQPISISPTSTLDRDRNGVDEDRQGSRSNRSSTDSTRGGDQAGVGTASR SRSQRNTQDPSNDGRIPAASGSTDQPQTTIPHFPPAYRPASVRSLPRHHPGPSTSSSS AGPSNPSDPPVVVSGTEKTQAPGYYPAPATEDGEIALAVVSRAEGKSRLVEPQSSAED GDEEENGERDEERIRHVATDDKRVLERLRMGGSAPPVNRPSVPDQDQDQDADGGEGEG PSAPFVQVDEQGFEQLDESLLHVPSTATDGSRSEESGNGLLPAPPRLNARLSSRLNDI PSLSTIEVDTSHLLPSAPPVLDQIDHDDEDDMPSAPPMLGEDEDGVEEVSVPSAPTFV LDEDEDAESTGLPSASMESSSNQEQEGQDHGHLDEGRQEVGNRRDSEVDAETEAEADT AATFSNALPARSGSGAVFLPRYEP I203_02759 MFGIDFSKPSRISVAAPKPRPATKSKTTTSSSTPSKVKATSSTS TTKASSSTKDEDKNVKRTNSYSKDPKGFFANLQQNEREYNRVHDRVEYAHSKDKDIAK DNTTSTPYKGKDKINGNGKSTRKEISSSSASSSSTTVTKTRTKRGTRIIPREQTPTVH SDEEDEMALGSTPPENMHDGYSDYRSSVSRSASPSRITSTPTPKGKKKVLVPASSDGE MCNTPKPRQKKRSGEDEDQNHVGTHKNKKKRRDDDDKGEINESEKEECQRLELEEEQK KKKRESFGGTDFFKQLGKLRKKGDYEDPDMTDEQIDLSKLLEDVAEEDEELSEEDRIY LAPYKSETELCPYCSEPFPSNPSANLLRQQQELYSLSVPKPTDSNPKARELSWQRHIE FCALHHAETSIIPLGIRAGYPESIDFTNLDKRLESGWIRERLDEITQKPLSSQAFRTV IKQIDEVGKDTWAGVKWQSKPENLDAVKTGYYGDLGRIILIEHFLSMRKWGYNPWLKS DTPMYPELIDPLSWTEFLTHILVPEASVLLIMDDHGHGRDGELRGYEESEKIRKESVG YGTWKFREDDDDSRIVMNGLMVGKDDKKKRLKRIRKAQVQERERGSNKDEIKDEEYGA DLMTPKPKSKSKSRLIKNESIIEVEDSPDTTPVILKTERARSKRQNSSQTSTKYDTGT GAGTDEDKPMIGSSQSTDYDGEWNERDFLEAAKIVS I203_02760 MPSSSRLNEPEDGELPEDNLPSSSTAPPPKLIRPAKPAKQWVNA LSKLNVDSSSSSKLPPPPPQQSATTSTFTSASGSSVRSRSPGKSLNPHGLPPRPSERS PRHKESDREERRKEKDKDRVYDDYEIPPSTSRSRYSPSPQHRRDREDRYRNEYDRGRE DRYWLDDRDRDRDRYRSDDRYSRGRGHGRHDREEAEYTSAWGQYNKHRDSILKERSVR SEDHYDGTGTRSPARRRGDSPPQSEYRRSDKEEQHKRSHDTPLVNPYSKQDIHRNGVF SKSRDLPEVFPEVGKHTTLLAENDLENKDRSAPIRILNRPTHTQRSTDEESTPSVPTP PTNNSLPPPSPPPPVDENGVAPPVASPPPPPPDSPPPPPEPPTVDVPLQAETTASPSK SRPLVAKAEQTANPYAPKPIPHAPNRNLLDPPTRAATPTAKKELNTNDSHADSQRFRS LTAEEELKKLGKTFEGTTTLAAYDLGAKLGEGTFGVVTKGVEIATKRVIALKKLITHN PRDGVSVTTVREIKILKSLNHPSVVPILNMVVERKIPGDRSNRGEVFMVFPYMDHDLC GLLANQDFKMTHSMAKLLMRQILEGIDYIHLNNFIHRDIKTANILVDKHGQIKIADFG LARSWTHDALMPPHLANEYTNMVVTRWYRAPELLLGDTHYGPAVDIWSLGCVLGEMYF RHPILAGDSDRDQLYQIFSRCGPLSQDSFPGWDRLPGFPEAVGHPWERTPVDTTLFDS APKWGMDQGGADLMMKLLKLDPKQRLTAYDALDHPWFWTAPLPADPNKTTINVESSHE MTTRQKQEPVASQAIAPARPPRQHQQIPFQSRPPPSYGGPPPGPRPQQGYQPGQQGFN NFGPPGQNQQGGYPPPGPLPMVHGQTGAGYQQQNGNPYGPPMGVGMGMGMPMQPQMGF NGPPPGQGPFGRSQTNGPYGQQRPPSQQGMGIPTAPFKLSGSGGGGGGGPPAAPFKLT GNNFRPPGRSMTQGNFPANGNGVGNGNGMKRAPSSGGAEGWRDEKRRKHEGGLPY I203_02761 MSSHSTTSTPSSPPRTPLPSSPNFKFTLPTPPSFSAHRSSSHSR NTSSTNLADLPHQHDDTSRHSKRMSISSIHDPIVDNSEKKDRRVSFSIETNKPISRPS TTTSTAPPSGGMKSPIYRIPQRQKSHQHKEGEEILNSPEIPLTPPPTKRRPISFQGVS PGSTRFSNMAALKGISIDQSLSSPKGAFSRSIWSAGILPSSSSKSGWISSAGSAGPAG AKSISSTLKSPLPITTSTVATGEPLKSPSGSGKKGTASEIAKARGLSIAIIKENGKGV LPITPGLMTASSAGGLKSPIVTVGGLKSPDIKIIKHINAIGDVENGLSSAGSIGKKEI ILCKFYHTPGLTCTSRPCRFVHNLSSIQAQLGSAYPQSATGALSGFRMLSPNRPDPTS GTFAQAQMTPSTATDFPRKTVKVTEDGGMDLGDVMPGEKVLIEDENGEEVVGQVFFMS GGGKGAMGKSREKWKTVPCKDFAEGHCPYGDYCSFIQAEVNEGKPAQQHRLTHRKSAS LSSSLTAWTKALPKAILVPSVKVDPQVLQKTEGHLSAFAPPYLKEPIAVDETGDLIPA SQSIEAIKASATTPPARAKEPLAIPMEPTITAPTKITAWAKGPPPNLRKVASIKNLSL TPHPRSHEKSSGLTPTSANHLMPPVSAISMFGTESDPATPFDPVVQRRKLQELEDALN DLPKSNLFQRFEHDQGLLPLPIMPTSPSQGYHPAPAAGGNQGNSILNSTTYPWGMPMS PLPGHGDPTVPLIPGGLGVIWTPTGWAVQDAAMKNALRSAEVKARYGEDTKRRTAKNY FRTKPCKFFAEGYCPHGDECTYMHVMVPSSPEQSSSSESESGASIGQTFSSPTSPYGT IQQPKTVHPKHQTLPCKFYNSSLGCNNGDRCNFLHTRVVPESVMMVERPRPWRTKPCR HYQLNRCTLGDACHFAHVLDPAWVNSGYHSQYQTSHPQQQLTEESLEKTLEEMRNGKN EMRGADDDEDEDEDDVEIITAVGDLTFSSTSYSPPSSVRV I203_02762 MNGSTEIEAGPSRSTSHPHSHTHLSSSSSGRRLSNSTPHHTTST STSQSRSSSHSHRRHSSSIPNGSTSTSTSTSTIPYSSTDSNEPPRKARPHHLDIGNYP TQQLLRLLAGLLQHIATSNDALRSDTDTDTDHQDREHEDEEGGTETLKEENTHDQNHH PSSSRSKSRSRSHSRTSSTQPSYQSSSPTTALFDNNPVPLPSTDQDTSTSTNAEDDQS EFPLFTASKSSLSHPSSLLAFHARHIPSISIEAYLLRILKYCPTTNEVFLGLLVYFDR MTRLGTPQGVGGSNVLYNHTNGKGEKKKNFAIDSYNVHRLVIAGVTVASKFFSDVFYT NSRYAKVGGLPPTELNSLELQFLLLNDFRLSISLEEMQKYGDRLLAYAMEQEEEPEEK DKLGVRMDRSSVDQDTNQQQDKDSSVEIVNGPSSSSESHESPDTNRDSSKKPSESSEK EKEKDVRFSTNTIVDGNTSTSTQPQPQPPPPVSAGPNTSTGSGIKDWVRGEEVMGRMA SPMRD I203_02763 MKYPSIITQQGAAERNTQPIIDELAKLINPSTKSQILELGSGSY VHLEAFARRWNNVQWWGTVRDEAEHGQRQYLTSSIAQARSASSRLSENDILLSNLNGP RVLDIEIEDDWKALETAVFYGTAGPFAGFVMINLIHCCPLDAPENIFRHLSPVNPSID TKLIDTKNGWIAAYGPWLNDDGSYKSDSDEKFDKEYIKSKSPLLGLRSIKSISDIASK WGFVEESRRDLPKGNVFVVWRVKP I203_02764 MSVPKSPSSEYSLLSSLEVLSISSGEPSRRSSSSISPTRANFDS ARRTSIDEQEYEHVFGISVSPSPSSVSIEASSSTDSLSVSESTALSKKSSSAKRRERK KKLIAGERERAALGIPSTRVFGSVSTRENLPSLQSPLEVPPPRTPKSKIGTGFYTDGH QQTPIAGLSTPCPLPNTEFEKDLSENNDNNDDDKENDEIGPIRRKTRRGGKRVRRRLE NRGLAKEASEVDDELDATLELDSIADDDTASPTSSARTSVSGTPIKVTVSRLPEPEEE VDEVDGLSALESELGTPSSKRFKGRRDVMSAEDAASSIDSFLSDPRNFMTIKANKLRL WQSLCIELGLVTLQGDELPDLPTVVCVPTPPRPRETTPEPPQPFRPVKHPLPESLTQA RKLLKDHAHVNLVDYLEARKYCPPAYVGAYQGLLYPSTSAMKRYTRHQGKFAEKLVVR SEWLEPLMKDFGVRKFKGGM I203_02765 MSIASAPCRCCRPLLYRLSSGGSTRQIASTAWISAEQSEGGFKL PARDWSVKPSQQSRNDAGPKKNQGQNQRRNNRGPKESRESNTGGPSNRRSPSSAKGQG QGQREGFSKWGVAREGPRNGGGDLNLASGFGLKSAKGKDQNGNSSGSGIGDIIDKTRK SDRTQRVATAFSSRSNRGSSAFGDLLGSGGQSKEKQQQPQSPQNSEDQSSSSATPEEP VLLGEEGEGEDVFGRRHSNNHHRKNRRDSGGSLLSRLSEEEEASLPSRPHHNPKRSHS PSQPNTISAQSQSMRKPKSPKPKVIEEEKQVYIPRTISVANLAKIFGVKLFNLQTRMS RLDMTEDQRRSDYLLNAEQACDIAIEYGFDPVVDDEASFDVYPDPDTADGKIHPLRPP VVTIMGHVDHGKTTLLDSLRHTSVAAGEAGGITQHIGAFSVPLSSLLPSGNITNSSSP STITFLDTPGHAAFTAMRARGASVTDIVVLVVAADDGVMPQTKEVLELVKSEGDKVGL VVAINKCDKPGVDFDKVKSALGAEGIHLEEDGGDVPSVKVSGLAKIGLDDLVETLSTL AEIRDLRARREGKAEGYVLESRVDRGRGNVATVLVTRGILKTGSSIVAGQTWCRVRQM QDDKGKPIKEALPSTPVSITGWKDLPSAGDELLEAIKGEDEAKKAINNRKRDEERKRL MADVEQINLKRKEERMRLEAEAAALEALESGEAPTGQDQVKPKEEEKKFLRLVIKADV SGTVEAVVGSLEHIGNKEAGVKVVHTGVGEVSESDITLAEASDATIVGFNVPASRSIQ TSAKSLQVPLHLESVIYRLIDTVRSKVAGLLPPKIEYSVKGEATVQQIFEINIKRKQT IKIAGCRVNNGIINRLEGIRVLRGPNRDVVYEGKIETLKHLKKEVGEVRKGMECGIQL EEFDEIREGDEIVGFTKVEVPREL I203_02766 MKSAAILCAALAAAASVEAGVHRMKLEKIPLTSTSLLPDASHVR PSPEQEAEWLKHKHLGLSHEEFFNGQKPLMGLGGSGRKVKGEHHYHHNHHEMSDEDRE RFWAQMIHHEGNEKRTLKGGHGVPLSDYMNAQYFAPITIGTPPQSFKVVLDTGSSNLW VPGVSCSSIACFLHSKYDSSASSTYKKNGTDFAIRYGSGSLEGFVSQDTVAIGDLVIK HQDFAEATKEPGLAFAFGKFDGILGLGYDTISVNHIVPPFYNMLNQGLLDEPVFSFRL GSSDEDGGEAIFGGIDDSAFTGKLQYVPVRRKGYWETELEAIKFGKEQLDLENTGAAI DTGTSLIVMPSDVAELLNKEIGAQKSWNGQYTVDCNTVDSLPQLSFVFAGKSYTLDGK DYVLNAGGTCISSFTGMDIPAPMGPLWIVGDVFLRKYYTVYDLGRNAVGFASAK I203_02767 MASMTASPIPSTSTSVLAHPRITLRPTSSTGSASASVSASARVT PTPSRPDSEEDELQDDERPSPAPIPSSTSQAQNQTPLLTSTAMKAPLPPPVSAIASGS GSTHSPSPRGGGYSGGGGKSRKSSCELCHHRKIKCDQQRPSCSSCIRKGHFCKYAEEA EQTHQSTLSSSSSSIPKPNTNQRAMSVTIVNASDPSIKYTMPVPAANPHTPALKVGYG QNNNNNHPEWKVADSGSAEKKKSAERRERIVSGFDSDSEDEIKEGSVKGKGNNKSKDL DGELAALMEGEMGTKQEEIDELEDDMKEGSVESEDKDKDKKRGIVVDDDLSGELLELA SAPPKKKKKPTPTASSLTTTSQQGFSRPAPKPIPNRPSYGRSSLPAGVLPPTPATSTA ALSFTNRVESTRFDPYSTPLQNLATSLPSPDMQNILFNTFFNDPFLNEGISLLQPQYL DDFKGLLERKNVRLQPGDATTLANAFAFLATALRILPDETSKLLLASQVYTSGSTTTP SQFPRSLSKLIACQPASMNDVTPLDQRYLDLALVSAQIAEQSDPPSVMLVMLKLVLYR FCMLGHRRDKVVLAGMWLAQAVKVAQALGMGKEWEGLTQGERELRRRVMWSLYVADRH HSFETSFPYTIMDAHQGIHLPSPMAETDLYKLRPDIRELPAHATELAPTACTALFIHT HLARRITPILDSFATISAANTPHDLVLRFDASLDAFQEALPPYFRLFPLTETQFDSTH PYLVAHRIRLHSTLLSYRIGVHRTHLLTYLIPTTPSGIRQVIAQVCLASLRVQRSSKM LDPKITFRLFNPMVVFENASTLALIMHVEKALNSDMEGMGAGKYVNSNEWISMRSGLA EANELLDNVQPGEGQTYARKATAVLRELMIKLDTSMSIKVMPSQQIPNDVEMSPLKIT TPLDSHRLNHHHQSPNPHSVSVSPKSHVNVNDKHSTSMSMSPQKSSSSSSSSNGIQQK QGVMINNIVTWLEEMRKGGINLEVLLREPEWVGGWERIIIGM I203_02768 MPPPGKNGHREQKLVVVGGGGVGKSALTIRFVTSQFYDQGYNPT IEDSYKRQFVVDDEAVTLEILDTAGQEEFAAMADQWYTFGAGFLLVYSITDRPTFESL PNFHREILRVKDKDYVPCVVVSNKCDLSRLRAVGQLEGREMARSLSAPFIECSAADGV NVEVAFRELVKLVRKDQRRQMLLDQSLGILPPNDDLGISTQSNFHTHEKRRRKDDLDD SGGCCVTM I203_02769 MITRTLTRPTRVSRLSTTTAITRRTLIPQPPPSIVAPRPLPTLH RPTSQDAQSNRSCMTKLLDELGKIREKAREGGGEATLKKWKDRGQGKLGVRERITALL DPSSPFVELSPLAAHEVYPDPLPGAGLVTGIGMVVGRKCMIVANDPTVKGGAYYPLTV KKHLRAQQIALENKLPCIYLVESGGAALPYQAEVFPDHDHFGRIFYNMARMSGLGIPQ ISVVHGISVAGGAYMPAMSDVVIIVKNQGRIFLAGPPLVKAATGEVVDEETLGGGDMH TSVSGVADYLATSDSHALSLAREAVQDLGPATTPHVRDLKNKPIKDPIYPIKELDEIV PSDPRQAYDPREIIARLVDGSEFREFKREYGKTIITGFAEIHGHTVGIIANAGVLLSP SALKATHFIELCSQRGIPLVFLVNVSGYMVGEKAERGGIAKDGAKMVRAVARAKVEKY TAVVGGSYGAGNYGMCGRAYSPRFLWMWPNSKICVMGPDQLSTVMHTVQGKRQDQGTD AAEQKRQELKEKIEKQSDVLFSTARIWDDGIIRPSDTRDLLGLGLELAHEERASRTGN RGNANGSRGEIGADGDSGDWGVFRM I203_02770 MVRSRSLQNRKARYQKRQDVAAEEQATKTISVQTQTVEIDAAAG GNLPTPSSILDNPTGGMGQLKLAMMWETINEFYTEIGKMTEKCLIPADDPSQKFCETL LNGEILGGANGDGTSTPNSSSSSASVAGSTSSAETVDQSASATATATATEGGIASSSE TSSSETVLTSTDIPPTTLSEVPSSTTSSALVEATDSASISDIALSSGSAESGILTVNF TVQPATPSSSDAAVEAASEAADTVSIPGQQLQVRAIGLGVLGGLAGIAILVVLYVTYQ RRKFKKQFRSRKLAEDAAPMAVGKNYGSA I203_02771 MSNSSAVLAIDGCTRNATVVDGDTCDSISRKYGVSTYQLALVND ATIDENCDNLEPGQVVCLGIEEHDCTKVYTVVADDTCDYIQQTYGISNETLWSNNPQI DAECGNIYVGEVLCVDTDSYTYPTYNETLYNALAYTYLPFCDE I203_02772 MSSTSFIASTFSSSAPSQTTTTTSSNTNNSNNPGSFYKNLFYIL IGLLAAFGLVSFLSLMRARRRRHAIVREAERLGVIVPGVPGYIPLRDRRHMNWMKADG SNHPDWWEIEKANRPEQEQVQEGDQLLREGLGSDSAIVDDQNQNQGQGTTDEFHPLAI IPPKSTEPTLQPIPFSSLPFFPNHLAYRPESLTPPPSKFLDCSSDPKILNELVNDRIE IVTIIKMPSATPTSDPPPRRPDEDEDDPESIIREWNGIELGITSATVSSSRVGWNG I203_02773 MSYHPPPQSPLQLLFMGTGTSTGLPLTPCLTLSNPYPEAFSDMV PLLRATSESDSPMAHIKGTWNPQGEFPRNIPCPCCRSAVDQDVPEGWKNKRGNTGVLV RKQNEQTGEYKNLLVDVGKTFREQAARFFPKWGVKTIDAVLLTHGHADAYFGLDDLRE WCIRQGRAIPVYLNQETYEKVCEAFPYMVDKTKASGGGDVPQLIWKIIKDDDQIDIEG IDVKVLAVHHGIYFHTIQPTSSPTTTSPSKRLEPEPLICLGFMFDTSIIYISDVSSIP EPTWQRMLDRRRKPKSKAILPTPEDTPISTPSNEEHQAQDQLPILIIDALWPLRPHAS HFSLKQALLAALRLKPKYTYLIGSTHPTTHFMWEEICLALRPEGENGKREHPDRVQSD WLVERVIDRVYNERSQKEAGIKERWNLENKDGIVRPAWDGLVLQTTIDGEGEMERLDL STRGLVY I203_02774 MAYLALISLQWILKFKPPVYISQGLFLSIYTALESVSWIHSYFT SIPNITKNRLEISNEDRRGLFEELMNNLRGKDNKGFDDWLRGWFYIPRLQSPNKETSS ITNIFNASQIFDVPEEESELVMRYQEIKRGNVEELLCGIFFDSALFEVLSDPIKNHTL ISMMNRLELQRNHKFPSGHNNALKPLCPRLDESLVKSEYRPLMFYMGMAIIHWIFELL IFCAGFKKVRQGCMTGWYHPNERKSGIIKSLIRRSATKGNEGKQRLPQPQPIVFIPGL AGPFFLIRLILNLLVLDQPILVVDQPHLLSKLRLSMPSTWTDQITIPSLPEISKDTIS FLQKQTNYDRRPKATEDGKEAAVGMMIIAHSLGSALASSLIKHINDNTNVASSTITDT NENGDIKLVLLDPISILLSHSHLTQTIYLSSPKREEQEGNMGMLKRYLIREKGMMRYL INEFNPFDSFFPIHSYLESPKTSSNDNTKMKMQMKVKMKVILSEKDHLLPVNEIGSYL SRNQVDHEVLQGVQHGLWVMDRKGYDRVWDCIENMIKSNIEKDDNNNNVRCKVETNTS VQDIMLEDKSKFTMAMSRTRSKSLLLISEILNSPSLHSSPLKMNMSRMRSRTISSMGY CQDSEQQSMSRQCSSIGMNKTLNLSRFKRGYK I203_02775 MSKLQRFLQTKSSSSSRHDRDESPSASQLISTSTATSSGEQDSS IRSNDTSFFPDARTPNNTIIQQNEDSSNSTNPGNYDTYSWQESGSRPDPTPLQYSQDG YHTSSIITPNSSINQSHASSTRFLLPKPHSNSTHSDSIDSIPHSNRPIPGPLLSSSLI TTPSTESTSFTTPPNVKLPLDLSAAELRTSNGPTSGGGKGQDDRDAARLRQLGYDAVL GRDYGFWSSLSISWLNIGALQGTIYAVSGCYAYGGPAMILVAWPTSGVFCFFLTLTLS ELASAYPVSGAMASWSWKLARGGIGGERGWAWLMGGFMLLVIWEIVNIIAGTMAISFQ YQKKPWHMFLFFVAVLLIVGTVGSTAWGRSHRFWLGSGIFGFTMWAVLCITLLTTNAT KHRSGDTFTTFYNTTGWTSKPYVYLLGWQFTTIASGADASAHMAEETQNPSRNVPNAM TGSVILTYILGYISIILLLLSIAPEDAATVRMHSFPFGFILTKAISESGAITICCLMI VVLHLQVIAQLQASSRFVFALARDNAMPFSDWIRKTNDSKIPVFANWLVIALCLPFAC MTLGSQATLYSVLAVTACTMSYTGYIVPVGLYLLSKKNLLTEGRTTWSLGKASKPVAV VGFLYGLTLIIAQTLPGSRPVTAATMSWSPIIIVGTILLCFVTWQSYGAKHYSGPIKA VTKWETGVEIDLSTTLGSSRSRPSHGTPLSPRHQQRSDLPLHTDEIPVHNNSETESSL KLALEPHMPSVVHTVSIETGRSLHDHEHVEWTTFDDSHGHGHGQSELGIGSEWTDSSL TDSSASGSEGTIRSGERTPTTSRI I203_02776 MSSSARITSARPSVSASPSSAEESQAVSLSQQLSSALSSAIASA SASASRVQSSAVISSTTSSAIASSIASSAVESSSASISESASESSAIASSSTSSFGTT SSPSASAAPSSAVPSSTTSSANAGAGSSTSQDQSQSTSVVYVTVTDQNGSTHVTSTGI QTGSATSGAKKSGGSNTGAIVGGVIGGIAGLAILAALLWFFCFKKRRNNDQAFDEKTF DPTRAARHSVNDPIDLISPSAPNISGAGAGAALGAGAAAGSTSPRVDPYPYASSGGAE DYDPYAHAPPMQMPDARHYMQGSPGSGSGNAYNVYGSGLEGGYGVAAASAATPYGSPP QQQYQEGGAGAYGGNMSAAAMAKQREAANERYQNRMSGGYPAGGAGPSGPSGPSGSPM VSSPDPSETGRRTSANGSVYYQHTDGGGLPADGDEEEGLAEIPPK I203_02778 MISSKAHLLSLGMTLIPLTKALSGLITDPTQVSNQSFDYVIVGG GLGGLVVANRLSENPDISVLVIEAGSDNRDDPRVYDPYQYSVAFNTELDWNWPSSQGR SIKGGKTLGGSTSINGLAQTRGQKAQYDSLSTFLGGDDAGGVWNWDGMLFGMLKSEGF SAPNDQQKEAGASSNPALHNTSGPLQVTYPDEIFHGPQQKYFQTVVSTNFSVASSPDA DDGNANVVAFHPNTMSWQDSDHRSSSATAYYSPVSERSNLAILLQHLATKIQFDGNKA TGVEFGASSGDRYTVNANKEVIVSAGAIQTPALLQLSGVGDPALLNSLGINVVANVSG VGKNLQEQTMNSVGWTPIDGFDFQGRGPSDCMAYPDLLGLTSSSNNDIASTISANIGK YAQEAYDAGAVVSVEAANAIFAIQENLMVNNYSGLVEVFFDSGFPNGGLGIDLWQLLP FSRGTVKIKSTDPFEYPDLDPRYFAADVDLQIQIAGLRMARKIFQTAPLRSIVTEENA PGYGTVPEDGNGGSDEDWSKWIIDGFSSVYHPIATCSMMSQELGGVVGSDLKVYNTEN LRIVDASVLPIQFSAHLSATLYGLAENAADM I203_02779 MIRILPMILSSLLVAAHGDHSFDLDDLNDAGLSYAERHMHTEHH IDSFDLESFFKLHDLDMNGFWDVAEIEAVYGLHHHSVKDKIKQPELVDARTKVVVDKV MEKLDTNNDGKISLAEFMAGGTEGLPSFEGYKDLGHHYDEESEYFLHHEELYHSTPET QTDESYTHPEDIEHFRHHAEIEDAEDERERKFEGLSDDADLSKDHVAHDPLDVHSHLP GDGPSDVPPQPESTLKPGDEVDPPPQQKIQRVDPLAQPQRIIKANSEQVKKEEWDSAK QAAQGEKYEGHGRPRNSQERLKSGVPYSKFTGQFRVYF I203_02780 MRLENLDDGSKLEAAWHESLSTSLTYFRALLSSSSSSAWKPVSV LPLTASTTAKDAGKSTARGSSLGKIDASQVIIHRRNGKSGEVYRAVVEVDCGSDVSID TFRGCIATPETRPMWDRMVEEAVTLDLLDAHTRVTKTNYRLGWPSSPRDAVTISKTLV DQYTLVDITTSLPRSKHEPAYLRPAPPHVRAHVALLAWCIQLPSPSPSSTDSLPDGKA RMTCFWSWNPKGAWAVGGGVPQHLPSLVVGLVDYVRDGSEKVPVLLGYGPDVAIGSVG YDTARVTLSVAYAIVSGGENRETEDLRRQVEFGISSTQSWDIQITVRTQHGKDSPSTS WTYFVGQAPTPTDGTAAPKRLVLRFAHALLQSGEELVRVNVSIERTTSSVAGVRINGI PVGVEPMQIPIPRRPLLEDAASMTGISLRTIATADSYRSQEGSLEIKRTVSQRSDTAQ KSIASLIRRNYIYFTSLLQEPEQKWRPVLDSRGVAIHQLNSIDKTLVVFRAEAVFVGV GIWDLFAVIASPGARLVWDKSHEDAALIEDVNELTDLWHIKSKAAWPVSARDSVMLRT TYKSPSSVHLFGFSTDHTDLFPRIPAITDPNVIRTQIDLQGWSVESLSPNTSQVTLLE QSDPRGWSNKSSIPQVMMSTLAGIGEFAIKHGAPPIATRLGGAKVLLSRYDVEQETFR FEYEAAESRRSNSSRSEASSLKSMHVARPLSNIECEIRCDADQWSNSFAILIDPPQQA ISALRRHRLSPSGGGLWLTIEHDPTILKNDKVAITVRRGTAPPGKTSVTVNGSKVKID LEDLPDGEVQLLKKQKRGRPTRAPLDQPPALGTLRKKRSNLDLGTISATNSPDLAKSP NSPSTFTKYALPFTKWYNVAAETTRAAIIPMTTATPAPDSGSSPVDAAVRALGQLAKI HADRDGESTDPNGWQPVSDRDGLKIERRTVNHVSESFPVFRAGRIIEGFTAEDVSAAV SSLRADERFEKPTCLQSHGHGITTSHMVAHTTFPFRARSMLVAAIVARMPDPPPPSPS LHGPQPPLSTIFHASSSNFDSEGIGMNWNKYNPSALPQGHIILEGWIMETIDPYSHEQ YAIPSTRCMYVASVDYSGSMPLSVNNMLNASLPRALLSIDNILKNFGPPSRARSPPMY VLAPEERSSAPWALEGIDDNHSGVCQRNENNEYSLTVTIQPPTPASTREREGTLSPPL KHNDSRSSVNTGRSTVIDLAEEIRKGRKDLLVLEVELGSSPTKSGCEIGLKAVSLPVA LHNPSSEASVLPFDLPQENLDLPFKLSVISLAPSVLQSASLDPSSQSRHLLRVTLPTT GYDAPISDPLGGTAAPTPRPRWLLDLINDGAVVQLTLNPHKNQNKTGYLYGLNEVPVE DEKRSKHFGLRDSNNKQNLPQLVNRSTQGSTSLDKPLAVAREYLKDEIQKGADGVEQG EVNGLSGSSQTLEPSSSTTALPESTSRYSYNFWKYSRLPRFTNSAPATAEHSPVKTTS AKLPDPPVIPIGSSPSTSPSKLTASASKVKTKTASDAHTHTDGMMIDKKILEPVVSLP GLIIACIICLLLGSLFRSLLSEADFVIYQSPSSLIPGLGLGKDNEHWRELKRLAEFRI GWNRDLIVAIARRG I203_02781 MVSNKHDFTDSEPEIEGDGDSVIEAGPSNPRKRQLSEELAESSN AGGYSRGGSVEFDELLGDDDDGVEGDTEPVPPPKKAKKGGNPLKITLRPGINNLINPQ PEPNSKPKSKAKSNTARHSDRSSLSPPPPITLKFGLKSAMAEKASYSSSEDDDPLPIP EPPSKKKKSVSSTSTPSSSKGRTSAAAAASASAGTGSAHKKSYDWLAPSVAGASHRGP PERQGSISNGSSKITGWSPADEAIDGLLDDSPDTTTEKKPPKKSHKKKAADAPSGPGK AWRKGIKKSMTDSVKAEDGLSTPGGSPLVHAATPISREASPDPLEIPPRLVIERPMNP TIASASREPSPPFVLADAKELGFPVFPNPIHAPKIPLGAFPKASQRIPYFSVTQYFAP INGGDVGPFPRKEPVRSWTLGEKVIVGIGGGQLKMKSWTMGPPSELSRLVQADKEAKE IARLAKIKSNANANVNNTNANATPNNNPTTTPVEGNGERPPLNSINSFDSSTPSLPPA SGEKEKQKEDQKEDTISEVGDVDELQLQSGQNTPPVAISTSTPAPKIKVKVGGAGSTS KSKKGAAPRKSNLRQEILPTPLQSEGDDNGEDEL I203_02782 MSAVPKYIYKIIPHSSVDARFTFPVPIPSSHQFLTELDQNDRYI HFSTAELIPGTLNLFFKEDPAVTLLRVEVARISAWKKVEWFLPDQTPRTGDMPYLAAH VGPVPLEGEYVESFKELFKQIPTGEKSALSGWDSALTNKNIKDWLV I203_02783 MTFTTTQINATGASIISGLTLIAATYLFYSLMKQGKGKLRVRLL IGMVVSDLILGSVALPLEIMYLAGHAQPTGSSGCNAQAFILTSVLFSQHLWTLAIAFA TFLLLTHPLSSLTSAFERYSWLVAPIIWIISIVHSAIWHGTVGWVNNGSLCYYGTKSN GIDRDLIQFIPRAIVFIVVIVLYSRLFRFLRRPDTIQLSTQFMAGTQADVSRNNGDEE NHAAGAHNVGGHGSKLFRPIFKLGRGSSGTKDPVNPEAPWEALEFVTVGGAFGGRLTN NTPLHTSTIDFTPTVASGILLASRPVSPELISPGQEIKDPFVNSTNIINSRYPSTSSE ASGPSSDSKKSDTYTVVTPELTYQPEAPSTVDSTTNLVTSDFHPIPTIQRHHVLSPVL SQGSKADLHRDAQEDRLGVYDDSTLDGGLGPAGSIGRRRSSLVEFDAGLGQIGEDRRP SGQTLKEFFQEYQVGGLDDIQENRGRGTASGSKSGGIGQPMSASAYFNRQASLLMLYF PLAYMLVFSVSLVRLVYDMVHGQPTPVLSLISAWLVLSVGLIDGAVYGIAELMVKAKV RRKMPEHMQT I203_02784 MSDLPFPVLPKTDQGKRICQVIGVKPERLEEYKKVHAAVWPEVL GALRKAHVVDYSIHFFEPHNLLIAHMRYIGNDFDNDMKGIAENEATKRWWKLTDGMQE SFVPGATGSESGPGWWTAAEEVFRMEG I203_02785 MWIKRIDKRLVLSRLSHRRVRSYHDDATFGYRVPAKYQLPDYTQ EELDNRNANAPLLRYVESVRRHGHRAAQIDPLDLMDRDPVGALDASRYGLQRTQSYPL QGILHTPPSLRPTTPPSTAAPERTETGEGSNVSKSLEEIEKHLMEVYVDKIGFEYMHC PEKNERLWFSHHVETEASSFPEPFEEERKKQIWKLLMRSEELDRFLGKKFPNLKRYGC EGAESMLPALSTLFEVSAKSGISSIVLSLPHRGRLSLLCDPDLLDFSPTALFAKIRGK AEFDPSTAPGATGDVISHLSATRDIPFGSDDKVNVNVKMLQNPSHLEAVNPVALGVTR SKQMELLKSSPKECQLGDKAMCVQLHGDAAFAGQGVVSESLGLSGLPHFGSGGTVHII VKYPSIGYTTPASLARSSVYSSDVAKMIGCPILHVNGDDPEAVARAVDIAFRYRQMFR KDVVIDLICYRRWGHNELDEPGYTQPKMYEKIRGRKSVPEIYEARLKEQGVLTQESAS QARITYNEHLEAQSSQMEKYKPKSDMLEGKWKNYVWPAGSEADHHPDTGVNKGELTNI AKASVTLPENFNIHSRLKRHISSRLKSLEKKVDFATAEAMAFGSLLKEGYDVRISGQD VGRGTFSQRHAMFVDQKTESCIIPLNEELGESAGKLELANSSLSEMAVLGFEVGLSWS SPKLLPIWEAQFGDFMNGAQSMIDTFIVGAQAKWLKQSGLVMMLPHGYDGAGPEHSSC KIERFLQLSNDSQTSNTHGDINLTFVNPSTPAQLFHLLRRQMKRNYRRPLIIASPKGL LRSPLAASALEDMTPGTTFQPILEGPTDPSAKRVILCSGKHYYTLLEALTRSDKLSSV NMVRVEELSPFPYKELENVLSKDENKDKEIVWAQEEPSNQGAWSYVKPRLEAVLENVG YKGKVRYAGRSSGATTAVAVGERHKTEVEQMINDALE I203_02786 MEALRPVQQHPPQQDDIDQLPPPPEPFPLHQLVGTSSSWRVDGN GSLTRSDGSKQNVRNYLSSVQQSCINALSSLPPDPSYTYTANGTSLSESSSQLSSALT DLLEVTYELDELLPPSTDPTPSALHTDTFPDTPQPDEDEPQENKIKNSFNALTNLLEG LQDARLQDDVELPRQKESQTERKEGELHPAIKVVREELAWQRLESLMFAIISLSQGGS PSLVSAEGLAQEQHSELKQKNSSTPSTFGTDNGLPPSYEQFSDHQHRPASQTDNLSLL PSYQDIHSDHNLDQDQAGPSSPIKSKQLKEPSPSNGPTATREKMLQELDSLTSAIERL SSIAPRLHDQRVELKLKLKSPRGVVDERSMSKEDKMKLERQKMKELEEIWDKIERAHG KRRIRVEDGQRADGDGWEKRTRERFMNRIVDQAEARRLEDQDSMMGSVDAELARARDL RDRDHFLRDLMDQSGERRLDEQDATVPRVFDRRASLMETLMDYSSSGRLHDQDSLPPT PRHGVNGEKVEDPFELVTVQDFLLSVGPDRRRSIGGDALLRSSSGEGSSTSGRSTPTA FRKLVGLVRRGSVQSGLKASNGFEMNNIAYVAEHQENLRSVQITLHGVGISSNLELQV ESTSSDDEEGIITSRKDPSVSIRIALPAPVEPGQSVIFTAQSLYLEAKLIAQPLPPAA ASLLPTYPLSAPELRYMQARALCCTSCDRELSTLPYGHWDTSYKDLPSEHWAEMMEVW MCHNDPSFTARLAERTKEGFWPQDGGVLVGGSYLLVGKERVKQGTIHVENGNDSEPWN VVSCHCGEILGKQRANDDKPGHETVRFSKWAVSLLVENEEDDKIECIRSPLSVFVISD MLELSQAHASHRFIISEEETGDKKIYLWLFNPSVKMSYARPSDSSPLPSPLRKSITIE HNERNRISRRSSIASSIGGKQPSQPSNHNGLGAGTNQKVLRASKIMYKVVEPLSENDF ESLPGFGPGGQVESLSYPSNVCERLIGTLRESSAVYPIGRRSMGAFDVGFLERK I203_02787 MSRVATSSLFRSTSVARSVIPIPVKRILGVEAFTGPYPSGIAHL RRWNSTTSTSDQSPKQPGADQSQKPEDKPNSSRLETGGKGGLKISWIFSGLAGLGALV TIYGLLEFYSTLKAWPKAVRIPLRAALKAKLRQDYMKSEKYFREALEVALALGPSALE PEPLQKITGIYVELANVLELMGQRVTAFEELRNAVDLLGPNPTRQPGLEGSGEWIGQR YRLNDKDHVRGIGLYQKLGQISLDISNSTKAPPYTPPTRSEYEINTPTGKEVENWNEA SEYYLSSALTAMLKLGLTKSTTPAIGASNQDQQVVLGRDVDLPSGEISEDADQGGSVD KRGLGMTMESLSEVYAKKGQYDLAAQLLLQAVSLLLPPGSQATPPVRDRCQGEPLMTT ISSHALKQSSPQINAAKSIKISKSWSLRSLQLSEEALRDSESENYKDSPYEASIAICS RAKSVGLYNLGMLAEMEGDLPNALNLFQKSLSASRETGFKEGKREAAAAIRRVQSLGG TSTS I203_02788 MSKSPITCHVLDASVGKPAPGVKVSLDILSLTGQETAKEAPRTL ASGLTNSDGRCSDLLNPDTKLSPGIYKMTFHSGEYFKSNNVETFYPFVEITFSYTNPD QHYHIPLLISPFSYTTYRGS I203_02789 MAGVASPLSLSPVPSARGAPRPSAQSHASGSQTGKNFDVIHSYK RAIEDDKVPHPIAAILALVELIEASTASTVTGLASELTIGRQALINTQPSLGVRAGCQ LWERFFALSMGGEDFPSYKRSLISQGRSFCAITAPQCREKIADLAVGFLRDDCVILTH SYSRTVIQTILRAHKQHKRIKVYVTEARPACLGMRTHQVLTANGIPCTVVLDSAVAYV MERVDMVLVGSEAVVESGGLVSSVGTYQVALVAKAMQKPFYALAESYKFLRHYPLSQT DLPIPSTSTTTSISPNEKHTSNIPLEFPTLIPNSHPPAIGAHNPLSRPTSATPQSRSP VVPGTPTEGSGANRVEMTKEMESINPMVDVTTPDLIDFIITDLGAPLSPTSVSQYLVA QFSS I203_02790 MAVPSPTPASRKTGLTRSPAGNYLSSAVNNNNINGDSTSPLRDQ GQGQGDYMIRSSSAISSTSSINPNLSSYSSSPNTSSHPGLGRRRSTDASAGGLDYYFS SLSGIGGSGPLSSSSSSSLGIFPSSSPNSTNINNRTTLNPPSTNGISPVGSFERDSKN VGYLRNEEFISPHGMGLSFSPSSMSSTDDRISRGIREAKSLGNLNLRAQSQTHRQTQT MDSPKDEKTPTQPSYPDPTPNPALPSKALNITYPPNDVSMSGIRTNDLSPGGWSETSK YSNTDDGAQSTSASPSYLSVRPPWKKAGEDGGSGQGQASGDESSESNYSPVGFKIPGK FDFEDDPPQDTNDTLDNQQPQQQNVWDIRSSVQSTSTYRPNTSHTGIGVEEYISHRTP IAPMNNNDRPDIRPPPQLSLNQSFHTSSPSGSVAGTPKRSPNSRSVGGSSHHTPTSST TRLGLGFDINQFTRNASPSGPSSFHSHGLDYDSTVPRSAPANRSEFGLNQEEGRELLW EDEDDEDLSTKQNRRSTLPVTTSTSTSSGVIVESMLPSPSRSKENLLSPEKYTGTRQD GTFTPTLSERRGSTPSPNRSPEPPPRSALRQLPNATNIDVLGQLPNPPPRRTSSPQSI TQTLPLITHTPNSPMPSPDRPTNSSVSVNLDKPQPKRPDRSPDRQHSPVFPSSATIND LTDMLGGAIDAIGLIDSRDTPPPTIGEPDKFDKDKKKNLTLRLEPAAEVSNDRGPMTP TSLPQRGTSLPGQSIPPSVPQQQSQSQPIQSNTAVANGRRSTQPELKQKASSIFSFAS TSKENIHVQSPTLTISVRPWPSAMLYGNIKSLKHSGDRAKGYAKAINELSRSESGLRE WCIASANQVNRAPTKSSALSSLGVRANSVPSAIPLPYQLSPYDPTPHQRNVSAGSEFP MRADSYAAREISQRVLDPEDQPTSLPANLPYPQLQQQQHYLSSTSGGGLKPSQSMQSV ASFASGKKGFFSAISKKGYKKESLSLGPPTGQINNIGGGGGGSSVNKKDIRGLPISGP RSNSPQKLPLTPSSDSGLTAPRVQNSISAPMGPRGPRGTCTPPPSGSGSGSSSGLMDA PAAGRSSLDTGLARMNYGQSQSGRNSLDGAAAIAGAGYIPPPRSSLAGGSIAVKEEDL RQMSDILPHVERNVLRGYLARYGESMTAIGAYLEDDKNGTVMRM I203_02791 MSISYSCSHLDSPHASLPPSYPSSSSSYHRLDRLYFCEECDAVR CDQCVAIEIASYFCPNCLFDVPSANVRADKNRCARSCFSCPTCESSLSIQASDQPSES GQPGPPYILICPGCKWSSKEVGWNYEKPTGIALQLQKMNTQSEIVQSEFDSLKDHLES YISLSTSNTTTSAPSSVRSTRNPSRQISHLTQMAQKALHRDVGGMVAYSARVKRPSTT KDGEKEKYGWDELAVYEAKENWRKDGMGSSPNQVDVMKELGVSGADGVAELGKRWGKS WDAGKMTGDILPQRIPLQTKLTKRCPHPNCRHLLIQPDTKSVRMKIKMVAANYLPLIE IGRRRRRLPSNDIAELPELEEATSEELERRRRERRRTRAGIPNKEEDESMESPLKSGE TYSFQLALTNPLYDPIQIRLTRPNSTSSTSSQERCNVIIPTPHFTINALKDAWAYDEE YEDDGNNDFFMGGSEAGFSEEGTTTTTTTGGAGGTIGKKSRLSILGGGSSTKKVRGRD RENGVEKKSNTSKVNLDIEISPNARKGDAIKFDLEIRYTYRSDETVTPTDTEGKAKGG KKEEYKDFTFWIRVDLGRVE I203_02792 MSLDNYTKLEKIGEGTYGVVYKARDLSSGNLVALKKIRLEAEDE GVPSTSIREISLLKELSKDDNIVKLLDIVHSDAKLYLVFEFLDMDLKKYMDTIGDKDG LGPTMVKKFTYQLVKGLYYCHAHRILHRDLKPQNLLINKEGNLKIADFGLARAFGIPL RTYTHEVVTLWYRAPEVLLGSRHYSTAIDMWSVGCIFAEMAMRQPLFPGDSEIDEIFR IFRVLGTPDEDVWPGVRALPDYKPTFPQWNAVDLKSAVKGLDDNGLDLLAQSLIYDPA HRISAKRALQHPYFTSTYPA I203_02793 MADLLKNGESSTSISTPKEGLISLYLVNGVATVWDAQVAATLHC IHNISGLRAGTLPGVSQQNGFLGLPLTLMKEETAYLVEQGIAHLIPLPSFPTIPTADD ISHHTAKRIERIRKLEQHARELEEERQKLSSQAFEKGGEKARAKREARARAKAEKEKE QKLREQDGLFGDDTTPTPIIQESTTDINTPVKLEDTVTTPAIPSGSIPKDSPGHFLTI PSHPPIPSSIQSIQPIKSIPHSLFPFPSTPRDQALLDVFTTLQKRGYRMGLGPRFGGE YLIYPGDYLRYHAHFTSQVIVRDEPIKPSELVAWGRLGTGTKKAGLLCCWTDNESNTK LREGQENEEEKEEEEGGVEFYSLEWANFG I203_02794 MSSATTLASVSYPSNTSSTCPSSQNVDLLNDLSITGTLKSVDQF LNIRLDGISVEDPERHPHMLAVKNCFIRGSVVRYVRMAARSVDTTLLEDATRREAKEA KK I203_02795 MLPSLARCSASRSFQKSFFNPSFRPTFASSSRLTRPSSSSSIRQ LSTTRSILNTNANIPSSPSRITQKDAHAPHPSVTSEIIHPQSPLSEPAPKSILDNLPR WASPAKPYLALTRIDKPIGTLLLFWPCTWSITMASTLLHLPITTPLFYISLFGLGALI MRGAGCTINDMWDAKMDAKVDRTKSRPLASGDVTQFQALSFLGLQLSAGLAVLTQLNW YSIVLGASSLSLVVLYPFMKRITYYPQVVFGMTFNWGVFLGWSAVAGVTDWTITAPMY LGGIAWGIAYDLIYAHQDKLDDVKAGVKSMALRFPDNSRTVISVLYTTFVSMLTLTGH LAGMGPLYYMISCGATAAHLAWQTITVNFDDRADCWRKFCSNGYITGGLVWLGIAAEY VQNVSSI I203_02796 MSPLPVDPSLDPTLHYIPRRAVAETLTPNDTQKITLIVAACYVL AIGISSRKLTWMVRRSIFSSVPILEKIIYPFKLLTVGMHEMSHALAGVLTCATVEKIT LDPQEGGSTRMRGGIPAITLPAGYLGSSFIGAALIACGFDTNASKVACLILAFFWILT LWWARSSWVAWATIALMAGLIVITWLVAHSVALRFLILFIGVMSCLYAIWDIIDDTLA RKVNTSDASEYANLIGCCGSRFWGAFWLIISCVFFAAGILVGIAAFKDDWDTQAARAD DFLGGKLLHCIATKQI I203_02797 MLSGVALITGAGGCGIGAAISRAFASSGITKLVLTDINRKGLDQ TISSINSASGPSKCEILSIDGDISSTSFIDHLFDEVKSKYGRLDYAVNCAGISGNNKP SDQSEMSDFDKITGINYRALWYCSKKELEIMKSQGIPSKDGSLEGVRRQRGSIVNIAS QLGVVGRPDAPIYCASKSAVMGLTRCDAIDASPYQIRVNAVCPGIIHTPMTDNALRPA LKEGEKDLQAPMDLTESINIAPMKRMGTPEEVADVVVFLSSEKASFVQGASWVVDGGY TIN I203_02798 MVDHDGIHTIAISTNQYIKPKTVEDIIPPLQKFTKRDLLEIFGQ PQKRTLNCYTNLSSESLYRAPLSHPLNDLVCYITIPSDDGQPEWNTKNVLWADGSAEK LIKDYELKESKNFYRTIPVFVMDSDKFGKYTFIGWWKYTSLKVIEPFSDELMEMMKLK ESTGAYSKYGKSEKKWKKSCSGRWVKLGFVQVKEKFLKGISELKNGEEGEYVLKVGRL NEELKVLREYESGGWKVKNGSRCTIPGFQEIRTVESQGCETREDGELDYLLCS I203_02799 MSYPLKYIPSHLRRRQLPNTLDDTSRQLQSASDPACPPSGKFTH TDLLRIFDTPHLCSLAFFTLPSSDSTSYETYNKKKDRPSFQFNHDNGKLMKIVGYPPD KHPLNHLINFIVVFEGAHPDWLEKNELWLHTHSKELIEDYGKD I203_02800 MSSHIKEPILHSSSSRHQVAYSPSDPWTKPRIGYFNGTKRIIHN AYDLYNLFSTPQLSTTAFYAHKDSNPNALSEEKWHKDHPPTQRYNDKPHPLGELVSFV VIFENAHPAWEEDKEIWVHSKVDLLLKDHRGQKKNFDRPIPVFIAGRSKFGKFVFENW MTMDIVEVVPPHSEELKRMLQAKQDFKSYKPTGRTSEAWKESLSFQWIKMKFTPCGEE YKSPYKMGKGEAARYALKIGGLDEELYSERSKERKRGIEYWNIVQYRTFGIQDFFQ I203_02801 MASTTAKYIPPWQRAGYTPSTSSNDLPPPRPRWNNRPTPKDLYS QTDLLAIFNHPQDSTLTFFSYPLPPQPPRPEYDPDHTPESLPLPPSPPPLAPPHPLGY LVSYVVLFGNAHPAWNEEHEIWTHTNADKLIEDYEGQKKNFDRPIPVFRSWRGHDHRA EFEFIGWWKMDSLEIVQPQSDELKRMMKEKEKSKGYGRNGRTASAWAESLSSQWIKLK FIKITETLKEPKDLGEKEGEKYLLRIGNLDEELKVLDRSKEGGE I203_02802 MLANAWTFTPEDALAYFGTKAETGLTEEQVKRNREAYGENSLPE SPPTSLFKLIIAQFKDQLVLILLGSAVVSFVLALFEDVSEPGGSWLTAFVEPSVILLI LIANATVGVVQETNAEKAIDALREYSPDEASVIRSGRLAKVPASELVPGDIISVHVGD RIPADCRILSFSSSSFRVDQAMLTGESMSVGKSEGVVKDQAAVKQDMVNMLFSGTTVV NGAAKAVVALTGTRTAIGAIHSSISKDDEEEEKTPLKRKLDDFGDQLAKVISVICILV WLVNIRHFNDPSHHGWLSGAIYYLKIAVALAVAAIPEGLAAVITACLALGTKKMAKRG AIVRNLPSVETLGCTNVICSDKTGTLTTNQMSVARFLTCDGAGFAEYQVGGTTFAPTG SITTLDGQHAEKTAVRTAPVNKIVEISAICNDAKIAYHAESDTYTNVGEPTEAALKVL VEKLASDSDSFNTGLANLGPQARATAVNDHYETRVKRVLTFEFTRDRKSMSVLAQSTS GTSLLVKGAPESVIERCSKVILPAGVSELTSELRTKLAEKQLEYGHKGLRTLALAYVD ESDNDVSHYKTNSAEDYVKFERDMTFVGLVGMLDPPRPEVRDAIAKCRTAGIRTIVIT GDNKNTAETICREIGVFGKDEDLTGKSYTGKELDALSQEEKILAVQRASLFSRTEPTH KSQLVDLLQGLGLVVAMTGDGVNDAPALKKADIGIAMGSGTDVAKLAADMVLATDNFA TIEKAVEEGRAIYNNTKQFIRYLISSNIGEVVSIFLTVLLGMPEALIPVQLLWVNLIT DGLPATALGFNPPDHQIMRTPPRSSKEPLVGGWLFFRYMVIGTYVGAATVFGYAWWFM FYSAGPQISFHELTHFHQCSSAFPHLDCSMFTGLPSMRATTVSLSILVVIEMFNACNS LSENESLFVLPLWTNPYLVASIALSMALHFMILYVPFFRTMFRITALNKEEWIAVLVI SAPVIVIDEVLKWISMVMNKDGSRKVKKD I203_02803 MRVFQCAVMGSGGVGKSAITVRFINGSYLAWYDPTIEDSYRKQF SVDNQPCLLEILDTAGIDQYLTLNDMFIRESEGFVLVFSLCQRDTFEEIIRTYEAIRR IKLPEGNQIVPLVIVGNKSDLIDEREFDTTEGEKLAMKWKCSYYETSARTSTNISPVF EDIVRQLRRNDLIRRQERERDPNHSKRKRMKSKKCIIL I203_02804 MTIPLFSPSTPFGPFQPLHEYAIANAESISWDNWYNLFVIPLVP LYIQALLLRYERTRYYRIAVGVVGITLLGRAVVGYRFTQPWFNALNNGIGIGVMHLIA RYLEFGFIEGPLVDRYFEAKGRHPLIGAMDVAINARWIGLGAIDLDHKGKVDPGHTHL NNGNDDGHISPSKDDTDVIDGGLEFSNTHQNIPNMEERTKESWLLWPTVKRTRLQAVV RHVYLAIRNYVIFDTALYLIRYFGRTTIGADHPVPNALYKFSHENRFIILPCLKGFVK QEHLIAPRYIVEIVTSISVASGVWLGISSGYHLIGAILVGTGLWETESWELDLFDSPL LADSLLDLWGRRWHQFFRHHFILYSTLILRLFHLPINSGSTLFLSFILSGLMHSIGQF TMNPHPPLLPIFLLFPLSGMGCSLEVMFKRMTGKKVGGVYGRLWAWIAMLAFGRLGTK AWLESGVGGSYLTPPGAGEWLVKDLVEPYLIGKV I203_02805 MATTHVIGSSNPGAHLTSSGSHSSSSSNIVGVHYRVGKKIGEGS FGVIFEGTNLLNSQTVAIKFEPRKSDAPQLRDEYRSYKILSGCLGIPQVYYFGQEGLH NILVIDLLGPSLEDLFDMCGRRFSVKTCCMTAKQMLSRVQTIHEKNLIYRDIKPDNFL IGRPGTKGANTIHVVDFGMAKQYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQS RRDDLEALGHVFFYFLRGGLPWQGLKAATNKQKYEKIGEKKQTTPIAELVEGYPNEFS IYLNYVRKLTFDETPDYDFLRGLFDLALQNIGDQDDGMYDWMLLNGGKGWEMNGRQSA AQAEVSRHNTRTRDREYRDRVDKLRNGSAGGQPSPLKQRKSGAGSGLPNASNQAIIGV SAPSPLPQSRRQSQQGNGNGNTGGGGSAHPFASANALHPDRNESYDPSNVAIPSQAGL QAISPMHVNSRPGNTAANSQQVGGEGDYGNGRADKGGNGFIKLLTCGCFR I203_02806 MASIESYVDHLVQVILYDGRIIVGKLKGYDPRTNLILADCVERE YSLDQGVEMIPLGLYMIKGDNVALVAELDEEKDSTIDYTEIKAEPLGEIHH I203_02807 MSAIIPLTTSSTPSSHTSPAPKTPQPLQPIPLTASSRDGEDIQM ISREITPSSPSASASASASASSPRSALGSGTGSGSGSMTLVENSQNNPDEVIMGGNGY DNNGNGKGIGGDVDRFLKKMEMEKKMQALQQRLELASIKATHGWKDMSIKEIETKLPP PTTQRNRQPSLLPASPIVGSPSRTSTIPYEPPSPSRPWQLIDVLWQPLPPPSHGRYPP SPSSPKKRSRTDDHDAYTNSRLNGLGLPPSPHRQKHPNGSVHRRASSSIGSSSTSVGH KNGFTSPSSPLRYKFDENPKSTGIPKKKRSYSHSNSNYINRKVVATTTSQDVDAAKAL THMLSSGGLSDDGLGDTSTGSSSKLAAPFLLSSHSLPIPEAFKRDRSSSPTIPSASPS KLPTPKGGIGRTTSISINTKTPNSHTRHKIPDSGSSTGTTTAPGTGGRTREEDKNAAE LMMFLAHSPSPMKTVHSQEDDRPSLGMAARVLFADEEEPSNDKEASKGGASGARSSNL VLADPILPEK I203_02808 MAQSPSQQDDLLDTLLHSYSTVSASGSVKGPGIGPEKKKEYGYK DFEAVLESTPIFMKETPKDGETNDVLEALRTLVFEGEGDEVATNFKNHGNELHLQRSY SEAVKAYTSGLDSNPKDLKLKITLLNNRAQSNLLLKNHKSVLRDVGTIIALYTTESLE SDKPLIKAMYRVSNSLIALERWKEALDVITRAKKEIQDGKTTGEDITLWDGLEDKVIK GQKRDEDRMKRVRKENVIKGLMERAINQRGLINVKTSNPPDNSPPVHFDPSEYQDGED QWEESISIDTPLIFPVFLLYPQYGQSDFITSFQENTSFLDQLNVMFPQSPSKVEIPPA EWDEKKEYYVENLVIYVETSQKRLLKVGKELTLREIIRKAKRDQNEKDGVEKDGIVLK DGLMSFVVLPKGEVEKRWIEEFKKSRDGK I203_02809 MSGRAAGGPVAQPADPARQQGNNDKIWGVVRSVAMFVAVQAAMK YGMQYMGMGGNKSPSPPVPSPAGITAGTASTPVAVPQGSQLATPAWDLGTPLSMLLYT STSPSGRDVDLDHPLVQWDGLTYGEWKDEREADLILDVPESVWAHNGSWFMDVVLVKG GGTPGGKGPGNVSAYRKQLTRFFPKRRIRKEKKLIGNKEEVEEDLEDPTEQSGPAPIV AHWSNNLTLTIVASGGEINFGQLSPVAQPFYTIQSSLEDPTKKVYPPPIYPNDFWIMK ESLYTINETTKTLPLHVTYHAISAMKFNVFSSLTASFEQAAQQQGGGGMEFDEIKRTL TETSPWLLITTAIVTVLHTLFEFLAFSSDVSHWRKKDKDLVGVSLNTILTNCFVQLVI LLYLHDSSEETSFMILFGQGIGLLIEAWKITKVTNIRIRPAPTSIFGYTVQFEDKREL SEDEKKTQEYDALAFRLVSYGAIPLLAGYTVYSPDKTPLRRTHRGWYSFIVTTLAQAI YMFGFVQLVPQLIINYKLKSVAHMPMKAMMYKTLSTVVDDFFAFCIRMPWLHRLACFR DDVVFLILIYQRWIYRVDYSRVNEYGQVNEGMVEDVGKSGEKEKETKKNK I203_02810 MSEPASSRAEEKPASVTPTIPKQIRSSSWLRNLSRWNLATLLLL YITSLHIIGLYIFTKGFLLTRLTIPHVSPSYTPSSPAPIPATHSKAVILVIDALRTDF ISPHYPSPKSPYHHGILTLPSELSASQPDHSLIYNAFSDPPTATMQRIKGITTGSLPT FIDISSNFASTAIEEDSLITQLLNANKTIGFMGDDTWVNLFPDSFNLSHPYDSFNVED LHTVDEGVIENIFPYLQPANQSRWDVLIGHFLGVDHVGHRVGPNVETMKTKLDQMDKV LRQIVDSLDDDTLLVLLGDHGMDDKGNHGGDSELETSSAMWLYSKSKPLKGKPVEESI TNSWPYYTFPNSKVPLRHINQIDLVPTLSLLLGIPIPYNNLGSIIPECFSNDLSTLEA ASRANAEQIDRYLSAYGDESLKAVLQGPWSKARATLDTNDPSEGTVPEIADHASAGVG KVADWIRKGKAAAAEASTKPSDHQAQQDSINHHRHYSLLALRHLRALWAQFSLPLILI GSLILGLSVLTLTALYVGVRNNSTNWDIYARLALETSFTAAAVIGSIVGTLAGIYTAQ PSTAIKAFVVTSVIVSEIVIIFPLFLQFSLPQTFSINRYIGPFLLFAHAISFASNSFI MWEDRMVLFLLTTNSIIYLLKALSAPTADMRIKIIGLSLAYAIIVRLASTITVCREEQ QPYCRVTFYSGNTPVSPRWVVLAIIPLALQLPRAIGITLSRSKSLAGSAPFYLGYLWR GILVLNSTYWVLEYLEYNSDSIGLTQSAISAAGFLKIWLARISIGINLGALPYIWLIS PLCITVEKKIDQSTGESQVSVLGFANSYGSTYILFYIIPFTLVHLTNQPMGQLVLSAL LVGQLVYLELVDTRRDAVILQQSFATNSGGSTSTSGPGNFDGIDNSSTIVRPSFTDLV PLVLSGFIGFYSTGHQAVLSSIQWKSAFIGFNTVTYPISPLLVIINTWGPFFLSAMAI PLLALWNISPIPSSSAGGQGAKQPILTHVLQLILGFLIYHTLITFSSAITSAWLRRHL MVWKVFAPRFMLSGVTLLIVDLGCWIALLIGVRVTSWKVKRTFGCESI I203_02811 MTSTAPFLTPLHYIIPVILFVPLIFVLLPKPKPIDPIPGVRPIT VQRITPRKPTISTCLLLLSLLAFGDIAILASDLVTERIRNGDQPINIPDYLRGGKLAG EVIYTLGQLIVYGLALAGVWWREKWQSQSLVTLATLAFALEIPNLVGLVLRELHTHGY DKIFTVLSLVPSSSRLLVLPILIVALLSPRVSYEPADERTGLLGESAVDGRVDSASTE YGTFDDDSQDPTKTTTSTTGAPTTPVPGAGENTINPNDNTAALAAKIAAAKKIKITKQ LGVKKKDEKKEELSLREAWLKFRPLVPKLWPATSIKLQFFAAMTGVMIIVDRILTPLS PISMGLLIRALTERNQHDIWKWLGIYLVIRLLNASGTLGAIQQAFWLPIVQYTDREMQ MLCFNHILELSLAYHTKRNTGEVMRIIERGSAVNNLFRTILFSVIPTFADIAIGFSVF QYLFGPIITFTVLLFMIPYLCFTYYSTKFRKYIRQEYIDKDVQQRGVVSDVLTNWESV KYFTGEPREVERFTNAVGDLQKVEWKWDMGFQAVYAIQSLLLTLGFASGAILLAWNIM RGIGDAALFVIFIQYYGAFTTPLNQLSSLYRSINTNITDSEKMLKLLAEQTEIKDLPD AKDLIITDGTIEFDDVTFSYDDKVTALKNVSFKLGKGESMALVGETGSGKSTILKLIY RFYEVSSGRILIDGQDISKVSQASLRNVIGIVPQDSVLWNDTIGANIAYGKPGASDEE VIAAAIRGRIHDKIMTFDEGYDTIVGERGKRLSGGEKQRVSLARMFLKNPAILVLDEA TSALDTETEREIQKSLTVLSKGKSSLSIAHRLSTIINSDKIAVMKEGQMIEIGTYQEL ITKKDGIFARMWKRQIYTEAELLDDEDLEKVANTLPTADDLRYAHAHPAEKFDTKKGK DDDDKPDNGAEDPTSEQPSSSTTAIAQTKGDAPALIDLDDKAKDQTTEGSGFAVDVPP PIIDNPENASFADAVKSPSPEDSGDANVIIAPEPPSRPHDIEEGKDVRESTPDAAEPT SAATGPASVVEVQDPIAAARPEEVEDGFSETPKPAEETETTPDTTSTPVPSVPFPSSP SASPKKEGSVSLSLNSPSRKSTEGSSSPVKAFPTESPKPASVPFPSSASGKPANTKRW STMSASPSIASALSSTGGGEGAPTGSSPSKSDTSGGDKSDKRRKRLSSIKGFVRRISD QGLTRSSSGLRSPASEELPPISGNNENDHEPDENTPLVGQGEQRERKVSTHGGKDDKK LSKKKKKHGKH I203_02812 MARDRLGNVNRQYGDSPTPQPTYPPTNGVIAPSRAPNPYAQQGN NSLPGAQPGQYAQYGQHAGAQQGQAANPYGGAYGQQEQYAMGGVGGGPTAGADFWSEL STTNSLLGQLQDQIGQVRQAHQASLTSTDPNSRSYVSQLNDAAREKREECKNQIKKLY KLAKGDRAQKTQAEGVKTRFQSLLQEHQVIEKEFRKKVKDRAERQYRIVNPNATEEEV RQVTESDNPQIFSQALLNSNRYGDARNAYREVQERHAEIQKIEKTLTELAQMFNEMAM LVEQQDETIVNVETQAQGVDTDIKAGYDQTSKAVDHARKARRKKWICFWICVLIIAIL ALVLGIYFGTKK I203_02813 MGNPTVNVAPLALIKRVWERIAPLQLAERSWDNVGPMIEAPYPN PNNRQVLLTIDLTPSVAAEALSLPTLALVVSYHPPIFRGLKSMTLQDPLQSSLLKLSA KGISVFSPHTSLDATPNGINNWLIKPFLSISKSNSPITTSEQIEGFEGAGMGRIVNLS VPLDVRQVVRMVKDHLDLDHVQLATPEIERPINSIAVCAGSGASLFKGVKADLYLTGE MSHHEVLAAIHSGTSVILTNHTNTERPYLSQVLQPWLEKELNSEINIHDEQPNGQWQV LVSKADRDPLRVV I203_02814 MNSSPLSPITSPILYYSSSNSHKRKQPDTSASTNTLATLPSTPP LPPPLLSGTSTTFGTPHTPAQPGFQTQYSQDQQYTDPSGSGGGEYKSGGDPWAFNAFS SPPSPSTITSTSDSQTGGETFPPSDTGEGESSSSRSGSSRRQNLSLNISSLPTPFQNA SIQSPGSLASSGAPFTDSFTSSHNVNFDQDYFHFTDGSFSSTGEGSLAGQIPLVPQGQ QPTHRLPASQPSSPIRGVYALPFGNQVGRQRGATFSGGSFYPYDQNGSPVFTFTQNIP PPQAIPPHLTFTNIQSPLHSPLAPSPVISASPVQLQTDNSSFFPVQQTQQQPQSQGLG VQEVLMEDVSTPTPAVQPPVLSRKSSLGQLQQQPFGSMTPEFSMEMLDKLSLLDKIVI SAQTAKDALLRGEQVDVSASLGDINHQLEIASELGVGPAPTPRDMITPNSQSVSPVNF TTSPTVQSTPGMTQTFSNPIGQPTISTQMQPPMNVPSQGLLPSLPVDGNVVNANRAVS ATPLTSGNPNLMAAATPTDFLNGNKVQAPPLVHSHSFPNGHQLPSQIQGTMTPSTPVV PSPSFIAAIGAQHAPIISSPLATIPPSRPPSPPRYTIPAQPWTNDMMPTMDMSTQMPS NQISLQQQPTAALERRASQSERADGLPISRNRSTSVHKQWAHPMMTSSVPPSVWQSRQ GSPEDMDEDESEDEGPRKSKRRRSSVGGDGGPNADLLNGALISEDIRRQMDQIFEEFL NRVCSDLEICDNKGEKLHQVLMPKKMQRLDESTDYRPFKFRIQAFTNAFTEELQCRGI SEETMSVKKIKTYLWKQDLISRFNPDGKKAKSKGNHIWNVDAKKLPGGGWVFRPFKRR IIGQPNSFALVNQKYEWEPRIWDPQAASDTIKPSFHSPPGGLPSWLHWEDSTKLVGLP EQPTGPLPITVIAEFIDGSGNQTTLETTFTIQVVPHLLPLNETTAMYAQAGFIPFDFP DQPNGQPVAMINQQG I203_02815 MSRPQSFSPPSRARSTSQSPTTPTHAKKRRRGEKNRTVHQELYP GERRGSTSRLPPIVYRDQEREYDDARWQEEEYEHKELDVSRGISSGVGQEGWTYHLEI IQQPQRARACGFGNKDRRPLSPPPIIRLWIQTALGAIVDPNTIDPRFLILMVDLWSAD QQQERNVVMHPVSAGVSKLESPPVGHIDLPPVAGSSSRPSTGTSRPMSGSSAGVSSWS SGSWRQPNYQSPAPPSHASPYPRESPRPHSSRDQPAQSSSNSRSPQSGWTSSRPPPPP PPFHRTQSYGNRQLEHEPDPPRPKTAPSPHHPLQQQKQQPTSTSSPRGPTPSKSSVNL PPLASIAEERPTTSAGLTLPALQAQREQQLFRPGSSSSSLWGRPRTGQSITDASTAPT DYSFAGRPISSSSSSWYGSTDLGGGKDRPSSSWSEMRPWSSGVNHSVTRPSSSAGPGV APPSPRSSQFAYSSKFPDQQWPPTPGSLTYPQHQPDVSWEIDRMRYNIPSHSSSQPAA QYSRVLVGKVTAICHKLQDEQEKPGLYFFAADLGIRTEGTFTLRMMMTDIASMMDPEV VLGAKAPVLAETFSEPFKVYSAKRFPGVIPTTNLTKVFASQGVKLSVREAKKGSGGGE DAEEEDED I203_02816 MRPSIFQISSLLLPMISARPSTRPMSILTDQYKVFDDIPTEKTA LAHDDLLEIGRMAIAINSAYYPKENKLQPHPPNLFINQIRHSKRHQDPSQRPHMEESS IIVSSEDPEFTDDQIIGGDIKWYISHTPSTQTLTLALSSLSSTDELLELLASSPKHNQ SLVPLRNLLFPFELLPTFPTDEQPLIYHSYVDSIVSHGDSALSSLLNLIETPPLTVSS SHRDVIKEYVTSLANPVPAAKHAPIKKVEIIGHGLGSIVGLMVSFALKLELESSMGQL SNEIDIKANLLGLPRIGNSHFAKLIDNHITRNQPSLQVNRIISYYDTITHLPGRHLGL KHHAKNELWIGPDSRTVYLCTVESKDCSDGVKLSKTSLLDHLGPYGGVWIDTHCKME I203_02817 MIPSPRRSVSQPAIQSNNTSWPDVDDDDGWQDMPVVRSDSNPFG LDDEDVKKYHYKAPTRLDPSAGSSSNPSGNATGNATGQHMELEGDTLTGVADSWREKI VEDESDYTRLRLNEDEESEEVAMRTRYLFDEDKAMTPLSQMQATKNLLTEGQRIAYVG LCQLIAKQMLKDMGRGWEGHKLTSGKGKLGLRGKNKNELPVVESGNIWMLKIMARLYQ HMELTRDEQRMIESLAEHGVDPSDLVPALMTTHTVANPDFDPKAKQKADLEAIAEAEE AEAERDVEAEKQRLAGRSQEQIDEEENPAPPYRPRDESPLPRNTTNPFGQDEEEEEES KNSLSSLSTISTSKSPPPQSENKSKPPPARLLSLDFDEDDGDITSMLSPPPSQSPRPP TSPSERPPPQDTNQKLPVEETDTEKTPRVKFAESTEVVDHIVEENNIEMKEEAESEED KVPAPLPSLPGVSTSLSNTDEKVTLDIRWTVLCDLFLVLVADSVYDARSRAFLEHVSS ALGFEWLDLVRFENRVTDALEIQESTEKTTQDGIIEGRMKAAKTKRYALMGLAAVGGG LVIGLSAGLAAPLIGAGLGAALGTVGITGTGTFLAGAGGVAMITTGGVLTGANIAGQG MARRTREVRTFELKPLHNNKRVSCYITMGGFMASKFDDVRLPFSVLDPIIGDVYSILW EPEMMEEMGSAMKILTGEILTQVGQQVLSATIMTALMSALQWPIILTKLGYLIDNPWS NALDRARAAGLVLADTILNRHAGIRPISLIGFSLGARAIFYALIELARVKAYGLVQDV FIFGTTVTASRNTWLDVRSVVAGRFVNGYATNDWMLGYLFRATSGGLNTVAGLRPVET VAGLENVDVTEIITGHMSYRSCMPQLLAKVGFLVTAEYFDEPEDPNVDMSVQERIIIN EAEEEAKQNRRKILGIFPRGNKSGRSSGSGTSTPNTDKDKDQSTETLPAPGPGGGYEY EDDDDLPPREEADLGEIPGSADAKATSLETQQEREESKRVMREEEERKAKAAKQEEEA VKSISKTAGFDFKAISDALGKDIDVENLKQPEPSRPVAAQAMIPNEGRAPLERSDSAP PPIVHDSSEDNTWTSSSSKMASTSTLSKIGNGESDNGEGDITSSMARGLSLSDLPSWE RPQISTPLEDKSPSPSGQSGLFKSPVFSWNAWNSTSGNVPSANEILRDTPKPVRSAPP ARPHPKEFMDMNPFMAGSNEGWGGTTSSTPIPGGLGLGKFDDAAEKERKRKDEEEEAE TNPW I203_02818 MLEKIKTMYNPTPGMRPEKIGPTVGQNIGKITLPSTELRFYDLG GQRDIRSIWPKYYDECHAVVFVVDACDQARLTENWEVFDEVLNSPRLLNLPLLLLANK QDSPTSLSVAEIRESFDAWQRARSNRETDEEDKPKDKDERMASLDVMGVSALEGTGVR DAVNWLYIRVQNARKM I203_02819 MSNKLTHEEQEALVISEDPEHPANLISELCREFYKLGWVTGTGG GKSMSSNSYLPEHVYLAPSGVQKERIKPEHIFVLPFAQSSVPRPGSKRDFLRIPSKKG LTESQCTPLFWNAFTMREAGACIHTHSQHAVMLTLLHPRDAQSFKISHQEMIKGVRIG GVGKTLSFFNTLEIPIIDNTAVEEDLTESMAAAMEKYPDAPAILVRRHGVYVWGNTWE QAKTQSECLDYLFEIAVKMLLAKLPLVGDN I203_02820 MFTVTPSSSSLSPEGPTPQENQHDHGLVSASTANTPNQIFNKPS SSTVANAINTGVKIDDVNPVESLKELLKCILTIIQGYSDFSHSSNLYLSSLDHYRLRT EKLLGFARRLENVPSPVVILDDANDGDCDDHQDPEIDTPSRQDLMREIYKLEEEWWNS EVVASWYGPRPRPRYTSRRLSSSHKGIQDLQVLSIAQPTTNIQQNSMSSPPPTTVNVS PSPKKSKTGMTLIDQQRARRHRISPRDLTALTEEERESPHEDDLSETRTKTITRRNAA TQAQVSILTDVGWLRRDSSYVGLHDE I203_02822 MDQQLISLVNKLQDVFASIGVSNNIDLPQITVIGSQSSGKSSVL ENIVGRDFLPRGTGIVTRRPLVLQLINRPATSKPAQPNGDKADGEKAEDAMAKVQLNE NNPDEWGEFLHLPGQKFHDFHKIRDEIVRDTEKMTGKNAGISPNPINLRIFSPNVLTL TLVDLPGLTKVPVGDQPRDIEKQIRDMLMRFISKPNAIILAVTAANTDLANSDGLKLA REVDPEGTRTIGVLTKVDLMDQGTDVVDILAGRVIPLRLGYVPVVNRGQRDIDQSKSI ASALDNERKFFEGHPSYAGKAQYCGTPWLARKLNIILMHHIRNTLPDIKARINQQLAK YQAELTSLGGPMGETNPGSLVLSTITEFCSEFRSAIDGNTNDLSLNELSGGARISFVF HELYNNGVKSIDPFDQVKDGDIRTILYNSSGSTPSLFVGTTAFEVIVKQQIRRLEEPS LRCCALVYDELIRILGHLLSKTQTFKRYPELKDRFNLVVINFFKSCMQPTNKLVTDMV SMQACYVNTTHPDFIGGHRAMALVTDRMNANKPPEKPVDPKKLPPNALNNGKDLDADF KKDEPSFFNSFFSKDKQPKKKVATMEAPPPVIKPVASLSEREAMETDVIKLLIQSYYS VVKREMIDMVPKAITYNLVNFAKENLQRELLEHLYKPDVLEELLKESPDVVARRRECV KMVGALNSAEAIVAAV I203_02823 MSSAEAERRRREALAALKEKKLNKPSVQPITVESSPESHVLVPP SSSPAPTPSSHPSKYFSNGNTIAQRLNYGSSSSAGTGVIPSSGIGRRFEQTDSQTTLT GSPQDVLKALHSRHAPESRSSTAVGSTSAVSYDASTSRSTNNASLLDSPSSPQPLSRL KARYRDPDEPDSPIRSTNGNGNTTPSSPISNSSATSRQPSLVLAAQNQSKSREVEIQN MANRLSNQFRNVQPQLILSLLKKYPDNHDRAINQIHAMNDRPSSPLNTTSTPPRFEAF TPNKVKPPTMNQYRPTMVSRPSSSSSVIPPAPKVRVVNPLKPKKNAKSTIYANRANNG NGKRRDPDESSSGEEGEGMFSDNDSEGSWSGDEGRKKKRRKGDPEVDAEGEALKAFNE VDADMLTGTIACSAEQAAIIIKLRPYEDVDDARHKLTKARGVSFKLFEQYTEIMEGYV QIDACLNRCESIANDVGNTLAVWRGASMAQDSVVGTPRSDGLNDVKVDVAKVSELLRK ETDMKKRKILSSYIQTQPSTLSEGTILKDYQLLGVNWLNLLYTKKIGCILADEMGLGK TIQVISFIAHLKERGIKGPHMIFVPASTLENWTREFEKFAPSVDVQTYYGSQAERASL RDDLKRRFRSGRLEVVLASYTQVASNDDLGFFRKKIEFETCVYDEGHKLKSCTTKAYS DLLSIKPKWRLLLTGTPLQNNLQELVSLLMFIHKDTFADAEPYLRAIFKSQGSANLLS QQRTSRARTMLTPFVLRRRKALVLNLPPKIESVEHCEMTKVQAKLYNETMNRSRKKAT KAKPKKGQITPAASSSNILMDLRKAASHPLLFRRLYTDAKVKKLAKECLNTPSYCDSN LDYVIEDLEFMSDYEISNFCSSSIEDELRKYALEPELFLEGGKIAALQKIIEKCKKEG KRMLLFSQFVMILDILEKALEHLGVKYTRLDGQTKTDERQGLVDEFNDDPEITVFLLS TKAGGVGINLTAASVVVIYDQDFNPHNDRQAADRAYRIGQEKEVEVIKLITKGSIDED MLAIGMTKLQLDDAVGGEEITLDGADNSGQDDKTAKETRKSLLTTLRNKFVADDDAVK MEGINEEDGDEIQITGSVKKARGKVVEVD I203_02824 MTIYSLYIFDRHCECVYYQDWHRTRPVRAPPQTSFKPGVHRLPP PPSTTSSQPNGNVNRESIFSENAAAAANRNSLVSNGTSTSQSNKLSLPKGLPFDEEAK LVYGVILSLRNMVKKLSGRDEAFTSYSTSSYKLHLFETPTNYKFILLSDPSSDSLRFV LRQLYVGPFLEYVIRNPLVKVDSREEGVDNDQVSVVPQQWSQRDRFPTSSRHQ I203_02825 MPNSDNQDEEDTDTKLALLASLLEPLSFPFERLLESLNGADGDV GKAAEDLLLPGSGDKKRKAGNSLQSWLKKPKKDIVDAKLDPGELEDDDDNVVNDAGPS NPIKSSNSDNIDLLSILRGRPPLPSSPTKNKTSPQPALLLTSQSAIDSHDLPITLLDS PLPSSLASALYLELMEESEKSWYNNEFYLAGKQVKSPHTVQMYKYPSSSNDEEEGKAQ GATWGKGITYWYSGTNMVDIADYPPLLRKAADLVERAVNESISKRKRYPLEWAGEWKA NCCGTNRYDGAKSSVGWHADQLTYLGPYTTIASLSLGTPRAFRLRQTNTVDPSFSTNT KPIRTYELKLGHNSLCLMNAGCQERYKHTVPPQKALDLFRPTFDKDERPIPLHQQRTY TSRINITFRFYRDDFHPNPSTGPSGPREGTPICKCGIPTLLRADQKAKARSRLFPITS PTKPSPKQQRQDKNTNMNMIEDDMEYFWQCQSPSQTGDMKGCGFFRILNMDKEGRGPC VKDVV I203_02826 MDRQLKSPLLIESIGPSTKLSSEVTYQHLLNFLENSSFGATSSG GLNAVSKIQLERLTDALGVSIGKIDMAEEEQREIQRRELKEQRKAERRRKRAEEEEKN NQSTLENMVEGLEGEGEGEMDNGAVQFGDEEKMDDRGEVEYGDVVDEDEDEPDNEDQN GNGNQDQDVDEKMEESD I203_02827 MLNRVALRSANSLPKRQIARTVLPTRTFATTSSTMAEQKFRQEK DTFGPLQVPAERYWGAQTQRSLMNFDIGGPTERMPPPLIKAFGVLKKAAAHVNQTYGL PADVAENISKAADEVISGKLIDEFPLVVFQTGSGTQTNMNVNEVISNRAIELMGGELG SKKPVHPNDHVNMSQSSNDTFPTAMHVAAVVEINATLLPALRELHDALEEKKKSFDHI IKIGRTHLQDATPLTLGQEFSGYVAQVAKGIERVEGTIKNLSQLAQGGTAVGTGLNTK AGFDEKVAAEISKITGYQFITAPNKFEALAAHDAIVEASGALNTVAVSLMKIANDIRY LGSGPRCGLGELELPENEPGSSIMPGKVNPTQCEALTMVAAQVMGNNTTISVAGSYGQ FELNVFKPVLIKNLLQSIRLLADGSRSFTKNCVVGIKANEEKIKKIMNESLMLATCLN SVLGYDDVAAIAKNAHKKGITLKESALESGKLTSEQFDAKVRPELMLGPDEV I203_02828 MSKPVVRRTYGKAPPRVSSSLSLFDDHPSSSPPLVSNFRSSSPP SSSRLDTPTPSSPPTPAKRPLGIRESSPLFFSADEEDEENENPSLTPNLAEKVSKHVE VNGRRTIKSLPSKKVIQSSLKGFFVPRLQTKKTKALQPATIVPIASSSSSKQSAGSPS SILGIKPPRSNLSKPKSLTQLHLTHLPLLHTCQGCGMSFMRGGEDESVHVAHHTRVLR GIVWDGLGKGKGKSRDDKGWKVVRDDISFGEKERGKGKVVMVDGSYGGSKLDEILSTV DRVLSSPPLPQAILERCKVFLFVTSSPPPASSTKRQKLDSSISIKVVQRERVVGVVVA QGIKWAMRVLKDGEQLQCEGAEKERKVVVESGGFGSVTCDPAPLPTPLGIHRLYISPS YRSNNLSYHLLNASCSNTVYGCTFDPTVGDVAFSQPTQSGRAVMERWGQGGIRVFADD ESQL I203_02829 MPNGPIEDQVHHHPADDDIGDVIRYIPIRCGEGSEEGRSRIKTN DQNAIHGECMIDPPRRSNRISKPTQRALEYAVHPVASTTQTESKKRKRTPHTLPATKD NALFEWDELSSPLSSPIKRSEQDLMIPEGRHRRRITTSIKVADSKPLRTSYSGWSCHE VPPDILSSLRFDRAPELLTLPSSSAVEESYKAAQGSQSRHPNDGARSRMTRRRPIQRE QTALFARDQVAVTAKKDGFKRQAIEKDQNEVQILPLPQTPFQPYPELADGIRSSRLCK VLRILAGLRSPRSRRTEVLPQPRNRPPVWAESRQELCEALPYYRSFQSGLYMHKKVAY GYLLEAFPAPRDIWAHNGKVIISHGGGQCVRTLKPDGTSGPATLQADQSRSDARVDTL LLAHEKRIPMVLIAGKGYEGLPWELNCAYVILGWYWISLTWVEAEWPPLGVSPPKERD YFHRVKIRFDWVESQGTPWWIESYKMTPLPSEGDEISCKDIQDDVNLPKDVPCCDEEK RTNDDLCTPKKMDVSSLLNPTGLPTPPHSSPGTQGHLNRSSPPSPCTSTTHRQFWPGN QFLSTAQPLLTFTEHSLNRSQFPSPTICPSCHRPIVRIYQEGLFCFQPECQAFFMLDS PIGVLPIPPGFSLSYDENFLKPRCTPPEVMIPYSVVPQEPVRVVPETEEMDGEVGGRT LWRGWVCRRCGRANCRYRWEVWECRNCGNTLGVVDPSRIILKKDLPHIPPSFLGDSKI DPSSGITSKMRWISEIGGTCMVYDLPHAGKVYHLIQPDYNLADELLQDYQKAANEGGW FQRRPLKGVSTVYIHICQYGYSRHSHAKRFRLQRVVKGQFLAQHFAVNFGAAYKYQVD TLSYPFEKSPECVTRSLDLIKDRVRLILSEEIVFNEILSVMYREGQKMSWHDDGEADL GPVVSSLSLGNQAIMSFRLKTPRVNPNQTYYKGMADREIKKISPTALSFTLSHGDIMI MQGSGIQKKYDHKVIPMGFRIASTARVIGVPEI I203_02830 MSQHNHDHPLQPAPSGGTSSHKSHSHPRPIPHSHHQDQHHAEES LDVGEAAARRRLKGKQKANSTHTPDTNLASPKQCTGTPDEPLSAMLDEALHTSLSPPR SPASLPSSVDSTFDGKLSMIAEPPLAHHHAPVRPHAPPRLLSQLTRSTLPTSSLTYEY QNRQRNASGSIPREGESSTAWQRSSPTTAICDEPFPDLDPTTGLPINQSQSRHRRDSS SSDTPSLHLQRTITGLLNTSPRKSSESNSLIPSMPSLPNLNLSLPRVSLPSAPSLDFK RNLSTNGTQEDWSSWATGWWSGNKGRVDEMMSEEDRADTVEEEKEKLRKKYKSPQNPI VFCHGLLGFDYLGKLLLHQPPLQISHWRGIREVLESNGTEVLIARVPATSSIKDRAKI LEEVISEKYPGREVNLIGHSMGGLDCRYLISELKPKAFRPISLTTISTPHRGSPFADY VIDNVIGRDRLPTMLSLIETLRLPHTGDGTAFSALGTHSMKEFNAQVLDREDVSYYSW GASVEPGLFDTFRWPHSVILAKEGPNDGLVSVHSAMWGEYRGTLVGVNHLDLVGWVNT VRYTLAGWTGKPIAFKPATFYLEVADYLAEQGF I203_02831 MSSPRKRSRIYSSHSRQLTEPQLPLHIALAWIIDRVFPLNDDCQ PVPVYSHGFVADVLRILTREILEVGSQPATFVSLRDELDPLVRKETTEILKRNNKKRS RGQKVQEEYEDAEKYTNWMTAFGDRFRDVAEGCTFLDRLEKELRDRLNYADDDEPTEL PEPIERHSPLGIFSRNLLNTLRKLSFDETTHLSREIAKWCGLPNAGTSVHAGIWSLDS DYQSSNASGDYSNALASLRRFYDYQFPSAGRGQHQHALLNIATFYYSTGGMESAQSAI DEAIRVARTAGDKACLQHCLSLAQRIKHETCSVAFTPSETIRIRQNPISTSRLPEGQT PMDQLWSVKSALDLGEPVHVAFRRIHSSLGKEISTESSTTDEERKISKVWRTGQKLDM AAWNATQSGLWGMLGSSVLAEFHEDLALDDVSPWNDGRLTVILSKAQRAVERAEYDSA LAILLDISVLQGMSIQSYHRWSKVVWTVLERKAKMHGDDILLSHISSSQPPSGYSQRR GVGGPGRETGHPESKILNLADEQAPSKGITLIQEHIKDLFKKVEKLQLANAPSHMILP DILSAVQLTSELGLWALYRFGVVMLCEVLLSMEGMGMASKAIREIEIVWDQILGGDDL ECIARGSMCLGKAKLDVALDGDSEESLDEAIQHIQHSIEVSTKLESRSLTLEGTSLLA MICELRGETKQRDELSQKYIMVKSGDEVELYKAKEQVVRVGEIVKMVGIRVAEGWK I203_02832 MMTIHRVNRPWILFTLLFVSLLLLFAPFTNHGSGNRERLKGVIE SVRGKGKGGEAVMVLEDSEGHWDEADKMREWEFNRALQYEGTGSRIQAFIDKARSGQP FTVSVIGGSVSKGRGLTPPPDHPRHGSHKRPRQGEEQAKNNPNIDLTEVPSSAPPKQT QMGATTLYSKENLHVMIFDWLNSTFPNPKNRFVNGAQGGVGAGYFGWCFKEHIPEDSD LILVEQGINDLLDMEVISFYEHLLRGLLELPNKPAVINVETFTTLFPSLLSSSAFHQG VLNFYDVPSIAIRDVILPRLLADPDKQMPRWFRTGDDVTLKDPKAKEYGGVAVDVMHI SARGHALAAGLVIRYLQDQIERSAPPSYFRKALSRFASSYIKKPPLRILDVPSTSLTG QFDPFQRDPMHVPVCRSENSPRLHGKVSSAEDDVSEGYGQGLQLADGSHGWIQWSWAE KRYLISREPGAIAIFDFIISPPSKEVEESRESLLLAESDPIEQSLIEIDDENQEFEVE AENASENESDSVDEEEEGRSRDKFKPIALSSRPEILESDKESRRFISSPNKRQNRGSG GSILIGYQRSAKLGLGSVWCWVDDDRIQGTQVDGWWKLDKRNMGMVKEIASGLQPGKH TLHCELLKETLDPSGGNEFRLFAVMHD I203_02833 MSMDGDLIALVNKLQDTFNAIGGDAVDLPQIVVVGSQSSGKSSV LETIVGRDFLPRGQGIVTRRPLVLQLIHTPDSSSSSTSGLSPSAHNNNSSSSIRRSPR IGSTDDGNNGYLPNLEHTPTAGAGIMRPGGRQMNEYGNVTYAEFLHINRRFTDFEEIR KEIENETYRVAGQNKGVSKLPINLKIYGPGVLNLTLVDLPGLTKVPVGDQPTDIERQI KNLVLDYISKPNAVILAVSPANVDLANSDALKLARSVDPRGLRTLGVLTKLDLMDAGT NALDILTGRTYPLKLGFVGVVNRSQQDIMQDLPMDDARQKEEDFFKTHPVYRNIAHRC GTKYLAKTLNAVLMTHIREKLPDMKARLNTLMGQTQQELNAFGDATFLGEQHRGSLIL KLMTEFSKDFVSSIDGTSLEISTKELSGGARIYYIFNEVFGHALTTIDPAQNLSLTDI RTAIRNSTGPRPSLFVPEVAFDLLVKPQIKLLEPPSLRCVELVYEELMKICHNCTSPE LQRFPRLLTQLIEVVSELLRERLGPTSDYVSSLISIQAAYINTNHPDFIAGSAAIARE GQQQSSQMARIPSQASSPDDEDESVSSDGAGSAPPNGAPLNNLHPRSASTSVPDIRRP SVATKGNVASELSKTRRHERTASGSNTISPNAIPQPHMANTIGGGISPHGAGAKQSFL NYFLGGPNGLDEPRPSSAPATERHHHQQSRISNNSSKQSQSQSKDLLPDLSTGRRPGN LRSGFGMDTSSTYDMKSLGKHLEANSPDHPLHLTAREEMETTLIRSLIASYFSITRQT IQDIVPKSIMHLLVNFSRDAIQQRLVTQLYKPDLFAELLFEDEALVSERTRIKALLDA YKEAFKVLSEVSLKST I203_02834 MSEDLAEWLSDSNEALSLQLVRDPEDEDVLYAQEKRAIEPFHPT FTYPIFGEREKIFGYKGLDIQLQFASGSLRQFLSITYDSKLNSPATPSDEIEGTLYKF IPPDYTKSEMDFSKLVEKDSSEFKPLGEKIGSYVRPAAPSSKSKGKGKGKVSNGEELK EDDENAVVFEMYKATWNTPGFREYHRRMQLFILLFIEGGSYVHEDEDSWEFITLYEKR KRPAADSDIWTYHFVGYVSVYPFWCYPDQVRLRLSQFVILPPYQNQGHGSKLYSTLFS QMLSRPEVAELTVEDPAEGFEDLRDRNDLIFLVSNGILDDPKFSIGIGPSDELSRSER VKWESEIRKKYKIAQRQFDRLLEMLLLKQLDLKDEKELKKYRLHVKARLYRFNYEMLS QMTLEERKEALAKTYESVVEDYERILEMTFH I203_02835 MSDIINGNGHGNAESSGESSKAAGSIGIDPVTYNHSMNLTNWIF NVGYLHQDWADVHLTFFQSGLKAHRIVLARSPYLAHLMRNVAPGSTIHLNFADENINQ ESVHIALQHLYNPSHNLINPTNARSILATSHLFGGVPELVHHSYELIKSSISPQNIID LIQWVSQSGDIRSSSSANGYAKSGSGNISSDDNWLEGESRCGEWTNRLKDDIVNYLLH QLPSSYPPSSMTTQPEITSIFSQLPYELFKLILENKQFPFGSMQERFSYTKKIISSRK KYLPSGPGGMEESVVLAFKGSDQGMEIHISRKPKKSRHLWKVEG I203_02836 MHRHGRRQFRSHHGHNQQQSAQAPIQVLSPTGDDEPLTITMLGA GQEVGRSCCVIEHRGKKVVCDAGLHPAHPGLGSLPFIDEVDWSTVDAILVTHFHVDHA AALPYIMEKTNFKDGNGKVYMTHATKAIYGLTMMDTVRINDQNPDVSGKLYDEADVQS SWQSTIAVDYHQDIVISGGLRFTPYHAGHVLGASMFMIEIAGLKILYTGDYSREEDRH LVVAEIPPIKPDVMICESTFGVHTLPDRKEKETQFTTLVSNIVRRGGRCLMPIPSFGN GQELALLLDEYWSEHPELQNVPVYFASGLFQRGMRVYKTYVHTMNSNIRSRFARRDNP FDFKFVKWLKDPKKLNEHKGPCVVMASAQFMSFGLSRELLEDWANDSKNGVIVTGYSI EGTMARTLLSEPDHIESLKGGTIPRRLTVKEISFGAHVDYAQNSKFIQEIGAQHIVLV HGEASQMGRLRAALRDTYATRGQEINIHTPRNCEPLVLTFRQERVVKAIGSLAANRPV HGTPLKGLLVSKDFSYTLLDPKDLKDFTGLSTSTLVQKQSIPIGVDWSVIRWHLEGMY GEVEEGMDEEGRPSFTIMNAIKVVQITEIVVEIQWSSNSSNDMIADSALAVLLGIDGS PATVKLTSTPHLHSHHDHSHETGESMINGHSHSDASGNEEFDRIRMFLEAHFGEVSGP KVTVAEGEEDELLVMTVKIDEVMAKVDLISMRVESDSPDLKRRVETVLEMALTILKPL SRSFIGSGVDLNLENIAVTA I203_02837 MPRDLGERAQDFREQWLAPSGGWLSELVVTLSDLTGIWDIIILS GVFVGSWIILRTSPMEISLILGFGFDLAIPLQYQNDEELSYRHEVHLQNYWRTFIIGC IVEGVSFFLLHPDLFTLIVCIKTTFMLVMWLSKDQEGLQIKPRSSKSGRNEPPNSRSH SHRSSPPDSSSESESDSSRPSRRSSPSKAPSNIPPCKHIQNSYKYLKRAGYEDPAIAG MNLTMNQADKNKAKKMFAGWTKERDPKNIARRNKEYAERARKAGWKPSSSLSSDSDSN RQSGSSNSQMPQSKHVPDAYKYLKRAGYDDPAIAGMILSLNQIGDKEKAEKQFKSWAK EKDPKQIERRNVQYAERAKKAGWNGSSSQPQSQLRAGDSGSRIVDDGPSNMPISYHIP TSKTYQALKKAGYEEPAIAGMIIGMNGSDENQALQNYKIWTEEKDPNQIKRRNQQHGE RGKQAGFPDKNKMNAASGGPPSERIKTKLGIPVGSVKKVENILNSKDFKKKIKSEEKK KILDILKNPKSEREIMQVVNKLMLLGINIKQPEFQDGWGSSDGKGGYRDILIRDNDRN MLIKSMKKKGQFSKEEMMKWDKFLRQPFSRRELEETVIPFAKRIDAYIGEGYHGTGPH RFRDKWLQSIKPRIKERNTISTAVLDQAILYVINRKDPSETQSIVDRWIKQGMTKEEI QHFSKQVDWA I203_02838 MPYISNETLIGAALLIVLAFGYQYLPSSGPTSTHHSQDGSASKS SKKRAKKKANRAVNVGGPSKGHKEADAIALGQRPEKPKGASIASGQHEKAIRNTKGGG GLKEEANKASMGLSEEKGPQATISSGEKMGKKPKKRLLAERLLPKEPKTKVDDMLAPE DRPGAIARVMKVTSSSKDKSTSNSFAAFTQPASTQDDEESEEDDEKGVSSGVENGNEN GKIAKFEGDYVDLSDNEDAPKKVQENDGWDVVTSKKKKSTSLNISSDPFASHSTSTSL PLPPGAASRQQKKNAKKAEGKKLAREAEEAERQRRLALHKKDLEKERINELYASKQSN PNRGKALGKTGNSNSKATLNENGKLVWD I203_02839 MPLMGPGEDVDLSTLPLSFLESYPFPAFVLVVPTNSRTRPKLIS RDTDITVRKYHADPYDDVSPLGGPSRQPFPSDPVTWGNEKWYQLTQGRSIGDCIDMNA QNKMQAWIEGEGESSEESSLPPSSPQIDKSASTRESGLEGGFVLDIKWPKPCSLLLAK TVLPLSPASTTHTFCVITSQHIYSSSIFPQTTSSSPRPSWSNLTSPTERPSNPSLRSS ISTQFRDAAESTSQQQQHRMSDVSEARSSSFASTADTRSSSASLHRDSSSTGFRTSID VGSSASQIGSPSTTPGSTFNAKPATSYFSNSLSGTTPSSTSREKDGDERVKSRPSLRK NSPRGTRVPIPSSSSTKSRPNDNQIEQFWQLVENIDWSKTPLGDRALWKDAIDPVLSI TFESRSSDCVWLGPDLRLIYNKGYSLLLDHPKAFGSPAKEVWAPIWHTIEPYVKQCLA GTPVYKDDDPIFWKRYGNNTLLEHYHSWRYVPIVGKDGSIVGIFNQSMEVTEKVLQDR RLASSRDLSERILIVRSMEEYFGAITEVLEEYPKDAPFFMCYKIQQVENNASHVQVDA TLEASVGVPETHPSAQQKYSFTLPPTRTRANFGPNVDRISSPTLSAISALSSGSGRVC HVTSDGHQWPILKALHTRQCVIVDDCRELIEGYSIRQWDELPFAAIVIPICSDGSIDI PDAVIIMGLNVRRPFDAGYDGWVNSIRAQLVQSMSTVKAAEAEQRMEEDNTRMERAKT AWFRGAAHDLRSPLTLIAGPLSDLLDDNPTPKQRLAITTAQRNIDRLMRLINALMDFS RLEAGRMEGRFLPTDLGEFTTGLAGLFRPAVERLGIQYTIDVEPRDQLTYVDPTLFET VLSNIIGNALKYTEKGSITVQIRYTDYAEVSVIDTGVGIPEDEIPLVTEWYHRATNAI HAGTQGSGLGLALAKELLRLHDGDLIVTSKVAASPGDTHGSIFTARIPLSFKPSPPTS SASPGMFGKYGTAVANEAMRWTKEDAESSSEGAATDSAIGSASKFSEGFLFDRTDTIL LVEDNVDMRRYIKQIFSPFCTIIEANNGREALEMAISNPPNLILSDMLMPQMNGLDLL QEIRNHPNTRITPMVLLSAVAGDESRVEALMMGAEDYLAKPFKPKELVARVHLHLQVG KKRAHLEKLFNERETEIAVLSDYCPSGIMRADGTNGMITYANRAWREQSDLLLDDPNK WPEYVDDETRERLQTVWGDYLKGSEKELRVNWKYNTGKVISGIFIKLDRVNPNMSGIL GCTTDITHEEQRLAEAEQRRQEAEESKHQQELLIDLTSHEIRTPVSAILQCSSLVKEN LISLKDQLRGSGSIGFCPTKELLDDLEEDVEALESIYQCGLVQERIAGDVLSLARIQL DMLSLYDIEVDLRKEARKVSSVFASEAKTKRIDLQLQFGETLEMGKIMSIKTDPVRLG QVVTNLISNAIRFTSAGDVRRITVKYDISFNPPAEDTCALPHSIGIPSYLPAEEDTPL WLFVSVTDTGPGMSPKELAVLFKRFAQGNKMIHTKYGGSGLGLFICRKITELLGGRIE VLSQLGEGSVFRFFIKTRTVTPSSALAAYVEAANLGALRSPANPSFTRSSPSPSIISG GTGSSSMSTSPSLESTFAEHVLIVEDNIINQTVLKRQIVKAGLTCDVANNGQEALNLI REAHRQSRRSNNDNFTTTNTQRKKPYDVVLMDLEMPVMDGLTAIKELREAESAGSLNR NMVIALTGNARQGQIDQALAAGMDDVVIKPYVLKNLLTKIKAMTAKREELERVSREE I203_02840 MNPIQTMVDEKSNSMVSSTWGPAWGSYLYQNFLLSNNNASAPAP TTMDWLNATSQPLLNNNDNNSSAGDYFGLPANNHNHNLNPTEWADNAAPTVIDVKTEV DDSKISNNQLQLQLQYQQQQQQQQRQRVQEPGQAGPSSFFPQFYFPSSGGINTSLLTQ TQNQGQGQNEYEFDYSPESTIDPYNPIPLSAISTSTNGVERGSTDSVHMVDNSLTRSP SPISSLVVSPHESPLPRPVRMRKSKGRPKASGKKRSHSHSVLSDSEVSASHSHDDHDH DHDHEHEPEVPEGVERDGMIWGMKVEDYRALSARERKRVRNRISARTFRAKRKEHLTS LEHDLGEKDLQIKIANDEANRLRKEVAERESQQPSVFRTCILILTF I203_02841 MPNLPILNEPPINNGGSSSSAPSSPRPESPNPDPSKPRRRGSHG RLAKLIAPGRSRSTSNASQVSVNQEDTHSAGSSGRTTPELRRRAGKAATTSLLASDAK YKKYAQLVDKSLQAFESVNEWADFISFLSRLLKTLQSPSPPYPEIPKKLIVSKRLAQC LNPALPAGVHQRALDVYAHIFSIIGVEGLKRDLLIWSSGLFPFFQYATTSVRPLLINI YETCYLPLGSDLRPATKALVLALLPGMEEETGDFFDKILSLLDRVSEAITPSFFLKNI FLILISSPQSRLSALNYLSRRLLKPPKPGGSAVGLVIRGVSAALGDENVLVRRHGLDL VLRILKINEPLFKDADRKDQEILVRAASGVLLQRELSLSRRVYTWLLGTGEVSAEQIA YFRGNGLELLSSTLQSDMELLGTTRDGPDAQRPFKIFLSFLDKWEVGSALSERLVIPA LRAIKNATSDSKDVIGTALAVYEAIEPIIVWKLLYASVEAELDARESNGIQLVQWLIS AVPQHDEEVNSVHIPVLLDLILSAIAVNASTDVFRLAAGLLHLIPLNAASRDPSTIDK PVSAGPSMSEVLYQSDEQHNVAHERINTEVLPHITTLAFDICHNALLSQSKEPESLLD AVNIVIALLDNEVPTLGIVDGENWLSGLVQALSKVSSFTVIESLVTAALKASRCSAFK PSVVITSQETMSAILDSLFRYLRPDAAAYHVRAVELLWEYNQMAEPHTLENVIARRMA DIPLNSAAFDAFGILWRLTADDTMLPGEMFNIPISMVLDALRSSDPEVQRQAETWMRL NLRSYFRVLDPIISRLLDRDIRYHEDTYATPVDLSLICHQIDNISILFKFGGQGLSKA CHSTEIRQTIHSTLVKRVEASFPSAATYLEVLVALLIRFITTEASQAICKAAPLIIRL QASAIELLQTIVSRGDVSYNQLTSIKTSLVIKLSSAVEHKRMTLQSKLLHLLHSAISA SSASKSFNHRRSPSLNEKSHQSHTDSEFELILVKLIIEAVLSTSNLSALQHWVDFVLM TLPQLATARTGLLQALSECFAQQLRYLSIHIDSVYIRSEASVEDEKLLVTDAEVVMIL NAMERVLILLSSGPGVKHDDMVKQNEGEKGLLGLMSGVFTVEAPTDDSAKTEYPRYLD DAIHALLVSWTITRWTSTSTNSSSSKIQTYDKIRSRVKRVLEKIFKTQPLGVITSCIH VWATNSNEITDSATFDCVDTLTPSAQRLVEVVCEAVAGRSGRTSSEFRADPAYLAFLE AYISRLEAPIAVQVWPTLFGFAKDIIGSLGSNSARVQLLLVLKCLTALSVIVSKTSAL EDRRLRRDLQDTYAKVLDMVVTNSTKVAEAGLWTRMIAVTASPGDTEKIDVEKGLQQI YEFLSASVIPNLRLLLVDTDRVNAACSGMMVMIVTPSFKKQKVEPSVLRLLLEIIKIP SAHKTWRTQIGDIFNDSRFFKHNNEADIGYWKTLICGLMDSDKERFTDLLGKITSASS ANIFSNREQEMLVKSLNLRRLSFVLLSAENNHYLVQLPAIQERLVEMLRSSQLSPRVH SEVYLCLRVLMCRISPQHLTNFWPVILTELLRIFELTMDDPPEDGSEALQLVLAACKF LDLLLVIQSEDFQVHQWMFVTDTTDAVYPTEGYDPEALMDCLSNILSDNGGHRGRSGS DKSPYEPLLLSEQSENGARKPRLSSVRTLNSIYQLQPFFSRASIDTFEGVYGGVGVDW ETVEEGLSEEIFDV I203_02842 MGLNDYIPHLPLRFWERIRFPNKFTRDLAWGLVIGVTLSLSSTS FALLFQDWRRKRAIARIPPRPIEIRSDEIVNGVIGLIGNTPLIRINSLSDALGVEILG KAEYLNPGGSVKDRVALKIIEDAEAQGVLHPNTGSVLFEGTVGSTGISLATVGKAKGY ECCIIMPDDVAIEKVQVLEKLGAKVERVRPASIVDEKQNLARKRALEFGNTPLIDPPK SDPEVVVSTKADSSEVGHEVNSSEPLIPSIRLPELLKPALETKPRGFFADQFENESNF YAHYKGTGPEILRQTSGNLDAFVSGAGTGGTVAGTGMFLKKALPDLKIVLSDPEGSGL YNKVKFNVMFDTKEREGTKRRHQVDTVVEGIGINRITQNFALGLDVIDDAYRISDAEA VAMSRYLVVHDGLYLGSSSACNLVACVRLAKTLGRGSRIATILCDSGSRHQSKFWSDE YLKANDIPIDPSIIGRLLES I203_02843 MDSRSYPADQVRSRETKEDPAYLSTADCRPRPTRPRANSSAATG EDDTLTDNPDDGFRSDADRRPSRPSRSASLREARGGQPGYGIHPSMTGCGPPGTYGGM GYPGDSASPYDDGGYPTHYGPYSKAASPPNILERSFDFSRPPLFGSPRRGPSSGSSDE TRADQPPRSRKSTRPPKPRDPARTSTSGAERPSVDAGQSEMHRRRDIPSIRLDTTATN QKTCKIKGKPKSVSIGDIQSTMNDQGDGITIKSLDGLLIKKIIHHDQWDSESGHAATI VFAKPLSSRDDNFTQEEEDSPVRVTLSEANCFGSLRENGCLAA I203_02845 MSISPLSRFTSSTTQPALEELKLSNILNGETCPPISFIDFATFV ANKEFTTENLLFILWYRSYKTRFDKLESKEKESIPIPSNRLGDRFDPYGYLDRPVDEQ NSKTDQQQQHQEAPVLFSEPFRSRSASSSNKQVQIAQHQHQQQNCPHPTSTCYCADNN RPASNCRRTSRLLSITHSLLGSRRPSSTSHSHENSPLRSILHQKPYPPLPPAGTVFAE PSQQPMREEVQRAFATFLRKGGSRELSVSDELREYTKLCLAKSTAPEVFLPLYEEIYH TVETQSLPHFLQHARSNINRPKQVFWYMVGAADFAFGAVIYLLLTLLLPAHPFGHRAW RLFSIIFVAFGAMQAYSAYRGFCSQVWGRSHRQVRPWEMDDLDDEETLVESTAGNESE LKNESYLVEPVPSINRKEILISLPDEVRPLADLGGTASIPSEEGEDLMIKEEGVSSFS KSDSITVALPVLEDKAMVTLMENDKEMTEAEKRQSEIRRSTVKVPTASEAFPIADDMY AGMVSSNAYRTNTKSIRVDTKRQRKEISPFITDEPIFPSSASSVRGSITNSIPLTRTK SRLHSMTSQRANKEISNILLKLRRSTVDSPEDEYLDPLASTSSPSTRNHKLRSRRLSR KERKEKPKIFGPEKLVEDPRIKRVYSEIKRDILIVGSLVASVWIVLCLAVPCAGLAS I203_02846 MSLPNDPSDHPDRTLKVLTFNVWGLAIVSKDRQVRIKAIAEYLA SSNYDIVCLQELWVYKDFEIVREKVRRNLPFSRFFHTGALGSGLAIFTRFPLISAQAL PYSLSGSPAQAFAGDFFVKKAAANIVIIHPILGEVEIWNTHAREHPPDTRQAHRIAQS WQLANAIKNGAAKGRYILAMGDFNSQPWSIPIAMLKNHGNLTDSFESVTKTANMDLSP QPTPEEALKSYGMTCDSPLNTYSAGKPIPGNVLGKGGKRLDYIFFRQPAIARRRPLVW GYRDEENESEADKTNGGEGADEVELGDFTGKGHMEVGKPITASIAKAPKLKCINSEVI LTGLVPGQSFSYSDHFALFSTFTIDDPPSQPPQQKQQNQQNQQTTSTSSSDNSFTPLV PLITEPEQINPTTTTFAQPSPESPNVNYAPSSPRTSTSSTAAMTAKSNTVRSALDVLR LYTRISNRTSNFHLKTCLGSLIALIALTIGSAWQPKSWLQPIFTLVGGLLGASTATFL YTGFVWGRWEQGLLVEVTEEMELELRVAEMEERINTSA I203_02847 MYRTPPKLPPSLMFQQDAETPGPTKARINHLQTQVSELVRKNQG LERKIQAEKSLHSTTVVEKTEEVNELKVKLKAALKEVERCKAEGEGMRDELHLHSMVQ QQKALLALAQEQIQVVELEQRLVNADKARIMRDHKISLFQAKEDDLLAELKEKDLLIS KLEHKLSKTSAFLNQLQTTSSQNSSNATKELVSTQMELSSAKSTIGSLENKIEILETK VKTLREREKETKSELERWLKDEKSKNGSMEKSKKEYMTQIRSLKSDLLKKEEELEEFE EFKRSSKEREKTLKMRLKEANEERDRLVAVEEELQALRSKVKDKEKSSPRKAKEKERV RKASPIQDGSSDEEVVPKKKTKKAESLVRQAKPKTKPTPAPIPSPSASESESETVVSR SKPASKRAKSPVKSKKVPLETSDIENQPTTKSKTYAAKNAKVIDVPAPESESDPEPEI TTSAAAAVVPKKKKRLLGGVKSAFEWDPILGSGDGVIPLGLSPMKPITGKASGTIPRA GFSVSNRLNRLT I203_02848 MSRTGALDDSEIQTEMNKMVAFISQEAREKAREIQVKADEEFAI EKAKIVRQESLAIDSQYEKKKKQAEVGWKISQSTAINNSRIKVLRSRNDHLESIFEEA NKQVKDLSEGDKYKQAVENLILEVLLKLLSPTITITHRPKDEDLIKKTSNSALKRYKE MSGRETKITFEKGLNDNSSGGVIGSSMNGKIKVDNTLEERLKILEEKVSWTEISFSSI ATFSLSLTLLYFTLKWERRACQGF I203_02849 MEDSGGDDDGHEDHDNPGEGGKGSSSSQVRKAQNRIAQREFRLR KQQYIRDLEARVEVLSGDKEERIELMTLLVRNLLKENKDLRNMVKNMAGFIGEGLGSC LPRLGLSADGLDAILTRSDTDTAYEAFVNLKASKEQLAAHPGMKYGATRRRASATKRK RDDESSPTVEKEKDKGKGRATSENRTGAKSGHGSATGTPTPLNPSISSEPTLPASFYT FDQPQDENYHYLFPDLDTLLAENDPAGYNAPPSLRSRPPPVTDDRKSFDPRAFPSGGP NTGGGHGNGGGPLVGDTREHGYAHHIMPTGLGGSGSPKADPNNGPSSNQKPNPNVGVG NSSSGIGSSSSGYFGFGPTPPMMLSSTGDFGIPLVSGSGPSNGIMNLPTSSSASSSDI GRSAENPISSLAGPRPAPSGIGSQGNYARGHQSVLSSDLSHTGPEKSQTLREAVTAIT NADNPLEGPGVTAAELAERRRQQDQLMRIIEEGDPSDRKMETMQLITYHLNNFRMNHE YHLPPSLRPTVVQRTIPHEHAIDGILFPSIRDRMILLRGEYDLVEVFRAMLAEFELHG DDVLDHNNYEISERFIENYSILIDDIVINISNKWRAQRGASLLGMPEKELISRPGAGH RIHAEHAESFNRP I203_02850 MSVLPSIPRACRASSSRLPPHPPLRTTAFKSIHPISIRSLSLLP SRSPSSVPFFLPHLGLGGGKHQAQLPEYGKGKGRQPDEEEEVDDAEWDMRVARAMLHL QETLPLFFDPEMNSTNMFPRNIFSKHMVLTLPAPLPLKISSLSGYSMAFSLTRSGMHA LHTDLRSDLERMSFSPSPSDVVQSDSKSASLLAKKPVPSHRQKQIRVQVAVYGTLRLP PHKEAKWHTSSLYTFSPYSGLVTSHEVETIRPLPGEGVAEWLMSRLLGWTSRQSVNEG AVPCPRTVALPTRNEMERFKRGSNGDPSRDQ I203_02851 MKEGPDLELGQIVQDDKSRQISGQECVVNGKRKDRNDLEAELEV QPERPLDDLTTQPPPLQSESRYGPNKQNELGPTPTSLSTVEARRVTASYPTSPANPRN WTGRRKMLITITLALTGFISTMGSSIGIPGMHAVRDEFGVGFRVGVLIPGAYVLGLGT GPFIFAPISELYGRQIAYTSSQVTYCIFFLGTGFSNDMATLIVLRFFCGVFASVPPSL GVATCADMYEPSQRGKPISIYALGPLSGPILGNMLGYWLLYFGWRWAYYFMTILSTLN TILLILVMRETYAPVIQKILVYESIHHPSSASHTENRFNPINWFPDLSWMPAMVSKSE MLSVYGKAFSRPPRLLFTNPVAFAFSMYYAYLYGLIYLFLTTIPLLFGSPPFAQNDLF SYGWPQGTLPLSYLGLGLGFCSAAIVAANCQDRIYRYLSKRNGDKGQPEYRLVLTQTG MIIMPIGLFIYGWTANSHTHWIAPIIGQYLIGIGLVLPFNTIQNFLVDAFHPYSAAAI AGATAARSIVACILPLFATEMFVKLDWGWGNTLLACVAILGVPCPFVMFLCGKRLRER YAFQG I203_02852 MPLSIDSSIKLASGHSIPQLGYGVYQARSKECENGVKEAIKAGY RHIDSAQAYHNEDVVGRAVLQTDIPRSSIFLTTKYMPAHTVHPSSSVYDILKNSLKKI DRTGSDQPYIDLMLIHAPFGGEEGRKNNWEALVKAQKEGWIRDIGVSNFGVNHLKSLP SPKPSINQIELHPFCQQREIVKYCGENGIAVQAYSPLIRADPKRYENPVLVGLAKKYE KEVAQVLIRWSLQKGYIPLPKSVTPSRIKSNADVYDFELTEEDMKALDGLDEGAKGAC SWNPVDQP I203_02853 MSGRRAADGTAYGQPDPKRAKVNTPAPAPPPGAPLDMAAIRAQI AARKAQLEAAAAASRSTPPVVGPASGSASPAPAPGPAALPPRPAMDASVADRLAAAKA RIEALNARAANPYLSGSGAIPKPSSESQPSASSSSTSGQPGVSSIALHPLLMGQSQAQ QQEVEKNEKKAMRDRYKTMAPKFTSVKANAAVASTSTSNRALPAAPVLNPYATTPTPS GSGTPVPDEERAPIRRSKKLQFSRAGKYVAQGDALRNEQKMEALRQRIAEASRKAGLD SEFDTLERSLKRQPPPDVEWWDKAILPEGKGYEDLEDAVQFMTTHQDSLITHLIQHPI PIPAPSDKKQPERGLMLTKKEQKKMRRQRRQAELEDKRDRQKMGLLPPDPPKVRLANL MKVLTSDAVQDPTKVEAKVRKEVAQRANKHERDNQERKLTAEQRKEKEYNALVARERK GIHGAVFKVKYLTNGRHRFKIRETAKSDLLSGVCIFHPKFALVLVEGVDKSIKHYKKL LLNRIDWTEEARPLNDNEHENEEDEDDDRPKTNGGNGDGEGPESLEDNKCELIWEGEV PERTFRLFRARHAETDTKAKEWLTPKWEGMWDLAKRWVWEGEE I203_02854 MTTIPSRLAKLTTTVGSWEEARKASIVAYRAWYRSAPDIVQLYA LNVSPSLVRLKFRQDFERNRDTITDLSVMNVMLLKNQQEYQETMNAWKQEPHVMQWFK RYDDPAPPKTFLEKFYASRDDPAQVSSF I203_02855 MKFMLGDLPVLFPYDRLYPEQYSYMADLKTTLDAGGHCVLEMPS GTGKTVSLLSLIVSYMQFYPTKRKLIYCSRTVPEIEKALAELKRLMEYRAEMGANDGE FRGLGLTSRRNLCLHPEVSKEKKGKIVDSRCRDLTSAFACEKGRANPGSVPLCSYHEE LNNYETGNLIPPGIYTIDDVKKYGKEKGVCPYFTIRRMLPFLDVVIYSFHYLLDPKVA EQVSAEMSKESIVVFDEAHNIDNVCIESLSIDLTRPMLDSAARSVNKISDKIAEIKET DSQKLEDEYKKLVEGLQEANEKREDEDMLVSPVLSKDMLDEAVPGNIRKAEHFIAFLK RFIEYLKTRMRVLHVVAETPQSFLAHLKEITYIEKRPLKFAAERLTSLVRTLELTNID EHYSLQKVASFGTLVATYEKGFLLILEPYETEHATVPNPIFHFTCLDPSLAIAPVFER FSSVVITSGTISPLDMYPKILQFRPVTEQSYPMTLTRNAFLPMVITRGSDQVPISSRF EVRNDPAVVRNFGSILIDMAKTVPDGVVAFFPSYLYMESIVSAWYDMGILSEVWKHKL LFVETPDAMETSIALRNYREACNNGRGAVMLSVARGKVSEGIDFDHNYGRAVIMFGIP YQYTESRILKARLEFLRDNHRIRENDYLTFDAMRHAAQCVGRVLRGKTDWGLMVFADK RFARQDKRAKLPKWINAYITEAHSNLSTDVAISLAKKFIRQISQPFDHTQTGISLWTL EDIERRQLQDREDAEKAELELKQSLGKNHRNAVEDDVDVDVDFVMDDYDGVVDDEELA RLDIPDDM I203_02856 MSEAIDSNESPSSVKVVHQDPRLITHLTPRQEEKLRSYLDEKLS NLESDERTHKLGPLPRLLSRLSPLLQVILQIPPFYPFGSLRTSYLLTLTSVIPIYITS LPLLSSGHRTKDEAQDEASCVIRDVLDLLKELEKGWLAVLKGDGWIPPHSTGGTQMDV TIGGKAVKVDDESKSQVAITEKIRLKSIILSSRARVLAWARPYGNFDKSGSALPNESD GTTPIPEMQEDVVVATNSGGWEEEILSMWDQLQDVLSHDLSQSHVPIGRKVGNSAGG I203_02857 MSTASDEELASIAQNISIRDDCEDQLPSKAMPKNDLDVLTNGFD DLLGSNQNQPQVDNEQVERDDITPKSSSSEENGVDGNYKVLEKRIHSLSHEVALLLDR IYEIQELRHSSISSTSSTPSAPSRIDSSLSSLSDSTLLLRPQITSLASTISSYTGLRA KELKDGIDEIMEDWKTVGDQQKWLLEEMKEDGWLIRFRTTADQAEAMMDPLQKSLMEC QAYVDRITNSPAHIPLKAEFDDQLSIERLQKLAKGHDSMTRTYVPSVNKILKMMDKSI SDRPIKNGESLRRFGEMNHRWSSLQKQLQQLNAKVRLIISQRDDEMEFNGGGDEVELL ADVTSPYSSAGGSRSDYFGYGDTVKSRESTSSSYGASRTRYSISSGVSNTSTRSSTRQ PLAHTHTSPSIKQPAPSTLSPDTAMRPLPLRRRTSMMSNTSSATARNTPGEKPRWNSS AKVPAETVQTPTIPRRSTGLPRSVSPTPSMASMASTTMSMSRRLSRIPVASPTSRFGT GYSSPTASEISVPGLTVSNSHSRTLIAEPSSVNRSSNPNQSHLERARMGLKTPEPPRP RLSSTFSSFSRPPTTPGMGSRSSSFGTGTTPRTVPARGKGGPPPSSFRITSPTPSGTG AASTRPSSRLSMMSYSGFTPSHTMEMEEFKPSKYDLLDMEVSKILNEVQFNLFVSRLD QPLKRGQRRNENEEWKGEYLFGRSEKPSSVKLITIAGFRKSVNPNERRVKCMIRVGGQ WVELKGELERRMRVLRDEGGGELMDDDETF I203_02858 MSTSWSSILNDLNRDVARDQPKDIIQWGADWFQTKLRQERQGKQ APLSAPPGGRKGAPGTLGFHAPGVSDLQPHALSPFSEQGPADSPFGSGGPRRATVPTG SNPNQPHQHIFKPSFGSPGAGGGPDSSPFSEGVSSFPPSSGAPTFNQSPFGDMSVANT TIAEETNNDEPPIPSYALGRRTSVSAESLKPTNQRTFGASGGGLLDTTMEEEDEATPN PNSGNNGSVIPSFPKTDEQLERIKQAIKPNFLFRNLDEEQEADVLAAMKEVTIPAGEM IIEQGAAGDYFYVVENGQLDIFVKKDGQVIDPEKGDRPLLGKKVATCKEGSSFGELAL MHNAPRAASILSITPCTLWALDRVSFRTILLDHTSRKRRLYESFLSEVQILQSLQPQE RAKIADVLESRTFSQGEDVIKQGEAGDEFFLIESGNAIAIKKGDDGRESVVKRYGKGD YFGELALLNKQTRAATVRAEGTEPLRVAFLGEQAFTRLLGPVKDIMARSANESYGFST R I203_02859 MSHSQSHSAPSQGDLDVLALLTSDSTNLGPLPPQGQQGQRNKKA LPKRDSPMDALMISAVIAGSEKISRHHFGHGAIPRTGTYASCDESRPSSPSPHSAKFT MRSTQAPRSERLRLEAEAKAKTQDQQGSSSNPIQTTSKPKRKMSIDPVPISQTLQLSS SSSSSSHSFNYFHPTPSHSSFAAPSTTKGGRAKVRCMARTRIPTPHGELFLHLYHNSQ DTKEHLAIVIDPIQLDDKARKAAPKGRREIRSKSLDAVWREGETEMERIVRGAYTGRL SPGQTTSTQPTDNSIDDVEMGISEQLDELPIRDQDVKPLVRIHSECYTGETIGSMRCD CGEQLDEALRQIALPQQLKSQISNQFHQHAHGNGILPTPDPSRGSSPSGGDRGKTVPG RGVVIYLRQEGRGIGLLEKIRAYNLQDLGNDTVTANLMLGHGADERKYDVAAEILRDL DLAEEGIRLLTNNPEKVEGLSKEGIRIVERVGMIPRDWKCHTQNENDEKEFKEYRERR AGVGLIGSGAANGPELEKYLRTKVERMGHMIDIPENLQ I203_02860 MSTTSSNPNYSSLSNINFTGGFPTSVDLAPSIVFLVIYVLAIPL LAWRWFRKSDRTLLLIRPTVFLLCRIGMLVVRAYMSKNSYGEGLLIAELILVSIGFLF LIEPVIGCWNLQIDSDMAKEDRPRWVQRLSRLLRLVLLAAIITAIVGSSMISNALEDQ AKLDTVRQLRDASSVLSFGTVVVTALAAILTSIKFSSDRRGTIFILCAAGCLIVVAAY RMVQTFTSDHDAPVNSRVAFWVLQMVFELIAFGLILSISIPTSFPGDKGRISRNNTDV ESYNMRPGQPKY I203_02861 MSQAYVDTVYSADSIEWCPFEGFQDICVCGTYQIVKPEENAGVK DPKAEVVEGDEDEDDESDVGSSKPTQRVGRLLVYQVGEDDSLTEIQRIETSAILDTKW SPKLDNGRPVLGVADAKGHITLYALNTDTRRLEETHHIDVDEESILCLSLDWSNRLNQ SSPSSIITSLSNGNLSHIIPTPTGWEVDSSWKAHDYEPWITSFDNWDSNTVWSGGDDC KLKRWDIRETFRPTFVNKNFDAGVTTIASSPHTKYLLAVGSYDENLRIFDTRQPSQPL TTIHMGGGIWRTKFHPSSERKDHILNACMHDGFKIVQLSNDTINLQPEEDKVGGEIIR TFEDHESLAYGVDWSRLPESNVEGKEGTLIASCSFYDHAMHLWRG I203_02862 MAPKRQVRPSTPPPKKKAKKGGQQQTSIANFFISPSKPKQVVTN GHKRNDTIISIDDSDDDLPTTAKVQEEDEKLARKLAAEWGKEDGKKVDKGKAKASPTI EDDEEEDEVVPVDAPYSEATGINGSCSSKHQLPPIKNDKFKVKAEESNESKPIASIFA SRQSKQLLRTPSPPGMKPDIKPILDSPSKTATITSISAEPVDPIDFDTDAFLFKPSEV DTSKWPKGRLPYSILVGVYVQVSSTRSRLLIVRVLTNFLHLLLKVSPIDLPPSLYLLS NHLLPNYLPCELGVGSQILTKAVMSVSGLQPRDLKKLWDKWGDPGDVAFEAKNNLRTL VKPSPLLVGDVYARLLGLSRVKGSQSGKIKGDVVRKLMVQARGEEVRFLVRSMVGNLR IGAVRLTLLTALARATALLHMPAELIDSVRPLPPPPQKLEKGQKRVPRPKIEPDPARE EVEERCVEATKIVRKVYVRHPNYGDLVVGLDHGGLIGLEDRVGVNVGIPLSPMLGSIT RSLNEVFTRLGTLPFTAEAKLDGQRVQIHARVDGPQGEDDGGGRWVQGDEGKVWVRLF SRHLEDMTEKYPDVCQLILTLLTRPLPSQPNPFPSEASKASSSVMDLLKAQQIKSFIM DAEIVAVDKDTGAYRTFQELSNRAKKDVRVEDIKVVVGVFAFDLMLLNDQPLLDSPFS HRRHLLRTLFQPFSNPSDPTLARFAHVASLDSTSLQDVPAEMQAFFESVVEQKCEGLM VKLLESGEGLTGEDDEDDTSNVGDTPSKKGKGKGGKRKPLPATYEPDQRSQGWLKVKK DYLEGLGDSLDLVPIGAWWGQGRKAGWWSPILLACHNPESGALEAVCKCISGFSDQFY KDLLKRFPSEGLPEKCNKTTPLGFYDTGGLRPDFWFLPCEVWEIRGADITLSPVYPAA SSQLGSERGLSIRFPRFMKIREDKTWEQATTSEQFAEMYRKQIKDAPTKDQSSSNQNG NGKVEEIIRRDSDDEDIRHLEDEGEADEVEDED I203_02863 MIKTTTSSSSSSTPPHSHSQARHKTKTSLDFICSPHSSSTTSTP TPDSPTAKRRKTREDSPSYMSPRPSSPQPTFAFPSSSSSSSNIMTNGNTSKKTLESLP RELLALVGFYLVIEDTDVSEEQDEVKKYDKHPSKLLPLLFVSKTIYEQICFDNNPHLY NRLFRATFDIQALDRRYQWMKKNLTKPSPASNSTSDSTTTSTTNANADSTGNSSISKP DGKNRKIFDLFSDPRSWAIDYRTRWEQSYRMRQVSKQSRIEIPGICDKEAYVADLWNV WFLLTENDGENTRFLDTQCDLRGFILAFYKENLLKESLVPGYPRDTGDKALAVWCCLL AGIDDIGEDSPAEVDEKIFMLRPYVFACAKYDIHYAPWHYRKLPFCPPGCEEHETDAS IRIKAMTYKRFGYTWKRAPPHFVLGVYLVFMRLLERQPERVGLKAGSSAFSASPFEAG LPSLFSMSKLIPSAEHDREWQRNTMCQDPHTSPGLPPLTFANQIKGFWRGKFLFYDFD LYRQILAGNMRGVYTGTFAEQAAEMELFETIIKLPKAQLGGKGPLLAAGFKDEFDEDG SEQSLIKEGYGYELSNETEVLEEGWTKEILISGRARTSWGWAKMRGRVRSWDGLVIME MTYSRHVMGRWIWKGYLHTGGYMVGRWRDTFTAENLRGYEGAFGMIRAGDPLYPDHFP KRMEDSLGVNANVNHGQSSNSQQQQPQRQSQPQQQPLQAQSSQSQRAQQLQQASTPLS SSIGSGGSTQTGTGPQHGPSQQNRRSSQSPTAAQRSEGEAGASARRNGDGAGDAKENN REGQKD I203_02864 MVWQVDLKGKTIVVTGGNRGIGLAISEQVAQAGAHVAIIYRSSK DAPEVAKKISEKYGVHCEAYQANVADQQAITDLFKKIYFDVGPIGGVVCNAGINYKKD ALEYTKEDFDNHFGPNVWGVFTCAQAAQRLWKEHDYKNGRIVFVSSVSGTIANKGEVQ AFYNPTKVNVLSPGYVLTDMNSNLRDDKGLREKVASDVMLNRISEPYEQAGTVVFFLS DYASYITGTQLIVDGGLHHW I203_02865 MAETRADEVGLERSLTTPNDPERADSSDQAPLSPNGPSETQNDQ HPIILPSDTQTPQFQGLDHIQTNFTTASNKPYSVFTDGQKWFIVIFSALGAIFSPIST NIYVPAIPTLAKAFNTTTEKINLTVTIYLVFQALTPSLWGSAGDSFGRRPVFILLLSI YLLSCIGTALCPTSAYWLLMLMRVLQASGGSALIAIGTGVVADIAMPHERGRYLGLFN LGTTVGPALGPLLGGIFAFTLGWRSIFWFLCIFCACVLIPMIFFFPETLRTLVGDGSL PPPLINCTPAALMRRKRELRELKEKGEDPEQMTSKRVKFNPLASFLLFLEPEIALIFT WSSLYYAFWYAILTVFTTLLEDQYKVNEFVIGLCYLPGGVGAGISGFLTGRIMDIFYQ KEKRRVGGDHRHSPDEFKLEKVRFMILPYHIGILLAATIALAWSTQTHTHIAVPIILN FFIGIGTGFLTTTTIYGIDLFTGQGGAVTATFNLIRCALGAVTTSTVQLIVNRMGSGW CFVLLGGICLLATPMPFVILRYGPGWRQKRRESNEEKRSQETNARNVTASTK I203_02866 MPKNDTPRSTLTHIGGWKLGKTLGRGAYAHVRLATHPNGHKAAC KILPALHHTPGREVSWDETIDAVEAHKEVVLLRGLSGAGVPGIVGLEGVMEDGGWTYV FLTLYPASASAYSTPWNQHHFIPFFRRLLQTIDILHQLNISHEDLKRSNVLVDEYGLP AVVDFGFSHFRPDGGKVRSAGGTLDYSSPQKAADHLYDPKPNDVWSLGILAMKLLGIP HPFTRHRPQEESSAIKQRIIEGRARFRFGSDDSLPGGIAEVIMGMLELEPEKRWTISQ VLQHPSLQPFQAEPRPFSLPSGDLSYMHKVDPSVVDDLCFLAYLNNHFYLCESPLKII QRLQGKHPCWEKTWASFLAAWSKRAEMDWEDIPKTITPLRTRSLPAARSDKVEPIPRG PLKEIHLTPENVKTPVPAQATGGVNGKHQKENLPPKQPRKSRIYGMKTRGESSRNVLG EVDRQESHEQQKVPKIKKNNLNVYHNETSAPPYSTATILVNKVSSNTETTLASSAVAG STSENAIIVDSSYPTRAQERETPKATRAKKAAKGKGPKRGKENETANANRNNGRGKAS ESGTAHAGEQMKGLDLAANQPRGPRRRSPRFEGRDKEQVAWKA I203_02867 MADHSSPTSPPSLRQGKRVPSGPRPPPMPLRGSPTSSRVTSAGT NPSLRKQTSFGSLSKFISTPRESPLDSIIDAEHVYTVSPESSPLLKPAGSSSKDLLPI ASPETMVSEQRSPPVKGRPHAQTITALPMSRETSKNRAQTMPDGDRPITPTSASFAVS RPVTPTGIKSPPKSKSKPKPSRLNTSNLMTSTTSSPTKLVSPGMKHWQQVRSHVMAPT PAEERSSQHHHVRHPAKKMTGLVSKAAGRFGFKHAADNVIGYNDRRQSIMGILSDLND LSKEEKEAITRERRKFARDVKVCLDACCLEESKRRLARIGYDNNSKSILATYNAETKS SGITMHNNGSIHTSSHMQKFTFDPEFSAFAPLLMELHKHLPSARSKKPWSRTCPHHSA ILAELGTAFLQDSTSTDGERQQALEVFGVIVKNWASDNADEELERWLWLCRALLGNDR QLRNRGLALLNRFLHPDSSLPKGLDRPQSALAFLSLACALIQLLHAVEMSGYGNEDHL QMVNGFLADLSEGDIITLEETSLVDLLGSLELGGSLGGVDKELVWIAVGMIIGTQPSI APWLLVEKCQVLQRFYPPPLLHATPPVILNLRSRSFSLFFTSYTSLISASLDVPLATR LWRSARDMLIPEVEHLPDEDGSLAVSLATFLFELELQGHKLQPSKVEQSDPFRIAMEP VKDVKIGVTEHRDMIAEYSTKQEWKSHFELAAKQVISDGPVEAVCRMVQSFLQNKRFL ALGKECVVALFARISSSSGPLREARPFLIWLSKSHPQLFYKPLFSCSASTSLSTLLPH LRLVRALSDLLGPARYWTQADPQMVVIVLIGDVGPKQPKGKGKEGEKVTVNVKLGRYA VLVGLIEALGQVDEPAGSGSRLRAFIENVEARLAAFLKAEEKDGSLPEGYKGLICQLL FRMRDTTMSIKKSTWLKSVLAWFTDLAASEPYRNAETKTDDEQLLTLKTLYQGMISNA DSQGKSTPIINSLPSKWTGGSARRDSLPILSEKTRAIFDTNLAKIVPFLLVTVHAALS TEDWEALLPKLWHYYDSSRPSRKGLTFLLEKCAERIPGQLRAIMISDLTSDYTFVRSH ALSKVAMLFGWRFQVLAQRILTDRRGPVFQFTSKTLDFVATEIGSPNWVPPHDVQDAA LKKFGRTLPLELRQRLMELGWSEDESLQAKSDWEQVPVSALPALQYQQEGVNVERSPS PMRSLTRKGSSGSGNSVTGKRRKAVFSPTLLAIINEQARALAGEIDGPISTTSLEIVR LLQRDDPTGLLRPIAEGFGDVFLDSLARLNCIFATLTPGFAYAALNSLVGHLKTVLRN SPHFDHHALALATISRLVPSTSEFSLRDIRKNKAEHVLLPASIHEDEGGFKVHAPWRD GQIDVQTGQLLILTESLRANPREVYLFKKMLSNLQIRDSIPHLPFARAWLVLISTLFS AVNRNYNDRAELRHFLSNVGNILQIHGQKDLLVASHAMRVFMLCSARFRRLFASMGFS TIMRSVYDTYSGGSSALKDCIEYASRSFYRIHQDSFVYQTCVVISEGEHDPSEVYMLL SSLSRGNSESSGVSSGIKDLNNQEEIDALVQMLSGPEIALSDLGQAFSEKHSLKQVSA ITLEETIFSKENIIKLFVTVIAANPATRRAANFLRLLSGLVPHISEHPPSQELLREGV EVLGSVIQKGKTGDEAAITAFHPGSNESTSDWTAARREYVFLVESFARSGGQLGASAT KRTLDMVLDLLKRQPESVGPAASSIVSFLAKTHLSSSKPTPFLRDIAPLFRMFIAVVD FSGVLDSITALIKRSSFNLDGEITSIIVENYVEPAVRMLASAAEESMAFIVPLRSSAV KLLAAAVFLRGDALGALERQPPSASLLASLVLPLCLLLEPPEEVDREAVYGSLWIRLL AYVLKSRDRHKTKTVKTVTTNQVIAATVLLTVQIVKIIFIRASESISSIKGLWTYISS YLLRVIEDGDASFADSSLINPSPRIVDWIMWSLFELICLHKNPIMIDLRYKLQLVLLN VHEEVERSAPPSPSLGAGGKLSNPPMTSQSMSGRARRISSARTPSASFGHSRLPSATY PDMSGFGLGLDSTPGQGHGHSRTSSTKLTPEYIKNGQHSRMPSQTQQFLTPFSGSTPP TSNRIGSHGRMPSQSSLSGGNHHSQSGGSGNGGMVRPSFSALSARRVSRPAFEVFQNT SGGDTGAGGMHRRFPSSAGDIRNLTNEKIANASSKANGGAIVHLLSTPNQILSATSSN FPTFSPSHSPISPQGKNPLRGNTLSGGGVNGRRGESALREIRVKNDKLCEMTRKSMKM VRLINGYENGNNDGENLFGDDNNEDDDMMRSWNVSDALNVISEQTRIFVEEEFRDLFS PISSTTSHEIGLGDLSTVLDHSLNLTDARKSQEKEKEKRESGYSLAPEGYHLDPNEHY HHHSGPGDIAEEEEEEENLKMFNFGGAFGFNEKRRNSSLTRNNIPLLSVSEN I203_02868 MSSTPTSPPAAKRQRIDPSLEATSSPSTSTQLVNGHSNGSSSAP LVSSAPELPIASTSNAAPDESDDDEEEEQQIKHQEEDDGTHRDMYLDTISRQNLDFDF ERLCSKSLSNINVYACLVCGKYFQGRGRGSWAYRHAVGENHRVWLNLSTEKFYVLPEG YLVSDPSLNDILHVLNPRYTTKDLIKLSKGNQLSYTLGNQPYRSGYIGINNIKKNDYL NVIIQLLLHIPPIRNFFLDPNTPELKEERKPTELVMRLAVLAKRLWNPKLFKSQISPH EFLQEVNKRSNGKFKTTEQGDPVEFLSWLINTLHRDLGGNKKKNSSIIYKSFQGQVQI QTQQVIIHKEYSRPVFDIGRDIQTVSSPFLFLALDLPATPLFTDVNEKKIIPQVPLST ILAKFDGKTTQEFGPTLKRHHLTMLPPYLILHIKRFTKNNFVSERNPTIVNFPLRGVD VSDYVDPKPSDPMHTQYDLLSNVTLDTTKASTETSGLGLGITASQKKKNGENEENSLT WKIHIRAGKNQDTNSSAKIKNENDGEEEEEGEKWIELQDLRVEKVTPEIVFLGETVIQ VWERRDLSRM I203_02869 MVYDPIRDCEVPSPSVVRNDPFKYPTPPSGYGGGYDRDRDEVMS NGGRPSLTQHSSSYSPPPPSGPGPSNLRGLLNDGPTSESRRGSDRTASISSMPEEGDE GAGSSRHHIHRLLNNTSTQPISKTNSNSSLPRSSPSNPSPGSRSHMLDPNGFLTPATP ASAYPRSRSTTSRSPHPPTQMSPQRASVPLPLQQSHDQGYSPQYGEPSHIYRRDSTAS LVGQRPMLPPQQPIHPHEMYGYEQRTPGGGHYQNLPMRSPSISVSPRSQHQSLPHTSS RPGSASSASHPFGYQPYPQATAISPSTSTRRLSEDQPRPTSSSSAIGRRYTDPNAQIP TPARRSSQTSTTGYPAPRLTPIRSPSPVVRGIPYNPNRLSAPVNVTRPIEADELAYYR SLSQQNNPLRKRKPKRPLPSWSGPSPGPRSSFPNEEGTSYFPPQQNQNEDDPARYNRS QSYADDRASIGRHPSVTPTPGSAYGGGGHGVYPPAFDDPLATPGALPRGGTLTRGRRP TGNGVENHLKRPSERDEDEYNGHDVQRRKVSERQYVGNNAAVADHYNSRPEVGVEGRE FSPIIGLKKFNNWIKSVLIGKFAHRPQGKILDIGCGKGGDLNKWKQARIMLYVGLDLA ETSVQQAAERYQRMQGRFDGFFFAYDCFSKPLGDILPEQLQQKDLYDNVTMQFCMHYA FESASKVRMMIENVSRYLRKGGIFIGTIPNAELLLSKLNELPEDDEELRFGNSCYYVQ FSERKHKGIYGHQYRFFLMDAVEDVPEYVVDWENFVNLAMEYRLRLIYKKPFHDILQE EKESRDFGPLLGKMGVVNDQGESSMDEDQWEAANLYMGFAFEKI I203_02870 MVSTTNSVAGPSRARGQDTWVPTKYLEPLHPDNEEVHEQAAYQA AVTRQGGDDRKRKIKPRRAVDYQGGVIKWRMLTKMKGIKEYRPAIHPNPSDIVNLLPP VALRLNPSTSICDQWVHTSINKERSPTRVVQWTPDARRLLTGNDKGQFTLWNGASFNY ESITQVHDDSIRSFTYSHNGQALVSTDKLGMIKYFTPHLTNIHGFQGHREACHGVSWS PNDERFVSGGDDGLVKIWSYREAREEKVLSGHGWDVRCVDWHPTKGLIVSGSKDMLVK FWDPRTGKDLSTLHSHKSTINTCVWSPEGHLVATAGGDAVIRLFDIRTFRELEAMKGH TKEINCLEWHPIHHSLFTSGDAAGTINHYSLTSPTPSEPITSLASAHEDSVFSLSYHP LGHLLCSGSKDFTARFWQRARPAGGHEKDRWHLGEEKAMNATQRDSGWGDKATTTATD DTKVDGNGEIALPGLSNLVAAVNAKVGGGIGNNIPEVASAGLPGLGAYTNIRESATTT NPLSIPRMDQNGPPSQNTNMGGIGGGTGRGRAPLPSQGDMLRQNNMGGEGGPGGFDGR RDRNDNRRGGGGGQGGQGRFGQRGGNANGNGFNNGQGGGPGGYGNGPGPGGPGGPGFN QNGGYGAAPPQGYGAPPAQGQGGYGGPPPLSFGAPNPTQNQNQNQNYNGYAPPGGYNQ PPPQGQGYGPPQGYAPPIQNQYGPPGQGYGGPPPPPPQQQQQQGRAPLPQGYPQHGFD GRGNNGWRGTNETS I203_02871 MYIVNVENCTKDNFPLSFSEVELVIRPAPENLEFIQRFLPKLDW SALVDTARSLGDESLPEQMPDQWTDDQLKALHHVLMELHVEEGNMTCRGCGHVYPISN GIPNMLLAEHEVGR I203_02872 MAEYKDDLEKTGGAGAAKIHKIRITLTSRNVKPLEKFSTDLVNR AKDRDLKVKGPVRLPTKVLKHTTRKSPCGEGSKTWDRYEMRIHKRLIDLNSSADVVKQ ITSISLEPGVEVEVTIAA I203_02873 MSNKTYDTIIIGAGWSGAIAAKELTSKGHSVLVLEARDRIGGRA RTWSDNDKNTIDLGCSWIHGYKEGNPAKGLANDLGINAMLPQPAEGLVYGPDGPLSSS QAASLRSSLSSAQEAFKLPHPSPASTTSLASALLSSSSPLFKSDSKYSSDPSTNTVDS TSPANPSEPTSNSTSSVDQSLAEGLARTLEIPLGLKLEKVSLKWSGWESTTSFAGSDA APEGGYQSFVEKVLKSGKVDIKLNQKVQSIKDTSNGVEINTANGEKYEGKTVISTIPL AVLKTLPSSFVQPELSPKLQSIIKGTNVGTLEKLLVKYDKAWWPNSTEVGSYTFLPTS NPNQLGKSSSLEDIFNGSSLVTANFASKSSTLSTPQPILLTYLSETPAKLLLEHPKEE VVKAFHEFLVKRLQPKDQVNEPVEGELTDWLKDEYSLGATTTPTIVSENDERSPMDFK ELGRPVWKGRLGFAGEHTEMEHRGSVAGAIVSGLREAERVDRLLNLLKE I203_02874 MTVTQRRVRTDAQSDERPVTEPGIKYTCDFCHVDITHTVRIKCA MKECEEVDLCPSCFCEGKEGLRHKAWHDYKVVEQHSQPIFTPDWGADEELLLVSGLIS NGLGNWLEVAQHVGTRTKEECEKHYLEVYLGVGMDGKHLKEEGEVKNEMKVDDDGDEN RKRRRPFMPPMDKTFEVDPDEFQSRKKARIEEMRKPHALPPPNAAPLVSAPTNHEVAG FMPGRLEFEHEVENEAEMAVKDMEFGLVYKYGGDAQPEAKVTGPPEEEGEEDDEDDDE DKRNKRKTEDDEGDVKVKPDPDFEDQPVAGPSTSSPSKGKGKAKGPPLPEIEDEDELE VKLAMLDIYFSKLDKRMDAKEVLFDRGLTEHKKIQAAERKRPKEERDLIQRYKVFAKF QTAQDFEVFIEGLIYEQNLRKRITELQEYRRMGITTNAEAEAYDNAKAARAGYRPLVQ RDRPEVTVSGARINAGQHRFLHGGMGTPPPGLDPKSREPTPRALPMSVGRKPPAPLNL ANAASLDLLSSDEQLLCSSLRVLPKPYLTIKELYIRENARRNGCLKRRDARRMLKIDV NKSGKIFDFLVDHGILVLKYDIHANPNGKHQQIIDGLYNGPKSTFENIDINVNGMVGS MMVDEIRLNGS I203_02875 MYWYISFLRPPPVSITPSTKEITITPQVANDLRTELRYDPTSLQ YIWQRLTPSLSPPTSPRELTTFIPPQSTYKPISVPLPEGVQIGESWRLGLFSPSSSTS ASRHPSCSLMSLCEDDVGVIGVWSEGIDIVRSELSNKGVVRSVNGSSKNGKGKQKESK KGKGKEKDDGPKQGRITREFTLPLQTQEDGEEGERREEMLRIIEQTSFDLDKKIWDSG LALSSWFWKYLPYPTGNESTSHPDELVNEVFDLLRRQEDLDILELGIGTGLVSIALSL AVKRYLPEVKRNIIATDLDTAIPLMNENLEFNCIDTILNSNDNNVNVRADLLDWDKPL RSWVSVDDHLPELIIAADVTYNTSAFPSLLQTLISLLAPSSDRIPIMALAYKQRDPAE RDLWKMLNENGIKMTLVDKVVGAEVDQGETEIWIGRMKS I203_02876 MPLVEYDSSSASESEHEDQQSKKNGIIEDSKVKRLAKEVASTRP SKRLKKLPSLPDAFETAPKDDRSLHQGRRRTRPYVDGDYNAHVYLSLKSSSGFRAVLE GILRSIQDELPNHTIHSLLSSLHISLTHPLPLRRDQILPFRNSLAGRLKTVHKFKLSF ASEMKIYYNRLSGGEEGNGGRAFVALRVGAGANEIEGILDKAIHPLLDIHHLPKYHED PEFHTSFGWTLLNQKEDEADGEVKGEDTPGLLSDRITKIQNTSDSSSVSNFQHTPFSS DLIDPINTKYQDAILQKQPKGGWEVDNVYLKVSKEVHVLGLGPNKML I203_02877 MPKSPSMPPLPLNGLFPIAKPSGPSSMKVIDSITSLLLESKLFD DPEKRKHARFQKNKKKNTAHLGLKIGQGGTLDPLADGVLVIGVNRGTKHLNRFLECTK EYESIGLLGCITTSMDSDDPVLSTSSWEHVTREDIEKVLDRFRGEIDQVPPIFSALKM DGKPLYEYARESKPLPRPIPTRKCQVSIELIDFRPASVTPGDGGHEYKWPTKRLSEDE KKVFRKLTDIVSQAGTEPSKPKGANPTTLEESAFNGDVPDSKPAETKKESFVPDLEKP DYPEISPINGLRPPTFTVKMTVSSGTYVRSIVHDIGVALGCGAHVVKLTRTRQGEFSL YGDEKVLAASASEDIKSKTDAPSEQINPETDVNAKAGEEEIPGPTNGSIPWPVWERAI KEREETIKAEKQEKEEAIMSGMSAEEIHANYSPEAIKQRRYEGGLREWETEVLRRFVS VPVPPNGGHGEGHGKKQY I203_02878 MAASSSSKAHVKHFKPYFTPVEVEKLSAKQRGKLSVSREEKVRQ QACGFIDAVGVRCGFPRRTIATAQTLYMRFHLFFPYKDFNYVEVALSTLYVSSKLHDT IKKPREIILASFPIRYPNLVRKGTVDIATAEANGLEHERKRVLACERLVLETMGFKFG VETGLRGVIKIGNKLVGKDLCQSAWKVAVDCHRTQAPLSFPPHIIALGSIYTASLLLL ETTKLPSDDSTVSDGVPSATQVVGLLGKSGSWETEYSASAGHIDDIAHHLIDLYTTIL SSLPDSLPNAHTPSPVSPKEPNPSSSQTANTTASSPTAFPLPLFWTSQTLTELKIELR NRRPGAPPTNLGWTAAGIHNGTENGNEGDEAVEGMGKNEGTIRFLWDDEETA I203_02879 MSNLDDFVEHSSGPSIPPRYSSAGAYRGAAQVPATGGGGIENVG YEGFEVDEGEEEDQDTGMVRALETGFIPSSQTPSSLPPLFSLSLVQYSPPSSLTHLRA ANNLLFLAAAPLLIVIIDLENPEELVTIDLPKPVPEKGSPALQVTPTIDKLHADPTAR HLLITTNTGDTFYLPISPGNAAVQSRRPRPLRLRQSITAVGWSPISGSTSSESNGQDA GSQPHGKGDIVTPPSTDVLLGTTTGQILSLPLPPQDDIFKSVSIGMSKPTEKDLQTVY SLPDQQPVTGIGFGFWPTGSSGSGSNKKKGTEKKAWVVITTKERMYEIQGLVSSTTAG GKGGGWAEEVFKPLRDGTPKFQELPGDPPNSSLKFYIPSLEGQSASSLPPASALVWLT APGLYTSSIATSLSSDILTKPSLIPYPSFDDPSTPAFNRNASSNSTSTPPTPIAVSIT QWHWLLLYPNRIVGISRETEKVVWDEQLPLSGDEKAIDLSADPVSRTFWIYTDRSILE VLVRNEDRDVWRAKLEKGEYSDALTFARTLPQKDIVLSRQGDSLFQQGRYIQAAQCYA KSSRSFEFVTLRFIDADERDALRMYLADRLDLLNKKDRTQRMMLATWLVEIYLSKCNT LEDIVAAESAISDVESLTMERKLMEEDLKNFITTYQNDLEPKVVYELILSHGRTDLYL FYANLNKDHGKVVEHWVTEEKWLKAIDVLNRQDSVDLYYRFASILMRNAPKETVDSWL RQSSLSPRRLIPALLQQQQRKEPISSNHAIRYLSHAIHNQGSTDTTIYNLLLTFYASD PDPDDGPLLRFLSSCPDDSETERPYYDLDYALRTCKQHGRIQPCVHIYSKLGLYESSV DLALEKGDLELAKINADRPDDDDTLRKKLWLKIARYVVQEQKDIKSAMKFLESTDLIK IEDILPFFPEFVVIDDFKNEICNALEEYSARIDELKAEMDEATASAESIRRDIEGLAN RFVTVEPGDKCWKCGVSLTIRQFYVFPCQHFFHADCLISMAMEFLPAPSLRRILRLQD ELVSRSSDPSTRALLSSNFSPSHSGTGTPRHTKGQNSQVVTSGSTTADLLLGLGGRNK LIAAGDRLREMIIPDALAQAVSVVGAGVGVGSGRGGLSKKKKLERIDEGRAEELRKEL DDLVASVCPLCEGSIVGLDKGFIAPNEDIRDWEV I203_02880 MYATRTIALFAVLPYLASAAPLFGLPNLGDILGQGKGNSIDAST VAIAVPKVTSFVGSAVPAATSVLGAAVAQETKFVGSAIGTATKLAGAVVDDVQSAAAQ VTGAASVAGGVMGGVNVNNGISGAIAGDLTGQVSGIASGTVKNVIGNVIGDVVSAAGS NPIGGVVSGVVPSGVASAIGQVDSTAKGIVGGVISAVPDVIGGVVNKGQDVVAGVVSG AVNQGQNVVSGVINKSQDVVENVVGGIVSQGQNTVGNVISGVTGTVPNVLGGVISQSQ NTVGNVVGGVISQGQNTAGGLLGGLTNTVGNVVSTGQSTTGGLLGSIIPAAQNSPDAI VQTLNSFISSLKPVSAVDSIQNLQKVYSYLTTVLSNQALVKNTASADFAPFVNYAAQL VRNLNSYVNSLPSSITSASGILTIAAQIDAATAQMLSGIKTTAIGATQLSTALYADGM FTASALSTLFPKTLAILPPAPISL I203_02881 MPSTIVAAPPITMPSPIPLGASVPALTAHAISVSLPTWEDNIGY EEGDQRVVGRMETGYPRFFIHRSIQKLAALCLAKFGRPDELCILLPSPKVAGEGRDFL AHQNPPVPSRIVEFVICPSSISIIDTKSLGGVDCIELQILLFDKANWSFGKAFWQHSG DGICSRMAERALAFLGELPAGSTNRPPTPPNLERPPSKAPSTRNRHYSRRTNSVPPTP TTFSAPPTPRLETNPNHDDDAPVESVKEEFLTSDLTTYLEERYGRNLPLFNAPLAKRA LKRRIAGGLLPSDEGYGKVDDVVRGAGSGSGKKAVKEDDVYLYPCGMSAIWHAFDIAR AARRRKGEKEGKSVCFGFPYTDTLKILQKWGPGCHFLGAGGTEDIPALEKLLQDRQPD EPPILSLFCEFPSNPLLRSPDLVKIRQLADKYGFVIVVDETIGNFINVEVVEFADIVV SSLTKIFSGDANVMGGSLILNPNSPLFEDLKAAQSEIYEDNYYPEDAVYMERNSRDYR GRIKRVNDNAYDVTDFLFKRSLADNSPPTEGKVIKQVYYPRFQTPENYAQAQRLPPTG KGGFGGLFSITFTSEAASKAFYNTIGCAKGPSLGTSFTLASPYTILAHYLELDWAASH GVERGLVRISVGQEDKEVLKNWFESAVKAAEDAGKEVDGQ I203_02882 MKATYFAAILLSATFSLAAPAGLNDLVASPNSLTPLTNSNAKSP SLPINQIGSILTPPTAPARPDEYVSGVLKSHKPSKRGIDLPLHDTSKSSKSKYQSGNT NLLEDVKDKLDGKNDDKLKGVSETVNKVMNMKGHGKRRMDKREFVDMAVDHLGRKVVR RGNDFQIQEIQDQGRQWGGANELSDENDEPFYE I203_02883 MPKANKQSKGHKGKVYSVPTAQKKKGLEVPKLHSLANKQKAKIG TSTASHPTPQSLATLSAADQYGPESTGAGLGGISFYEPIDASLTTRDSSSKAFMRELR KVIERSDVIIQVLDARDPDGTRSRWVEEEVRKRDVQGKKLLAVVNKIDLVPRANLEAW LKHLRHSFPTMPFKSSTQNQRQHLSQNLPALPTTSSSLGAPALLHLLKQYALSTPHSS LTVGVVGYPNVGKSSLINSLKRSRACAVASMPGKTRVVQEVVLDKGVKILDCPGVVLE DIGRSTEGEEGKKKQAEIMLRNCIKAELVEDPISPVEVILTKVDPAQLQKLYNIPSYD GVRDFLIKIALTRGRLFKGGIPDLEGSAVQILRDWNSGKISYFTIPPAIHPSSAPSQP QKPANAVILNGEDDVEMSGDKVGDAKILNTLSEAFTLDGLFDNLGDEAAWEGEEAADT EATAEDVELAHAASIPQTQTVVPMSKPPQPIYANSDDEDDSDAESSTFRPPPIASSST SSFPIQPVLPRQSGLQNNRLFTAEELAVLPAGMLDRSKAKAAMKKAKKRRAAVERTEG ELMLGFMGMDVEEPMAELEEITSGTERDMMGGERSVLSKKSKKEKRKAKKDKENQQKR QNKTMDMDEEMDGEDEDGRKEKDFANFLANMGADDSDEEL I203_02884 MTALYQALRSARPSATAGPSFRPVLARSFHATRIARDHFLDANE EAFNKRALDEGSTKPVLVDFYAEWCQPCRVLTPLLKSHTVPESSFDLMTVNVDDYPEL AAKFKVSALPTVVAFKNGAVKNKFVGFRGDADIKKFLGML I203_02885 MAPLDLGDVGGAVAGAAETAVGGAKGAVETATSAGGGAAKTAAG AVATATNAVNDAKGKYDDAKGMLGMFTKMQDFITKIQDAWDKYQTLIIFVVCFIIFLY ITMTIYCCYHFIHDFFRCACCCVRCTYKCEKYLWKHRAPISNCLCKPCKSRNSKGDKK KEKEDYHKCSLICLKTVPRSTRMELEKQHGDLRKGWFDSTYFARSCGRVELPEDPEER AKWHWYGHEDQRIHRMISGCVPSGNEEERLEKEYERRKKREKYWKNLTKGVDKLGENA GSWKKRVNKDWAKENDLKV I203_02886 MSIAIIQGASGSLGSALARYILKNTNLSVYALTHRPRSGEGDLS SKLLEGDIKGKGKERLSVVGGVDVRNEDGLARAAEIVRGREGQGRVRLIACMAGVLHP EKSLSSIDPSLALEQFQINTLGHILTYKHFIPLIPTKKQFDKLRSSPEWQDGEDPAQG LIGKENSLCWSMSARVGSIGDNHRGGWYSYRASKAATNQLIRTLDHELINKNSSAVAV GYHPGTVITSFTKPVLGENIKPDPEHGRFDIDQAIDKMTGVMGRVKRGDEGKKWEGRC WDWRGDRIEW I203_02887 MSKNHLKLKALLIDLNGTLHLGSEPTKGAVQAIEKLRKARIPFI FCSNSTKESSSQLLSKLGEMGFRASQEELMTSLSACRQLVEEKGFRRPYLIMSESAKS EFPSSSSSSSSSSSSSSKDQGMYDSVILGLDPPSLSYDNLNKAFRILKSEPVSQSQSS SSDKNEKNGDDRKAVLIAPHKASFQQSPSTSSLPAGLSLGIGPFVHLLEHATGERALI VGKPTKSFFELAIQRLQHNHGIKIGEGEIGVIGDDIQNDLGEGARELGLKRILVRTGK YRPESEQTDHRPDKVYEDFAEFVDDLI I203_02888 MRFESTSKPTLTPVGFRLLSISERKLSTLDASLYDEGPELLKGV LVKESIKSAWKSVQGGSNIDLRVELDSYSTMGLDVISEESFEEEEVVNENEERWFEDL VSSFGEDDFQSPQEVTHEWVESNVSEIVFDDMDLEFDSNQFEAFTFPSPTLSPSTIPQ VTITGVAEEEEEEEDEGSFSVEIKPSYVHRSGSTIERSSLLDTPILLPISSSTLAPPS PIEPIQSSSDWDESIYLHPQAYQTVHDDYQDIEEFSLPPPLIRSLSSSSTTSIEDEEE EVCGTPPLRYSELNEEPLWLNRLKNEADLIDADESDEYDEEDDELGIQRFTEDEGKVL GGVVGMALGFNEGGFVLV I203_02889 MSNSLQHPSVSDNTSTTNDHEPDATSKPRKRDIMKSVFSLAPPV STFSKQSDHTTVEDDEIHRKYERMRDEEPQELLQRREGAAVVIQRHFRGYRDRKMVKG MKLQRDARWDDLVKQTGEQTYWKGQLDNKNDVKSRWHRAVQAASRLESGEGLYNPPSE LTEDIPSEEIPESTKKARRATFWGSLSLPIAKDQNTDKGKGRDENEVLPFQSKALEQQ HWLEMIDGKHRYGYNMKYYFRKWKEAETQDNFFRWLDRGEGKDLDLEEMPRERLEKER IMYLSAEQRLNYLVKVDKDGLLRWARNNELVDTAASRWKDAGEGKGIIPEDNSSDNED EQLPRKDDYTTTTKSPWKARKSRKTGSTPSSYGSASDLSISSDSYSAESDLDDNEDTH YVGLDKADEEKGWLERKKKRLTPGGMRKELLRKTVRRNTWIYVSDMKLNLFVGIKQSG NFQHSSFLAGGKVTSAGIIVVKHGLIKSLNPLSGHYRSSIEHFRAFIGQLENRGVDLS HVKIAKSVLSLWGLSKYAKITKREQNLISTLKRTLHISSEPTEEEKSAELKANAEREE KEHQERMQKVHIAEEESGLNDPQRKGDGEEENDEEELRKVRREVLYGKEREKDIEDQE RKEDTKREIRPV I203_02890 MVSTRSKATNNHSSPAQGAETGEKRSQPSPSSSTKNGRSPKKAK QENEQDVAEKKEFEVSVQGEPTTETQEEENNLGEEGVKDEIAAEDAKAKDEDRVESRE DEVKREEKKESGEKYDDDEPRHGTLESGHIYFLYRPKVETDEAESLDDISKFHILLIP QSGKHSKGHYHRIIEVGKKKLPDPGAKHQVIWGLVGGVGEDKSTLKESFGAYTYETKT RGTRHQAAARPAARGHYILHSPRDELADSPDHNRQRDYKTLLAYEITTPTQEDFGQVQ KELGIEEKGAVVLQVKDPNVESRGNPRAAGIPREKRAQYPQHLLDIFRNRRFIPSNPV SLLDYQGAELLIITSPHELHESLGKQGEKVEDDLDHDSAVEKVSMDDALKELGMSKSE FPEDALEGNWA I203_02891 MHIPLFTNLATSLLPLLSPSQPSSSSSTITIRPIHAHTHKYNNT TPTLYFHNTSSEASFYAHDYPLSIFEADADIPSLSADDLTIRTVKTIIRRPRVRPPSM LSWSLSHKATTRRGGIIPFGTYNSSEDVGMWVAPDMNSQGGEWDDVEVIAPDIKDRQT LITLAKITSNAYVLPDSGEWWPVGKWNNHTVPFGWEDNADGLRGHIFADPKNETVIIS IKGTSAGVLGSGGPTAKNDKFNDNLLFSCCCARVDFSWTPVCDCYAGGWRCEQTCLED SLVNESVYATVGTNLYNNVTYMYPDATIWLVGHSLGGSLSAMVGLSFGAPAVTFEAPG DKLASKRLHLPLPPGMPADKTGITHVYHTADPIPIGACNGAYSGCYAAGFALESKCHT GQTILYDTVTVKGWSVDIRTHRITDIIEKVLADPWPETDKPKKPSTQPFHISNLGLGQ WWGWGRKGPQPGKGGDHDSDGDEDADDGGWEKHGGVPIAEAEDDCVDCYRWEFGDGWN KDPKKSEAQDGLSIAEARRKGLKRS I203_02892 MARPRRKNRTHLKGPAKGETEENVPKSFVIKSGVVTKSIAQLVR DTRKIMEPNTATRLRERPNARLRDYLTIAPSLKVTHLLAFTLTDAANVHLRVARFPQG PTLTFRVNKYSLMKDLVQSSLRNVGKSPGGEYRNPPLLVMNSFQQPQNGPALPQLRLM STMFQGLFPPIQVEKSALPTFRRVLLLSYSHQTGCISFRHFTITVRPHGVSRRVRKLL TTTGTVKVSRKQPNLSNTEDIADYLLKRAGSEASTAAGYDSMSETEASEGESDTNAVE LPEDYVGRGNKKGERKAVRLIETGPRMELKLIKVVEGLVGSKKGEGETVFHEFVHKSK SEAMTMQQSHEKRRAEKEARRAEQAANVARKKAEKDKKKGKAPAADDENQDSDEEEES DDELDVEGLSDIDPEEELQRLRESKVKFQDNNDEDEDFEYEDRVQDEDEDDWNEDIGA EDVSSEEEDVHQDSSASESEDEIPKPPPKKKRSSGKK I203_02893 MSIHVVRRVAVGRQLLARPVSLSSRSISSSSYLLRPHPTSPAHS STTAVQPHPHPPSTSFHPSKSSIFTPLDTFLPRHLGPRQSDVESMLSTLGYKSMDAFI DDTIPKGIRVDALTDKEGDKTGIRPFSELEMARRVEEVASLNKPMKSYIGMGYHNAIV PPVIQRNVLENPAWYTAYTPYSPEQSQGRLESLINFQTVTISLTGLPIANASLLDEAT AAGEAMAMCLASVPKNKFSKGKKVFLVSPSVAPQIIAVLQTRASGFGIELKVAKSNET FVQEVEELGEEKLMGALVQYPDVNGNIGDWQEVASKVKSTGAKMVVASDLLALTMLKP PGEWGADIVCGNSQRFGVPVGYGGPHAAFFACTDDLKRKMPGRLVGLSKDSRGGPAYR LALQTREQHIRREKATSNVCTAQALLANMTAMYAVYHGPEGLRKIAGKVHSLTRILSG SLASLGFTTLNKTYFDTLTIDVSSAGVTAAQVHEESIKASINFRTIDDKTIGITLDES VGPLDLTDIVNVFYRVKGQKDIEPEQLEQLASKLELSSESVTSPIAHHARTSEFLTQP VFNKHHSETLMLRYMMHLQEKDYSLVHGMIPLGSCTMKLNSTSSMAPLSWKEFGGIHP FAPVDQVKGYEVLIKELEEDLSLVTGYDATSVQPNSGASGEYAGLKVIQAYHESKGEG HRDVCLIPLSAHGTNPASAAMVGYKVVPIKALNDGSLDLADLKEKAEKHRDNLASFMV TYPSTFGVFEEGIEEACQIVHDNGGQVYVDGANCNSLVGLTSLGRVGGDVSHTNLHKT FSIPHGGGGPGVGPISCKSHLAPFLPTHPLVTTGGSQAIPAVSAAPYGSASINTISWA YIKMLGGEGLTEVSKIALLNANYIAERLRPYYNVRFSNKNGRVAHECLVDLGEFEKSA GLKVSDFSKRLQDYSFHPPTAQWPISTCWLIEPTESESKAELDRFIDALISIRKEVDE IVSGEQSKDNNVFKNAPHPLSILVDDKWDKPYSREKAVFPVPSLKKNKFWPSVGRVDD AAGDLNLICECGSVEEYA I203_02894 MDLPTVKAAVKSWEKSFRSREGRDPTKEDIKRDPGDIASQYALY RKLTKASSSSSSQSLRPPPSSSTAAPSSSSSSQYRSTPRNIPSSEYPTTPTPPSRRVS GSLFSSASASHSQAGPSRSNNISLGVGPQDDNLDNKSLKRKASKSNISSSPPPTSTSS LTSRTLFSTHKKYKAYTGPIHDPNPINPFTTTTTPTKSSPFGPGPGVNVNGLQREKSF SSPFIHASSPKKLKEVLEANSLKKVKERTNVGNEITPRTRARKRLKGEEVEDTPLKQK VPRRKRGQGQARTSEEPLEPLEEEEEEAQGNFLKPGNRSIFDDEDEDEDELGPSPIKV NDKQKGKGFTSLFGEVEGEVGDDEDEEEEEEISRTINQQISVSARLNGNDASASNKSK AGLDTGKSKNIKKAKRKSNGNSNGNGIMNFFNRISTGKSTLPIKPQNPKEDELSKHTS PIPISEEVPSIQIQSPPLLEPTPSADEISLMDDISPIMEEAMPDDEPGLASDYTPSAS QRRRGRVLSLSDDEIDQFDPEGGYVKREIRIVPTRREVKRRNSSSDLSGEEDDDEQQD ATGLNANEQDGEEQPQEEGKEQVFISPSNTKSLSIPMLNLLSIHSPSKSKTKAQLTKA KLEELRVKALFNPSDAAKLKAMKRGQDISFTGEARVEDDDEEDEQGILEKYEFGLKDL PEQGDDEDGEVDGGEREDDDWESESEGWKREQTEEDW I203_02895 MRTSTSILPLLSSRTAIPQSILSVRLLSTSLPRLQSQSPIPNSI SGSPCSPATEPTRPPSKHIANPKFLTDRPVKITHKPVFPAPHNPFAPTPAPKTYNDIT LRILRRVNRLLGYNRRRRTTARETGRMMKGIVEAVEYDKDFWYGECDLPRTFHTFFTI HYLYVLLTLVRLRALPNYIPNPLSPLPQSAYPGLPGSTTPVQRPSIIDTLDNAGCYFS RVKQHDYRYQQVLLTHFFDIVENEIRLMLGVEITREGETMKRLREYQERGNFARMSLD YVLGLTKSDDPKDREIADGELASFIWRFIFARRGTGKNLEGELTYPEGESMKKGKELE MAEQIETIVKFVRRELNRLDSISDRDVIAGNVGMFGGVRQ I203_02896 MRSIVPLSTLRPHLSPRPFLRPTPLSIRHNSTTSTLKPKSTAEP TTFSSKSSPRTTYDSPEASRGMPPPTSGYQRKRVEEPRFTSSGQGEEAFSGPSRPRLI YERPGDRDLPKAGLTLSSAGSVIALGLLGLGWGAFLLHATNAERLASSVLRQVTFQLR NSKEVISVLGENVRLVENWWALGQPWISGTINLMQGRVDLSFRVRGDKGAGTVYFTSI RPQEQGAWRIVRYKIIADDGEVVRLENLESFKTKL I203_02897 MSAQDFELDPVDLPEELATELRTEEGNKNVRQARFFARETQAYL EHLRSKVKKIERFWLTALLNHTQLAAATTSKEDRHALSFLEDIELIQEENDFRPFELQ FHFKENPYFTNTVLSKKYSLPNGVEPAPKDGTITEELRNFLENEELEPSSIKIDWKSD EVNLPKKQPRLVQGHDHDHDDPNHVHHDDEDEGYEGDLGSFFLYFELADDPFMLGDAI KSQFLPEAFAYFEDRGESSPGAEFGMDSDLDEDDDDELDEESDEDENAEIDLEDEEEE IPKKKRKLGKNGK I203_02898 MSLRTPLTAFRRTFVTSARSLVEPPTAPAQSGFSPLRDLLASSS SSSSSSHFAPAPITSESQTATRGYDVHSIPPKADPTIDLFTNCLMKDGKKDEAQKMVS RILTMLNQSTSLPPQPLLKQAIISSSPSIKILSMRKSAKTILTPRALTERQRTRQGIA WLLKASEKGRKGGVSRDQRIAREILGVLEGQSDVFKWLEERHKIAYLNRSNMTAR I203_02899 MAKRTSEAADLPAAKSAAKGQETARSPAVRDVDEEMGEFEDKWE DEYESEGEVVDAEAEGDDDFTPAQEDSAPPPAPTKTYLPGTAIESDEHLVPDNSVYLA LHSLSYSWPCLSFDVLKDNLGSDRATFPHTSWIVTGTQAGEVPGQGSKAKDEVVIMKL GNLSKTQHDDDSDDDEEEEDDEGNDEDATLDFLTIPHIGSVNRIRAAPAPAGNSLPEP YHVATFSETGKVNIFDVRPYIDTLSGDSSKPRQKTPIHTITNHGRSEGFAVEWGKTGL LTGDIDKKIYLTTITPTGFNTSPQPYLSHTSSVEDLQWSPNEATVFASASADRTVRVW DIRAKGRKSVVSVQAHREDVNVISWNKGVEYLLVSGGDDGELNVWDLRMFNKGQPTPV ANFSWHQAPITSVEWHPTDTSVFGASGSDDQLTLWDLSVEPDEDESPNNAIVKSADGS DIPNVPPQLLFVHQGQKDTKEIHWHPQIPGMVLSTASDGFNVFKTISC I203_02900 MSGPVDATPIPSLSSSPTPSPEVSRLPTPAPNGHLAAPSPPTAS TSPAISDMTSSTPLAGSPAPVPIQLSMPKTDQYNARGTLPNTLLAVAVIATVLGAIVG SSLSLASRRVLDLLAGSWARPQLGIYLAAVSTFHLLEFYTTAGWNPLKVSVDAFLLNN TTQYHVAHAVGLAEYFISSYFFPSKFDSKRNSTPLLAIIVIVMIGAQIIRSTAMIQAA QSFSHIVKSKKHDDHTLITHGLYSWSRHPSYTGFFYWAVFSQLLLGNVVTTIGFVVVL SRFFSRRIVDEEKWLVKFFGDEYIQYRRRVGTKLPFFFSSA I203_02901 MDQEVSSSQLRNALHEVIQLRQAEIDEIIPKHADSLKELYLMLE PRRKRSEDFPPIGEEDALDENVVEEGFQQWKERYELGEGSSISSLILPSLPPVSPPAT STVFPDEVPTDQQTETSTSSKSPFPPSGVSQDRPIAPIKSPKAQKPFVPLLLSPSPPP DDSEPSMGIAMDQIQPRPRLIPSTSFAPPAKLEVPEWIPVDNYHPAELPDTSPKIFMP LETDYASQLPRLPPLPPQIRQVQGQGPAKKRIKLNDSVDLYKLQVSFTLNPLSGSLSK SSKCVLTNDWKIALNEMRHVRAMERIETKKNENRWSLRQPKKLRTLPVPKSHWDFLLE EMEWMRVDFNEERKWKLIQAREFAYQVVEWHLSTEEEKAKLMVGNRGWGKSNDQPIPG HSGNRETNIQIDGQQGQGQLEVPNTSAEDDDVEMLIGREEDSPAEEGVAGAEVLESID EMKEVQDEVVKKENEDVEMGEDADADGEEDADGEPDDGGTADADGEEEDAEGEEDVEG EAEDVGEDVVGLDDIDNADESDREASEVRRDTVLPNGLVINKRFANVEELVAARKPLL DLSFTSTTVDLDSLPQLTAASTNTEDLAEPQTPPSLVDLFPDLAVYQGPQPPSEDKPT RRLDEGQTNGYRIAHTSRIMDIRPILVSTLQPAKNAPDGVWDIHEGPYFEDPKSSTDV NPTVVAATSSVFYGRGIRPLDSMRPPEQPKPPAQHLRAQLVWSQEDDEHLLKLVATYP FHWQLIADSFNTEKVNIPTEKRSAYECWERWYYTYSDGKDKLKKAEALAQASASVAPT PSGDPTTAATPTAAPPSGTTTPAPFPPTSAIPPSTAASSRPGNQTPQSAGPQQTPVTG ISVPSLPTPTPGSAATASQAPGTAGAGTPLPEGAPPPPGMSKRDRQAAKPRYEGTKSS IRHQAIYDAARKLNRRREANKTKTGVKDQPKKIINVHESHASYLMSSISTPWELVETK YQRDVQAMQQRQQRAMQEQQRQIALRQQMMQQQQQAAQAAQQAQQQQAAQGQQQSQQQ TVPQPGQPQPQGQGQPIQPTPQPQNQQPQMRPPPNAPVRMGPNGQPMPNMAPSQQQLL NAVVAANAVNRQNVNAVPGQGNVRPNPQQQQQQQQQQVQQGPTIQVQQQMQLLQAQQI AATQAQLRAAQQAQLQAQAQAQVQGRMSQSPYNQHGTPLPNGDPSMANSSPAMTQASP QQRVPSGQPVPQHLRVASAGSQGSPQMSSPIPVQHGVQAPQINNAAMQQIIAQLAANG QQANPEQIRALMMRNAQMQAAAQVQAQVAAAQQQGQVNPGTPQMGMQGVQHFARSPSI QNNQIQARNSPKPNQQNQNQG I203_02902 MASLSLSSRSSLISLRPLINSSRPSWSLPCIASSSSSTSTLSVS PVSPIESTIPTASSWRSILPSFSLESILELIPPIVWASVPKKKTSHSRKSMRSANKGL KNRTNLSLCEACGSIKLTHHICPTCYSQISRRWKREARGELPSGAITESILEQPPQQS AQP I203_02903 MSGVEATSNSTEDDMSVLKVYGDKLIYREGGTSPGVTYDYAGSF LAIRGESYMSRAELREMTLTFFSDMPVRSLSLPTTNPPNRRWSGSRPNFVRDESATAT APISSTGNDLVLRYQPCENTEVSSTTSHTEAIPNICLQTNALRMDEHTFTFEKALTTD IGCTHDLSASTSIDRASIGIGFIDHHNDHKEYIEVWRPENANPANIMEIRDTFYTYMR SLPKRDNDVSDG I203_02904 MSSLVESNNEDDGSLLKVYGDKLVYREAVSDHWTTYDYAGSFYV DRGDNHISHDAESGDITITCFEEAPNIPLSIPSTPVSNRLRGRRSNRNIPIDPSRLTA AQYIATIDLSSLSVPFSQRGADLVLRYKPSSDTSTSPRSPDVQPDMTLYVNNLEMGPH AFSLHKELSTLPGHNYNPRSSSSISGGSIGIGYICHDEYVNPMERMEVYKPNNPESVE EIKTAIGTFVMTLPERR I203_02905 MSDLSHYARIAHFQGFTYGLRSATSENSGDRTVVADRNSFVLNY PGEGDWGTDRQLVYSAYGGTSEQGSQLVRALRIMKDDGNMHPASTVTYNGKTLALIES VRGNPGANGETLEEDEEYHVVLKREGGNGDDLHLLFSSYAETC I203_02906 MSTSSQGTFYHNLTQDDNGTEIGAYNITLGGVNYTLKESVSVGQ EVLSDDTNTNQGDVVTILRTQQGCTKYVYGPTSYWSSFYGEP I203_02908 MGRNGLFGNFQGLDAFGKTMEDVKIRTRTGALLTFISFSIILTS IMLEFIDYRRIHLEPSIIVDRSRGEKLVIEFDVSFPKVPCYLLSLDVMDISGEHQTEL EHHISKTRLDKNGRALETVEGGQLKGDVERQNLKSDPNYCGSCYGASPDESGCCNSCE AVRQAYARKGWSFNDPSGIEQCVEEGWEDKIKEQNTEGCRIAGRVRVNKVIGNLHFSP GRSFQHNMVQMMDLVPYLRDNNHHDFGHVIHKFRFGADLTAAEEATVLPKEAKWRNSL MMRDPLQEVAAHTEESQYMFQYFLKVVSTNFVALNGEEIPSHQYSVTQYERDLRLGNA PGKDSHGHMTSHGVAGVPGVFINYEISPMKVIHTETRQSFAHFLTSTCAIIGGVLTVA SLVDGFIFSSSKRLKGINDDGFGAPSGKMVRSSFVP I203_02909 MSSQKAEYVTFDTSVGSFTVELYTAHAPRTCNNFSKLAERGYYN GVIFHRIIPGFMIQGGDPTGTGRGGTSIYGDKFKDELHPELRFVGAGILAMANSGPNT NGSQFFITCAPTPFLDGKHTIFGRVSSGMKTIQRLEAVRTDSEDRPVEDIKIHKARLG DAAPPPGGLDVARVAL I203_02910 MFRVAISSSSKASSKVRWSRCIQTQTHTQTEPSMGMTMGMMRVK KEREEGDISSVFASLSGEASKPLPARFSELKEKIIGDAANQIALVESWSRLTKRLSEV AEEADRKKQDCIPQITYEEFTKSPSSDTIEAIRRSGSLIVKNVIERETAEGWLKDVEK YIKDNPSVKGFPKDDKQVFELYWSKTQLIARSHPRSFQVQKSLLKLFSADEGDREVSL HVPLSYADRLRIRHPGDSQFSLGPHSDGGSVERWEDETYRGVYKEILTGKWEKFDPWT IGGRVRANQNMYDGPGSCGVFRAFQGWTSLSSTGPDEGTLRVYPFVKELSAYVLLRPL FKEKKSRATVTRDQYLSSSNWELDMSPTSTTFPGSPLARCQEYSSNTHPHLQLERSMV SMPRVEPGDQAWWHCDTIHAVEATHNGLGPSAVLYIPTVPLTLQNIRYIKDQRGNFIS GRPGPDFPGGVGESNFIGRGVETDIIGEEGRQAMGLKAFELDDKMSEEEKLLRSKA I203_02911 MTSPIPRPSTAASPDLILQTLRAHLIPHPILAYLPTVLNLFAHL QLLSDKIQSEAIRALTVHVKLVKRLNKEGCNPQEQVRRGALNEREGNEIISVKKRREE AKGRMLAFSLEFRKHHAATLLSTPKIPFGQVEKIIESYFPKLQDDNNRIVIEHPALYI RCMACEQIPVFDHYGKVVRGWYERAKSLKDGGRPPLLYVDLYIDDKVNGKIKQDSLCK NILREAEKVLEDMEVLRDIFENDDNRKGYQSEGDNSLSESRSWGNIGEVNLDESDGEE DDAGRRYTKAEKGKAKAVDSDFGQSEEITAPTLPSKIYTPTAFPKKPVRPSTPPPTRV RQRTKPLAKTFTEVAPSRSCQPPQQERSHETIDLTDDSSRATPPSARSDHSSQLHFDD RRKTLPSTLVIPIVTNSSPNIVTKKRPRQPYPLTGPDFTAPAPKVGGSAVKEKLRPFE NSELPRAKRTKV I203_02912 MNPLRSISSSASYSLRANLRSHLAPSPIAFARCSPSASRIILQR RGYSNAPPPPNPPKEPPLQPEIDRQRKLRDQANVGPFTWKAAALFIATGVGLYLYFES EKAAVLERRRQELSSKSIGKPQIGGPFTLDRHDGGNFTEKDLLGKWTLIYFGFTHCPD ICPEELDKMGEAIDIVDKKTGQYGKMDQGVMPLFISVDPARDTIPQIKKYVKEFHPRM VGLVGDYEAVKKTCKMYRVYFSTPPDAAASDDYLVDHSIFFYLMDPLGQFVDAFGKAT TADQVAEKVLDSMKKWDDAGGNAAAGV I203_02913 MSSPCTLTLDDGTHYDLSPLGSTQSDYIAQVGETSYNLNICRGV VGELHAVEDPDTVGGYVRKDQGDFVLGRVHTNLTLSPMTNEPMYVITDGSPCPLNPDA RASTAIRFICSPSDFNAGKPLLVATLPPQDPCHFYFEWRSHVACPTNPKSSLESGHYI AFGAILAIAILTWFGGHTLYNRFYLGRRGSAQFPLFHIPRPKISLPTFKSRSNGNGQG SSGGPKWGSWRRRSQRSGNGYTSIRADENDEEEGFAGRFSLDDDELDADAEDLTGVNE TNAWRNQAATNGSAGVNGEAGAGAGAGKGKNVGVHQGLVDI I203_02914 MIRNLRPLLRNAPSSSKPAFRAISSTALKSMASPAVEPSPLRAH SVEELHGMSAEEILKEGSSRKDAQMRHFTVNFGPQHPAAHGVLRLILELNGEEILRAD PHIGLLHRGTEKLIEYKNYTQALPYFDRLDYVSMMTNELCYTLAVEKLLNIEVPERAK WIRTLFGEITRVLNHLMAVLTHAMDVGALTPFLWGFEEREKLMEFYERVSGARMHAAY IRPGGVAFDLPHGMLDDIFKWATQFSSRVDEIEEVVTGNRIWKGRTIGIGTVTAQQAL DYSFSGVMLRGSGVPWDIRKIAPYDAYDKVEFDVPVGKNGDCYDRYLCRVQEFRESLR IIDQCLNKMPTGVVKVDDHKIVPPPRASMKESMEALIHHFKIYSEGYTVPPGETYSAI EAPKGEMGVYLVSDGTNRPYKCKIRAPGFAHLQGSDFMMRHHFLPDAVAIIGTMDLVF GEVDR I203_02915 MQAVEDQRSILSVRSIVPEPDSVSKLVKRCRALILKLLPVEVEL SQISDATSSIITPEVIEAFAKAGGDFGESVPFCLLRAKATFMKEAYANPADYDENLCR ATAAECLARRIVHNLPIDRLESVMSTRYRYKEGDGDDSAPVSALETAIDQHCTIFLSS SEAQHVVNSLWKGDWVQRNNENMDIDYVPYERSESNSFWDHLNPERLSVPRYQSFFRI IVWFVFLYVYSQSVQSPLDTLDPARNWDWAEVVLYVMAVSFLLEEVVKMFKIIRIANK PLATIGFWTVVNFLTDGLLLSAFALRVAGLSLDSSKDEQASLLHFRSFQVLACVAPLI WMKLLTVFDGYKTVGSLQVVVTRMLRESTIFFILLGIMAVGFVQSMYALDAADGETGG AAIVVNNLIQALLGSPDFDSPTERFGYPFGLIIFYGWNFVATIILVNVLIALFGSAYQ DVTDNETDEYLVFFAHKTIDLIRAPDSYVYIAPFNLIEAVLIAPLEWILPTQWYINLN RYVMSTLFFIPLTIIALFESQVSHSRSQRLRAYFSGPPPDDEDDPKINDPTVEDDSQG EISKVKFDELVKSFPNTAMTEGALVQREISSLKKQLDNLEKLLAKNNGNGNGTHSKAQ I203_02916 MGLFDKLKDQFQSSSPSYDFHTSTHPLPLGPNAVFRYRYQRGVN LGSWFTLERWIASHPFRNAHQPGQSDFDVASGRDAKKVLEEHWDTWMNEGDWSWIKEK GFNSVRIPISYYHLCGPLPEVLKGTEFEPFHHIFEGAWGRIRQAIEMAGYLGLGVLID LHAAAGAQNTDAHSGLSNGKVGFWDKHSNLASTSLALRFLASELSRVPHVVGLEVLNE PANNSKLQGWYRTILDEIRSIVPVDFPLYISDAWDTHHYANFVGGRDDFVVVDHHLYR CFTDQDRQKDGYAHAHDIRSGFKNTLSGHSDSAKGDIIVGEWSASLDPHSFPPNIPDT EKDAHRREFVKAQLELFEEKTAGYWFWTYKKQEGWDAGWSAKDAARAEILPQWIGGKR FKGDPPVGVKDSEMKSAYDAHTSYWASHGGSPDPTVFAPGFSKGWDDSLTFLSHPSGP SRLGFTDQWMKSRKIEFENYTNKKLGKATWEWEHGFKQGVEASLRICTY I203_02917 MPDLGLEIEDFQAQTWRIEHWSQQAKRIVGPEFSCGGHKWRILL FPQGNANGQPNDMVSVYLDYANPKTAPEGWHACAQFCLAISNPWDPTIQTSSHAHHRF VAEECDWGFTRFVDLRKLYTADTANGKTRPTIENDEVEITAFVRVLKDPTGVLWHNFV NYDSKKETGHVGLKNQGATCYMNSLLQSLFCTNYFRKAVYQIPTEGDIPSESLALALQ RVFYHLQTSNQPVGTTELTKSFGWKSLDSFMQHDVQEFSRILQDKLEIKMKGTPAEGA IPRLFKGSMKNYIKCIDVDYESSVIEEFYDIQLTIKGIKNLRDSFREYVSVETLDGDN KYMAEGHGLQAAKKGVIFKALPPVLHMQLRRFEYDIEKDALVKINDRHEFPFEIDLAE FLEEGADRSQSHVYKLHGVLVHSGDLHGGHYFALIKPEKDGRWFKFDDDRVTPVTDKE VLEDNYGGDMLNGLIPPHQRTQARTLKKFTNAYMLVYIRETELDTVLAPFTEADTPPH LKARLDAEREQLEAKRREKDEQHLYLTAKVITDEIFSRHQGFDLASFDDKNLPATELP TFRVLKTETFYTFKQRIAHYFKISERDFRLWVLVNRQNKTVRPDVPIHDSENSQTMDH IRNSMAARASDLRLYLDYNPDHAKFNAIHADPNNAPIMIFLKWFDCSRQTLLGQGKVF VNKNNKVSDLLGVIQEKMGWPSSTPIKLYEEIKAGMIEGMKMKQTYQQNEIQDGDIIC YQVDMTDKEVADLEAQSLYSTVPQFYDFLQNRVLVQFKSRYEDTTGKAPDFDLMLSKK MTYDIMAHRVGDYLKHDPLKLRFTSSNPQSGTPKAIIKRSLNQSVADITQTNYYSQHP NVIIYYELLDISIIELETKKSLKVVWTGRHNKEEATHSFLLPKTSTFADVAEHLIKAV KIQPGGSGKIRIFDISQSGRSQREYTSAEMIGNLTEPAELYAEEIPLEELEVNQNALS DGKKVVNLFHYARDPSRIHGVPCKFVLKEGEPFSETKVRLQERIGVSDKDFAKYKFSL VTSTVFKQPSVVEDNDVLYDHKWAADDALGLDHIDKRPNKVNAERGIVMR I203_02918 MKLPYNRPWLFEISDHPSCPESIRVGVQKMLTFLWLHRIFPFQA QAPYELASNVLERVIKEIEQEDDDDDGQNTITINGIGHANEIGIGIGQGDKKDMLRVV DFCSGAGGPIKKIEKRINKNRKYPIPFLLSDLNPPLDQWKKTYGPPTTSSSQRQKQKT MSYIPYPVDATDTLSSSNMGGVLDEKHLRTFFLSFHHFNEELARGVLVDAMRGSEGIC IFELQQCNLRSLFMIAMLGPLSWLLTPFTRPTLAILFFTYIIPLIPLTLIFDGFISVY RTRSISHILRLTNLASLTIQLENQDDASKEDYEWDWEFGRVRHTWPWGYMIYFVGRKK RYSFSFDEEEEEFEQE I203_02919 MSSFPNLNPTYSRDLTFHQHLISYISTSLSTGQYARIPSFYAPS PRDVQRLISLWTILYDTYRIDIERRFRSNRRIPNDHLESTLEGLKVHSLNLENDPHVN TTIYELRTLLTDLTTAVQSLLHSPPFRPFGQVDAEVLALAQWFKNDYMKWVDPILCPK CTSPTSAEGMAQPTPQERADGAGRVELHKCTQPGCGEVRRFCRYGKIKALMRSREGRC GEWAQMFYCFLRVKGIESRYIWNSEDHVWCEYWSPTLQHWVHVDSCEAAINKPLLYAR GWGKKQAFCLAFGIYGAEDVTRAYVDDWESCKGRRRAKGWKELDLRRALNTHTVSLRL RLAHSERIRLEAMDNLQSLWMADERGRLAESEKMELGGRISGPEDWRAMRDELGLGSK HVEIPKYTVINSLSLQNDQLKQFGNTRLNSSSILLTDGPSQTSMVFNPNSINQNAGFR SKVRFKLTSDGSGEADGICLIFVSHLPQSLGLGGYGMGYDGLGVQGDFAIEIDTYRTQ DYANDPPTPHISIHSPPKAHHSYSLGCTKPGSIPFLSDGRTYELEIIYSSHEQERRLR GYLHTPDGDLLKVVNVKIPERSQGSEEDKWYLGISGACGGLWQRQEILDWEVEIIQFD QDEEVGHKQKEEEVKLERDKI I203_02920 MIRLRTPSFPLLLLALLLFFLLVTARREDEESKYQKRDFHTLSN EDIRTLVESDPPQWNSVDEGHLGKLLIPRASGSQNNTLVQNYISSVFTKLGWHEEKTP FRGTTPIGDIDFTNLIYTFDPSAPRKIILAAHFDSKWFPDFPANQFIGATDSAAPVAM ILDLAEFLTPLLEKRKERIRSGQGILKKDFDEEEVAETTIQIVLFDGEEAFKDWTSTD SIYGARHLAELWQSTYLPSSHPLNKRRLSPPPSVLNTIDHLVLLDLLGNKHSMIYSYF RETDWLHSKMKFADERLQHEGLVEVEKNEKEWFGDMRMRGGIGDDHLPFLHRGVSIFH VISNPFPKVWHTLADDASALSLPALRRWNRILRVFSCEYLGLEPSTTNVKRKYDELVR IYLSA I203_02921 MKGLKKAMNLSRTKSGEKQSKSPQPSTSGKPQPPVPINSHSPGP SSPSLQSPARTGPAGGNTNTYGGEQQNMLQGGGAPLTPRRSPVSDKTSPAPPLVVISG APQQPPVNTEMPPDPIPHSPHGRGFGSPERSLGPDGQPTPPKAGPLNRLRGGPKDTIP ISGKTPRKQRSSRFYVTEKVDIEKLPNFSDVRPEERNELFVQKLQQCRVVFDFNDASS ELKGKQVKAQTLHEMLEYITTSRGVITEQIYPEVIGMFATNLFRSIPPQVNPTGDAFD PEEDEPVLELAWPHLQIVYEFFLRFVESPDFNTNVGKKYIDQSFVLALLELFDSEDPR ERDFLKTTLHRIYGKFLNLRAFIRRSISHVFFQFVYETERHNGIAELLEILGSIINGF ALPLKEEHKTFLTRALIPLHKAKSLALYHPQLAYCVVQFLEKDSTLTEEVILGLLRYW PKVNSPKEVMFLNEVEEILDVIEQTEFTKVMQPLFHQLARCINSPHFQVAERALYYWN NEYIVNLMGEHITIILPIVFPALYQNSRSHWNRTIHGMVYNALKLFMEINNEVFEEVQ SNYKAQRKADAERAIERYDEWVRLREQAIENHRASGSTQPLPASLTEPLPPRPDPYED EPMTDVSVDMTANGFDPSESFTLDRSIGEEHVPLADPGVDRAPMSPTSPLGLGIQGQQ AQGGPLSPSPLANTGLGGGGGAGAAGANQPHIRRKSVLPMDPGVMRDLQAHKSLEGQQ I203_02922 MSSQSENKMQYVSKIILGCMSYGVKRPQDGWTWVLEEEEALKHL KHAYDKGINTFDTADTYYQGNSERILGKFLKTYDIPRESVVIMTKTYMAFGDEKSYGP AGYVNNSRLSRKRIFAAIKDSLERLGTDYVDVFQCHRFDYNTPIEETMQALHDIVQAG HVRYLGMSSCYAWQFQLMQQYAIQNELTPFISMQNYHNAIYREEEREMMPTLQHFGVG SIPWSPLAGGKLCRPASSDPQTERSSNTSRMLGNTTSADQKIISTIEEIAKERNISMA QVSLAWSLSKEFISAPIIGTTSIEKLEDLLEGLEVKLSEEEIKRIDGDYEPKAIFGHS I203_02923 MTQPTNADIRRKNANFAARAQAGKKTVRPPRSATKRSVGTWVLI AMGFLVVGGTVVELIRLIVFGSF I203_02924 MLPTKSLSILSLVSLIGMVKAATFIGCVDPYRLRNYPVVLTQGQ VDNVVDQSSCLAQEYRTGAWDSSTSKCYCTSEQPPFSPYYLETSADSSGNCVEGSGHV QVYDVQTSFQFLGCRGTDLPDDAVFIDYIGFEACFDRCSSSGSTYRYAYPETFTVGSG RNSECRCGPSPLTTDSVTCDLNTRYLYYQDIIVEPSGSPDRRRALDRLSAARRLARDQ PFCPIGNTPCRIPGLHGDSYECVDVASELVESCGGCMNGIYGSDNTTSTGIDCSTLPG AAFGATTCYRGSCQAWACEEGYVLEDDQCVKV I203_02925 MSTSARPRYDSMKSNGSSAWGVRPPSPTYSTTTTGSIVNLPENH ALITRKDLRQSIACFEELMAAAKAYRNALLAMSSATAAFASAMEACSRVKGCRSSNSA LAGASGLQYLVSNHEQILADAVYRQFEIPLLEALDHYKLVTADRLVAYEKALHEQSSK IRKTEAENGRRRKRDLQQLRQALAEMQRQVDELDGMKAAYHEEVLEGEDEIWDTVLNK VAFVVRSQLDFYEKIAGKASDPILEPLVMSIPDPFDSYGPPKEDGQIFSVLAPLGLLD SSAPQSPTPNLPRTGPSTPARSSSPTKPSALTASTTTPSKAEPTTEPVMGQLDGWLDA DHNRERFGRARRELSIIEERDAASVIVRDDEVTSQVVVDDEEDTAVPGAGPTKEAQGK EVKENINFDGEQVNGYSVEEDVDELEDDDRVNTSTPDTGKTDIPPDTAKPDQDKT I203_02926 MSLPNFPDSPRPPPSHSPIVSELPSYGAGDHLPVWLLALCGSFT AVATGVSIMSITLQLKNYRKPMLQRAVVRIMVMVPLYAISSLIAIFSLEAAFFIDAIR DLYEAFVIYTFLQLLITYLGGERSLLILLHGRPPIPHPFPVSLFLRPMDVSDPWSLLS LKRGVLQYVQVKPLLVLATIILKLTGKYREGDFAIDSGYTYISIVYNTSICLSLYCLA MFWVAVNNDLKPFRPVPKFLCVKGILFFSFWQSIGISFLVAVKAIKRVGPYTDPEHMS LALVDSLVCFEMPIFAIAHQYAFQASDYIDQHHIYAARLPFIYAFRDAFGFKDVWEDT KDTFKGRGVSYQAYEPAEGGLHYGLGRQRRIRAGLRYSKGGKAKYWMPMPGDEARNKG ETGPLSALKRRVDVRLAAREGYAPLLPQQAARVVRDDPNGVHEGTFGGIFDSDSSDSD APSISFHSVDEDEDTLYERARRIGYAGFPNVDVSKEEKQKRLWQAEEGILAGKWNRSY SNDLLRPPIGDRGTSASSAGSRRSDKSRGKGKGKNDKGKGKKAVYGAWADKVTQVDRQ PHAPNGHSTNPSGEGDWLYDGDNERPDAWHHPSNNAHEAKKGENQNQLRWTRKQLHKI KEVSDSLKIPHQDGNNNNPSKSPFSLGEDESSESEIDTDDSVSPASSSKPLPSDAVDL VKDDLNAVEKAREREIRRGEPQTKAPQHVYKKTLRDSNEQKGEGRIEGIERVYISHDD LPSSSTEENVNGGGNDSEVVERVETSIAISPPKHAMSLDIEDNPWA I203_02927 MDRPIGAAPAFGPSWSSINTPLSPWITEVIDQMGFSKMTPVQSG TIPRAIKNQDCVVEAVTGSGKTLSFVIPVLERISRKESRYKKGELAAVIIAPTRELAT QIHDVFHHFLSSLIPKPDPELDGENQSSPPPTPTEPLYPLPILVTSGTPTPYETFLSL GSNILIGTPGRLASFLLSPRGMSVVKVSELDVLVLDEADRLLSSPDHRRDVERIMRHL PKQRRTHLFSATMTDAVEEIIGLGLRNPVRIVVNLKEQKRGEEVKERRTPTALQNTHL ICRQAEKTLQLIRLLRSEVNKNEAAKFVVFFSTCAAVDYFYRILSRLSPLSSYHLTSL HGDLPPRIRETALSNFVNHPSSHLSPAVLLCTDVAARGVDFPDIDVVIQYDPPTDPKS FSHRAGRTARAGRRGKAVVLLGKGREEDYIDFLAVRKIPLTKQGYLNSELEESETPSS LDQGALELLAQIRKSILTDRELADKGAKAFVSSLRAYTKHEASFIFRLQDIDFHGLAI AYGLLRLPAMPEIKDWKKRREIELRRMQKIKEDGGDVEEKEKEWLDWKDEEVDWDKFA YASKSRETARLLALEKKSNESAKSEKELEEIRAKRKIKSQMREAWSEQKERKVKKEER HDKRDKRKQAQWEAQQAENSESTVGPIESLRASKKQKKQSHNDEENGDEQDVGGTEWK SLQKEIQSEKKEKKKKMELNNGGGMFDDLD I203_02928 MRSIRSITSSALRASPSRPTPIARRFLHSSPRILASETPKDPFA DPAFKAFQDKVKNHEGAIKAIMDLGEVMKQKGFDTTKQPSMTQMAKMAMDSDLRAAAQ TLMAELQKAGVDPKEAMEMFQKANSGQL I203_02929 MSTRSTSSLEMPLRPAGSSIRTSSSESQPSTSDVTGWRSGSSQT FHTSSGSFTFKSDGSFEGYSPLSTDSRPMYNKAD I203_02930 MGEPKDNGDLVSRQAKLSKALSAAYLNHQIKELESKVNAVNLTP PLSRSPNGEPHRPRENGRAEERDPNDDLGRPDDLEDPAHDESNDEWRVVVVDVSALMW AKNAVKRLVGKGWELIVPLEAIRTLDLLKKGSSPSAVSARQAARYIEHATRFHTLLSS DPSITVQSGTNYKKGRGLRIQREDEVLPVTSMIDELALPPMDGQGNLPIWIKKVFSCV AYFKRIMDKEYQLLQDEYERGREVERGSILYVGNPPVFVEVEQNTINQPTPTGTGVKE DYTSRADGHIVLEEAARFDLTLEVLRDDDHEVEASGLTKSSRSGNDRRDGRNRNRNKD KDNSSRDKDGQRKKKEQSREPVKEVKILLRRPPSLSGDDNHPSPESGKSTLPTHSGNG NSPAIPGPQLKPRIEPTPGQISLMARPPVPTSPRGIAPPPRGFRPPPPGILPAPRPLM GMRPPRHEPSNGHRLPPTQGRDGGRNRGGQRSKPNNSNEFTLLQRPGSLVRPPPPTPP SAPPGSMARIDAPLHRADMGMRSGRIEGGVGRGGGGREEPKVVLLRRPG I203_02931 MSRPSASRLFAQAANGLRQSTDQIASSSKRFASTSSASEPASSS YPFNPTALLLPSTVPPTSIPQSLLTPRKGWSIINHLNATAPKSQYHNLFSRRHPDRLK TGSVITVLQYTDATKKTVSPFSGVLMGIKKRGGVDTSFKLRNIVNKIGVEMSFKLNSP LIKEIKVVREAQGRSGQIKDLRRSKVNYLRERQGLMAGIASALKASKK I203_02932 MAQKQRTNQAITLKGSTALVTEFFEYSVNSILYQRGVYPSDDFR MVKKYGLPMLVTADDNLKEYLTTILSQVQEWLLSSSINRLVLAIKSIETGETLERWQF DIHTDESAINNPSLPGGPSTKGKKKEKTEKEVQGEIREIMKQITSSVTFLPILEEECT FTLLAYTNDSPDLPIPATWGDADPHLIDRGKVEQVRLRSFSTNVHSLEVSGL I203_02933 MASNILPLFWPLANSSKDTRLTASASLVSNLENFQQFFITSKPT ASSDDDDEEEGEEDDDDDDDDDDDDESGMEVDASDDEDSQVKDKESEKLDKRLSKDNS EDVVYCVKRLVRGLGSSRESSRLGFAVTLTELLSRIQTVTASQVLSLLIRNSQYSKGM KGSEERDMMFARLFGLTSIIQSNSLYSKAATREDFERVVDELVKLGEAKAWMRESAWW TLVGAIQALLDSQVEWRDQALKDTVEKVFSGKAWNQEKVALVLLLENNVEDIDWKSYL APTFKHTPLLNSHNLVTLGRVLKEASAEDDESVSASTSGNWKPQLHFVWNIILDHYFP KSDEKSGNNGEAPFQDFFRVVVDESLFSNTASPQRRYWGFQVFERSLPLLPSESMPLI FTPNFMRCWINNLSSSDRYLHKAAQQIAKKVQEVTKANSQVGFTLLSQLVGKHGKQDF DKVTKTKTVETIMGSLNCRGVRDYVKYLEEIVVSGGENLDSARIDERRLWALDQILAL CRNGSVPKDDEWISSIIDFLLVHGFFLIRKADKKSQITAIHTTPKPALSESTAAACRS RLSSCLVELTTASVSQRGSEETKTVRQQGCDPSGKLWLRRALDTLALLEKDNKHVDIT TEADEEIISLRKEALKTLSSLEKVKEDRKQVAEGSEILLAFFILQTYDEVEDALDLLE NANSAIQQLFDLPLTAEKEEEGEEYPPIDSLLDVLIALLDKGSNDLRNLANLAFGMVS SEFTESSMDLLVAQLEQTVADAAAESSDAEDEDDEEVSENEDEDDEDEDQDAEQDSDI EEDDEDDLPDVDPAFRQRVAEALNVAGLGVDDTNKDGDDDDESDEEEYMDDNQMMKVD EQLAEVFRQQAASTKKTDLKRERESLHFKNRILDFFDVYSKKQSSNPLMLSVVIALLR LVRGGGSSESELANKAAGIIRSKFNKPKDVPSSVDLSKAVGILREIHGMAIKAHSAEF SNLCSSSSLFVVKSIESSTSTSDASVVEVYGETLKDFMTRKSSLLHPNFVLEFIKRFP SKGFELSNDIVEFVRAGNGVNTYRQLQGYTMLQTITQHLPSLLKSNTIPSNKIKEFIS NATEAVYATVEDAQSESGWNAAKLKDVVKFALALARTSKTLDVKWDITRVEAVRDKLV NGEKTKEMKGVISMWNQLISILGGSGNNENKKGNNKRKNEEKMDVDADGKVEEQKEKK EEPSKKKKVVVADGYKQKKVKKASKARTT I203_02936 MNSSTSRQPLLDPMEISPTGGSISDSIADATRHGRKRMRRNSTD EDSRGLAGESQNEGEGYEENRDAVDNEGTDDLQELSDIGSIEVIKPKYIEMARKGRNK NVIDIIGPRSSGPTSKGRLALEAKILQRIGNGTPLSAVKNHYQSRKPASPVRKKTFVG ADRTVGGSEINKELSLEPKYRQSDVYSFLGGAVNSNLPLARRTELLSVQDSVNGLRQT TINGLAGLNQSLELDYRVMQSTLSEEGKRWLSNETDEIQAQLKQVLKLPLRQLKQIDQ ATSALLDKEEMLAGRSPFESDEDEDVDTENLGGSEK I203_02937 MSRTEKMDALDSALRSRRAKEGPGKVNEIVISCSESSLADSGHL PRYNVLGSPFTPTRPKKLATPSARPARGSSKVTPGDSGTRTTQRARELYSSRTSGGRG RSDSKAKGTAREDISFDPAESLLKVLSGDHSHGLQQEQVYQLLAGFNATTNARLAHVA LNRSFLSLSDAALSHQARLENATIGGDQEAARIHRQAMEMICGRMERTKAEIAISGDV LERVDARIERLLEQRISQ I203_02938 MSSALSYDRDPTEGLIAFHAESVKAAFATRTTQERPTMSEVSSG DDEEDSTDLLQALISRQTCGLKRASQGTLRYREFNEIRDRQERKRGQIFDSKDGDQMV FLASKLLEKLILRSKKAHVDEEGTEVVEYMKVQSITNMAKDIIEIVPRMEWGFFVDDS LMKGFRRGINTAISHLVEKYQLRSDMKEKVALFCEDIGMMVSSTLRYSKHGDVALQHV VLLLLLLHTGGRPSTFMPSSDSGFFFKWSDIVWIARRDDKEENIMGWEVVLTLRHFKR SQRTSIRSLRLYIRTVRRKPNLIFDLGCALLAHGLRQGVFGPERSIEDIYQSRLLELC VEPEFANRPVLLGGGSGGFGLDTTAPLRVEGASIRFKENLEDCGYQAAESEILGMSSV RRGTATRWVDKFGRMATRLLLGHAPGSFTLEKNYDGTIEGLDLVKAVLRAELSETNVV NPILDSLLSEDPKLKLFTEGLAILSECLVDGSSDWMRVPPYIDITDLRENQLDEMLGR TRRAIRNRVASLHGEARRQAKSKEGKRRKELTIDDKIRNASSYKKEVEELPTELIREF ANSCREALFDDEEEESLEDEDGAADEELLVLDDNDLTMDGNGRLDISMSNGIALSRLT SGSYDSPSDNEGIVESNGSILSDFRDLQKDKKAWISALVGLEGHRHHARDRVLCSQCQ SDDYTPAEFVGKTWLPYELRRHEISFHVPGAVTGRWLDAHLYQMDGENTEKYHCAVDS CDKSYVSTRELRRHMIRDHTDQLGQDIPVRHFRTMIHQDLDAPEPYCSIARERLTILE SYLASNAYEQGERFIRSAQKVDGRGRSMIDLENRLRREAMAGVLEEEDIEFYNIGQQF G I203_02939 MFLSTFSSLFPLILLPSVLAGLEGSVKINFPSTTSATQTTELGD IPKEGTRTITFSDANDKKSFYWYGPTWVEEGRPQSEERRSWKQECQVWAGKGFNKDIE FTLRTQSAGLVGPKDQGGHIKCTLVSCLIPEGKGECEGEWVVPVVDSFTVFVI I203_02940 MSNPELELDHYDVIVIGTGLAESIAAASLAKAGKSVLHLDPNEY YGGEQASLTLDELVEWSNSQSSSTSSSSSSWNVKYGSTSTTPLDESLQNDRRRYALSL FPAILPSRGDLIDTLISSDVSKYVSFRILDSISLYTSEGEFKKVPGSKEEIFKDKTIS LMDKRKLMKFLLFAASEFEESEILKGKESQPLIQFLQESFSLPTPLSESIIYAISHCS SPTEPNLPALKRTRRYLKSIGRYGNNAFLVGQYGGAGEIAQGFCRACAVFGGTYILGP SAKPTSLSTSSEGVTLDIPCHPRPVTGRYLISSPNHIPQILFGTTPSGDPDEGHHIAH CIAVTRTLPEALRRKPISSQETIEQEQEQEQVENDDTSLIVFPTENGGVVRCYVNGEG TGSCPPGQYIIYLSTSISPTFTTSPSEMLKPYLAKITHDSVFTAYYVSSRPSSASIPA SVSDKIIVLKPYAGSELVTGGLDWEARQGEVAYRAVMGEGGKGFFEKDITEEEEMGIE DDM I203_02941 MATSLVVPLAYISVMITALAIFSRVYRKRRAAEKTSFEPWFPHH PSREVYISLLSSSQDIPDSLLKSALLVRAITDVKRIWRLRDDKTALTQLHQRGLIGDD TMSRFNAAEKELEAEIVDVVSEANTFRQGWGQMIFATATEMAQAEKTRETVMNIPKIK AVEEKRIALRNKYLPGSVPAPLIQQVSASQAQAMAQAAAAGSGSNTPSPSTTNTSGKV ATPQNVPSPSLVSEETVGGLASTDSSKNGSPALGSGSGSGGNSNASTPSKSTPGKKRK NKK I203_02942 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG A I203_02943 MPSPPLSIVQSLSPRIAVLTSQDVVQSCEANGCRGLEELLRPWE GGTERVSILSTTLSPTIHPTFPVRFVSFESVYTNPALSAPGPDVTVDLISSFVGAKKP DEEQHYAITRSLLLSSRPLASHETFNHPVGILFAVSTVTPDPLGTLNKLHAQSSSSAI QNVPWMDGSTVLRFYVVVHDVNTMGDDMAPAHELLANVKKAYGPHSTLLVINSQIEHR EPPMSPDVATHPSIPLPRPFTPEQSNPSALSQVYASALSSLTLSPMAAASASLGESAV SDTPFSPSRPARRKLYGAKLTAEDTQRLAALVRELVVQSLVPWMEARVREWNEVYHAN RRGITGRLFGAGRKFFGSRPNSPAPGTTPTGYNTVKGYYPTSAVEALSRRLADFSFML RDYKFAGSVYDSLRKDFAQDRAWRYAAGATEMYGLCLLLSHPFFLPSSPPTKPVSFTN LQHTEITSWLEQAVTAYHQHSPITQIQLDALRITVLYYEAWKAIGEWRSVGYCLVKGS GESDEVPSAVMIEEAAAADVKGGKSQKGKRRRAFHLVLAARRFETAGLKTYSRRCLER ASQIYRDSPWTSAQDRIEYSLGRQAYTLGESDVAVEHFLRLLRREDTGVPGSQAGPLQ DMAQAYEQLASRPDLLAESSEKLQLPTPIFDIQKTRIVPSAEASTSGSTRERWVELES QALNTWDRKGKRPMTLLPDEKRIVVGVDETFQVELSATNPLNAPLILKDVTVAFDTTE NINIESIPEITLDPYETRTISISVTPLSASLSTIKLSNVTFLFHEFLPCTQSLIRKGK RLHATKAQRINPTYAEDQSLTVSITPSQAGLYVELEGIPEMLYEGEEVEGKVRLKNRG KLKIGNLGMIWNEYGSIRRKVDTKHQDTSDTNIPNIIQSNTIQPFYDEDIPPGEGREI PIIFTATKTGPIDLLGLILFSNPDDDTTTTSATSVYHHVVVRPLLRLNAQIRSTGVEV QEYMIVLETTNQSSEVVRIDSIDGISPAWVIDTSQSCETLHPNQALRSLLSIKYDSSH QHDLRQTNVIEALGNLVKGQPIEEVSTSLQSDISISNKSLTSDRLQSYLVHRRSNRLE DLSAHFPTIPPTYFNRLFQLVEPLHLDLVVNYSIVPSTSSTEVQPRKGFAYIHTLNPS PSFSLVKTLREEINQLIISGNKFQRTMYEETSRLRRSLMTSVLDGILSKEDDPVKVKV RVPDANRGKVIHDFKKNGPKKLPIIFEISNQSPLLPVRYVLNLPQPSSLNNDDISTPQ YIGSLVRKGTIVPNGKEIVKVDIWICEPCLTSLGGWELRTELGELQQEDGQWIARRSW SRVGDEQVLEIKGL I203_02944 MSATPLRSLASSASRIPALRRASIPPSSRYASSSSSSKSSKPST QTSPLPKDDWTLPHIHVGPTHASRYSQHYHNTLSSDLMYMTYSHRLAQKPPKPESVQP PQTAYEANRPRPPIMRGNRALRTKTTQIDVDNVPKLESVIIHTMVKEAIANKSTLLSA IMALRAISGETPNGGGKKGSSGVEVIVAKKSAAAWKLRAGMPVSVKVELKGDAMYDFI QSLVDFVLPRLRDFPGIPLPPSSTPKNSPASLTGIVSFGFNPTTMSFFPQIESNTDAY PRLHGFHVYFKTSLRGENAHEHARTLVSGFRIPFHRR I203_02945 MPIVERELYGFSLPSPSPKVGSSLESFELRTSTDKGRYKNFVYT LSFPLPNVYRVLLTGPDRPRPPHDNVILPYNPHSFKLTALEEYKATFDFPSQSGPDEL DGSNRKRILEISWKEHITLVSYEYIDNEKRKLLGDLNNRSYALTEKGVMRHWWIEVDN LHLGLGEKAAPLDLSNRSFTMHGSDSANYDAYNTDPLYKHTPYLISTPKAKEGYEDVQ STYAIYHPTNSSGVWDIHSLHDDPWGQFKTYTQDYGGLEEYVLVGKGVKEVVRTFAEL VGRPRLVGRDWLGYLASGMGLGESDHPIAQDLLSTWPDLCKKHNIPCSAMHLSSGYTA DEKTGNRYVFTMNKKRYPDFKGMVAHFHKNGIKVVPNIKPYALQTHPHYKRLESGDAL FRDPAIDEPVVTRIWSSGVGDNEKGSWVDMISEEGRKWWAEGVRSLIEAGCDGMWDDN NEYYLHDDDFLSSTQFPHEIASPIQGKGSVGLLGRMINTELMNYISHTELEKANPERR TYVLTRSGNVGTFKYANSTWSGDNWTSWHCLRGSQAIQINAGMSLMQSYGSDIGGFAG PLPTPEMFVRWVQLGVTHSRFCIHSFKPNKDDPSGAAATNTPWMYPEVLPIIRDAIKW RYEYLPFFNHLMWLSHEEAIPTTAWLGYGDFASDPNLYTQEILEGFDAWLGIGNILVV PALFKGQLTREVYFPKSSKDDDHVYFDLHAPHRRYPAGTKATIATPLEHFGLFAREGS VIPVGKDYATVTEIKGAGRTTIDGVDVELESDGGVVGLDDWRGIKIFPSTDPKKEYEG RWIEDDGISAKPKKTIIKVNYKGDKDSVEVKVNFEKNEFKTLWGNKVHIILPAGDERT VKGSKEVEKNGKKSWEIEF I203_02946 MTPKAQPSLHSFFKRKTPPLSSPIKGIDNDGPIIDLTGSPPAKK PKTLPSPQEDTTKSSLTQSTSSYFSKSARQPFNSSTPIPIWASTSTSATLETYRLPKA NVPLFTQSGTAFDVYTVTTEAGPGTIARSSEQQRRHEAWQDRVLSNGGIIRRRRSLAL DEAAAAELRRGMGVDPPDVESGTNSPAAEELEEADLEDESRRKAAEGVGSNLAAKYAS KISEGGRTCKGKGKKKEEIGPSGQTYTPLEKQFMEVKEKNQDVLLLMEVGYKYKFHGQ DAKIAAKELGIVAFPSRNFYVASIPTHRLHIHVKKLISLGYKVGVISQTETAALKKAG DNRNAPFTRELTHLFTAATYVEDPSLPSSSTLLDDPIMPGSAPPPTNALVAIVEQGMG GMSYDERVKIAIVSVVPGTGEVVWDEFDDSQVRSELETRLTHLQPAELLLPKDKLSKA TEKVLRHYAGGTSYGSANTIRTEMIEQILPYDQAFDYLTQFYSVKTSARLRKTGKKQN GNDHIDLTLSDDEDQEIDVDVSNGHPKSDDITNWIDTPDKDGNDLAAGLDSSDAVLAL VDFPKQVVVALSVAVQYMKTFGLQNAFKHRSSFAKFINRAHMLLSSNTLVNLEIYRNQ DDGSIYGSLLWRDCKTRMGRRLLREWIGRPLLDISALRARTDAIEEIMETNTYYMEKL RSLLVNMPDLVKGLTRIQYGKATPTEMATILVALVRIGSEFKPTQEPIFKSSLLNTIL HTLPTIQQAAKTFLESVNLKAARENDEGNLWNDPDKYPEIQDAKDCISICESELDQHL KEIRRLVKRPTMNYVTVAGIEYLVEVPLRDAKSVPAKWVKISATKSVNRYHTPEVLRI NKEREQHKETMNAVSKEAFKAFQSDISDHHELVVVSKQIAVIDCLMSLAQVAAASGYC KPKFVKEPELRIRSGRHPMASINGIYTYVPFDIDFSERDGTSKVITGPNMAGKSSCVR AVALVVCMAQIGSYVPASSVTLGVHDAVQTRMGASDDIGRGKSTFMVELSETSDILRT ITPRTLVILDELGRGTSTYDGVAIAYATLAHVAGTGCNTLFVTHYPMVAEQLAREKPY QISNWHMAFDEIKLPDGSAEITFLYKLTHGLAEASFGVWCARLAGLPKSLLDTAQLRA DYLKHETKLRLLNSLTKRTGKLFKDLRSGELQVEVLKNVRMLDRGLKMIENRQI I203_02947 MPPRTKAQGSGLGKALINRKAKEAVAPKESQLYTLDESNPLQSI THERDLDNFLANAALADHDFTTERSKMRIISGPNMPAPTTNPFLLSAQEEKEVVKKKS DLAHSLKVPRRPHWTRKMTRLELERQERDSFLDWRRELAQLAESSALLMTPFERNIQL WRQLWRVLERSHLVVQIVDARNPLGFRCADLEDYVQEIGSDENDEEITVPGKGKRKSL LLINKADLLTYDQRCQWADYFEKNRISYAFFSAANAAALQEQAERQRQRQAGEYPPEQ QEDSEDEDEDEQDEYVSGEEVDPQSEEDDEEEEEEEKDRIDIELAEQVEQTKLDGEYE EGWSTEGEDENDDLAPEGELEKKLAQGEKVPLEEVARDVAAKYGGPPEGEEEDIRTRV LSVTELEDLFMNSAPDIKEFATPQNPNPTKLMVGLVGYPNVGKSSTINALLGAKKVSV SATPGKTKHFQTLVLSDTVTLCDCPGLVFPQFANTQADMVVDGVLPIDQMREYSAPAD LICRRIPREIIEGTYGIRIDVKDVEDGGTGQVGWEDFLSTYAIARGMTRSSFGMPDTS RAARVVLKDYVNAKLLYAHPPPGIDADEFMKTSRNLTLAKLEEAYENGRKRAPVTHVS KNADTYVQPAGQAGSAGQEQQATAERERQFTNRQIKANAASAPMRTGREKAQALDNVY FNESGAQPRLVVKGRNQKLDIPEPSTGQSYARSTQYPHQRMLGPDGMPMVGSNPREVG GGNGKKHFKRKEGKKRSGRGYD I203_02949 MSNLPVEPEFQQAVSEITQTLEPFLAKNPEYRRALEVVQIPERV IQFRVTWERDDGTLAVNRGFRCQFNSALGPYKGGLRLHPTVNLSILKFLGFEQIFKNA LTGLMMGGGKGGSDFDPKGKSDKEIRRFCYAFMQELSRHIGADLDVPAGDIGTGGREI GFMFGAYKKYRNEFAGILTGKGANWGGSNIRPEATGYGVVYYVTEMLKDLDNTDWKGK KVLISGAGNVAQYAALKVLELGGKVLSLSDSTGSLIATTEEGFQASDITRIAEIKLER KSLTSFVEESANVGERFKWHLGERPWKLVEKADIALPSASQNELNGEEAKALQKAGVR YVAEGSNMGCTLDAIEVFENSRKSIKSLTNTEGVVFYAPGKAANAGGVAVSGLEMAQN SQRLKWTSEEVDSKLKEIMQTCYKTCWDTGKEFSEGSALPSLVAGANIAGFIKVANAM RDQGDWW I203_02950 MTVTVTQNKVDISQMSEQDQKAFKMYGKVPGKNLFTKMQKERKY FDSGDYMMSKAGVPTAQAPGTAHPTPEAVPHASPPTGQPQGILSSSPTGNSHEPHSPT SEKPLTPGVGVGISPAATSEAIEMPGHHHQRRGSESGNHPRISPPGTIRESSHPSSFP IHHPNPGGYGSSPVKASSLAKRLDEEAEADL I203_02951 MWRSGPRQMNNSDRAGPNTRCQKCLKLGHHTYQCSNPRPYVARP SRTKQLTSGKIGRDKPSVEVPEEFKASSKVGLADKILKAKEEERRKEEEKKKAKRSRR RSSSSSDSDSSSTSGSGSDSDSDSDSDSYSSDSSSSRSRSRSRSHPRRKRSKRSPSSS GSDSGTGSESDISRRRSASPASARRKRRYSSDSDSERVRKPRRRSSTVSKSRSISRSR SRSRSGSVESR I203_02952 MHYSCFLADPSVARASSPRPVNIRARSSTIVGTGSVPAGSTQPG PPSIDVSASSFRITTNPFPGPSAATQALTDQLGTSGSSSSKRRPRLQGVGAGAGNGHG HGHNRISSWPSPPQPVISHMPSAPAIPTRANMEGGVLDLSLVDKDSVALLLYTYKPTP INSNTPAHPSPLPSTSTSTNAPSSQVLLNYAALPWDAKPGDYLEIRQIHRRQTQQPLR IQVPEGESYIKKKKDNVSPAMNGVKTGKGRDGYVFRLGEDVPTASAHQVQVPDSVATA FGFQQRLEVEVRRITDKESAHTDYVEFYFSQYLGRADMWRLGMSLEGMTLHVGEKVSL AGGAVRAEVNFIIRSEKDPKTGKQRNKRYSSGIATAKTKTIFRSKSSQVYLFIQLCEE TWEFDEDGERYIEKVVHGYLPDLFARWSEKGTSHVVTIIFFARIYYDEEDVAYLEKHD LAGSLIKDFSGRPCKDFFKVAVDLERRSDWTQALPEIKKQLERSEKELLLDYHFQLMG GKSYTGDKVKIVGRWSFAYEGNALEAINLALNPFDEHHVDRDLSRTGLSITIVTPGTG HFAVDKNLLRLTTERMVDHGMSVDFVCLTKMPLHSVPLFSYVSHRPKGPISEENPLSG KHRPITPDLLYFDAHMSHIKNTELADCYSLPSWVSASFYAVTHDKPFRPDRFIPRCKM YEIQMLGILDHNLTTVIVPYLDIEDMPMPRRPLSLEDRKVVRDDFDQSIFGSGQIEQP ISKINSPQLGSTPASIPASYQSARLLAEKEKHERSNSLASTTRPKSIGGFGNGSKLSP IKAMIEMEDSHPLGKKRTELRSASPAPSTLSLKRGLKSDSLARPATSIAPPTPPVHTS SPLLVASRGSSPVATTRSASPLPPPISDLPIDPESSHSPLIRTRDSSPSRASIISLEQ NGSGTSTPKLTPAKKLNTKSSKSSFASRFGASWLFGGLTSTRSQPSYAAPAMETIGRT DVSSVTGNRPHSPVPSNTSKTKTSDTGSRSRSGNGSVPPRPKMPNPDTMITPSTPSPS KDKTHNIVTPVTQPLPIATGTTSRLRRSQTVEEDPISRSLRNSKGLPPSGQSGQGLSK SHEDLSWRNRNNALVQSSRHFTVNPCKPSAETIDRIRDAGGRRWRFVLPKQAQQHIVQ WPSLLAPACLPLTTDFLPTQKQSDELYNTGTYTVQCYLEDGAFLIRSDAAQENLPLAM MREMVSQRLSQNFQVIVLPHDLNEPGVVRPMPIHLEKDADIGVELVSGGASEVLKNGR GAIWMSWANHIHRLLFHPTRQEIIVHWMTRKVSHSTDPVKYRCLVWPVGMKGYQPAEA TFNYPDVNTKINYNHLDHLINGERRTLDPLRYWRTRFILIPSGKEIVSFPGLVPQNEH LNQTDLLWLGAQKVIETLNRYLLKSQNGTPQQPLRIVTTTFDPSTCVLDEELMMDLER QISNKEKPTNINSDHKRLEGMTLANVAELMCQPGNGLIIRTRWWEARQHVQSFTGAQF CEWLQNSFEDVTSREKAAEWAESLLEKGLIEHVTNSHGFLDYWHLYYRLREPYNAMPK SSSKNKSWFSTTSSKVSSSSTSKEPSRDETSTPINGDANNGILTASNSNNTMLSTANP AQVQASLPSTTPPGARALLAKMYEGPQATSSITSSTTGRPGRKRKVKMSQTRVLDLDP NKKSDRAEVAILHADVVHNARNAFHFELNWLGVTAALLEELRQKLSAQSERYGLRFVE TPVEQLSDIPLKCAYRTAIPIPLAVPPPVITDLHERLLSIGHGTGQVENYFEYCILTK KFGFVLDVEASDRYPENIDVEYSYRKSRFDYSQFVHKSGLALIQCLSDSKGFLWSDNR LILSSNSFSVFGDRLSTVNSRRKNSILHTNTSTNHTTGGGGSDGRMEQVKQLRKELED FCNDKESLQRFYEEMIPPLPGDGEDSSEDDTVEPTGLDGGSRDEIEIIVNDEDEDVEG ETKIEEEDRVKDKVNLALEFNDGDGGKQPSSGLSQNVDHEKKESARKRMEKEIKEVIQ EL I203_02953 MSRPGPSPPPALTPIASTSTAHLGSRTLNSDVRHRYDKQPVTYP PQTAYTPSTTRPKRRKRRDGEKKGRRLLRWRRGIVTEAFRKFGEHCARNQIRTLLIDC LVMTNLFYPSMALYLQKRFPPLHPPSPPSHRLPLQGEAGPSTYRQNQREHPLSLLSTP VLDSFFPYPPPLLPRLTWAGWWGRDTGQDDLDDHGWGLSRALPEGAKEQLSQDEEIRI MRVAWADVEDVLDRDVEAGERPWEERDHILLRLVRDIAEGWEQRHNTSGERCVRHLEA PEGEAVGSTGPCYILSPDHDAPTSNGTQTSLSSLASHTEILPQITANSNNHAWTANVG NFYHSFGLLFHVSQNSTVDFESRWQETMDIVAGRLDGEVFTEARRSNSVDMDQTGQWY LSYTSSSQINDRAVSSDHPYVARHPETLSASPPRIVLILYAILFTTLIAQLSNASKVH SRFGLAFTGVVQLCCSSVMSFSVLALLGWNGWGASMKQSSLPTYVLPFVIVVVGAENM STLTKAIFSIPFTHSVPVRIGLGLSKVGTTIALTSLTDLLVLGVVWLCVNLQPVREFC LFAAVVIITDWFMLHTFFLTVLSIDAQRLELADVLASNGGPPVSPVHQTSYSDDHAEG KQSGFNWRKLLRARTTKSGSLVLLLMTVGLLYWLTERHRTSLNTTASLYGYTPTSSSS TTTSLLATPTPFITKSTDLFNLNPAERLWRSLNPLGWSYIKVFVPPASILVLPRSGHS MRPADIRKLSLPTSRLLLPRLRPLFYLFKVVILPQAITAGALYALLLYLLKDADLLDA QRDRLGRVDDEQQQGNETDSSPNGYLKNPLLEKVKVNMLPCSHESDIDLISTSPDGLI ALTVGIDNTICLWRFSESSDGSGTREMLSSKSLDPEDPIVACTISDDGRYVGICTING LIQTWEIPNEGQIIPLDARRIDNPFMGRSRIASMAFEDPPTHERKEDPFTIAPTRLSS KASITGPTILAALSNGTVLSLGADSSPIPIIQPDQPDLPARTNFVRIEGTLNILMLSP RIVQVYRNTSSGWFPILISENREDRMTAVSLPDPGLPGLWAVGHQSGCIEIIDEIIGQ LVSISPSSPGESIRRIRLVKPLSMRCVGCNTITTDGYLVISSSNTQICVDRVSPRILN NPFCRCSASTSSRARSSLDESTRPSPTRFKNGSGDQGLVVPPLWIQKRYSPGNTSPRK PPSLLSLPYNGDFPLSSHGGARRLSNLHKPDDTVNGLTSPMDRNSSSVSGGGSNGVSP AGEFEIQSLGSIIITDTIGEGEGVGGGDNWEIVNNRLVGMKKIKFGLIDDSQFSIFSL DLKNELWNGSRLVVHSTAFEELIEKSRIAYMTSSELLGMEMGMRERRMERINSLNGRA SFSFSSPSFETYTDLENGSKTRTGPGRKSLSVPTFDKLGYVQINQFGILQNPGAGGGG AIIGGFGNRLGIIYFNDIDRQDGKESRNIGVSSTRKEITTTGFGLSTPTSTTQARRSI SSTFPLNPPPPPLSNHNTTPTPNTRVITGTATGTGIGYKKVE I203_02954 MSGWMSWFAGKKDTREGARDAIVGLRQQLLMLEKKEEHLNKKIE EEMKKAKANATSNKRLAMAALRQKKAHENELDRIAGTRLTLETQVNAIESANLNAETM VAMKKGADALKGIHSNLTAEGVDATMDKIREQMDLTNEISDAISNPVGMGIVLDEDDL KEELEALEQEQLDDRLAGADRVPSHIPTSPVGQTAGRVNNAAQAEEDDEEAQLRQLQA ELAM I203_02955 MRIKEANGISVWLSVDGDKLGEQRMRKTGDEDDHVRMECFVCPK PHQEFTINVHLGRQRPWKGDWIAEPIVNGHKIHSLHVRKRWHVTHKLSTFYEEDEDGE LVESTLSFGYIADPDDGDEPVEGDQSRDAEEGMIVVELSRGEIERVRPTKRRISQRVN VREGIDNRGDLPSGTSHKAQQKTAYGEEFTYDEGDGERPYLRFVFKSQKYCRDRFETL EFAHQTINAVPAQQHPSQVSRTVRLKADPDEIIENELSSSIRTASAYHARPKREPSEE LVETESAKRLRLAEEEIKSLKVGCVFIPERRADI I203_02956 MKGSAEYNDLEVWVECDGTRLNEYHQTFEAKRDHQPPIYKSYLE IGDSTNSKYTFHVKNNSITKWLDSLLSNIEVDNYLLCTAYLHPEEGYKVSQSQVYRSE AEKKGNGKVVEHVHSQLVDDEEEDSQRIVLLDSHEGFLGRITIFIFRGSLSESQPMTH QDAEYEDSESEIYNGITSLNVLDPSKAWENWWEDDVDPWIRFVYTYGTRKALIANKVD LPSPEISPAVRPEAEIDEILVPDSTPPSPFAFTSDPFEDNLLTQGLEELGSALQSLIA NMNLKAGPNMMVDDQISSVAQPTEEKTSDLSKVDPLRHQKHTSKNSKEQKNSIRATSG WSDKDQISDNRLLKSSRCSVNAEKGSEVDNSQAGPSTAISSTRSPFQISKGKTRPTLT QSVDEASPPAWMAHPQAHAKPPTHRQALDRPQSNLDPPISLQAEVNRQEQLSGHSEYT KLLEAIDNPSSTNLTEKEIDLLLRALTDNQESITATTGRSHRSPESTVIPHGSKGKGK EKIDERDSDKNTNTNYYPSNSVSVSVSVPSFERPLPDVSAKDYAYQPSPTIEENDINS LDYLAKEGMNEAFLQNLFNADTSPSQRNNMPKLPKARWETIQSFNAKQEDERQRKLFE DKESRKRMQELAKRRRLDDRDIKRREGERRRWLNLGKHHEQENRKTQKSSNESVIGRK YVPVGQRKRSRHEDEDEIERRIEEKKRRLRELDRLEKVRKPEGRGRCKEEAIDLTLSD I203_02957 MSSIFNSHQSRIIALVITFFTTLFTLSVFFYLSVIPSESLESDY YSDEFSGSDHYQYHHGGEAGFWGNYNKANLEEWKIWLGLSDGRDRKTEARKIRQLGEL FHQRFNITEGRFARTLNAPALERLAHCIETNTCGKDEEKVVILASFHFNNAMTGGTSG EDIWAKSTLDAFTSLNYTLLYTFESMDTLTLYQGLSDKVQTILWEGNQLDASISLKRF GCIKTTDYQEGVPLEKSFTFHFWQGPANPLGRQFTLSPENYTLFNKGGEGNHYLGYSI ESRCKAVSLPSSRHHRGMALGKYANYFNTSSSEWVWGKEDILGKAISSMPASEETGEK FEMIATGGHDDDKTGKHEDMYKGITNLGKLPQQEWYQTLASSKFLLGVGKPNMSPSPY DALCFGVPFINPIMSWNHSDPEDWTKWHTQHDALRPYGAPYVYHVQKENGDQLREAMQ AALDNPITSYIPPPMTKESVKQRHRTLVETDWKPFAMAAVKSLYTDKDKEFPYLIV I203_02958 MAFTASDIIKIIFAVILPPLGVFLERGCNADFLINILLTILGYI PGIIHALYIILKY I203_02959 MTQQQTRSPAATRTTANATPKRPLATPRKSLPLAGSSLTTPSPI TKPQPRRSLPDKQQPSPKSTLKIATNGRSTPKAGPSTPRSLTPKATARPSPARIPSPL RQSPAKIASPEEASLSSESWVADQPLGPNGFPEIFSEPTNWDDPITEENWELRTETVN GIEDGDSPYATLETHYLRQITHYKNLLVKSQSASSTSLHDLHSQLHYLQKQYHELEAE HARCSAADRSKRGQEEFEKRAVDSVRNDLAGTLRSMDRDERIRMLGLVAEACHPSDIN AQIAILEKYRRSRFDILSRTDEALQVRILGLLDVKDILTLRTVSKRYRYITQNDSLWK MLCRQLEWRDWDGEAGLIHLEDIPEGGWEELYQDLWKRERNWNTGMAQKVFLLKGHTN YVTSLRLRGDVLISGSYDETIRIWHLPPLLTLTPSSIPQPLVIPAKSVSCLDYYPPED VFVAGYHDIGRVQVWKKKGEEWHLLNTLSGHLHGIRAVALNEDYLVSAGADKALVIWS WRTGEKIVRFGQQTNICVGIQLINDYIVSVTVDGIIRIFSIRKREMLAQFKISDLGRT VGGGTKEKEWKLKLKDVGGGVGGIGMINWFEGQGKWMTCATREMIIRLAWDEIEEVIP LATPTTRPSSPATPSPAKGRIRTVSSASRALPMASTPTKTPNHLRQRTASGSTPTLSV KRSTPSLTSAAMSPSLSSPASRLANLTSPAKAATRSLSGTATPSPLRSKGVANLDRSS SPITQSSSPRKPVISSRRTSLASGTPVPEEEEMKPKEGRKRIIPLLTKAPKILELIHA PDVEKGAIDARRTRVVTSTRFAARSGADRQLYVGVPGQSDQGGQDGGTDMIPVTGAWR DKSEVLNLQTPGKNPMSLVLDREKFVVGTVISSARESGMRVAYLIMDDLSTGVRMEVS W I203_02960 MNPASNCVEGINDALTEVTFGDIDPDAVYYTGLCNSVYHTTSLA LSTMKWCTTQKQKDKGWKLMNHYCEEYGSVSLPSWEELSSKVNQSNLIEDVNTVDPTI IGTVFNSTIVLSEEAWWAGQHTDDVWTTEEGYHHGFGWGLYILVGLAILFGTLNRLFA VFVRSYSASDSSPQEVPPFSHKSRWYTMYRKHIEVPALFGYKHSQASAWGFLSIPTRI QGLFIFGYVALNVIFTSIGYHTFYENVYWYGQKDTQIIRYLSDRTGIMCFYNLPLLWC LAGRNDVILWMTGWSYSTLNLFHRWVARIAVLQAIVHSAGYTWLERGYIAVEMKEQYW WTGVIATIVMSLLIPFSVRPFREKFYELFLLIHIVLALVTLVTCWYHVKIWDGAYDPW KWASVAVWAMDRVIRVIRVIVLTYKALSKSGINTKATIPWSSSDQKGLIRLTITTSIK IIPQPGQYYFIYTPYSLKPWENHPFTLASWTVNANDTTLNFLVAPLKGATKRWQKKIL KNQERQIDMRLLLEGPYGHTNPVEQYDKVLFLVGGSGITSILPYLYKLKLISIQVPEP TIREIRVIWIVKDQNYAKDVLDNELREYVDMGACGDIPTKVDLYVTRGGANGQQDLVG SLGYDTTESSPTSASSSPGITSTPMIEKIESQNINEPSTDANNDGELSTSNSDMELGS SASKEKITKSVGLTIHQGKPIVKDLVHQSVNGLVGSERLAVSACGPSKLMDDARASVC EIYGNGENQVDGKRIGYFEESFCW I203_02961 MIITWFIRGPSEQRFERRGGSGSGITTTRPDSVSYGTGPPASTE TKWRGLGDEPKIAGSTGGFIGLISGIAIFLIISTFIGIYLWNRYRKRLPSKTKRKSGF HNPLPSLSFTSHGSDLNRDPYAEQVDMELNQDQDLDVGDTPKASKFGFTRPVYTRQRS SEWELPVETPPRHQSVNLPNHPSEAALPLRTKSPKPRSRSNSVSSNASISPFTSTMKG KGKGTERIVNPFENPYNNYDESRLSPNPYSARRQGSTTSSLGSADGSGIMDAQREDTP SGESDTSVRVSQIREGSRFVEKFESKESLAL I203_02962 MDSFSSSPLNESFPSSRFPSPIPSPTQTETDLPIEVDQSFNSSL SFGETSPCFSPSPIMPKKGAVSPSFSQNTTGFLSPSPVFSLKPRRPDPVPLQRLTVPS PSKSSNMDLDDTIQPLSARPLGQGRTFGRELSANIMQRSAGPATTKANKGMMLPPNLP EARNVIRPRGGLPMQWTSSNEETGRPRLGMQAHMFRRETDPVMSLSPCSVASTSASDD FGMDIDSPALKSRRPSSQQSPSFSGAASFSGSPGLGSFFCESPAAPSAQAPAKRRSLV TGSPASPSSGSPSAKRTSLGLGIGRPGLEKTASSGAMLFGGGRFSTVAARRNPSFKRP TLGPLSAGSSGDNGLRTASATSAFPILYAPPKTTLGGTQSGTFPRSAMAPMRRAFSVC DQNKMHEMEEDESEYEASPSMAGTQAEYMRRYGPRAIPRVDGSPGFKPVRASIATSGQ GVASPIGNKGKQKVSPYGPGGLPGFGDNEMDGKILPCHKVKEDGLVRITPNTLQELLA GKYHSRVKRYHIIDCRFDYEYEGGHIEGAINVKSMDALDQLLLSESKGVHANGNALPV PSRSGELEEGEQVVLVFHCEFSAKRAPTFAKHLRSRDRLINNALYPKIFYPEVYILEG GYCGFYQSQPDRCNGGYTPMDDPKHLERRNSDLHDFRKFSRTRSFTYGEQPTLPSRAA PPCPPLAFAAASAATARRQGMTITEEDHEHDSSPLGGNGPNSSGNENSPCPRAVSMGQ PPIFGSAKTRVLGRVGFNRVASYAGTGMRQ I203_02963 MTPKAKSRTSVGAKRKHEDEKDVSSSESGSGSELSEYDHDNSDD GYDHLPSGSKNTKKTNGDVSPAKGKAKTKSKQQEAKSKVQDSPKNNRKKSQDSPKSNK KSKTSPADIIPRIKSTNPKALLEYLLSDEALDYANPAPERGYGEVDWSKHTSPSDPKT APTPLPKPTASKKEKAEAEDTHPAILPEGYIRYPHSDMTPFQILTSSLLLSKPLSHKL GIRTISTLLNPPFEFGKFDVLVKADEERIREGLWKARTQHKEKTSVQLLELAQGVRGL NGEGEEDSLGGIKRAIEGLGNDEEKAQKRVGDMLSTIKGIGPIGVSIFLRRIQSQWNE VYPYVDQRCLSAAKSIGLVDDKAGAKEMAKLVKDDSKQLVKLLDTLIGLDLEKKLDDV VERYT I203_02964 MAPFSPLPPTAQITRALQPPRYPLLFGQTKQHDFSQPPSKPKVQ SRRGPSTPIEQFFHGGHLSLGILFCLFIATLWLLAAFSAAQMSISLPSPSDMVYMDES MNEAGIWGEVGMYELSAWRRIRLGEEGEGQGLRWKRNEAVLGMLKEKEKESKSSMTTG TTITSSHPAIDNIPISTSTPSSVMAQPSSQQKTGLPSPSLSPSLSNLEQRGDEKPDSL NFDDNMFQEAVGEDDRATTSSLESRRHRHRVEENKEDVDGGL I203_02965 MSPGLLDSEFTSQHTSSFTPPVSFPIVPGLGSSSPSSPSPSSGI TKHPHVWDLPSNTNGFKPIYYSHEVIPIVNPTLDPVDPVDLANMVPPPNGIVNPNPNI RRSSDPTPMSTSHGVALGHYHLLPPIELTGTGSGTSQWPKAKPNGPRPQSRTRTTRPK ASFYILDNDNEQDEDRYTNNSNQSSTLYHSNSSRKRSIEGYAISHAHAHGQEQPMQFR VANPDRSSSSTLSSTSDHLDDNEVEVHDRETDDTRRGSMYLDGGVSEMDEEELGVRLA QYNMIAGYNQTPGTGDETDRDIRGRRTSLIDRAKTFMLRRNKDEIGTDNPYNEAGLQN GTILDGQPRRASRSWLRWRKSDSEDRRRAASEDWTRNHMARHERIRQGSSSTANTHPR SSARQKRMVNPYPKMTFELGLNPKGKSDTYMKNFTNTNNQVHVNSDEAEAWDGGLPPQ RPATTGQSSSYKAEPQLMVKKKSSKGWKGKFKLLKLSQ I203_02966 MTPNTTVENSGYTMKQSPSPAREGLKRKAGEGVNPEDKENGGSV DKKAKVDDASIVELKGRKLIFKQELSSRKLPRRQLLNFEGWLLDEVEAGRTVGEIPEE FWGLIVMAGHELTSSNESLFIKHLKSALDVVKSGQDPLPNESLTPLIPKLFTLHQYGF LPSDFSPSSSTTKVPAALQIRCWEANDLQKYFPSDQLEELSRRRKEREQAREECVKIL NGLDDIEKLELIKGDKGDKGSVKEAKEKVVVAKTEEVEESPRIARRKDREGTANTVES RGRSASPTKKGKMTPEEEEAARLKKEERDARKAEMAEKKAAKEKEKERRDAVVAKQAK AMLGFFKAKAPTTPPISRASEAGPSSSDYSRMFLPMTQRPNVHIAEINRWTPKNRFIN GDISSETKDVDVQDWQPLDFINEHLRKHGKQVRPSRKNLPKGLKSMPPHGSVADVYNT LEDAEDPREVLRQLKDRKRFPWKTLAFDQQTRPPYCGTFTKKSVVVGPRTPFAQDPII DYSYDSSDDWVDDEGGEDVDDFGNGEAKEDEEDEDDDEDEGEFDDWLDDAEDVEFTPV DGDVEPLTGPEQARLPMKVVKKSRDVPKKVVKLTPSWKGPVWEKIIGEEGVDGLEGYR IQLLNKTPHSINPFTFTSPEPVQSFKSSFSTTVIGTTLNVRCLLSVEPIVAAPAPPPV PEKPIHVPNSSSIYYKPVYPASAPVVVNGDIANGTTQPKLRPAPKVGFPEEHLAELYR LMDGSKKIKPDLISQLRERFENVTTKVAIEAKLREVAVKEGKGKESQWKVKSEAWTAI GLSPPAPTPTPAPAPAQAANSKSVADFFSSPAAPSSDIETKNKSIGATIDEPMIIDA I203_02967 MPSLNQLCQNCGLPRASPPPNLDTMFEEDFERCFICQQPCKGLY CSSECRLRDQGTPSPAVRANHGPVKITSQLPVSLSPLVRPTQHSSRSPRPLPQNRRSS STSSGSSSVSSSPLQSPQTNPSEVDSPKRDTFDLPPPAYPTKQFGATPASVPMKIPAL AARASPVVAPSQTPGSVGSTVYPIGASIDTLRFGRKPSAVNSVISPNALIPRCACGKP ANHKNRASSKDRADLIDAGFSRLSLGPSRAQEEPAPRSSRIASESSIPPFTPGRKTVP LGIPSSPQVAPSTSFLSRSRSDPIPGSPQAQRKAIPSVPNVITPSHRETQCVPSSPIV PAQSTVTRPGRSRNALDVDIDSPRRGRSRERQEHHVGAMTSNFGGPADREQAPSRSRT RRRSDSRERERERARGGRTSRERERERTAEREHHSGHTLPITQTQTPQILPSWSRRAS EATADRRKILAEGVAPTMRRTASNGKSSPVYDRQSEDEEQRKREELHRASKQLGQVFG VAAG I203_02968 MDSDNPQSVFSVLSDVVDIAASKGKKNHPTPFIVKHTLFFFPIL VFISAGILLLSFAVPRIYRFIKSLLPKREYQPLLVDENDDEEEVESAEPPTPTQPFMP SGGLWSDLSAHIRSMKEYGSVLFFLEVIRTLCIATLLGLTVYAAIQAESPDKKSHLQE LGLEDGMIDIEGHWGKKKHKKKKHRKNRVDDYSSLEWGEFGVCGYYTYTLIFSFLLLT LRPATPLRRHLIAHVDTLLFSGWCIYAYRDLWPLLTYNLVPSDINNAVTWSRVAILSV AAVLIPLLRPRTYTPAEPANPTPEDEIHPEQTAPWLFYVFYEYMTPLVWKAWKTPALP YDDLHPLADYDRAEFLYSKHIDALDPIRRRENGLKQRNLLIGLLDVFKKEVAIVCAMS ALSAVAEMAGSVGINKLLDYLEKDGKGATLRPIVWVAFLFVGPTIGSLTIQFYIYTTT RALVRSEALLTQLLFDHALRLRMKDAIEDEKKEEISAAGTPEIRIEDVTQPAPGATEA LLNDEAATNDDTEVGSSNGTAKKDDKEAAADAEAKKAKGQGLAGKINVLMAADVESVL EGRDLALVFVYTPIQLALCIYLLYKILSWSALVGMLTMVITLPIPGLITKLNARYQYK RMAATDSRVDSITEAIGALRMIKMFGWEGRIKERIAVKREDELQLIWQRRLMSLSVVI LNNILPVLTMVATFAMYTLVQGRQLSAATVFTSMVVFELVKSQMGMCFYLINSFVTAW VSLQRIDKFLTSSEMIDEYSEGKIATIKTTEQLEAETEGLIRIQNATFSWGPVENGNT QDFSLRIPEVTFVKGKINLITGPTGSGKSSLLKALVGELHFEQKQGSFFHLPREGGVS YAAQESWCMSDSIKDNILFGEPYEYDRYIKTLRACALEPDLKLFDDGDNTEVGEKGIT LSGGQKARLTLARAVYSKTDIVLLDVDIFSALDTLTSRWIIDNLFKGDLMKDRTVLLI THHVGLAAPIADYMIAFNQDGSVKSQGPIEDSEFPDEDVEKEAQEDIKASEEAISAEQ RAEEKKPSHKLVKDEEKSEGRISKRAMISFFSTFGGPIFWLLYFILVLGGQGFNAFQT YWLGRWAKAYEESDHPERVSAVYYLGLYIVWVLLSMGSLAGSAVLFYVGAIKGSREIH KKLTNMIFGSYMRFLDSTPVGRIVSRFTKDMKNVDGSFTDTFSEVADITIGLVLKFAV VIALVPIFSIPALVIGAVGAFLGEVYIHSQLSVKREMSNAKSPLFSHFSAAVNGIVSI RAYGAQQKLRAEAQRKADKYTRAATALQPQSMAAFLVYGVRLDASTTGFALSQAISFS AMILWWVRLVNEMEVQGNSVERIEDYLVIDQEPKAVESKLPPASWPTSGSIVLDKLSA RYSPDGPTVLNKLQVNIASGEKVGIVGRTGSGKSTLALALLRMIPTEGSVVIDGIKTE DINLHALRSHVTIIPQDPVLLSGSLRFNLDPFGEHDDAELNDALQSSGLGQTRNPTQE NGGTATPQRLSLDTQIAAGGGNLSQGQRQLVALARALVRNSKILILDEATASVDFETD ALIQRSIRDLPSSTTVLTVAHRLTTVMDYDKILVLGSGKVLEFDSPNNLRSNQNSYFA KLVLAMEGGTVSRQSAIST I203_02969 MSGVIGLGLGFTSIDFEKPSSTIESDSRPDGFLPRPTHPAYQVK VPERSHRGPRSLGVGKRFVSSPSQTLPPSIYDKSTSNPLSIPSPSSSDSTGLDPKNKN MLINSPQLGLDLVNINPTQHLRLMKKRSFAANNKRDFGLRSNDPLNRKSNPAQAIGTT SSPTIGSSPTLGSSPIMSSSPIPSQEHHVDMLGPSIALSVVLGLLVLGVASWVGIHYR RKRLLLQQQEEEGNGYGRNRERDSDEKSFTSEMFNASNVVEKGLKPRSLGGTMNEEEL RSSFISYKRPFSTVHPSAGGRRVSFVDTVDNHHDDQDDYEYSGNRNRRESVLPPPRFM IAALHRPEVEHIEDEPEEELEQPVPSPRTSIAPTLEMIEEELEEEESTSNSSQPQGQA TDEVSRDSIVSSTSSSSDSSTCSGDYTTASARSSISSLSALSIISSSFPQTPRDQSTP SPAMQMESPNLENEMGGGIYDSPTPTGKFQSRRKRAQSHGDTGLVEVQKHDLLRTAVG RTMSLQPTKEVREFIKLMTAQNEVGSMHRAPTGKNHNTISRKTTLKTNVGRESRDRSE DEPMEPEKQKIDEMEDLRVAAFISSQLEEKVTREQQQEDQKGSKMMARSTSFPSKLIS RRKSTKSSPSSSSRKSEIVSSDGYLRKAWQAFNAHEEVPVIPTNIPISLARSQEDESI KSLAERQVQLERYLSLIQSEGQQHVQKVNDSDNDAEEVQEDPYIQHGEEGEEDMEIEE DEDMEEVDSVWNPDAYAQDMEDEQGIAFEDYYIHFESDDVYSPEQEDQMASRTNRISY ATGVPHIRVTSH I203_02970 MSRQTNDGPAAGFSMNNHIPAPPSEISSGSETTSSPYIPTPLST TSITDLPLPKIKQSHRVHQQQPQGQSSIHEPPGIPSTFLPSYYSESRIPHALHSSLSP FQANRIPNIPELDENLSRHNPSIWENMSRSGPHQFDLLEGITLPCSPSGAAFASSSRK TVTDTDENDMGGSGSGGYRRGTALMDFADKPTVTPVTIYNSWSVVDKSGNGTDNNNSS ENNGGLPAWQPGPSSTSASQLTRSELGLSQKSTSASPYHSHAQTNPNPSPRYQPYQHQ RIISNAASASQSPANMGSMLPPPNPNPNGVTMSMGRSWSEPTLPENTPHSAGYPGIMY TNVPLLEGYMSTPPFGGRAVDSVQVGPDEFSQAYEIYYHMLSAIPFITPSTQSQCQSQ NQLELSPASKQIQMQSTPRQTFDSLIQLAAESHHLLTGSAAPMPSQFLGPNYGLQRGK RRNSDPDVGPGLNAIPPSGITPSDSEIPPSGSNKKSVPKCLGCGATETPEWRRGPMGP RTLCNACGLVHMKLQRKKKKAEEKARAAAATATSASAG I203_02971 MSRLTTLSQRNPTSHSQSHSPSPILYPPSGPSSGRVSPFPRPGS TASQYSESPFGGGMNSGHAQNSASGPGSGYTRTTHEVEGQNDERLEGLLGKVKILKDI TVGIGNEVRDSNVLLGNMNDSFSSTSTFLGGTFRRMNKMAKRQGGNWCWFMGFLLLVL WIFVVVWWLRR I203_02972 MSTPTSILSRGVSVYSIPTELLANLSVRSIQAQPPAEAEEIKPK STAAKTAQANLPGAAGLSCQTCPNANFDTVEEQRAHFKSDWHRYNAKAKLAGRTVSAE QWEGMVEGISSISGSDSGSSSSSGSSSQSKVARLLKRQTIDNNQNLSDDEEALELADR QRRAHLRTAVIWFSPTTSIPSLGVPKDTQFGVHRALFPPFDTAADYLTELKRMQLSGN NEEDGERRLTLLMVAGGHFAGMIVSIKPRGKSEKQEVKGAGEVRVLKHKTFHRYTTRK KQGGSQALNDNAKSKAVSAGAMLRRYGEQALQEEIRALMIEWEDDLAASERIFIRAST HGKKSFYGYEGAVLSKNDERFRTFPFPTRRPTLQELLRCWHELTRMKVSHLSEDALRA LEEEYIASLQPKNKPIKPTPAPTPAPTAPAIPKLSPEEEAKLDRRKRLEEMIRKGRLD ALKPFWTKYQTDFEPSEILGLVASSGQQEMLKYLLEEERLDPTQPILTSNNRKPYELS ANKNIRNVFRRVAHNHPEWYDWVVDARVPSGLSDELEQEQNTKKNERRKGLKEKLKER EKQRAAEAEVEEAREKEAEEQKQKEEAMRTTAMNLNGNTGANKGPQKLGGRVGGEGLG GMSQEMRMQIERERRARAAEARFGRG I203_02973 MRSLGQNPTQAELEDMINEVDADGNNSIDFAEFMTLMARKMHDT DSEDEIREAFKVFDKNNDGHISAAELKHVMTNLGEKLSDAEISEMIREADKDGDGMID YNEFVTMMMAKPSRASFPYFGT I203_02974 MSNVPSEMSALYYHEARNFEVKKVPVPTIDDNEILLKVDICGVC GTDQHIHEGEFISKFPLIPGHEAVGKVVAMGKNVKGFEVGDRVAADVGETCGWCHYCR KGDELFCEHFSPAGVARDGGFADYIKYHFAKCYKIKNLSDEEATLLEPASCAIHGMDK LKMPFGSKVLLIGAGPTGLILAQLMKLGGAAHITIAANKGIKMDIARKVDAADAYIDL DRKDAAAQWAKIKEENPYGFDVVAECTGVESIVNDAINYVTRGGTLLVYGVYEDKARL PSWSPTDIFVNEKRIIGSFSQTYCFPRAIELLDSGKIKTTGMVTDVFDLKDYQKALDK MAT I203_02975 MSTGSGPASPVSSRMTKAAFFRARSPPLAERTSPNGMSMSSQDA YGNLSDLAGKFDAATRLEQQQQQQSAGAGPSTGGIRFSPNNEQSERYYTPSSTLKAPQ AYNNQQGYFDSSPATDGHASTLDRLQNHPSRRGPSPVRRRSTLASQRTTSPTSPRNLL AGIHGVMSAPDSSTGHDTEGSTYENGLGAGPGGDLKESAIMGFAEGSDEMLLALLAGQ AAVDCERLPIGRWEEVEGWKKELSLLSNRLESSQSRLQREIKILTAAKTLQKLNNSNK RMSRQTMESLEQAEKRVEAAEKEVYVLQDREAALRRKLMEHWSGVMAWEVRRLERTSA ETQARYDKQSMKINNLKDREAELIRQSTEKANRVKELEEMVIEMGRRERAIEEEAREL DQYRVTVEQERQSWLNEREAYQTERDSWTSEKRSWDKERSSWENERRHWAEERAGLVG DRQRLLESGQMSEKDRAIMDQIRLSLGSMLGRKMGSVGEHEVLPSLDEVKGLISRRER EVVSLREEMQEVNMGLEEELRRVAEDRNAWKARVDQGESGRREEIAAFEKKIRNQQEQ INDLALRNESLSSSLKAAQTAVSSMSTDQSGTKALQARVDALSTELESIASQFNSIWS ILPPPTKRAQAELIDLRTGVSNSSLASPSKALNFAALQELYQPHNEQVGDINETLSRI RGVIEDGKVLVQRVVRMGQERELLKGNAAKAKKLVEESTKSLETYQQQVAVLEDQLAK SGSTESHFLDELNNLQSTLDNVSTQKRNLEVQLSQEKETIERLTEANDVLSAKALDLA QVAEDERSQLSNKLKGELEDMKKKLKVQEDEQDEERVKSQSQRIQLLDELNSLQAEVG DLRKQLRSKV I203_02976 MGKNSIQASKGKAPENDASKKRKREGKTKDEQQSGKDSSFSLFG QVKATDLDDVFAKSSAFAQTASAVASSSKIAVQPQPTEHVSKKKKNEVSPEPEKDSDE DEEGDEDEFGEASEEESGSEKDVVDQDEEEDSDVENEHMVHESVKAKAEKKAKKGKTL GKYVPIGETQADKDRRTVFVGNLPLECAQSKSALHQLRQHLLSFVPSAKIESVRFRSV PFAAPTASLPTDDPEKDATQRAKREKERTAAWRAQHEGIVFPIRKSRGDDEEPEQGKV FLDSKGKRKVAFIKKDFHSELASCNAYVVFAHSHPDRAANVAPILDPFEAASTVLTAN TSTFMGRTIRVDTLRLPSAVALQSAGNALAKRDAWLPSGTDPKKSLFVGGLDYAAKEE DIRVFFEELVKAERGPAEDKWVTGVRIVRDKETQLGKGFGYVHFSDRESVDEILAMDS KQIKFAKRYLRVQPCKTLPSANTLNNTIKSLASSSSSKLDKDKKSTKATKTSFKSSGP LPKGDPRLGDKIKDLSKEERKAVKSTDADRQARRLAKKKAKHTLEKNEKGAVKLSLTK SERERGKNAKPKAKGKIRGPAAIAKMKGSRG I203_02977 MSSASNTNNLSNAIKRGEEKKELVLPNGISGRVKLAAKVKTAAG KENVAPKKKAATTAAKKPAAKKAAAPKKTAATGAAKPAAKKTAAASAKKPAAKKTSAA KKAPAAKAAAGAKAAPKKAAAPKKKAAPAKKA I203_02978 MSDPNLMEVDSSTSVRGGEGEAAASGVNIITNEKPQSQDQAMEI DSLSQPDAAGPSSEAAGAVFVEQTTTTTSIETTISTEGDSGHSLPLPLPQTIASAALI NDELSIPTAGPSHTHLPPTTGAPYDLFPQSTSNLQIIERPKIYRTGYIYNPMMMLHCQ EGYIPTAESVVDNGPGHPEEPMRIKRIFSRLAEQGLIKRMKRLEFGEVTMDQVMLVHG EDLWLKVQGTEDLTDEYIQGSKQYYEQLSLYVCRETAHCARLSCGGVIQACISVCQKQ VRNAFAIVRPPGHHAEPDEHMGFCFFNNVAVATKEVQRRGLAKKVLILDWDVHHGNGT QRAFWDDPDVLYISLHRHDGGRFYPTSDFGALDMVGTGAGEGKSVNIPWPGPGFGDAD YIYAFQRIVMPIAYEFAPDLVIISAGFDAADGDRLGECHVTPAAYGHMTHMLSALAGG KLVVALEGGYNLKAISDSALAVAQVLLGETPAELDAHQASEVATEVIYQCAKVQSKYW KSIDVKACEPPEVTALEDGSLPITSIPDLLKLHRAWHMYEKHQLFQIPLASEELEASF GGQVLCSENVYEMGPRGVLVIFVHDFGNLRVETDGVSTTNVHMANSYLINTSDAIVDW VKKEGYNLIDVNVLKQLPTHFAPDLPKMVSKSGTPLEAQLIRYIWDNYIELSEAENIV LIGHGTGCKTIMDLVNQREVEQKVKAVIQVAGLHSLVRPDPNDEVKRSWFRKSNRIYV PAEHPVLEDERIQKRLGGQVHKSDKATKVVDVLVEVLPNIKEFVSSKLPEIERPAPLL NGNGNVDGNADGSGDEQVRMEVDVEVQDHVNGHDGHDGSQNVNGSGDVNGGEPSSVIP PVTAQ I203_02979 MSSQPIDEDGFHSIAWDDAPSSRAVISPSSPFDEDVGEGFETIS QPTSESQDGGAGAASASTATVTGSRRDRQGSVEVDPGEWNGRWMSIEVREPVKEHEGS KDMYVSYAVKTQTNLCTFPNKLVVVRRRFQDFEFLREHLVKAFPACVVPPIPDKHRLE YIKGDRFGPEFIERRRLDLQRFADRIARHPTLQRSQLVNDFLQSTEWTVAKHHHISHP PPESHISLMDSLSDKFINAFSSVRKPDGRFVEMLEDLERFEEGLVSVERLVGRGKTRV DDLSTDYQDMAAAYQGLGYLESGITDPLNRFAEKMLDFSALLKHMNQSTVEPFLIQSH SLLAYANSHRNVIKLRDQKQLDFEELSAYLSAIVSERDRLAALNTGHSAAPVGLGTYL RDQVDKLRGTDDIHTRRERIRKLDGRIKELQDAVTTAHETSTAFSDEVLKEHKVFELS KKEEMKEILQTYADGQVEMLQRAMDDWDRVGPLILY I203_02980 MQRGITRPRSPSDEEESRPRQRIKPSSPNQHINNTNMGHPLHNG SSTTNGISHDSEPGPSNGHASSSVGPGSLPHHVLNSIQHVQPPGHLMYEDDRNWQDQH DTTMTDDGKESTDDELEEAQDGLGHPEAKSISRKTTQRPGVGGSRRMPVEREEAVRLI LQGLRDIGYHQSADILELESGYNLSTTQANDFQSAILGGRWSEALGLLPGLGIHITPT PEPEPEVGSSSSSIASGKILKIKGSNSISDQMKFLISQQKYLEHLEIGQQKKALGVLR GELNKVAKDQEVLHTLSGFMMCLDKDDLYERAMWDGAQGTSRRQLLEHLQAFISPQIM MPSRRLATLFDQARRHQQLSCLYHEEPESTSLYTDHKCESGAFPSVTTHVLADHSDEV WRIEWSPDGMYLASSGKDKTVVIWQLKYGIVPFQHLKEHTDSVDAMAWSPDGKTLVTG ADKNIFVWDVKTGELQPKSSSGSQHSDTISAIQWLPDGSEFVVASMDCKVAFYSPSGS LLRQWSTKDRQLKDFVITPDGKRIIAITTLLKRVFHNDKLRQSMSSRPSEESEIIEST TAIGPNGASLAPFYYATMEYSLMMIRIADHNIIDSSQDLRCETTSIRLSSDGKRVIVS CAPDEIQVWSIDPGFRYIRKHSGHVQGRYLIRSAFGAPKDRFVLSGSEDGHVYVWQGT APNPIEVLSGHKEVVNAVAWNPVAARRIFASCSDDHTIRIWQPPFDLDEGMNIENDGV TGGDEDGDGMKVENDEEEEEEEEEEEGMVL I203_02981 MPRCANKGCQKEFDESNNKEGSCNYHPGGPPVLEFDQFMALPTC TKGKHSASPTPAPASKPTQPTTSSVEEPTMSSDGVETYGFSKLSTKSDTPAPAVSATS SVPAPAQKIVEEEEDDPSVQVTEGTQCKRLRCEYKYVNEDESRIEGGCRYHPQGAIFH EGSKGYLCCKRRVLEFSEFLKIEGCKTGKHLFVGSKKDETKEEKVDCRLDHYQTPLQV HVSAFAKGADKEKSIVRFESQSLHLDLYLPSNKRVKKVLQLYGPINPETSTFRILGTK VDIVLQKPSAISWPLLELPPPGTELPPGYALTFGVSGRTGTVGGKEIVLSPEELARRG A I203_02982 MFITSTRLLVSTLSFRPITRTVQTRLISPLLSLGHPSYLTVSSS PVVRRRLKLPHTKPQKINKKLWNLVAHLRITVIGRPAVIDQESRGMPKAAYYAVASGR NPGVYTTWTEAEAQVKGYAGAKYKKFPSLSQAKEFISGSGPSPLTSSTSSTSTSKNNK PYSKPPTSTSNSTAVGFNTHHRAESPTTLSSSSDLPPDLQIIASRGYEFTKDHYLIVH TDGSALGNGQKGSRAGAGVFWGGYGEALKKNYSERVPGLPQTNNRGELLAVIRALEQC PYPDIPLEIRCDSQYTISCMTTWLPKWLRNGFTTSTNNPYSRPNGSSSKQVQKQKQIS NVDMVKHLLVLLRRRSGKGRVKFKYVPAHSGIEGNERADQLAKMGSLLPESSGPVKWL TPEEDDDLSLDLPKSTTGVEGVKQVTDIEVELDENWLMSPEELENFEKDLIDESNEG I203_02983 MHSFTTVATFALVASASIVSAAPIACRGKPDNYVDGYLEDYDTY HARYIALDCHSQHNSTFFDDCCHPLLANETLVDNRKSYCTPNTTTLAEVTSTIVASNA TATATGAVEATTTASADIDAASEYSAAATSTSAAESSTATIAAVADYAHHGKQSSSSS ASSTEEAWTAEPTSTSSAAAEATSSASSSSGSSDVMTGGYATYFYQGGNAGACGTVHS DSDKVIAIDTNGWWQDTSSASPYCGKYITITNTNNGKSVTAMVADACPTCVSDNSLDL SVGAFTAIADESDGQVPITWVWA I203_02984 MINQKSKVKSQQRTTSIRSERHSSTKHPDTTQDVTIDPLSAAMG KPSKERNQRAPARGRGRGRGGGGHAVRGGGSGRQKAIASRKQEEAVDDEEVFRRVMAG ESVGDEASSSSASGSGSGSGSSSEDESDDQSGDADDKEEEDAEEEDQTIDIDVPVAMW DFDHCDPRRCSGKKLARHGLINAMRVGQRFRGIVLTPKGKKPISPSDDEIVQMSGLAV VECSWARLDEVPFNKIKSPYERLLPFLIASNPVNYGKPWRLNCVEALAAGFYITGHDD WAEILLSKFSWGHSFYKLNGHLIERYRTCHTSEEIQEMAELIQKEMADEREQRQLEKQ AHEGEDLLRANPNHVGNEWDDEEVPDLVEVSNSEDERDDVEVLIQGIDNTKISA I203_02985 MPGPSPSAHQVLVYSGPGVSPLSLSHTILTLSLLLLPHYTVQPA TPEVLSSQPWEPSCALLIIPGGRDLPFVEELTIKRKVTKRIKEYVEQGGKYLGICAGA YFGTKQVKFDVGGGMEVVGERDLAFFPGSSEGPTFPGFQYASESGSRAVSLFLEPSPS SSSSSHRSIDHLYYNGGGHFVLPSPKPSNVEVLARYADTGKDEDPIAVVLTRNGKGKS ILCSIHPEYPLNDPPARLAINKLEHPPEIEEIEVSEKERIKWVEELLKALDLNPPDKH QLLDNDKEGGEVQGEEDPNLLLHPTHPSPIFILSHPNLPELSTHAVSKKELKDKMIRE DSWEILKDGNDQLRFGTVEATFSSASTSEDEVTRWLSTKRRDQPHFEPPSIESLNIDS KGDVSPLIPQPPDFHSIPKTILLPSANIPYTPRWTPLFNFDTYWKELDSARKSSGRRS GVMRKSDQGQGEKCALGDLVFYGETVTSTQTMLDRNPILLTNLSAPLAFLATFQLSGR GRGSNIWLSPAGCLQFSLLLDLPSSLSNKMVFIQYIMALAVCEAVDEDGKLGVRIKWP NDIYAEVEGVSGTEIGSGKKGKAKLGGILVNTNFVGGKWRIVVGCGINVLNALPTTSL SQLHSLLAAKLSNSNKTLPPAPTMEGTFAKIMNSFENKWEQFIDEKGFKGFMNEYHGR WLHSGQEVTLTTTEPPTPLRILSITPDHGLLRCIPIQKSTSTTSNGLTPLYNRTVDHS AEDRYSPASSPAGNGGGQTGFVDLQPDGNSFDLMSGLIKRKV I203_02986 MAATMYQRDPRAGLFLGGTRSSGAEVRDANVVTACQTVSNILKS SLGPVGLDKMLVDNVGDVTITNDGATILSLLEVAHPAARILVSLATQQDKEVGDGTTS VVLLASELLRRANELVRNKIHPTTVITGYRLACKEACRFMAEQLSTKVDKLGKDSLIN VSKTSMSSKILAADDDFFAPLAVDAMLAVKTINAKGEKKYPVKAVNVLKAHGKSARES FMVKGYALNCTVASHAMKLRVTNAKIACLDMNLAKQRMHLGVHITIDDPEQLEAIRAR ESEITLERVRKILAAGANVILTTKGIDDLCLKEFVEAGAMAVRRCRKEDLRRIAKATG ATLISSLANLEGEETFEATSLGFAEEVSQERISDDELILVKGTKIVNSSSIILRGAND YMLDEMERALHDALSIVKRTLESGSVVPGGGAVETALSIYLENFATTLGSREQLAIAE FASALLTIPKTLALNAAKDSTDLVAKLRAYHNAAQNAALNDPKRGLMFYGLDLLNGEV VDNRQNGVLEPTISKIKSLKSALEASTSLLRIDDSIQVAPERKEEVDPHGH I203_02987 MARPLVSMRIILNTLPQVTCRASPKPASRLSTRATLPFSPIPFI RTYATHKSASNPSPSSSADATADLLRNSGAARRAAEGPESVGPFPLGVGASGRRKTWR SWSELGIGGKLVRTTQQTGNLAVILIGGTLFVILTLSLTTELFATNSPSVLYSKAVDM IRDSDALNAHLLPPLKFTHSPSSSAPVRGSSPIPHTFIKHPTSGRDHMLLTFWVHGRG KDEPEQLGWLKGFYKKIESYGRLGLTYVGLIEEGSQHNTISGTSPEIQRNGNGNEVEI VQQSEQGLLGRWLGSFTSSLRNTSISGGSKNQSSSGRGSPPPGTYTIGECRAEYVKNA SGQYTLLSLFVDIPSSKVSYPSRAVIYHSPEAATEGLLGTRIR I203_02988 MTEPNNNNTYIGEWAIHIANTNPYSDVLGVDIDWRLMNRDVKSK YGNIDFAAVDVEEPLPWPRGSFDVIHVKGLLLEITNYVRLIEKLAMVLRPGGLLIITE VETSYGSSTGQELPRNLKQWDACVEAAFGSRGIEVDFPSRINHSIANSGVFAPNPYCQ QLAVPAASYMRGARRGQMHPQVLSSTLKKILSVLIEYGYNQNELENLIQSCLVELSNP QANYYQRLFSVYATKIY I203_02989 MSNRPSSSASTHRPRPSSSTARSPLEQLAYSLVPSLAPPRSHRA NVDARSGRSSVASSRPDSRAEVDDEVEKERKEKVRELMEWCEEIMESRLPSSAPLSAS TLPDTAKRILLQSIPRAASSQNTRGESSSSGSERAIEFGGIWNKLEKGRLLSSPLPHL QFLLSLSDFNPNNVPTKTPTSNFRISQASSSSSTRSMPPPPVPSTFSSSRSPLVDTGS NNIQSLDFDVKGKSKAEVLISWRTSKSQKPFPPHLLLRDTLYLLQGIDGRYVRFALRP PKEQNPYLTEKGRAGEGVGFPLGKDGSIPQGEDEGEEGEIDGYVSKPTQTILMQLSEM GMLYKQVTDLINTRQAGEGKGGMTEQSLCHFLHHELSEYHRLLAVLESQMNQTSLTDA DQPAEGAGLTLMRLGLWTEEMKLKLKQMNLVVAEAKKVHGGALVSKIHKHTNHGDPLI RRFTDQILEEVSKPFFATLQRWIFSGDLHDPFNEFFVQLNPETVSLRDGRISPAGDVG FEVGIDSAGRTDEAHRVWEKKYVFVKSMVPGFVSEDFGKKIFSTGRSLNFIRYSCGDS DWIETQAKLANAGRALKYSDLAGLERSIDDAYSIASQRLLEIFFDKFVLLDHLRALKL YLMLGAGDFTELLMEALAPRLSKPAISLYRHHLTSDLESAIRGSNAQFDDPDILRRLD ARILEYSHGETGWDCFALEYKIEAPLNAVLDGKAMLDYDRLFNHLWRLKRVESALTSG WMRVTSGSRTYEKIPGLSNDWHHCRIVQAEMVHFLRNLQAFYQLEVIECSWQAFIDFT EKREGDLDALIAAHREYLGRVVRKVLLLSSRRDKEEILLDAVRDALDIILQFRDATDD LYAWSLAEATRLDRERDAERGLYTLPTTDSPTLSSNQLSSIRQRIKNCSNSFQDRLIS ICHLAGSHTDLDVRFLAIRIAWNGHYSLRKNRNPTTSSNAGDRDRGSTRPNRG I203_02990 MSTPAVVTYLRSLGAVRDRSNQVYELAQKGKLDHWQFDESKLEK AVDYCINIIQRDFGTDYAKIPPHCRRNHFITPSLDRISLLLSQPKFPSDPQSKAASLI DLYLVSVLLDAGAGPDWSYTEIDKDANVIWKGGRSEGLAVASYHMFVEGLFSSDGENK YQVDSKALKNLTPEILSRYLQVSASNPMAGLDGRCNLLIKLGDALEQRPDICKNGRPG DLLDYFSSRINNSTLPLSSFWSTLFELLLPIWPSRTTLPSYPDEPLGDVWPCASLSKS LNESGMKREEGDDYVVFHKLTQWLCYSLVEAIESQAGWKVDRGRGQTGLPEYRNGGLL VDLDVLSISSSSLGPDAYPNGQDKPPVLEPSHPAVIEWRAMTVICLDKIHQLICSKLN VSSEVLGLAQVLEAATWKGGREIAKEKRQGGGRELISTSTQSFRIES I203_02992 MVTRIEPLPNPISNSSHDDPSVSEGDMIHVATVNVRYDNGTKSF NLPGTDNQYREKPWSERKTRLIDCLLSTGQLDVIGFQEVLHSQLLDIQNLLGEVYGHV GVGRDDGVEAGEYSPIFYDSTKFEMVKWTTIWLSPTPDKPSKGWDAALPRIATLLTLR RREKNGGIVHAVNTHYDHLGIRARAESSLLIRSQIYNWVRRIEEDEKVKEEGPVILFG DFNSPSHEAGYRNITSSHALPSGQKSFYFLDSFTNLSSTLQKRPYGPVHTYTDFAPPG SKNATRIDFIMLGAIPITAKEETDDRERTEGDGSSRSRGGWQVVRYACLDNFVEGDVE GWTGRWSDHRAVRVTISRS I203_02993 MEVDSEINVDGNAHTNGHVATPSKTHADKKEEKNKDHDFYFNFY SSLQNQANMIGDISRTGTYRKAILGNGEVAFKNKIVLDVGAGSGILSYMSAQAGAKEV IALEASSMAEKIEINNAHLKDRIRIVRGMVEDAKVQEQVLQNGKVDTIISEPIGVMLL HERMVESFILARDLFLKPDGQLLPSAGQIFFCPFSDEGLYNETDQKAQFFNTTLFVQG TDFKELYPAAREEVFAQPVVGMFPPSSLVSTACTPKSFDFYTCTKEDLLEFTIPVDFV VHRTALIHGLASWFDLDFYPRQLPTSEDPNWNYPISSTGNWQWMSQESPLNPGPTPVP PKDGLAVKLSTGPSAARTHWQQARLLLPEPLAANKGERLKGSIHFKVNDARSYDLTLD LEIDRPGPEWSPNPLKRRAEYQLQQQCFNYSYNPDASLNALSMAAGI I203_02994 MDIIHKLNPFHRPSSSLRSSHTPTPTPAFSNARPSSSLESDHTY PSIDSTIPPRSYEEGRYLATPDPVPPLSPARKTGHHRTPSILRSLAHHPSLSALKNKS KKKRKARDEVLPPLPSPVLISGNGAEMGMVSERNKLRKNSLKVSRSVPRDLRLSDEYH GQLYIQSLSQWIAFTDSSGSIDGFLEDPIPPLPLLPSTLHNSQTRSASSATSRSRSNS ITPVLNKQMSIRRKPAPSPQLEDILYSNPKFHHSVGMGHDDFHTPESMRVRMQFEIDL STRSTSFDIDQSPSMSGKRDGLRLGVDSPRRRRYMSFDQRISPENGKIRNLSSPADTP SRPPLANRSYPAPIADRSHLYAQSLYADSTTFFSTDFGAPPSQALDWTEEPIQQDAFD MFVDNGTLPPGTPGGKKEDLQRGVLSDGAKHEKDAVRFFSRDTYPPVSPSNSVSPDTT PSKPPARISPARSIDHRSPAKHRRAESSPACSPIRRFPSKSKESEFSRAAKRSSSPFE VKLSANVTKRMSLDAFGATPITSCFGDDADGNLEEVLEREDEEEAHDHTDRSYRNNDR LQDEGLEGYEAHELSNITESPFSEDHSTTYQISIVDHTPINQHTTTDTSTKFFTPFSP SLSAQGLPLPTSPTSPLPLLRKKYLSAPPLSVTNSLLEAHADHTKALKDQLKAGEFMM DVLKSENEELKTVMKNIRQEKEQQIIDMKSRNAELEVWKENCETINQLRQAMKENEEF FERLQEAHDELADRCAALEEENLLMKVDHDATAKLIHNLKRENEVSQVESQKAKGLKN ENDELEMVIFELRREMKWAENQIEVKNKLLVEKDKVIEERGRMIGEKEKVEEELGRMR RELEEKKELLERSQLESQHSSATLTESLSVSSHQVVSMREQLVQKHNIIGHLEDDLKE SRNHLTDHQDIIQNQKSQIKEGEMSIHEQEFTISTLREQLDSAQQSVQSFNLFLQEKD EKISSLLAESCSLHDGVKSLKIELVEKEKIISALKEGVEIARFEKNERRFQSEIEIGQ LHEQLEELTRSSAEREWAGRQGTEMIARLMEEKRVWEEEKEELIEMINQNSHDEESAS NLRDQITNLENQLSSLKYHYESLQSELEETRSSVQHKNTLIHAQEAELETLRLTIQQN EQSLSHTTESFEKQLKDSIRVVERLRERIEELEIQLESRERALKELILKNENVKQTEE GINSSLKAFINEIDQLKLSETKLRNELHEVRKASSGDIFNMEELRKKVKGLEEDKEFL NIALQSKELELALSNRTAAHSKKTVPSTPSTSSTIRGIGAGSSSVRNSMSYSTSRIPN TPTPSTGMDNTPLPRRLATSTSATPSSAAALNRSRRETISHSSTTNTTNPTGSALNRS RRDTIASAGRVALGNSTKHNTPSPSEQANNTQSKPSSSIESGLSTTAGSTMKKVERRT SLPVLVRRPSSVMSSTSKRESLSRVDEV I203_02995 MLPIDPSSSSAPASSHFSKPSSSPDGISNGGEGSSSANQNQLLG HEGYTRSPHDVMQGMQALLNSFNNGSSPSSSSFPPVPRFHPNPFPSSAPQQNTKPSIP PSQPQPQPQQDVKPVFQIKQEPQPFQQNIPPPQQEIKPFHSIPPPAGPSRLPNPPSAE APLPTPASLQTSNQSSANGSHSHGGLRSDPPRRMYEVDGIPMITPSLDDLSNSNSSNQ LFLQGDPQFSDKSRVETAIRVIIDILRFCPIPTPMRPIHPSLHPLLPRDSNGNLLMAF ERIATFHGLRLQAGTTTKASSKKQLQAGPIPKEHLAYVETSVYMSGDNGRRVYVCKRC RNREARRRASKEVNRKRLPNSDSDTSSSQVKPRHSLVPSSQDYITGENPDQYDPHRNG QMVEEPSWDPEHRDWRHEIVLFNSPPEVKMEDGSCNWLPFRVVCYGKCHGEKVGFKIK FTLRTWDGRIIATSTTKPIRITDDHKTEPKTKSKIDGLTSNQTQPAVPRQRKGRQSTA SSRRQSPAPSESESVQSFSEAGAVLQKQTPLVRAGKPYERPPSQSPAMGTIPIDNFMS NGFQRHGSTTSLQSLHQQPDVMSQRPPQQQQQQQQSSADFNMQQVNNTVSPGILRGPQ FPLNNQMEINNGLQNNGQPPAATNLTSPRSHMMSLNGHNDMLFSQNIQSPNNFMSTLG LTGQTASAQPSMIFSNDTTDVEMSSAMTGGLDDIFAASSHTSISSMSDGGSVFSGFGE DRSSAMFSESGIPPASATDIDQFLDYTGGEHADVSNPFHQPMQHQPDLGMFLNNNVSP PAGVSLPPLPFDHLHLSPTANQMDLSHAAQAQQDQSISDMLAAMAQLPAQAQITHVIP GDGPMAGGTKIAIAGKFFSPGMVIVFGQRPAPTDFVSDSFVQCKLPPSSFPGEVEVTV QGAFKIPGQNSQMFRYNEMDKDLMRLALEVRNQYNGSSSDAAYRLAHHVATRSATNSE WSERSSSNSPMSGPSPGDQDDDIADQSDSTDGNGKQSIKTNTNANDTLQSTIINFLAS IDENAPGSLRASGAINHKNDAEQTLLHIATVMGYHRLVRRLIVVGAHLDVQDINGYTP LAFAALCGRQTCARVLVEAGASYDRPTAYGEMPLDLAKYSEHSQVEALLLSAVWSTST SAKAGVDPLPEVDVGSNSGYEGSNVSEIDDDNPSSGSEVEDELDHLEKIRISRKKSTS RRGRSGHSHSALQHSESTGTTATATATAPAPATDTELHQHDKDDHPPPPYAPRENSTT PANPSASAGWMSRTFSSTSQKIPNAVWDRLPIPHSMFNHPHNPDKHAAGDNEQQQQGW VAFPAPSWETLSKMATNPEEVKLFTQAMAAAAFNAVVQSGITTPVDSGPSSRHAKYRE REDDESRRLRRRRKSGGAHTNEAPRGMGREQRSSGSVSPSEKVVKHVKRDRMLYLFWL PILLFVGFWLLVSALPIATGFCLIYARQITKAIKQRM I203_02996 MSHDGLRLSALPPGFTQELPQHILSKGVPIDTLTGLPVVDLDNY CCGAHGLSILIKTTIGDQTHTVLFDSGPEPHSIERNIKSMKVDLTPLDGIVLSHWHRD HSGGITKVVEMREEQIIRQSAQRIENVTVDLHPSRPIRRGLSRPPEYKPICTLPPDPT FTEIQDRHGQVELNDQPHEMVDRQGNKMGIGISGEIERVTKFEVGLPGALTWMKDENG EEGWFNDHLIKDERYAVVDVKGHGLVVFSACSHAGICNVIHSLLPLNRPIHAIVGGLH LAPIQSQPAKQTVEFLSERIIPQPNYVLPLHCTGLEARAMLRDRLGECGVIGSYLAGR VSV I203_02997 MSTGYDKEHEGKDEKLQSHSYNGEVTLNDIDPEYQEYLQLDEEF SGDALKKLTTTAAKYKVGIALLKQLCLCSDTVIQRKIDWRVVPQLIFIYMLSYIDRGN VGNARLFGAQTDTKLSNTDWNIGLSLLFITFGFGGLPSNILVKKFGPKKVLPVLLTGI GACLVGAGSSHNRAQWFSLRILLGLFEAGMYPGCTYTLTTWYTPAQIHSRTTIYYLGG VLSGAISGLLAYGIGQMDGRSGQRGWRYIYWIEGAITFSIGMIAMFTLQETPQKTKKW LNDKEKRFLLLRSKYMYGGGRMGSKDEFSLKDVMKALKSVHVWILSFAFICNTIALYG FSLSLPTIVKNMGFTAANAQALSAPPYVFAAFCVVASGLFSDKYRLRAATVVFPSIVV SFFFFHHLSKHSLANRVIMYSDVGTCIAAGGLYCLTPALTVWTGLNTAGQTKRAAAIS ISFLFAAIGGIPGSYIYLAKEAPGYPTGFGVSLGLMGFGNIVVPSLYWLYCGRINKKR DQMSEEEVHQKYSHEELEEMGDLSPLYRYER I203_02998 MTVRVLALCGFTQNSYIYSKQLGAVRKTCKDVEFVFLEPPIVVE KADLPWADNNLDQFGSNATTDEAAQTPETTPRAWWTTVNERKTFKKFDETVAYLHDYI SKNEPFDGIMGFSQGAGMAALLSAMLEKPGLHPNFPSEPPLPKFKFAIFVGGFLPTAE SHDFTPYFPLPASLPTLHVCGRNDTLITIERSQTLVDKCENSRVELHEGGHYTPSKAS WRHFFNAYINSFGPDGSNGDVPPVNSFGPSGANTPVNSKGGEATPRPSTPAS I203_02999 MGDTKPSLSSVSSSDKMKYPYLASLNAAQLKAVTAPPEIPLQIL AGPGSGKTRVLTSRVAYLVQHHQYKPYEIVAVTFTNKASREMKKRLQVLLGDKQADNL VLGTFHATCAKYLRRYAQLIDLPNNFVVADAEDCKKIMSTLLKARKEQLDESRMALKE GAVLSEISKAKAKEETPDQMAIRAAQDPNSSTSTLSIIAELYGEYESNLRESNSLDFD DLLVFGLKLFRSAPRVLESCRHILVDEFQDTNITQYELMKCFAKAHGGVSVVGDPDQS IYGWRSAEIENLNKMTKDFPGVQAIYLEENYRSTGAILSAAHAVVSQDRARIQKNLIT SHPRSTPVTLKVFSTPVIEASFIAWEIKRLIAYSGGTLNYGDFAILLRYNALSRVIES ALQKDSIPNRIVGGHKFFERMEIKDLLAYLQLADNPDFTPAFVRVVNVPKRSIGDKSV SDLLNAAKVTKISPMELSERIIDGEPLPAGLKAGIKKNLGSFVGAVRKLRRAAEKGSS VGDLIRLVIEKTGYEEYLRASQQDFDSRWENVQELISYSVIVSEEQARLSSGDLPEEG FMPANSAAVEALVNVAQAEEKTKSEARLHPLFRRQSSASDGRRSRSRSASISVSKVNG KGKNKVVNGNDGVIEILSSDEEDIDLKPKKSQMNGIIKGEAEVTAEMVDDLTNASENM TPLAFFLQTSMLSTDTDGGEDDKDKPKVTITTVHAAKGLEWPVVFIPAVEQGTYPSYR CTEAHEIAEERRLLYVAMTRAQNFLTMSHCQFRMMGGEENDKEASEFVGMVNRHQPGL LSSTLPDVDLAVRRYISTMLSRPHPDEDEAREMIMKHVRAAPPLSTWDAPEPRDKHSN RFARREVTKATRAAEYWASDMDEYALPSDFNNSKTNPYASTVQSGFTSARMGLSSASS SMKSRQPGPGSGSKREPKIEKEIVKIKSANKNIPELLPFTFGQPDPIKSDTDINSLQK GGMDSLSFMANLGLPPDTGLTIPPKSNGTGGGRSSPFLGSAGGSSPKLGSSPALGDGT KLPAVPGSSLINGLNRGSGVGGGINRGTKRLGMGRPAPWGASKKSREG I203_03000 MSPTALNPIQGHHDSFSLPTTTNGSTKDESQVHATARRSPEGGL IKVESDSTKYEEEGIRAKFTDRGADVVKDADGKFSVKKTEKTYEFYTKSKVGKVGLML VGLGGNNGTTVLATHLANKHQISWRTRDGVQQPNYIGSVVRASTVRLGIDPETGKDVF VPLSDMLPMVHPNDFVIGGWDISAVPMDQAMLRAKVLEWDLQRQLIPHMEGVKPLPSI YYPDFIAANQADRADNLIEGDDKQAHLDHIRNDIRKFKSDNELDSVVVLWTANTERYA EIIPGVNDTSDNLLKSIKDSHEEVSPSTIFAVASILEGVPFINGSPQNTFVPGCIELA EKNQTFIGGDDFKSGQTKVKSVLAEFLVNAGIKPLSISSYNHLGNNDGKNLSSQRQFR SKEISKSSVVDDMVAANHLLYKTAAEVTAETGEVTKKGEHPDHIVVIKHVPAVGDSKR AIDEYYSELLMGGRNVMNIFNECEDSLLATPLIFDLAILVELLTRVTYKPEGEAEYKP LYSVLSLLSYMLKAPLVKPGTDVVNSLNRQRNALEGFLKACLGLENNGDLLLNTRIW I203_03001 MAALNRLSQRIIENFQETTRDLSLLTGSNPSSTPYDLSDDRLKE ISKLLESRIERERLEGMKRIIAGISKGRDMESFFAQVVKNVVSQSIEIRKLVYIYLLR FASTNSDLLLLSINTFQKDLSDPSPLIRSMSLRVLTSIRVPVIQGIVMLGLKKLVTDR NPWVRKTVAGGLAKVYEMDPSSSPQLVSLLQTLLSSPSPLTLGVTLTAFIEICPERLD LLHPYYRHIIKLLVDADEWGQSVALGVLTRYARTMLEQPPNSGSTDPSTIQTQPPTAN GDGNSKEAEESEDEFTGIDEDLAMLLHFIKPLFQSRNPAVVLATANAYYHLAPSSHKI IAQGLLVKPILRLAGISNDERLGREQISALTWDVIASMVEERPWLFAKYHQSFYLYSS DSTSIQKSKLRALVALVNKDNAQVSMREFKHFVRLPEAGTAEEAVRAIGYAVRTQPEV AASGLKSLMKLLKSQRDILVAQAVIVLKSVILSSTSSLSSASMPSPQKLVAKLAKQLD GITNHKARASIYWLVGQFAACDPSRESEKKGLGWEGVELWVPDILRKGIKGFTNESAQ SKLQIITLAMKLLVLSPSCNQLNLMSGYLFSLARYDEDYDVRDRARFLHALLKGVRDE KPNNTSTSTDQEDGQGEDEKEEDRGGVVLRREQVKVVILGKRAIGDGTSQENYQGNEY QVGSMSRIIGKKLNGYEEIPEWTDDPTDSPLRDSEFENLQPPTPLPAPTPTYPIPPNS SQAVGVVRQGISPVGSSPAGSYPRTHTPSLGTNTAIAAPKGKFRDLDAFLNSESESGD ESEESDSDHSPPAPRAVTAPPRSAIVPEYDEDTSEEETDDDGSESESESQDEHDERAA LYR I203_03002 MSSSSATIASINEEILSLQNKIKELKVSKQDASAEVNSMKALKE QLKSLTKGQASAGTTSNFVLKTPKGTIDHKPEAALLRKKIFSTLEGIFLKHDASTIDT PVFELKEILAGKYGEDSKLIYDLSDQGGELCSLRYDLTVPFARYVAMNGITSMKRYHI GKVYRRDQPVMTKGRMREFYQCDIDIAGTCDPMVYDSEILKILCEALTALDIGQYTVK INHRKILDGIFQLAGVPADKTRSISSAVDKLDKLPWSDVKKEMTVEKGLDEKVADKIG QYVGLKGPGHDVLEKLKSDSDLMGIPLAKQGIEDMEILFRYLKVYKVLDKMSFDMSLA RGLDYYTGIIYEAIHESSAPPSKSTNPSVPPSSEISTKSGSSEPSKSSKSKSDANAVI NEDGIDESTIGVGSIAAGGRYDNLVGMFAESAGKKMNEQVPCVGVSVGVERVYSIMEM RRKQTQEKVRGKETEVFVLGLGGIELEKRMEIATLLWDNGIKTSFSPKVNPKPPVQWK QADDDSIPYVLILAPKEYAEGKVRIKAQLGKDQAGAEDNKGEEVELNDVVRYLKEKLG RA I203_03003 MGTSPTSSVTRLLRTGRIRIPVSSGSKYSTSQPDHVQTLLRNSE LTEEDAINELRWITQEVREKAIRQISRGRIPEVEDDSIAEKVERRGKGEPIQYILGTT DLGPLTIQCRRPVLIPRPETAHLTQQLSSLILSSIPPLSSRDRPSAPLNILDLCSGTG CIGLLLAKLNPLSSVTGIDNSPVAVQLGMINARDSHLDDRVKFKYGNLFSTDPTSLLS SNGKYGMIISNPPYVPYSQYQALPASVKEYESPNALLGDGLDDKEGKGLKYYERIAEI LPDLLFDQEELEMQGWKGVPRLALEIGRGQTGDAVDIVKSSKGGLIGKMEVWKDQFGV ERMVVGWGK I203_03004 MAESKSIVKIVFFALVLDLLAFTIPLPLFPRLTAWYLQLDSSPD SLLSKLLWMSRKWRATLLSFSSNTQLSDVGKKEWDVVLLGGAMGSLFSFCQCLISPWL GSLSDKYGRKKVLLATMMGNMLSALIWIQSTSFASFLLSRLVGGLSEGNVQLSTAIIS DVTTPSTRSKSLALVGIAFSICFTLGPSLGAYFASQPPPLTPSSASGSGLNIYALPAT ISLVLLLVETLYLAAKLPETKGWKKSQDKSEEDEKKETKDSQTELTAEERLRKLSLVG RLHGLFLLFFSGAEFTLTFLTYDLFQASNAQNGRLLSYIGILSALLQARHVRPSLSRL GELRVASYGILSCVFALSLLSILPLSSIVSSSRISTIVLYTSATCLSYTSATVVTGLT ASAASLVDEQQRGKALGGFRSKGQLGRAVGPLLASSIYWVKGPTVAYATLAGCLVVVY GLARTQVDSKKKGKIE I203_03005 MAYKAEPIYPCNPATARSESTKLGVDPKGEKLIYTNGRAVIIRD LNHTGLSHAYTQHTRSATVARFSPSGYYAASADVSGEVRIWDVTNPENNLKLAIRPLS GKINDLAWDGESKRIIVGGEGKDKFGAAFFMDSGSSCGEITGHSKPINALSIRHQRPF KAISGSDDNSIIFHSAVPFKYDKMINTHSRFVRDVAFSPNGDLFASVASDGKLFLYDG KTGETKGEAGREGGSSLMACSWNLDSQSIATAGADGIVSIWDASSLKSTQSYTVGSDV QSQQNGIVYAGPNSLVSISLSGVLNIFDTRESTSTKWRTLHGATKAITASTLSGDTEK EVTFYTGSFDGSIKRFDIGVSYGADEGRCDEVQGTGHTANVVAFSTDYKGKVYSAGWD DKVSSIDGQSFSSTSLPIKAQPSGVAATSNAIYVATSSGLEVHPAGSNSTFLLSKDAV TAVAAHGGPNSDSVAYGVGKKVILSSTSPEKELATFEDSKGDVLSLRFTKDGKYLAAG DSAGRIILVDVEEKEVTVSSRWTFHTGRIVDLAWSKSDKRLASVGLDENVYIWDRKKV AKNIAIKNAHPGGVTGVSWLGDEKLITAGSDGIVRTWIVPA I203_03006 MVEVSPRNIIAGLSGYLIPPLLPIPILRFVPIISSTVSLQWAVD EYQFLSSWQSHSYREQANDLLPKWFRTWGPKGTIVLFSSFPWSAGAGLTNLFTLRNTP WVAGGAKMYYALGVGLAFGHMVFGPKALTFVTDLPAVMCFLLAGMKASQGEY I203_03007 MDSIIETQRSAHEEIERYEQALSEVLLQNPTAQKNITRRDRKAA EVLDRIGGLRKELVDFYEDLPGLRPKELELLSAPAPGEDDLAEFYVRYNKIKDFHQRN PGMSSRQFINQVDDLVKGDGIQSFTVEGDEEPTIIDPLDSVFSGEESHGKYLDLYLNH SQYMNLRGATRLSYLAYLEMLKSGKVERTLDLKEKSTQGYLEYVQTLYNYLLSFFERA LPLVNVQAKLKEEEEKFKNDWAEGKVPGWEAESSTSKKQGNVNGNGEGLWCQYCQKSY SKQTVYDAHLKSDKHKKKEQAAKSSSNHTTNGSPAPNPAASTSTYRTKLEQPARLAYL VQVLLTFPPIPGKLADTRTETERKMALTARERETELEEQEEAPPVPVDLGNDEDDDED DEKIYNPLKLPLGFDGKPIPYWLFKLHGLGVTFECEICQQSMQGRRAFDKHFTEGKHL LALRALGIPSSAQRHFLNITTISDAMALADKLKREGRKSITENERAEEFEDEEGNVYD RKTYFDLKKQGLL I203_03008 MFTSLVEALNLKEDLLRGIYAYNFEKPSAIQQRAIIPIMRGRDV IAQAQSGTGKTATFSISALQSIDTKIRETQVLVLSPTRELAIQIQTVVLALGDYMNVS CHACIGGTSVGEDIRKLEAGQQVVSGTPGRVFDMIRRRNLRTKDIKMLILDESDELLN KGFKDQIYDIYRYLPPATQVVVVSATLPHDVLEMTTKFMTDPIRILVKRDELTLEGIK QFFVAVEKEDWKFDTLCDLYDTLTITQAVIFCNTRRKVDWLTEKMREANFTVSSMHGE MVQKERDAIMAEFRGGQSRVLITTDVWARGIDVQQVSLVINYDLPTSRENYLHRIGRS GRFGRKGVAINFVTVEDVRILRDIEQYYSTQIDEMPMNVTELT I203_03009 MSSSQSSSDDKTILVPIDCRFDDTTEFKTCNCVITRDNAQDSTD QNSFPCLLSKVAGQSGNLQHVFYPEGLTKITADHSCFSGSVLDYIKQGREDRPNSAFE LVLISTNNNNRFATSSVDRSNFWFRVE I203_03010 MGAALTTPVKPSYQSNANITFRSQGSTNFVGGIPGYTVLDNVWY HDRTLYMIDDALQEFPSREILFTAAPEKDGDTPLHDGISRLETIPTGSEGPSPQEGDA SSGIIEMSGTTFLLNDGWPGSWSGYFKYYHFASEVILGSISALSSISSPLPSEYTKPW NVYKGLGRRLSLQSPISDSRGEDQVIWESGGLARAGIIPSRVAVAWEAVAEGLVGKEG IIDPQGWKDLTSEKQWIYFERILIADRATSHRNNPLGEKWYKMALDAYKLVPSPKSLS PMRERFLSYYNIPIKHRSGPGRSVNKKKVKAIYVNRQGSDRKFADEVHSDLLKALKQI ENKGNAVIIDARLETLTLQKQFELSADADIIFGVHGNGLTHEMWMSTGGVVIECFPPQ TFAYDYAPISLVLGHEHIIWRENQTMPSDQWEPENGGEGFRLHDGSIFPLDVDIFSRW LQRKIDSMLEA I203_03011 MQLGVLQASIENTDTGLPFREHGYHHVSKEDGMKSSFCYLEVQK GAPFAIAIEMSPTFTRSRSDDWRAACFIDGHRLSGSYWNPDAKEHRIDSMLMKKGTEF LKCKMRFGSIEQIAERRSIPVGEEEGDNHLGRVDIVLSRGMWIPLGDATADRAEQVET VAKLVEGGNEDPDASDPITSQRKITRPMMFAEKADEPCFYSFHFILQTRETLVDYGLI RKLLFISISERSLMFQLPYFVASRSYPSTTSQSSLSAP I203_03012 MFSSNGSLESWIEGKSTQKRFSQSDRFVSPSTLARNIKCLMVDL LNLDEHCIEYKPSSDDTSAITSCFLETSSEPFVIRLKRSETLFPGDDFRAICEVDGKD IGFSIWRADRLEYDWKAVWERRDGQLYESSLTFDTLATTDDPSEVNISVRDLENIGTI VITLTRGTTKISRKGKGIELFNKVRGKAMENRGFLTSVTTIESEAEEEWQPVFYDFTP SGSGIPYHRFVFKYRPAGVLKYLGKIESDKGDNLKSGSRFYPYQMAKTPLPLPFSSDG ETLSEGDRSGSESTRATNSVGPDSTSDKSKQETSGALVRLSSTYPSTGPKDAQSKACR YSFRPGRKRTFINEEEI I203_03013 MLSHGAREGFEVFIESQEGRNPLVEYQVRNIKGSFPASECYIEV IPGPFAIVTEKLDSLPYPDNDWKCRYFVDDQLMAEYALMRNVGSNVVDEIYEEEDDQL YSRKLKFLPAKTTDKPEEIKPGSKVFSRVGTIEVMIHRGAYREISTDLYQSPEIKDCT MDEKEKKMAFTIGTSERVPVEDIEVPSYDFVPRKKGDKFYKFIFKDSLAKSNATNKRL RAGRPIEEDVINLTEMDDDEDQD I203_03014 MFSKGSEEGFEAWVESKDKRERLIEHQVCYHLSSADQSPRTECF LETIDQPYIICIKKLPNLAYKGDWKCDIWVDGSSLKFSPLLTTSDQEDVTLTQSDIKR LGTIIIQLTPGTWKLVGAGPPQDIKINPKVGDEKAKKFAHTTSLTDSRPVHYTTSNLY RFLPTQPEKISYQFTFKYRSRVKLKLMRVIEEAEDEDQEDQQDEDIEKQKERTSTPIE SSISATKKMIPESRFANAIDLSNSPPRKIKKRKMKEILLDEAMKLGGENDEKRMTSET EVKPESGNTSKSMKLLGEENQALRQVITQLKMGQKIEEDAVDLTLDQYDSD I203_03015 MLAQGPAVGVEAWVEGKDDKKRLHEHQVQHHPAKNGESSYTECF LETIDEAFVITLSKSDHRFDRHAFRSSLFIDGSVVKNTAWLKDSPSMEWDEVWEKEGN QMKKSYLKFAPLPTTDDQTKVTIDPLTMKSLGTIEITLERGKFRESGVQQVHTHQLKN GTIHEKGKKFAYSVTAADSTVCERPSMMHYTFTPDQNRKFTHRFIFRYRPRPVLVQMG IIDEPEPSPPPTPPRAARKRNADAIDIEAISEGEGEEGDVKPDLNAKRVKYLEEQVRL LADQLKRTRNGSKDKDDVVDLTLDDD I203_03016 MFWKEPIPLEAWVESNVGGKRLNEYQVLHHEKDQKGYPYTECFL ETIDETFSIKIKMNDVDIFERHGWYSRCRIDGLSSPGSRWKYRAINTFDTLKERKDGK VYESKLKFASLATIDEEEQVTIGGDILSKLGTIRIDIQFGEWIADSSTHKGYLTIPIS GVVHEKVKKIPFVIQGSDPVITPDQEYIKYDFHPSKEGMKYYRFIFNYRPRPVLELMG VIENVSAAPSTDIGKRRDHEADPGSNETSRKDKKIKVKSKKKGRKSKGL I203_03017 MPSFRLHPHPSTSSTSDQHSHHHRQHTSTDADIAVGAGTSTLEI AEEELDHDQGSESVSVRTRWKDIMSDNSAVINTFIAGGLAGAASRTVVSPLERLKIIL QVQASSASHGSSGQAYSGVWESLTRMWKNEGWRGFMKGNGINVVRILPYSALQFTSYG AFKSVLATWSGEEVLSTPLRLTAGAGAGIVAVAATYPLDLVRARLSVATSGMAIKSTS GGFTAEDAKLGMVGMTKKVYRTEGGIRGLYRGCWATAVGVAPYVSLNFYIYESLKSMI LPSSLSPTTSEPELILRKLSCGALAGATSLIFTHPFDVLRRKLQVAGLASMSPQHNGA VDVIKWIVRTEGFWKGMYRGLVPNLIKVTPSIAVSFYTFETVRDLLAALEEE I203_03018 MPATLYLRPSPRAFFLLTETHALVFRQPDASETKASKSVVVAEF LPIEEVDTRGLVKACRGRNVEGVLGVTSVPSDRSPIPEIFLLLVSHSTSLPSLLPSAS SSIRPQKVIGVEFHSLTSSFWDSPELLSASASAQQQALGYDEFDYDDPNPSGPSYTSG GSTMSQAQQMGLENPCNGMRKYLESGSFFYADGESWDISKRLDESNWILSSIGKSQDH PLENFDERFIWNSSLLQPFLNFRLNLPHDQRRELDDQALLIPIIQGFINSLPISTGYS SSTGKHEVASLGLISRLSWKRAGARFRTRGIDDNGQVANFVETELVLATESTLMSYSQ VRGSVPLFWEQPSQGLGTLQQKVELTRPPQATQPAFDKHFLELMSHYHSIHAINLLGQ KDAESMLSSAYSHHLESLKHTLAANPEKDDTLDGQTRGTIDLTPYDFHYAVKMGGHEM VKYDFSMRLDEVVDSMERFGWTAIDTTDGQVIEKQDGVFRVNCLDCLDRTNYVQDVIS SLTLSRYLNSIGSPLQSSQTLWSAHRELWADNGDRLSKIYAGTGALNTSATRSGKKTF AGLLSDATKSVGRAYINNFQDKGKQNAIDMLLGMMSGQRPVILFDPISDSVQAALTAR LNEYSHTKVLSIFSGTWNLNGKAPDEALDSWLFPRNTPEPDIYMIAFQEIVELTAGQI LQTDPAKKRVWEKFIMDTFTMRKGEKSDYLLYRSEQLVGSALIIIVKSSLAPHMRNVE STTKKAAYKTFQQTGLSGLSGNKGGVAIRFQLYDSDICFVTSHLTAGQSNVTERNSDW KTLTAGMKFSRGRMVEDHEIIIWSADLNYRIALPNADVRQAIEDGSLDALLDSDQLLN AMDAGETFRGYEEGPISFLSVVRYFLDNGTEDYDTSEKQRVPAWTDRVLFKGSALRLK DYNRAELMTSDHRPVYAVFDATIREVDHARKDAISKEIVHSILTSGGEKKMDEKVEDV VRGHGGPRDLVRDLARVSVTPSLRTPSPRQPPRPTSAASMKETHSPVTATARLHSQSL STPQTASSSLRALSSSTSSSSLKQNARRPPPAIPTRSAISSDIQANSFVPQNSDRRSP IPRSSSRGMLANGTGSTHLSNSTPTPSITPSTTGDFVIVPNTNTNSKSYPPPLPPRAI PTPKSSLDVKPSEQGKIPVIPRSSSGSVVSKINGEEKEVQEGLSLNQLRAKFENPNKD ASVTNPVKPKPIAVVAKLPRKSMDINGSPQEGLMSPVKPDKPHGPTPAIPTKPRRLSS GIAKSPEKYNGAGAGDGDGDGSPEKEKKKPEVPKKPIGLKERWDGT I203_03019 MSFTIEIAAGVKPNDRSELSSGLHFSRHPKSVYRPTDVVSGQLP SLSQLPDVPALSTEDVDVGDTTRSEEVEKGERDKPYAEQTLERTARASTLTPLLGKSG EAYGDIAPKKNIITITGYLHDGHLWDFWSAEHPQLGSIVLKVIFTPCHPCRDPDLDDY VPYEEILQEALKEERCYTDPLKQLQGTVVPKYYGLYTSGKKDRYLAIILEHAGQSLGS RYLIIPEEWQLKIYEAYKRIHLQGVLHHNLDGRHILNQDERVRLVSFSRSHIQDVKDP AHVKRLLKEASNVRGGFGFRSGEELNLNKASPDYWAALPDPAAFKNTLDRAAQR I203_03020 MASISQAPSSSTEPALRDPRSNAGSRPLSEITENDQHRRGMVSS SNRSSLHKDKDKSRPVSTISVSEESPKKTSAGMGMGVQTITLNLVEYAKSQSQSQSTP TPMIQMPPQTEQQNHNDSDKIPPPPSPTTKPQPPELPKRHESVSLTKGKEKEKKGSPK LLDKDLPETGESSRSGVSSFLLAKRASLRPSSLTISYAEVAKPVPSPSANSATITAPT ASASAFDSVDSLRTSSSGADITSKPVTKSKPSWLRRASGTAALRSKSRTPPPKDDSSL PTSTSLPPALPARKGLEPHAEVPTSQSLPEEGLGMAPPPFPPRKSSYANVTAAGPSRS RLGEGQGRPAFSPSSSGGPPPLPSRDNIGNIRGKIAAWTAAAAQSSSGFSRSESSASI HTQSQSGSGGSGFGPQRLPASAQRVLGHAGSAVQKGWAGLRSRGVGGSISSMSSLGQS SRRNGNELSFEPSSSWGSGLNRGSRDRSRSDNYEFGHGHEAPTPDGPTFESDTIKRSG DGTVGKVFGRDVVDSGKEWGIFDDTIDQSDLDDYEKRRRKCLPAVVIRSVEYLQIWGP KEEGIFRISGRSSHIAKLRKEFDSGADIDLTKCHPGDLDPHAVAGLFKSYLRELPSPL LTHDLAPRFDSYIKGKGKATNRATFVSMAAEDGHSEQPEDLKSLLQQLPQAHWFLLAD TVKLLDLIPRHSSTNRMTQNALMLSLGPSLNIPGAILSELIERREDLFANPPSPSALE TAEALIDFGDVSIPPVTPLTERSSTPVSSNTDDHPGPPSYMGSTKSKKGPRIPAKPSL TRLFTSSSHTSLPRQKSIDTLNSILSPEPPRVEVPISPASPLPSFEASRSEDTTPKAL PAPLILSTATAENVPIPETPIPNSDISSSDKIEEIHYSSGTVDERSKLFSTPIADRFQ NTSSPFPSLRHASEGNLSKVALVEHSPNPVNVIRRGAPVFFSSAAVLDRHSSTSGGGH KRSASASATATLSNANTSGLKRKDSEEDGSTDGNGKKNEDEDGREQKRLSAGPDSLNL ENILYRA I203_03021 MSKNPTTLEAAALTNFTPAGQAALYHIHLAQQAEKDELAALEEA KKKEKKFREEIRPEEVKAGEVVDRWIEDWCPPLYQFLDIFASPHLTIGIFIFLHFAYW YTIPWYFHFLLAWPIVYFIPLYCTGKSTFKPKDRVLWLSYWIILSVLEYFEILLFRDQ ARSLVWWPKLKAIFCLVMYSIIDTEVILDSRGKPKDKKPIYGAIKLIEKFLPKEKDES KETKDRDKDKEREREKRSGSSKEKDRKEKEKDKKSKEEDKKKKSR I203_03022 MLSEGKAVGFEAWVESKDDKIRLNEHQVTYHPPEGEGLAYSQCF LETIDQPFRIKLCRLPTLKDRRDIQLKLYIDGNLLKTQAWRDHRPTCEWDRIIFQQEQ GTAPTIKSLLRFTPHPSTHDPDEVTITSDDMQTLGTIEIIMKAGSYSPACYRSATPST MKKIGVVALFYIYRPRPALILLGLIHEQAKSPSPPRASLKRKVSPIKDAVYLEDHQES PPSSQQAKRIRYLEDQLQQLSSQRSQSLETASRNNEIIDLTSVDDA I203_03023 MDRLVSFTRRTTPRPPIYPYDAPPSSSHRRMWLPDPIEGFLPCW IRSQSGDDSSSDATAEVQISTTNELRTVPLYQLSPMNPPQFDGVEDIADLTHLNEASV INNLRTRYQSNNIYTYSGLFLISLNPYQPLPIYTSKHIAQYRTRRREENAPHIFAVAE RAWQQIGEERESQSILITGESGAGKTENTKKVIQYLAAIAASQIPSDPSSSSSSSLTR SKSVSKAPSTGLPRSSSFKGKDASEIDLSSLAITEPSLGLLEQQILQANPILEAFGNA QTMRNNNSSRFGKFIRIFFSPSGAIAGANIDWYLLEKSRVTARAEGERNFHVFYQLLK GAKEAKLADRLLLDGGPEKFEFLKKTRFQIDGVNDHTEWRLLKEALAVVGFTETEQFE LFRIPAVILHIGNLLLTGSATDQAFLPPAMQPVADRICHLLGISVKEFTKSVLQPKVR AGREWVTNARTKKQAEDELAALCKFMYEKTFGWMVERINTALDRPSAKSLSIGVLDIA GFEIFVENSYEQLLINFTNEKLQQFFNFHMFTLEQEEYAREGIEWDYVNFGLDLQPTI ELIESTQPIGMLSLLDEECIMPRATDLTFTEKVQQLWETPKGASPKHPGSSKFKATRF GAGFVIKHYAGDVEYRTQGWLEKNKDPINEAVARLLATSEIPSIAALFSEYAEDAATV GVVKKVKRGAFRTVGQRHKEQLGQLMQQLSSTQPHFVRCIVPNSDKQPGKVNVNLVLD QLRCNGVLEGIRIARLGYPNRHSFAEFRQRYEVLTPGVIPKGYMDGRKAAERIAEALE LDKQFYKIGATKIFFKAGVLAELEERRDNLLTDLFRRFQSAARMHVARRRILKLINRA QAVRTIQRNARVYLQLKDWPWWGLYVKVRPLLAATRTDDELVRKQAELAMAKERAERD EMEKKKLEELKASLVAEKTKVEGDLSSERELGREKDRMLERSKAREAELEEKIKELEG DIDLLDSEREKASTQADLAKEKLSKVQADFEALVEQAAMLEKQGSIWQKREAELLKDS KERSNVYSRLEKEKVELQNKVEDLKREVTQKEEALKRAKDRAEANSMEMEKRLQLEKS KAESGNSQATALNEDLRRAKSQMDELQSTIQRHTTSIEAKQRELSELKTKQRESDKAR ETSEQALAALNVKVESMKSQIAAKGKEKQAEVSARQKLEKELDDLRKVMAAKSSEDSK RQEADKSREQEMSRLRDQVSQLQKSLDDQREAAQQLANKLRVDVEGLNNSHTNAQREL KLAQNTLKEKEEKLAAMQVKINQVEEQRRKDEKELEMVREQLSGTESRLHSTAQARDD IEKHLHALQDEYNGLEDAVLEIEGEKANWAKSLDNVTRQLHEESSKRHHFEQEVHNNQ VELAEHRNTALQAERALAKAAADIKARDKEIDFLRGRENKTVVEHYHVLEKAKKFTDQ QLAEQIKENDRLNTLLKSLETHRNRLNADLEDLARQYDKLKASKSKEARSARASLSPE DKDLTQLLEEEKKARRVLESRINSLEKDLQDQRKQASTASISASSSRGLEVKYRQSQD NLSRLEIEHQNTLEQNQRLQNQINELQKALSTASSRPLPTPAPSTPSNSNLRREDLLR GLQQSHDALRGDMSDQLRRLNEASATPLTPSKRQSVINSNGTPTHSQSLAGQDLISGK KIKALEMEVDALKRQLEDEQDEKDFLIEQMDKLQSESGITPGKDGKLFPYEQAVYSHF RLKAKSLRSQLDHWLAMEDLNNSTPGSNLTPSVSASAPRTEDVVQLKHLLQQFDPETS PLRHS I203_03024 MPYRTNNDWKQGLVAPPKDLRPQTEDVTATQGSSFDDLDLRREL LMGIYTAGFERPSPIQEQAIPMALTGRDVLARAKNGTGKTASFIIPTLNRINTSFSHI QAVLLVPTRELALQTSQVCKTLGAHIPNLQVMVTTGGTTLRDDILRLQEPVHILVGTP GRILDLGGKGIADLRKCGIFVMDEADKLLSEEFTPVIEQLLNLCPQERQVMLFSATFP WNVKEFSDRHMVQPFEVNLMDELTLKGVTQYYAYVEERQKVHCLNTLFSKLQINQSII FCNSTNRVELLAKKITELGYSCFYSHAKMLQAHRNRVFHDFRNGMTRNLVCSDLLTRG IDIQAVNVVINFDFPRTAESYLHRIGRSGRFGHLGLAISLLTLEDRHNLYRIESELGT EIAPIPAVIDPVLYVAPSAPEEPSPPPRAAAPKALPPSKPAQQQTYAQPPQQPQQQQP PAQARAPSPVQQDGVTPPNAQNGRGGRPNNAPRAPNPNGRGGPPGQSRGGQPGGGRGR GGGGGGRGGRGGGPGQPGQSNGQQGASAAARA I203_03025 MSVPLPSPSPLTPPIIASPSRGEGGASAKAIPRSRPTPISTGQA HSPSSPSFRSRPQPPSSISRSLLNDDNWRDRSPVPTTATAATPSTATTANATSGKAKV VGGFEKREIKSAGLNAVSGLSANKDKKDGKRDSKDKDEAEGDKALSHVPCRFFKAGAC TAGDSCPFSHAAPDAAKREICQWFLKGNCKFGHKCALAHVRPGEPMSMDRKNKKAAQL EARERGDSLGASSAPPPAPSSGTGTGNTNGLGESPRPLGIMRGRKNSTSPGQEQVASP VPIKSALSTSMQSPQTGRLPSSPLREPFGPPSGALPNSPNSAGFAHPRGIQGFASSPS RPSPLSASFGASGSVPGPLSLKASSSNPLGSPLRPPVTTAPGFSSSFSHPSLLNQAHA SSPTVPLSASFAGDNNSNLHKSIWTRSDTPDEPLSPQRRRPIPKPTKSNQDAVFIDDE DHGEDFLPSSLSELLTPRERARRMSRRDSQGQEDFASASPSASASAGRYRNALWGNGD GNDHGRLAQSAGPNLGPNAGGFLQSLWSAEGEDARKHQAPNGEGNDNGEDGNEGFAFG PSTAQQPKRQTSLLTQQRSPTSPTSPNKQIPSPSAIRSQIGDTYLLRNQSSSPSATRV LQEHLPGQSLPGGLASALSRLHMQNAVSNTSGRVEEDDGLGLEGTVPLPGKKVGNGQN AHQGHEHDEEEGLFDMDG I203_03026 RLVAYIAGGNSESSEFPIVPFCGSVVTTGYVYHCDRMLRVLAAQ AKRKVVLLPEGREDERAPFCPLVLSTGGYQDEETRDKLREWKKWKMPGISYIWMLTSV SVALAKARGRSFLGAIDRYTKVGDHLGIPGAVVFVRSEFLFAQQAHRHRSDRARQVSR RLKDVVDPAWLKEGAQQRPKVRQSMPSSSTLAAQAAYHEVHSWIYGTAGHITCDSPSE TPHRAELPFGRLERVSYFVLKRRQIDFHCQVISQYGCIALAIRVYAGLLNRTSTCIWV KGTFLESLAHLLTIPPNLVLIWAEIALKVGKKFVSGADC I203_03027 MSPFSAWTPGPGPLYTLITSAWETLGVPKVPIQYKTWVPGSSPL STQKEVVAAIGTYLLVIFGGRELMKKRQPFKLTGPFRFHNVYLTLGSGLLLALMLEEM QVTFISHPVPMFLKHGFFWSICNPTAFTPRLVTYYMINYYIKYVELIDTVFLVLKKKP LAFLHVFHHAATAILCFTQLEGETSVQWVVITLNLLVHVIMYYYYYATAGGAKIWWKK YLTSMQITQFIIDLFIVYFASTFLFSYRFGHNQYPNLPFPVSPSTYNHFATTYTPFPT VGDCAGSEGAALFGCGLLTSYLFLFIAFYRATYKKGAAAKKAAANGKADVRGSFKSKV IVQNKRGTTVVTEVQ I203_03028 MSTRPNLVRVLLLTLFLIAIPIIASSGDRNPTFQHCLKGCQLTY CEPHQPPLPSYLRAFGWTCKENCAYECGHTFTDNIRPGSKSHQFYGKWAFYRLGPFQE PFSILMSLGNLCVNLKGLEQLRRRVRKENGMRNWLSALGWIQVNTWIWSTVFHARDTP TTERLDYFSATLTISFTLLYSIVRVFHLRTPLSTSRYLFPISAIIGFIVLGHFTYLLS FPFGSFPYGYHTKFNLVLATIHNVLWISWSLSFKYPYPKMTFLGTTYTFPKPYPPHDP LTQNPKPKEAQTPFILVILTTLAMTFELLDFSPVFRIIDAHSLWHASTIPLTIAWWHF MVEDTIELEGTLLSQRPQQIGNNEKGEQGGDELEVPRTPNFIQIASTPKFQRIPSPGK SPKVDKPE I203_03029 MPSSLSPNIKNKGKQKTPLPLVEEGGGSPTLSISSSEDDLVVQQ QDRFETQRDEDVSDIDDLADEQVGLLGERSLRSNIDTLVVDDGKRRKGRVKILGVELP KPLLYLLSVPLILLLFTLFSPSSSPYHSIPILSNGTHPYHPTVLLISLDGFRPSYLTS HVDLLPNLVGLAQRSDGIRAESMKPVFPTLTFPNHWSLMTGLHPESHGIVANKFWDPM FVGEAITSRADMGISDIELRHMSKDRDINDIDDIDMMDDRNSEKMTGGANVKKDESDV GSQFVYTEEDKSWNSNWWWGEPLWSAVERAKQKSAVIMWPGPPVTSKGISPSYFVPYR QLSPSNKVTQILSYLDLDIKERPQFIASYFPEIDQSGHRGGPNSKGVNHSLSLCDEMI GELLHGLEVRNLDDIVNVVIVSDHGMAETSNDRIIYLDDILGEQGVDVIEHKDGWPSV GLRFKEGVDTQYYLDILLEAAKSSNGTFAVYTHETMPERWHFNHGHRIAPIYVVPTIG WAITDHHEHEVLFQGDYQPKGNHGYDNLFPEMQAIFFAKGPFVKSIKKKASSEVYEST KPPILKSFPNLEIFSLVTRLIGLSPSFEPAHNGTIGFWDRYFGS I203_03030 MTKQDHQHRPLRSTSTSRHNHIKSSSSIAPSHSSIPFRGSFANL FSLLGLSSTLKRSEGDEDDEDEEDVTDGEVDEDGGEQSAGGGIDEESLMWDAQTALIS HHPELAIKIYTQAALPPFCSASACLALGNLLIRGSTLMEHEDHDLHNHSHGQVQHRNG RDLENEREKVQVLASHSTITTRIYSKFFGSSSSSFFSSQANITLRPTPPRRPTLDLVA SGWQIPKDGKRAVRDVRSMGIAGAWFVLGLSWLVQEESEREKKQVNKRQQQQIPQSVK IVSTFTSDGTFHNAEHARDNSIGEEEVLSFDLKGKLKHRNEDRLNRQTTSDTITKVDP RVENTLSDSVDTLCPPRLIPSSNSGRTSESTVIRTPGDGLVDDPFELENEDVDIESTA RRLEVLQTMYDLLQPLLKLYRHGHIQPQDPVFLPPISLQALPSILRPRNETEKGRNVW KLGHIVAFNIALLDMMKREEMESGAEMKETQRLRGSVDIILNYILGMTSHDLEAEIYF KNVVSHHPTGYEVADDLIRQAAKRLDIITSTPKDENIMMKGFPFPDTHLSPPNNSSSS SSRQKRSPRKPSLTTHGRKPSASSITSLSASRILSTPIKSSASVASLSSITDENYQPV TVRLSERDRDEDEDAMSTLRRVHAKSMADLTEAFAQQEEHEEESARYPSLDGWKLSPP IENDRYTSSLSHPNSSACGISQQSPRTIIPNQHYQGVSPANINTLRPVASSPQFGTLR ATTKGDSSSRRKLPFEPSSIPEHQINPIDPELAKAELSSALTKHVICGVCEMQGVNFP ECRRCGLTFCSRECRVGEDKAGNGKKHICGLWESRKLSSDPDGTNSLIGNSDTRQSKT LLPVRAARVH I203_03031 MSTITPVSPPVYDDDKILPVGGGTTTVDEDLGFVKDDVRVDVHT NLYDGVQRKMEQRHMQMIALAGTLGTGLFLGMVCVGEMAVYAPISGGYIHFIERWLNP SVGFAVGWQVCFQYCLFLSSEVIAASILISYWDQCEWCRTVDLIRVLADPGLEMNFFG VRWFGESEFFFAFIKIALVIGLIIAGIVVDLGGGPNHDRIGFRYWKDPGAFAEYHFAG DLGKFLGFFTNLLQAAGSFAGIESIAVAAAEVKNSRVALTKAIKRLFWRITIFYILLI FVVGLLVPYNDSSLLQSTGTAASSPFVIAFQRAGIKALPSIINAAVLTSAFSAGSSLM YSVSRMLYGLSLRGYAPSILAKTTKKGLPIVSLSFVTLFYGLSFMTLSKGASTLLNWL SNLNALLQPWPAYWEIFWSSIIIIFNGWEVFTKGYWNPSDFVIAYITIPVFSVLILGY WIVKRPRHLKIDELDMYSNIPIDEEVSYEEPPTKNWGVKVVNFLFT I203_03032 MSFTSSILITGGTSGLGYSTALSLSKSEPDTLIIIASRSSSDAE ERINSEVGNNNVIYLPLDSSTHEGVRIFVKAYEEKSFPPLRALVLNAAIQFVHKIHFT PDDLESMFAVNHVNHTLLFFLLSRYLTDDARIIVISSSGHDPSLKRVPPPTYVSAEKV AHPETGKKWNTQTEGFRRYGLSKLCNLLFAYALDRRVKEQGKEWIVITMEPGVMATNL FRWSSWFGWVIGLRITRWFIKDIFTTDYVANTLVKMIVGDEFGKKDKSGKYYTVIDAQ EIPSSKQSHEEKLQDDLWEWSVQEIAKNDNEAEEFRRL I203_03033 MNIASAINNEVIFTSNLPGPRPTAAQCIKLRHVPGEVPWTAYAI ALVELAERASYYGVGGLFPNFVQRPLPAGGPGTGAPPPNSQLTPGALGMGLQVSTALS VIFSLLAYTTPLLGGILADLRWGKFKTIAVGTGIAGVAHGLSVYAALPTLLQNGGVFV PFLLGLLLLGGSAGLIKANIAPIMAEQYNPSTDYVETLPNGEKVIIDREATIQKIMSA YYGSINIGAFIAISSTFAEKYVGFWLAFLIPCLIFLIMPFILYPIYPKLVPSPKPSSS TLIDTYHQARTYFSSSQHTQYKLEEDDSIEHGNHIDEHGFGKIIQACKFFSFFVVYNI ADGGLNALLTSLAGSMTTNGVPNDFLGHANPLIIVVSIPLLNRYVYPYFAARRIAFGP VKRVLVGFLLAAGGMAWAAIIQHVVYQTSPCGYQATTCEQGSGVSPLSAWLVLPSFIL SGLSESFAVVSAMEIGYMLSPPSLRSIINSLFLFTQALSAASILIFLPIMKDPYLVWP FVITTIITLTATWFVWRLFSHLDNR I203_03034 MEAASRGVSLNPVNKEWDQPTDNNLDRPTVNLEEDDDIEARSPI LNHRHHGNGNGHQSYTNPYDISYQSQNNMSIYQVDPSSYPNQSSQSLQGPKVEIEQDI GYAYRPPVESDSTDSLNRAQQQHQLHPTAQFPEAKSTGMGTAGGLKRNQTSASNYTVY NNESAEFPIPLPSSHAQHQHGVDHGRDHPHVQYGR I203_03035 MDQPHPSSGHPEISHPYPPSYRSPPRNTHQLEYQRRREDRQNNN HLSVSDNPYIPSASSSPDPSYVRPTTRLTRSASESSTHPALAPTGGAGKEYPEGWTKE DEDAEREFLKKGMFDWKKLTGWRFWIRKEWWYWYIILVIAAVLVILMSLYHDQIVDWM TPFANWIKDLPAGWTIPIAIFFVLSFPPLFGQEILAIIVGVVWGLWVGFGITAAGTLL GEIGNFYAFKYCLRSTAAKYEKNNFNYACMAHVVREGGFWMIFVIRFSAIPGLASIAA VFATCGVGFWIFTIATILTLPKQLIVVYLGVIFANDEDTSSKEKWISHGVLIFGIIVT VWAAWYIYKKMDQARIHVWRKRRQAHFFLVFIRFGSNM I203_03036 MTETELAISRSGCQGNEEGELVPIDDGSFEAERDQGVQLTIESD IFKSLPLIEYDEQVHHAKSPRTIQEVHNLISVRGVPGLVQVMGRTQDNQLVTKEFGKS LSDWITDRSIETSRQTKMNWAVDIARALCQLHQRDMLHKDLSANNMLVDGNHAVLCDL ESRWTTGCARSPENCQGAEYDKRADIYGFGTLLWSIENRNMPRPHASLQTTGVFEDIM RRCLDNDPAKRPTIVEVLAELERLKYDS I203_03037 MSTVTFSSISEPQPPKIHLTTDELQQHTQVKDQGHDTSMTENDN IEDPYIQHAPPDWPLCDSWITNTRGGIMIYNDTFYEVRRVPNTLSDQLMSEDDFGILP STTAPGLLIIGDKVEELTLVQVRAKKREVGKKGEVVENADGSLVHPQGLEDTRLAIET EEFKSLPIVKVDPSIHHVKLPRSLREVKNLITVRGVPHLIQLVGRAEEGRVVTLRYGQ DLTSWNMGPFVDGAKVQLPQEWKYQWVVDIVLPLQNLHKLGILHGDLTTNNVLYDHDH AILCDLESGPHTDLLIPPELAQGLIKDFNAKMDIYGLGTLLWSIENRNMPRPHRKLEC TGVFADLMSRCMADDPDDRPTLDQIIEELRRLPQTRSLFPNENSITNLTTCGTDTSTI DGTPSGPALIPDPSSWWYTHTGAVVNLKGTFYEIRRVPDTLSDRILNHGGPLPVSDSP GVTINGNSVEHLTSQEVHERRKTYNIDENCHPLPDEDGTFDPRKDVESSQLAIQSDIF KSLPIIEVDPNIHHVKKPRSINELKNLISIQGDSYVVQLLGRTTDGKIVTSKYGDQTL LDWINDIGKKVPQEWKYQWVVDIALGLSNLHQKNIVHNDLADQNILLKDDHAIICDLE SEPTTLDILPPEYAQDFCTIKEKSADIYALGVLLWLIENKNSARPHRTLECTGILKEI MSRCLAVNRKERPTIDQVVYELWRLPQTSSLFPEGYEPREAIPPKLNLADVDHGFKVK TDGGDEEKTVYQIDGPQRLPWRNWLYNDKGALISYNGIFYEIIRIPDTFSDRVINQRA DLPFVP I203_03038 MPSPPPPFHLLRSHHSPISSVHFNPSNTLLYSGDQDGYISILDL KVRRVVGYWKAHEGGILGLEEWQGGLISHGRDNIIHFHQTLKKPYISFGIGNTQKKGL EVVKSLPTNTSNFCRFSLLPLSPSTVDNHDEVNPKGKGKETEALMAVPSLLDSELVDI FHLPSMRRIHASVNYLPKVTPLSDDTVVPDQARSGLVMSIHLRLNPATSQVTLSIGYE DGRVELFISSTQSIDVVFDARMSQTPDDNPWKMVWRGKGHNEAVMGMDVDRFGRYGWT VSADHRLVRYDFGKVIQDKLDLDDQSIMKEYGMKSIGNSSVAVNASGKVVAVGGWDGK IRLFSAESFKPLGSLSSHRETVHTLSFANPVNHTQRVQDTEDQSTIDIEEDDDSDDED EEDTDTIPKERWLASGGKDTKVALWGLMDFEKGLKDD I203_03039 MLLNGEYVPQQPPQQHRSYSTFSDANGLSNGHANGSSESGYFDV SPGGSNSRQSISRPFPPRHGKGMTMEEVHAGGIISEEERSALEFEDIPTDEREIKRLP KKLRPYYEHLALLYTHYQEVDSLLSGSLPHDIAISFSPQRSYLQRIGDLEEEVANPPE QMGRRGSAWKIKRSRMIDQDHDQDEDESTGLLGLGGKGKGSSKEERRENLAKLALNVN TVVNILLVGAKAIAVLYSSSISLTASLVDSALDLLSTLIILGTSWAIGVKSDRHKYPA GKRRFEPLGVLIFSVAMIASFVQVFIESFQRAMKPSEEAPVDLSWLGIGVMLATIGIK FILWIWCSHIPSSGVQALAQDAENDVFFNMMSLAFPWIGTFFKWRLLDPIGGMVLSTY IIVEWIKTLLQNFANLSGKSASGDQITRVLYLVSRFNPVLEIADVECYHIGDDLIVEI DVILPRSSSLHFAHDVGETIQCVLESLDGVIRAYVHCDYSSQNPLQHTSRPAPLPASN LRPSSTTSSSSSGTPTPRPTNHMLSAIQEGAVRGGGANLLNRGGQAGIPVESPGEIEV I203_03040 MVNTTQPKLNKPKSKIRLRLGLGSPDHKAKQPQTQQHLSPNPSP NPLLASSTSTSNSGISRTDSRSAFTYDSSSTPSPPHKTEFDTISYNTISPESLIYQQP NGHANHIHGQINESSSTLITSSEGGVDGEYHVDYPSHHHQQQQQQQQVVRQEQSYYGE SGEEEDEKYDNGESTLHPAISSITSGTDDIFSITDQQLSDRFTFISEIGFGNWGSVWL CKPKHTRSSHLFDQHNENERAILKLGKKAAVSGGTGAGGKVAIKLVHRSRSATTAARV RALWGEMKIIRSLRYEPHPSIIQFEAFVITPTYAIVIMPHLAELIPVCLPPSRATPYL RQLASAVAYLHERGITHNDIKPANVLLSHNDIPVLVDFGFAQRWDVNARGSFLSSISW GTPEYLDPIRAMGMPHDERASDVWSLGITMFEILIGRTPFEENEEEQFATPEALKGKW VGKWSMPEDLENLLRHMIQPDPAYRMTAMQAYHHPALQPAPPSVIITPHFVRAAASFD GYDQEPIPVPSEYTEALAAAKTEKKKKRKTKKEGTTHHHHKDKDTTRHSHRATTPALG ESIKQHTSISKATTHNKGDRADDKENVNVDAERALNKLVIRKREEEIKDKEDDNDDPT PTKVLKPAQPLRIKELSLIADKKVVPRTSNSNLTNFSRPTSSTSQNTTQGVLSHAVST STIKDKRVSAISTTSPVPKSKEEAVLKTMKSLEGTRKLVHAHTHDKAAEAIAAVKRHA PEPPRPKSLDSAVELKKADSVSRKEDERRSLGVERAVLVDVREEEVDIKVKEGKRPVK PAEPMSPPREQRSSANRVPTPSPQKIRRAGRKPQAEMDVLRGKVFPASDDESPLSELR DKILVSDQEKLVRFRQISGRGDQMEVLAPSPVTTSKQPSSNIAGASTTSTQNEVRQSV DSYASTLASGLTRTRSVEALEMDGRLDKMSNWIKSVESIIEDARKAIAEGREPPLPLL TLSGSLTVSDEVQTAKKTFGLTPDKAIPSHLRTSSTQVEPSTPPKWANNGGPMDIKEA EEKVKMANKWLEEQGRKGKKDRPTVSHVLKLFGTEKGTEKERPVSGSRSNTPDIAQLV PLKPPATSTSSHALKGAPSTPALRSSTTRGLTKVPMRKSESNLRNFNTMPVIPSPSFV AGPEYDPLSMSDEDADHKSQSGLGSPRRVMFENLLSTEPGVIRQGEGWGSLSSGKGFM MAVKPSSSMASLRERARALLGDSNNHIHGKSELSRSHSNGGGKLEKRSSKLNLQSTSQ DREIPSTRPNTPGAQSVLTLRTVEGHKKGWLKSLKGAMGMGKKMDKVEEGL I203_03041 MSEPLPPSSSSSPSSKPSMTPSAFRIPLKRSPEKVYTGPTSEEL AAPRTRSQAEKYFARVANENVLPTRASKILGVGGWVLGGFACVYMALFVDFGEREHVF SPVRRQYASLKQSFFTLSPIEREMMGVEDRRQRQGQEETRPS I203_03042 MKRSIVFCVFAAFGGWLFGYDIGYISGCLIMPDFIHQIQGVDAT AITSQNQSIITSLLSAGTFFGAILQAFTSDRLGRKGSILFWSAIFFIGVIVQVTTFSL GQLTAGRFVAGLGVGALSAIVPLYIGEAAPKKLRGSLLVLYQVQIISGLFLAYIVNLG THHINNSASWRIPIGLQLVWGIILMGGSLLLPESPRLLLGKGKVDQAIKAIAALNDCG VNDKLTQDVMQELQVAIEAENEGGKAGWLECFSTRSRMWKRTLNGCMVQMLQQLNGQN FYYYYGPVFFEAAGTGLDSYSIQAILGGISLFMVFPAMWTIEHVGRRKSLLIGSLGQA VCAIIAGLVGHFYTDTEGVSDSTRQVGGNVMIAFAVFHLAFYSMFWGPTPWVLMGETF PLRVRSKCIALAAATNWLWNFLLSYFSPLIADDIGPLILLVFCGCLVFAFVYVYFMIP ETRGISLEEVDELYRTKLPAWRSNSWVPSIHHANIDGEGVTRHSESTFVANGLGSEKE KKVTQQHVENAIPNEKLRKLQIRIGLTVKQHSSDTEKQSLFYEV I203_03043 MIDSPQISSAGQSQSSGWHQPNIPIDLPNINRIVPFPGIIVEDI PISSSTSLSCIDATSDPDCPMTKSKLDMWSTYAREVFSQDHNPLEKGLPCAAWFKSGY SERKKGHLTFQLPKPIPRTEEMLDTQVCSVSTAPPQLPPSIIPSFAPVSTPSQGFNHR PTHTRVTGDRVIDTNGMLMWLSNYHESVVNQMSKEYTNILIRKTVGTGNDEKLQKLLS LVAARICETYKTDLDMLTIRHLDEDPKMILDQLIEGTRALLEEASNDSRWLIAKVKGK RIRQWNVESSKFKGGNSSRTGKSRKRKIIETQF I203_03044 MEVISLGLTAPAALNQDVGSAPKKKRTREEKEAKREAKRLKKEN QAATAPTEPVPADDDIFNHREKKDSPTKESQKESKEEKARRKTEKAERKARKTNGAAT QSENDGKTIKAKPQISSKIAVPPIEPPTQTKVTKREKSQTTPLKTLSQAGPSASSQSP SKRPILTAKPVRQPSTPAKSSSQRSRSNTPRSKSDEKPDDATLKARLKDQKAVEEWLS NNWVSDVELRRLERLDILKYKKGKLTEDEKIAIRKVLDTYKKVNRLDDEKLIDTIMAN TTTFPTGRDGWQAFWLELAGACPGRPVRYVMKMVQRMYDPRGHKGHFTPEEDSMLISA YELHPNQWGKIAEIVERTYHDCRDRYMKELQHRHTRNHGEWTEEEEQKLLEAVKKMNR QTHRNEMDNENIPWVLVVKEMGGIRTVVQCRKKWTDEIYPRKKWGWESGHERENDYKL ETLNYPSEKHIKWKEVQDDTLKHMTNHQVRQMYYRMKNKIEDAEKLTYPELVERLKRI CENLGRISRKKKPSRPEIDTSDEEDELEEEEGAAKDEHADEKEDEDGSGLQEELEDK I203_03045 MRVRLPPSVISQIESHIRPELPPVFTLSSILQQQRYPQAFPQPP SGARKSKKPYTLATGDYGLLPCPESQPTSFSPSYLFRTSSTSHLATAHQHLSSQAFDP SSPQSLLNRQVTYSKLISAFVDHPKGLEAIVSLVDRSLNEKVPVSISTLTSILKCSIS SEDITSRTSIINKILPILPEKLDIPLLDVLLRAVMKDINPEIGVVEQMISDCLSLECS DNTSTGKMGKEQWPLEIWDLLFTSHSQRSDIKQSMNLLERFRQIVLRKIDTSPCSSTV LISEVLDEKDRHAICKVYTTVLNTWRRSNDIYKIQSTFPRNLAMDLVDLIGEGHKPSV GFLNSWMKAERQTGDINASRRVWNLIESKVLEDELPNNDSWIALFQLYSTPGKSSSVK SIPPIRTSIKRLLNQVKQYPKVTLINALTINTILKSTLSSDLPLSLYVLRQMRKWGIT PGRKTIDLVSAEMMKIILRLPLSDQVRLGIRHSMIRNDSSSMRSKRMRMGLKLHEWDL ITETVHDIRIRENPTGMEIGTDKEMIWLPLSMPIGRIRQDSNSSSSSNSKDNDQMVIT STIATSKGIENVEKQSLPEKVLDPLMILLERLIILLHRNTSCSAGIADEKRKKIKLKD EEILEEMMSEIHGEMVSKR I203_03046 MSICISLYSDNVDRRFDADKSSLNSQYPSNPNIPSELYTREYQA QAINGQSYLPPPHVVPQYQQTQSHYNSHLQFTPQPPPLQPAEPSKSAEKKRKSPKAST GPKPEAAIPVAVDGVIPAKRGRPRKSANNITVAESVNQDHQQQQQQQQQQPSIMVYPP PPPITGQRYNPSPLFNNDSARSGISTPLSAGGDVNMRDGVQLPPMGSWAPPSINSSPN SANAKNLPNQQRPPYGSSENGWSSVPPKPLMNPEQQQWSQQYQSQSDSQIPTRAMKRE RESDQDNSNRPLSDPGEVEASLALAGLKRRDSAPTSGKKPKKEKDDTKSSNKKADKDG KKSCAECRRLKAKCDRVFPCSNCRRRGCALVCPEGDLSCMQGKRLVLASTEQLHERIA QLESALFQSHGRISTGHHPLLAPEYLDGGFASLPPPPPLVQNDSLDNSHSPKSGSHSH SNGDVHNRSPKGPSSASSLILATPQLSAERSSSQGRMAVESLLTEDAAAPEGKREDEW AGENAAPAMIIGTVGNQANEDLDERHQVFERLKKLLRVLPSREEVQRKAEAFWKTSVW YQTVLHKEEFDAIYEPAVFAPTPANPLSPHKLAVVLIVLTLDTYLDISSDEENLVVAE YWDAFQRCFDTRFGWAASVAGVQALALATLFVGFGWRGARASNFYWLRQMTSASMQLG LHKDPHPSLPEEEREFRRRLFHEIFVLDCLISLNHGQRTAIPIEYVEAAYPKSATTLS LKKYDFMKLVKTQVIDIGCLPDSAPADWNTVEDVKNKLMQFDVTAIPWLHCPLLRGEP LPPPVEGFTGEDALALQSTTTSMCHYKAMLYLFRPSLRRLVARLRLQPRESVVFSDAD RETVSMTYRACHAITLTSYYMARKHPKMMARCWMVWVQTFSAAVSMAALAIWCGPHLE STFVGSAYQELSEACDMIGENGSKRSLGVLSLLPILKALVANRYPQVVGKQANETSIS QEGEDMLFALLGGQVDGRDTSSNSHNNTPSNAFQVTSNPPQIPVPPAPATTTKTQSNA YLGQDSGDMSIPFQAPMMNPNAAAEAYAIGPYAQPNSTGWFLPPPATNGDMVLPLAQS APSALPLSTTTTTTTNNNNNDDANGNIETPTELWARLQTFYEPTPIFWNGMTFGGLPV DGMTVGNMQNIAGGANAVAAGGVGFVDYSGPMY I203_03047 MSEPKSDINRTLPLRTSISSTTTDGSGLTESPVNPMITISPTDG PQKLAYLSPNGYDADEIPKIPGLEAGFWDLSDEKLLNRLDESEYDKCQKDFSTDLEGQ MELCGLSRFDGNLLELCAGAKRTSLQYSDGHPDPAQWSIADNDFNSISHKVIEKNDKF GPIADSVFLVATSYRSDPAGQKYIDFANKLVVACQSEGSSVHRRLQSIKERVSNYQRY HEEILVTSSRHSQESERSHLRDPCQSRSTESYNTVERGRKLSISQGDNTKNPESRSRS RLRDIFNTDNLRRSTASLRDRSRSRSINHENHSLIALTQNTLKLTSDLQKGMDEMPSR LCQPLERLIDALMFEDGDEPDPIFIN I203_03048 MALDSISIPFQQDSTTWKNPLWEGTLDPITPSDQVQKLRSTMNE LRWNTNMGLTSFGEVPTLYSYSHRAHDYKQPSPTPSTLESKLASVPTRSLSGTTIAPS IRSSSRATIEWADNQVSFKEPQYPVDLPDELPLLSQNAATQNITHRVDDDSGHQQQQQ QRQSNENRETVYDSLSHRIRTNFDEYVNEPLSPLSTSPSPSMILTLPDATEIEFREQN DEQSNISTRKRSHSRSVCIKKRGQEHIEVPGIDEGFWQLPTSSITNTIQKNTRVSSEN RPNDLIAQMVNCGLSQDERRFISLLTGSRLRSRSSSNKTDTSQCWKYNGRDVIPQDDI LGQLAKSAFDASMEYRTNMRKPVDSYTSTGSSRRGQLAEQGT I203_03049 MVTSRRVSYILPSPDQPPPLLSLPPLGQQRQGHPSPFFIPKTDG SGASTPGSALGSRNPFLTAQTPPSEKPSHPRHCLGITSLALDTSTLLSESDSPGGILY TGGRDGLVASWELNVPHKKRRGGRYEVLPGRGQKVKWERIGDGAEFFDDEEFDDDEND RLSSDDEGNGWVGVSQNQKNKGEIPYEDRWEIDKDEIANSKPPPTTFRQSAQTHTDWV NAMLLCNLNQTVITASSDRTIRAWNPHASSDDPASLSPSLVGSHRDYVRALAWAKYPG LLFSGALDRHLSIWDIKSQVHEPVFNIDLTKVDDFGGVGLEGERGSVYALGVDHAGQV LAAGTPERVVRLWDPRAGDNSIGKLIGHTDCVRSIIFSEDGRYMLTGSSDTTIKLWSL AAHRCLHTFNHHDSSVWALHSTHPNLERFYSGSRDGYLCVTDLEQCGDISEGECVVLA REGEGQRNGTYESKTGDEGIRCITAMDDEYVWTATGSAEIKRWRDVGRRIDRLNQEFD GLSYNIPTERSPPTDVSITVPTGLGTPFSPNSAAPNGQHATEGPNCTENDDFRSISFA PTPSPRNGPAGPTSPNNPNGSTASALPSVVRDRITGNTSQRATSLSGASTANSVASED GRNKLGINGIPYQSLVCLGVPDSPYSFGFSQHRQEDMHREPSMSSVPQGLNGLLKAEN ESPRRISFQMDREQPTARMEYEDREVASEAVPLRVNPDETVAGRSGLVRSLILNDRQH VLTVDTEGEVAAWNILRGICIGRFSTSEVAEALHLERGEKAEQAVRKHSQEVLEMVKE RVEGETMVITWCQVDTKIGSLVVHLEEGRVFDAEVYADELGLEGFEGSQEDIRINLGK WALANLFRGLIKAEEREVTDLAVNQTPSTVNSSLPSSVPKSPGITQISIERPADIPHP HRKRAMTGSFSNPRPPSLNIPGLVSPASRPAVLPEVFDEHLSRSAPESNSFFQNFQAL KIPQSPSVASTTNPTLSPNTERTSATRDYFSSKRKNDPSPSRESTVNTPPTPATSSIT TDKKGFMGKMKGLGRKKQAETPMSPVVEKVVTPEDDGPKMSDREAEQLHILDTVRSHR FSPPGPLEAPHIPLPLSTALLISEESKDAGAWVVTYRSQVSSTERDMEDLEMNSPLWL LDYLFASNTRQKEPVKLTFILEPAPGSGMKELPEGSARLSASRALRAKKISAFIVDKL DLYNPSKLKLPSFASHHLRKDSLSHGRRSSTSNEDSLPQPEEIIELICGDEVVNPKIT LATLKAYYGSGPDMLLYYRLKKGIQV I203_03050 MNLTVTQKTVIVILAIFLTFIAILFLFCIYSSRKARRDTIQAQK AVLGRWKENPGGFEEGHLPNLSVPSLHTPSHRNEFDLATLPGSNGSAREEKRGSGLAG IGTFSASKPRSNSHSSSTGNSRPVVQSSQPSAMGVKEMGQPRKNPIPTLSRPMSWSRG ISRTRSRSISTLKSNVSDRSIFKPNKSRYFINYMNPPPPPIPRKSSARSSGSKGSAGA VTGARLVDKGDSRGGRR I203_03051 MTLTICCDALGTCFTLQDIVKAVEERYGEGLAKKAWGCKGFVMD WFHSAQRDYTYLSLISPPPPPIASILKTSLPLSLSAALSIPPPKVSELEGITSLLSSL PAAPTLKEAFSYLANKEAKLAIITNGAKSTTEEYASKAGIIDYISSVVSCDDVGYAKP HKEVYEAANSLCERLEEGGKGQRWFVAAHLWDLAAAKKAGFKTGLVVSDLPPELVDND KQDEGLSAWYETYGGRPDIVGKSLLEVAQEIIERH I203_03052 MTLGGRKLVSFGVDVDAVAGWLGSYGGEDSPGDISRGMFAGEVG CPRLLKLFAKYGIKTTWFIPGHSLDTFPEEMAAVRDAGHEIGLHGYSHENPTAMSPEQ QRDILEHTFKQLTEFCGKCPVGSVAPWWEVSKEGTEMLLEKGILYDHSFQHHDCLPYY LRMGDSWKPIDYKEKAKNWMEPLKKGETTGMVQIPANWDLDDLPPMMFVKGSSNGFVD ARTIENKWKDHFTYCLREYPDGFCMPITIHPDVSGRPHVLMMLERFIEWVNTHDGIEW VPMKYIQEQFRKSNAAPQGAVMPTGL I203_03053 MSLSNLKVLVCGASIAGPTTAYWLARAGASVTVIERFPQLRTAG HNVDIRHLGLKVMHQMKGMEEQVLKKKLDLRGISLVNPLNGGTFGVLGGNSNQNEKKY DDGQSLLSEYEIYREISSITPTSPEHDGGGGGDGEMEVGFTNGQLPDGTYDLVVDCGG SMSRTRAIGMGSDFSPKDHLNPVNSWTANFSIPKGPDDNTNTNIALAHSAPGGRVIFL DNTQKERTKVVATKQTTSSKELEDFRQALKAGESQLKRYVYDMFEGSGWRTEEILGGM MNSRSNDFYAGEWLQVKLPRLYSPKGNFVLVGDAGYAPGPTGAGTSLALTGGYILAGE LMSAQKEGKGIRAGLEAYNDRMRPIIDGLQKLPPFIKTAMAPQTAWGIWIRNRMFSFI VWSRVLEVMGKYIAPAFESGEKYKLPQCDWKE I203_03054 MPIPIPSSDEEYDLLPTLTRRQIDAARTSSWYDTFEDITFDSTV IDLSELGEEEEFLHWLEADSIFLPEGSEGRYAPDSSTSPTRRRSSSNASSSSSSSDAP VYHLPKLNAAIRQVIEKYNGSVFPKLNWTAPKDAAFILPQTSSGPLHCTSPSDVYLLI KSSDFISHDLDPSRAYLGCSSDEQGDGSAHESGRRPKLELVLRQFQDINPSREVRCFV RNNTLIGITQRDNVFYDHLQNEDTRIKICDTVRAFWEDEIRENYTDGEAIDYIFDLYL SPNFDSAQIIDFQPYRSSVDPLLFTYEELLSILNSSSQPPQGSENASRSRLPIFKIID SKAHPSVSRNAPTYQSNMMPLEMIELSQGRNMQEFKDAWDEAVAQGMTE I203_03055 MVHLPTFILLLIPLVMGQPTPTELASASSSATSLKSSSISQDVP NSTPTPNFVGNHSHSEHPASSGKHQKRAGMDDYLWMSSILRVIDEGVNRQDQKDDDGL AKVVTSTSKNAEGEIKVDDKTSTGVTAGKGKRRRRRRSHP I203_03056 MEDLLRAAIDQPTGLTIMDQVRLATAMTLYRIKPNHMTMREFLY QCRQQIREKQTQSNHEMNPYKPLAEVDEMRKVIGMMKEEIRSLQREMRKTKDMKEEVD NVKEKDYDHRDVDERRSKKRYKSV I203_03057 MQQHPTILPPPALPDGSIPSRSREKEENLIRFQAELEFIQCLSN PQYLHSLSTQGYLGKETFINYLKYLEYWRKPQYVKFIVYPTSLIYLTLLQSSLFRERL ADPVFVNELIRVGIKHHETWRTEKPQTANATTSTNNDYEKKNVAPDGQTINNHFDDDG I203_03058 MSQSHAEASSSTHLQSNGHLTEDEASLYDRQIRLWGLEAQNRRV ATMRSSTVLILNLRGLAHETIKNLVLAGIGRLIVADDSDVSEEDLSTGFLFREEQGAV GQNRTKAALPQISSLNPLVSLTTFETLSPFVGGSERDIVDLLRKEKVDVVVACDLPKA QLQIIDGASRKAGTMFYAAGTYGFYGYVFADLGESYEFVYNQRPTPENPSPGLAKKTL SYSSFTQALSPTNWGKPAKEAEAGGSPYRGLTKNETKNAAPGVILGLLALWEYEQKNG NLPSGGEGQISEMTEIADRLRVELGVNSKVVPSVEKDMIEHLASHSTHFFPPTLAVLG GLLAQDVLRALSRKDRPIVNLLSVDTMGGVGVVGRWAMGDGVDA I203_03059 MVVVHKKAKFDPAAHLHKHGWKGKGTALKHGHAIRPLAVVQKKT LSGIGKDRDEAVPFWDHIFAATAASLFSPSPSSSPGPSSSSWAPAPIKADQKGNIISN PQEIVAKRPKLSINATARAGRELAKRGLYSRFFRGKVLHIKESDDDGEEEVPGGMNTP KEVEEVEDSLITAGPSRLNMTKLDQEREEILKVKKNSKGKSKDRETESTEERKIRQAE KARRKAEKGKGKGKMVEGEGKEEDVNEDVKAKSEKKKNKKTDGSRKDDIGEVQIAEND QDDLSGKKDKKRKRKDKEDIKEPKEMKQSKSKSKSKCKDMDQIGDSQKKEKKKKSKSI EEP I203_03060 MTLGQTNTEAAKQDLTPDFFKSDADKAKENVESGVRDLTGNAHG HDAGRQGTSNSVSNALKPSGEQSLGEQAQQQLDKGASHVQPNETKSTTQQARDFVTPG NDSSGAGGILNQVGESISNAAAGVKNAVAGGKSDTTH I203_03061 MSSTTITTTLTSTGGESRSTGILKLRGHEEDHFPELKTRGWTVV KNVIPPLKAREYEDRAYRWLESFGKGFDKDDRSTWKPDNLPWFAKGGLFNRHGAAHEQ WAWDIRSEEGIIDTFAKIWGTDELLVSYDGVNVSLPFKNEDLGDRGEPWPHVDQSPNR TGKECIQGIANLAPNGPKDGGLMILDGSFPLYNQYFEEHQHLKPSDGWDWRDSYSYPE ETLEWFYSKGCKWVKIEAGPGDLILWDSRTVHYGAHAEGDRPRVATCLAGTGSDDDDI FELETDMCRIDVCYKPAEGISPERMGLRKTALEDYSGTSHDPINFRLTGTNVAGPLSP DEKQLPKQPAVLSERAKKLAGVVAY I203_03062 MPLMSDNTGTARPFASNYARQNIPSEEYYPMTDKSEGFTQTSPR GRGGKRKWFIIGGIVGMLALIGIIVGVVVSQVNKNNNNNNNSSNSSNSGSGNSTTTIK NENDPSDFDKDSRLHQSFWGFAYTPQDALLPWCGASQNNVTRDIQLLSQLTTRLRLYG ANCNQSALVLQAIQDTKVDMTVWLGIYVDSNEEAYNDQVKAVEDALKAYGTDHVSGIT VGNEYILNTAGTDSTTSSIYLSASKTITDKITEVKSAIAGLGLSKTLPVGTSDAGSVM SKTLGEGIDYFMANVHPWFGSVSIDDAAQWTWDFFQDFDVEPASLASNKPDVYIAETG WPTESMNATESNSGFGGAKGEASLANLQTFLDTYVCQANANGTEYFYFEAFDEPWKAQ YGGVEPFWGLFDKDRTLKDVTIPTCS I203_03063 MTNNNTPRLLPQIQPGSSANLLTSTPPFRFSHIRDLTHSRTPRN QRSEHRSPRQDRPSTESDHLVASRTKPSQDSSPSVPSVLQSFSASTSSSETKDKKKNK RKAEQGQGEEQGQKERSKRRRGECHYKRTVEKPRGPPSWLIRSNPASVHPPLPPRAIS NNDVTRVHPRVPIVSTPTPIIIPITSTVSPYVSPASPSVPPSSHSHGPTSHHDQMDMA SLWLDQPVNPVTQSSQTWSFPSSSSSSSSTSLATDPPVCIGASPYVGIRKSSEPFMGW PSNDRYILSSQRGSGSLMSVPVPLPVQSSSQSSITSSNSSSFTSTSRVSDNLAHALFP PELNNVVNIPPYHPAIPFYEARSSYTSNPLMTSGESLGPNTMSSPQRYPGGKHLKYS I203_03064 MSPSSPAGSSSSSLSEGYTNVLLALPDGTIPPDHSDLRSHTVSL IGGYPTFPPLPNTAQIPQDIICKVCKRSMPLLAQVYCPLENGENDRTVYVFACSKAGC QKKDGSIRAFRASIRNEEYVKDVEEKRKAAEAEAEKEREKARKNPFTPNGSTLFGSAQ PLFGAALSNPFAPTSPPSAPVPPSTDSATADLFKLSISPSPSSSTTLSPPIPAYQPAQ YLTTIDEYLPPPDEVEYESSDDDDENADEELKGVMMDDNLERLFSQKVDEVFQRFVKR LEGSDGGLKQVLRYDFGGIPLPYSSQSPITRKLFSGCEKPLGKNQELDVDSLYNIDEK VIKRCEGCGGKRTFELQLTPYLISLLKPDTISTTGQEGDKKNAQEKLTEEERKKELQE LAQKIKAGNADGNDEVEMEWGNIMVFGCENDCIGLNEEVVGVEWEMGL I203_03065 MSDFYSYTVELPKSSIALSDLKDKTLLFVNVASKCGLTPQYKEL QALHEKYGDKGLVIIGFPCNQFKAQEPGTDDEVLQFCQLNYGVTFPIAKKADVNGPET QPIWKYLKENSQPPVENIDWNFSKFLVKDGKITWFPARSTKVADVEAAL I203_03066 MAYSNHTLTSGQPPPSKKPRLMPNPMNSLPPPIQTGSRPGGPPD PAALTKKQKRAARDQFVLQDGLYVRKVMDLVHSIDTSRIAEPEEVPLNLRYFFNLLQR DDPNDPTSERLLNPKCTENIIYLITCLTVNQLNLKIPNRVMSVDHQTNVGVRCDRPGL GFNCHVIRLIGLIKSEELKGILCSLSKIGNGCQANSTSTSGDTHTDNSKYREVLTRGG FEFGYLSNHKIKGYNMQSIGYNNVEDIIQNVECPMTKLNYGYIRMVLTRVKIQLMSLE SNLMTRCQTINHFAFTQMQKYLTIYKGINNGISNTMAKLKYGGLATTINIGLIENLIP TYPHIEESHSDNLPSIIVQLNGPTSTIRFPQLHLDVEISTGNVLVVPLGNLLHHYVVP PASPADERQDQKRLVMVCYTRKCIEEEYERSRKGIK I203_03067 MPAPQDCQEEACAIQSCLTKNNYNESACSSYVEALYRCCQKMYE TTNDNESKSTACPIRSVVQRKMKRIEVEKGQNEQ I203_03068 MPDVSAKEYEYQYAYQPPDKGLDSFLLSSPLTLEVEGWDEDALG KSLEPNNDNMSPSTSSQDDLVLHARFPPSTVTLAELELEKSSVSGVSGDELYNHNDND EGEFPNDTSSDPIPPKDISQIPHRSGMSVSNGFRSLYDPTPPSQSNLEIYKFDQLGRQ LRNDRLNPRSNQDLRCYMQAPLWAAEPTRGGGITRYMASGSKTYDPLKPIATFTNQSI NGQGEISVSRISGLGWLNRHRTYFTINLSKQFALKRYRSASGSTVKTRMDRSSSLAPV ITTALSWSFTRFLQVHGTPSGEDGQWTVERLDDQIESIRPILYFGTARILEAWNQVRI NDEELIISKIDGKNVDASRRVAREKAEGSYVPTKKSVLYEDDRETKKGEEQGEGKDEM EVS I203_03069 MSEDTAIDWRWEDVTHGISRNQTARTDILLWEIPDSTETLDQFA KKVFENSNKTVEFVPPPSRTTRTSQSEEKCRFKTRRSDRTGYLYHMTSCGTLEIHRDD CIDLKNSYRPTFNSIHLRAMLKSLSDEWVIENHLAKERHLPIRSASTRISSVFERSLL WSVHWHAHQLNKVIPNGDLDTAEFKKRIDQSLLIGTAGKFMDKWKGTVIDEKVLTIAS C I203_03070 MSNSFFNDSFPTLPHPVLKAEDIEKSMFPMPHWRNPNALRKTTC LSDKVGMANTGVAVHKSSLGPNIESTAIHYHLSNTEWIYILSGSAILQLVDASLPILN PFQPASQVQGTERGDFIGFPGGVGASRYAHGLKAGEEGCEYLVGGDRGGSGVTHYPLA NASDIWERS I203_03071 MASSYPPFPLVKSDTINSSMVSKRHRLNNDAERIGVCMSDMAGL KGLGVHKVRLPPNGESTEIHYHLQDSEWLYVLSGTGILLLQDASGSFDTSDDSKNNRL IGRVTAKSGESSQSRVEEHQIASGDFMGFQGGILASKFAHSLRAGPEGLEYLMGGTRE RVDVCCYPRLGIRDVFEKTL I203_03072 MAEVAPPEPIETDQPQSEIPSETPQDQQQQDGDVDMTPKGEDQQ EEKEKEDEGEKIPEDACETLYLQNLNEKVRIPVIIETLYSLFKPYRPLQPVIAHRNVR MRGQAFISFPDIQSANEARKDVSEFPLYGKPIQISFARGRSDRIVKKLEGVEKFDEHK AKRLEEKKKKRRDNPLRQKAQAKLKAEETGAAPAKKQRLQMPDEYLPPNSVLFIQNLP EGTTSDDLREVFEVHAGLVEIRTIPAKKDIAFVEFADENAATVAKDALHNFKIDGETK MKVTYARK I203_03073 MDDEMDDYSSTGSVGSSYYSYSYYNRPSTPSTPVRPPPITNYDI KFVEGTGSSRGKCIDLAFILDCTGSMQKYINSVRDHITGICDMIRGEEGLNGPDDLQV AVVNYRDHPPQDSTYVYKFHPFTSDIPKVQDYLKGLTASGGGDGPEAVTAGMAATLTE LEWRREAAKMVVLIADAPPHGIGEGGDQIKGGDPDGHDPLLIARTMAQNGITFFMVAC EDTLSGYSHAVDFFQAICNMTSGVMLPLTTADLLATTIVGSVLENMDMERLIAEIGVE VAQRIRQKGETMESVEEVAQELHERLLLRNEQTKQVHLPEVYAVHENAKKNVSTWMNA QYIGDAVPNILHVPGKRLTEKFRRQNYSAGFGYVPGGRLPPRKVSAPVTTTTSSNILS SEVPPTPSSPPTAGAAASPPRRIVSDFKPFGATSPDKSAGGLSVFGAPMLPTGPGGGM FGSAGKTPLINGGMRGRSMDDDDEDDDDDESGTRLKKDNISLDQARRIATQAVFRAGR F I203_03074 MSTQDYHSASTETSPLQESPACKHTIDLSTTYHNWTYVPDRPLG RPHTWSFTTYIPTEHNSAEKIQAFQRLSTALKDDQGNINFENQFHCVLRASDGAENGE WEAYISEKGLRNGSTEPLVLFSGESSYGPHSIKHIAISPQKRKKMLDLMLKTNSSANK TRQIYLKNSDLGLSDNNDKEVSVDISFKTPLLYCRNPSERRTFVALTTKAPREGGWPA GKGEDSKDWTGELRWWEVAGPTTDEVDEIEKR I203_03075 MLLPKETRESSSGHDILNVRVPVEPYWNRGFSAGHGKTKGIPPV SEEDVGLNLTEPTHESVMRLKWSRMIIDQPTASELTLNTSRISSNEEVGNPAVQDVQA ERTQAIYDSDGWTLVDEEWRE I203_03076 MSVSTPSGLPAYGSLYKDFDSIVEVEWQDCKTIEKLKEPADRSR GGDPSISIRSYTIGDIRIHSIRSTSEDANLHDTRPEYQKRESPEEIEFLNQYITGNIN SNLIKSEEDHQGGGYRDFHIVPGSEISNSYNKKEEHP I203_03077 MIGQGKTETLRVFNDDPDDWYNGDKPNYISTIRIKWSRLMFQDE YERLFDSAKGSQTGYQCQQQYYYPSQSAQNPESEVGGFQVLGEVSDDEENDDQPPDNP LSNSLNTMSGNMVGLHTNVSGPPKTTDQEDSEPSGEVGTSTEGGSRFNRLSRFFPGFK WKAKMGSQ I203_03078 MQADVKRPTSPGTPGGSSSSFAVARPHGANAVRRRAPAAARPSS ARAAGAGGSSNTMLKLYTDSGEAGLKVDPFVVIVLSLSFIASIFFLHIAAKIVRAFTK I203_03079 MTSSGLIPSSFLPPLPRSQYTLSPLHPADILARISILKELYLPP IHGGFTSTDVFENEEPEEKVVRSQKRERRFSAGLVETMESIGLGLDVPMESAGNGLEV LEEEITSEEEGMNGEEQGNVDSQEHLDPFEREWAEKWLGGVVRRSQGWLEENEGGQIE EVKEVEMILRDATAVLAMMAGTSAAGSLTRHLVFPLHPSLAPALSSLHSRTTPNPNLS PETNMFLSSLSTSPTSPKNLFHRITSITTQSTSSMRKGKKRHANLPILLHDAPMSDHL SVGVQTWGSAILLGRKLALNPAEYGLFLPPSEENKGIRVLELGAGTGLLSILCRKLLD LQSLQDGSPRGLVVATDFLDTVLDNLKICVDLNFPPEIKQIPGSPDVNLQTTTDVGVD EGIHIAKLDWTTFPTFMENGDLQEEKEGQEEMSRFITKGVQGREGFDLVLASDCVYDE THAKLLRLVAGWTLKLPDENGENGGTFHILSPLRPTFAPELASIDTHFPPLSTYSPLL QRQQAAAVADDHSQIDIELRGEGLGLKRGLKLGVRGEGKKGVKGRKGEGRVDEEGGYW WWEVGWG I203_03080 MSLILSEAPKGDEKGKNLKVGGQYVVQRPGHPPRVATILNTRVN KRGIQEAYITFLGQDKRLDLWIKEDELGEEIIQAEADPSTKPINAITTDGQKDPSQIQ PEAGPSTPIDRDKRSERSPRSNASTPEREHAAMTRVRNFEDVRFGEYLIKTWYYSPYP LPLNDPSHPQHPQTHTPQAESSSSSAKKRKFDANNNPTLTPDQTISSIENSHRPHLKH SRTVNEMYSGVGKGGEGARGRLWVCDLCFKYMKTRTGWDRHTSSCKILQPPGRKVYQR GSYTIWEVDGANATLYCQNLSLFGKLFIDHKSVFFHVENFLFYVLCDAATSRRDQVMA FFSKEKISYDDYNLACIVTFPPFQNRGFGKLLIEFSYYLTKHPSTRPSSQSPGTPERP LSDLGLKGYTAYWVSVILRFLKNLLADSEPFKPPHSNESPTKIKSPIKAKVKITPVTP VKEGEGRVLRVRKPLVDEEKIPVGVGGKKDQKMLIVVDGVGFTQIPIPNYKGQYSISL DLSTIAKSCHLRLDDISFTLSELGFLTHRRKVQAIQPKKRLRNGHGHGHSALKGESGE EQDDQDEEGIDDQEEIGEEWKDIEIVISKEMVDEQWKKWRVRDKGVLQDEFVLL I203_03081 MCGRYALALSNEELYDSLEARLPRLFENGRPRWERQQDNRGGNY NVAPRQRAPVIRRDPEDGERGMIETMQWGLIPHWTKHPPTGPLNTINARSEGLLNPSS GGMWHALKGYKRCIIPAQGYYEWLKKPSSKIPHFTRLPLSEKTSIDHPPLLFFAGLYD IVKYDTREAYPTGNPIPLATYTILTTEPSKDIRWLHDRMPVILTEWDEIVNWLDLGEV KGWKEGKGGTGDLLKSKEGLESYPVPNEVGKIGNNSPTFIQPVSERKDGIKSFFSKQQ NSPVKPKKEESNQSPVKVKIEDEKKVKEKTEGVESDITPKDEEKGLGDDSNAPNQDRA QSHQESPADDKGSVKVEQAGQHRKRERSDDEDEDEEVKEVGPPKRGTGGHQTKVIRKN VDEGNKEQPAITNFFKSPSQNKTQPASTSNKRRKR I203_03082 MFTSLAITLLASLSAINASPISLQPRQGGVQVIDNCYQSGQVAL TFDDGPYDYEQDVANALNGGKGTFFLNGNNYGCIYDKADQIKSLSAQGHTLGSHTWSH ADLTQLDEGGIHQELEKVEQAFIKILGLKPLYFRPPYGSYNDLVLKVLSDRGYKKLFL WSEDTGDANGESTGYSEGVLDGVANSYPSPHMVLSHSTIQTTSSEVLPNSVWKIQQAG YSLVAVDTCLGDSGEWPYEYVGEPGQPDGSWTCY I203_03083 MDPLPDAPPTTPTESPVFLPSSDHPLSSAQPIVEETNGKGRTNK LGMGKDKFGWGDLPVGVLHLIFSHVIELPPPDNCIFQTWRNRPPSFEIALAIEQRIRL CALRRVSLGWKSAADSHSFWPTYTLLLDPSRPHSSTLADINSARLTPSTPSFPTLFHR ARYTTLHNCIPCRLNHPSRLGLYPAVRRRLTYSKKFGYTPTCEKHYNHFCSGCYREYG IESLSPTPPAIGGGRYMRNVTPVVPTSTGLLACNPKDTDEDGTYRLRNDLICRDCRRI AISNELTNLLKECSRTADTEIMVVAGVIRGLNNDWISNQYVKEYIEESTYTCIIMANK AVELQWLMSHTRYTELWGTATELQRNERMMKARYIKYDFNTRFIESPHEKQQRLIKEY ELKGEDFEGKESLEDYHEFTDLCKKWIEQYRRRFYNGGESDEDDLLVYDDEEEEDEEE ELRYQTKLQEGCINDWLNDRVRFGSWVSPSDEVLGYQIRVSPISGESSSIHTPFAQMC RHAKHPLSRFIHIDYNPIEAEYDSAGLITLNPLDIDEETDPFLPPERLLQKLDGLYED KLRSNITPPLAELVRKIREWFGNDDVAEQYCERLTVGDIIKKLDDWELWVSRKLIEHI KVAEGRRAFGSDSASVATATTRIEAKIARDYNHGQKSPKIELIQEEHRSPTMAEYGIE EIAFTAPSDRDEHDDGISSTPAVDTPRRREDGEVESSPKLGKRKSPNEQQGALPEKKV RHSPPMPNTPQEGTGDMPVTPSDRAFIEDDIDSSTQRKRKLPPSPETHHIDRLARPVS PPAPLRYYLDRVKDGTHTIELSASNGSSMPTTPTPLVGVDADLMLDEEEDNAMVSERS ETEISSHDTVGTMPITPTPEAEEWTGSLKDGHGEHGTSPDAKNHVGRTESRGLKEVVT IVDATTGQITSLEAEEEESTEEEEEGEMYDGIENDNEEEENNASHTSTATRSVLREYL QRQEKHMPFLPLCPLGVHWNLGEKTNSIILKVFYEQREVLRICRCTICERSKGKREAA EGVLQGLIYGGGHWVN I203_03084 MSEPMDIDPPAKPANAMSALMANAKGKGKADGESGTKVLSDEEI KALNDKEGLPWVEKYRPNTLDEVVSHQDITSTIEKFIEAGRLPHLLLYGPPGTGKTST VLALARRLYGPPYKKHILELNASDDRGIDVVREQIKNFAMTKVLFSKGFKLVILDEAD MMTQAAQSALRRVIETHTKNVRFCILCNYVNKITPAIQSRCTRFRFSPLPEKEIRRKV DEVVEKEGVNLTDDGRDALLKLSRGDMRRALNVLQACHAAYDIVDETAVYNCTGNPHP KDIERVVQSMMSDEFGTSYSLITSLKVEKGLALQDLIAGAYDFLDTVELPKQSRIYLL DHLGSTEHRLSVGGSEKMQLTALLGAFKVAVELSQKKI I203_03085 MAEDRKLNVSSLKSKEDSVEIELEGVARNSYLVNSLFEGINSNS DDEENGDGDEDQYGEGDEKERGKEDRYEDENGDEDQRGVEDQEESEDETEDEDEEDED EDEDYPVGFDLPDEQYHHQPLCNTVFASLEDAFSSDEEEDNFISIPKHIRDDDDGDDD DNDDDDDDDDDDEDFLPIPVSPWFVDLPTKSPPSENMSKPLRDKVKIDSQTNIDIDIQ QNEEMDREQEETRRVYHDLYPPIYHEKRPIPLRDPWRLRSPVQKYHFTTIIQSVRLVQ NENR I203_03086 MDAHARARMPPPHQTPKVSTRSTLFAPKTPSHMKSPPLTTSHNS NTPHHSEPLPNILSPKEGGAGSTRLKIKSATTPEAKSRLLVKPIGARAQVQHAQRTNS DLLSTPSVTLVNRPTTPNYAPTLADEGWMSADTHPIEASSMMDFDEYENGKAETETEA VLVSVRVRPPNPVELRSDAGSVWTMPEHDPHLLKLAKGTEGTREDRDWIFDRILPPHS DNGKVYGTSARRHVRSAMEGYNAVIFAYGQTASGKTHTLSGSSMEPGIIPLAISDLFS QIRSTPDREFLLRASYLELYNETIIDLLSTVPGAELHLSEGKKGVVINGLTEVAVRSE ADVKKLLRSGEDRRKVGATDWNARSSRSHCVFRITIESRSRNPAIEEAPIGARTPGGR LKAAGDKMTRISTLSIIDLAGSEKHTSSKERNAEGKHINQSLLTLKLVISKLADLASK RNVTHVPYRDSKLTRLLQNSLSGDALISVICTVSPSSLNLAESISTLAFAQGLKRVVL KAQKKEVVDPHALIQQYQNEIAELRAQLREKEMHGGNKTEREKNEAMEKRLNELKSMI LTSVNVNSPNPEDNAAMIPPSPAKMRYPKLEYDRPSAELLEELHAEQLHRAELEDEVA RLKAELATRPLEPNKEIVELRNEVAELRLIADDYERHLLEPSRKVRADVEKEFADKMK TLENQLDSKKIWANRLDENVRFITQENKALEARCLEAESKVHQIIEFINLALSPPDPD ALLPIPESEEVRELSPERELPTLVVSDDFSPSLPSSGGKSTLTLSASKMRATFSQMDL ANFNDKFGTLTVSGKGKGGKGLGIGGMGGSGWGGKMLREESSFALAEVAGDDDDF I203_03087 MDLSYNLVASKCAEQMAKYQDCVLRNQAGDWNSICRPEGKALAA CADASVPHLAELKTSCSEQIFKYRQCLDKHASQPDEVIGEKCGGLMKDLWECSERTMK NIEEREKGSRKLV I203_03088 MSSPTSIISRISPPLITPHASPPIHTQVRRSSSTSTRTTTTTAS KSVTLSAEERLSKLLSTISSVLVAYSKSLNASPRYTNSLIDFYHRAVSLSPNPKAVPR LPTPLISPPSSESHGSRSRPNPSLSSILLQSHPPVTHKHGRYHKYNIRRKSDQINMKD MHEHTHNEANKNQHNHSRQVDDNSRDGLQGLEDRVRKLERECWTSEIVAAWYGPTVNP ILSRRLSDSSTKPSCTASPMSRRQSLTNMTLPGSPSIESKEKNKEMERRTSSFTLLSE YRKKKQRDEWLEPILRRDASYVGLHDE I203_03089 MSSSLQQHTTTSEIQTQRPLFKLSEVPDVVEYLANLSSKDLTAI LRDERMMNEFKCSLYSDPTQNAHQPSSTFGSHCGRMVFDEIMKQVREKRMLKRLAELE ELEAASEKERCYLILTGLMLKQMRIISRLGKNHE I203_03090 MSRLPTLSTPSRSSTSSPGAGIPTPTTRRPRSSLGPGHIPTPST SDDAMDRALQEALKVRPPSSLRKKVSEDPSSPSLLGVSSSGLAAPRTPGVRPKTPLGL GMPNTPLASRSVSRTGRPSLSASTTNPFTPRRTSMASSTTSNTPFARRPESRASNAHD TSKWVPVVGEKVRINSMGYEGILKYLGATQFKEGVWAGVELEGGFAGKGKNDGTVEGV SYFSCPPLCGIFVIATKLSPPTAGPSRPSSVASSHRSLASSSASYSLHGRATPSFDRT LRDSTTTTPGRTTRAVSSSVRRAPVLYDDEPLPTRTALGTSTSANAGVESKITAGSRA SRYVGMTAKQLDSARAGTLSASVKSTTTPKPSRISMGVGVTPARSARQSIGGSLVTPR PARGPRASNIHEMPPPPSPSSINRVVVARQTEALEEEIRELKRRNAELEEDLRNVAEA ASDLPQLEELQNQASQAREEADSLRSQLADAQDAARLAEELQLAHTASREELATKEKA LNDLKKEMKLVSERNEAELSAGMEAKKEEVKKMTQRAEAAEMEAVEMKALVDELTNAG QQMISLNETKQYELEERVRELEDRNRVLDEKLQKAREEQEKALLPPSPTTRQREAATA AEIDNETLTAQVKHQQNKISLLEEELDDLRSQAENEAEAWRGKLTRSKDAEKASQEQV LGLKDDIKKLNEQLQGAKTRVNELEGALKENQTALEGARAEIEGLRGEASEAASMRSA LQTATATEKALLAAQEELKDLKAQLSTAREAESQLKEIQAELFESDLEAKISSLENEV TTLKASASSSLESNLPQDRRSRLSTGSTEDADKKIRGFQHIIQELSAENSELKEQMES LKEEVILMKEEIKLLEEAASDGQGGSAPGGAVDHKELSEAKVIIKELNREVAELESLI EAKIYREDELENRASSLEREIARLRSSSSSTGAGKLSDGPSESLSSHTRSSSTATAHT AADDRCELCEGPHDLDACPVFAGNVLGDGGDIGKKQAGSGKWCADCESTEHDTAECPM AEDVF I203_03091 MSIARHDISHNTYPQQQPRDLNLKSMMTTSIYQPFLPPSSYPTT SLTFPQVFPHFISPTPIPTPPPTPGPSGPKGVKTIEHSSFTRPPSPSPQRLNIKLDNE NKHEQNLARSSSDDSIQSAILITPETQSPLIHNIILRDHSEHRNLLLSSPEADEDHSV SGHTLQKDSSSRRLSSTNNVIPIDAFMEVRHSPHHPHEYLRRISQPQTAFARGEMIHP KFSLLVPQTISNQLDEEEENDDESSSESSGYSSIIPSDQIAERICMYEYWRMYDTRVR EEKEERRRVRKFYNGGEEAEAEGKVRDWLFDQYQQRQQQRREDQVNKRPLSIITKRRD TQSNNVRTSSSAYSPLSPEPWLAKPLLDDSLVPTARISSSPKLRMIIGQMDLFKV I203_03092 MSTETSTTPITSSNSTRTSGDTSPSFTSTSTRSSPYSTKVSSPL ALTACNLKLTTLEEPSEGDLKPSKKCTPEVYTDIQSQERISRSNRSITKYNENEYIWF KGCKSSRIAEKEGEYVWFDTKRCKGRKKYRA I203_03093 MSFPYMSFRTLILMLVIPIVISKDIQWRYQQLKYHIRYVLNSIV CDFIPTIGVYTLIGCLAVPTIMFMVLFKVSSSIARILCSIFLFLSKSSNISLEEREGK GRDEEYEFQEKPDPPLISGSASSSTGSSTSTSTSGSGSTIISSYGPGTPNTPVNTVSI ESWSYGVYLKQYPPLTVDAESDHDSEKVDDDARLEHVKEPQPTFERGGDYPYLPLRLN EDRNISTTSTETDSHSYSANTDTDTTYDDTPTTIDSGDATIAIPTREDEQQKENARID NLLLRVKKMNIKHSKFVKRCEKESEKFNASFPGDLDIAKLRRSLKVTQRGKKGKKNEL KLDLAVIHE I203_03094 MSPSSPSQRTNPLLNLINPYSSVARSTYPDPDSPSTMLLRELQG GDDSTVNDDDEGEDQHLNDRMSPTPTPDNIRRRGTGDSRSRTHVLTTSSSSSEDDEAP PRSLIFGEQPSAGDRTPKSPSRPLIHSDRTPIPRASSPGPFINPNIPSSSTSTRSRSR STSPGPSTISVYASGLEGTNTNLGESSISRDASTSPESTRPNKPLPTFREPPSSSSTR PDPNTSSRQTSSSGYLDPTFTSEVESSRKGKGKAKAKSRDKGGRKYHALPAQEEEDEH EEERGNRGRYTGLQGRKDKIGKKGLNDHEKALWKWVNVEDLDGFLQEVYDYYKGKGIW CIALARALNLLTTFFVIAFATFLTSCIDYKKLWSEASGPNAIARLDDVLIEKCIIRAS FPHMLFMIIISAFFIFQLSSFVLSLPKLLEMYRFYTYLLCIPDADIQTLPWPEIVRLI GEIRNHNPVTSLSNGGATALADMVDEADQATYKKLDAHDVANRILRQENYLIALFNKD LLDLRVRLPIPHSLDHLFISFGANTLTKALEWNLRFCLMGYLFDTRGQVKKEFVRERR RKDLVIGLKRRFIFMGVLNAIFAPFIVVYLVVYSFFRYFEEYHKNPSSIGGRQYTPYA QWKFREFNELPHLFERRLDRSYPIAKEYIDQFPKERTALIMRFVAFIAGSFAAVLLLA SVIDPDLFLHFEITPHRTVLFYLGLFGGVLAVARGMVPEENMVFDPEERMKEVVGYTH HLPAEWKGKLHSQMVHQSFGQLFALKITIFFTELLSVILTPFVLFFSLPPCAGAIIDF FREFTVHVDGVGYVCSFAVFDFRRNGSDPNAVDEVAAAPSTTTTQGTPAAASDHHQTT RKANRERWAEKGNEKMESSVLHFKATHPDWQPSDPSASLFLDRLVGQLRSPRSPRSPR TNLHRGLGLEGSMYAGGGGRGLGISGVGMDERKLRERRNEYERAFDRSSHLIRPSRRR EREDEVIQERNEDEQDPEDEEGLEGWKEDDKDRRVDGEEERWRDEGVRGILQQVLGR I203_03095 MESTESIKRARSPSPKAESKDGVLSSKVEQAEEGQPPAKKAHVD PTASAPSDTPISGVNVSVDVDPEEAMFNAMSSENGEDKKKKTWGRGQGYGNGGKGKGK EREKKGPDAVKYDRRPNDWTPREKKEGEETEARLPKRRCALLVGYCGTGYHGMQIQTH GSETIEGDVFAALVKAGAISADNANDHRKSDVQRAARTDAGVHAAGNCISLKMIVEPP LREGYNTLAEYVNSILPAQIRMWGFVRTVKSFNARTAADSRIYEYLLPSYCLLPPGRD DPLGKRLDKSSPGWRDLLGKEAVDFVDAAPSFEPEGEEEGGKVNPKNRGEFERRRAFR VDSKTLERFRELIAQYKGTHNFHNFTVGKPFNDRTVKRFMIKLEVKDPQVYGEIEWIS VMIHGQSFMLHQIRKMISMAMLACRTASPPSLIPETFGPKRIHVPKAPPLGLLLEAPQ FGVYNTRITSKANGLQEDRDPVDFGLYAEQMRDFKVKWIYEKLRQEELEAHVFHKWMR QMDCTMSNGLAFLNTQGTIPPEADLSKGAKEARRAAAAAQAKEGGAGGEGEGEGEKDI ADEEIESEDEEVDMEEVKRGEWEG I203_03096 MSPSPVTEGMRYFLKAEKFAVIGRTMNDRSRWDNKILRWYQQRN FPVTAVRPNKPSEEVEGLSLLTEPTQMRDLPSTSISIIINPLVGIGILKSLYPTPPDP NREPRSICFQPGADSEEIWEYVKQRGLEDRVIGKGACVYRDGDGILDLIKDEEKEGKG KL I203_03097 MSSSSSTSSLTILATPPSPPTSILKSPDSPRSENEKKVRFSEEN DYFTIPPRRSRSKHRHRDRSDTRSISSSECSLRKILEVYQSPPASHPRAAGDTKDLIN DQCVWGIEEECIGDSYTQSGVERARREREEWLGKPSYRDRTLSFSITNLFDIIMDFLN FGSGKVVDDPSELNDMNEYKITTKRRLFRPTTYSIVLNDMTRTTS I203_03098 MSTDTLVSTSSTQHTTSTATAKPSPKIIVRDYAYTPVKVYEIIP QTQSPLAPSSSTSSSSTDSSKLLTPTTKEIPVIGLAREGEDVVVCESPECSDDEDGIV WMNNEGSNKGHT I203_03099 MTQFTATIRPTTTTTTTTVYTKSPQTSKLDMDIYHSHNSDTQFT VYRDIPSLFTYRKVIQEIKQARSPSEIIEGKRTRRKYKLVIAQLKKRKNRIRYLMDDD GVLFKRLTFVEIVKHFVFGRDNAHLPPYDRYAGRRNMRRGFSLGIDLY I203_03100 MIGFSNFILRNYYNAIGWNEDNLYSSITRSSSSLLDFQVPQSLI LQLANAPTPIFFNSYALDALPQLNGSIQYITTSEPLEQIGPSRSIRFKDVVERFQVFP PPKRTLAKDEVWLAGKRIEGRDYLLYSRLHLPSLHLSGLATTRLTPTLQAHLAFLSQP ASPAATRPASPNTPPSHSRNILLSLQHDTGRYSGEYTYSAQDGMFGIRGLYNFGWQSA DIRNLIYNQSTPSGGVITVVKKETEQDGKRIDEEEMMEGGLKGRFSAGGEVYFSAKQR SFGISSGLRFTTLPQSPNGPPASPPTTLTLLYNPLIGFLSSAYSAQVSPTVALSTRFG VNVYSYESDLAIGGEWWIGRRRGKRDITQQSSASSPFRSKSIGQDGELDGEVPTKAAE ERISQFESWREASLRPAEQTVETIQDGPLNVTEIKTRAGTEQTDVPNSDEVEAIDDRD GVLKARLSGNWSIALLYEARIRKCLVSVGIVSDLASRQRPIRSVGVEVQYFS I203_03101 MFAKVFTALVAASAVLAAPVESQLEKRIQHDGQATYFEVGLGAC GWWNVNEDYIVALNSAQYQRNGGSNCGQGITLTNSANGKKIVVTVADECPTCDDGSLD LSPSAFAALTDGNMDQGVFPISWHFNRRQ I203_03102 MFFGKSTVVAAIAALTFATAAPSLEKKDQNSGTATYYAAGLGAC GWTNSGSDYVVAVNSAQYDGSKCGSKLWVWNPATSTIAFPTVADECPSCNSGDLDMSE GLFGHLTNNNFDLGVFEMQWGYL I203_03103 MVFTLPSLPSRLLGGLIDTSPPVFYPPTRNTPTKPPRTRSRSRS SAHDQIDSPIQTIPPSPSLTPVKQTQPYPGLGNLLPASASVTDLTGDWAPLPGGGLGE KRRLSISSAASHGYGHNSRPNSPPPSNTRLKTPTRPTHRRCHSALPASSSSSSSIAFS LPQTTGKLQEFSISRNPLASTSAISLPTLIEGQGEPSTSHRSVPASPLINPHSRKPSK SKISPISPNLPLEEESEFEDNPIPELKFTKASLERSNSNSSVPPPNHSYSCSYQSMPP PPLRPDPINMTYSPSSSSKNRKIKPLIRPIASPRSWSVQDIHSLTGGTSRKGLHSRRG SIELTSSVSDIAVLATWSFPNTPPKNNQKEVDPDPSDTSRGRKSGPSERLKERLKNIP GIETGSFQFPSSGSLPSLPKPLVPLPLGVDGNPIKPSRPLPSHLNGVGHRHTHSSPNL INIPTSTSTPTRQAHGQMGPPAIPPTSNPLFLPPKPVQRRPTTSRLRQPNPLSMSTHQ NQPSLVGGKELGSSPGSIGSLSDTSTLCPSPTTSVKSLPPLSADADSIAYTNTHGKAW WSFTSFKRSNSYSSERSDDQKEKGREEEDEDDGVEVLSKLVMGTEQDWESDSNGIRTR MKEHEEEEYIDLDNM I203_03104 MSSIPLLLLFLLTLPIRPHIPLRQIRLDAFLMPLSLPFIPSSTF ETLPDSRPWDISRAIVRLIIAYSLFAGFGSVLRTLKRIGGWKIPESSTNQLVRVGLLW LLAWRGATLPFVPDEKAWKRPLDVTTIVGIGSGGICVIDEVREIARLLGLKSSNQYPK SGIVSRIIQIILSIHRILLSFRIISAAFAPLNQIPIDSSAAGQGIVGQ I203_03105 MGNIDISDRTILSTTWEVLDKTPNGLFGCPAISLEKLYRALGTT YETLNPSSITSLLAEHSGELLGSNHKISLKSVRKGEKKDYWIVFQPTIAKVHPGSPTA SSTVSARKPDTKRGSKIDSNASSWEDLGGGTTVELLPDLPGGSKNLSLRIAPRSAREK IFSVLGLRKEKYRNDHSSDKPSVESVIQGQEGDGIEIGSNDQGRQRDSASQHDPSSLG RSVHSKENAKGDWLKETHTWKDGRKKPERKGTEWSTIDAYDYTTEIGHSAEIDIDQKR ERNQANVDKSERGKADRDLTPNAELKLDQQQVRTSSVAAEAEDEQEEESPEEAQPKVK TKFDFTDHNDISTNQRVSPELNGDYYDYQVMHGRHDEPLEDGAIGVEPKGEGSRRDNK DRKKDKYKHISKDERKNRSKDPESNSEINSISPADITSSEEDSKTKDGTRHKSLRGKE DRRNQKPHEDRKRSHKTRLRSSSDDERTSENPSDQYSSESEEHHEDYKVPKPPTPFFL KALEGLPFEPDISIINSLSWFRDRYHLILVELIVLLSIVYILQASGL I203_03106 MPVPQEDALPVGNPTSSSHTVPAEAQPEVAEVLEKFQKLGEEAA AEEEGDSDDEDGEAEGEGEGVAGEGATEGAGGEGGKKKKKKKKKGKASKAVQKLKNIA TGQAPQQVIDAVREQMDPQESNTATDEEIQKALKAADFMKILEGKVALGNKSNSKDLG EHKFWKTQPVPQFPTSSSGAALEEGAIDSYKTPADVKQEPGALPSGFVWSLIDIKNEE QCKEVYDLLSENYVEDDEAMFRFKYSKEFLLWALTAPGYYPDWHIGVRVQKTGKLIAF ISGIKIEIRVRSKTFDSADINFLCVHKKLRSKRLTPVLIKEVTRRVNLENVWQAIYTG GVVIPTPIGTCRYWHRNLNPPKLVDIGFSPLPRGYTIARLVKSYSVPPHPRIPGFREM TETDVPQVGDLLRRYLNRFDIAQTFGKDEEVSHWFLSGQGKEDKDGKRVEQVVWSYVV EDPTTNLITDFMSFYSLPSTIMKHPKHDMLKAAYMFYYASDVIFQPGGSADDAATHDL KTNRKLEERLNALSNDMLSIAKAADFDVLNALSCLDNNMFLQEQKVSCWPPDRRGLEL I I203_03107 MASDQPPTSDQTSELIPPPLPEPTDSMSEDIRRQVEQEQALADA QRKLRQLEEEVEKLKNDKKALDNDKEGAVSTTNQLRTQLSALQSSHHQSTSELSVLQT RIEAIEREKKELIEETERLQQRSNKNTQELYALRAQKTDASQKIAHLDVEVSELRMTT ETAKFNEKRSSQALESARAEILNLSKAVADVEERFGRYRAEKQAEQSKTRTEHESLLT RLNTVENSYRSLQRTYNDQSQRLSEAHANIATLTSAAAAKKASVSMEFHQVMEENRIL EKRGEEARATVAEREAELERMAESYGEKEKTWEEKWKKEERIRKEAEKRADDLKIVVE RLALAGGEGTDLSPAAALAGGMKASGKTYTQFYTDYTIQEGKLRAAENEVARLTGLLD EIGQDIAEKKPLLDEQAAEHGRAIERANALAAELAVVIASRDTYDTQVKSLNAAATHH QEEVASLQTTVDDLSRQVQGLVRQIAIRDDPSLAGVEMSGEATVEGDIITDHLLEFRS IRSLQEQNQKLLKLTRSLMAKLDQREINRASAAQEDLDTGATLDQAADTIEKLHKQLL ETQKRVNEATRERDFFSKLLAKGEGLRWSQTSSSGGPLEDGSLDAPHQQAITNLQAEL DIVKNKAEVEVKEAKEEIRTKTEQVGVAEVERAKAEAKVGLLEEQSRMLNEANALQKQ EYTNLETQYRQLQNAISQAHNEQRNALEQVATRQAEADRLRNETAMLRAEKEQWKSTE SRLQSDFAQVQSERVKIQQLIDNLKNIATEAEKSRAEERIQLEKRIEDLQREATALRG QIEQARAETRAAEAKIADFDSRLAAATSTVQAEKEAAEALADTRSGEIKTLQAEVDRL KTESENRYRIGINWKRRADTLTETQNTTAQAHTEAIEAKDKEIKEVNEKIEALNNEIE GHKAKISEVEKKLVESERVSGLKENTVQRLQSELTKAQSVGGAAPAGGDKSAELAALQ TKLDQTQKELEEAKAQAAASAGGAATAVKAEGGSLTDAERTELNAKIEQLQKEKDEGD QRYADNVTRVNRVNASMKGRLDQLTAERQTAQSTVETLQARVAELEKKISELESAGAS TIVSAGGEGVTDQAKIDEAVKAAVSAREAELDAEHSKALEAARAQSGDGNASQVDLSA KEAELNATFENRVSEAVKSQSEATQNEANTLKGQVEELTTKVRALERQVKTAEISRKT LERQKAEVEKKLEAATGGGASASPLPAAVPSNTSAFGIPAASPTPSTASALSANAGAF RPSTAPAPTQQAQPAVPTPTHTTTHAPATNAEGAGASAVRGVARGRARGAVRGASRGG AATRANSVLSTVNATLNQASAPSTSTPSTITPSANPTSPTGGVKRPLEEGEVAGEGDG SSQGAGGDIIARIQGAAAAGAGGERGRVLKRPRGAGPARGAARGGAAARGGRRSSAGG QGGAGVGAGEGGAGAGDGQQGGSGEGGAPSS I203_03108 MSMFRAMRELGYTVLLGINDWDETLRYYRMFPDQVKVIIKSAWI DDCIKDPKCIKSEVNPTGIPRWKSEFSMHFFPDTHTRFGLDYKWIIHADRHFYGEEGA EKLQYIGYSLEDACMKHPFVPHDQRPMAAWTLAKQASLFHLGAKETVFNKSYFELASE EPQLDGLSFRGAYQVNGEYMKGWIHDTPVQALNGVINLGKIGPDQFLDELASSRILIG VGNPPLSPSPYVALCMGTPFLNPIKSWDPENPNDRSKWVSQHNYLKWLDPPYVYNVQA HAYDQFVSAISQAIQNPPPRYVDPPMTIENVRRRVERLVESDWKELAREYMEEKIKEG EKYTWTL I203_03109 MSTRHLSKSTIFFLIIFTFLSILTFLNLSPNGQNLLQWANSLEV FQPSQQLFPYEIDHDITTYQEYNDREVEKLQRCLEDQGECRKNQDKVVLAFAHAWPGA VIDGWRGGEGVWYVPFLIG I203_03110 MEQDNPKTDIILSMSHTPTEDYIQQIVQRLKSYEFRKRLYPETV KRIWFYETAPKSSITYICETHPAHIRARDGPLKEDGIGNKEYNEYHQDWEGYDYAYRV RSCYRIKKPIGLEEMRMKFGIGGAPRGMVYVPGRMMEDVKVEEQECIWKEE I203_03111 MSSSTPSSRTYKINVPCTSANIGPGFDVCGIALSKSLSLKVTIP SNPSDQPSLPTIKYTGLDSENVPLSPYKNLLTRVALYVLRSHGINTFPNGVTIEAHNE IPFGRGLGSSGAAVIAGVLLGDLLGNLNLSRGRLLDFALMVERHPDNVTAALMGGFVG SYLRELSPEDMSAASIPLAEVLPEYPPDAGPDWGKNPPLPPNGIGHYVRFGWAKEIKA IAVSPRFELATAKARGVLPDSYTRKDLIFNLQRLAVLTTALARSPPDPDLIYDAMGDR VHQPYRMTLIPGLPKILTELTPTSHPGLLGICLSGAGPTILALATHNFDSIAAEIERI FKSEGVEVDWSLLDVDERGSTVEEV I203_03112 MSGRKVMVQPINIIFNHLQKHTRTTIWLFDNNSFRIEAYIIGFD EFMNLVLDDAEEVYDCATKPGQEPKPRRDLGRILLKGDNITLIQPTQG I203_03113 MPDGTPPTIDSASGAAASSDQTQPNVTSSNPLGLPTPVPDPITG RLDPNDPTVKALTEAALNMDKSKIPRPYKCPLCDRAFYRLEHQTRHIRTHTGEKPHAC THPGCDKRFSRSDELTRHARIHLPPAHENGSHKGKSKYDDDHEHDDHRGHHLPHLGPS YNMDLERHGYPYNLHSLQMGATSGGISDISALAAAASDQLIELERHEAFRRAEWELRH RQIAGARKSNGNSPVGTPGSAPAAPYGFSNERERLSLSGVPAPGGGTLVYPVSAPQPA SGNQLAVPAGTLADPTYLVPPSCCHEECHKSYRKRLKVAKQTQACPNCLTMTHNGNSL GGAGGAGGAGHGGNGGGDSHHSSSSNTPKDRSTHNSSEDLTKFAGSAPGGGSNYHLNS ATLTQELAALQFQHLQALQRQRQGVAHSHSPHGSYGISKPHAIPIQPPAQGHLRPYTL DLNAHRGLASAHVSAAPSPASSDDSDDEPMNEIMPHAHMEFTPATSPVLSSMRQMSLW QGKAITAPTSRATSPILHSRGPSRPGSPVEGHSANSGRHGHTSHSARDAKNRSHPYTA SLHYSTPNSPHFPPTSNSSGKHHNRMSPPKLHRTLSSDGNGRYNQYQQQKQSVQDILN GPSILPPPVDRMLPPPSNSSFTSSVPSVSYSQPTSTSHSPATSRASSPVHSTHAAAQP HIIHGVRAAFGMTPISASSSPNTKEPKIAQSYSPPHKLAPMGMSIHESVRLPSLSRGN SPVHFGMEVDSGQA I203_03114 MSSSVEHLQSGVGLDIKYCLDRPRTLRVEKQRSFSGKDYVVKDE EGNVLLKSYGRAFTASNALISNPKDAFGFRTNFKDLLTGEDKEIEITLDSYRPYVRRG AIRLRNDHGTEGRNDRIEGEETYIDFMNKDHKDARSYHYQIEIQPNVDYRLIAIIAIC MAHLTFSSY I203_03115 MSLNIRKQWSFSGLDYTVKDQDGKVLLRSHGHREYRTDLIRITD QSSREIFTCIRNYPKKCFDIFLANADTDSQQEKICSVNLMFTYRGPGQDIGQGSRTHF VDHLTGMNKEIVVDWMDLMRHRGLISLRDDTGHDRTNQEKFGNGVVIGSLNDYDTVFD YTHPQLEFVPNIDYTLLAITAICTESFTG I203_03116 MPQDESEQWRSTVLENPVGLNPRYCSDRHMKLDIRKNWSFSGLD CTVKDQDGKILLQSHGRRLHRTDLINTDTDTRRDHEKVMTVSFMCTYRGPEEKARVGS RTCFKDHLTGVNKEIMVDSQGIMKKRGVITLRDDTGHVGMNQERFGSGVVIGFLNDYD TVFDYTHPQVELIANIDYALLAVSAICGEHVTG I203_03117 MSPVPPPAGAFVPSDDWTEDPSFDLSPSAHHFALPTSPSSSSTS STSHRSYSNSRQHASSPLRQSYTGVSKGTIKLKKREDVEELLDFDEDGFDLPTSTHNL TRPSRPRSSTSSSITRTVIGSGPTGVGTITKLGSSPVSQPSIMKGTVKARALAIEKSW EADVDFDDDDLKSITTIPNKSKHSTNISTIKRLTLSPPRKGFMPPPDALDNLGFDLDE EDQATLKAGATIKAMLPPPSNKNRRDSTIKSSKSNTNLNVIPVPSTPPNQDPDSIELE SDFALPLNLTNLTLATQPQHSRKNSNKSRISNASTTTATTAESWDSPGSSNKKGWGWG SEDSPGPSDAHKRRSETSATSISDALPETSDETKSRTRNLLVEPDNDLEREEDDMENG LILPSPTFFSNKRSKELNSILDKKRKPQFAPTPKNNHPHHSNAIDEGSRRGHHMDDSF EDGLVLDEPGVELSKHRLREKKRARDKFPISTIKQRGGPSTGTTTSTKSVAREREKAW EKQRERDWGRVTPVNNTNINSRERTHSTLGLTFRSNSASAGTLLKDGGNNRVDSPSLL TGREKESMRSRSGHIHSMLPPPPSIPPAAPTATPSSRLRHQKSHYHLAAQPTPSPNLA RKQSLASLQDALADRTFTPSGVGETPRYHNSTSRLTMPTSSSKAKSRPPINSIFPTHT PQSSASSSSSIHPSLRDRDGVKRMVDMPKRSKTWGDGSELDGIDDLTIDDDQHKSTIK GSAISGLGLGKPSRRGHEPLTSRPTTSSNIKPTPTPFEYAERRKKSGSGPTTNNTTST STAKRKNRKPALIKHFGVADKKKVVGEMTWNPSTLRWEGNESILRDFDTISTSARPAL ITHYTGSSVGVGGLSSPVGSAPAAPRIVGDMQFDPIQMKWVSILSPEEDEPDPFEGMA DDEDDEFGFGTGTITRSSGRKFVTVGLNSIGGGGGSSNWTSRLASESSVMTSTTTNTS ASTNQGSNWDENGSGMVSEELWKECKMAEERHKKEMKGWIMRSTSNTNELRERERKEE KRLWEIRNLAMKS I203_03118 MLPTLPRMTSKKALPLFYAICPDYSTEPSATLQRRLKFRAEHWK RAEEDKKEGTLEFGRGTVAPPSHPLHTDPKLPSSLQAMNGSIMFFRYKSLSDVWDRLK EDVYYTGDVWDKDKIQVGEFIRLPGEGEE I203_03119 MSPITKLPIMADLDMPTGHSSRYCLDEPLTLNACKKCCSLSGGD YLFKDEDDQVFVKSVGKANIRQDTSESFLIIYVYMDSQLINYAPNHIALFDANGEEAV ELAVKMKTLDWAITGSTPSGEQVFTVKLGGRRRRDSNITTEFTNMKTGQTKFLEYETW KCRGDRKLFLEGEVVASVHKDHWYSKKRQIDIAANMDYTLVMATIIFYDIVMDQWLPA ALN I203_03120 MSNQHILLLGATGVSGIAFLEYVLPLPDGPKLSLLLRNKSKLPQ DLVTQYQHKLTIVEGQLNDEAKLEEVLKGGITAVVSLLGPYPSLYHLLTRTKPTPIAD SLHVVKKYSWSQYVTTKTLPATFMPQGSAEMTAIGEVRADEEFEYTIFRVPFLSAGSG DAKVHAGFYGLDFKGSQELSRASLARWLWDEIKDGNWIRKQPALGNY I203_03121 MSSSLPVPNGHHTASSSEKPASIQSDSPTVINDGKKNNLPPTAH YEESSNFGPQHIEISTATLNNPLDGMSAEEVLRDVDTFVEEKGLSEHRELFRRGALLA RVAQREGGFEEVSEIPEDEKKILREEITHRWRQPFMLYFLAVLCAGSAIVQGMDQTAV NGAQQFYFDEFEIGPEKVYIRGLLNGAPYLCSCLIGCWTNAPLNKYLGRRGTIFISCF ISFASSFWMAAADSWWNLLIARFALGLAVGAKSSTTPVYSAESSPKAIRGALGCQWQM WTAFGIMLGFVASVAFQNVTAPIGQSIDPPRYPQWRWMLASTAIPPMIVMIQVYFCPE SPRWYMEKGKHDKAFRSFSRLRNHPVLASRDMYYAYKMLEAEKHQREGRNLVKEFFTV RRNRRAAQSSFFVMFMQQFCGVNVIAYYSTQIFLDAGFSRSQALLVSMGTGIVNWLFA IPAIYSIDTFGRRNLLLTTFPLLGLCLFFTGFSFWMPAGNVEAGEMSTGRVAMIATGI YLFMAAYSPGEGPVPFTYSAEAFPLYIRDTGMSFATATCWGFNFILSFTWPALVDAFT PQGAFSWYAAWNFFAFFYTYFFLPETKNLTLEELDIVFSIGNREHATYYKKKLPWYFQ KYILRKQVEEYPPLYQLGGGGSMSEKIARTQSRSSQR I203_03122 MSSFRNEITPLLAPSERNIGNNNNNGSPGSPRGPYLPLTSSRGQ STVQATGPTPVTVRPYPNSLNQPNLNDDDVDHDSKVQVYDVSILNPENSTPVIFHDDD PLTMLWKDFWVLVGCIPTVFFIFWPVPKPGIDATIKGLILQVFLVVVSLILTAVCLVS FFVGIPSPVVASLVLATWLYGYNFILGESRITYQNIPGDDKENKEVWLLVNGIGTSRS GLKLILDTLYTQFGRKVIGVHNRTFGVWFDLVECMLQRDLFWNTTDTREGYNIISRHV ADPDKEKIVLMAHSQGGIIMSSWADQLLSDFSHEQLKKVEIYTFASAANHFSIPETGS GPAFSCVEHFVNEWDYVSDIGLLSFAPPPLGLPVNSPTVPRLSGRFAGRIFKRLATTG HLLITHYLPDNNSILHDPAVLRHSKLASYLNDYEDLKRKEELRIRRIQAEKAAREATR AAQQGAPIAGPSTRQ I203_03123 MKSSEPKPPITFTLHPHSTSTTDRVILETIKKDSNSIVLRLYES LGVRTKTTLKITGLPKPSALKWLNILEEPEMFKYQPVKWKVDDGAIEVDIDFRCFEIK TLGIYLD I203_03125 MASSSEPPSFATALANVRRLASSTLPHQSKPAQLLVAIESTLSS TLGKSELPHPSTAYFASLQQVLEKAVNDEVPAGTGDDEEMMAESENMGSGALLPAILY LLAIVIPETPNQVVISKSTNILENILPLFDTSLEHPPALRSLVQITTSLLLVAPPALL NSSPLLKKAWNYLLELNLDPRPKVRHLAQEGIRKILVSPIPPRLTPGSHPYLPRAREW TVSILQEEVKSSGSSTTKKARFADGEDQEGKRAIWVIQGLRGWVPVWGDEQLSSLCGL LLSLPSLPHLTPQIYSLLAHLLSPPPADAAGPVPSVLTNLPTILDSLLTSPPGLSETP TYLSAITSALIKMSIQDPLSLSTYLPKAFNLIFHNILLSSTTPPSVCTAAADAVGSQG ILRYCINDEAILATLTYTRQGSHLPGARKKSKTPFLYRLITSLTESMNTHALKLPYLF SILTALISRLRLRNGRGHTAAQELIMDLIKEVGDLRHQKGFDDKDGVDRFIGMAIEVI GVKVVLDQLPLNIEPDSSGAPFYPGRAYLLPLIRERTTNDELSFFTHYFRPLSERLFE KKVSAGDAGREGEAKVWETLVSQIWDCWSGFCEMPRDLKEGLDTPFLSLLTSLLYTQP TLLPSLLRGLSQLISSTQRLLNSTTPSEELKKQFGLDQSSAQQNMEFLKTLAKDMVSV LLNVFSKLPRESRGMVGEVIGLWVGIMSEKDIIETYNTVTTHLSTNLHTTQPPSAGAS PISHTMLDLLVIFVPHLPPAQSQALFNAASQGTMLEHKDATVQKKAYRLLKRLLEAGK LDKTRNIEEFVKKLSEAGGGVGPGAQRDRLQLLSALVESLPKDSLHIIPELLSEAVLG TKEVNERARDAGFDLLVIMGKKMASGGKVKLQIEDDEEESMGRPDTVQANAEEYITMV AAGLTGTTPHMISASINALSRLLFEFKDDISETTLSELISTLSVFLQSKNREIVKSAL GFAKVSIVALPLEVLRPHLGSLVPSLLGWVHDHKNHFKSKTVHIFERLIRRFGFDEVY NCSTELPEERKVLVGIRKRKERAKKRKNGREEGDEEGEKPRQSSGNAFDDILYNSDSD LSDDDNDDNEDEGRPTQKGRKGQQQQQQQNKRGNKGSERDNRYIRNEGDEPMDLLSRG IAGGITSSDPSSQKARRKPGQDASHFQTDKSGKMVIREDASSDEDNAGPSNSRAMEGN GFMSKNEVDGYTRDSRGNMKFTRDTKKAREQDRELDMMIDQEGDKKLENTKKLEERRK KRKAMGLGEEFKAKRAGGDIKRTGGPDPYSYVSLGQAGSRKQKGGQKLNLTNKKKGSR H I203_03126 MIPQHHPHPHDYNSWSYIRALLDSSIATPAISNSVEQVTPQPPT SAGASALGGDIPSNVDDMFQTETGLEGDDEDDDDGDMTEGPSGKRQRGEAGPSGFTYV EKDGEPSRRKIKIEYINDKSRRHITFSKRKAGIMKKAYELSILTGTQVLLLVVSETGL VYTFTTTKLQPLVQKPEGKNLIQACLNAPDGFGPDGQPLGGPVAPTKAKNGGLAIRPH KLTAAASAAMAASAQAASDEHASHNQAQPQGQAQTHAQAQADAAASIGQGTPVSARPK KRLPSKKRQASSTQSQQPVLPELDIPPVPQIPDIHRQASPNTQGHPSSGGIIDPTLHS PLSAGFHMPPEYQNQQGGPPGQGGLSPNPHHQYYQPPHPPPQQQGPEGYPYYAPPPQH PHQQHQHPGYMNMHHHQMFQQQPHLRG I203_03127 MSSSDEAPRESDSWDPTNATQLESIKSSLATRGFQDDQARVDRL KVNFPTGQGITFGAARSFALSAAEGSNITPSQGTSSTATQT I203_03128 MVYNPSNPSKSLPTPDYTPDVTPASSPVQVTFDISLIIGITGSA GLVGTDLVHLALRQGHTVLAMDIVSPEDDENVKLPKDLEDYAKSRYTYKQISSLDYEG YKTACKSARCNALVHLAMIPNGADVDGRFGIGKPQYEVHNSNVAMSCNTLSIAAELGI NRVVLASSVNAVGMLFSHRPTFDYLPIDEKHPCRPEDAYSMSKYFCELQSDSYVRRYP SLRVASLRFHGVVRESNVSRKSLDELAGSWKDLWGWVSSPAVSRACLLGLTSSTRCFP EGTHETFFIVAPTICQQTSTLDLLDEYYAEIIKENRLKKSIRGNEGLFDCGKAKRMLG WEEYGFWYEE I203_03129 MSNNGTTQNQSTLPFTDHSQHSRPLRRYRTGPDVREKRDAMDAA AREISEGWRRAESDHNNYWSEMDDQTSAPEIRKPTTSKQSSDMSTNASEVFDNFDEKT KSQQTTSMMSSPTTTSWKNKISAKISDWSTSIGGKR I203_03130 MSAVREEAAVLTSEMAVAARSGGLEVVERNVIMEMNPVAVRQAA EASIKEAIAGEPSLLSQHLSHIPTQSTTTTTTSTISTTLNSISGATSSSTRTLVTQTL EADAGVTLKEVMSNALQTGVEEQMDEVAEIAKTLVEGKGPVGGILNEGIQAGAA I203_03131 MSSSAWPPELKSWVQQCLSKATASNKDAVNAELKQILFKAHADG TIKTTDWSKVELNALKAQTQRTTHVPLPPLPPPSTSFNPSTYLSSPAAGGSGTSTASE KKKKKKKNDGTAKSSSFPTPYHFTSSAEEQEALARRAARFQKPAAYGSGSSSIAGGVD RWFGGGEADESLNGLGMVPGQVGKRKMRGKIGLGYTGEEVMEVDPNVIDWDKHTIRGT STRLEKSYLRLTSEPNPADVRPLHILQQTLQLLKRKWKDNHNYAYALDQFKSMRQDLT VQRIKNDFTVEVYEIHARIALEAKDLGEYNQCQTMLRQLYELGIKGHPQEFLSYRIMY LLHTRNRSDMATLLAQLTQTEKSDPGVKHALDVHAALATSNYVRFFRLFTTAPNMSGY IMDHFVERERIAALAIMSKGYMTLTLTYITATLAFDSEEETDTFLQAHDAAIYVQPAS AQPNNPWKSIKPIPLHERIWDCRKAHAACAAGISKYRVVDLKGQVD I203_03132 MSIGDSQGKGRTSEVVATDFAHPEALSTGKISRPQTQEEWHDFI TKYSLGEYNDCSFTDDGPSSSSSPPKPSRFASDETRVTAGTTGTPNFTTITPDAFASS STSVSRQPSPLPTNPPHPPIRQNSANTVRDSSEDEPHDFHPDTSYAGPSNQDPDIPNI DQQELSSSSASPSTSQRKPKQKEGGDKGKSYKDQESMTIENMRQLDLEQQEDRVRERE TVGLTKDGDDESGKGSVKGKGKRGRRGHRDHAELASVAGSAVSVDSSEDEDPSRIRTR RRVPRRPRSRGSWSLRSRSPNNHSMVKRGTGPSLANALLESGTNDSTSVSAVEADYDH GRERERVKEFFETNGYMPAPKQTPEAARRRLRVIRRLGLEDIDPFHRETLDRFTRLAS TVFKTKSALISIITKDRQMFISEIGMGRKWTDLDVSFCCHSIMSPGSGGQCMVVPDAG KDWRFKKNPLVNEGQGPVQFYAGAPLKVGSGNRSTIIGSLCVLDSKPRDFTDDDRKLL ADLADCVVSELELIYSQQASIESAKLHQISVDFLRRSLKHRPNERAGQSRTTGRTATG TGTGTGSGGTGTEKGTATSSDSSVTGKRVSGADQNQDQNDVDIYDEACREIRNALDAY AVAVVDISQFHLFYPTYQNSSTGGGSTRGGSSTLHTKSQHTSTTGQSSFAAGTGSIAS STARGSGNVGADDQDAYSKNVDNKRPRQTYAVTDSLAPSRTPQVLFIPSRRRSDPINK YSYTGDSDPGSSDNLAVLGYSCSEDNFAFNFTSSPAARKIISDFIASNVKTRKVWYAR DDSEGIAQSITHLMPPGTETSMAMPIFGFDGQVAFAVVACWTDPLYTYPAGAMQFVET IAGSLLASVMKERLHRAERAQLNFAAAASHELRTPLHQINAAASLLRMALHGVLDVDQ KGGLNTHDIQQGELTLKLSQDDRVEALTQLEIIEANGSSLGGILENIIDTLDIGKMAS KIEQVQTNPDGTVIPPDLLRPRDKVDITDFTGILEDVVDEAMRMEAKTRKIATGSGLE NVEVILEVLPRNRGGWKMSTDSGPLSRALSKIVHNAVKFTDKGHVHITVQDVSRDVVL PGGYDNSIKLSTISIDIKDTGRGMSSDFLDREVLRPFAKEDAFTPGSGLGLGLAQRMV ELLGGKLAIASTLGKGTLVHIEIPLHLLNEDNDSDQDVMASGNDTSSETGAGNNNDPI RQDGIFLIGWTESKSPTLRRVGKSLARQLKLNFCRVVSEINYASLIVIPEGGVSEVKL AELCRAARPTVQIVILGKDHSMGALAPTLAKKHGYSHGHHHYHHHHHGKHAGSSSSSS LELDAKTNVAIEYLKTVPTIHLNRPLRPSLIKRIMRPADLPPPMREIYKSDVVGGEEA KEEAQAENASIAGLNACDNSSGEPDVAERDYADIPKMSREDQDLLYSQPNIVGQDAVT QSRDTSESSADVMTGNDNSGGTTTESSLISERGYQASINSDSSMVSETSDSGGLPLPS RFREMRSGSDHTIKGMNVQNSDESSLKVHTDPRFRLLVLVVEDNAVNRKILTTMLKRT SCQFAEAADGVEAVDRFNSFHPDLVLLDITMPRKDGFAAAAEMRHLEATFPADSPVPL EEVMKALAVTPSLASGEPQAATATTPTSTSQISTPTPTASASASPAEGGLVTPLLSPS SSAGPLSPTGSQSGYNGHHPPRRAKIIAVTAMSAEHQRRKGLIESGIDMWMVKPIAMR ELRGIVEKMKEEKMGWSNTSNTNSISGGSELARSDAESTRT I203_03133 MSTSSADQATASVVQALQTLYHDPDSSSKKRANEWLEEFQHSVE AWQTCHTLLTSPEAPLEGRLFSAQTLRAKILYDLSQLPREQLPPLRDSLLSSLSPLSS PTAPSGSKAVLTQLCLALADLALQMPEWENVVGGMIDQFGKDPSTVIVLLGFLKSLPE EAGNPRIPLSNDEVQAMLSALVSGSAEQVLGVLTMYIQATGVTTQIQISVFETLRSWL QAGEVMASQVAQTPLFDASFDALTSDQLFDAAVDVLCDLIHETQEVEDNVEVVQQIVP RVIALRPQLEKHKEDADRIRGYCRILCEAGECYKDLIVRHPQDLLPLVQSIAECAAYP DLDIVPITFYFWYTLAMTLGRQPSDPSIQPILDIYANLQTVIIGHLHFPGDDENQTAQ ERDEFRTFRHRMGDTLKDCCHVLGAPTCLKRSYDLIVNAMGKPSPSWQEIEAPLFSMR SMGAEVDPDDDEVLPHIMDMLPKLPDHPKIRYAAILVISRYTQWIDRHPENLAFQLQY ISAGFDMAEEEVSAAAAQAMKFMCQDCNQHLVPFLPQLHTFINSVGDKLDQADMVEVC EAIGYIISSMPAEPAASALKEFCQPLIQKIQSVAVAETEVDKPTLQKVADCLEQLDSY LSVVRSIDPLPQECHQTAVEVYGVLDSLLNRYSKLYYISERVSTVFRRGLTFFPSTAL QPIIQPLLSRMTISFEQTGYSSYLWITGKVTSKFGDVANQPENQALGELLLGSLQSVT NVMSRMLQDKVALEIPDVMDDYVHLLIAYLTSIPALVLSSPSLQPAISHVLATLTCHS PEIILVSLDTLVILSNHLPSNHRLQEIFMTYGKAIVGLLINGLIVQDFPEDALDQIRI ILNALTSTGTTQSQEIESWFAETINGLPGYVVPGGEKQAFLNGFHLHLIERSSSDKLK NALNNLVRAARRARDRGRQARKSLGAV I203_03134 MSSTIASTVISSIASVTASASTASSSPHITSSHDRSDAIFIVGI VMTTLATTFVMLRILSKTWVVKKVMWDDYITILAWLFFLALSVATIIGSRNGLGKVGV DLEPRWVGILRKCIYTFVVFYNPATMTIKLAILLLYRRMSEVQPWFRYGTYATMLVVS LAGIVCTFISIFQCRPISAAWKTDDQTGQSDDSGQCVDVIALFLSTAPINILTDLAIL LLPLPILTGLRMEMRQKVALIATFCVGGFVTVVDVVRIAYLQQALKSERLFGDHGQLN ANTQFGDYTFYISLSLSWSFIEISVGLMCSCTLVLKPLILRVMPAILRKKRPTGGMGI TQQEDYALTIRSASANGTESPEREKGKSPKSPQEQKSFVQLSPSPQGIREETDAVSGS ANGSRQARAEEEQTEQEEVFDFLSILKEEPPQHINGNNNINNYNKNQNKTSISNNNNT VPEIIRVPSILVNEGHGQGQGKKSNRSVSDGHDTNTKSTYMNRIRGYSTSTKKSAMVE KHTQGPTAKFFDFVNMGVNRHLTELGAREALGPILFVSILFFLWGFSYGLIGNLNGEI EGLLGFQPHESLGLQSAYWAAYLVGPVCVGYWTLTKKGFRFTFITGLTIYSIGAMAFW PSAVLTSFPGFVVSNFLVALGLSTLETAANPFIALAGPGELSEIRLLFSQAIQAVGSL FSSLLSQRALFNNVDQMRLFKVQWCYLAVSIFVLFLAAIFYYVPLSEATDEDLEIKAS TRFNHAEITKDCKSFGISTRVILLVTGVFVISNYVSAQECISFTWNGFVEDIKPTVDA QWMRTIGQGLFFASRTIASFGCYIGIPPRYILAFCITGAFLTALLPMVLPTGNGALGT LILHMFFEGPIFPLVFAMTIRGQGKHTKSTSTALIASISGACIFPVVSYKVEAIHPAN RLIALIVVCSLYGSCFFFPVILTVDRNLRRWVDPRWSIKKKGDQVARHKDIYGNMMNR PNYTKQMRDDLGITSNNSFTNNSQNGGRIGLGLNLNGAHLNQVTSFGSIRGLRGFEG I203_03135 MASSTTNSRRSASPPRTRIYHACQSCATSKVKCDGLRAEGCARC RRRKTTCSLAGLPGIEEIGTRRRSAEDIQHRGRRDESHLRQPLPDAFLDLPTTQYLAS ASPAPTREIDEMRQRIHTLESAYTGLLTSLPTTTPRSNSSSEITYNLPVPLITPPVTN VNLNPQLFSANPTPPLASALSEGIFIPYRMLDIAGFQKSYTMDPSTLIFDERTRCAVD PEGYPNMITRGHVTRSHVESNFQLFKHRFSLIVPMIPFLLSTRSIPSHPFIVLAALAY LQDPLPAAAVGMIEESILYAISGAACVEAILALYILTFAPCRSGFESKAEVAMGEDGH PEDLVESWSSDKLEELTLWEAVKNRYCILQMESSRCKALPTLYSHRFPKHPSDHINQC ISHLKIEANIIEGCRELVKTIGRIEVVVRYSWPEIAVLMALWIETKSHLDSTEGSLDD DQWLLRCTVACLTYSLGFRLGFAFFKPPSPISVTQVEQAEALTALSLIPPSKHLVEEV VPFLTSKNNISNNQDGKISHLPAFLLTTITICLATSRRVTILTKFSVPEPLFEEDLLL KLRIS I203_03136 MTMNSNTHRNSPEQLNKLALSTTAAEGLDLVRAPSAPPPLAPHA RDDIDESTSIHEKSLERGVEGDRLEEDVAEAIEEFEYPEGGYGWIVVGCCMTFAALTM GWGVAWGVFQAHYAEYTFPEQASKLSIIGGLFALFQNTTSFVAGKIGDKSGFKIVLFV SVFVYWLALFLASWSTKLWQITLTQGVLTGIAVGICQPMFFSLPSQWFHRKRGLASGL AIAGAGFGGAIGTLIIRALLKAIGPHKTLLIYSFINLTLMTVATLLVRTQPKSPEARA KGQGPWFDKRVWRLVPFHFLALCLLLNTFGYSTVLFFLTQYIKQVRGVPSNDILGALP LSLLNFCAGVGRISIGYAADKIGAMNTFVFVCMASAAAILALWLPSTTYNVIVAFGVI YGLIAPTYNTLIPMAAAEVFGPDNLASNIGVVLLFTAPAGLGGGLVGGQILEKTGEWK WLIVYGALLHAAAGVCISINKRIWVKV I203_03137 MPNQQEQPTIAQRVNHIREAWHEGRPAYGAITKAPGAGLVRTLA GLKRYGLDFLVLDAEHGNYDEKSLYDAYHAIAALGVSPIARYPGMGSEKWGIRVALDA GAHGIMIPLLESREQAEDVVHRAKFPPFGGRTSGGSFHTQAFHLTGQGEPAGRTLTQE EYVKNANDATLVIAIIETKAGLENIEEIVQVKGLDAIFIGQYDLALSLGALPQSDQRV IDGVEKIFQTSKKAGIPVIAWSPGDEAKGAIEKGYERIIVGLDTTVIVNAFKKDLATA GAPVRW I203_03138 MLKDLLVHFQALGNILKTQGEIKNSWSQSHHTSQKRFSFSLIMC KHILNAQVAIRAPCCKKFFDCPQCHEESQDHPLRKTMEMAFMCKKCKKAFRKDMTTYE ESDEYCPHCDNHYVIEAKEPQAMIGVEGEDARIDARMIKDDRVREDPQRSLFAQKDVS DKIDTPLWQLPGRT I203_03139 MEQIESVPVAVPVPVEHQVEQVDLVRALESIDNNHPLSIPVPLA IDAIHHPLPSDDAQRQDNDIDDDGQELHEWTREQLHAEIVKLRRLAKTHSNNTPIPTP APAPDNIDRQQDEVEKALEAVQRIREHVSVLPNELDPSLGGDHQNHDHLASTSLHASS STNADQRQPAGSSANRKRIKRKRDTNDNNPNDKDDNKDQKMIKRDEGTGKRLEKDRKT ELSKCVRIKIRSWLGIGMEEPLPHPHLPSLLEDGQEPGHGGILIPKWNQQLNDDSNVE WINKISNQVYEECLNGLYPKIPQSDISPETIDQTTKTAFVNMCKRYAQENDPKGEERR EKYTKKRRRWARKDLKQKRRTKTASDPSFQDLNLPLSALHIDYMSSEYSSSGEDTEGE LEDILSKRKELWEGMRKRQMEEDQPVPDGNGKNGWVVGLSEKILEVRTPRWRSETLND IYKRLDAHATQMSDTRSTSKNVSHKTQTQSTTLNASSPSSSVNIRAGHVAPSHRRFTM TSGIMRKGGLPRDLGEGWMWASGMGGVWPEEAARWIGGTGFDPNHTAVAGANNDQSAA TGFSDEPGHNVGIEVDAVQQHEEDHEQEQARLGLVNALEGL I203_03140 MTVQSGVDWATIPNAADFVKWPKNRGLASLFFFSSILYVGQFLN GYDGTITGGLQALPDWHTDLGNPDANRIGLMNAAAYIVGICMGPINAYVVDRFGRKWP IRWFTLTMMLGTIVGVIAGVKQGNTGYALFVASRAIIGSGIPPFLMTAQIMMQEIAHP RFRPQLAALWDANWVFGSTIGSLITFGTSYLNNSWSWRIPYLLQLVPAVYMLIAVQFV PETPRYLIANGREEEAHEFFIKYLGNGNAEDELVAFEWEEMKATIALEEASKKYTWRQ VLKIPGNKHRLGLAALMTFMPQLNGSNIITMYYSVVLAQCGIKGAAQTTGIGTGLNMW SFILQLSGAYSLKYIKRRHMVLVAWPCLAIGMAAMGASNGIYAKSGQTNKAAGIASVA MVWIYSIPNNFSQPLFYSYPAEVLNYSLRGKGMAVWNTVNQAWGAYGSYVNSIALDTI GWKYYLVFVPILSVQWVLAYFFMVETKGFTLEEIAIAFEGIGAAVSKVDQRLARENEV AQEGKAEEKGPEGAVSVAVMEA I203_03141 MSAVTDNPSGKLTIAFFKCDSLQKESIEKHGEYQDVIHNLFEPL LPKHLTLETLTYDVLEKREYPKDEELEKIDAIVISGSFEDEAHSDTRWILKLAGFLIK IYDEYPRIRILGICFGLQVIARAFGPSQIRKNPNGWEVGSTRMDLTEIGKSIIWGEDK KSAKEPENLKDYVTMQQIHSDIVSEVPSDFHLLGKSQICPVQGIVAYHPEDHRPPAFT HSHHHTLPSEPWHEDILIPFIDNYEKKGTFDSEFAEKAREQSKKEHDGERIGRVLLRV LGVA I203_03142 MCSYAAYQSVRSPSTEVRRFWNYGWREVQPGTSSALPYIIFSTR PINGSSGGPILDAASGAVVGVVSGSRTLSAVEGERGWGASAENIFELFSLPGFIPASR KKRL I203_03143 MAPATPFNPPSPDLSGKPHVPEWVPPPPTKETHNFAEFHSVDLS LLDSPDPKVQEDLVQKVKEAIREDGFLFLGNYGVSLEQLHRQFAIAQYLFRNFSDEEK QRLLFHPEKRGTWEGYKHPYGFKRERGTPDFYEQFNFYPPEWADHNLWPKVILPFADE IEAFATYLTTSVNRRLLTLFSRVLELPDDYLWENVQSKGSPSGEGYFRHALYSPVDDA TIKAGKGMRMLGHNDFGTTTLLFSVPISCLQIWGTDEQWHYVPYKLGALVINIGETLE IVSGDIGYLFAGIAGIGLLYAIFLLPELRGRSLEEVDELFEKPRFRWGWQFKGVVTTG VGAQIANLESHKAAAAVHPDVETGKDSDVAHNVVEVEDQKY I203_03144 MSSWPNVHNTTVSNPRLKLLNQLRTGDTALMTFIGLPSVRLAQI VSSTGLDAVIVDCEHGHVGDDQMHNAVAGIAFNGCSPIIRIRSPHPDLIKRALDTGAH GLMIPMINTAKEAAAVVKYSKLPPRGLRGQGSPFPGYAFGVPIPEYNKQCNETLLTIV QIETKEGVENVESICAVDGVDMIFIGPNDLAMSLLGYAPAKYTEPVFLEAIDKVVKAA KKHGKWVSKLVYNGQQAKDAAKDFDCLAVGSDSKILKEWFTEQVDVVRG I203_03145 MVSTPGAGPSRPTPPQIQSQPLPQPHSQSHHRSQSQSQTQSQSN ATQPTRPPKRLRTRRACDYCREHRIRCEYTNGSEQGSCAHCENFGIPCMKVAEAPPDE RPRAAKMQKSGGGSSARDESATMSESPRALIPGTVTDHLYLGPTSFSQQVHHHFSGTP FALDQLRYLEEKLELSYDVFQWAKGTGYLVGSRGNMASSPLPVSPQNRRINQSSAPTF SRINDKIVEEIGGITMWNKFCHGQIVPNNIHVPSVMYQAQTRIIPVWPVASVHESLGS EGPTEEFLQTFITHHPESAPITPPPRATRLALCTLAAMSRDVPSNIRESLLSALNAAV DAHDFATSSLSTVQLLLLLSVNDVLANEIGRPGRAVYNATRMATEIALHRNVSPTFSA VSHLHRRARVWGACIIADRWCSVRQGQMPSLDLSHADAPLPFSYPDHVVEPDLTLTPC FMFHLAMTRLAELLGRAYHLTGTPAGLARAEDLKLLLWQRDFEAWVEGLPAQWPYSFR LETPEAASILSLLAVSVLYTFLQPFFWPTAPIPPNLAYRPPAKMMEDLQSRSTAAINW VSGPGQYYLDIWPMLIHPFLSCLLVQAKGLRSGDPLAAVMLDTGIQSIQSWAECGPNR SLMHLPRDAISKTVEILKTEQVLVFPWIPATISNWMTEMNL I203_03146 MPAVPPGSLILVTGASGFIASHVAKAFLDEGYNVRGTVRSASKG DYLVILFKNTKGKFEYVIVGDIAKEGAFDEAVKGVDGVAHTASPVTFAAKRPEDLFVP SVNGTVNVLKSIKKNNPDIGRIVYTSSSGTVNDPEMPCPVRYDETSWNTVSVGVCEKL GSNADGGHKYRASKVLAEKAFWKFFEDEKPKFDGATIHPVMTYGPIIHQCDSPESLND SISRIWPFVSGKRTQKDLPEPGSSFVDVRDVALIHVRAMSVPEASGERFLASSERYSG DDVCVILNNEFPQLPNVPKGDDSPGARERINAVANFMDSAKSQKMLGIKYRPIEEVVK DTTQSLIDRFNIIAN I203_03147 MQLQNASTAGYGYVVSLSQNVTWSYPFLPGEPPNVIRYQFAACV PSNITIASTCCSAVNGAFVEESLNNSRSLNETELQSILGNGTGRGDYYRIGNLTTAAD AGQVGNIHWCTMAYNPISSQSLQGTGYQSSNSLGNVPESMNKWIQCFNDNVPQGAVNN SQAAYVCATDDVRKGGIIEGFNRYIEQKGNGSGTRLAYPSWYSVLGLMVGLVAWLN I203_03148 MTCLHESSRDGLQSTTTSTTLISSAVPTSASTAEKLDAPATLPD GCTLGPILGKTSRGIISLSKSSEEAIEEHEEVRVIWVDFPPGSQENPFFFSKRRKLGI MAVALFFANITAFETSSYSIGIPSMKRDLGATDLQAAAGISLYGWGFAIGPLAIAPLT EEFGRYWMYIISILTYTLCHLSHGVGKNIGSVLIGRFLLGITGCVGATVVSGSVSDLY PPQRRGIPMALFTLSVVLGPGMGAAVMCWAEANSRLEWRWIIWIQMIILGVYWPITLL ILRETRAPVLLRRKAKNLRDERGMQDGGRYTARSEVDKEPFWTAMRKSLSRPILFVTM EPVVTFFAIWAALVWGVFFIQIAGLPYVFKTIYGFGTTAAGSTYWAIVIGTVIGYFLN YFQDALYKRNVGRIGVEARLYAPCAAGIVFAIGCIIFGLTSVPNVHWIAPCIGIAIIL AAAFTIYQTCFIYLSECYGSHASSAVAGMSFLRVLVGSSFAMFTNQLFDTMTPRWGLF MIGCIALLLAPIPFIALFKGPWIRERSPYSKILMAEERKRIEAEGLMEGSDDLESRME HMEGESVMEDDRLRDEREHPHRLQSNHINDVHRKGH I203_03149 MVSIIGYLQFTTHPASTFQASPCDSTDTPLISVGHPFAGLSFEE KEEARRSKRREADHRKKDAAGRPGFRVAKEECWLIGHDPNWKEPEGSSKSDYDEAIPP FSRLLSATRDFEYSRQAEFQFTRSKRLFQLIRNAFGLRSSFQGRHWSGSGPHGYEYIA PTSHASRGNEYAPFETDSGPDVDEVCILLTDPETCVRGLLALARREAEAGWDFEPAHK FTVITAAFLSFLVHYDVLPEPALQASLRKACDIARSAPQALLDAKALEDVLSSGTGWN RANWTMFGGSWGGAERGGLEKSSISWGKQNANQEPSEGVADDGGWSVSKIFDDRPVAL TKEEAPWTAKEKWRTHNRINTDDSYDDEEYSANDDSNPLDQEVATVDKPDRLIIWVED LGEDPSLVDKMVGMGLRGRWGLMGYKNGSESDFTQWWAFKAKDYVLPSFWSPSSSTAS I203_03150 MPISSRKPPPSPQPYLAASTYSHSADSHSPAYAALPSQGFRNPS PAPPYDHRFPASPDPFTGTRMLAYGPSGPAQTPNIQQTYNPPYAIPPPQSAQASSQLA SNRLPFFEAALARSRGEVIAEPPSQTGATPGYGQPLPSYLPPPDPNHPNLAVGFTQSN TIRFATSHSPGYARESSRSPSPGLDESFEEGYERTYNYGGEHDVEKALLDNGNLLQDQ PGALNQRNWEEKPVDYFGGDDGDLSLPPFRGAVGTDPSGVPSIHIRGPTDPTILSAHP DMAASTTQHFGPAPTGRVGRRTHNAAGHRRIKQSATLDDNGFFAVDMPIPTRLAQFLP VKGVEEQKSTRYTAVTTDPDDFPASGLRLRQNMTDPPRQTELFIVITMYNENAELFCR TLYGVMKNIAHLCGRKNSRVWGKDGWQKVVVCIVADGRKAVNPRVLDCLAALGVYQEG AMTNKVKDRPVTAHVFEYTTSFALDADLHFKYPDKGIVPCQMIFCLKEKNAKKINSHR WFFNAFAPLLSPNVCILLDVGTRPAPKSLYYLWKSFDLNSNVGGACGEIATFKGKTWR SLLNPLVAAQCFEYKMSNILDKPMESLFGYCSVLPGAFSAYRWIALQNNADGTGPLAS YFQGENLHTGKADTFTANMYLAEDRILCFEIVAKKKANWVLKYVKSAVGETDCPDTIP EFIAQRRRWLNGSFFAAVYSLIHVAQIWRSDHSLLRKLALMLEFAYNALNLLFAWFSL ANFYIFFVILTRALEGDAFNIPRIDILNSIAQYGYLGALVACFIFGMGNRPQGSPWKY KATIYFFAVLTTYMLVAAVLCAVQAIKNFDSPVFSRMVVSLISTYGIFVASSVLALDP WHLFTCFIQYILFSPSCILKVVGLSTRHDLSWGTKGSDSVQESDLGAVQGIGKHVEVE LVSAQQDIDIAYQDALDNIRLKGTRVEELDNKKDNSEQAQKDIYANFRTNLLLCWSLS NALLASVILSGGVTSTFDSAGDSRTAVYMLIILIFVAGMALFRFICSTMYLIIRLFAG I203_03151 MDMNMDMVLLKLKTLETLSHEMINTIPFNVVPRHWLRLHTDISL LRVIHDLITGPGEGTHEEVEVEGFWMEAIRRLDKAIIIAGAIGNGRKKWVLDLIKITQ SKLSPWRCDDVEGSRSRAKRSKIEYAPIDTSLLYAPNPILILEEPPSIEEYLQNHLNH PFIIRGYLKGTSSSPPWPACDRWSSAEYLLDTVGRGRVIPVEVGKAYDDVNWTQRIVP FTEFLHRAGFKMDQTDDARRVTGPGSPLYLAQYALFDQFPELEKDMSFPDYVWSNPPP PDETSSYTSPPNDDGLIVNVWVGSGNSEIISPAHTDPYYNCYAQVLGRKRVWLAPPSC GPHMHAYGEKTEVGDGLADQYMTNTSKVPILKPIDDFKKLESTYPEFFDHVWPRSLET LLEPGDMMVMPPGWWHAMRAEGTDPAWSVSMWY I203_03152 MAIFNRKSKDRPSDVDGAAAPTEKEKVKWSKRPANTAFKQQRLK AWQPILTPKAVLPTLFIIGLIFAPIGALIVWGSGKVTTITLDYTQCDVDAPTDGSFQS MPSSAYDYAFKSGSSDTKSSISAPTWSFSNDSSRSVGQEARCEIEFEVPYNLGPGVFL YYKLTNYYQNHRRYVMSLDTSQLKGDRRSASQIDSGDCKPITSSGGKPYYPCGLIANS VFNDTFGPVVLLNAQNGAQNQTYNFTEKGIAWGGVKKNYVVTPGYDSPSDVLPPPNWV RRYPDGYTEFPNLYEDEHFQIWMRIAALPTFRKLWARNDDEVMTQGRYRVSAYMNYPV KQFTGTKSIVISTVSWIGGKQPFLGWAYIAAAILCVVLAIAGLIRHLVKPRKLGDMSR KLV I203_03153 MDLAVRENSQRAARWAKGVKVGEGTFANVYKGIERSTGRKVAIK KIKAGEMKDGLDMTALREVKFLQELHHPNIIALLDVFSVKQNINLVLEFLDTDLEAVI RDKALIFQNADIKSWIAMSLRGLEYIHRNGVLHRDLKPNNLLIASNGELKIADFGLAR EFGDAGSKMTCQVITRWYRPPELLFGSRFYSTAVDMWSIGTIFVELILRVPFLSGETD IDQLKKTFHAMGTPTEQDWPGHTKLPDYHEIGSYPKNPWWNMISSIGKEGQDLVKDML KYDPVARVSARKALEHRFFSSYPRPTPPASLPKPLAELRPRALAPDETQGKPALKSSG SGAMKRKAESPQPGRNVARKLVFA I203_03154 MPNAMSDGPSPVRLSPASSLKRRASPSSSHSSGPSHQSPPLSPE IGYNAAHQYSTNPPASGGTASVRRREANRLAAQRFRSRKKGYQDSLEERIRVLESEKE VLIRQVDESLSRTGHPSTSLQPRSSDLRHAQADGDGVDGAHWPGKRNSNPTHRSTSPE RRETPLDADVRIASLESANRRLQDDVRNLIEENEQLRDEMVIILVNPIGRIWWMAILM IVLIAAIWWMEIIFLL I203_03155 MTYGALFRLPAVSLRPSLQHLAASSSCRSRLRYASTTSQAAEKI DSRKAFSHTLLLPKTNFPLKHKDVVEAEKKYRLKTSDLLYKEQINRKDNPLFVLHDGP PYANGNLHMGHALNKVLKDIINRYNLIRGKRVHYVPGWDCHGLPIEHKALAAIGKSHT ALNPTQVRSEARKVALDAIDVQKSEMKALGVMADWDGENGTYRTLADHDFEIRQLKLF KTMVERGCITHRLRPTYYSPSSRTALAEAELSYKDGHKSRSVYVGFPVAEEDMSEGLA EVYRRECGGKGKLELAIWTTTPWTLPANMGVAVHNEMEYAVVKSSTDRILVIGVDRLE PMEEILGRLDIIGCLPGSKLVGTRYTHLFHPPSLSQPKPLIFAAGHVTSQAGTGLVHS APAHGHEDYEAFIAVGMLPEELRCPVDDDGRFTSDLESWVEGVIASSLVGKEVLGKGS DAMVDLLSRSDVLLAEQKIEHRYPYDWKSKKPIIVRATPQWFADVEGVKSSAVEAMDR VHFHPAISRKRLEAFITSRSEWCISRQRSWGVPIPALFGPDGPIMDSTTLDHIIGVLD KKGVDHWWEGKDEDFVPPHLQGQNITRSFDTLDVWFDSGSSWTLLEGLSRQPLADVYL EGSDQHRGWFQSSMLTKLISSTEKIPPYGTVITHGFVMDEQGDKMSKSAGNGLSPMEI IHGKKQFTPRGADILRLWTASVDYTNDVSIGPTSISNATEAMRKLRNTLRYLIANTSA QHEALSDVSLRPIDRYILHEMSHLESVAQEAYDSHIFNRVLHSATTFATSTLSAFYFD IIKDTMYCDDLESPTRKAIVAVLYHVLGRMTSLLAPITPHLAEEIYENIGGKEASVFL EPWIPDLSWLNPTVKSKMSQIISLRAEVQKLVENARAEKRIKVGNQTEVYLSKILGTG NIGKSPGTLSAMLGVSSVGDLSSADKRAMAWTYESSLEVENETISLLLGPATRSQCPR CWLYNAEKENSLCSRCEEVINVT I203_03156 MSVLLPSRGELDVYDPNNPFQSLVSQSTFPLATRPAPATAAAVP AKMQVHKNRSVSHIQEGKDQFDVFRSWKKGIDSIAPKGSPANREVLASVNTFIASKSR NALSSLIPRPSATPDVFAPELKATPAGTSTNNVDAVLSSKRPRDLTQTSLSSVPQKRV KLEKDAVDPAVKTARAEEEKWRAKWVKVFPTLVFHFEIGVEEGAGKNLRHRVSKMGAK IDQFFSTRVTHLIVKGHASPQKPKPAALLGRDTNRESSKNPFLDGTGVTDLAQKAEAL NIKVWTVKKLADLLSRISPVENTNHDSLSTLLEDERINGTRERDLSAPRPDYYYFKPG SKYLLVEDATSKHRTVMVKEYTCNQKDGAEWPTLFDGFLRVSSSMQTNVPVEKIRERA WKLYVERKPFEGEQPPHDLKRSTSLRAFPTTPKLPEAQPYHNASGNSVTLTSTIASTS TAGTPAFGGFNGLPGLGANKDRAIMQMSKRVQVLKGNARLAAAKRDDSGYESPSALPT RRASMGYSQPAKTFMTQEQVVKMLQQAREPVHETAITVQMRMRNREKVDMGLKGREQD TAAGYCENCRLRYTDLSVHIASKKHRRFATNDENFEDLDRLLYTLQRPLHPATVILKY PPCNDLHEKDDDCYKCDVDMASETGSQECRASEAETQSPGGDRGPVMMIDGHEYRLSD DLEEMLSCEAHY I203_03157 MSSSTGGMPMSDSGPWVGTQLHDHQKVGLSKMLFMENDYRSLYE TMIRQDSSLKNRQFLDLSSFSHMWTDEGGDQWSDHFSQTLGKDVTRPLQGKGMILADE MGTGKSLIVLALIEASVGAIDEWMSVSAILSEEPTYRLPKEYPMSKIFVDNAPLDNPV ISAGQSRFWRESAEIDSVCRATSATCQKSRATLLVCPKSIVGVWEEMIEHHWKGSNAK WWKDSTEVLRPQNRPLIVYNHYEKQKEEKIDKLKNASIVITTYDALTLSHRKKGLLHR MLFYRVVLDEGHHICNSKTQRFKAVEALHKRHVHVLTGTPVQNHLSELYAYAKLFNLP SGLTSQPFFEGYITSPALLGNTTATRKFGEVFSLRRLKKDLGDVNLPSKTVKVFWLSK RMLIEALEGVEDRSLVPWTSSTAGAEDDKESRAEWLTTFKTRRKHWWAKKYRPITAKE SIKMKWFRFFLEKQAHGKIVIFHHWKHTAKIADKILKEMQYGVVYLKANMSIEDRVSY NDKFNKDAKRKLCLLASMKVGGEGLSMVGASACVFLDLMWNPAWHAQAMDRLHRQGQT NPVTVYMPMIRATYEEEIWLRQDVKRGFLNLIYPKDPPGKLRLCDYPPELLQWLRDNP DPQEEGNENDEVEDMDMIGGY I203_03158 MSHFPPFPPTQSGRYSPSRAYNDRPPFPPPIPPIPPRDFPPYLY PNSAPPPPPPSAYRPYNGYPPEPPRPPYPAYEQPRPSYEDHYDPYQPSANSYNAVPPA IRIPSGGYSAASAIEPPRRHSRQSSPPRRSIPPHARSDSRSIPSGPPDLTLLTHPTTV NIGGFTAAVHSLPPSHLPITKYVSLNRDDAIDLHRQLCSTRSSVWYADGSSRAGEAWC AAVEWKVNSNLSGSKMRGHILGGDALESEFGGIYKAAEGFRELLQQSIKDGVPISHEL TVFCDSQAAIIGIDTSSRLEAVKFDQLWREICTEYLHAQMTLVWIPKDSGVEGHNLAD KIATVGASNSYLKRRKERTLADVYMRPGGGEPEPSASSEPGPWQRGDSEPSRPRMPFD RPVPAALSPPILTSGEPGEYNDLELDLGAMQQPSAAIDGEDEGIQPREGAIFVTHVAV DIFHISPALPRYANVTYSDPASGIAAINDLHRKPINLDSPFARENEADLDLWKNWAGQ LTVVLHEPPRIVPAAVEADFPDLPDWARGEKDAAHKNEEDETMEVEGQIKEERSPSPE IESRKRERESHPEDRTVSAAESIAPPSPKRARQDIDDTTPNSAAEKQLPRNSDSIPTA DSTHIVPTLTQSAVSNLTEDSPASQDPITSKIASPQVAAPRDTPPVIGLPPFPLTLKA NDTAKVPTPQAQPISLAPMNGHASSHPTNTLPPTPLTAEKPSMGASIPSRSPRQSTPQ STTSSSAKPAITNSASESQESPIKISAKTLRAHLILVHNSLSKHEIDNWIAHTCLIAH DIDHARRALQADLYATDECFISGRDLERALSARGFTPPRVDAFITKVLKVLDGIAAAE EPESDQPDSDEDIERLQSELTESLSNYPNETKEAMTNAARVMEFLMRGKELQEKKRLE VERRVKVLEGMVKVGEVVGGVVRYLLTEDK I203_03159 MSKPLNADAKRLRTIIVSFPILVVTTAILYRRTMLGEEQRKIPR DTTDTKIAQERIGQVGGVPWEVQGKEHASQRSDSIS I203_03160 MSAPAAIPTPPAPIAAPIPSEDQIARKFDNCVADLLVNAGLGFG VGVVASVLLFRRRGWPVALSTGFGAGVAYSNCNYSLNPYVLPGTKVLPANKS I203_03161 MPPQTIPQPILEITNSSSSPLHSFVARANITPNSFQTNTVIAAC VMFLAILIAWNLPILRDAIAGLKLFTVGVHELCHLAMGLICGGQVVSICIDPNDGGAT HVMGLMRTTPRIPRNPYAMPTFAQTFWSPSAVATLASGYIGSSIVGFLFIFSGFNIVA SKACALVLHFGLLVPVLRADHWIAYASIIGCEALLIGLWFGDHGNALRFFVLFVGVMN LFYVVWDYIDERLFDKRNTSDCAQFSELLGWPTSSWFIFWFVYDSLVFTAAIFAGICV FRRTDEQMYREA I203_03162 MSEDLGALSSQQLQQSLEPISIPTSSPQATFTNWAKTFKCQPQR VFAPTTALQCRQIIELARREGARVHPVGVGHSPSDLACTNGWLIRMEGLKGVVKINHE KQSAIFMAGTTLHQVHSSLAATSPPLALPNIGSISDQTIGGLISTASHGSGVTFPVLS QHVRSLVLVLPLPGAPVVKVSPNDDPDLFKASLCGLGATGLMLEVEIEVEEAFRLKET KEPKSVDEVLDNLDEIKKSAEHVRVWWYPDGKGMIVGRANRTYQPAQPTASLLAHILG FHVTQFFLFVSRIFPSFTPFVGRWAWWLSKQESEVVDDGYKILNFDCLFPQYALEWAI DASQAKQCLEEMRVWLNQEAADHDGLRVHFPIEIRWSAQDDIWLSPSYGRETCWIGVV TYRPYGLAVPYRKFHEKFASLLASHGGRPHWAKQHSFRPKDLEVIYPKFNDFRSVLQR VDPNAIMRSENVRRHIDGENVPDRVFKTR I203_03163 MKRRKPALISTAEPLSDDEFITESRASAKAYAEVQKIQAERERI KEDNKERKKRGEQALALPKLPGTKRKSEGVFKKDEYESNSSEDEDEESWLEYGEGKRV KKRKRDEDLKYEGQVILPFNPLYHLSGLPDPENKAAEAEAAKQKMIDRLAGRKSTSSP VRSSPIPASSSSPMKPSLCTGISGQDTEDNEDDRLLEEAPEGDYDDEENEVIVPWGRT VKVEKGNGAEIEEEGVYSEDEELFAKTPTQTKPRKSTSQGGLESDDLPPYRPTFPFSE EQAKIGPYPLDEEATDIAVPQSINRFLKAYQQVGAKFLYEHYKQGMGGILGDDMGLGK TIQVISFLSAIMRKTGTSADHQRRKRMIRQSSEDLNPRHWPTALIVCPKSLVGNWSRE LDTWGYFEYAIWRSDNWSDVRSSFMQGFHDIILTSYDTARNTIEHLKSIPLSVVIVDE AHRMKEPGAQATLALKSIDCKICFALTGTLVQNRMDEMWSVLDFTHRGWAGTLKEWKE FAVNPIKRGHRHEGTAAEVITAIMRLGVMTQKILPHFYLRRDKRLIAHELPEKRDMVV FCPLAEMQIFAYRNLIASEDIQFLLRRNDPCECGSGEKRIKCHHPETSRGEKVNEVLL KNLAACKKVANHFGLLYHAKDDSPHTRAINRHFFKICVCMDPSKILSLTKENRDPGNC GKWTLLEQMLLQWRNNPDDNKVLIFSNSVRLLKMISEFISTSSTLAGFAFDMLTGEVG NTERMDMVDRFQDRSQDHFVLLISTLAGGVGLNLTAANKVVIFDPDWNPANDLQAMDR AFRIGQKRTVDVYRLIGQGTVEELMYERQIHKQQRSRQLNDGTFESRIHQGFDGARTE KDQGELFGIQNIFRFDPRGFVSRNIERVRQAEDRFVQDLIEAEYIDSEGEDEDDSEDE AGRTMKNERKARDLHRAHLRAASQRGGDNDAVSRRREEDVVNDILGHGSISAKKDNDD ILQKLGVNTRIHEQAFRDSPEERAIYEIGVQVLRDNPDLARKIKANDLGKLGRSVVRR KKVIDADNAHDAEPWKKRIQERTEKANSENSKEAQRVLAELSD I203_03164 MSAHPLIDTHVDLPLILRAVKAAEQIAGPYPGHVDVPKLRQGKL GGIFMAVRVPCRSGTDYFLPSDDVRDALEQVDQIQLIMEEKEFQYARSSEDNFKAFKA GKFATFIGVEGGHMLGNSLSILRIWAQLGVRYITLTHTGHNAFASSAGSEDPLDPLYI DGLTKLRLIGTVMIDLSHVSDETMRQSIQISKAHALFFHSAARAWWDHPRNIPDDVLD MIRSEEGKNNGVIHCVFCDKFVGLEDPTLQKVADLIEYIAKNCGRAHVGIGSDFDGID YSVEGLEDATKYPDLISEMMARGWTDEEIIGLMGGNLMRVLDEVDRVQQRSKDQEPSG AVYDQRTDDNLPGLARGHLEERSNNLTLDIED I203_03165 MGNIISAIANGLSAVVSAIASVFIAIFRGIGAVLIAIWNFITCG MCSGGRRGGRGTV I203_03166 MSGVDSDSEQEYEELKVEKKIAFTVKRSTDTGDLQDIFKLSDQT PFNRLFKAYHDKYGIAQDTYRILYYERILHREETPKMLEMKIGRAYTLDAHLFQQGGK I203_03167 MPRSIIGSPSEPTTTTKTVTRTVTTGFQTEIITTAITTTIIRKK WWTDSPDRGRSSIDMSEERDPFQGDIQHAAHVSGDCVRLFETMSSTAAVSFLAAIASQ PLRDNGNNGNSARWSVEAEEDGAILGFFNETMKKDKTDWSGFRSIYKMKSHVAFQKLL ESYAGGHYDTLRLLFNGSRLSDLAEHTPRMEEMAPGKAYEIEIKNEQVGGMPACVFRR MLKGGPTFDRNNDI I203_03168 MYSTLQRLNHISSLATTYVMILLGLISIASFLSLPSVDVGKVEI KDLIVQKGRLRRWGARQEELASLKFDIRTDLNPLLNSYNTKQLFIYLTASYEEQSTGH THDVVLWDRIIQRAEIRDIRAEAKNKYQWRNPSGTFKNVEYANMTLHYSLMPYVGILS SGVAATAQGPVTIPELIKR I203_03169 MAYPRRTIRPSRRSTSSSLMSRITLFAFVLIAVICFLPVGYQVR AEDKEVDVGTVIGIDLGTTYSCVAVHKGGKVEIIANDQGNRITPSWVAFTEEERLIGD AAKNQASNNPENTVFDAKRLIGRSADDSDVKKDQKHWPFKVVNKSGKPMIQVNHKGDL KEFTPEEISAMVLTKMKETAEAYLGHKVTHAVVTVPAYFNDAQRSATKDAGTIAGLTV LRIVNEPTAAAIAYGLDRTGKAESQIIVYDLGGGTFDVSLLSIEDGVFEVLATAGDTH LGGEDFDNRVIDYLVKQYKRKTDVDVSKNNRAMGKLKREVEKAKRTLSSQMSTKIEIE AFEGGNDFSETLTRAKFEELNMDLFRKTMKPVEQVLKDAGVKKEEIDDIVLVGGSTRI PKVQQLLKEYFNGKEPSKGINPDEAVAYGAAVQGGILSGEEGSSGVLLIDVCPLTLGI ETTGGVMTKLIGRNSVVPTKKSQIFSTAVDNQPTVRIQVYEGERSMTKDNNILGEFDL NDIPPAPRGVPQIEVTFEIDANGILKVSAMDKGTGKSKSITITNDKRRLSAEEIERMV QEAEEFADEDAAVKKKIEAQNSLQNFVYSMKSQVADKEGLGGKLSEDDKETILSAIKE KTEWLEENPSAEAEDYEDQLSELQAAVAPITAKLYGGAGGSSYDDDQQPFSHDEL I203_03170 MPGSNTNTTTNTKQAKSGKLNPLWYTYACATLVAAVVLGNLLRY TILDWSDPYHCSALLNSGTGKWLDPGTWRNWQPEGCFQLPLSGAKFQKCLSISGANTP KSPFASSYDQKRTALFVGDSTVRQLYFAAARTVGGVSKAWEVEGEKHTDRSLSLVDPD GNGQLDLEFWWDPYLNTTKTASLLSGGRSEPASLLVMGSGLWYLRNPSSGGLAAWGGM IHDTFESLKDNQGSPKTALMNPWDDMRLGSGVILPGLLPLDSSAPTIEYRRSLNSNLT SRDVRVERRAMDFSIADSVIFLPIPNPVHGKLSPSRAETIMHTDVEAMNADLYARLTH PNPPPVIIPSVLNDLLVDEETEDGLHFSDKIMNKQAELLLSWRCNDIMRNDGATGTCC KRYDWTTPIQSLILFLLVIWAPVGMLAASRLPSSSPILNYLPSSNIAPALSTFGLAMG YLFIADRTTVFLKEQKDYDAMIFGGLTFAALVAGIATMKNGGKDLGFLNRDITDEWKG WMQIAILIYHFFGASKISGIYNPIRVLVASYLFMTGYGHFFFYYKKGDFGFQRVAMVL VRLNLLSVVLPYTMNTDYAFYYFAPLVSWWYIIIYFTMMIGSKYNERPTFLLAKLFAC AGLVTLFMHYTFLMAYIFKALNAIFRIEWSAKEWSFRVTLDLYIVWAGMFCAYGYIKM KEYQIPERPWFNTARTATMVGSVLGMIWYFWFQLSLENKFIYNNYHSVVCIIPIMAFV FLRNASPLLRSTSSRLFCFIGQCSLETFILQFHGWLASDTKAILLVVPATRWRPVNLV ISSICFIWLSYRVSGATGEITEYLVGNKKNLPLPVTSNNGSASAATTVAGGNGTGTTS AVVHAVVEGPKDGAEGGIPESIPLMNQAKKEIPGLELPQSEGMERRESWPAWMAATAA SMTGRPSVAGYQATDRQWKDQTLLSIITNIRTLAQQHNSIKLGLILIGLWVLNWLY I203_03171 MTNASIEFPFHVRLRAPRGVSTLDFDPDQTTIEDLRILIFSSTE IPPSEQELKYSYPPKALPDSNNQQKLSTIPITKGEQIIVTSLPPATSSAQSSTSASTS STKVGPGTTAKIPTPAPSIKPTNESISAPSPIHSPPRPESPLGQNDIPLETNDSVALP GRDAGYLQLRVVPDDNSCLFSAIGVVFEGGIEAAQKLRKVVADAIRDDPFTYSDVMLG QPADQYIDKMQKPDTWGGAIELSIFSKHYKTEISSFDVASGRCDRFGQDDYDWRCLLV YSGIHYDALTLSPLPSSPPSFHTTIFPITDLTILPTAQKLVDQLKSRHYYTDTATFDL RCQICGEGLVGEKGAREHATKTGHVQFGEY I203_03172 MIFLSTSNPSPSTSKLNTTSLHPHPPKQSPTLSHLVSNSASPSP TSSTSSYLSYFDNRSFGGERRESACSNTSWGSMGETPSSKPPDKEDISMEEEKPINPR MLSDKSINSVFSSGSGSSSSSSPDPKLSTPELSSSTEEKNPPFLAAPNGVNQISPSSS TASKGECTPRAEIPPPVWPHTSSSSPARKSDYYEEPSARASTGDESKSSTTRKPSLRR NGSWRRKHASLDSPPTAPHLDPGFPGFTALKHTPPLGPAISAPAPALAPSQPPSLNLY TQPEGRLAPPQSTNGNGSDPNSPDVRRLSDESFRSNGSGSSIIAQSPTTGCEISAPKA PPAGYPLPSVNGMQRRFSVPDQFPSPSSSTSMSTTSTSASSSSSSSNRSNSNKSPNPL PPPPRWACPPAKTNAVSGRALSFGSSQDRPDVSFDDFDPELFEGSENEWIEIIKGAEG RIAIKSTPHLYDIMVWLPGFSLDNITIATRGTRTIHIVADQWDEGDHAQWDIKLGEDA NLKSVNAKFTGKELRVTVAREQRFTNPRLMRMSSSNRPSFNSAFSAPSITASSGHNPL ERATVTR I203_03173 MTSTPPPTSDIHLVDLESPPSSPSLSRTKSFASLPKFTFTQESL IPEPLTRKASSISYNSDKSVSPSQSQEGMKEKTNKHGEEGNQDEENDVGQDTIISPTA LLSPSSSPMKRDGSGSNSSSGTATSKGSLSAAATPFMFVKKPRQLSIVRKGTPPSFNF DDDHQTAIRDTPTSSIRSHIPYSASSKHGHTQTLPIYPYQTASPSYGDWNLHLNPYDQ TITIQENKQGDVTSPRRSKATRIVSPLQDSSKAKKSRIDYSSSNDPPPSSFTPAPTKE RKDTTTLRERRGKAEILSLYSQSQTKEAKAPTAHPTSNKQDGQSVQAKFNSNSYPDPP SVFDSYSFSFSFPPSSSSSTPAGNIPSPIHTSSALLAKATSTPLPTTPTTASSVSMDG VPNAQEVSSPLPKEVPLRHASTMRFESSLPTTPTDPSSRPRSSQAITVSVVEKRTEAD SDILQPNELTPTAELDRMEEILQEIEEYGQIKLDRKEAEGLYRGRMEEVMARIKNAAM YHICLDPSKTKGSPPQGQGKERTLLEELYRSKFLDFQAQNLTLKREIHECQNANTTLK EENEILKKSERSKQEELDEAYKQFGHYKAEADVVKSKIQELLIQQHQLELDKLHSDKK GAKVVKMYHKAVKEKDIWEEAANELQQRLDTLKISRAVTETEKPDRDKELPFVAVLLE GHARMFDHKLIQKGEAGGRELANRLDDAVNVVWKSQNREWSSYMIVVHLFVDVVTVTK QLRTVGTTRDHAQFRSFLDGLTAHSELSIAQDCPDSEQAYDKMTEYIKLYAHTPNCKI ILMGMPEAGKYLRFLELLNTRRMRDILHGIQSTKEAEDPLRVLGAGRLVEVPGFFPME EVEWTRLYQQSKNSSVVSAASSIASSRAPSVASRASSAMSNYTIRAQSSHRERTEPSC QISSSSTIKSPQLADPTPIPPWNRPTQSVKQAPPATSDFISVIDRRYNLSPPSSYRNG KSTIRSVTSPTPGRKTTSKNKLVVPINPETDNIGGSPPSSDEDEPSVQLPHSAVKHVQ RPTTIVQDASDEDDDDTGLPEGFKIRSATNPTRKLSNRIGPPYPTAPPPWDRKASTQS PAQAPRSYKDIIGKHMTRDERSASISSFSYGSVNSIPLGSRITRSSSISTEPEDRMFS SGPQDMGGHRARRKAEDYTVCGLRSMFLQKSIS I203_03174 MSEMNNRKSVEKCEKPEPAKKSKNEIDHKITTDTAAVDQLFQPL QKEINQLQAKYNEKEKAYSLLSQRLESRVKSYDRLKARHDEFRDLCDAMKDRYASLEQ DYGSSMVSYKSEQAKCQALEQKHKSLETTNKTLSAQAISQKSEIKQLKAELAKEKRRS IYYKGEDIKLISEMLATTREWTKKFEKMDFEANVAFIEMNKYREMEGVGEIDREGFDE IIDEYR I203_03175 MSNQPAQRKSIEKSERSEITKKSRIDHCNTPEPIAIRSHIPNLI ASLQTATEALQDDYDKNQEERQSTEKWVSELEKVVELLRDRRDELEKECDELDEKYDS LDEKYRVLQSSEAFQKNEVKKLKDQLTKEKSKNEAFSIDKRKMVSDMLGKNKEWLNDL EGLETRAKVAFSEMNKARKKNGDPPYSKEDFEKLVKRYI I203_03176 MPPRAGPSRPQSRPKGRPSFIPSTQFQAGPSDSRARSDREESED PLALSPIKVTPTITRTRRSTRSSNLRSSSPASVTGGLSSSTQRVTRGSKRRDREYEEL ELGEEDLVPPSEDEEYEEWKESRRARTDEGEGKEVVLGIDREAGMEEEEEDMAKGLRR PKKPDKRLDHNRTFESLLPSPSPPSPTPSISSSITDARSRETSPTQPLSFSQQDDPFY TVYEDERLTLDEDARARWEHDELDLSSEVPRSESLDRPLEEVAKQIDEGKYRSVISQA VSNLPLRYDINKDGVEAETVDESSAIARNDNATPNEESSDHSTRSRTTTPHTVPMLPT RDEGFETLLPDDRVIDESDSSVDQEGLASMVVDEDLPAKQSSQISRSSTPRTLPFMPD QDQAFETVLPAIGLDHEDLSIRAHRPPTPQTSTDLVDHSMISPLRAHSPTPRSSARDP NDENPFTPDEVLVSPSKQPTLFRQSSPAKSLSPIFAAVAELPDNPPSSCIPHLPAPSP PPADPALAQFRGARTFRTRTVLQLQPYTKERQIYEAALRKGGLKKGKKAIAPSKEITQ EEAEEDEARVSESSEASAEDESPERIVIGNTPPLNKPREPKKLVDADFDEYFFEHGTA ADENDLDHMKDLQKIARRRLKAEKEERKRLRADEKEKREFARLMKEMRGEKEDSDEQH EDGGGQQNGKSRISLPRPAVRTIRTPAGIKTYGNKGRTQRAAVQRPSSDSDEDAQPST RPPLISPSKSQGNTPTASYRTAFSGFNDADMDVGYGQPQDDSDPAIDAATSHSPLERQ NSFFIDDLARSPSRPRASFGSESDSASSSASTVHDRRQKIARRMMPAAMLRRLEAEAA AREHRKAENKKKQQAATESPIRPGRAVVRRGGGQVNMNGLEGLFDEEDPDDILEQTPT PSFSRYIPNSSDQPIVISDGGSSSEAEEDNQAEQTLARLHRGDFESIVSGKRWKSAVK TNHQAQSRPKARSSHSRRPALGLAKRVRAPISENNRAMVQKRLDFPVMDKSPVSSLKK KRKRSFHQGKQQRPAIRLDDHVIFATADFEFDEEDDTPTRPSTKEKLQTIPRHFARTT SANLKQSKSLDADMGKARSWANFDKFPIDFDITPLPSGLHCIANSIPGSGKLDRLVRE IRGNKDEEMIDSCLDYGIELRQDMSPSSIQAVAPLLFDAVHRRMVVIANQDDAEELEL ECFRFLGRYVRHHHHDIDENVTLLKTEIEDAIGQLEGKLEDIDIGRAKQGREALLNLR WALVELSCRLEIDNSSSTGEAHMSLLQRASVKLFHHLLSHGFDKTIRPLKQIMRGESD SAEIKDLTVTLWISLIHVLIAWDERECRSSNDTFLTYLTQAFDSVFNLDQTGPIAAER VWFLVFGLCALTQFDADGRIISVFVTSPRWSLVRRAVGLIKVAFDEDAEKRAHLDQLQ GRDRYIKVVMARCVRLSAVWKWSFDRESFSVATRDLGIIFKDRQYRNLPTEPSVDYPE FITRFDMSLTAADDTKRETAFELYLRLVCVAASDIISAAQTLSEAQQAEKDVQRLVMS IIPVSSVKFNRIFPPSPKDLGQLINRYSTMIASCYFSPSLLTYLLANSKKWSAFEEAD FDSRQICIRGLMYLSVARRHHKQPLQPVVNRLAELMGILQNELEILTGPSNQTLGVGP TKLEIERTMVLIVSCFRQMIKHHSFDVEEQKKAVYPDPCLLHESWTVRIFDLELAKDL KCGLEVIATIQSFLDTRTAALPKLAKQRREAKESHSESFDEFGSLGIDFTDADVLALG GELGEEENEVEKQDEEFARIIEEVISPKIYRLLSDMLPPVPDDDARIEHDADQQVFIS KLTKCWSDCASVLVVEHQQLDWSTFISPFGRQSWARLGDERGRVQVGLHFMLNVAQSD PAAFSHHEEDFIALLFQVIGTDKLTVEHHYLSALLAMPGAYNHPLLAPFGSIKSLEGE LSRDGFMGVREVALRSIFSALPDLLKSSKTPASTKSFIYRCINLFVSSIISYDQSIKP NKVIHKESYRAFTDTIIRDVRKFAGEYINPLSVPGLKHFDS I203_03178 MSCSGNPSNCSFCGNTETNCAKVEVNGCTTNPKECTVCQGNGCV AKACTGNTSTCQACKDGHFTTCRKAQVQASEGIEPARPAPIA I203_03179 MAAINNYPFSRSSQSTSPFVSYTPPIPTSHKLTTPLAAPPPPPL YMSAHDHSSGPERQVRYAGADHTLGPMFSEEEDGDDTAFIAAKMASLGLDPTGRPYNQ SGYTQQDPRLQQYRDPRSRSPLAQAQLQAQRQAQMQYLNQQAQQQQALYHLLSQQKQN QLNPQLREAMAILELQQAQQSATDRHAYAQQQAQRAFNQAQRQPGWEQTKVVQQQQQQ QQQQQIREMQYLQDLHLQQQLTALQTTNTNTYNPPNNERTALSAQMQANLQARQGRVL AQARGMTLDDSELRARFEAAENYGHTAPPPIESKTRFSPSTSPTNGNSPTSPSWKSSG SPSPTKTNILTPTELPTLTQPTTSVRSPKGGRFSQARKAMAAEGTEKPYGTLTATLSG KSLNMENYVQPMFTEKKVQEQKEEVVEVPASPTVEKKPMKYTLGALGNGRPSTVPSHT SRAATLPVTETNSAPVYNQRAVSQPLLQVPSKVFVVRQPHGPPCEASELGDKNFQSRL RRQAGLNLTMLNRRTESPCPTPVLA I203_03180 MSTLTQTSPFLTRSLTSTVHSISSPSTRTSTPSRPNQPSHRRYD HFVPSIVNNAHNRSNSNNQPPTISNGNLSSQEEWWDPETFYHPIRDIKSFLELARVSS SSSSSSSGSHKVDSEDSLYEMSKDWMPTNNGFSLNLDASQVESFDNNAEDSQSNDKHN LSLYITSENGYKGSTLSVGLFIGITSLNPNIGHKLVETEYIHSQTIEFAYTPEVEYCR LDPTLASTLSALGNLVDTPTGEVEFVCLEHSIDPSEDEQPTVSHDMNGEDYSTDHIKT KMQIRKRTVFAHVEILQAKSEYFKDLFNSGFKESDNDDERNTLKRKEIVVDDIDFITL YWVIRRSLVMERGSKIIFSSLRYNSFSKGEWDWHDISSNGEQEELEVHDDLEDKTVKS VSSESTSTCRSRRSEPLPITRPPPPYHNGAPRPRRREGSDTAKSRTKSVGNTKDNLIR TTNRSHPYPQEDHPSLSSLLSERSKSDSPFQPDPHPHPTPILPPADALEIYIAADKYR LDILKGLANEHSLNYLDEVNCIPLAFASYPYDKLHSEILDNIVDHWTQVKSSKEFLKC IQEVRQDVWGVNGPMVLHNIYMRL I203_03181 MSINTVQLTPGHNLPLQHDVLTAGHTAEIELKVPATIQGPKRTE TAKGRIWVTDHRVIFVADTLDLPGPSSAGSNAPPNPPGYDAPPVLSSVEIPYKSLRTA TYNLPTFSANHLVLTFVPSPTPAGASLPNPGIGQFIELKIWVGEGAGHAVWKRIKGER SRVEERMRGRDEEEEGLPAYAPA I203_03182 MPVGSNLPLRSVILRYPPSTIEESEESYQLLELPPEIIKAVEAS KGKDKESIFPLTIKGKPSDDAVLCTPNSTFQLRTVGISNSILVCRSPHSSSSTSTEGG EKDTLQIRDTCHEILECVAISPNLERIRTILKDSAWKGINSSTNNSLGKRKRGEKENK VKKWTRDQLSSVIQCSEEELDKGLKERNVIEVNGKMLLLPPKELKELLSIMLSLLTIH SLDPTNSTIALSQPMLEALQDDHEVDPSITKEVLTLFGELVKEDGKEEEMWKADIKRI IREIGKGLLVGVKDKKLDEFEDEWRNEVGEEWGDEIDTKLLEGEYLLSPKPLTTSTTF SSSSSLISHFPISSLPLQPSERFSDLFLTRPKWRPEEILPFLKGLTRDGDVKSRDKLV QKFVRVVKEKDGSMWWYPRRSS I203_03183 MSPTSSTTSQPRQDQIYTSTISPPHLPRMSVYHYLFPFKKYKSK HRTRFLYYPDPNLEKPSFIDGITGRFVTRAQVEEQSKMLATGLKIKGIERTDIGCIFG MNSLEWINACFGTQALGAVISPANYAYTPDELLHQLRDSTSSFAFVQPALLPTFLKAL ELDPNYSIPDNRIFLLCTKEEKENFKEQGSEAEKGWMDRFSCTEELWERSGTPKRFQD GMEERTAYLCYSSGTTGRAKGVETSHHNMTSQVQALNCSYEPIKYKDVILAMLPFSHI YGLTVTLHQPLTVNGTVVILPRFEEMNFLKAVERFKVTWALVVPPMLIALLNSPNVAK FDISSIRGFMSGAAPLSSDLIQAFEKKFPHIKMTQGYGLTETTPVSHVMNLEEAQGKG RNGKIGRVIPTYQARLVDQETGNDVEPSKGERGELWLRGPSVMKGYWRNTQATQEVFA ERGWFKTGDVAMMDQDGYFSIVDRVKELIKYKGFQVPPAELEALLLNHPDIADVGVIG VYSKEQATELPRAYIVPKSGISAFPTPQSKLEFTNKVQEWVSSKVANHKRLRGGVILV DIIPKSPSGKILRKDLRARAVKEEEDKVKEGRVAKL I203_03185 MASYLLAINCGSSSIKGKLYGIPKSPSDPLDSQASLEVVNIGST DEKVKIKITWEGDKGENLEEEGKNGDEVDYESLIPFLLDHLSSSAVNLKTDDIKYVTH RIVHGGAHTKGIIVTKEHEEALEEMDKLSEFAPLHNHHAVVAVRSCLDALPHHTSLMV FDTLFHASIPEEVYTYALPPPDRELVMPLRKYGFHGLSYASIVQSISQHLDEEPKDIN LVVAHLGSGASACCIKNGESIDTTMGLTPLEGLIGGTRSGTIDPTAIFHLTANPAEGV DFKDYTVSKAEILLNKKSGLSALAGTTNFGTIISRLSFTSGCTKEEHERAVLAYKVYL DRLMNYISQYLFKLLSTSSIDKIDGLVFSGGIGEKGSKLREDVLNRLQWLGVELDKSK NDGKHTGKVTEITTEKSKLKAWVVETDEEGWSAKLARDEFGF I203_03186 MLVRSLRSSLLRPRLPPSQALRAISTSPIRRKVQEITRPAIDTP LSLWSFTEEENMLRETVRRFAEDVIGPKVSKMDEEEKMDPEVIQGLFDNGLMGIETSA DMGGSECSFTSAIIAVEELARVDPSVAVLCDVHNTLVNTVLRLYGSKEIQEKWLPDLA TKKVGSFCLSEPASGSDAFALQTTAKLDSSGDFYTLNGSKMWISNSAEADTFLVFANV DPSKGYKGITCFVMSKDMGVEIAKKEKKLGIRASSTCLLNFDDVKIPKENVVGEVGKG YKIAIEILNEGRIGIAAQMVGLAQGAFDKSLTYAYQRKQFGKPVGEFQGMGFQFADVA TEIEAARLLTYNAARLKEEGRPFTKEAAMAKYFASVIAQKAAGNAIEWAGGQGFVREV GLEKYWRDSKIGAIYEGTSNIQLETIAKFLRKEYQT I203_03187 MFRQVSVMDVDTGDREQEPNFDQPSLKPSSTTSSSNHHHHQPLY ATLAEYTLNSPRSFPIDPSSSASTPNTSSSSRRPSSPLRSTEEYHMKEQPGPSRGYTF PSSSSKTRSPATENINIGMTAMAPSMSPTSSSIGGLPLASTSMTSTAQHRLSVSGRPR RPSPLLHEIQPPSRRLSAHQMLLLTPFGGPLPAGALNGAGGLGMSRGSSSMGHALPTA PARLGSATSQSSGWPRRDSATSSTGNTPASARELPTAGPRAPINPAPSMGRETPLPTA NRFPPRSRHSLAHPVVNPSPLASAPMTTIFSEGSSEGASSRDPSTHDMERNQTFMSRD EVGVDARERERDEDHEEDSPPKTRARLLSATVAMTRSNSLPVLTLRELHALKEKDGEL GIQRGGDWAWVSRDGDEPDDAELETLSLGTGSSTNATTSTTSLVTPSEQPTSSSSYRN PFTSFHDPFAPRQPGITPASIYAPMATSSDYHYSPTGPGAELRRMSDAPPTTSTATPS PTNRGARRSVTDSRRPSAPTTLRQSISAMTPRPVQRRTPPQASQATFQQQPTYQPFTA PEETSPHGSPITSLPPASRPRLLRYKSSPARTTGLGLNIVVRPTNAGGRNPGSDEYPG DTGSAGTDSRGSMGEQSNSAGPSRGSFTTGHWAEVDFVDSLAAAADIALASAASETVS SGPASAIGMSRTSSSISPTAIVQRNLSTSSNSNTGRKLSSSRKVSSTSQSAPQTSIGS KYKIEQVTSRSHIQEFDNSSEPVFKGRSRFESVDSAFPLMPGGQGGRLSVPTQGAGEM YAHSNRNSSFSVVDNGTLPGLSEGLPRRGSLGMGTFARLRKIVSGSGKQNQNSDNNDL ADELGQRLVAASGSNGALAISSPHWSERRGSWAEGWSNGN I203_03188 MRGAGLPRLVTSRSLPRISNLRAHGIKIPTSSHLLCPPASGGTT LPGRRYAHIASPLGATVPTEEPLESAIQTNNYPNAGPLLDDKSLSLGMLLDHYDLTSP IPSDHLLPLLKHQSRPSDIIIIMPSIIDYVEETEIPIDHIVDLIQQLLIHLGRHQMFA LLPSVVQLFLQRMEVEIDVTVQLDVDILQAKYKGFIQHLRYFSTLGPSRATQPLPLPV RDQISRIVAHLLKTLSKLPSSSKVDSQPQLSPSFLKYIFRRRFLTPELRRMLVGYSFS RKIELSIFQWQQCTLSAMEEGDDKAARKYRIRWKLAIDKANAEATSKANEENEKEEEV DEDELLEKAQIVNEEPIKSTIEMCTPTTSISERDKQISKIISEMVLSQYSKSLDKILP TMEPYIDPRSLSNLDAHLKKSTGPGLKNNLRGTKFRPARYDVHSLLRYAWSILLDRCS KDQSVTAEALLEMAETLPGDAVVGHTLTPIMYGLIKRGEPLKAWGIWRDLIEREKSAP PLAKGLFVDRITLAVATEACQAALNIETALVLVDTWAKRPTAIRKKKDNSWAGSIPLD AQNINILLNLCRLDRKPSLAFRLWSAALPRYGVHLDDISLNLLLDIARYSEDDLEDEV ALSHQEENELFRRRLRAIAQEFQFRRKGRESNDNDEEEGDGWLVTEDENNRIYNDEAW ANSSTSILLDNPNTAWRYRLDKGGMEAPWKKARRVFRQVVLGNWPHLRDVQSPLELAH RGAFASILSFFSSTNTHTHASGPKQEQVDGEIHLPAPNARFTHIIPTSNTFRSYIALL GYYNRHSEIPIVLAWMKSLDIRPTWSTMCLALLHICESEGPRRWIKGFGEDGKGLRLV RDEDIMRKWLQDWLEGEISKTKGNTNMSYNVVPTEQDVANSRRWLAERRQRLTA I203_03189 MLESSEDALRFLAEGQTLLGEGWTHVDNFDELDEDEFEEEEEEI YVTMDLGTTLDAKALQNENQYQLVGLDTPLPFLKLGNQIFQGQTTPLIGDEVVLGLIR HSDNPHEPTHPPLYSTNHRLTFRAITLEPRSQPQAQTQDEQTTQAETEPGPSSSSFNL FSNSPMDSPTISGPPVGSLKKGRSKGAGQVRPRFVIDKPEDLENFDVKAMKTSQKVEL GPNVLRSLGLPPSTHGENVLLSKTDLSQVISGYSSRSQASQNRKKGRIWGVDKDGKIA LIDNDHQGKEKDESQEVGVPGDVQMEEGEQNNLSQENNVGSSAQTDEIPPTQSARTDQ DQVMGDVEVEDDPPWAEVENGQVHQ I203_03190 MLLRSITIIAPKRGIGVRGVHDFIRGPTPGGTYKVLLNNPKIIG VYSSRGSREYQEDAAVVGSLQLPSSELQTTLRKLKSPVEWEPSSAGSEFLAGQVAAFG IFDGHGGKEVSTYLKDNLFQQIENVSSSDIPDLVEWTKKRHAGYFKRWRGGALSRWTK FASNGQKPAEGESMTLEERLTAAFLSADKVVLEDIEKSKRCGSTASVVLLHSLDEPAQ PYWAAKKLSLTVAHCGDTRALLCYQPTGQVIPLTEKHHAESRVEASRLRRMGAELLVS DSFGESRWMGVVENTRGFGDGEWKPSGVTVEPEVTTRLIDGHEHSYLILLTDGLTSLL SDQELVDLIRHSFDPTRAAKTIVHFAEDLGASDNCTAVVVPLHGWGHVGGEDTTKERR EWRRRRFGEMNTRMQRM I203_03191 MSKIIIKAGSTFNTPSLNGRSKTRSESVEEIEIESTHAYDRTND EYSPPLNKEEQRIRDSKARYEEDVKKHQVVEVKPSRLEDDWQIAYVWSFIIKFNLRNH IPKLESLQDFEGCLKAPVANRPDDIFESILICFLTNLKNLKYGNKNLTPENVQQQTSN HINDQLTNTSEWTVWDRGWPINEEDRGSCCTSDPHRSELGRLRYYGEPSNARASKNPI SQVEQKGGGLFEIDWWERAKLLRQLVDWQLTHSESIRNIIHREFPAKANDSRGKKPHI ENEGRDSIIVKELGLTRDRARIWSFDDSWRLYKSGNPYKRPCQLSSITTDRGSYERLI EEMESFSKSVPETPAGKGSSAKGSKAPLESKRLAQAKKNEGDLAERLKERIESVEKEE SRIQRARRKIAQALEMQQQAEMRSTRTRRQSKKVDYVYDDHSDFDSDSGPSRKRRHIS PEFQGLDDKGRPIIPGERRLARQLALDVKQQQPENQQPVVEDGSVQGQEENSEEGSSI EMSRSAGMTRSSTDSAYTANGTGMDVDESDTPNENGHVDGDGSGEIKKKRKKGMKGYV WVEEFVPYGKA I203_03192 MYRNIPLLRSALPSLRPTLRATAVSAIKPQNVVFQYRSYAAAAG LSKDDITSRVLDVLKSFEKVDSTKLTPGASFTSDLGLDSLDAVEVVMAIEEEFAIEIP DAEADEITTVQKGESVSLSE I203_03193 MKHGINQRKLGRMPAHRIALLRNLVSALLHHETIKTTLPKAKEA ARMAEKIITLGKKGTNPSRSKAMAYLMPPHNSPSPSPIASSSTLSTDPEQFIAPKSLL PKLFDELSLRYAERPGGYTRIHKFGRRQGDNAPHAIVTLVDGPRDLQFEMLAREVGKS SLDLLERKGGKLDEIDEGWEGLGEKTRLKVEKVLKYKSEDEKREFKLKAKEFADYIQA EEAAYGGVRSPKVSPDAPAFRKPGMNVPKSGRLLHAGERLSGVSTHTTGLGLARGALA RTPRGKSQVDKSPRFWGQARLPEGVVAEVL I203_03194 MRGEHCIAGATILSAVAIILLVFAHIGQVGSGALVNGIHFMEVN VAAYGNGYQGANNKSASGLYDSKNDKLGSGKGLRQYYRYGIYNACAYQKDGSGICNSS TFAYPAEPLSQILADTPDKFTTETRDVIKNIKPEPTFGQDGYNHAMTRGGSALIFIGS VLAALALIFGIIKLRISFLAAAICSGVGAFLLMIGAALWTAVIAKDNFVNTVKVRSGA SLGINVYAGPSLYLTWVAFALVTLSCAPYVIACCTYRK I203_03195 MHPALALGSFLHINHSTMSLRLVPPTAQSSSEAHVVSSQSTSHP ISGTHDTFRHGLKSAAQGVTAGNVHPLQTRLEKWQQTQNQLEQNMQRNTFGLALPLRK AMEMKIVSENLHNPLLENSTTTGVPLGGSSNLALEVLKGQDETLDAGDFMGGSNFLNE VLDVNGVMERSRGI I203_03196 MAYGAPPPQNRMTSMDLRVGGKYRIGKKIGSGSFGDIYLGVNIV SGEEVAIKLESVKAKHPQLEYESKVYKTLAGGVGVPFVRWYGTECDYNAMVLDLLGPS LEDLFNFCNRKFSLKTVLLLADQLISRVEYIHSRNFIHRDIKPDNFLMGIGKRGNQVN VIDFGLAKKYRDPKTHLHIPYRENKNLTGTARYTSINTHLGVEQSRRDDLESLGYVLM YFLRGQLPWQGLKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYCRSLRFDD KPDYSYLRKLFRDLFVREGFQYDYVFDWSVQPSKVQQQQQQDEAHLAAQQQAMQQKRR VMPEEQLGVGENQRQLRSQTRNNAREQGGW I203_03197 MGKSKYDEAISTSTSQSSKDLEKLEKKLKKAEEKMKKAEEKMRI AKEEYEKAQAQTLAQRQAQEKSSTKNEKKEKKDKKRKRDTDEVEQEQEPQAEQQSVEV EQVDETSKIVELQQDGDGERIEKKSKREKKDKKDKKKKTKLEKALEAEATAEKNSSDH AKIDIYYAHLYSISRQPGVEGELEGVNWKFSKAKQNWLIRNIFSADEIPDKYVELVLN YLKTIQGLSKTNVIESANKIINPPAAPPADANPAEVGVEGEGQVKENQETTQEQEKEQ KDTEQAEQVVLPLPDTEKAQSEQIKENLQKERAKRLLSIMQ I203_03198 MGLSLSRIYGSLSSLAFWGKDKEVRILMVGLDSAGKTTILYRLQ IGEVVSTIPTIGFNVETVSYKNINFQVWDLGGQSSIRPYWRCYYANTQAIIYVIDSSD VSRLPTSRSELLTMLSEDELKSVPVLVFANKQDVEGALSPGEISDKLGLAGQEKGREW SVRGSCATKGEGLEEGLDW I203_03199 MSSPTATAQGYGISDTSKYTDFSVKEFKLKTPQSDDVTLDIEVC GVCGSDVHTITGGWGELSAPWVTPGHEIIGKVTHVGDNVKEFKVGQRVGVGAQVLSCL RCDRCNGDNEQYCPEQVDTYNAKFPDGVESQGGYSTAIRTNQRFVFAIPDAIKSEDAA PMLCAGLTVFSPLVRNGTGPGKTVGIVGIGGLGHFAIQFAHHLGAKVIVFSHSPNKKE DALSLGADEFVSTSDEGFAEKYFDKIDYILSAADANSIPLGDLLSTLKIDGKLTSVGL PDEAWEGLKPQSMASNAACVGCSHIGSKKEANQMLKLAAEKGIKPIIDEVLPMSQAAK AIESVKNNTVRYRYVLKQDLA I203_03200 MAELKVSSLSLQLSSPLPSPKANILPVPSQEFDKLLTATITAPR LSGSKVQKLASQAIELVAEDYQLVTTFFKLNASLPPASQSRISSLYVFDAIARAAKSD LNKGIGTQVNKERGKGTQAGLLLKLEGVVDSWIEGLLDDSKGDLWIEGKEKTKKIVDI WSKAGTFPQHCLERLTKKIASAGGAQAGPSTKLKDIGRSGSSGSGPGSDDVTGSTTPP YPPPAPSAVPTQPGSLPPEVAKLLGIAASPVPVLSPTGSAATLPNPTGTSIPTPAPPI IPNLDLAAILASVNKPQPSPILPGQPQPQAVASPPINIPNLANLAALLPQPQAQNAIP PSVPQPADQAGPSNMSAGPGHKPILNAAQNAALAKFAALAQAGPPPPPQMQQAGPSHP PPGGPPGGYRRSPQKGYSDLPPPPAPMRAESMRGPSSNVDNRFQNRDQGHGPGHGRRE SQDYKNNGGSGNWGRRGSYENGPGPGPGSGQMGAKRNRERSRSRSPHRERRFDGRSSD SGWGNRAGRGGFHGQGNNREHDQYNNPPNGMLSNHGSGPQPSILLGNGLPPRPNTNPG QGQGQPTGNGRAPPPAWMEEPSQEGGEEDMTLDDGSDDGIQQPPIQHQNQQNYNNNNH NDNASQQPNTNTMMTLDTFPINTFNPSSPESWSNLAQAWKNSMGRDPNQLELMAFLSG GMGMGMGMGMGMGGGNGNGNGNM I203_03201 MSELALFATMVCPMPFTLRKRMFHFLSENPVVAKVQYGLKITFI FVAVLFVDAVQRMVRIAQEGAAAKAKPDMVDARTETNYAARRFYAQRNLYLTGATLFL SLLLARVFYIVLDFIHVQENYTTLQTKVAKQSGASGENEELRKQITELEAQLKLSQGK DRDFETLKKQANQQNAEYNRLADEHNKATGSVSSKKAD I203_03202 MSGSFPSSSSSSREQEQGSSNTPRLPTTGHSIQTDINQDQPPLP PYARPSVGEYSPTSAPASKMSSSHSTPPELGSGSGSASGSGSSLNNEEEQLDLARSTA SRLGLPLQRPSLGSTPSTEPLISPGDISPSTTPVNNPFSTSTNTQGQRLSVGGGGSAN PVGGSSASSKSSISIPSPTAPKIRINDMPNSPSSENVSQAPTPPAVPDSAPGSTSSHG FSSPFSKPSALRSSINSIPASPTNKRASNGSTFSAAGGVLTASGVEVNQGKNNIAARR ALRMKQAQSTDSKGANGRYSNYTQPNKNAALNRKAFQSTRLKGEIYKPWLEQRDPALR WARWITIGSIILGFAIAGVICWDGYRSVPKLGKTCNLINEDFSSGSIDSSIFQHEVRL DGYGYGSFQWTTNDAKNSYVKDGVLYIVPTLTSDDIGVDAITNGYTVNLTADGTCTST NVSNCVAVSNSSQLTVINPVKTARLITKNSHSIKYGKVEVKAKFPTGDWLWPRISMLP VNNTYGAWPRSGQINASKLSILNGKGNNASYDSRGVDYAQSDLHWGPTVDLDRQYLTW GYREQRRTYYSQKYHTFGLEWNDQFMWTYIDSRVAQVISYRFNKESFWSRGKFPTTYT NNTEVIKLINPWLASSENVAPFDQPFYLMIDLAVGSQDGWFPDGTGGKPWIDQSGSAM SDFWAAKSKWWDSSWTAKDATAEDRAFAIESVKMWEKC I203_03203 MPSGPSNQESEPLLPLHQPTTTSSTPSRSRLSARRYNPPSADST SKDKGKGRATSLNDLEAGRISPAGDTSDLHSPNAKRKGKAKAKEDKRGRNVTIIFSNE SEITGHGNLECWVEDGENVGSLKEQIKTLRPSLQSHNLRLIHSGRLLTDGILLLPWLR SLEERVKRQAAGVGGDVENVLKEVGLTEDRSESSSSDGEDDENDDDGGKRRKKADEKI VNEKVWLHCIVGGKEEKTSTDEEEEVSPSAPRRRGFDVLLDAGLSADDVAAMRRQFYE SRGEEVPEGMEDGDINDEHARALEEQWIEGDMTAETATTTTEGLYSSILHGLLTGFLF PITPWFFFREPPLPNFFDADAEAINLSRINQTANRRDSRASISNVNPTDNTHVSTNGE SSEPVTTTNASTSIGTDGMASAQDRFMRAGGIGMGLGEIVASQVFGKRMQMGILLGTI LNIAFGALRFLN I203_03204 MSLQDRLASQDALSLLTTATSLALVTAGSTTGFGYNFPLMLFGI VAHEMHSTTVPFRQFLSLVLFTGIFDLYSLLFHRYSFFILLFSILLFLIKIPLFFSCL AQLRERGSDLSFGSWRMPQGVNLPGGGNWNVPSMPGGFSSAQPQGQQQQQQQQPGNFP SSGGFRSGGDDDDNTNNGTQPPVPPPGRNGYSTIA I203_03205 MRYIALLSLLTGVSASQHSLKLPEPTRQLEWKDVNFLSTSDIHG WLLGHQHATWPEPNYSGDYGSLASFATHMRRVAHDKGVDLLLVDAGDHHDGSGLVSSS SDAAGKAEDIFSMLPYDIITIGNHELYHYEDALEEYRNKGRWNGRYVTSNVNITIRSK DGSFQSVPLGEQYLKLDTEQGRKVTAFGIIFNCKGISIQKPSKLAKEPWFLEAIKDKP DYFVLAGHMPARGETAEFGPIFDAIREAHPKVPIYIFGGHTHVRDCVQYDDRSIGVVP GRYLETVAFTSSSLPQDDDEKPLDVARRYIDGNPVSYKWHTNTSDEEFDLPIGRNISL ALLRLASNLNISTPLGIAPHDYFLERHPYGHPRSVLTVFSDQVLPHTIFDKERNETRV IIGNAGSLRFDLFQGRFDRNDELTVSPFTSKFLYVQLPAGLARNITEQMNKSGASKLL PSEPTTKSEEEDRVRRTFNEWMAEQWEDYLTQGGDDHLYDQVESQRIFKDDESDIPKK PRTMGFVTKDSCPGKGDDIEHYPVPHVGNQPDFVQTPFPDVNNDEIIDVVSMDFALDD FLAAVNVLDPAIDLTEAHMKPYAEGLEINVVFGMYAKEMWQAGL I203_03206 MASLTRGLRVAARASALPARNPRITASVSRRRNIIAGSSSITAR RGYATSSGEATTVDPAFTKALDLLEAGTRALEDGDLSKAAKLYRESVEAKETSEGWHN LGNCEYHLQNKVAAVEAWGKSIELQPSADAHTNLASAYVLDNPPKPALAIKHLTSALE LSPEDPEIAFNLAAVLESTGQLEPSLTLYKKAQSGGIERAAQNVRNVSAKLIGERAKA QEKDKGNQQ I203_03207 MSQGIIDNTVRLARPKNRSRKTSKWPEQSRERFMNTVSTAIDLA AHARSALWTGEYDLSALTDQEKYNLRGNWEGDVQSIIDMSITHLKAQEGGGVEICKPL SYVDGINWSKAISRLTELSSNQKPKFTYADLKNLSISPNSALVVTEDEEGDMGVAASL VQGILLECISKLREIPVPSHSIYFNFPSETEDQINQDRISDLSFRFRSTKDIYGERLN ERVLTKDDQRVFSQIIKGALETASRIREFERNVNVSNNEMSTTTSPHFQFRSYSGPLP ADYHRQDLVSILSKLTSLNGMTTQQGQTLSFGLDPDSNGDYEPEIRLADLPVPHQVPL PLNSQSIQHHLRSVEAIKSEFTYRFEEGLSFDVADRASELYKSGRLGAKKDVYLLLCE DILQETISKTLSPRVLGQIQGPILTVSPMYFDMFSQVLRLLVSSYLSLMVF I203_03208 MAEGIIKTEPSLSITQDGITFGVETSLGKLPRPAGSSRPPSHWS KDHGQEYLGDLNGAFDLAAHIRSSQWLNSYDLYRLKDSIRSKLCSVWKDDIDDIVKTS VVVMGSERGVKIDKPLTDGEYTTAPKIDWSRALTGSYHFSSADKPKYHDTDMERFHSW NWQDNQDNVKEETLRIPIKFSKDMLRTAMHTSRDIQVPSHTMVVTYPWSQGDYIEDID NLVFDFDSTRRMYGQARSEKNGLNEEDRMILSQVVKSAYTLASRIRAHESGGEVETGK GLYRKDDLNRVMSKLTSLNGHTAQRGRRLSYFISDEEGAATRQIDRDDEYDRWIWKNL SVASVDHAGPAQPIDLETIKRLREISEGSQATFDHLKQSEDGLSVADYILRLYSLDDR EKDLVADSMLCGNILEEVADGAE I203_03209 MSMLLRSAPLRAARALPVARQQVRNAHFENVVDHTIPTNVTNKP WLAIKMIVFTTLGFGTPFFAAKWQMSKSGAA I203_03210 MSRIASSILRQAAVAGPSRIVRSRNFGFIPTASPEQKLFPDQAS NPVVRDSLVPIVVEQTARGERSYDIYSRLLRERVIFLGPVNSVDSTLLTAQLLFLEAE DPSRPIKLYINSPGGVVTSGLAIYDTMQYISPPVHTFCLGQAASMGSLLLAGGEKGHR YALKNSSVMIHQPSGGAQGQASDIALHAKEILRIRSALTDIYADHCTRPDEQRDTARE RFEKALERDYFMTADEAVEFGIVDKIVTRRADGQIGEEEKK I203_03211 MKLVVALSLLQVLPMGLGKKHGSSFDRRSRNARHGDLAERQIVE TIYVTETIWVDATPTETSTSSIDGQSTTPLEIAALASPSDSTIPDEDSITSTTSSAVV SVQTETGIYGLSQATNPIDTFVSSSSSTESGMTSDSASVSTVSTDAPSTSSGAVEAQG ITISAGLNGGGNPVKGNSDKKVFAHFMIGIVYAYTLESWLEDIELAKSKGIDGFALNI GLDSYTQPQLDLAYKAAEISGDFVCFISFDFNWYTVDNTTGVSEMMKRYNDLPAQFKV DGKPFVSSFIGDGFDWAAVGSGCGQEIYAVPFWAPTQENADNKGLSGLFSWTAWASKD NSPIDQPLTTVQDEAYIDVVGKANKVYMAPVSSWFFTHFGKEVSYSKNWLFKSENLWK YRWDQILELGDKLNYLEMVTWNDYGESHNLRNWGGLHSDDGCSKWAQGLDHLPMLDLS LPYIKAWKSGSKTPIVEKDQVFYWYRPHLKSAECDSTDNYGSKPDGWDIVADTVFVTT MTKLGGTIKVTSGSNQPVLKLVKPGVQSVEIPMAAGKQTFEFFTLTGGYKRGEATVEV SDQCWKPMTSNYTAIYNYNYHSGVLNC I203_03212 MASQSQAKGKQKEKPIASLLAGAIAGGTEAFVTFPLESLKTQLQ FGALEGGKQLTPYQALRGTIQQRGIAGLYAGVTPVIIGNAVKAGVRFTTYDQFKSLLK DDEGKLTAPRSMLAGLGAGMSEAIIAVTPSETIKTKMIEDSKLAQPRFKGTSHAVSTI IKEEGWLGIYRGVGPVMLRQGANSAVRFSSYSTLKQLAQGSIVPGTQMPGWMTFGIGA TAGVITVYCTMPFDVIKTRMQSLRARTEYKNSLHCAYRVITEEGFFKLWKGTVPRLGR LVMSGGIIFTVYEKSYPVLATFV I203_03213 MPVDNKKGKTRSSPYKKPKPKPAQDGEQAGPSRPRPTHKIPTVE QRSGDALPGTSKLKGQIRQTKRLLAKDTLEPGLRVQTQRRLASLEADLANATKRDVEK KNGAKYHMVKFFERQKLLRIIKRLQRKLKEAEEPKSDKKLIKYKEELEDARVMMNYVL NFPNTEKYISLFPPSSSSQPETSTKEPKLTIPPLLRPPPTSQQLEGEYDKSAKRRYEI LLEIRELMQQGKLSENPEEEVKREKKEKVSLVSTEDKFTSKNTKGGEKGEDEEEDDFF ENDDEEEEE I203_03214 MSARAISSSLRGRAFRAVRYSSTTAPAQAAKTPAPPKPIDDSTS ALDYKIHKTARRLPHLVTPNPRTPSAEEAVTNILYNTPPPSNEPYKRHLLNCLVQNEP GVLSRVSGILAGRGFNIDSLVVCQTEIRDLSRMCIVLKGQDGVIEQARRQLEDLVPVW AVLDYTKTSVIERELLLAKVSILGPEFAEAQLNNSSVPDQSFENALEHQSAPSFVPAE GSGQDSASQKLQREQALARSFEGSSDGQSSIGNQLYPTRRSEISPSEALIAKNLHLSA IKTLSDQFSGKVVDVSENSCIVELTAKSSRIDAFLSLMRPFGVLEAARSGVMVLPRTP IPRYGEEEDAVAEKEEVDLSMLPPG I203_03215 MFASAASYLLIAAAALQPVLAGVYITSPVAGTTAIGGQVLEVKW ADDGKTPTVGSIGQCSVDIYTGSVNNQVKLQNLAASVDVSKTSSISATIDPSIGQDDS HYFVRFTSLSLKSESNSQYPYQAFSAMFPISSMTGTFNASVLAAIDASNTTASSTAPV SAAASGSSKATAGLAASASASKSATTSGSSSTSAALPLADVVPAGLLLAAAGFVSYLV L I203_03216 MSSPSINHIPYTVGNRYLNSKTNHPLTLRYIGSLPPSTSSSEDD QIWLGVEYDDPSNGKHNGSYKDNQVFQTVQDGSGSFIKYIPNSRGGPLKEGNSLISSI QDRYGSILPNFTSASSMYQEEGQDDSINTEKEEKVILGSSSNAITVTLPNISSVKERV GRLEKIRNMGFEDEHISFLGGDSQTKIVLRERMKSLKWLNLSNNLLSTWDQIWEIVDI FEGLEVLTLSHSRIRPIFSDLNLSPDQNERFAIMFKRIKELYLSDCLLSWKEACDLLP LFPNIETLHLEANKRIDRLSSIPQGALRELKELRFGGCPISKWEEIVTTLNELPRLEN LDLSFTPLTTIPVFPTETKLDNIKSLILLKSNLSSWKDLDNLSICIPNLTNLRFTVRS HDHDDRTTIAATSNRNDETELNSVDDKSLRSICIALFPHLTSFNSNIVTTNERRDAEL FFISFIQKQPEINKDWGKARYEELCKVHSVTQTVVDDNKGKKLKVGMKGRMITLKYHT SLSHSQEPDLQPQTISILPSAKISLLQRKLCKTFGLPITQFQSIQIWNTKPLVDGSGL EKVNKVSVVWEDKDVGWWFEDGDEIFVECEDE I203_03217 MSNQPNNNASMSATLAQLSPFNLQQLQAKFPQLLSEAERQLPEE KRNEIFRNKMMNFMRNSNNQQQQQNQQAKPPQQQRPPQPQQQFQQQQQQVQQQQQQQM QPQQSQQQQPQQQQFLQQNVQPNHQPQQQQQPSPMMNQNQQNTINQNQNQQQQQQQMQ MQSQQQQPGMLSQPGLQQQGQMNTQNQASYQDQLRLQQHAQQQQHLAAMLEKTQRLQQ QAVQAQTQQQQQQGTPQQLQTPQQQHQQAIPHAHPTPASIAAASPQNHPHSSPQMVRQ SPVSNPSRNVLGMNGIKTLVENFPKLLELKRTGKLGAEQEKLFDAFISSPEGRNHLQQ YQAHHARTLVESGLANPVGLPHGAQQQQQQQTPMMNGTQLPLAAQQQMAALQQQQQQQ QQGFPPQQNVHSALQAQLQQQFPGGVVPGMNQQQLMGNQQQQQMQSPHMGQQQMHQIQ LQRLAAAQAQAAQQAQGRTPMQNHAQLPNNMNMNPAQAQVLARAQAQSQMLQQAQNPM NQQYMQDLQQQQQQGQQQMPQPQQGQQQPQQQQPPNFGSPRPPAPQLNVMQQLHLNIQ AATNKMPPEKQANIRNVLLRLAQMTEQQREIAFNNNPPYRQLWNQVSGFTQAAAVQQI AQQAQVAQSQALQAAQLAQAHAQAQGQAQGQGMNQLPTGVSSPHIMRPNANANANSTP TFPAQATLPNLHIPPNKQRNLSQGQIQTQTPTQATASPQMRPPMAQPQAQAQAQQLQQ AQATQAQAALIQRMINSGIPVAQAVQNVAQARQQQQQQQQQQQQQMGQGQQGLAGNLP FQPPNMVGGPTSQIHGNITPGMIIAQIDQNSNQIRPNINGRIDNTVGSGGIRQPSEMS VNLLLDKSQSLLSKVKYEPTPESDLVLKEKLNQFQDPITSHRTSSGRGTMGMMNRVLS EVTLEKMPESLRLMVDEAYAETQGDKDESTSTGTPSEGTLGMIAKKRKVQDLAEGLDK ALKVDSDVESLMLQLAEEHNEMVSQISCSLAKHRKSDTIDRKDVQLAYETIFGRSIPG FSSDLIRLDQARSSRSRPTNPQRAIKMKLVNEAKVNWRKEKEKKAQAEADAEAEKANN DENGIEENLNVNGINPIVNGLSPIPNMSSGGLSLPNGNTIGNGSGSVAVVV I203_03218 MDSASPQPEEAAAAAGSPSEFLKNIVGKRVKVRIGSGVDYHGLL TCLDGYMNVALEDTEEWANGRKTAEYGDCFLRGNNVLYISALEDL I203_03219 MKVPSERHSSDPSYAPYSVASPRSFQQPALPSRASGSSKKSQAK APSPIRTEDQPSQYKVARAISSCTRCRSRKQKCDGKLPACSACERAKVECIGFDAISK TNISRNYLHSLEQEVTSLRAQVAALQQNDPIGQTKKHIAANASNAVSSFRSDFPIDPA LMGEDGSSSSLRSPLESPSLSSYGYGHGHGHGHQRRHSDYPFPSTPLSSDPPRSPYQS SSKHHNHHATGQTVGIQSTSLTRMVHDAAYRTGHAANAVSALYASGGGSGSERGSSVH GGSTDSPVISNIDPTLQNNNGNNDSSPETVLTPRSATSGIPMQGNKRRHSVSPLANPA QLLSSSMSSVGKAKRRTFAIPPLPPQPAVERLVAAYVDFVGVTGPIIHVPSLGKQLMR IREGTDVEESDIFVVMMVLALSTMASSRFVDPPDELRACSEAFHAEAMKHLDAVFEEQ SYVGLQAILLLVWYSLLNPDKGSIWFLVGLATRTCVDLGYHNEHNTQVDQIDSLELDM RRRLFWCTYKMDRLLSQSLGRPPSIPDGFINVPLPSPMHDIDIHPDHYGPLEGETCSY KAVFLHTTKMRQLQSEILFNTYGVHGSTGRIPSEEWRQDCFERLKTWLAEAPEPRGTV STEGYAISFHNSSLLLFRPSPGCPRPGRSALTTVLTSSSYVIRIYRRMQLNNRISWLW MTSHFSFMAGLSFLYAYFNLYSMGGGPDIPTIEDALMVIDSCLSVLEFLSPRVPSASD CHRTMQALSQAIFEQLSKLDPPPTMGSSTSSPGGRGILRGAPISSSREDPLPNETFPA PLPAVELPYELSLLDNLFRNPMASHNKASEYTSNQKCRNKKKKEPEQVNVDYGGVGNY PSISSSYGHGHSHGGNKGYPPNAFHTSTSEQIRRSYNNGGGDGDRRGSADDYANTTGG SGSGTGPGNLLGPYTLAMGLGSTAFSSTSKDSTQTPTFSQPSVSQSLNSSSNSNSTGT AVKERELPMINGSNEGGIGGVDMDQGNFDLFNFLMDEDGLGGNGFSGLDVPSDFSLWS I203_03220 MAPIKTVRNILMELRKICQHPYLSEPELESFDTPEVEQHKQLVE ASGKLMFLKLLLPKLKERRHRVLLFSQFKIALDRIEDFLYGENIKFLRLDGDVQQAQR QKSMDLFNAPNSEYDVFLLTTRAGGVGINLATADTVILYDPDFNPHQDLQAIARSHRY GQKKKVLVFKLMVKGSVEENIINKGKKKMVLDHLVVQQMGKESEEGDIDDLLLRGAEA VYSMQGGINVPDIVYNSKNVDELIDKVEADAEAEANEMEEREKAMAEGTLEPNKNKSN QQFGFAKIWEADRNQLQTIAAEENADDNDEREINWESIIDAMEKERQEKLQEMMENST KKKRKAAANANVADFTYLSDGFLDDSPAKKSKKGKGKAIPDGSSESEYSKDGKNSNRG SESEGSEFNDFSDSNMPAELQGLGLPGMLKKSKKMRNTQNTENLPTVPEPSNDPLPLP PHPTLPGLINTNTNPLAQGQMPAPAESSKQAQMKEDKQARKKRRLEQKQAIANGSSSN STIASSHPLNNHYQQVTSQQQVNQHILQQRYAQARHILNLLYHVLREFGNEKNIRRWG LIALPELPAGERINRYTILAEEVDKHLSSMNQSKYFSLPEQLRTVSFLLVNREDVVVK GNLGVPNVPPDVGLIVPPKQVAAPAAPAPRIRKKGDTTSSNGSNATPDPMLTSGSVIA KSQHPQSSSSSTLFNSLMDAAPPTCQFCSGQHELRDCDNLSSMEDLQAVRQAILQGNE PEYDKTIALKEIDKTQLWVLRAGKLPNGPNTNIIPPSNLNNLNGNTNGHINKHTPNKQ IQATQPVATSALLKAKMPKSTPITDKIVIEIPDSPSPIPEPAKPAKATGICPFCEAYC GMTIRDCVERNGGRKALKMKIRGCEDKIQGGDGSRGIRDIQQALYSVYKSWPRDEK I203_03221 MSGQNIRQADGLLMNVHDPSKGPVLPKDGERNVLITSALPYVNN VPHLGNIIGSTLSADVFARYSRTLNVPTLYICGTDEYGTATETKALEEGVSPLELCTK FHLLHTEIYKWFELSFDKWGRTSTPEHTQITQQVYLDLHKNGFFKLETADQTYCEDDN LFLADRFVEGTCPQCGYDDARGDQCDKCGLTYSSPTELKNPRCKRNKTHKVSVRPSTH ACMRLDLLQPKLEDWMQKARVKGKWGSNAVITDKGEIVEPRMLGEGLRPSAVTRDLKW GVEVPKTGDAEEDKAMEGKVIYVWFDAPIGYPSITATYTDQWEKWWKNPDNVELYQFM GKDNVYFHTVLFPSMLIGTGQPWTMLHDISSTQYLNYEDTKFSKSRNIGVFGNNARET GQPPSVWRYYLLSQRPENSDSSFLWSSFIAANNNELLANLGNFVNRVVKFVNAKYDSV VPGPEGLAGGDFVPESNPSTPAAQLDADFVNDVNTKLTEFRASMDETKLRNGLSVAMS LSARGNQYLQDNSLDNALLANQPERCAQVLLNAINLIYLLSVTFHPFMPSTTEGMLRQ LNAPARSLPTKFSIDILPGHKLGKAEYLFKKIENVNGAQEKAWQKQFGGDSVVADKVD PAGPGGHPEGGKVPNVKDVNVDKKAAHLAKQAEIAKIKRAAAKEAEKNKTPEEKELES KIESQGKIVAMIKKGVQTGNADEELAKAKELKAELAELRKKLKEASISN I203_03222 MSSDERVEALESLLDQLLDDYRDLQLRDITVYDEHPSSLEALRM VNRAHPAIIKGFSPLTTAAHTHDWTQPEIYQEISGERKVTVAITDDGLADSVREMEDG STTFVKAFDDKMTISTLISHLQSDTGGNGGAYYLQSQDGNIYRSTPHPDGSPELEVFQ DHIQRDVEWMKEATGIEAEAVNLWIGDRKSTTSLHHDPYENIYHVLAGKKIFTLLSPI EGLWLDQQFHKPSTLGRSPSGTLHPILDDDPTFPIPWVSSTQLPSRVHPIRMELKEGE TLYLPANWWHRVEQEEGNAGIVVAVNYWYPAEINPQIYAYERLARRIARLAGRDGVIP VPGDEEVPDDIWGEDDSGEEWDPAEWGR I203_03223 MPDTALKADQPSPSSSSPRKKEGTGFSTIGNTMSLPINTLSSLL ALQDVEDHKPKLSKISWLPDIFVFRSSILPIVLGPVLTVTLFSAGVAAASQLWGKEVG LTNNVVPLLSVVVGLLLVFRNSSAYERYAEGRKDFTALISIARNLSRNIWVAVNLPPP PSDSSQPTMSRRQLTAEKRKVIRLVVAFVVATKHHLRAEGGVHHDDLKGMYRSTCTHY RNLLLTDSPDTMTPSHSTPGLIDSQEDEESVVGLGNNPHTPFSPSPSLNNLVHSPHPN QTSFSTEDSAPNGVRPNYPRNISNVMKRRPTAVRVVDPDEYHNESEQTPTMSKSSTGT CTGKTTANERTPLIKKGVKPDTGMMVRAEQGLGRMVELGLPLIISHEISRSIFRFRKM GCLEAVGPAGMNALQAAVSGMTDQLGSMERIVQTPLPYIFCVHLKQVVSLYLFILPFT LVDAMGWKMVFIMFGISMTFMGIEGIASMIEQPFGTDPCDLNLDLYCTELLCECEAIL ERLPEGDQEEDLIMFERDNQIRDDWGADDGDGE I203_03224 MGMCQFCLWLVYSGHENDKGVLVDLYIPRHCSATGRLITANDHA SIQIQVADVDADGKAIKGQGQTIAICGRIRAQGDSDDSINRIATKQGRECNSSLRTEE EEEEWLELEDQRRDWILPILYGVDGTRNWIRYRWRCASIVARSS I203_03225 MSQQQHPYTNLEPSSTAVNHDESHNPWSDQPSHPTTSASRIQSR HSIMQETEDELTEDERIEYEKGLITWEKANNWRFWLRKEWIWWYIAFILIVVVVALMA FFHHSIIDWLTPFVRKIRELKAGFVIPAAILFVLSFPPLFGNEIVIVFVGLVYGLGKG FAIVVVGVGLGETVLFLLFKNCCRARAERMTKKSLNYACLSESIREGGFLMAWVVRLS VIPTHYTTAILAICGLPTWKFILALLLSTPKQLISVYVGVVLGQTNASTNSHVISDCV FAACALITLGALYFIYKRMIKVRKSVLISMREDLHKRHVEVAEPPEN I203_03226 MALPPTLQVHSHFQPEEAAHVATELVSSLDNLPGEVVFLLEEIR EKDVRINQLIQRINTRHIGLTKTAKSLSTLPPSTATFPFPIPPGSPLPISHLSPKDAQ NLTKIQAEWVKVEILQDEKLKLAERMERIVNRARQRANHEWIKVGGKEIVTDDGILVD GDSKVGIMGEMGGSDLILPSTGLGTGVDGRPQKKRKPNTMFLPSPSTSSSMPPPPAPV RSHSSSNRPSISTSHRHPISRHHSSVAALSDPDLDADGENEDIDVDAEGEVDTAGETE TDDTLYCICQQKSYGEMIGCDNDSCQYEWFHVKCVNMSAGHLPETWYCPDCVKKLGLL SSDGKMPGTNRKNRKK I203_03227 MNPSTIFRSGALITATGISFGAFGSHGLRTLKPPIAEQKINSWN TASSYLIYNGLALLAISFHPGLLGASRKYRLASGLIISGAAVFSGSIFALVLGRDRFR WLGPVTPLGGLGMIAG I203_03228 MDYLTILSTRSLILFPSRDSLPSNLPIHRPLPTSPLSSPISPTY VLFPPEPIALPISSLLYNETNCRYYLIHHVLTSTRPNPFGHREDDRRIRNEVEVMVFE ELDRVKMFVRELGNWFNQQSVNGMEEDELRDLAGKYGLGSQMDLPKGDGHADSHGYES KGETMVLV I203_03229 MSFKSIIIALPFLLAVSASSPSSSTEHNIFLGCTDPLATPIRPL KVNADSVSSCVESCGSSAIKNTFAYWLGGEESSCVCSTVGPAEEYDIAFPRDGESSCD DHEVAVFVTKSGHSFQHQPKRSGRSSSKFKRSLPPIERDTSCPEPLTACNVEGDSSSY QCIDPQFALNSCGGCIHGEFGSTSVASSAVDCSKLEGVAEGGVICSAGRCKAYRCSDG YQLSSANTCSLTPQALRLLSRETITLRSDPPEGVRIVVDEDDLTNMEGWVQGPGGTPY EGGYFRIRFSFGPEYPNLPPKCTMITKIFHPNISKSGEICVDTLKKGWNKSYGVGHVL VTIKCLLIYPNPESALDEEAGKQLLADYDGYCKYAKLMTSIHAIPNLPPPEFRNTSSS SATPVSTARPVSSSKPTPLGTNVKQNPSPIIEQGPTVGDDTSKAVKTIITGVPATTKV PIAGAGAGGKTAANSKAKRGAKRL I203_03230 MPPKRSLPAGKTTNSPSKSKRSRLSNTSTTPRKRTKVQRSIWNS TDDWDTLISESQLSTSSISIRPPRLRELPTLVKCCSESIARGFKKLWDVSGDGTGAEF KAAWGFLPNHLKEGIRDSVFRYWGGFLTVKILAEVFLIPPHLYIPGELLPSISSAEHV KSLIPSPEQREQFTSLSLKHASKASDLGISAIIYNLPNLESINLKGCSLAGEKTIKQI LGRCSGLKGLNMKGTKITEGEVKNLLDRFGKRLEVFKVDSVFFENINDTFSSQPYPSL THLSLPGDFLNSPSKDYRHRSKILSQSFGGYPQPRQSHPENIIQWYSFHIHFPKLTHL YLPGLLIPEDTLINLSPGLIRLHLGAGGPPVPVEVLNHLLEKQMDTLRSLKLGHIKST KLLSSGIPDSTPFTRLGKILSRCERLEEFSMKVDPGGSKDPMCEACMGRYSDLIFRDG LSGNWRKSLKKLSLVIPQSIDSSIFFPSDSHHEDERSVTSPLEQLELPSAIIDDTHTF ALALQGFPKLRSLDLSGTTITDEDMEIILQGCKLLSRIDLTSCRGINVRHRRNIFRAY EHN I203_03231 MSSSGGSTTPILNPPAEVINPADEEFYASWGLCILCLLLIGALI TSYYLQIKRIRAVHETVVSIFAGMVVGLIIRLSPGHMIREMLSFKHTFFFNALLPPII LNSGYELKQENFFRNFGVILTFAFLGTFISAVGIGVLVYIWSFLGLEGLKFTLLECLI FGSTLSATDPVTILAIFNTAKVDPKLYSIIFGESILNDAVSIVMYETLSQFHGEDIYL SSLFHGVGIFLFSFLVSMALGVAFGLACSLGLKHSHLATYPHIESCLVALVAYTSYFF SNGIAMSGIVSLLFCGITLKHYAYHTMSKRTQRTTKYMFAVLAQLSENFIFIYLGLNL FTQDVQVFKPLFILVSAIAVMASRYAAVFPLSELINWVFHTRGQRYEELPHSYQMMLF WAGLRGAVGVALAAGITGDNADALRTTILVVVVLTVIIFGGTTARMLEVLGIRVGVED EDASSDDEAEGWTSYNGNLALSMGPGSRRYAGQNGRGYSYGGGEYDDNEIENGIDLHS PENSPYISSSSKNQLHLPRSRQASMGARSTFGTNNSRSGFSTNSESDDNEEVLPSAGP SGEYEGGRNDEEGGVGGGLGGIRPGMIFRDGQWFTALDERYLLPLFSNSVTARRHHAK KATRKVSSSLYNAAGEREGRGGESGHGTPRGGNSLELNRGGQGQGTDEDYAYDGESEN GKVKSGLPRTFSGSVTDFFFAKADPSSSTTNLHQSSSNMSLPLEDRSRGNSSSGPGPS TSTNIRRGSGDVSVPANVKTNSNPDEQGWIWTGSGVGEVEGTSRSSTPKLTKAD I203_03232 MSIAEPSPSTRSPFLDQPTLDSLSSATAGATRGDGDDESGNPLS EFAQQVLGVESDSDAHNLLDLGRSDYAIINEEQTFNDLLQNQHQEREHEHEHGNGQHQ HEHEIDPGLENELSRQFTELDESQRNQSQHENHEHAVDEITKQGDQISTLVYGGRISK RKRVNVGNGSGGDRPATEEIITDADGNPVGPHEYVRLKKDSHKEVERRRREGINDGIN EIAQLIPGGTDRKGKGTVLKRAVAYIIELNDKVRADQEELTKKDIEKQDLEAQLAHLQ RQMQEERDRSMRFETSWREAEDRAASSNFEVERIRDELEQLKKSQA I203_03233 MDFDYPDDNQNPYYGGGGGGGGFVAGGSPYGSQDSPGGKKGRGG NQTIRPVTIKQILAAEQVHADADFVIDGVEVAQVLLIGSVHNSSSSATNVSYEIGDGT GYIDVRLWLDSADDETGKAKGIEQDHYVGIMGSIKMFGGKRHISATHIRPITDFNEIH HHLLKALYVSLQLRGATTGRGGAAPAGSSHADYSAGIQSHSNESAFAGLPSLQRKIME FVSKDDSDDGVHVSLISRQVGSGNGEAVM I203_03234 MDEEASFSALLSSTAAPAKPSWDNPTVPSAADDPWANPFSDSTP SFSTPFSSTILPPPPPQQHTRPFGVPDSPREEISPYVQKINEDSIGKLPDPPSVIAAR EQQQQQNDIQGVYASSSFNTPTLPEPHVNPFDPSSNPFGHSDPANQPFSPQQPLHDDY LPSTVQPPQPQPKIKGLPSSLIDEDLMAESDPEQSLKKAFVKSTPPPRIGSPASASKV EKKTYVFTPNASKKPAKEEKKEENIAKHQQVKEDGSKDAEKLEEKKVEVDSKDDEGIL QVKTDESKPSVQEAEDPSHETATPTRTSPSSPNKISTPTIPTIKSPTSIPLPQSTSAT PTISRVSTPLPPANNNITDTSSVLATPSTDRVSVSPLDAPTSVESAEEDYGFKSLSIG GSAPAPPVPEKEWGVASASEVVSPPSSRFGGKGWGVLDDEQEDGLFGKGGPSLVSATT RSDMWGNNDESTGGWGETSMEDALASAGPSNGFASPSRLNTSLSNSTNGGQEPVSPGE DGSISTPTTSPRRKISTLPVFQITVSDPTKVGDPVRGYTVYTVRTSTTSPHYRKGNSS VLRRFSDFLWLSEILTSNNPCIIVPPMPGKHTFGRFQDQFIETRRSALQQFLLKITSH PVLQLDPDLRLFLESDSFSVDSKNRKQEILANEKYQQLQNASTGSTGGILGGLTGMGL GMKFNEFDDWFESRNNFLNTMENQLKQLSKSIEQSSKQKLELSQSILEFSESLTALSE SDLGISLSTSLANLAGIAEREKNLYENQAKLEVIKLLNLAEEYIRFVQSVRVAFGGRI KAWNVWQFSERELTRLKSTREKLRNQGKLGDRVNQSLAEIAEAERTVREQHSNFEHLT RLVKSEFVRFEKERIEEFKNTLESYLSDLIDDQKDLIEQWEGFHESLLSIVEKSNQKN AGGVNGHGV I203_03235 MSALAARRAAALLASQNPTSSFSKAPSPQPSVASSPSPQILESD SDEDGSTPPPPPPATNKKRKLRKSSPPPAITPPTRYHNDTFPTTMILKPEIVKSNRKR RFSPSAPAEEPDVVSEDGSDMSVGDSDADLAEEGMNDVDEGRAQWSVPITSVDSTPGP SRNKPKPIIQDSTGIDNTSKFAALESVNIYKVSKDDLRLAGLKDDYAGDGMIISLAKE ENLIIAGTYTLTPLSGSISTSSCTLQCDGTSYPVFAPTSHPIPVISPVSAKTNQGNVP WLSKLKPPKGFRKGETLFLIRENNCGIDGLRYGAVPGFAHIWLEEIGSWGLKGVHPVI GSFSTPIYPHVTPSTWSEALSSLSSNEEDSERPFVGLIKGPKRSGKSTFGRAVLNNLL EKYERVAWLECDLGQGEFSAGGIVGLWVLDKQVLGPSFTHPSLPYRAHYLGTYTPLTC PDEYITSLKHLLEIYKFDIQHSLSASTSTFTNKIIDQVPLVVNTQGWVKGLGEELLQS IERMSEPSHIYSFSSPYEEEQQYINTNGWTNSPVYPTSLLPDPYSDEKVQIKQIILES APITPLQARFSAADLRVLSILSYFYSSISPEGIKKWDFAKPLTYLNPWEVEYGTDTKN KAINRIYMIGEGSEGVLSEDLNIALNGSIVALIEFINTVSMIEDGDEDQVYLQGRSLP ELDSMNFLGLGLIRYIQPSSLTLLDGKIHLLTPLSAEVLGRCKGLIKNGAIELPTPGM LGWSKSNSNSSTQGEGEEGQIPFFDKSGIEVVGGERRRWRKNIMRKGM I203_03236 MSNVPPPPDANGNPLIALAVAVIYAILYVLQGFRNLVGVLTIGL PSAIVRILHYSLTISLGFPHFLALFAALLLGLFFLVRYRYLTRYTKLKEPALPPPSPP SLTAELLPLDTPGIGINDKRSRSGSFHNYLDDFLSAIRIFGYLEKPVFHELSRHLQTR RLAAGDTLNIGGGDFWCVVEGKVQVFAPDSSPLSRSIPSSPDPYNQNTSSFNGYHLLN EVSTGGTLSSLFSILSLFTEDIKLSWTPPNENEDEEVLNDDDMSREPSLAPPGENRGK SRANSDVSQLDHEVLSKRVGSPENTSPTSGRNSPQHQRSSSLGTGSGAVFQDSEDAGQ QPSYSMPATVSPSPAGGRSQSSSLHPSPQMRPQPGTFPSTTTSLHDSPRPLGRVPRRE DTGAAALKGTIARATVDSTLAVIPASAFRKLTRKFPKASGTVVQVVLERFSRVTFMTA HKYLGLTKEILRSESSLNSLVSHPLPRSFYTGGGMQALRNRFQPEAQANDRSQKNFSS IGSSPSGRISSKDYFNYVPSSPTVKAPSLPSATPQQVPSTPSAKGGILPVKGLQALSK IDAAVAVESPAEKTPDSNTAIEPPSAGVFSPDSAARHGSEFVRRKSAFRKQVAAGDLA MGQRNIPDEKGGAYYRPGVQTPGLPRMDTWMGRFSGSSTDLAHHNGVAITPSSGDYGT PEDDSFDLKEAVLMSIARSIGLAQPTESNIDSIGRNSFAPSVSAVSTPNSPMFPPNGR PEAALKAKVNDDEASSISASVHDSQFGTAAGDKKVLKDLEGNVEILFFKKGSVLVKEG ERSPGMYYVIDGFLETSLPVHQSGVETPRSSPSVNPRSVSPPPSTFTNVNGRPFGAAL GLDTSHNNGYSSPFGSGKGEETLYTVKPGGIAGYLSSLCSTDSYVNITAKTDCFVGFL PHHTLEKIIERRPIVLLTLAKRLLSLLSPLVLHIDAGLDWQQLGAGQVLYEKGDKATD FYIVINGRLRSFHDKDGSMQVLREYGQNDSIGELDVITAVDRSDTVNAIRDSELVRIP AALFDAISIVHPATTVQFMRLIAGRVRKAMGEQMKIGHLPLNVPTTDVNLKTVCILGN NRNVPVAHFAGKLKTSLEELGASTSYLDQGTVMRHLGRHAFARIGKLKVAGWLADQEQ HYRTVLYVADSPPASQWTLTCIRQADLVLVLAMGDDPSLGEYEKLLLATKTTARKELI LLHDEKAVAPGSTRPWLVNRPWVHAHHHVELPGVVTPHKVTPTVHDAAAVAAFKHLRE RVETRIRKYRGLRPFARPRRPSHMNDFARIARRLCGKQIGVVLGGGGARGISHIGMLQ ALEEFGIPIDAIGGCSIGSFVGGLYAKETDLLETTGRTKQFAGRMGSMLRILSDVTYP FVAYTTGHEFTLDKAFYNTHIEDMWIPFFANSTNITHSRMEIHRTGYAWRYVRASMTL AGLLPPLSDNGNLLVDGGYMDNTPIEPLRQNGIRDVIVVDVGSIDDTSPRNYGDSVSG WWIFINRFNPFYERKVLSMTEISSRLTYVSSVKTLEDVKNAPGCLYVAMPVQQFDTLG GFKRFSEVLHIGLQAGRETLRKWKDEGKLPTGLVDASKGSQVIQRGNRLRWVRSSHLC REI I203_03237 MPLSSFSFSSSLHMTKNHRQPPPTASSSTPPLKHRSSNNMAIPS NFMISNNPSNSAGPSRSSSLAGRKRPRESDGDGKNRTPSRRTKSTGDARSNSSKDREA FQRGLISVFVPKALQESKQGNLAHYNDLLAHFLPSPTTPIPALPPLLPLLRAISAHVS LLSPEIHSPLVTAIINLPWATGDEKFVKTFVGWAAVLVSAQPGWAKEVVGMAVKGLTW QLPFTSSTAAPISRKLFHARHHLLLSHLISLVPTLPNVLQPLLIRNFPHKREPEVAQT TWIRNCCELIGYCPELGGRMWGEIVDRMLRIDVEITNSIEDDDDDSDAESDDEDDMQP SSLPRLSPTPTLDPLDLSISQQLPRPRTASPSPDIGVDDDASDGDPDPDELSSDDDGE SDDEDSINAAKLAEAREKKKANVKAMREKLDGMLVHFFEHLEEYMGAKASHLPAAEMA AQNIAGSSGASTPTSEYPLPSSSTIASLLVKRPAPTPAQSLSFFQTLLNLFSRQILPT SATQHIPFLLFHTASFSPSHTDLFLGLLVSQALYAQTTTAPHTSQPVSMNQRIAATVY IGSIVCRARFVTDDQARTVLTYLLAYIDGKLHQSRINKKEGIDELPLFYAVCQAVMLI FCFRWRAFTASASKDENENDNIVGDLELDGEESLDGENNNDNKWINDLDILQRAITSE LNPLLGCNPTIVSTFAKVAHHTNFLYCFSIIEANQQSSHPPARSTSSQNLASTASTNG NSNQPKLPARTNSSLIGSQTLPRQARQMNIDLGLDSYFPFDPYDLPKSKQYIERLYRT WSEVAIDSGNNDSDSDSDSQSEEEEEEEGNESDDSSLEDHMKTTFPHKINIGSYGEHR KKKLFNGTGQRDNGLSSSLEGMSISPNLNGGLGMGMGVGVRGA I203_03238 MPPSNQNQDHCMHTFVLPSLPKLGLPPLPPITDSKLREMVFTHA SLSNVPRSYSTSVFLEEGQGSLDYEKLEHIGDALLEAIAVSLAHELYPNFRQGSASIM RHQLVSNATLAQISCQYGLPNLLKSEPSLRFTLRRNEKVQASIFEAYIAAVYYSYLGG GDIITAESSRSLQLAQSSTPSSSLLPSVADPSQGEDAQPDSGSDGESEDSDQEYFDAE STTESDTDNNAGSGESDESTDYYDGIEIIFKDLSSDEDKDMSSSNSQKSFESLPSPQL NDSPDNTTSSDTIVPRKTRGEAYDYLFQWLRQVLKPIARFAVEHLEVEEKRIQQKYNR VPEPVFIAPASWKEEDEKARGGKNILHCHFQEGEFPTYTESRLPGNPNTSPWKVVCTV TDDSGKEWTAEATRFTKRDAANVAAWKVCIAMGLIKADE I203_03239 MSEFGAEQPVIQTDINSLTAYQLPSFPSFDLPPLPPINDPHLER IIDSYTSTGLRPLVFDQDVFVMDRPDESYFEELQLVGNALLEATATTWGHDTFPAFRQ GASSQLQSHLIRKSTLCQVAVRYNLHTKVNYDPKISIWTATDIGRAQSAVFEAHIGAV YYSLIGKTPTKEKCDDTPDQTYMQTQATEKDETEDKSSIVETHHVNSKLENSSNEISP NNCKVKTRGQAFEYLYSWLSHLFKLMSKFILEELKKEESRFESSRPNPRKITLKFPVP PEWELEDTKARGGKHVLHAQHQLIKVRPTYTATALDGHWDVQPWKVVCTAVDVNGKEW IAEATRIIKKKAENMAAWKICVEMGLISVDE I203_03240 MRYDTHENNPLFSYVLPPVPAFPLPPLPRIIDTDLYEQVISHVS IQCLTRRSVMALAKPEEDWEKAVDYEKLEHLGDAILENVATGLIHEEFPWLRQGGSAI IRDYLVSNATLAQLSVMYNLPLLIKADPSSILYVRSSEKIQASVLEAWIAGTYYSYLK YGEGGGISIDHEGEISTSQRTMTDTRQVEIAIESREELIEDTVQYEEDEDEERPPKNL QPVLEPIRSCLSIDTDPKVEEVMKKRDEVSHTTDLEDLISTMMIASTSSRSTTVVPAT TASSTEISKIPAPGSEGLVATGIDNQSRGLPNDAEIQPSQLQPPSQLYSVPISGTYAE EPQSPLISPRTKGQAFDYIHSWLQPLLTPYCRWLYTLLLEEQNKILSTLPPDVPKLVI PDHWKEEDRRSKGMPQALSQHPWIKSVGNKPVYVKEPKLGQRWKVTCKVVDLDGKEWL GEAIRPNAQAAKNVAAWMVYRQLGQ I203_03241 MSPPILSSPFLNLNTINTILSSPTPIPIEQRIQLLEAKIHLLTS SLPASIKSATTIPEQRSRYDIMERDRGTLDIDKIRVELGQTCLSQKIPDYIKAEMEFG IVDRNCKSILKRIEKSLIRQDEVVTSNDHMKMEMLDEVWIDEVKQVRIDALTGMILVE EGLGREARAERWRKAIEGLEGQANTPI I203_03242 MVSILSFFQTKKKGKRARSRSPSPTQQHPPSSAPRPTQQTTPSG TSNTSRPTRFLSLRHKSGSSSSTKPYDLTGRRGSTNELTRKRSKGKSPEPSKPDLISA FIPKLDLAFDIPKTEDAIQKDSLVIHGVGEKVVLRRQEKEVISKLQLSVEEVKLAWEI FGKALRESDLNQHGLVLPLRPNTNPTTRLYLLALYCLVIRPDLLSHFPTIAAQFAQPT SNPFEIWRERLTSILKDGETSSDLSEVLKYTLRRLQPTRPEPLIDIGLYIAFVKAERS SSYPLDAFERLLSPRLKTGVATYLNEIFEVWSAMITHAEQNAMTPGRLAHLLGWWTWG CSTGKFRSWEELYKDWKSAGQRMEHLLYVWIRLQSTKTQLPTRLLDVVQDYPFGEAST TADQPPSPPPSSFPRQTLHVTLTSSLPVTGLFDTPEDILSSSLSAKLDENATAPLWSA LKAVKDLDLSKILSTDSMKFLEKVTEPTDIPPTPNPAQMRSPILVNVTPSGQQPTSNG GTFRGRYHSHSGDLSSPATIVSSSTTSPNLSTLLNVGKDDESPKSVKKQASLGVLPKS GGSAWDDFQKSGFGDSSKNAGKLDLAFSPGSISKPVVPAASTIQLGANITEESVAKKR ATFSDSKGSKAVYGIAIEEVVEIDDTFIAFVEDAQLDRPSTASWPPFSLVRLNPLVQP LQCEKVIEWLLITVVHHPPHIPVPQHKPQSTPELGLNRPTSPSSSKAGTPRGLKGITE SFKRSSSFQSGMNLRRSFFGTSGFSLSLSRHASDELDTLPESESDNACQLRAPLSAAS LTPTEYTITEMGEMIKIPSPNEKVEEAIVDPSASTGAGPSVVAEKEIDTDVATIKGKP MVTGLTTGPSVDTLASQWKYAGEGAEHIVFSHRGTLSEYNGKVLRLRKSQFLGNSPTD PEYRQTLNEWTFNLLPQLVPLELLIKTHEVNLAEGWVKDLFTQADATRPEERKLLGDL KSLVVGEAKGVIMEDTTTNEKKDGIVSLAFEIKPKWGFLPDSSTVVPPEAAEVKSEHC RFCMHRHLKGHDSSAEGKFCPLDLYSGEEVRMKKAINGLWGIWEQSEGKENNWRVFVN GEKISPDKAEAVVLYFDDEKSLADHIASSIIPILNSSQVVQTLKTLQATLDPTDISDL ASRFSSAHPDAELFDPALIPSPTSAELKEFIKLYLSSPQAGKTGEAWTLRQRMIAFAL SAIFKDCSVFVKLTLASSSPPLSPSTEKTEEKWSLVEGSGKVKIIDLDLKPIQNLGKW KTTDDKIWKHWLETHSSSTTQEIKNDTQDDDAVKVEEGQTLDIPIRHKAISGLTLSGV SEVGSTNTSDGASTRALFVPTPDRTRENTPLPTPAPVTVGSSMEDSLEPVDPPSNQNH QSESPVEDQGGSVPQAAHEAIDPRRTKRSMAPSPSPPPPPALKIPEQDEDRSKEIPKI PKDDVSSEVLLDHESTAQNPEPQKTNESSSIIGAGLAGLGSVVGLAAEAVHAIVEEFA EARSEKEEEKELLKNNAQVDDQSREVAPSEQDGVLAPEVHQATNPVGEPVSTEQPKVD IDIQPVPAVDNEYDGPDTNPIAPIEQLNTDNIISLEPAVIDERPKEEEAGESREYTPR AEEDRVNVEADTPQAELDNFYTPAETPLIPQPSAFDIPAELPVPVKTSDSSELPTRTV SSDKINDAAQEVSAEQANQVDAESSAGTPKMTIIPIEDATPKRDGDEAVSDSSGDQVD SAPVRIRIGDTSIEQTNAVVAPASTELNADTPLESVDAPEAATFKTEIPASLPSTIKD PAVPGDISSQDVSAPPQNSPEPPVGAAPAEEQPSFEVDEHSADQVPHDGAKAQDALII QQSPAPPSVLDFETPSNQHEASASSDFAPVEADHSRDPLEDNKAKNTSNPVDNASAST PHDELSAGIHVPYNSGLPEETSHETAKASEIPPILGQPINSTTPTSSNTEHEPPSDNQ PIISEDPTESQDHLSPLVADHHQAEEPVYDHHDLSTISEDGESSVPSSTDMSKDASLP TTDDGEQWVEDAQPSQEQEEEETPRAIHESLVEEVNVRRPVDEKVERVGEEEGKYVSD RQDEEVTSPVESVKERVSEPSDEIPTPREPAGALNENDTTPSNSTSIVKSAGEEEKIP ESVVDPSSSIDDDGTSQLVEKEYSNGLSPQPQM I203_03243 MTFSRILITLFLPVIGSSPLPIPTNADLNSTPLPEDSNASTFAT RAEESGGIGIGAIAGIIIAVLAIILIILFIFIHNRRRNSLSTLTTSTVYGSPPPAYTS PPTKIYYPSQTYYPTLPKYTGPNTSAAYVPSSGGGGVRFPSAIYPGGNRGYYTQRTEG VERGVRFGGVGVRGYH I203_03244 MSTLASHRSHVSFDTDDDTHIGSSSSSPMMYDGALVASSSTMIP LGPSKTIPAVNRRAVIFSPRTDTKYFHSDDLILPSPTHHLTPKVPSSIRSYSDPVTPI DSPSHSRSKLQSRNLSDTPLLVRSILKSRVAQRIRLAASVEEGTLNNKEAARLLVSGK RPKLLAKDSFQPAMLRYAAGDTNEKGKGRAQDDMPSSDDSTSSTNLPGQQISSEGNED IIVEGDSSDEEEETVEEDGALSTSSLIGIVLDGAEDLLTLEEAYNTLTLRLRTRIPLQ NDNAEPLTPAQIDDVRVSTQPIKDEAPAMVRAIQRDLQRLLGKLPNSEMDSSEGDHTP FRGLMPLRDYTPTSNGQRGRFTPSPTPGIGPKSSSPTKAARQGYTESEVRYRREASGV GAAVLRFLAFTFHSPHLFSCFSEADLQSMLEQVMIIPRTPKLPTPNPKRTYYLSIVIL AQMNIPSACVQPVKDKVVRALEGAMADTLGSMGGNMISGKEGPSAIKKEGYNAVTNLV SNYPTILFPHYTDLLSTCLKGMVNPAQLIRYKAGLAISAFARAKFTLLATTQNALMAD PSNPVIKENWIKVKMLISKSEFFIVSHLKSALKIPGKQAPIYGKDGEKKLEWHSLEQV FKDTVGSTTDVHWACAAWSVLVTLMGSAYASSGLSSGFDHIMDRSLQPSTNVVRPLLA RVAWNHAIHAYLSSGFASSITENGHMICSYKPFAASSQQAVEQRTANIQFPVNLALAK ATDKPSYARALTNPKPGVESHCVWQRSEKSKKLQWLVTCGLGSTAVVYAYTGIALYHE DQPAKEMSQISGLPSSDGGMVLPDLSPEESRLPRLDIAWEKVVNPMLRSFFAICGVDR LTIHGWQILEAITSPTSESQPEWSLDRLLVTRYMSGEVFLKEKETDFTDLLNDIQDDG LKPSDIPSWGHFWAAKRLGRLLTLFDEALSSINGINEPSTVEWIRNDNGVSVITRPLS NIWKNLLLALKSAKIAHAPPTPLFLVGLQTVTTQLAQILTRDPKSYVPISQIDEKGRC LLDEDELRIGMISHLFDVAVEVLGEDILGSIRLRPDQVPSSASEQSISSIFQNAFGGD ANGYPTVTGTLLGQLLRSNLPSASPSLQYQLKRLVSNMLDIGSLPGYAGKLLGDITNA MPFLFEDCEEVQLDVWRLLATKWTQIIDLQPSSMASSTNHTGALLVSLLSGPFRGRQI TSYWHRQADKSDLEIWEDLLKVTVLRFRAKRFGSNCGVLEAVAGHLEDFLGEGENTSS TTITLSCLASAISWMSFSTPTTEGSQASPWHISDEDFVPTDFLTLLNNALVEAYPVSD SQNETQTKMDFSPAVFDLLNNLVRVLESTPNQFVGKVLKPVQSGLAVWLEDSERVVEG EMNQQLDGIYISLLNTLSSSMPSEEHPIHSETLNSLAELYIPRISRATSSAVPIAFQS FWNKNFGTASAGRLDYSEDVADFLRDLLMAVPGIIVCPGLEGDSQLPEEESLARFPHV QQLPRPSVPEPIEIEVEVELTNPEYDADISQSIEPTQPRLPLQHELDSQQPEEKDEDI LVDATKDTIEPPSPTPLPVTDGKDEVSQEGEDVFGPAAMTRATRSKATKKAGKKGKSK TTKRKSTGTVTGVGMDESMEIQSLPESKRTRIEPPTNSRSLSDVHITPLVEDSEVDEE DEDCIIVVPSPGYYRRKGIPQPQPQPSSTHTPAVEAGPEIEMKEEQDEVVREDVIEGT PTPATSEVPVEESTAESGHEMPVEVVAVPGLKSSEEVKALRAASPSKSPPPPMAQPTS ELTHDVQPTPSTESPSRPSLLTSASRWLSRVQSFPFFSPSIASSPAELFPPATQVEPA ADNELPLETEDTSQIETSGEKNQEITTKKGKKSRNNKKRRSSIPATASISHHTEESSS SQSEPQAAVAAQTQPVKSLSKSTSTDSIASKASTISTTTRSSKRKRGIEPEPQSVDQS KSEDRKGKDRAIIPEIQVDEIESEPRRSKRRKSRSKIEETTESQRSLPSPSRSIELPE PIEIDNEEEEDELLLSPESARRRKREEDELIARVSQGTSSKVWSESISGRFDDAPEDL TSISRRPSLIRSGLSGASASSSSIRSNTPSPTNSTSTSSPSKTKTKTKSQSQSQSQIR EKIKASPNKRNTQQTKVLNMLEEAVKSKKVIENLDYQGVKSLLRNLNELREAAEQRMY ERMEELRNAK I203_03245 MSRSPSTSHSRSSSIQVEAGPSRQTRNTSRTISVDDDIPPSDVD QDLDELNSKSGQSSPHHDTISSDPLAFSEGGSPINIKKGLPDRKEGDEDGYGRNERLF DDTRHTKGEGSKNLISHTRKGSLFTSKPSYEYDDEYKRSKISVKSISRHHSKSSTSIH LTSTNTHKKRNRSPSKVNNQDEPIIIDSDSDLVSNSHRRRPIVEIPLMPLNHIRRYLH LRRDTETPTERSRRKLPDLRSESELHSSSDTASVVVINKRKRRESSVSYGYEEDGDGE MSEDESDMGSDQIALRRSGRSGMKNGRRGIYEDDGDSEIAERTRARRPINITRRSSLS TFSSTDSDTRSYRTNSKGKGKAKMGNNTNKRKPKALSSDDDEFNLISMSSDQDDTNES DVSDYSKPNKGKGKGKGKSSGWKVKVTQDDLYTHRGFCEKCSREPADEILDKAINRKN RKKKRKRNEEDDDMISDEELANMLEGWLECEKCVVSSHWGCLTPTQRKDVLADIRERE GTPAEGEKPRRKIAIDEAATFVCAKCALNPDCFVCHKDDIKEKASIAPDKKDVTKNGD EEDEKMDVDKPEDQKDGNDKDEEEIPKFRCLRCKQCVHYEHLDVPHSLAENPSLPEVA FHYQHRTEEGDAWICHQCRAWIWTIIAWRPSPPNAIEPELEEDEKANWKDPLPREYLV KWTGRGFRHVTWVPHPWLQVLSSSRLRHFLEKGPNLDLITDETLAAKGDEMAQPTISK LTAEDDQVSGRKSGHSLEHVSASQEGKKEWKGIGPGPEKDAESSLPIEWSTIDRVLDL MLLPPRQRAKPSTTKRGKRIMSTSLSASASETGQANSSALANGERSPADEMRRQYGLR DGQKPPAEMQMDIDTWEEKAGRELDEDDVDEVAGLVTWCFVKWDDLQYDQSTWDTPPP TDSPLYPAFKHALARYLRSRKIEIPVLTPDQVRLRDTNAEGLYVPPTNQPDCIVGGQL MPFQMEGFQWLLYKHFRRESCILADDMGLGKTIQIASVLGYLGSSKYKIYPCLVVVPN STITNWVREFEKWVPHMRVVPYYGESASRKIISKYELYHKGMQNKAEGLNAHVVLTTY DMITGHDFRVFRNIPRWEVLCVDEGQRLKSDNSLIFNRLKTLNSVHRILMTGTPLNNN LRELFNLLNFLDPTTFKQLEDLEKRFENLNESLVTELHEMIKPYILRRIKADVLKLPP KIEIIVPISLTAIQKQIYKGVFEKNSELIQAILRARKRRMRLGR I203_03246 MFRSTALKSLRAPLQAQTQTRSFVSKAQLVGRLGAAPEKGTTSG GQDYYRYSLAVTKPGKRDAEGIYTVQLCLLPCRKTTQLIDLVPLDPVRESSWFTVFNF KERAAEALEKLQPGSLLYVEAQIDTITTPPPADGQPGSKQYVFRESSHRVLSKPKSD I203_03247 MSPPSTNILLDPIPEIRAALKSNSFGISSSRIIEESSFPVTRQD LESIKDESRSTGTTSVRVVGRAEFQLLGEEGKVGVRLDRSGWTVETIQESSSSQIHQK LNKTYESLESLLIDISELYVREMNNEIWKRFGMDKHEDDQHQENI I203_03248 MIRSRAIIRSLPSLSSASKASSSSRIAVRSLATVSDPPVRHYGG LKDQDRIFTNLYCKHDHGLKGAQSRGDWHKTKEIILKGDSWIIQTIKDSGLRGRGGAG FPSGLKWSFMNKPGWEKDPRPRYLVVNADEGEPGTCKDREIMRGDPHKLVEGCLVAGR GMNANAAYIYIRGEFYQEASHVQQAIDEAYKAGLIGKNACGSGYDFDVYLHRGAGAYI CGEETALIESIEGKQGKPRLKPPFPADVGLFGCPTTVANVETVAVAPTIARRGGAWFN SFGRDRNSGTKVYCISGHVNNPCVVEEEMSIPLQELLEKHCGGIRGGWNNLKGIIPGG CSVPVIPREDCEKVLMDYDSLKDAQTSLGTGAVIVMDQTTDMISAIARFSKFYKHESC GQCTPCREGTTWMMNMMDRMVEGRAQEREIDMLLELTKQVEGHTICALGDAAAWPIQG LMRHFRPEVEARLAAFHAKNGQTLFGGRLLSEADMRYALPDNLGGDAHRNIAQISAP I203_03249 MPHSQPSPRVPYRATSTPPRTPLHLPAVPSYRAPQREYPESPTA GIERNRELHIKPPVFSLGQPPPPRKRYLPKFIRSPKRALVYLVLLGLLGFTVKTAYSH LKGKKVLSLGWNKRAGEGFFGGLGQKSQEEEEEEYDDGLCRFVSPVEAYQRDLHRLRS LFPNSHIPSSPHHHSHNHNHSHTHSHHTYSPTGHLIISDDPDAPHPIPQLLSLGEKRW EELLSRQSRTLSEAVKEYTRRYGRKPPKGFDKWWEFAMEHNLVLPDEYDRINLDLAPF FALPKEEMKRRMEMVENMEKTFTLVIEDGQVEIEIRDPGGLKWGGTLPRAHETSNLLK GFIKYLPNMKATFSIFDQPQIYLSWARRGSLIDLGLRGEVTTHLHETDDSEVKLSRSC APKTNFRKDPGSSEGKSFIYDSLEAGDPCQNPYLIPLHGLTLEPHGHDSLPKPHTQLL PLFSLAKTSINSDILITPLDQFHDNPGKDPIWEEKSSSKLVWRGSPTGISMMNRTLPW RQSHRIRLHHFAANTSQDLMEFMIPDLGQDQSFSEEGDDQLGDEHQRGKIQYHASPPP SSERQRQEKDKKSMSAPLTSLEDEYSTEEVMKFYYDMKLSGQPIQCSEEDGTCEDMRR EIQWAGHQGAEELNRHKFLLDIDGNGWSGRFRRLMSTNSMVIKMTMFTEWFQPHLIPW FMYVPAKLDFSDLTDIMAFFRGTPKHPELGFDETAAALARNGQCFVQRMFRMEDLQAY MMRLFLEYARIAADEGVDMDLTLSDIDIDIDSEKQDESADYAEPEQNELQSTMIDDDS GVMSQDGLDQSSEEYAESEEV I203_03250 MSRRDVGRVVMDVAFFAASQVALYYALRYVLSSLDGGSPSSRSS KKAKEKGKLLLSETGLTDKQLSELELDEYESTIAGEIVPPSKIDVTFDSIGGLDEIIN SLKETVIYPLTFPELFASAGGGLLSAPKGVLLYGHPGCGKTMLAKALAKESGATFINL PLSSLTNKWFGESNKLVAGLFSLARKVQPSIIFIDEIDSLFRERSAGDHEVTAMMKAE FMTLWDGLTSGTDTRILVLGATNRPNDIDPAILRRMPKRFPIRLPNYDQRIKILTLML AHTILESPFIPQLALKTDGLSGSDLRETCRNAAMVPVREVMRDKGKAGKEGLEDARRE GFKLRPLRLDDFNIHDSHAYAHVEPSRKQPPGAYGDALD I203_03251 MSTQPLAQMMGGLSLGAGGGPPSPSPVGRSHNNNNQSSSQNRLP PVLKKYMNPGLVRPPNTGLSSSSSTNNNYGESQRGPLMKLAGINIPVSTKQTNSPAGK LKQHTAHGLHGPVHSTSSRALSSSINPNIPKYQSSTTQTTSSKGMELGKYDGGLEADV EDKEVVTGESAKLLEMDSGSAGIPLSLPQFQIGRPLGKGKFGRVYLARTKAPPHFIVA LKCLHKSEIVQGKVEKQVRREIEIQQNLRHPNILRLYGYFHDSKRIFLVLEFAMKGEL YKQLSKYGRFDEKKSSRYIAQMADALSYLHKKHVMHRDIKPENLLIGLKGELKIADFG WSVHAPSNRRNTLCGTLDYLPPEMIEGKEHTAQVDLWALGVLCYEFLVGSPPFEDHAG HQATYRRIRNIDLHIPESVSPEAADLITRLLRYNPEDRLPLSEVLVHPWILKYQKKRS TGGVRES I203_03252 MSNPYQTTRMDAATVPSNAPSISNRNRTFLGKCQFRPSGSSVNF TLIDALTSDKGPDVRLAEHSPSTSDPETHSGLWSEGNLIVDVSSDIIQSRDGSTEVTS YQGKPWITLPLSGTMYTIRNGKEVPVGKAVMSASIIPKRTLVAGTDIAPGLYWFHSEG LERGIKGLEIYDRKAITRELSVSSSWYAYSESYSDRKEGVRYVSSRDRPVDLAGLISG TLEYRMMDAKDAKSMDKEDWDHYDVDLDFEVREQIVNRVI I203_03253 MVSTSSLLNFHGTPHRIRLTDDLNGILAANQSNPSSSDTQSTHR TLIGRCRFLPDVQSSYFTLRDALTADEGPDVNLGPKNHDGIWSGGNLLITMNDETGPV SDSTNKTVKSYQGLSWDTKHVRGGMYAESQDGSNIYMGRAHKDISIVSKRTLLDVNDL VEGYHWVNSNGLDKAIEELDEYEYYEIDKILSRASEWNGFYNSKVDGGG I203_03254 MAVAELDMITDVFNRLVNSCHTKCISQTPNNHRYVEGDLLKGES VCIDRCTAKFFEVNKKVGERMSAMGSAAQATGTFGR I203_03255 MGYFQAALIKMGMAQPGPKITAQDRAILDLKLQRDKMKQYQKRL QVVLNREQEIAREALNAGNKTRALTALRQRKYQEQLLAKTDAQLLTLQELVSTIEFTQ IQNTVMHELEMGADVLKQLHAEMSLERVEKLMDQTREGVEYQREIDEALMSRMSPEEE EAVQEELERLQQEALPAIPSVPDQQPVSLPDAPVEEPTTAQPAAEARQAERKEERVAM AA I203_03256 MFGTSLQDRLNAAVKTLEATGSQLQARALSVNANNNQNVSTSSP KEIPSRSASPSVTKSPIPPTSPLATAGTITEKNGDNVSPTKSTGGSGSIAAGSYVGST AHLAENALSGLRKSFHFGNRSSQDLTNKATATSSNVVGGSGSSPQELKDITSPTCSPS LAGPSTSRPSSPNPRLLPTSTFTLGSNPPSRAVTPTPTLGPARRGSKLALDPVIPLPP PNPSDPATYPLPPSPTLSASENLTTPSTGFSDPLGASPIIQASADQDVPKLGLQEATP DTEKKEGVVGLGVDGLDTDKVEVQITTSAEGDAEDVEKPVIELSGEEIKKLADSERRY EDLSQRFTTLLTQTHKANQVLKELTPLEGGIADHEALEGWVRMVTGKLDMITAEMKRL QDKLTLQDTRMEELRDTHRLEGSSQTDLITKLRSELSEAQEKVKTASTDNSTITQLKA DLAKAQTQAKEEEEKRTKAISLLKTVRLKLVKVEKEKEEIERDRAEERAERAKASEEV ERVKGEKEREVTSLRKGFERELNGQKEKYEKDMASKKANWELEMITTKASHAKELSAK TTKVNGLEAIVKELNVNKQKTFEDLQAKQAEAESAKSEMELSSTRTKELEFQLREANE RIALLEDSSSSNQRNQLGLGLPNGSPSGSPMGSRRNSTSNKSTGGVDIQKLLSEAEAK SESKLSDLRFKIKSLELERNEIEEEWSVKLQERIRELEKLRRQIQEKDMEVNDTLRGL QERESKIQDGEERVKSLEKELIKLKGKLEQGKGDIQVAVEAERSARDEISSLQTQMHT VQTQLDESRNHITQLKSTNRTLRDEMRKLQSSVQLMERQRNPGVGYWSGTAQGGSTIN PRSGVPSPSPTPTPVSVSGSIGSPTQSESRNKVKQQDEEEVNLEYLRNVILQFLEHKE MRPNLVRVMSVILRFTPQELRRLNAKLQS I203_03257 MTSETTLPTSLPTITLLISNMHCTSCCETIHQLLSTIQSIKSVS TSLLLHSVTFSVDLDNTASSSNGKPKTIGRVVEEALKVLRGEGGFIVIAESSDGSSRS KYLDSFGEGEEIGWIGRLLFQTKRGKERNKLEDRKKKHLEHCKICQDELAGHDIALGQ VQEAQPSQGVDLPLENDRISSTQNNKDDEAGLIKTTLSIEGMTCASCVNSITSSLKSL PGIISVNINLLGSSGIVRHRPFTTPQDVANLIEDIGFEAQVIKSELEGTPLDEEEQGS QSFKSVFSIEGMTCASCTGAITRALQDHEGIESINIDLLNNAGTIVHSSEMTTDQIKD MIEEVGYGAELSSSQPLSVSLAKGKGKDEGPKLRTVQLKIEGMFCHDCVRKVNSYLDS LTGIETYTPTTLQSPVTTIAYYPYHPLTIRDLIEGISDVAPEFEVEILKTQSLSERSK KIQKKEVILLASHCAVAIVFAIPTFIIAIVSMILLPNHNPFEMKMMEPVWGAANMGTV ILWPLASVVQFGVGRIFYKRAFASIWPHLRRLMPSALRTKSMRRLPPRPLGWKTLVSF GSMDLLVVLSTTVSYFASLAMLILDVRASPGTESVGTYFDSCVFLIMFILLGRTLEAF AKSRTTDAVSLLGKMRPDTALLKSQDTTSTSTPESENTAVSQSITRTIPVDHLEIGDT ILLPPGSLPPTDGIIVSGQTTFDESSLTGESLPIKKSIDDQVLTGTVNLSSAITMKVT DLAENTMLEKIIRAVSDASARKAPLELMAEKLTGYFVPVIVYFSLLVLAIWLILALTQ TVDNQDEGKAGGRVFFALEFAIASLVVACPCGIGLAVPCANAVGNGIAAKNGILASGG GEAFLAATRIRRIAFDKTGTLTIGKSVVTDEEWMIKDEKEKEWVKKATKEVERGSTHP LAVGLVEYLEKEQAASGSNTLDDEKQNKISINHVEVLETKEIAGRGLKAIVKIDNQTI NLLIGNVAHMADHAVIMDTAHQSLVEKWSNQAKSVVAIASQIASEERYKLSAIFSLSD PPRETSADLIKSLKERGIQVSMLSGDNQSTALAVGKMLGLEKGEVKGGVGPEGKAEVI RGMQRDLKEGENGDGKGLVMFVGDGLNDSVALAAADVSCAMGHGSQATLASADFVLLS SSLPSILTLIHISKKIILRQKLNLLWALLFNVVCLPFAAGVFYGIHGIRLTPVWSAVL MALSSVSVVGSSLAMRWGL I203_03258 MAQTISFQPSHPLNFYCPSSPDPTPLRLLAFSVAEAALQPDPNS PSFNMAMGKMPTPLEMESWTHQPSVKPWERRVSQPQTQARKSLWDVVDRAKGRRVQER PSPASTESTLPQSPPVSPSSYNFVLPPNSPLAASVAADNSPSKKSEASSAMPRTDYFP LFTQSAHPSPSKTTFSGLDQSRPPPEFVPRLSAVELSAKSKKTSSVAAKHGRTKSDIG SGSPSSDSSEITAEEKAKARSKLPSVPSRLPSLAQIQARISTDHKRCSSAGSPSRIRT VPRTDSQESIEVIKTPTEESPRREARIVLASILSNRRPATPPTEPLTTPVKEARLAPF LRERTSGRLSGSGLGGKARPMSMPPMSLGELPSFEAIAATFNSSKSKPSLRVTPPKER STSFTSGTGAGNVPSPTKGAFSRFSANGMVTPTESRFRINSLDSYCTPTKQPSPSPRR AFSSPSPASPTESIRSSFSNLSGSPSLSVPMITCTPAPQTILKNGVEQDSDEEGEGDV VLFEGDSFDNDVESDFGSEADTGAASEQEEEMKEREKRAEAMKKRLMLRRRSD I203_03259 MSSNELIPLFPSATHPAIPISAQILSTLRHLTGPGKGNDLKPEE RAALVGVSALLGCEKIHSKDLPLSSAQKASSVSPAHFRSTLSKCRTLLESIPSPSVSP SKRSAGGSPSKRSSTSTAGNSPSKKSSNSHSNSLGIPEDIDIEESITPSGGVRPEDVL SPLQTPKKKYKFSSGLDISSLVKSPRTPRTYDSVIASPLRQSVTRQPSTKHPQPTTKK QEDHRDEDEQEGNDVERTPSKKNKFIATPGHGRGVDLENPPPASAMRSVQKRRKGEDP SAFFALRPGSGNISHTPNEIGSGGGVEEVEGEGWMHRRPEETHRKNKVRRIDQEGEIR RKKRKEVRKVDWTYKEGVWGGKKEAELDHVWKDLDIWLDKNDMSSIENTPVNGSNAVD ILLNLVSGSAKD I203_03260 MATPKIRSANAASTSILAPELPQTDKLKEGRSYEISLVKSENLS KKAQDSIFKLFDENMYDLQKTSSFPYTEQSKREELFDQDARYIILLSPPQSLSSTPIP IVNGNNKKGKAKEIPMDFDYDNLLGFCGFRFDTEETLSSRDAEVVYCYEVQLSIKCRG QGLGKRLLDILEEIGRKRGLDKVILTCLKSNESALAFYTKQGYIPDEIDPTRINEQEP VDDDEEEEEEEVDYRILSKSLKRI I203_03261 MDSNSTDDSQAWYPTTAAILANITSLSIQNIFDQLSTTGSTTTV QLHLLDGGVKNYTVDYAQAVVNGSQVMGDDDVGWPAVIRSAIIQHNTPGVETYRLGQG LAKDCLKVLTGEIYRADQVETIDLWNLSNEFVPDKQPFIFLTVEQGVGRRVYSWDDIV KDLDIVPWPVDLTQEVD I203_03262 MLITNSLGVLCCIWAGPIQVILATPIDPLPGQDKQSKEIIAGPL WSIGGPVVTDVLQGPEGECWFQATLAALVKCHPAAITNIVEDSGIGNGEEGKVTDEAN VKLLNNDNERKTFQVDHQTSVVGIADGLTNWWPAAIKRGVMKMGGYPGIKENTLEGGK PEFALRFLTGKEVDVIVEPTIDETWSWIKHSDSSPMVIGTKGDTNKLDSEHGYAVMSY DGDGPDDARARLRDPNNGVEWYDLRDIADDIQHIAGLAGFASVP I203_03263 MHISATLLLLPLVLAHQGAPPAHPHRRHHARAVVDANRPVPRAG GVIDNGTLASISQHNAEASATQTNLATSATASAAQDDDDAITSAVTSPSNSGKFGDGL PSSTISSSAAASSAASTSTEDLAISSLTSDTISSTLSSDVESSTSISTEAAPSTISQV ATSAAASTTVHSTVVLVTTASKSQSTHKASSTAESAEGEKKSSGIGKTALIAIIVVAS VVGAAGIGWTLFRKWKLRPSNRFDKRMNPIDFSPNNGDMNDDFFEKTLQRTASNSSAS RQRRELVAELDDPNHVPGVPPHDFTAGTAGVGAYAMYNQDPYAHAEQYDYEAAYNQQG QPQHGYDQYQYPPQAVTQDHQGYATYQGAPPTQDDAGYADLQRGNSIGSGSGHGHGHG QAMHQHDQQGVYPGELSFPNASEYGALGRPTGGADGPYAQAAQYRGY I203_03264 MSKQPPGPSLIHVPNLFSIVEPSVYRCASPTAAQVPFLSSLNLK TIVSLTPEHPIKPLLTFMRTCGIDFIHLGLTLWRPPGTEWKPVRDEVIKVTLEKYILD TRAHPILLIDPIGVHQTGCVVGALRVMQGWNFASTLVEYRAHAGSKHRYADEQYIELF DPDLVNLPPPQYRPSWWIPSSTLDDESSDEEGKVESQTVNREVNGMVPGQEGVKPDIP DEVVVQ I203_03265 MLPIRAAVRSSSSQRCGIATSSRPTHLTRSLSLATRPLPKSKSL SHYIEVSRSRDGVLHQRGIHSSSRLMEKKRWVNPASENDKDKGKEKEKKEEGKKGSEE VEAKTQPEKEGVKETEVEQKKEIEKDGSGEAESSKTAEERAKKESSSSSSSTARSSAS GSSSSSNNPFSLPGSSSGSGGGSGKEIVKPNIPETYPQVLAVPITHRPLFPGFYKAVT VRSPPVIKAIRELQAKGQPYVGAFLLKDSNSDSDVIESLDQVHNVGVFCQITSCFTSN EGEGKPESLTAVLFPHRRIKIDELVTPGQLSSEAPLVNVSKSSAEADENPEGHVESFE PDVPSVEEVREELGTISRESEEGQDQVETPKPAEPKNTKPLSPINFIHSLVPEISITN VSNVSLEPYQKDSQVIRAIMSELISVFKEIAQLQPMFREQVTSFAMSNTSSQVFDEPD KLADLAAVVSTSNLEDLQAVLESTNVEDRLQRSLVLLKKELINAQLQFKIARDVDTKI QKRQREYYLMEQLKGIKKELGMESDGKDKLIEQFKEKASKLAMPQQVRKVFDEELNKL VHLEPSASEFNVTRNYIDWLTQVPWGVHSPENYDISHAIKVLDEDHYGLKDVKDRILE FMAVGKLRGSVEGKILCLAGPPGVGKTSIGKSIARALGRQFFRFSVGGLTDVAEIKGH RRTYIGAMPGKPVQALKKVATENPLILIDEVDKISKAYNGDPASALLEMLDPEQNKSF LDHYLDVPIDLSRVLFVCTANVLETIPGPLLDRMEVLEVSGYVSAEKMNIAEKYLSPQ AKEASGLKEVDIDLEPGAIEALIRYYCRESGVRNLKKHIDKIYRKAAFKIVSNLGEEA LPEPKEPDMTETVEAQEPDIKPASEHLPGEHSPSPGDAGTTKHVTTVPREPLKVPEGV HVRITQENLRDYVGPPIYHKDRLYTSSPPAGVSTGLGYLGNGSGAVMPIEVTAMPGKG NLQLTGKLGEVIRESAQIALSYVKSNAYSLGITKSDNEVTLNDRDVHLHMPEGGIGKE GPSAGTAILTAFVSLFTKTKVDPDVAMTGEISLLGQVLPVGGLKEKILAAHRAGIKKL IVPIACKPDIDENVPLSVKKGIEFVFVEDVKQVLYEVFKGTENESRWRETLPLERQPE REKL I203_03266 MTTYINNYAQPSPTPKDIHLTTPPEEYDLNYVFDVKKLKSDKVE LRPIIPSLHAQLILDAYSRSPEVLRWLGFAPFRDLGDVLVWIENTCRRASDTLMLAIF TQPPNSTSPVSPKDYVFAGIIGMIASNYQAMICEPGYIMILPEFHRTHVQTHATGLVM HRILDHPSRGGLGLRRCQWITTTLNIASQNAAKRLGYTYEGVLRCMRVLPPGKEGARE DRQDVRQSDGQVRDDWYASVTWYEWEERVRDHVDRLMNRQ I203_03267 MSFLPYSYNPSFSPYPPYSHPFSQSLTTPSPIYTLLIVGMVLFM AANVMPNLIPAADRVWHVAPMVLQWGFIIIIFALMVQMLGIVPGVPQLHITYVHMALA SLLFILMWNHIVSEIPPPAEEKKSSSSTSRESPSEKKHEKNKKDEGPSEPSPWDDLRS HPSAFLTTRLNKLMPWPFPLGRARREGNELWWEKGMPSHVGHFNKPDPEIEKRAKEEE ARKKKDKERARLKEEEKAKEAERQKEKDKAKKEAEVKAKMKEEEMKKAKEDAKRRKEE LERKKVEDRHKQKLWRTKYLAMIIGVSMLNKTLGFLLLLLFSLQMVSQELNDTPQSSS TNSSSSGNSSSAGSSSSREEKEKLARLQAQREKEKAAAAAAAKSSSRDPTKSSSSSSS SRDTSKSSSSSSKSSSKDPVSSDAIRKTSGNTTVTNVDPDGCGIGVPYKVGFGMNYIH TPNPDKKDHLTLPSMTIPSAGMSHPLMTTTYRQYAN I203_03268 MARAGAKRPSPSPQSDDEESEDVRARDVKRVKRERIEEQKRAEE RDGLSDDSSDSSNSVPSLDEEDISDDDDDPLDDYEDVQFKDDVLVQAYQAARKSQKGH VGSTSEGGVLKSINLVDFMCHRHLTVDFGPRMNFLVGHNGSGKSAVLTAIAIALGGKA MTTGRGQGLKDLIRKGADKAIITIVMANSGPQAFKPDVYDPHIIIERTISLNGATAYK FRASRDGRILANKRSELSAILENFNINIDSPLTLLTQDQARSFLSSSDPGQLYKFFLK GTQLSSLLETYESSMQNIEQVNTLIKRQVEAVPALKEKVDHLQRKLLASDAILRQRDK YKTVLNQSAWSYVTDKEKERDECQQRVNENDQKIEEAQREVYKHEKKLVALAEEIRQT EKDISNFDETRKPLQKAVRDAKEKVKREKKELADSLQASIMTVQEDIDADRGGLQILQ RKIDAKLRLDANAQRDEHTKLLRDRTRYEEFADKLRKDKPKKQLQLAEKNGDLKVAMQ EAEQIRYQIQQKETAAYNINEKIKNLEGQSTNRLAAFGQGLNNVMRDIQRTKWRHSPP LGPLGMYVKLNDMYYRDTIQGILGATLCTFAVRDPHDRATLVEILRRNMRNGYRPGTG QNQVPPVYMHGGDTFDYKRGDLSHLGPTVLSKLTVTNDDVLRLLITLHRVERTFLART VQEANNEMKRFHTNKILDHVNFFSADFQQISGTSSSKSSNPSAQWRGNLLFTRDLNDD VQQAREQLHACEKELQALTDKKLEAEKTIQEIDKEVKNIGAAIKKITQGLKPIDLKLD EIRGKLAEVSSTEMDNWEAEREERMRKIQDKEDQLQAFINEKQKKELEIERYQQDSID RQRELDEHMPQQNQQAEILTALVQHRADADAKKKYYENAMKIYQVRRDKAAAEVADYD AQIEEWASQARGFCPVRVHSTKSSAQLAQERATLEAAIKEAERHLGVDTSQLASDHRA AKRLLVDVSKNIKQMRKLNRVFHHAMRNRRTWWADSRNNIAVRARTAFVVFESMRAME GRLEFQHKDEKLSLVVHSTTRTENEDGELTERSHYKTPKNLSGGERSFSTVSFLLSLW STVPCPLRALDEWDVFLDAANRRVAAKSLMEGARESDGKQYILITPQDMSGIELNGPD MKLIRMPDPIRNQ I203_03269 MRPSSLSILSTFIFGSISVLAACTSNTTDTAGLQQLIHDGGAGY KLELCAGQVYEISEQLNYTALNQEISTEGYPTDDSRATLKMVGFNKTTLVMAQDAGLS GAKLLNVQLNGNRLDSEDIYTAGGGNIEFGGQNQNQTIEYVKSYDPRGWSCLHLAEGT FNCVNITVQNNDIGPCGRDYFQNWADGISLSCADSLVQNNQIVDATDGGIVIFGAPSS VIRNNNISVKTRTMLGGINMVDVLPWLPEGNFSNTLVEGNTIHGGFATEMGDAEHGPN NASAIVKIGIAVGPDVWFSDGRYGTNKSTGAIIKDNIFSGAFAFAMGVSSAKDFTISN NSFVGNTSFIGSYGPNCTTETKTPHEPVPLLLEPTSVTNLNLTLPPDSPYQFVNGTAL GLTCFMPPANDQNAWPYGDGFNSGPSATSDGGATSASTSSPASSGSSGSGQSSDAFRS IEIGGKVILGSTILGLLVGLKVLL I203_03270 MSFKKDEEAGGMSPMLLNVDSLVSLLAFYHDKSTILQEARVFNE SPISPRKCRALLTRIVYLLYVGETFSTQEATTLFFGVTKLFQHKDAALRQMVYLVIKE LSTIAEDVIMVTSSIMKDMQPNLEVVYRPNAIRALARIIDAQSVQSVERFFKSALVDR SSSISSASLVSSYHLFPLSPSIIKRWSNEAQEAVNAKSVSSFASSASSYLSGGGSGGS GGYQAVASSSYIMQYHALGLLYLIREKDRMAITKMVQQLGSNGKSNNIIKNPMAMCML IRFARKVMDEDPNVRKQMHEYLESLLRHKSEMVNIEAARAICEMRDVQPGDLYRTVAV LQLFMNSPKPVIKFAAVKTLNKLAQILPQSVATVNVELENLITDSNRSIATYAITTLL KTGNEASVDRLMKQISSFMADITDEFKIIVVDAIRSLCLKFPGKQAIMLSFLSGVLRD EGGYDFKHAVVEAIFDMIKFIKDCRETALAHLCEFIEDCEFTKLSVRILHLLGIEGPK TRNPTKFIRYIYNRVVLENAVVRAAAVSSLAKFGVCIDDKAVMKSVNVLLRRCLDDVD DEVRDRAAMYIKVLEEKSLADVFVREEATFSLGQLEEQLVSYVKDETKHSSAFDVSSI PRVSKEAAAAEIAQARSSALDIAGPSTPKPESSAQASTSAEAQSSSYASQLAAIPELE SYGPVLRSSSKPVELTESETEYVVSAVKHIFKEHVVFQFNVSNTIPDTVLEQVAVVMN PSPDSGLTEDFIVPIQSLSAASGTGVVYVSFTRDDPSTYAAGTFSCTLKFISKEFDPN SGEPEEEGYDDEYQVEELDLGAGDYITPTYVTFSSEWDKLAESASLTETFALSSSESL KDACKSLIEVLNMLPLGGTETPTSNSVHTLNLSGLVIPTNGNDKSSKVLARCRMTYSP GSGVTLELSVRAENEQGARLVMAAI I203_03271 MSTISIQPGQPEASSSTPSIGPKHLATFVFDFSLPRSRYKRLTV AEGVVHKLVQRLMEKHGGETNLRLCLLITASKSDNRKSRVFRKEYAGPLAFLTSLPNF TDYFPKSGRQPPKTARWPSFSDGTSEESGNPEGTRDKKRKRKDEIALLNGVVGGVETL NKPYVNIQHSPSRTFISLTPSSAIPLLDSLNAPISTGDETPGSPARRYLVVVASDDTS SDSIKDQQVEVILPHDNWDSTWDGQGWQELASGIKGKDIRCSCVVVGQSGNGETPSKK LKSMCKEISNGSSDAPWFIIPPEVDLTLSGYSIESGSTPPLDMASTLATEPIETPISN PQLQVPMPAIPTVNADGKTLQQLQNQAMQARMAQFAKAVSSVSMANGANVKVDPQMMA SMLQAMKNPGNTPIDMTDPKWQQVRQLLQLQQQRQMAASSNNNNQNVMAAIQQQRAQQ AQNFSQSQQQQVRPAQQQIWSGSLSSASQPGNYTREYLLNLDASKFSGNSEGILAQHW PKDLQLRNVVVLEVQTLTAYAQAKNCPIVVFTPSITMGQDSTANSYKYTQLANSLHAK GNMVIIPFGTQDRGIVLFSASMPVQPPTPSGSRQYHLMGVVCLHVPFPPLNAATIRTT PSQAPQQPLQPQVQAEQQIPQPRPPAPQQQQQQQQQQQQQQQQYRPPPSGQIAQNNNN NNAMYHQQMLLQAQSAMQNQSQPQTQAQSQGQGINQQQQQQQFVPQQQQQQQQQFIPQ QSQQQQQQQQEKRGISQQQYTQLMLHAQRLGLNIQQFDYNNMTSNQMQAIINGIKMAE AKFQQQRAQAQAQAQQQQQQQLHQQQQQQQQQMVQLQQMLASQGQFTGSGMGDGQGYS Q I203_03272 MFSSSNSALHTRNGGLIGLAATAIALGQDVAPFLGVIIPPVLAC FQDPESRVRYHACESLYNIAKVSKGEILIHFNEIFDALSKLSSDSEMSVKNGAELLDR LMKDIVAEAAPHYVSIYPGNYNPNLPHSHAQRIERLDSPSKSNDQSHGQQQQEEDRRA FSLARFIPLLAERIYVISPYTRMHLVSWLMVLDSVPDLELVAWLPEFLDGLLRYLADG NVDVRLATENVLAEFLREIKYIAQVQEKQAEENRLKKETRSVRTRGSRHTLESAIEDE DEAIVDESMTTTTQSGYDHDEDDNDWEGEGSGNWVPGQGVFVDHAAIMDIIIQHLSYP DELVQSTAMEWILTFLEFAQNTVVAFTPRIVPAILPNLASPHRHIKLAAHETNGSLYR VIQSLPLQVQPTPATASTATVLPPNTSGSVPPPISSVAGSPPSTLALTGTSPNPIKKD FALSATTTDLPDSAKTTSNIPVNDPLDVTPSTTKNATIGGSGITQTLSATNLQTHKLK GTLPTMSEPVTPTTGEFPGSISKKSSIRPESPPQNQQGQGQGPLSPTTEQGLLLADDV DPFDVRETVNVLTLQFLSDHAETRIAALEWLLMLHLKAPNKILSRDSGTFPALLKTLS DPSEDVVKHDLQLLAQISASSEDSYFTSFMVKVLELFSTDRRLLETRGSLIIRQLCLH LNAERIFRTIAEILEKDDDLEFASMMVVKLNMILITSPELADFRRRLKNLESKDGQML FSSLYRSWCHNAVAAFALCLLAQAYEHASNLLQIFAELELTVPLLVQIDKLVMLIESP VFTNLRLQLLEPEKYPYLPKCLYGLLMILPQSSAFISLRARLSVVHSSGYVPISSTKS STGSTFSSAAAATKSRLGNKEEIKWQELLSHFRSVQAKHEKARRQLHSADLPGSLSSI HYSSPSQSGLNSIPNTSSMPSAGQKSSGGTIKKKFASTSASASRQNSVEVSAAGIGRS GISPLNPKRITSISGQPTPTPSVSIGGAVASMNPNISIGARSTSPTHPGRKKLLGGLR KSTGGGGN I203_03273 MAASVPSFKLNTGATIPAIGLGTWQAKPGEVRAAVAHALKSGYR HIDGALCYQNEKEVGEGIKDSGVPRSEIFLTSKVWSSYHDRVEECLDQTLSDLGTDYL DLYLIHWPVRLAPNGTHPLFPVKEDGSRNIDWEWDQAKTWEQMEAVLAKGKVKAIGIS NAGIPIIEKLLKTAKVTPAANQIELHPYNPEHELVKYCQDKGILVQAYSPLGSTSSPL HKDEVLTKIAEKHGESTAAILLSYLISKNVVVLPKSVTPSRIESNLKAIKLDASEVEQ LDKLAESGKQTRVNSPPWGSDFGFPNWYGPNNKNAPEGARLLYGKSA I203_03274 MSSSPSIAAVHLPAGGPKASQITMAGRTDSSTMFANAPDSQQSQ LYTSGHETGETSSTIGSGSLQNPPQRQFSVSQHLDPGPFDSWEAQEQEPGSAWTNSGF NGVNLSTAIRSVSASVITAQDPVSLHFLSLIEAGNLFLSSDRAHMIHHRRTVANSHPS HRFLTHLNAMIAIFDPKIHTFQKVREDPVLFTAVLAASAKFFSRNLATALSSHARMLL HRALFAGEYTTQMVKAFIITVFWKDPTDKTAWVDIGIALRLSYQLGLHIPHVGESMDQ DESLDRDRTWFCMDRVNTSLIRMDPTFNKPIHILRRRTGPPYWQTHF I203_03275 MAISPKIYTFGCGCFAALGASIFGYDLSVISSVFTAPDFIELTD IASNPTYQGFIVSSMLLGAFVGSIPASLVADLFSRRTSLTCAGVIFLLGGALQTGAQS RGMMLAGRFFAGFAIGGLGTLVPLYQSEIAHPSQRGRIMATFQFFLGLGSFIATWIAY ACAKYQYGTALQWRLPIGRQMLPAVPLVFLTFLLPESPRWLMLKHRDAEAIKVLAKLH ARGNESDSFVQAEYASMKRQVEEEAGVDTTWKALYSSVLNLRKVLLGVILQFSVQMTG VGVIQYYSVSIYTSVGYKGSQTLLIQAINNLFGLLAEVACILFLDKTGRRGPLIWCNV IGGICFAAATPLVRKFTFGTGTKADGLGFLAVTFLFNIVFSYGIGPLSWVYPTEIMNT GIRAKGSALTAMASWIANFMMGEIAPTAFAQVSWRFYIVFCVCCFTNALVIYLLFPET KNRTLEEMDDYFRRGNWVVIRDKDMLRSAEDPERRFTSDDSAPAVLPVADDDKHASGH LEIA I203_03276 MKFDMVPRLVNLPANRHQFVLADGTPFFLRAAELQNSSFSSAEY MSKIWPILTAQNVNLVFGPAAWEDIEPEEGKFDFEELDKLIAGARKHELKLVILWFGA WKNGMSNYVPSWVKRDPKRFPRSRVQPSPGSTSRMVEVLSPCSKSNVNADSKAFEALM DHLKLVDGEQGTVVIVQVENEVGLLGDSRDRSSTANAIFDSPVPEEVMSKLCQAAAEG SLRDLLKENIPCLGDAMWLSQSKGKSWSETFGVSVYTDELFMAYNYAKYINQVASAGQ KKYNLPMFTNGWLRTSNSVPSSTAGGGAYPGEYPSGGPADSVIDIYQLFAPSLQFVSP DIYLVDYVEIFKAYKHNNQPLFVPEHRRDEYGALRIWQAIGDYDALAMGPFGIDTLDP LTSPWTKHYGLLSKVEGFILEAWQKGSLVTGFYFDRFDVGHTDLSTPKEVDMGDWHLK IERAATFGGAHPEPGYGLIIQRSDDSFLLIGEGYMVNFQSLKADAVFTGILEFDEMET VKGQPNELRKIRRLNGDEIKSGQAAVMPTLGGGPSYGDFPIAITIPGETGLALCTVYS LSDSL I203_03277 MSAIFATTQTTNIIRPFNTDISFTPKECIEVTILEAPSSPASSS SSADDETESSARFSRHFDVPSLTDEELWEPWFAFPSRPHMTLHRWAEGNKSQLDMFIH NLPLSCPPSLYPLFLTKCRWTLTSLKILVLGSILLIRGVNHGPQMGRTLFGRIIGDRY NDLMAFRNQNQHYAKSLAELFEDEEVIRRSVEEARCLQIRGNELFTQGKYEASLEHYG MALIKLIPWDTASLPPSLSYKTGFQEIDQSLFLSIALTSIRICQTLPPNYRSHLTIPG SRLYRLSKASCDYISHSPISGDAGEEQVTLAYRLESLAREVAEVLKIDPSEVEDGKRV REVRRRFERD I203_03278 MPFQQGPRKAEKDDKGNPVYPCLWIGCNKSFGTAGHVRRHEKTH VGITPYACPHCDKSFNRSDVRAKHVSTMHPEKEGQSGSSSSGMVDGDDEPPSKIRRVS MDEDTSTQRGENSDFHHRDHHERRYSTSSDHRRPSITSINETSNPTPNPSYPFVSVSP LSTTVPIQVAIPASTPHQQQSSINVSTIDQLWNTFNGPTPPSATGLTPMTNPSPSVMM SGSGPAGMSMMPPPPQQTTVNTGTPFSVPSVGSSNSGFIDPAQLSVGTSAGLGGDFGA DLFGPPIVPDQPLDPFDPSWEWFGQVFGWGSDENIDLDIGLQSSMFDKGGVGPISSTD SLSAAWLLCSTPRGGSPVNGEDPTNPLNKGKPVLGMPDPFGRKEDNPWPSIFKPKVPD RPLTLAGVKASPRMHKWKQQSNSGMPQNAINETSRNAMLSLIYLSHQPTWLMPDIDDF PDSETLSDFVDLYFENFHPLFPIVHRPTFFKGETPAVLLLSVAAIGATYANKEFGPLA VALCELVRRMIAWMRGSDQRAKFDRNTLLAFLLQTALGIACGSREMYYHAEIFRCSIV TTCRRLHLLRGIGSAMNDLYAREEFPTDGQRYKAYMEDETKRRLGWGVYYLDSQMVAL LHIPAVFAVNEAGIHLPCEDSLWEAPDAASWAALIANGEATDPSTTRPKFLKVLAKSL AGEDIGLKMDDLGCAIISLTVWRMLLDQQMLQKALGVGLTDNGMDKPSYTHEAHVLDT KPAHLLLRLAQTTYLSPSPTHLRLTPAALYHSAHIQFTRPGLMDRIRHVSGKYEPDMT TKGSLGWLKAWMKDGKEVRKVLWHAGVLNALLAEFSRGSFAELFWTFDCALVVWAIAK YAPYQITNNGLKSALFAANWFDTIPPNLWLLHGGEMVFPFLGSSANWTVSNLLELFMN RLESMKWGLAVQYRLVLNTLLEAEKVGKTLAVDGGRPNKRNGEGGDYNTDSDGK I203_03279 MNDEADNTQSQSQSERNLNLGSTSNRNDPSSSSSHLLTVNNEAT TNPRATRGKQRRQSDIIPQSQPSTEAITTRRSARLSIGGNTISASSLDLPGPESSGGK GKKRVHIEETPQAGSSKKKSRASLPHASVPSETSPIDRKGKKRAPRSPSPPVSDHNPS ITEASINRTKRASITSTGSGRYDLRHKSEEEIQPTTPAASSKGKGKAKAVVKGKAKPK KAAYKMPKKANPKQKRPTLGSSSDRTKKGDTSARHLVDDHMDDDDSLWMDEPGGSGRG ILDDEDEDDEHMEGSEHDDDEDEDEDDDDEDGEGDAGNRGGGPGGTAYDRLARLASDT GLHLDEATAAAIFGGGFRAFGGMMSGLSNRFKQLKTNLQSKNVATRLAALRECSELLL VSNEDTLGGAFSPTSFATEFIAILNGKPNINEDQDSGDENQGLDEMDEDAQLAAALAM SSGGMMPSGDQDDMECQLLACRCLAHLMEALPGSGHTLVHLGAVPVLCSKLAEITYIE LAEQTLSTLEKISAEYPAAIVREGGLGALLNYLPFFSTNVQRTAVTAAANCCRNISNE HYAQIKEVFPILRDTLSSGDQRLVEQATLAVVRTIESYRHNAEHLEGLLEVPTITAID ALLMPSGGSPLVSPSTYTHLLKALTTSARGSAKVSLAFLEAGMTSTIYQILTGVLPPA HDEDEQGGSSGGQGLAGGIADMAVLQNLAHRPKDQVEEALGLICELLPPTPREGVFDP KGYTEKNLARVKKGRKVDRSDRPGAPRRSSRVAEATSGASTGPPTPSGGNAPLPADAA TPTAVASSSASSREAAMLKALKEANAQMEQRFELLQSNPEIIGKFIKAVVPVLVDVYA ASVVFRVRAKVLSGLVKAIAFANAEQLKSTLRSVPMASFLCAIISSKDNPTFVQHALQ LVELLATKIPEVYQASFLREGVVFEIDALAEQEMAKEKAAKEAALVKAEPKENEEPAA APSISTPTNAPAIPEDLKPLLSLASIPGGIPLLGESPSGPSTPKRSSSSYLDPSDANI VRARMLQAKKIFDVDGDHHQAASQVLDEISAMVQKLCRMEANEAELRDTLRDIASRFS NVDQALSSFELLKSGLVDGLLEFVDIDGEVSSSDRRLMLFEVFSDTSLYNPSPLTMLV KRLHESLGRLENFDVEIAFGGGTDASRPSASSLSRTMRIRLQAEEGEDIPKQVSTLSV TIQAIASMQALNDYLRPRVADGNYGSGLSRMFAAYAAGMPGAAATAATSTENKAEPST ERNKAEEADKAEPSSKPQRRRSARLSAQGTGESSQSVSATAAAEPSTPAPAAAASISA SGPSIFPHMPMDMDFDEDDYSEEDEYGHEVFEEEMEEELARPTESVVNMSVAADGSRV EAKTPEGTRIATPQQNPQAATATSGPGPSASGAATPRGSSYAGAVKTAPTDWHLEFNI NGNKLSLNDTLYGAVHRNQDSISGGGSAGYGGIYNMPVTIKFKKVEGPAKSDAPVEAP SPASVTSSFPAGLEPGTPTSKILRLLRVVHNLSVDGKDAFGLSGNALDENLFVNNKLT AKLTRQLEETMIIASDCLPEWAVELPKHFSFLFPFDTRYNFLQSTSFGYGRLISRSQQ GGNSRNGRRDDVSHLARLVRQKVRISRSQLLESCSKVLEIYGTSTGILEIEYFDEIGT GLGPTLEFYSLASKEFARRALSIWRDEDETKEGNYVFHPKGLFPSPLNEGSSGSESRL SWFKTLGLFAGRALLDTRIIDVNLNRVFLKLILGQPVKKTIATLKAVDTGLARSLERL QAYSYARKEIEALKLPASTRRTKLAALTVGGAKLADLSLDFTLPGYNIELKPGGSHVD VDDSNLEEYLERVLEMTLGSGVEKQVKAFQEGFSMVFSIRDMRIFSPEELGLLFGNAE EDWSRETLEQSLKADHGYNLDSRAVQNLLEVMVGYDKEQRRQFLQFITGAPKLPIGGF KGLTPPFTVVRKPHEPPFKADDYLPSVMTCAQYLKMPDYSTKEILAAQIERAMKDGGG SFHLS I203_03280 MSADKHPESIPALMSSLRHLRDTNPKAVRANVHIYPATLYANNG ESSSTGSMRDRKIISWKMTEHMYFNSQNPFPTLARGLFTEEIQENDPLPDEVSSAGEG CTRPEDRIVARGYDKFFNIDEVEWTNWSAMKLHTEPPYHLTLKSNGCLILISALSPSH LIVASKHSLGTTTEAQEQEAAHAAVGREWVKKTLTAKGKTEAELAKKLWDGNMTAVLE LCDDSFEEHVIATPAHWTGLHLHGLNHNTPHFSTSPPDQVNSFAEEFGFIQTKYVELQ TLDEVKWFTDDVAKTGSWEGDMIEGFVVRCKVKQPSQGSQTNGKPPYKVGSPFFFKVK FDEPYLLYRQWREITRVMLPLLEKTEPEDIAAIWKKVRTKSKRPEVSVYADWVGKMIK TEPALFDNYEKGVVRVRERFLRWIEEDESAKKLWNDARDGKAKNILGKNGSEQQQRSK EGLPKKWLLVPIAVPGCGKTLIGVALSKLFGFGHTQSDDITTKRTAPTFIKNITALLQ KEDVVYADRNNHIPKHYEELSAIASSKPMQKYDVRLIGIVWDISSQPYHRLLRICSER VVARGDNHQTLRPDPTVDAEHEAVVGQFLRNFTSPDPLLFDQLIDVKALNSPREVLGE IVNSLVNIMGLPKPQEGNMNKALDEAGEYKVTTPYHAPAKVGKPIRYFGIAPEIDLGE IVENIIQYSTSFGDKNECLESVKEVFDLIKSQGRITSKPHITLSHEKNVATEAEQLAP EGKEGEEGPQKKLWETCRRLSEMKVSMLFKFRLTHLIWDKRVMTLAIEDFRPIPVDKE GGVQVDLESVLPSDVKSLLHITVGTVSEEISAFESRGLMKVLRDNGDSESGEKDEVVE DGGKVRWIRVKEMQGEGRVKGMS I203_03281 MRFPAITKISLARRGLHTSSIARAPLLITPKEYQDLPKRTTLPL DVSWHMPNSTRSAVAEYLSGPRIPNAKRFDLDEVAELDLDKNPLSLTHMLPKPERFVE ECRKLGIRNDDHVVLYDTIGIFSSPRALYTFKAFGHENVSVLDGGLPRYIEEGYEVET GDVKPTLKESQYKLPKNWKEDWVRSYEQIVSNSEKPTTDPTSEIVLDHRPLPRFTGEA PEPRPGLSSGHIPNSLPLPFPQYLNAANDKIPYSSYKSIEELRQVFEKALGGEENLKK YIEEQRGIVLSCGSGMTAAIGWLANELLREQSGKALKTALYDESWTGYALRKESKIVK GNA I203_03282 MKFHDMSPLGPIALSATTPDDQLNATNPHIAKRDGQVLWGGYYG PQPSEIKVSQGSKKKRFSAFQPESDDANGKIDSLDVEVYNIDASRFQKANSKHSDIKD ENSLSGDPWWIGAYEIAAQQIGGNEYTSKDGFDKDADADIGLRMLTGLESMTSEPIKG DDEGLWDILKKGKESSICLKKGKQWYGVTGIEGELKDGNVKVTLYDTKKGECKSYDFD DLDIKYYSILKGDDKL I203_03283 MVLGLFATAAGSIEGSTGALADTPAKSHIENRGDHPLWDISPKW QDIKKVVQISIGGGSTCRWIAALEDAAMRISGLGGKGIDKDVFTDGDSHFAYGMLTGE QVDLHETNRDAKTYKRYIWKVLEEATRSNVCIKTGPSPDKSDGLRKSTWYGIMSAEGL AFDDEGNELGEGQVYLYNAKEGKEPYLGFEDIQDDIKFYFKPKYLMPDPDIDPSVSAP SLPCTSLNFVEDIMDSHCSHLLYNH I203_03284 MLMNASFSSFKTKQSLTVVFLALNTVVWQLTTPKEELPKGRSKW HKSFYTLAWIVLITSSISSVVVPLMSDKVRLHILSVLKVNANDLVGQSEDQDQNGVLT SLEAIKTEIKNMKTSTEEATKAFKKLAELLVQEELSRQQKLEEIIKAFK I203_03285 MVMGNLERLSDVTKLSAHVTRVLGQNPGMMTLQGTNSYLLQPPS NPHAPLILIDTSSPLTANQYIDLLYTHLHHLGLESGVRETHFESSYAQASLKNVPEDK VDEIKASILNKRSEDPRYEEMDLLEYGPGSKWVPNQNRERKLPNIEHIILTHRHLDHV GALPLLLNTLKKHGCSLPKIWKCPSPDESYLASSKNENERLTSDGEIWNSLPKGTYHS FSPFQPFHPIIPGLMISIIDPVYKHLLKHDKDDKPKWNEVPEIARVSLRCLKTPGHTQ DSISLVMLEGEKGVFTGDTVLGTGTTHFADLGSYMTSLRTLLALKPNVLYPAHGPHIP DAAKAKAHLETYILHRQERENQICKILKDFRTNDQSLADILVDLKKEIHTRLEEDNKA KGPLMLDKRKPIPLPDFEEERKAIEKADKEGTRARSAIPLTVICRLIYKSEEEKLLFA ASKGVLAHLTKLEQENKVRKSKAKWAILVAKEVDANDLEQVNVWEWVR I203_03286 MAILNTTPNGSSAGIMTPEGLVKPYPFFAQPLKTPSNIAADDDL VKELREAVVEPTWQDIPKPQVLGRNDDGFIASSTIFNGAVKTPALALVKPITAQDVSR TIVFCREHDLELSVKGGGNGVHGWSVAGHIILDLSLMTDVTISLPDPSPPTLQESFQR LQVRRDSGTSTAGEPRRPSLASIHAASSDAGTKRSASDDFITDGTDDDGARRKGKVDG DRSGPYSPLDKIDEVIPAAEDSRASSAMEQDNNGSGSRSNSGSGTRSGSASRSDSYGI TGNGKDSTPATSISGTSEFTRSPKSKSPIEDGYILPTHRFSFSSSNGSATSPEAGPSS RPGPRVTYVNPSQTPTSSFPFISTSFGVNSSTSSSYSTSFHPSFASGPSTQLPLNTHP DPPPYTLVTFGAGVNSKALDAATAASPYGAFHVPTSAFPVGAGQFISGGFGFIGRKHG LAMDNLVEVEMVLADGRIVWLGQDGKKGGGWKDNEDPKEVWWAVRGAGAIIGVVTRFR AKAYYLPSVYAGNLIYLFDREKTPSLLRHVRDCIKGSPRTLYTNIIMTAGPPGAPAIV VFQLCFSGARAEGEMYVQAISAWEGGRSLFQDFSERKFERQQLAVEEILKGGSGRKWF IKSDMLKSLSDEVIDETCSRFHSVPDGCTWLFEYTGGGAITDVKDSCFPSSHRESAFT VAALHQWSHSESPVEDTRCVTTAEEWINEVIHPNSPGGPLPCFLQSSLSSSVSAVYGE SFPRLRALKKKLDPTNFFCHAMWPQNESEEDGINGLGEDIKEGKIEGIQKEDIDKDGF MDEDELRERKKDDLEGKEKEKDKGKGKAL I203_03287 MSHTPTSTTPSGNASSAGQQDKKPSLTGVRIKQRKGQAKATAKF EPEGFRDALLLHLALIPTPYTTDSLVSKLVQAGSTLEFLKYYEQLFEILFVGGLLQPG GSYLDDKRSPIYILKDESEDDGTWGKNVGVKGMIEVLKKVIQRYKYLQKPLEENFLPD LLGYLPKWNEEHRKKLAEAIALLVIDLQISSKFLVSLTKEHVVKDNIGLNFFTSFAKF YLSKSSIDQFSSAVRRSGLKDILNLFPLQTRDKTHLHQHFKKEGLQPIVDWYNKLALG EVKEETVQSVERMINDEESNEQIIETLKSQQAEKPVPEADLVDWIWQGLMRTVDMTAR ADQIDAFVVQHVTKYAPILEPFCNTAKAQVNLINSVQIYCHTDTRVIKSFVQILKIFY NADVVSDQAIIYWHQKGAKPNGKQHFLKATEALVKFLEEQDSDEEE I203_03288 MARNQEKAQSMLYRFREQQAIEMGMGNRIKGDRRPRMASSVSSL RECERWRGDIMRDINRKVGKIQDVSLTDYEIRDLNDEINALFREKRHWENQIVNLGGA NYKRGNVAMTDDQGREVPGTRGYKYFGRAKELPGVKELFERGATQATEESARNASFQM FRHQGPAYYGDEDEMDPKLVEEEDELARQEWEAAALSSAKLLDVDSSIDLPAYPISSS PSTTITQNQNGSSSSKRKIPTDSEEPSGENGDAIEVDDEAEVDGEQSKKSKTTNTTTN GELPPNVLPAQSIDEAMKSAQSAALSFLNVLNPKALQFPVVPTQQEMEGVLLEVRKKA LREEYGV I203_03289 MRFTATAVSTVALLGAAVAQQTSGDITVNTPASLVQCQPAAISW TGGTGPYIISVIPGGQPSAAALETINDNESGNSLTWTVDIAANTEITIKLTDSTGAIQ YSSPVTIQSGSDSCLNGSSASGSSSATASGASAASSGAASGASSASGASGASTSAASG ASQTTATATAATSTVTGSASGSAASGSATSKASTPASSGSASGSASGSSASASSTGAN SGALPNGMVAVPAVVFAALGAIVALF I203_03290 MKRSTRWSCYHPRFKTRLFQSNQNLIVGHIAENCQAPGRLCYNC REPGHESTACPQPRSTDGKQCYACGGVGHVKSDCPSLRGNFGGPGQKCFKCGRGGHIA RDCRTPQFGGFRGRGGFNGGFGGRPRPPPQNADGTPVKCYRCNGENHLARDCLAPRDE AAILASKKCYKCQETGHIAKDCPKAEELPVAE I203_03291 MGCFSSKHIDPRMISEPVPIPSQSHQPYPSTNQQQYPEQHAYPP SAARYDQDTPPQLRPYQFPTRSFEPRGLPPGHPFANPYSKYMP I203_03292 MSSPRPRPTARSPPPMDYSPIGDMPALPTPLPPTPIHSPALQSQ DSKTMTTEQAVAGPSHGWRPYPTPPRHELPPTPPVNEDDDESHDRRGASSINCNETNM PTRRIQPTRRPASAYPSQNDASKPHMPYRKYTDPTPHSARYDQPAHQLDVDLDAYSAT EFDTEEGMDEREPTLSFVTSSTVDSTASTPSMGITYGYRTDSNDGDGKVKIRQTAGRS HAYSSAESSMASGAYSGYGYSDQIYNPHPPPLPHLPSHYNEHVGLGISADFPQRNPPV ESPSSNPPLSPSHSFTHRPWKRDMVNRLRSGSASSSISTASCSTTDSGPSSSRIPPPD NGFAYAFEGFNSPWHQPLRAEAIAMVDEGRENILNVEKIEAMGGFGALTVDMIGSFAG VTHLLLPSCGSHIISFLPSLLEILAPSLVVLDISDNDLSFLPETLQSCTSLEELNVSR NPLRQIPAWTGDLIALRVLAVDDCGLQSLPAELAQLGGLHTLCARRNKLVSLSSWLCL LSHLEMLRIDNNPFAAEWLPIVAPILAGPSRPSGPSMKNSHHRHLSINNGIRSPPSMA SLTSSLTASSLRDIPISSSSNGDQPWATTPNSAAQSVYQLDSIAEDHPHSAPPTDQGS QSKALRKMRSAGALLGSKNNSPTQSTFTNAPLPTTNNLAPANASKFASLGSSEGRRAA SAMGNYQNEQPATNSRLAAPGMTTSSSTKTGKWGFLRKMSMHRLKGDKDKTATMNASA SANLKSLPPPPSMPQLHHNNTDPIPTLPSRPTINGTRSAMTLPTRSALGPEASEFGQI PLESPPVSSATLPNTGLPTSTSLYSNTSGAIPARGKRRSFLPIDLGPPSIEISIPLTS PFIPPLTGFDSLDRLPSATSEATIATMTASNSRLDTSSGIIEDRYAQGLESIKSYLRD LFDLSRPPIEPYGGFEVLASHDGSCGASSAPSDNLGSPMTGQSTFSTDVRRARRPTLD NQSSRATSVVESEQDVEQSSLSGKKFKNDKSKRVKIIREIYETERTYVRGLGELVSIY VRPSCQPINPNKSNETVIPASERKIVFGGVESILTIHRDNFLPALEKAVRTLLEGQDD DAGSMSASTAHSVGEVFRTYIAYMKQYSTYINNFDNALSRMKTWSAPSSAPNTPAFSA KGGSSPGISAAAVSVGMSAISSLSSGPDSVPISGSQMTSSQKKRVKTFLKRCKEHPKH SQINLESYLLLPIQRVPRYKLLLEDLAMCTPPRSDGVRDTLDDALNEIASLASLMNEE KREADSRLRLLSWQQRISKSGPSPLVQPHRRLILEGPLSLIRLVKKASAFVETESSSS TTDGDQTLTLASKVVVPVEYIKPELVDRQVMLVLCSDLMVLATQRNEGWEGMVDLFNV LRMATLREPASIVHGNVLRVVDNKSIYYFNGASHDYTLQWCRAINSARKR I203_03293 MEDEDPPQLLDVPSSDDEEAGSSRDLYDRPKIPLTLLTGYLGAG KSTLLDYILKEEHGYKIAVCMNDFGDTTDIEAKSLTLSDPNSQSTTTEFLSLPNGCLC CSFKDMGIAAIEEMVANAPGGIDWVMVELTGVADPGPIVRSFWANEEMGDLILDGVVC VVDSRNVLKQLAEQREGDEINECQKQVACADVILLNKLDLVTPEQMKEVESTIRTINP TLRVHHTTQSKAPLSDLFNLRAFSDPTALASTKSTTNHVQNHDHDHSSDDCSHPSHAQ THTNGISTILIPLPTLNQKQYFRLNEFLESILWDNRYPISKKPSPEILRSKGYIRLDD GREYVLQGVADLFELKELPGSEQKEGQGKVVFIGKNVGEEVKVALKEFVGI I203_03294 MASAVKRTALKLGMIPADGIGKEVLPAAQRVLEALGSSIPKPTF IPLKAGWEEFQANGKALPDETVKILKEECDAAMFGSVSSPSHKVAGYSSPIVALRKHL DLYANVRPVSSAPIPNQKSYHQCDMVIVRENTECLYIKKEEIKTNDDGSKIALATRQI TSRASSRIGKMAFEIALRRGQERQQAKEAGKDVWWNGEPKVTIVHKSNVLSVTDGLFR ESVRAIKEGTDRYNGVKMEEQLVDSMVYRMFREPEIFDVAVAPNLYGDIISDGAAALV GSLGLVPSINAGDDFVMGEPVHGSAPDIEGQNIANPIASIRSAALLLSSLGYVEPASR INAAVDAVLTEGQYLTPDLGGKSSTTDVTEAILKRL I203_03295 MKFGRRIKDSRYAEWADQYIDYGGLKKQIKSNLPWNDTAEADFI QSLKNELAKCEKFQREKSDELMLKITSLEKEVLGLVEKAGLQDSDDEDEDEGRTPGDV ERHVESHRDDDAGSDDDDDDDNASSDISIDAIEERFRELEEEVAVLVADVHDLALFTK LNFTGFIKIVKKHDKLTGFSLKPTFNKEFLERHPFYRMNYDPLIVKLSKLFDLVRTRG HPVEGDSSAGGSQNAFVRSTTKYWVHDENIVPLKLAIMKHLPVLVFDPNKEFSKADSA ITSIYFDNEDLELYLGRLEKTEGAEAIRMRWYGDVTGTTIFVERKTHREDWTGEKSVK ERFTIKEDKMNEFLAGHYTMDDEFDALVKKGKKTEKEVEGMKQLANEIQYAIITRKLR PVMRTFYNRTAFQLPGNATVRISLDTELTMVREDNFDGNDRTHGNWRRTDLGIDHPFS TISPSEKELFPYGVLEVKLATKVGEEPPQWIRDLINSHLVEAVPKFSKFIHGCASLMP ERVDLVPFWLPQMDQDIRKPVSAKSRVLIERPHSNAHSSASNATSAIHSPARSSQPSY HEPVSEGEEDEEFMVQVAKNEDEHLRLPPGAAAEARAARDFREKKLRDEAHLQARPPT QQRAPSSSTKPNGDEDGGLPRRNSSNKYDPSLRIDPLASADRFDKNVSLLDAKSLKKL NEAVVARTGKGPSSPGQGQGGQADQQIDSEDEHEHEVDEEEDEGGNQVIYVDQFRAPP GKRIAVSVRVEPKVVFAAERTFLKWAHFAILLSGVSITLLNFINPRDSVGMISALLFS LTALLAIMYSGGMYAYRIIKLRKRMAINYHDKYGPTILCAALVGSVLVNLVLRLREL I203_03296 MREFGYDHPGELEVIDENVRYTEFMVMQAIDTLDPKTIDKVRLI SNFTGRLDLAPRWQLKFRKVASTASQVGEEEEDEQDAEYEEKLERRSIKPIHAFLRGV TFGYPMLISRDCSRNP I203_03297 MSSSHQTQPSNDGRPSDRNTFGSRSGLLDGSTDTFTPASPRGPP ESPNGLRFGSNPASFQGG I203_03298 MSSAEIQEKISAARREADALKDKIRAAKDQTADTSLRAMANDTP PLPRMTLKVRRTLKGHLAKIYALHWAADKRHLVSASQDGKLIVWDAYTTNKVHAIPLR SSWVMTCAYAPSGNFVACGGLDNICSIYSLRGASPNGSGGGQVKVARELSAHSGYLSC CRFINDRQIVTSSGDMTCMLWDIEQGVRTMEFNDHTGDVMSISLAPNANLFVSGACDA TAKVWDIRTGKAVQTFTGHESDINAVQFFPNGDAFATGSDDATCKLFDLRADRELNTY AHDNILCGITSVAFSISGRVLFAGYDDYNCNVWDTLKGERIGVLAGHENRISCMGVSG DGVALCTGSWDSLLKVRFNRFIH I203_03299 MSYPYLAKTTLKYKSPHATDLSFPKDETIRVTGPNPDDEDWLIG EYLDGSKSGGFPKDFIVPIEESEHEPLSQPETEPEAQVAEPAMEEDNPAQSPPPVSIR QPAPPSPKLDPQSRLPTPGIAPSPAHPSPVEPSTPAPAPATTTSAQPKPTTNPADSPP RPQSMKDRLAFFAAAQDKPAPPPIKPKPLGGAGGLTWSQRQKLRQEQEAKEREANPQP EPQSPPQPTPAPSQPATTASTSTEEKKKEEEGKGMSAADALSSITKGGSLKERMAALQ GHGAFGGTSESKPTPPPVSSGKVWKRPPAPPAPEPEAEEDAEAEGLSSTDKPENAKSP PAVEGGEPLAEAEGQGVSEEEGEEDEEEKEKARRAAIAARMAKLGARGPMGMMAPAKP TRKPTKEDTASPAEEKAEPALPTAPATTGEKEEAKPFITSEEPASPAPSAPTEPASSA APPKSIPIAAMPRRTAPPKRRTPAPAASTTSPEAVRENPSEPEKRFETTDGSGAPIPP PQVMVAGEEDLLPKTESGVQKEKEAEEVGKGAGGLEGAAAAGIALAPVGPPTDTVPPE EEEVKEEGNAPLDTELPEEKDQPLVGAVAGADSLSRGTGTVTTQDEGETGEIIDKSPP VTEVEEGIVEGGDEKDGILKEAQEGKLKFEEPNDPLDEDTPTPLSPDPIGMVPIHPPA SNGSDYDEDEPAPPLPPARRPRGLSLDVPLDELELKHEHDHTHDQASGSSQQPIEEDA PPPLPSQRRPSTEKLAGPRPLPSPGREGRALPPPPVGSALIPPREDSDDDEEEDDAPP PPPARPPQPPVAAKQEEEEEEDIPPPPPPGRHIPPPAQDIEAEDEEDVPPPLPSARPL PPPPAQATTDGEEEVAPLRDDVEEEEDAPLPPPPARKPSMPAPIQVAIPAVMNSPKSP CECDVRSPFRRTKLLTSVYLSAAPSPSATSPPTQSRTLASDEPPSSPKAEDEDATRRS GIAARMAKLGGIKFGMPPPAFKKPQNITSPSSEVPPAEEGHVDSPIDKEPPLPSPRGE APVSPVTGGDDEGEETPEQEAARRRATLARLRAGGALGGFGLFNPGGSAEPEEPKEDP RGIEQDKPVPTSTEEEEEEDAPPPPPPGRPPVPSGRPVLAPPADVHDEEDAPPPPPSG RPTISTSVGGNDATPPPPRVPQTPTSPAVGSPVRTPSGRRPPVPTEKRFSLSHKRTST ASSAIIPEDQPISGIGSGEWQISDEPAAILSSQIDNQEAEDDGPPPPPPPNRPPAPAQ VQGQSPQPSPRRSGSLLSRKSRTSIDIPPQAQTPSRVPPSPVQQQSLARQPSVSQAQG GRPGFDQLKEASQRYGAQLARSAHNIFSQGKKAQVGDGSPAGFVLFAMDSAQLPRPDT EKGWGQVVFEQEGGSILRRYDDPRPGDIAAFHDSKLKGKKGLSTYNQHVGSVEEPLIG VVAEFEERKHKLRILQVERGVPEEVSYRCEDLKSGKIIVYRPGL I203_03300 MSNFPHSTSIAASLSTSPYAVQSNLENLINRARDHPHPRRGSTA THPDHPDYHDLSPGEETAPSLAPGKRRPSAAPSGHGPHIGTIQEASYEENAIIFHDDD DIEAENERSALLDKDWSSRRTSRASRRSYGSTSAPLGQQRMGRKQKSLDGLNGGMTMT PSGTTGNSRSRSKARTPPRKDGHLHRHQHQCASSAAPSDEEEEGIEAERRGRGKTSRP LSRNSSPYVSEIGLPSGPAGRRMSITVRMADDSSGDEGGDVTRGLVQSAGGAMFGGRA GLGLTPGTGAGAGPMDLDPVEELDAVDLELPVDEQGVEVRVWSEALRAELPIILRSSI PIFFSQISEWSLNLASVVSIGHLGTTELAASSLASMTAAVSSFSILQGLCTAMDTLLP AAWTSSDPSRVGLWTQRMAVVLAVSMIPMFMIWWNIEGVLVGLGQERDVAQHAAMYLR WLSLGIPGYGGNVLMKKYLQAQNLMHVPTYVLFFVAPTNLLMNYLFVWGPDFCRLGFV GGALATGMSYNLAFITSLLWIVFYGPREAFHPVKLKHCFSKLGTVTSLGLAGTIMLSS EWWAWEACALAASILGPVHLAAQSVLLSTASTFYQVPAALGIAAAVRVGNLLGAGRGW EAKWASRASLLWSLIFAIINSSICVIFRKNWGYLFNNDAEVVFLVAEIMPYIALFQLS DGIVSTAGAVLRSLGLHTTGALINLTSYYVIGLPFGLWLTFTPRFDLGLIGIWVGLSI ALAYASLLEFWMVWKANWTRAVERIRERLGLPAHGQIGEDGKWDMREEDNGQAHGHGQ YRDEEEEGVI I203_03301 MSTDILMTLTLSWGLWKSRTGWSHTDALVKKLLLITVETQLAPT CVMLAFVISWSINPASTLGIFFDLAIPKAYTVGYLATLNSRYSLRRETASQGKKYSAE TKTNTYALGGSRLQQATVQVDTETYMESFQMQPPRSGVNRAPQQGLYEVKEHNDEDES IENLDYATNLSKKNLHDSSIA I203_03302 MTMDIIDIKGMSDEQVETIARMAFGGDDLGWHIGPFLLAVLFDC MLFGVVSQQYMTWWMFSRGTERRCYAWLTHFLMIASAAYTICEISYGMHNFVYHFGHY KVFLEVKYPQVFPLLGWITSAPVQLFYTERTFKLNGRNWYLVGLLIALISASLGMTIW ILVICQYLSSELQAKVQAWQCITLAIDSIITVSIGWGLYKSRTGWSDTDALVKKLMLI TLETQLGPTILMLCFVIEFAISPPATLGIFLEQLIPKFYVVGYLATLNSRFSLRRDSA PISFGKASPRTLNRVNTYGHGSDRPQQATVNIETETYVQSFQMQPTPSGINRKPIFEE VKVKELPEDQWIQHLEFTSNNRSNLTLHEHDTCDLV I203_03303 MSVDFSQLSPEELEGIARASFGIDIGLKLGPLLLGGIFDVMLLG VLIQQFQSWWAYCKPSDRKPIAFLTTYVMICSIGWTGMVIGYVMHNFVYNFGIFSVFL EMPYFTAFPIVGMGMSTVIQGFYIQRSWRLNNRNPFLLVFLCICVLGEWVAVLILVIK VTSIASLLQATEGVPHTRAWQCMTLATDVMITGSIGWGLWSAKTGWSRTDALVKKLLL VTLETQLFPTLLMAAFVIELSIQPDSTLGVFFDILIPKSYAVGYLAILNTRVHLKRKD HTTSGSRENPQTKSNTYHLGSGRLQQATVHVETDTYIESYQVKDPAPGLNRINEHSYE ESIENLDYSSNLSKQNLNKPNQGF I203_03304 MSIITLSPNRYYRYILFLLFLIILFFTIPSLHSPSSRTISSEIP TDVCGLEESSDSCTDDSSTTESELELELDGIKIDLGSVVSNIQESVERNGKKYDEDWY RNDLGFKIRYEDLGQYRSILKGIWKRYFSNDIEENHRGNKLDDILRYTNFIRSRSGVA GRQENAIPKDIYTTSMEDPDKLPDQFDSWTKENKDHNVRFVDDNGIDDWLEEALSPAS GVGKEMKWLKDEGRWGVVRSDLFRYLVLLLNGGIYTDTDTACIRPISEWGKNPIKYQS DNPLIEALPQLLSLSSSSTHERYPISVEADDAPSLIVALEVDSPASNTDWRSETFVRG IQIVQWTIASKKGHPILLDVIGHALEKVRELREAEERGWEVDDEQDILEWSGPGAFTD AVFRYLLIRYGFHPKSVSGYDKPLRVGDVLIMPVYSFRADASEGYQGDEKVVWHGFFG RWKPT I203_03305 MPPQPPAPPVFYSFPKVDVLQDSLANFVVKAQRDAVEKRGKFTI ALSRGSLAANLKGLVGQENVQWDKWEVFFCDEAAVPLDSEDSNYHSNILSFLSDAPIP AGQIHTIDANLLDDLEDLADQYEKQLIDHFAKSNAARYPTFDLMLLGIGPDGETASLF PGHELLSERDAWVAYLDDAPRGPKRRITMTLPVLTHCYRAVFVVSGNEKAEMLHAILD RPEEGLPCSRVRPASPGLVFFFADSEAAGLTNYPPTAFRWIDNEKEAEEAVAAAKRKA ARRAAEEGEE I203_03306 MSAGAWLRWVFISSTIIGGGVLMMRATVPTEEEFYNKLSPELKR EMDKIIRQREGSQTMKERLNEAGEKDQIVWGDQLSNRPNTSSDFGTSGFGGTRRF I203_03307 MIPCNEYPPSTDEEWEEALKCYARDRERGEPAETKIVQDTSQQR WAVSSLSAGQDMTVASKPPLVHHGDSDDSFNADIGIPFHRPDNIPGPFKHIETVLEAP VDIQQYDDKKLSDITEKEQSAQIHPIPIAFDTSRTGPGKRDESDFQNDDTRSAEMERR RMKEFYHREGWLPGPLPSRATRLKRRRAIRRLGLIGEEEDGRKAVLSKYAEMAELVFD VPQSSVAVIHDEKEFVYFSDPHKPPASGTMPQTICSHVIDIHDGNCWTVADCTTDWRT INNPLYADKQYKFMAAAPLRYHGKDGSLVDFGTLNIYDTQPRYSFTQRERSLLLKLAN MLVYQLATQQSEYMAKRSSAMYEASISFLTRSIIPEPSEERRRRSSASTKSRSISPPP SPKAKFQPPNADENTQVASKTGPRDSRDARRQAFKSDQGIFNDAAATLRVLLKADAVV VVSLDDYHLFIKKQENAGPLHTKRGKDRIKTKEKIINDYLKGEPWPSDIEPVINYVGR PHRAEVLGLSADTGTNFHLDTTGVESVLAEFLKVYLSTRQFWWDREENANPLSMRIMD LMPTEAQTALSTTFMGHDGHIKFVTFATWNEPPSSLVDSSIVSLPFVWILGGCLMAAL AMKKIRALEQSQISYSNLQAHELRTPLHQILAITQLLRSSMTDLAETPQSPSSLTSTE QVRDLLPFLDAIDTSGRTLHGIVDNILSFLDLKGKEASHSLGDTGLITTPSGAQTSLE VLFEEIIQDTIEEDRKSRKANGQAHCHIETIFEIIPPMLGEQLKTNKLPILFRALAKI LANAYKFIETDGCVEIYVDDVVDLLPPEGCEGIALTKPISITVKDDGRGMDQAFVNDK LGEPWAKEDPYATGSGLSVHLAYRIVDLLGGCMEITSAPGAGTTVQIDVPLPLRSGPF PDSPSEPGSRRESTASIRQLNLHHNDMEIGRKVCLTGWVGQGPRFEMVGRALYRQYVK IGCEMVPTIGDAQLIVAYGGIEEDVSQAKELFEKARTKDIVFFITEEHSAQGKVLEME KQMDLNIRRFKRPTNPSILRETLFPNHSERLKKLFDQETNGEVRVDSGSINTPHLMSP EGDDAGQPSTSADNRGTKRNASSPFPMSLSNFGSNGWSFPTNDNTQPSIGPESVSDNW KPSNMDTEEAVASLSLGEYFPNMSPKQTFRGEEDPSRDTTTPDAEEENDEEEESHATP MNENTNVDVDRKYSVGTEKGSESGQSVSTSTTNHGPDSINSFSLTRNHSIDLTSGSKE DGKVKVMVVEDNKINRMLLVKLLQKQINLPIEILEAEDGQEAVDLFKKVTGPLIVLLD INMPKKDGYQTCTEMRLIEADDSHRKRSQIVAVTALASVDEKKRGLVE I203_03308 MSDSASNPQVPLGENQTQAAYVTDGSSSTNKPRARLGPTEVVHL PASDSEPEDNEEPPRMPGEAKDIGDDADFLKDYPEDTEDLQLQHLRLKSTSLPPLNIP RFSKYLKRLCLRQNEITSPLPPGVFEGLSGLEELDLYDNRLGSRVEDEELKGCDNLTS LDLSFNDLRHPPSLPSLTKLNVLYLVQNKISHIEPGELDWCQDTITSIELGGNRIRSI ENLDNLRKLEELWLGKNKIRALENLSTFSSLRILSLQSNRITKMENLDALVNLEELYL SHNGLTKIEGLEKNTKLKTLDIGNNMIEEIEGISHLSELEEFWASYNKIPNLQSLDNQ LRPLPNLETVYLEGNPCQLNDMAGYRRKIILALPQIKQIDAT I203_03309 MSVAIPQPRPTPTSSSSTSSFATRPTMLPRQSTQNAKVQPRPAT STGQRPMIPKPSSSSSSSSRPPINPSGSSSAKIPLPSKANTSSAPSPSPSSARPALPS RTSSTASTPTSTSTSTAAVAPLLVAGKDTSSSAGKIFAKPSKEWVLPERAKPGRKVSV EEPDNKRQSQNRLSQRAHRARRTDYIQTLEERLRQYEANEIHSNVRLQEVARALKADN ERLKNELNKIQNKMIEYNNERSVWDMEKKSLGVLVNQLKVEIESLKNSKSMGMSNPPT TTTTIVRMELDQNTIDSLVPGPSPVIQRRQSLSQTQRNSYSNQPISLPTTSSTSTSNG QKRDLVDCPICPNPDPDCPCQQGSSSTSTTVRKDVTLVQPSTCGLCHSTEECLCRVVV DQEDIKPIIPLSPNTISSPTKSFKSIDDGCGLCAGGGFCACRAASDTPSNHSGSSGIT KAISIANSNSSSSPATNVVRATSSASAIPLRLKSKSTISTNTKSSIWTLNNVTTTTTT SPKKEAVCTGDPDNCDACRNDSFGREFCQHLFEDAHPDATEGLEGVTSEKKGCGNCSG PNGCMSIKSLLSPASNEEPRAVPGPGPSTKKLPSPPTVVYEEDPDPKILAPLQMACCG NPELCGGNHGHSSGCTGEIVLGGLDKSNGSNGSNGSNGPMVHVHIENGHEHGHETLRP DQAWKQLKAHPNAKFASLALLADVVARRTNVLGGFNNNDTPSPSPMPPSPVSINAKPT SSTSASASASLSHDHIHNNKKRGFDIETSAVREALKYLDKATPVGSPAPEEGEERRGK RRRV I203_03310 MAPPPHLPPRPSSSSFSNGPAFSAPSGPRVPPTGPKALRVKQSP SGPAEGLNPRHDKISFAFPQSQSSVMSQNGVSSSDAIAGPSRARLNSLEIKPSISSSP INGISTPRTNGIGESSRYTSSPTSGINSPKPPFLINIPSGLAPNRKPSSTPSLSQFPS STSIASSSSNNISELPKRPPTSPKKRHNKSLAGGQHISEEDNSYRANFLPTDEHSRAK VSISFGGVGVNNGMIKRPRPPHMDFEKSSPAGTPPPPPPPPPPPPDGRPPTPPLPPGS GPPSPPPLLEEVEEGKEDFRPPTPPPDDYQLPPPPPSPPTQAPPPPPSAPRSFFSSPS SRIHSSSSVPPPPPPPSSNDRPPSPPPVEPSSPPPPPPPAPPSPGPMSSNAPPSFHFR DLSIRPKSPSGEAGAESDDEDVNMEPEESAQIAPPPPRTPTPPYVPPPYIPPACVKPR PGIGSFLNVKGKERYDGVENGQAVEVFDPRAKISREQLNKGRGTRKCRTAFYEVDYEW DDYSTGPKPPPPPAAVLITGLSPLTTTDQISKFLRPHGRIKDIDAKMDTKSGMQLGIC WVKFDGPPHGRTGTAHDVACQVVRICDGQRISLNTDEKIKVVLDGRGLRTQQAIKDEM ARRYPPKPKIVPKPAIPVSTPSLIPPTAAGTSTPSSAGAQTPRADSSISRIIPSIPKP LTGSLPSRPYIRPPPTQPANFQNSLPSRPGSLPSRPLPPPHSTMLPSRPLGLPARPET VQHLASSFTAAPFSRHTTSADYDRSKRYDQSDSYTPSTRRAPSRSRSRTPYSDYSSDF TSDSEDDHARPAYRSRDRSPYGRRRVNGRGAPQPTKEDEKAMERMKEDLLKNGMAHVF IDSKALPPEREYENLLKDHFKAFKPSQILYNHSGWYILFSDNTAAYRAQRVLDTTAIG GHRLTLVVKAPPTANKKAEDERPAVTAVGEANVEKGTWKYLTITKKNRPAPTATPTGP KADRLKEVQKIRSRMIESDESSDEEEDIPLIKPRKRVPSFSSASSLSDDELLASRKPA KSAVEKDEMAMDIDEDSISPAPPLPADKGSIGEMKKEKTEEEETPIAKGKKRPAKAKI TKKSKKARLDSPVTTIDEPIVEILPPPEPEVAEITLDQEPSAGGEGEVKVAKKTGGKK KGPKSDFEKFIGSSIVDEEDAYWLGRALAAAKEGVEPTFADDAKAEEEVLLDTAHPLH HTSGSWRAEGHKKVAPASKSTYLPQRNKATTAAAEDSSSSLAGAGITTGRTARLAGRD QNRQTQSSSINVTDSELFAFNQLRIRKKQLRFARSAIEGYGLYAMEMIHQGEMVCEYV GELCRAAIADVREQKYLKQGIGSSYLFRIDNDVVCDATFRGSVSRLINHSCDPSANAK IIKVNGQSKIVIYAERTLYPGEEILYDYKFPLESDPALRVPCLCGAATCRGWLN I203_03311 MDHRRRTSVTSTSTIRSARESSMQVKNLRSPSIGSATPKMEDVE DGKPRRTRGRNPLPPTTGPLFPPLPPKQPKNSPKNSPQAASRSPAVRTPSGLAPPPDI VLGPPTASTVLPTDSAALEEDAISARSVSPDVQPEAELELEKLPVQSLSNASLTPPPP TSEDTNPDPDPDPELEPATDVKEQKEGEGKDDWEDYRRHRTVRSFGNVSVKEEPGLDD NDIGKANEDGNEEVTPVHTRSYKRNGLNIPPGSGENTPLATTPLSNTERSGSASRQTR KRRGEDELLLDDHLLPAEIRRTSFSSKKQKKEKEEEEEQKVEEHAVEVDDEQDEQKED QEEEEEEGEEEEEEEEEEVKDVTRCVCHKEDIDVMMIQCDQCNVWQHGECMGIWGDEE APDEYFCEECKPERHQPLLKWIRRLGRKSGGFVPPSPENLENLHNDRDDYPPSQSKRW PEGPSKEAPEPTPPPKPLSRSHHKRQATSPQVETQDGRRSTRGRQPAPSITRDKPPSS SGKNDAIKSHTGRRVRGISSISPDRDSNSPQPLSAKEPKRRSTMNSRDAAYEEEVKAA LEASRAEMLSPPHETDEVAQAEEKEKERGEKRRRDDEDEAEDKERTKKGKRKRDEDEG SVEPSNLSKPKHPNQYTYRKPPSTLPQQPPAAAPSPARRVAASTPVPIAQPAQHEHGT RRAGALAAAPVVYHPLTPESANHLSWFLPDHLSAFADLLPSTNPNALEVPAPRVLSYL PRNHYHNQRYGPFSEERDENGKLVLPDEPSGREVVGDQNTAQLDPPARPRYPVKRITT AEMKKRVRNVLEYVGRVQVEEGKRQERARLLGIKLTKVKSAAVDGDLYGDVNMEEEPQ RPEEEGKTSSPMPEQTKSMQLMDELTQDLINFQESFNSSGNGNGYASPMPLTTSTFSN GNGGIDSVPPTPTLPNDPTVSQLSVPTTAVPSEVTTEAEAELSEKNVLKQGEGMDVYR QGIVSTAITTEEEKDAAEKVGEILAQAEEGIEA I203_03312 MSSPIAKRMKSTKVIGTHSGTFHCDEALAVFMLRLTDEFKDADL VRTRDPAKLEPLDIIVDVGGVYSPEKHRYDHHQRGFSEVFGSGGFDKIKLSSAGLVYK HFGKEIIAKRLGVDVQNEKVETLWLTLYSEMIESVDGIDNGVNISSSPLSYTQRTDLS SRVKRLNPNWNEEATDAIYDEKFAQASKITGEEFLSQLDYFAFAWLPARDVVKQAIEK RLEVDQSGQIVVFEKSCPWKDHLFSLESTLPSKILYVLYPESDSPGSKWRIQCVPEST DSFVNRKSLPEPWCGMRDDKLSQISGIPGGTFVHASGFTGGNETFEGVLEMARKALSF I203_03313 MKTEEHIDPGSLAAVLAADYPLIDQPLILAILSDYPSSELKSKL PEIKDQLGILEATLVPDPDIPSEFAESWAGTESTSQSGIDDLSNRLGSLNTTSTNVNG STSTGATTWSESDGTEEYGDEVDLLKSLFPSTPESELSSVLHSYPILQDAIDHLLSLE LIRHVEEEGHWPEDEQDIKVLSEPEPESAVEEWETTQSKRSTARSKSKSKPSSKASSK PPSIESSPIVTSTPNYDNIAFPLPSGSHSRSSTNGDSISGPDPVSTKKSKKKKDTITI PLVDTLQRKHTPTPSARSRTSTAPTSRSSSPSRFEIRSVSANNPWHTVTSLSSYLSDL LSQPSTHFSSHLHSPNYHSTYSAVLASLAKLPAHPTKTDSSSRMILEDIYASMFEDRE EGLMKRDLEICVHAAGEDIATVMDLMDLLKDISDWSSDDHDGNNDGFSDPTGQPDYKI NTDSTPLPSTSKPQTVTLNLPPVQAIDMARSTSATSTISNKSVDEIPHPPSKSGLGLP GKMTRPEKKVRPTKVKEEPLFGGAIREAKIREVPGSKTSLSSLNSPMVLDAHEIFSPI GSAPSSPKLGNRQLGGNGKQVHPQNWRTVTNSIPHSRSQSTSSSRPERKMTYEECMAN AQLERVRRETVIRAAGRNFRPNVNGVAGGGRAVKGVIAGHYASQAMDAAKRARDWELK AARLRVNSQLQTQLQPQTSDTHTASHNHNYVERGRGGGVGGRNQSIDLHNLTINEALT IANEQLDQWWKVEKERRLERWKITEQGRFIIITGVGRHSVNNKGVLGPAVSNDLERKG WKVDRGDSERGYLVVRGR I203_03314 MPRVVKPSKQRHDPLHVQIDADESLRKFGRPSKPSKKKSMEAEE LDDEPKAEDARMSMKILDLAREQQEEVNRELGQGDDDDEAWEDEHEAEPSRRPREIAQ IPSDDEDEEDFEEGDVSGEEYAELEIDPADHATLDALNAGHNQAGTSDEPGEPPKTLA DLIFSKMEGGAVSKGLEDEDEGPPDPKKGLNPKVIEVYTKVGFLLSRYKSGPLPKALK ILPSLPHWAQLLALTKPTEWTPHATFACTKIFVSNLKPTEVRVFLEGVLLDKCREDMR MNGGKLNVHLYEALKKGLYKPAAFFKGILFPLCETGCSLKEAAIVASVLSKVSVPVLH SAAALLRLASMDYSGPNSLFIRILLDKKYALPYKVVDALVFHFIRLANSQRSRTGEDK LPVLWHQSLLVFVQRYGSDLTPDQKDALLDVIRSRPHPTISSEIRREIVNSAERGAPR PEDGEDVMMR I203_03315 MAPKAATAEGKKGGAKKPTPYNEYMKVQLAKLKAEAEKSGKPSN HRENFKKVAESWKNAPENPVSIY I203_03316 MTTLEAPIGAKVQVAAGVGYVRWTGSNPGFAAGKWVGVELIEAG GKNDGSVKGERYFDCQPNHGVFVRPSQVKILELPNRSVPSTPRSSTSRTPATPAVSRP PPTPTSRLSSAGPSRASSPQKPSLRSSTATPATPPRAVSQPTATPGQPPISRRISSVT TPASTSGRTSVTGGTFRRPPSVVERASTSLASQNESQEQTDDVPKRTGNMSPPPRPPA ARQAISPPPTIAGKRVVSPTPSLTGISPRPIPTRSISSTLSPAFEFETPSTASQQNQA PSSGELPDKDITFTQKRELEELRIKIRILENRRHEDQEKIKLLEGRVGEADTLRAARV KLQAKFQEIQSSLITAQKTSKNLQSENNMLELKAAEAMDQLEMAALDREVAEEKAESA ELEKEKLNERVQELELEVAVLKEENAEYEKPVSGLEGERTSLAFVQLEKHNERLKEAL IRLRDVSAEAEREHKSAVAELERELTSQEDLLGQLELAEAKLSNAEAQVEDLKLQLDD ALGAEDMLEQLTERNLQMGERIEEMRVTIEDLEALKELNDELEENHVEAEKALNQEIE TLTVQLRDEQSRATDLENAVLDMEATINQFRDLVSSLQSEIDTLRMQQATVESETAST SKEAQALMNLNLKLQSTAVKAQSKTVDLELKKLEAVQLAEHLRIVTSYLPDAYNDTEI DSTTIYLFFNRISSKVDMLISIISQIHGLPNSLHNATSETLVGVCELKGKLRHFSGLN KRFYGVIKRCSPEDWLVFGKLLSELGGVESRVDGWLNNVKNDEFNESDCARELSSLIA QFDHLSETSFKRPQLSVGEAQLALAWNFDSDLDNFAAAVGFARQAILGLTKEDDIEID EGESSLEEGVYEPVQRILDLVRSVKVPSGKLVAPVEDIVASSSALLPELTLALGDLAN SVSNAVDLAVQLAQRIGAHVASLRSSKQPLRLVDIENFLIEITAESSASSDAHPWELI AMFVARLGNELGNVLPRVKEAVKTGHLVSMDVPPPWLARVATIKEAASFNVDTERKVV ALSEELKDMLREIKIRDQNLQEAGVKVETLERRLEASRKQADTIIELENDVAKAKKQE KVYEDAIEQLQAEQDALEAENARLRKSQGQGQADRQGNNITSTPGGTTIEPINLGGAI GSGSGSGFGFGPESSLQSNELIENLRSTIRFLRHENALLKSKEFYNDLYTLPPLKYTS LSTPSCSMLPELVRSKSKSPESPLANSSSGSDDEDWEIPITPTDKSILKNTLQVESKL FYDKLIDFQTTPKIVDISKLGFTTASTTGSEQVKYWRRRREEPEQQIVDWKLKERKLQ KRLEDLKVRFNGLSNSTINSTGAIRR I203_03317 MAVAVPKKNLSEWHSPSTRPEVIHELIHGVDRYNPTNLPFMEEY LLSQIKEGQYDLFANLAVLKLYQFNPQHSNPDIIIHILIKALSSTVHGPDFNLSLGLL REPTAILHDIESDDEALTILMPYLTNLHELIRTCQFTKFWKEFNGESEAANILKTRYL PSHSTPIDDLRFQFSLSIASCFSTISLNQLSRWLNLSSSEVGGYVEKIGWNVEGDKAV VPKNGDNDVKAGVVKENVQLSQLTKLVAAAGY I203_03318 MRASNLPSTPQTPSPAPRQNGQITQSRQLGKHIPFGAYPTPQSS LDVTSPSASRSITPSFSAATSISSNIDIDSNESLREKDSEGETNEFIRIHDQLAKLFP NEKHVGIPRICALGGQSAGKSSLFSMVSNTPLYCANGRATCCPHLIRLRGQGLTLKVD ISIQVTNARTEGTSIIPFVQNLTDMDRVAKLLPLASDEARRADGGMSLVRPWTQLENM GESERTSGRDGWANFTSNVVIINIQGPNLRDFDIEDLPGKGSGYAMIFRLLLSLGSTI GLHGDPLVEKLVSDRISQQQNLIVLCLAGVGQEPSTDDRGIQLAMKYDPIGERTIGVI TRADQIDVVPGDLSPFVNYFYGQEDDLGGFKPQWGWWPLRLRSPEERRHGVSLMEVRN REKAMFAKEDWMGIQEKAGRSFGIGELEKKLEEVFSLKVQDNIVHLKTTLRESLRIHS KWLSDNPAIQDPVASLHDDVIYRFHNLLKVKVARSNASGRLVDLQENFERIVRTAVPE FLPFTKEEAEANITYQSYCKVDGIVVEEEDVVYIDTLAEMIKSFSSRREPGVIDTSSI TQSFVDKYTARWRKLAIEHIDQLWKEVEDVQQMVIHEICGHNVPMAEEIFLRLEDLVT SLKNDSVSFMDQMFRIVTSPLSHSGRGYAQGYETALKQATDMYSKFLESSSSVGHRRR SSSSSSVLSQTNSDIPSITSEVLPKSRRDQCTALQAKITVLMMSRALEFSSSVGEHAQ ECVMEYLERVTPTLRKKMGLDQVVENVRKRAGDLFESDREKKRERAKIVKEMKTLNEI QQHLDKIVDA I203_03319 MSFVAHERSPSSSGSEEEKRAQRLASAKKKVRAQIILVFCNGLP TSAIYLQLQTYRASRSSENSDSTPMVSSSSSSSKPPSPTKMMPLTTEASINSPFTFPQ SHSRSSSKSKGKDIELDQPVKSITGGHRHKRSDSQAHRRQRSSIAISSSMTLGQGLSR PSVMGVFDGPKEIITTPATPLLDSPDISPEPRILPDENHEEVAARLSTFSFGSKPPMS TFPPKRRQQQPLLSSQSLFSQESFPSTSPLASPTSSASAPNLNRLSTPSSRPPSFLLT HPTPLAFGSPTPSNPAASSLASLPSSPPTPARKRHSHTRSNSISLPNLKLSGARPTSL GIPPSIPSSPCSPTSVSDSASRSRLSSPITGQRLKFEPSGRGAEAEKERQESRRKALE KLTGGPSAASRSPIVEPQVAEISLPDLDDEDSSSVASSNRPLSGAFGSGSGSNFFSRP SSLTLPSLTASTTSSASAMSSSTFSWGSPNDQQSPVERWSGFGFGLAKEYGKDDGMNF GMDLSTAMAKRPSINRQLSALAEVDESEEDEGDFADNEAPTEMVRTFSDEPESIISSF PVEPTPSRLRELRLRSSISSSTPRQESMDSVHSFSFPRQSSASPSASSPTKGYGSIGR GRPKPLTIGSTTSTPSNMSTPKSAGFSSRKRTAPGSGSRGSSISYKKDDSSSSSHDLS IGNGRNVFSPESVTSPPLHPTSPKFSGWGSSSASRSTTRPCPRPRTLVGLGIDNTGSG RVLGELDGVDEENSPIPGAVSMWTSPIPDNNDTIHPYHDENFGIHDRRDSFADEATWR DVQLDMEMEREALKEDVELLRNRCASLEETLEMERKESTVLRERVRKLGDRLSSVSSV PTDRSSSDSHAAESRLIAEMREQLFNLTTSLEAERRAKEAALTRLAEIQRPAQPSPLF DVAVDNESVRTAMSDHPVLLTPSIASPVITPPSPLAQLASSPPMEGSTHSTPDPNLAR MKGWGFPRDPSPVKSNQSKRESFFGLSTVLKRSVSADEAETHNGVDLPCFVLPDQTPT STTLGASSTSISFSYDQKQQDEYTPRSVSEPITKLAENPLSEVDTEADMGFTNSAVSF ISSYLPKHSPSLDLKRSDLHVQRYIGKDSQLYKVSMEIGELEKSRLDFRGCCKCCTGT VIEL I203_03320 MTSYNPLISSYTIDSHRNLSFTNPTGSSGWMCRQSGTWGIQDCS GFSISVGSNGPKGKYGTIFFEGVFEGGKHQFIPGKDYTTSSDGTFKFYHPDPTKHAWL VVKSGGRLMYEDSKGNFTVVEKEGKVTFVDIFVEKDKVDGEDAASGENADCDSLTSES VFMPWVNLGKLVPAKRIGRDQDDNEGNFSSHQQGFSDKVKNILKTPKWVSRGTASGQA FSES I203_03321 MSLSEYNEKTPLLTSDTDQLSLAPSEATASAKEVEVAHDLAYQT KENIEEKPPTKPAVRVQWEEWMVYSIRYRIRYNRPVEYDEKGRKYNWSVWCLGLGLKH YQSTDRSSSQKGKLKIVKWEKGRFPDEEYIWPKGRGKKA I203_03322 MVFGFSHSHSSNKEKKESPVLDSSSETDLETATVKTDDVFGTIS EDGPNYRNVGWLGTTVLLIKSQIGVGVLSVPSTLAVLGIVPGIICLWVISGIICYSDY VVGQFKRRHPQVYGIDDVGHLLFKGRLGREVIAVMYWLFMTCVAASGLLGISIGLNAI SSHGTCTAVFVAVATVVTFFLSCIQTLGKISWLGWVGLVSIMSALLTLTIAVGVEDRP ATAPQDGAWDKGLVIWGQPTFTEAITAVSALVFAFTGTPAFFGIVSEMRDQRKYTQSM LLSQSFVTAVDTVVGVVVYYYCGQFVASPALGSAGGTLKKICYGLALPGLFVTAMMFT HLPAKFLFVRLLRGSKHLTNNSTKHYAVWYSCVIGCVLFSYIIASAIPVFDGIASLVG ALFGTVLCIQLMAGMWLYDNWEKRTTNKTILYKFLLFWNIFMIALGSFLMVAGTYGAV IDIRDSYAAAEGAGAWSCRDNSS I203_03323 MSLFPSAFEGSAAGPSTSLTSAFAKPSKNNNKRKRPSTGGHHDE SLLKSTQANLEKLMSKLEKGDVKEKLGTESMGGSSKKKKGNAHVESKKGSKVVDTPKG KEKSHIVQRQSTPGSSKKEKSTPNPQQQPKNKSKEKSGPVELPIPPVSSSPEGKIKVG GENLTDMQKNMQAKLEGARFRWINEQLYSTPSTEAVEMMKKDPKIFADYHMTHRVLTA GWPSPPLQHIIKALSILPAGTVIADLGCGDAGLARTLVPQGKVVLSYDLISEGWVIQS DFLEQIPLPEKGKKKSKRDEKASEVVDVVVCCLSLMGKNWVGGIAEACRVLKQGGIFH IAEVTSRFTSTEAFVEKVESFGLKLEEQDSPSTHFTLFRFTKESPVPLGPARGEEGWQ ERVKEGEDILKACVYKKR I203_03324 MDYQNRAGANKGSGGVAGASETAVDRRERLRKLALETIDLAKDP YILRTHLGTLECRLCLTLHVNEGSYLAHTQGKKHQTNLARRAAKDNKDASLMIQAPPN AAQQVKKKVFVKIGRPGYKIIKIREPVSQRMGLLFTISLPEIKEGEKPRRRFMSAFEQ RREIPNRAFQYLVLAAEPYETIAFAIPSKEMVDQEEDPESIWEHWDQDEKVYSCQFLY K I203_03325 MFTHTLLSTFSFLVIAAAAAPVDESWVQPRDSPVHELFYKRAPN PSDPNFASNYPAPGSTPPTDSLPQAWTDKLKTINLPDVQPSSPNNGYPTYADGETPYD QKICSFTYQCTTDEDLYNPPSGVLALSFDDGPGDASPDLYSFLAANNISTAATHFMIG GNIVYNPTGMQAAVDAGGLIGVHTWSHQYTTTLSNEGVLGELGWTMQIISDLNGGRIP KYWRPPYGDVDNRVRAIAKGVFGLETVVWNTDSADWAIGSDARYTHDSVVSQVNQWLS GNKTNGLLLLEHEIQQTEVDVFKAIFPSIGSNGWTIKNVADAWGMDWYVNSGKTNTEA ITTMSVGASTLAVTNQTTSQQSSTSASASASVSTTSGSASTTSAQVASSTSTSSATAA AAANSGSSSSASSTLMIPGFSLAGLGFLTALFLQV I203_03326 MKRTSIKVSNLPPTLRSILSQRTAQIATSTTSTDSPGEPISVNG WIKSIRTHKNVSFAEINDGSSGKSLQAVLKGKGKADGLTNGTSVNLKGELKKSKGQGQ DLELLVNDLNILGECDSETYPIQKKSLPNSVLRDNAHLRFRTSQTAAVMRIRDNIMRD WHDWFEENDFIHIHTPLLTSSDCEGAGEVFTLLDQPNPNSPQTTTFFPHPAHLTVSSQ LHLEAPTHSLSRTYTLSPSFRAEPSLTSRHLSEFYMLEGEVGFIEDLDQLLDVVEDGI KFSVDRILKEGSKRGKRVKEDLEMISKSSNDNSEIEVVEQQGVINRSDPLNHLRQILA KPFTRIDYTQALDLLRQAKEERREEGELPLKDVPTWGEGLSTENEKWLANHFNGPVFV MRYPKKIKPFYMLPSSTSTGKNGEEEGGETVECFDLLFPLIGEMAGGSLREHRLDHLT RAIEQNGMKVEDYEWYIDLRRYGSIPHGGWGMGWDRWISYVTGVGNVRDVVAYPRWKG HCKY I203_03327 MSIREHKPRSSGFLRSHTNLISPQSTHQVRPIAFLKNPAIPLEL LTSFIPHTPTIPRARISPIDEHYIEPSAYQTTNQVESQTEDTSKAMVLSTQRSSQHLP LNQAAPPSQAMDPRKSQNPRTHTDGQGNPPQQGKLSSQAGLSSPQDHQKNPIRGATDH STRVNHHNRPPPQEADVHNANNRLVKAQDYATYPGNVKEADRIGNNSSGLPRGNITSR QTDNAHKQDTFKVNMLPPPRYGNKGIPLAQPERQVYHGQTPAQTTRTLPREQAPMTHE FRNDFQAEEEFDELGEDYDNFEEPTPVVHGVPHTDAARIRPEYPFKSSNSVSQSSSRY DTDPRFGKTSHGHQSNRPEDPHTPIYLGRNIKPSVNPPRRVPTNGYNSYSMPGRPSFD DELGGVPSRRIPMEESVLTFDETGQPVTPERQSGRDESTFNFTPSAKDPEADEDVVPE PEARFKIPVELEDPFALIRSFIDREKVFEGVLKYTGDPKFQPTWATIKEGHTKLSSSL NSTHHHFESIEGSLTKLVEIKDKELERKIGLTKRYAEAGSDLSEHCSMMQLTTRNNVK RRKVEMEVD I203_03328 MSSKVKVVACVSTTLRSTPHLRPALPFINPPRHSTGTQATSGHD PNLPGCSKWFSSTCSQLTIPQRTFFTSNHDSSPSQQATRILPAKDQNEEEFHLHKTTS TPHLPLPPRLPYSPNSYPFKRHMEILSLSLYSCTVDESWTVYTSLHPSLRRYIPDETF KSLVGHQVAHPEQQKAWSRVRTLLRLAKKCRMSLSEIDQKDLIRIIRLGLRRYRAEYD RSKEGESEEDDIYKLVKKLWITLESKIPLDQFPHELKRGWLGLHLKRLQKLGKKGRAN KEEISDRIKDIEEMVIDMVKKGAINTSLGHYIGDILISSSGNTLDGLKRSFKNLTLCI AQGVNIKHAHLHKIVRKLDVVWSKEGNTANSEYFIPSVLESLNIDPISNTSRILYSAL DTSTRRARTRVQKALGLLEDHQSSVGGLIGRGISVSKSTGGDILVRLDTAIRLLELAL QQKEGDCGALISSLTIALHHAKRSTPHSSSSIQDIDKSIIRYVRSLHESQVIPQLSSE SIIPLFKLILSVLPSSEAYILSRKIYQHARSTTPLFKWSLKNLYLWQKLFRYSLTSPN LHLHFASRLYTDLLADGLSIRKPDMLLLIRSTGMKSSPSRAILLERHIKDYLWSANHG HSLPPLVLALTQGLTHGGIQDTDLALNLTERLLQDQPVPVQVLEILVSNLSRSTRSQD RIRVIQLLQQVDGKDSNAIRLYNIVLSNSIKSSSSTSGIPDDVREENGRLSHQETLGY AIYLYKEMISKGIKPNNRIVSNMIRVLLDSGHLDSALDVFKASIDSSSTQGRTGFRIK SNVVGRLMVNLAMANRTTEANQVESSWRKINETSEGKVWDKGVIGARILIDIKDGKEV DMDQIMKQTGWKGKKGFLNFLQSLRPPSSTPPTLTPALVKDRVDSETREVIMNHDDGN DQSVRFAWDPNRRNERDSVRVDLCMSSEFGMIYH I203_03329 MSHLDPSYPNNPYGSSSSSYSLDPSSSTSRLNPNPNPDSSAMIS SGQPQRSYLNVDDSEGEEEVFDRHSIDPELRLRTVRTAHSVLAESIKSEALAEKRAKR RTLFKSMRRKASGIGSKRRKTSVVEEGNTELSEGSQSRRDTQSTIHTPPIPQSEFGSP QKSVPTIEVSPPQPQPSSEPGPSLTEKIKGKGKAKAPPPRRSVYVNINLPRNLLNVKG DPIARYVRNKVRTSKYTLVTFIPKNLFEQFRRVANIYFLFLVIIQLFSVFGAPNAQIG MLPLLAILGMTAIKDGIEDWRRSKLDDQVNNSATTKLAGGWRNFNQPRDPRNWLERLF NVGLAPGKTSKGVKKLREREGSAGNKIMMEDSQKSWDQDPQEELDVVVVDKESYPLST MPSTVIPSLNITESTTPDLPNEEGFRQSLMLRKTSSLPSMASRRSNGVVDWNRPTSGS IQWERTLWKKLEVGDLVLLRDNEQVPADIIVLSTSNADNLCFVETKNLDGETNLKIRK ALRATSSISSEEDLEHARFVVDSEPPHANLYTYNGVLRYSPADSYGKAEEQAEGITIN ELLLRGCSIRNTKWVIGMVIFTGADTKIMLNGGDTPSKRSKIEKETNFNVIMNFVILL VLCLATALLHGWYRSLTGTSAETYEPGAEASDNIYLDSVIIFFSCLIVFQNIVPISLY ITVEVVKTVQAYFIFQDVEMYYEPYDTPCVPKTWNISDDLGQIEYVFSDKTGTLTQNI MEFKKCSIQGKIFGEGLTEAMMGAAKRDGQETGPAMEDQEVELAGLKEKMLKMMKHTI RNRYLREDKLTLIAPNLVDHMANPSDPLQHHIIDFFRALAICHSVLSDAPDPSRPHEL DYKAESPDEAALVAAARDVGFPFINKNNNYLDIEVMGTPERWIPLKLLEFNSSRKRMS VVARSPDGRIILYCKGADSVIYSRLDLNHDENLKQTTLKDLETFANGGLRTLCIAYRE LSEAEFADWSKKYDAAAAATVDREGEIEKACELVEHSLTILGATALEDKLQEGVPDAI AMLHRAGIKLWILTGDKLQTAIEIGYSCNLLTNDMEVMIISADSEEGARAQIEAGLNK IASVIGPPPTTPASGKIMNPGMNTSATFAVVIDGESLRYALQPSLKGLFLSLGTQCSA VICCRVSPSQKALTVRLVKEGCNAMTLSIGDGANDVAMIQEANVGVGLYGLEGSQAAM SADYAFGQFRFLTRLLLVHGRWSYVRVANMHANFFYKNAIFTISMFWFLIFSSFDATY LFEYTFLLMYNLFYTSLPVAVLGTFDQDVNAKAAMAFPQLYKRGIAGVEYTRTRFWLY MADGLYQSAVIFFVPFFCYGGGETWSSSGRDTNCLYDLGTAVAAAGVLAANSYVGINS RYWTVITWVIIIASTLLVFIWIPIYSFLAVFPYAGIVEVIYPTFTFWMTIGMTWAIAV GPRWLISAFKQSYFPKDSELIREAWITGQLKRELGIRHRKDKKRDNKSAGQEEPSIPS PQKSKSKGAPIRQKSLTDHFSFQSNYTDVDDRERGAYQAAMTFSPRKDFSRSPAMSVS LSDDNNPYGPGSGSGTHTPRSMFSYPPSPNPPPHQQQQQYSQNLINFQNSPSSSSSRF DVNRPSSMLLRQLSDPGQPSPTSRGFDLRPPDDVGMGRNQLITPVSRLSYTSPSVFDN VDNEIRKEVRRLSKDSINIKTASLSGENSPIKGDSSSPTKRRMSLPLFSPSSGTTRGN NNESTDIYEEPEPEGDLFAPTSGSARDRHEYTNTSAERSPTRGNGDGDGGKSPRDDGK YGYAF I203_03330 MSFIRPATASMRLAAAPRAVMRPRALPKRITPIPSTASRGFRRT YATTPSTPEPSTTHNTLLFAVLAAVLGVGVGGYFYLKPVRDVAAIAHEGVKSAKENAS QLSTGLSTYAQAVLPPGAFALYQALDKQEGGIAGFLSKLKGKDLDGALDELKNVGGDD AKKVIEKIQQKVKDAKGKIENVDWKSLATELKDDLPASAQGLIAVIAGKIPDNIKDLD FDFDSLIKKAKEVGGDQLKQVEESASKVYKEVEKARKEGKDQADAFLKGLKEAAPADV DSLIKQLKEAASKAGLPADTAEAWLKSKAKDGKVDAEALAKQVESRLKDAAQYIPGEP KDLIKQVEQVSPSLAKLLQQALQQADVIDEKGNKKKQ I203_03331 MTSLFDFGSKVVKDIKHETDSEAHQFLRKYIDDRQDVNESGPTI PGILHPGGTGVTYCTDRAMAKGYSYDDDQWANLGQGGPEVGSDLSQWGDEVNEYGPTT GIKELREAVAELYNEEYRQDQESKYTYENVCITPGGRAGMARLAAVIGDVYCAYQIPE YTTYLEVLSVFKRLIPIPTALDARDKYKLNLEQLKAEIENLNLSVVIASNPRNPTGQC ISGDELRDLVHLAREKTTVILDEFYSWYQYPDDPKELGTSLSGARYVEDVNEVCIIHV IGISAKYRLPGWRVCWVVAPKNVISAISQSGSFLDGGASHVLQKAAIPLLDYERVQQD KISLQIAFRIKRDHVLDRLEKMGLKVSIPPVATFYIWLDLKGLNSPLNNGLTFFEELF GPKLSELDRGLDAIERLLKKYRETPHLLGIDFQPSPTRN I203_03332 MSFRTPLLRTACARRAGQSVQLRSPVVRRRFASGGPEVSPPPPP RSSSVPYLLAGVGLAAAGAAYLFYGTDGTPRETAKELESSARGAAAAAEGKLGLRHSQ KDYQKVYDRIAETLDKEGYDDGSLAPVLIRLAWHSSGTYNKEDNSGGSNYATMRFKPE AEHGANNGLGVARDHMQKIKDEFPWISYGDLWTLGGVAAVQESGGPTIPWRPGRIDGF EHNVTPDGRLPDAAQAQDHLRFIFYRMGFNDQEIVALSGAHAMGRCHTDRSGFEGPWT FSPVTFSNQYFTLLEDEPWQWRKWKGPAQYEDKKTKSLMMLPTDMALVKDKSFKKFVD IYAKDEDAFFKDFSKAFAKLLELGVPTAQFAGEPWKMGSE I203_03333 MSSSRFLVKGAQSLSSSSRSSMLTRSMATLQSSIGDKQVPMSNL EKGKFVNYARIESNLQVVRQRLNRPLTLAEKIVYGHLDNPHEQDIERGVSYLKLRPDR VACQDATAQMAILQFMSAGLPQTAVPTSVHCDHLIQAQVGGPKDLARAIDINKEVYDF LATACAKYGIGFWKPGSGIIHQIILENYALPGLMMIGTDSHTPNAGGLGMVACGVGGA DAVDVMAGIPWELKAPKVIGVYLDGKMSGWTTPKDIILKVAGILTVKGGTGAIIEYHG PGVESLSCTGMATICNMGAEIGATTSLFPYNKRMASYLQATGRSQQAAYAQEFNHNLQ PDEGSEYDRRIEINLSELEPHINGPFTPDLATPISKFAEEVKKNNWPEELKVGLIGSC TNSSYEDMSRSAHIAKEAADHGLKAKSIFTITPGSEQVRATIARDGFVDTFENVGGVV LANACGPCIGQWDRQDVKKGEVNSIISSYNRNFTGRNDANPATHAFVASPDLVTAMTF AGSLSFNPLTDSLKGADGKEFKFSDPAGHELPAKGYDPGENTFQAPPEDGASVNVAVS PTSDRLQLLKPFKAWDGKDIVDAPVLIKAKGKCTTDHISAGGPWLKYRGHLENISQNC LIGAINADNGKANEVLNQETGEYGAVPTVGAYYRDRDIPWVVVGDENYGEGSSREHAA LEPRFLGGRAVICRSFARIHETNLKKQGMLPLWFKNPADYEKISGSDKLSIVGLNEFK PGQDIKVEITHKNGSKDSFLTTSSINEGQWEWFKAGSALNKMAAAAAARQ I203_03334 MPSSDALQPHLQPAERAIVKSYGGWTNFCISHGLKPWEMDQNDE ALAIVSALAKMDEENEKKGKGKK I203_03335 MSTTLTKKQQKLAAFRNKQKAKKSGAGAKDVDQPDVPEQDLMDD DEDAAEDAVVVSQPSEKEKAKDKVDEGVAVEDEEEEPKKVDKGKKKKTAWDDEEEDGE KKRTKKDVKQRFILFVGNLSFKTTKEEIQEHFAPTLGSTPSVRLLTTKPTPQNPKPKS RGIAFLELPTSAAMQLALKLHHSNLKGRTINVELTAGGGGQSESRKRKIEERNNRVGA QRERKAEKEQEEAGEGVEGEEQGDGGEEKKDGKTKTRGGRRVKSKTKPTDGSAPPAKK PRSDPSDPNAPLSGWASRQAGTVSASPSFNSSRPPRRDFNNNNRRHQNGGGAAGGKFQ KKKWTPTGANAQPVG I203_03336 MCLTEPLTSGHRHGSAVEGIGAAPVNMQGNGTYADDHKCECTKC KCQTKTKNKICSLCSKESHA I203_03337 MAIEPATSSAAQAQADAQTPVQQLKAEQAAVAQENGPVSGQDEQ LVTGEMDGLESQMSSATIGELHVACAEGKLDDVRAVLSRGLESLESLDVNTGCTPIVL AIRGNHHEVVRELLSAGAIVPPPGLTNDPLMLSILYPQPMYGIPPQFMGMPPHEFYQQ PGFYPADAQQQQQRGMFIPPPAGPTGQRKDGVTASPNGGNPNNLPPAEVSKTIPCRNF PNCKYGNSCVFFHPRHQPFFPAGPGPIGPNGQFIPQGYENGYPAPYPPVPGPYFISNN QAFVPNEVDQQQQQQPASDIPQQQQESQLSDTQHDQTQQQQQHVPSAIAPVFVPGFQP PIPPSVDMMSPPPPPAGQFGMSPLSPSMLGTSLPSIPPAEAFFAAASPPPAGFMPPLP PVAVNGPAAAAAHARRQSFNQQQFGMPGKPFGHGKKPSFSGGAPKWMGRPPAPNGPGS AKLGSWKDGNPPPCAFYNQGNCRNGEFCKFPHLDAEGNDCRHPDVVRGILPPAPSFSG RPRGMRMMGGPGFHNGPVDLSFRQQQHQQQIQFLQHQRMAAAQAQQGLNASAPTSTSA AQATEDKSEATSAAAEKSETADVPSVTVDGETAASEEPAKTLPAKPVMPNIIRSASQP GVQRVHANGNASRSHSPAPSNVSFHGNGHPRRAGSRVPPQVNGHGNFVNGRNDKKGPT TPSQRVPQAHEFPALGLGSGTPTSEKKEPSWGGKTAAQVLSAPAPPKPESPVQVKVTE HNGDGNASDSEKSEKAGSVTMDSDSESDAVIISTKPSTAAQSGTASPAPPAAAPEIKK TPISFASAVGTASMPTALETAPVALKA I203_03338 MDFEFPSTDLKGWRLKVGEDSHGQQKWVYLRDEKQREEWPQDVT EKYWLGLDTGTPELPEAKTPLEAARNGYKFYRNLQSHDGHFSTEYGGPLFLIPGLVIA MNVCGQKLLPEQETELKRYILNKRRKEGGWGLHTAAPPTVFGTVMNYVALRILGMGPD EGPMTEIRALIHEMGGATGIPTWGKVWLSVLGVYEWDGVGSISPELWLLPDWVPFAPW RWWIHVRQTFTPMSYLYGSRFVGTFTPLVFTLRQEIYTQPYESIKWSAQQSNISPYDV YSPHHPVLDIAHGFLAYYEAMQNLPGVSSCLPLRKSALKRVYDLIRYEDENTGYQTIG PVSKAFNMVCRYAKEGPDSKAFKGHLSRVDDFLWLSKDGLMMMGTNGSQLWDLSFMAQ ALVETSLADEPEFKKSTLKMLDWLDKAQLRQNPKWYHENYRHQTKGAWAFSTPEQSYV VSDCVSEGLKAVIAIQSLPYAPKTLDLGRMRDAIDTILSMQNPSGGFASYELQRGSTK MEWLNAAEVFGNIMVDYEYPECTTSALSALKHFSKLDPTYRQQDIQITVDKAITYIHD VQRPDGSWYGSWGICFTYATMFALESLAIAGETCANSERVRRACKFLVDRQMEDGGWG ETYMSCVTMEYAQHEQSQVVQTAWAVLALIYGQYGDKSVIERACKLIMKRQKPDGRWE QEDTEGIFNKNCAIDYPAFKFIFCIWALGRADKYLKSQSQ I203_03339 MKVIPIQARSDNWMYLLIDSSKQAAVVDPYDANKISNAAKEHGV EVTSLITTHHHNDHSGGNSKLLSLHPGLKAYAGSNQSPGTNVIVKEGDTFKLGQDIDV KCYHTPCHTQDSICFYVEDKKTGEKGVFTGDTLFLAGCGRFFEGTPEEMHAALTKLSK LPDDTLVFNGHEYTKGSVKFGLTVEPDNEALKGLLKKAENDNCTTGKSTIGDEKGWNV FMRLDRPEARKATGESDPVKIMGKLREMKNAM I203_03340 MGFKKKLTSFLPSDATNRLQNVLTVEWEGQGKTVLVELPDTARN ENNEVEMAGLTSSAGGYGRLNDDDEDERSYSRPSRSAYNQNYDNPYQTSSLSSSSKHK KHPSYSSKTLPPIPPSNSSKVGNVNRNPFEPEYHSPQPSSSSTYSYSSGSGSSPYTVS TSQFGAQQAYNQGNGSLNRIGEKKPMPNPWGNKYREDDIDLLGDIGGGDIHSSTTTSY SRDELRDTRDNYDSLENPFR I203_03341 MSHQTASSSAHAQPHAPPNYILQTVFPILDVDGVANDAVEDEAQ RVMLELAVRLARRIMFSPICRDLVIRSLSLDEAPVDDKASLPLPLDPRTIPINQSVEA RFDQFKRSGEMTIVVDPYLDGYGGTDPEILPWIKIRIDLVRSAGKAWENRSSNPNSLN YILFFVSVVILHEMVHLMRMNDIGVTDNTPPSISNGEIYGELEEEDGTTGWYGEGGWA LESSLLGGEFFVVMWPSGHPQQTYPDKVRRLVIQLTSPERWFYLPNTTISHIVEGRPG WQNLLPFAEPANAIPGINTEPRLRRHADPPPEYVYEHLMVLPTYPTLIITKVSQIIQF GRSAQLKWDDKIVLSTFGGQDLGDDGWKEVINGVESISGEKVFFIPSFFLPPEEILAK DDVDGAFHWNGAWPMNNHTTNLDSDKPFLNHEKPYMASVSPLFFTHYGTEGDWAFNKS VSLENVHVNIFLSSADGCFEYFIGTGYLLSLPPDQSPQFIEIISWNDFGESHYIGPLL GAQPGSERWTEGMDHEGFRVMIKYFIQKWKNQICRSPEKDSPSNDGNGDKGKLVVWYR TQSRDMSTAQDRVGKPDHSEWAQDLLNFFILLPTSQYSSKDTFTLHIQNGGHSHRPIP LEVGTVNLIPVPFYTGQVSFQVMKYGKQVIIEGKGMDVSQDGAGGGWNYNMWSGVFHA I I203_03342 MEYYIASPDGRRSPEPPTTEQQHINHEDQPQGRDENMGGNDINN HQIENRHFSLSPLTMTDPHAIFSQPQANTEITSGSYPYPPYAMTYESISAPSTSHGST NVAHHPTFFHPYRSSATGDFARNPRSPPRSPALSSSPHGSSVSHRLSFGAPTSVSPSL VSPHSIVGSQLSDNSPSHPYMYQLPLTYGTPLTSVSNLANVSPSGPGLSMVAGFPVPT QLHPQQTSPPSKGRPITRPRQARTNNKKIIKQEEDEISDVEEEGNTGGSGGLGLSAGA NNNEDRVPVSSKREDVRKARIESEQRRRDELREGFKRLKEALPPSNQRASKVSLLDRS VAHIQSIEAANRYLLGQLEDANRECTKLREILHNDIVLRQRTASNSPGSGSQGNRPQ I203_03343 MRITSLALLPLLATAASANLAADALGWAGELVSGGGKVSTTVKD GDVRTMDSWSYVDCGLATDAVQLKSIHVTPDPPVPGKNLTVEVEADVIEPIKEGAYAD VTVKLGLIKLLQKQFDVCEEARNANATVQCPVSPGPYKVKQTVELPKEIPKAKFSVQV RGYTDTDEDMVCLDLFVDFMKRPGGGN I203_03344 MTSFPQRRSDQNSGHRRLFETYSPSSNPPKRIVVKRSTTLEDAS KTLNRAGTLERLVERSYSSLQNEALAIEYCHTKARGALQTCTRDYKKVKIVFEWRIEW NRHPGHVVGHSEYDGRRV I203_03345 MSVNWSCSFPDGSSPPDPSLDYCCFNDRACASYVCGKLGSSLAI TTEAGSPDIFGCYVVAEKAEALWSSPPKNGTCGASAGTKGCVHSSVVVTTSKSLSSTA SATISIGQSSAAASASAFPSSSTSPSAESDSAGFQRMEFLGQTTVMGLVGLVWLIRRL L I203_03346 MEVSNFFTRLLQPPKEAKAVKQPDDLGEFDIAWQGIKETLEYPD ERQIVRGISSTQVPNQLRHIVDALVYESNRTDEDTTGACLEYFLKNDLLAQLERLCEP DRPHGIKAEVLRAINNLIVSLSERFLVHNAVHRPLRRLLRSCVGEEPEEKIDGGARIL GAAGMSPDMDRRGSNDDIEEDLVGLMCTLASRMVAYPPLLLIFFHDKGWLQPHPSRVP TPLERALSPASSAHTGISGPSSKTATTHHFEFLLFSYLLRFVHREGQIGDPARAGLLF LFDIAFLPPSEIVSGSPNPTDKDGSDPLQDARDALGEFILDGDFAEVMAAGLGAIYSL LPSKLHVPSLAELAEQERESGGPASATGGMYLSAGADLPDREIPSSTDQEVRDQLDLI LKLFGFLQDIIYRCTSMIRHPHGDQDSHEISTTHMLGSAISEATLDAIQSSFLDNVLY PSVLECSSHDGSSVAVLTYLDVLFSNLDEGPLLNRLLSFLMDTGTSELGYSAQDRKKN KRKTGAMGFIDRPIYTADYFADEGRFTLKDLILDNLHSTEPTSSTAALRLLRTLLGDH CRQAVKGLLSVIRDPTATALAKRPIPSEIATFDSFLPHPVNSTDVHLQEVELYSSLVT RLDPSLSSAEMAAGYAVYLTDMTAIIEADPCFRSAQIPTTFLGEMEKTILHLDLTEEP THHRLNPSDPLIKNILRTFSDFLANTPDENVAMTGVISAIALCPYRSLAGWLLYDLNS ETDPWKSRSKQPQDSLSDFGTDSDDNSDLDIEISRYGGDSDPFDVRSSVPLPAIYQIL REIIKTISSHRSSIESFDRLLAERRQGLLFADHLDEAMNLMLEVEPSSFGLPETPKPE KKKRPSAAGLVGGIKSFLTPKKKTPASTPNRSSSSGLTPTLGSLLRTPNKSPGPGEES GRLATPIRVDELDMMNPSPFRAHYEQTDVDLQLEISNSEQTIKSGPWSDADHVAALEM GDESFAQDTLHRRISDDAEEDLQAKTQEGPKKISLNVVLDNCVILEEFIKELVAIITA RRALGIDQVGFV I203_03347 MMDTSNTVVCSQHRHRKEHRSEEDTRYPYASPLDNITSLFSSSS SASSSSSTKTSINDWIPKDPVVVGIISAAGATCLTLGSIAGYRRYWRRIRNANSVTTG MLDRKTWIRGVVTSVGDGDNLRLYHTPGPFFSYPFKIRSIPSTPKELKDETIHIRIAG VDAPENAHFGQPAQPHAKESLEWLRGTILGKRMRCQLLAKDQYNRIVAVPYINRLLWF DKPLPILMLKEGMAVVYEAGGAEYGPWGIDKMKSIEAQARSSKKGLWSLKKFEHPSDF KARMKRPDEVRVPSTSKAKSRTLLGRVWGWIRG I203_03348 MWRLTFYAVIDADSKIPLGNLHQYQPPTSNDALELLRSNLAVFE QWKPVVEKIYPCVVLEGPFKGVYDSFLDLSATIQMKYHARSLTPEEKYFTVYVGEPSL LKDLKLESSTVGNGNNPPYANEISHSPSMVAQHRYPSEKFIPAFTPLSSSHPVPEHIM RGLNNFRYDPIMQSNFYVSRHEDEGPSVNRFNDSREYQSKEGRMSYLFRLQLPPSTSA IETVRRLTLFYKKDTLPDAVTLKIIAFRHLCEAPARKSIWKANSEDEADFVEHHEVKV GDLLYQDWTIRQRNGKAQENTKKFVQVNIHFETVTGVPQTNTATVTSTLFSFPPPLAA KPILGENGQEVYIDQHLAHFKKPLSKPVMQSEDVAKLAVPSCITWNKIRNLENIQLPT ITTQSKDQEEMALASNTFSPEEERWSYYGHNQKAGKAKQLRKDEQASITYPSFIPSSH AERNSHSFLRQLFGPPPCTPSSMPPGYMSMISPNNYQVPGPVQQIAPWMSPSGPGFVS VPTSPHPLYFEFHVIDFYLKPIGPSPWVFSELPTTDILRTMLGRYIGADNAQKSRLSV LNDKIHTDLLYERFITSFWDGHGGWECYKRGLLSNRIQIQVWSSGEFVKAETVPPLPK ENMPPTWGSMSKEVSNFFDDSSSSFEKKDEPVARSSSTGVKDDLLAEDGPIPRDNVKL AGPNQHYDLKEKSTGRFGFKLEETLESRSETPVDPSMHSSLPDGKDLAPAPAKELPAL PPAIHSIDIVQEVPNTTSEHSLERVTSRGYLEDLISSSGNTTASTRLLRIMQAESVSP ASSLGLKLLDLAKALRTDGELAPSKPVENTTTNTKVEIIENRLNEFADMLNHIAESVG VALDTSSSSSSSSSSPSTISDEIEDEDGSTTATVGEIPSGLSFSQLAIAGQQVNESID AIQSPYRTTVSLLETPTVPSAKADLGKLVEEAEKLEKEEVNQIHTPSVAEPKDMGGAR LPKSTSHIRAHPVPTKQQDYEPSRNEYVAMSHSQVQGLVNPFAPPPQFGYSYRPYPRT GSEGYSQVPAPTSFHQAPGQGGMLPHSSVYPSYHSQYMYNNWHNGPSNNGVSGNSPGS GVGVASQHGIGAGAGPGAGFGFAQYSQVNPYAGLGNCQYQGTAWPRG I203_03349 MEKELSASTENSPWISTSTDFKWAIWEIARRLSKLNRLEVKLTL IRRNKKYSGLYKGTKSIQIDPVDYLVKYTGEQVKTAMDFAKSASEVLYYGRIFDKDVL ESTIWTRYSTPFNLPEYCYIPKKYWTSGESWLDRLVWNPRMDSFYDADEMMSDRDGQI ASSRQPSRR I203_03350 MLRTGTRHLCRSCLRNLALAPRISPTASTSPVASTPRPGNFNAI RSYSSSSSEQPSSPGPPKRPSPYRLLQSHTFLSQFAPLHISGWRLDSIAQQDRLINPL SAVDEALDLEGGDLQDRRLVRAFMMGEGKVGWKDAMIFVQRAGQIIEEEDHHPTTLIT PSSDYIPSSTSLQNTGKEDNGYVIEISTHTHTPLPPYPLPTKNPAHKMRPGVTSKDIR LAERLEEVWEGVMGGRQKVEMKKE I203_03351 MSTTAGLRQRVPSPPAGQVEKDQLLREAEEAELTEGQKFIVPNF TVKQLLDAIPAHCFHRSALHSSLYVVQDFIALGLLAYGAFHIDPFLARYNLAPAVYHA ARIALYSLYVFAAGLFGTGVWVIAHECGHQGYSSSKTINNTVGWFLHSALLVPYHSWR ISHGRHHAATGHLTRDEVFVPVTRKDRGAPEMKEEAEIRGLNVSSERQSELAEILEDA PLAVLFNLFVRQLVGWPAYLAVNASGQKHYPKWTNHFTPSSIIFKASHFWQIVWSDIG VVLTLSALAYWTYKRSFSEMFVIYFLPYLFVNNWLVFITYLQHTDPVLPHYSSNTWTF PRGALATIDRTFMGPIGAYVLHGICETHVAHHISSKIPHYNAWEATEALRKFLGPHYY RSDENMFVSCYKSYRDCVYVEDNQDIVFYRNARGVAQRVAVDENGNISDSGVDMSESK I203_03352 MWFNRITSKERTNADYDNDHGKAERKVLRLRGGCCTDSAFELDQ DRKYGEYGKKIRNRDDTQVDGTVHKHRHRAGGRNGAIAGAVAGGGGGGGGGGAGGGGG C I203_03353 MALRKLMKALRHTDKVSTQKNQKQEKEKPLRIRGGCISTANLDD PTSKHYKQYSGHGFEMWGSHMGDRLESLGRSISRGGQQYVGPVLYYYHPPSTQVIYHH YHQCHHDQNLPQYTRTPNHGPQHVFRGWGGAAVDGFEHKQ I203_03355 MASMDDLLATFNHDLRAGPQGNDLRDLHAKLAQTLNNPIPYQHH RPIPPPASSTSSTGTGAGPLPPPAPASSWNTPPPNTSFLFSSSPQPKSFTKGFPPSSS SSNPNVKRESGFFPTTIPERDESSHQSQQANENVNEERPYHASVLPVKADPKETNGFT EDAFRPIWDGKSQDQWSGFKQKGT I203_03356 MRQIGIALVAFGIFFQFLGIMLFFDGPLLALGNILFLSGLPLII GPTKTFYFFSRKEKWRGTLCFFGGILLVFFKRPIIGILIEFVGFIGLFGSFFPVILQA LRQTPFIGTFLSLPYIRGAADKLAGVRQSAV I203_03357 MSIPDNPFQAPTTPQAPALHIETDDTSHSPTSNPNGLASPYSHS SSPGQLDASPQSATVSPPAGPSQATTGGLAPPETGTKTPKRRVQWTSDSHIVQLHPLQ PVPPSPHQLDEGNLEQFRDALEAHRTGSIRRHRPPSSLSRQSSLDGSEDRQGTEDEDY DYRLDTDPPLDRVTSQGSNPEEFADNGMREHVPTYIDPGERDGLPNIPQVPDQDENQR DAAKDLVRAHTGKWGVLRRRVKTSGNVNRAFGSGRSHGVTTAVQDPEKPQDNERASQD AFAARYPEPRRPSLAALSHGGGGGGGGGPGMPGGASILSSLLALYGQQDGMHSGTTSA ASSRPNSDDEDSSEDEAARKRRSGEGHHKGMKGLIGLGGKKSTSSSNSNGTPAGEVVI HDEHHRASITAETAGEPLAPQPSRYSNGERPPPSPGLTGFFQRAKEQIQYHRPDAAKS GAGVFGALIQNTANLSGAATPAGSALAPAARRPGYQLNRYSAPNLNPEEKVQNWRPPS RPSSRTGSRPTSVHSSTAVSRDGESPSQDDLSIKKKAISSDDLVSMRRSENDDSSLTL SSKYQQSGQSQYGKKKPKAPLKLDSLAALPVNALKEGGKQIKSAEKWLLSAAKTPLGT PPEKGGPDYFSRPLTEEERRRKEWEAEKKRRKKAREARKKQEIFIIQHVAAILARQQF LMKLARALMMFGSPSHRLETQIQATAKVLEINAQVVYMPGTMLISFGDDATHTSETKF LKQATGLDLGKLLATHHLYWNVVHDKMSVEQASKDLDVLMTTPVYYNWWQTLIIGAMC SAFITVIGFYGSFVDALMAMPLGALLVGVQMLAARNDMFSNVFEIAIATVISFVSAAL GSTGVFCYTALVSGGVVLILPGYIVLCGALELASRNITAGAVRIGYSVIYSLFLGFGI SIGAVLYEKITGNDVLNASDYTCSNTHGSAPWYQVTPSALWYILCCPAYSFFLSLRNQ QPLWAKELPIMVLVAVAGWSSNHFSSLAFPGRSDMTSAIGSFVVGTLGNLYGRISNGS SFPVTVTGILFQLPSGLSNGGIFNFAAESSDGSSTAYSSGFSVAQQLVSVAIGLTVGL FVSAAVTHPFGGGRRRGAGIFSF I203_03358 MIIISSIHNPPLTPPPASPKSHFVSRTDRLRRYLSFDSVISSNS PLYFPQPVPNLELHSAAASGNVGLVHYALTHGQPVNSVLHGVLPLHAACSGGSVSVVR MLIENGADVNAPRLPRRYSDGKKGTAPSVGTAGSTPLHFAAANGHAPIVQMLLASGAD PTKPDKNGNTPEDLAAINGHDDVVRVLHVYHHLRHQDALNDPANSDKAEPSSPSGSTS HLPDDDDSNVNIGHAWGIGSRKGKERAFSLTSTKSEGAVKVKKSLEGLLRRGSRYSAG GISSMSDYRSVNANDSPRSEASGSMLGNTIPRISTVSDTSSINSDQLQSPVDIDIPGS PAPQKGDNTPLGQIDIGTPGQSSPRSLTRVLSGQSSNSNSTSIVLGSPPNSAGITPPQ RGRLNSTSSHRPTLPSILEKAVHPGQAFRAVMRHHTHDKDQKDHTAQFSPDPSSEDQK SQDSDIKKQSHGHSHKHGFKGLFRRGHSPPSRSPSPPMKSDNAKPIAGEELEEGIARL KRASLELDRHAISINDDQDATPQARQIPFSAPVTKTKFFPDSPTGPPRLPSRGNDVPS STPSSPSISHFNRPRTGSEVIAPSPLANEWAHDEDSDSSLPRAGIRRVQTEIIKSPTS SSPLSPESDITSPTSPTGSRRRSATHSGGLPPPTLSTINKGLNPPKVAGLGWEGDVDL RKVAASELIRRESQRRQAEVDSEMDVEDEEYHDALSPAFEPLDTKSNDQEDNDSTPTP QSGVIPDDTTSTEDEQQGRLRGESVGSLTTESSRLSTPPALSSAQFRMTSINDDPEES ANRRPSSYGQPTTVDGRPRGKSVSSISSTTSGMGYSYAQSTSTPPTSLTTPSALSLAL VSGFPPVPEDEVAHVPPTHRRTLTQRTISSHAEAKEVVKQNESDILQLAQLPPSLDSS RSLAEQLAAYGENYAIERQFAEIERRSNTGLTPTGRSEDGESFFSAESSNRSWGSSRS SERERRRVPSGNVTGLQPRAISNPNPLVPLVSVDAPRSSLPSINSIYDKRADAYRKHM ATLSSVQPLLSSSSSTRHAQTRARQRAVSAQENWLNASRPSRPGSSHSIMSYTTANEL PNPEEGEERIKYPHITNPLPVVTNPVTGQRPRKSSGGASATGHTLPSSHRHRSTSASA SINNPPPIADILSTRYQGMNPPASSTVGIGGVGRYNAAPIGSSTPSYLATTSKPISGI NSTNTGTNPMGISPYVSIFSNRYQPKVPLNDDDSDDENDNTREYTVIENDWRGGHVVR PGELGLGSSTGTGSEGGKKKWGLKRLGRK I203_03359 MSLNQRKQERDFTAEVKALQPEVEQLAKNGKLQEAVDRISLLEK QTRNAADMTSTASLLTLLTRLCWEQSDLDLLNAQLTILSKKHGQLKEAVVRMVDEAMT WLPALKSQKDQGKFNGGKDRWLELVKTLRDITEGKIYLELPRARLTVMLASYHEQLSE TAPKESSSKDHLDVAADLMSDIQVETYSSMHKREKTEFILEQMRLESLRGNWVRVRVG SRKINRVYLKDKDSQDIKLRYYDLMVQLALQDDEYLEACSAYQEVWDTEEVKADPAKE LNVLENIMIYVVLAPHNNEQSDMLHKLYADPALQKAPQHFDLVKCFVTKELMRWSGIE QLYGPTLRQSPIFASGSTLGKKTGIKAEGKKSEEIDNPGQVRWEELHKRVTEHNIRVI STYYSRITLQRLQELLDLPSTRTEKTLCKLVTDKTIYARIDRPNGIVTFKNKKSTNEI LNGWSNDISKMLGLVEKTSHLISKEYAMHEASRGKKVTA I203_03360 MRRIPSQVPQAVSRLLQGNVISQPPTWYIPVLSNPPPQLPPRQV VQRKRPISPSDRSNEDLSYIPPGELERRDRLRKYKSRKQKPERIVYLEDKIRRQFFKD FPFEALRPISLVEDQEIDESRKLDGESWVKLEQRGEYPTVEDTISFVLNVQQTRQIPI SEAYAIATGEFISLRARHEQATIAAEIEARQYGAEFKPDAFERQFNLESKSLSSLIPP SSTRSSDSTKIKYRKQPRWQWSNTIPSFASGSGSNEFTGGKSYMENWKLPKPLETSQG ARQSELLSSIPQIESPQVEAEDTRGQEESDLEFLQAVLGKRS I203_03361 MWKELRTIVDTISDKPEIRVVVLSSALDKVFTAGLDLNSQTELN SPALDPARKAIQLRDHVLDFQDAITSLERCRQPIICAMFGTSVGLAIDLASACDVRTA SSDTTFGIFEVNVGLAADIGTLQRLPKITGNESKLKELALTGRKFGASEAKELGFISD IVGNGGKEVIAAAVEMAKVMASKSPIAVIGTKHLINHARDHTIEQGLRYTAIWNASML QSVDTTEAMKAVMAKRIPKFAPFGNPSSPLKSKAKL I203_03362 MLSYLHSAGLHDSYEALRRETDNEDFQVDDPKARWVGLLEKKWT SVIRLQKKIMDLESRNASLLAELASPHRASSSSSSTSTPFIPRAPARHTLTSHRAPIT KVAFHPTWTVLASASEDSTVKIWDWESGEMERTLKGHTKSVGDVDFDSKGGLMVTCSS DLTIKLWDTSNEYTNVKTLHGHDHSVSSVRFTPDGEKLVSASRDKTIKVWEVTSGYCV KTFTGHSEWVRGVVPSDDGKWLVSCSNDQTSRIWDFSSGETKMELRGHEHVLECAIFA PVNCYPAIRELAGLVNPPAGDSRAKSPGAFVATGSRDKSIKLWDAISGQCLRTFVGHD NWIRALVFHPSGKFLLSASDDKTIKIWDLANGRCTKTIDAHGHFVTSMSWGRALIGGN STNSEPVVNGDSLPGGKKKEEEGSGSGPRRINVLATGSVDQTVKLLFSEGDELMF I203_03363 MASTSTRRIQKELGDLMNSPPKGIQVVPDEDNFQIWTITITGPP NTPYHKGKFKLTADFTKDYPFKPPVLLFKTKMYHPNVDSDGNLCIGLLKTENWKPATK MSGVLQAIHDLIETPNPDDPLVSSIAEQYTTDRKGFDKKAAEYTSKYAT I203_03364 MKVNFSNPATGAQKLIDFEDERKTRVFLEKRMGQEVPIDSLGEE FAGYVVRVTGGNDKQGFPMKQGVLLQNRTRLLLADGHSCYRARRDGERKRKSVRGCIV GNDIGVLAVAIVKQGEKDIPGLTDTVLPKRLGPKRATKIRKFFNLSKEDDVRKFVVRR EVTKKNGKTTTKAPKIQRLVTPLRLQRKRHLRSLKKRRTEAQKETVADYKAALAKHAE EKKTHNAAVKAAKKARRSA I203_03365 MHSSGKGMSASALPYRRSQPSWSKATPEEVFDQIFKLARRGLSP SQIGVVLRDSHGIPQVKNVTGNKILRILKTNGLAPSIPEDMYHLIKKAVSVRKHLERN RADKDGKFRMILIESRIHRLARYYIKTQQLPATFKYEAATASTLVA I203_03366 MSVTSHTSFPPPTPSARSNFTTQSTERDFGSDLTHDLGPDSAFD LDGTGIAGNEEEAVHFCLLAEFDIDAGATLAHQYPYPTGTDEHRLAELMLPDGAHLRA EDWTIFYLGQTPSSAIAPMLSHESSMRLSTESSSSNTTNDDNRRMSVMPLDRATRGVA GSGGGLLYVLNCVRMKEDKKMRRGAMVKAMAICTPNPYIGIYKPLLLLALEEYFLSPS PEILARLFDSANAISTAGMPRLTRYERILLRCSERKDLFEEKFGITEPLSGTKEVFED LNSEPGHGTGSMSESGHGNEGLPRTGSGSGSGSTSTSAPNHRKTLSSSSGVRMVRKGS SSSQQFHLATPPSREGRLTPDMGYGVSATENQGKRKGVPRDTHFFETEARFKKITVPI RIPMTVFDEDVGDYSLIELVQTFSHNITPFPPPYHPHLHTNGSMTHPIILILNAMLAH KRVMFLGHGLPANQVARMVLAACALGSGCGQVLRGITSCAFPYANLASLDILEEFSGF VAGVTNPRFEELPTTWDVLCNLETGKITVSKNLQSSSNNITNTGSGGMTGSNNASSVN AANVGSMRSGRSSETSLGSSSMIKVEDDPSTTSGTPQAKMNSIAKSDCLDNQFMDEIL SATTSHYGESNIRLRFVDYLNRFVRIASHQEYTQTGSTKIGYPSVPYHDGVLGSGAVF ADDQSKQRELWTNSYRVEAWRKTRSYKLFAKDWQSRLKKRSVGFDVQHQIARLRLAKN MSDAEAEAIFGALNNVIRNYDQVVELLTHLPAHWGGLMPIANGLFHRWVGVRENALEL LITLQQYPVGRQAVSSMNYFHRKAFISLLERREANLKRQRELTRQQEEAYTSSTTAHA NGWMAGDSSPNGTVQGGSTNVTPQGVGRA I203_03367 MANSSSEDLFVYRANGSASNSAGPSRSTSSVNLYPPNNTSRPSS RKGPRRGSKDHEAGEVVGEVGLAERGDVLFKAPDDDNDHDNEHDEHSAAAPLLSLTTS RREQLTEEEHELFLAEGPNSGARGTLMDAITNMANSIIGAGIIGLPYAISQAGFFTGI FLLISLAAISDWTIRLVILTSKLSGRDSYTETMYHCFGPAGAAAVSFFQFAFAFGGTA AFHVIIGDTIPRVISFIFPSLSQHAVLRLLVDRRVVILLCTLAVSFPLSLHRDIVKLS KSSSFALVSMTIIVLSVLFRSVAVDSSLRGSSLDTFTLIKPGVFQAIGVISFAYACHH NSNYIYKSIHVPTLDRFYVVTHISTGISLVACLLVAVSGYVVFTDKTQGNILNNFASD DWVINIARFCFGANMSTTIPLEVYVCREVVEEYFFKGKPFSQKRHVIITSLVVFTTMG IALTTCDLGIVLELAGGLSASALAFIFPASAYYVLTTGKWYSWNKLPAGLVAGFGGVV LLLSCGQSIANAWYGEGKAKVCT I203_03368 MGNTSTKPSNNSVYLPYAPTNPYPTYVPPKKKNLLDKLDDKLEG IGKSHDKNRYPNNEYNYGGPGGSSMNYNANPNGGNPHVNGMNGYGNQGYNYPSMHAPN TKYETYKEREKRKRREKAEIIGAMGEVGGALASIGDSGSGGSSRDGGGGGSAACGGGG GGGGSS I203_03369 MGNTSTKPAKTHPTSLPPKKNGLFSRWENRFQDSNKINQISGQN NGTSESLQEARKRRKQKQAERNGLLVEGGSHLGEAGVGLGQLLSTPASTDPSGGGHSA GASACGGGSSGGGGGGGGGHSSSGGGSGCGGGGGGGGGGGC I203_03370 MFFFCYHDEQDYSKDSVLRLRGGGCFDWFDQSTPADISGTGHTP MLPQSNDNDLTSFDDQKTKSKTKTKSKDKDKTKTKSAEGDVKIKDKGKKFKIKNKKDG SIQTIRKNQIDPMVSPYTAGPTAGLGLGGTGSYEPIRRRRFDLGREGEGEQNGDQAQV PREQLGEGGALGLSGCGGGNDNSWGGDGVDEPGLKSVEVAK I203_03371 MSRQPNVDLQMSSMKHPSPLGGGFGSSGGPGSFTPVFETSPQVS PANSRRPSISAAAGSIQTPVPRRLSEVASGRPSFSQQAPPPMSHQVSYFSGGGGPPPT TGGSVVQGGGGGYSGGATLSRRASTASHMSSGPRPIQRADYSGPNTPSLLSRAGSPTL PLGNEHTTAPRAYFEGSGGFSGLDGNRELRQGQFIGSLDCGTTSTRFIIFDKRAKIIA EHQTEFEQILPHAGWHEHDPEALVDAMTECINKAVEKLEWMGWSRKSIKGIGITNQRE TTVCWSRTTGKPLCNAIVWDDSRTLGVVREYEKKLEEEGLDIDDEEEDLKGVPEDVEI GTGGEDAAFGEKGDVVVENEDEQVKSDGDGLAEKVGAKLENLGLAHKGKEAKQGQANG NADVHVHKKRKGKEGIVDVTGIPLSTYFSAIKLRWMLDHQKQVNEAHENDDLMFGTVD TWLVYALTGREQGGLHIMDVTNASRTLLISLKTLQWHPPLLRFFGIRPSVLPKIVSSS EIYGTISESIGTPLTSVPIAGIVGDQQAALVGNKCLRKGEAKCTYGTGAFVLFNTGEE CVRSNYGLISTVAFQAGPDAKPVYALEGSIAVAGSAIKWLRDQMNLIEESSDMDILAG SVADTGGVYFVTAFSGLLAPYWDREASGTIIGLTSYTTSAHIARATLEAVCFQTRAVL DVIEKESQTTLETLKVDGGVTNSDLAMQLQANIGGFNVARPAMRESTALGSALLAANA LKLFGWDLSRPETLADVNTAGVHVFESELEEKDRKRAIKGWERAVSRASKWHEEGDEE EEEEKYEEQRGLSRLPSRQH I203_03372 MPPRTSRSPAYGVSPKQKWSKSLPTSNHPSSHSSSFPLSSVGMI PHSVPRPIRRPKKILYLMVIFFLLYWFGIRHGLGIERIPPPPLGFSVPGGRRGRRSSL FWGNKGTATLLPPIQGLKPEHPVYELMEKAETRWTNLLNSQSKTLSQAVNEYKRRYRM SPPAGFDEWFAFCQKNDIKIVDEYDQLMRDLLPHHALPAQTFIARSKALEGTGFTYTL DISKQGVALTGERSNAARPKHLQGLIGGFVDDLPEGFYLRVTGSDHDTGSVVLGKDQR ERAMTLVREGNHFDETELKALEDPNRTPAWGWFVSNRPGTCHTAILSLGSSLMSMLVA KSFIFDHLPTMDFCEYPELKRLHGAMSLDYAHRSPSILKPILVLSKFPGDASFQTTPM EAYMNITESDVPYLGSWEDKTDNRLFWRGSTTGGYGGQRDWKESHRMRLHLMINGPKG GDTWWNQQLREIMVPDGEGGYKVVRRWERVLSKAYADVKLSGKPVQCPNADVCQQVAD TIEFGERVWPDQAAAFKYNLDVDGNGWSSRFHRLLSSGSPVIKFTMFPEWHMEWLTPW YHYIPLKPDYSDLYDIMAFFVGPVDEAGNIDTTKGHDYLAQKIGEAGQKFALEHWSWV NMQAYTYRLLLELQRLHSVDRDLMSYKEPEPRKQG I203_03373 MQEQGTLRLRGGGFFGLFRRRFYTMGNPKDSFDTPSITSALIQL TDVLIDRRNNLTPTTTPVSSSETQSELEKPYKSLTPPEPKAKVKQESKAKAKAKKAKT TTYKHSTVSDRNNGTGYGSGYGYNAGSYAIGYGTPYIPSCPPTDLGNGGFGHGHGQSH GNSNGCDSGGGGGHHGHSGDSGGAGGGSSCGGGSSGGGGDSGGGSSCGGGGSSCGGGG SSCGGGGS I203_03374 MSSSTENANANVNAAEDEEKEKKRDKDGEKQVNIDPTPLSGPLA SSSSTPPPNPHLSHPPRLIHVPPTAPKRDHISAGEYASRQRELFRHKQAMKDNSNTYI PVTSSTSNTSAPKLNSNREIIWCDQPNTHPPSCCCVITQDKKDEDRREGWIQWDQVDL GAFYNLMQDFSSDGNGGYCDCHGHPVNLCSSSYDSGGGGMDASGCSGGGDSGCGSSGC GSGGGCGGGG I203_03375 MSGYPMKAQPTQQSTMSRNEGSKVRREEADDISIYSFSDEHSGG WLCCFGRSSSSKKQKKSQNTNTHSNQNQPVYQKSLPTPQTSPALSDQGYNNTVFQPYN TPTTLQ I203_03376 MTFQPQPPSPGSSSTSSRDRYTFNIDEKQSRQGNVSKHPYMSEY RERQGSASDESTGPYDHLAHRPRKSFLKRLTSCFEFDDSDPVARYRPRHEAIFGKNPV YRNHQIQPQNDTQSKMIPSETQAPNSFAGGMTGGSGTGGGAC I203_03377 MASLTNGQVTELQQTEDYAIKSEAATPKLDTSQWPLLLKNYDKL LVRSSHFTPIPTGVSPLKRDLQTYVKSGVINLDKPSNPSSHEVVAWLKRILRVEKTGH SGTLDPKVTGCLIVCIDRATRLVKSQQGAGKEYVCVVRFHDKVPEGEKAVARALETLT GALFQRPPLISAVKRQLRVRTIYESKLVEYDDKRNMGVFWVSCEAGTYIRTLCVHLGL LLGVGAHMQELRRVRSGITGENDDIVSMHDVLDAQWLYDNTRDESYLRRVIRPLESLL TNFKRVVVKDSAVNAVCYGAKLMIPGLLRYESDIEVNEEVVLMTTKGEAIAIGIAMMS TVDLASCDHGVVAKVKRCIMNRDLYPRRWGLGPKAQEKKKMIKTGKLDKYGKSIDGVT PQDWNKEYVDYNAAQTEEGGLVPTQPPAAATITPIEVDEKDTEKKRKRATESEVAATP SKGDGEKEKKKKKVKTEDGIEREETAEERAARKAAKKEKKEKKASA I203_03378 MSSSLRFPIYKISSLISAIRFCEFVTSSPTPFHAVSNLSSKLLA SGFKSISERSPDLNQFQPGSKLFYTRNQSSIVALTLPSHPSKETSISFAVGHLDSPCL KVRPVSKRQKSGYLQVGVELYGGGIWPSWFDRDLSLAGRVIISNKSANGPSYVSKLVK IDRPLLRIPSLAIHLDRSINDAFKFNKETEFLPILGLVADQLNDTGTGQGPSRTGTPQ PFSGNSTPKENEDDVAKMETKHHPLLLAVLADELGCEVGDIQDFELSLYDTQPSTVGG LSNEFVFSPRCDNLMTSFCSIEGLCEAAGSSSDNTIRCVILFDNEEVGSVSHHGAESN LLPSFVERIVSLPTYEKIGYHNLLANSFLVSADMGHAVNPNYENKYETNHSPKINGGI VIKTNANQRYTSNAQTTFLLRRVAKKAGVPTQEFEIRNDSTCGSTVGPHLSTHVRTVD IGLAQLSMHSIRETAGSHDVRYYIDFFKTYFDVVREYDLDLKVD I203_03379 MVTDQILPYHSTNKRLLDEVAAVPSKRLRNKVAGFTTHLMKRIQ KGPVRGISFKLQEEERERKDQYVPDVSALAANAESPLEVDSETKDLLKSLGFDDLNVN VVNVSANAPRERKTRFVPGSGRA I203_03380 MSQYNNNTINPSFLVPPLPTRKQVPSQKPRLDTTNLIPNLINSD RPHTAVSRPAPPPLHLHRRAISSISSLPVIPSASIEPSSMSYQWPPQSGYDSQNYNNA VQQSSQTSDEKEPLNLALGPGQGVLDLMGMHQSGLSNSAPSTATTSNTSNSSGYSSYH DGASYSYRPSLNVDTSNSSFITPRTPVQFPPNSAYSSNPPSGFPTDSISDGEIITPGL PIQFPNGYNTFPSNTNMTDFYPTNDKPAVSFQDFQYFPSQSTYQSAQQIYQPQSQGTI SPSQLGNTQNLKPTKSFSDLLMGSRASSSSSSSAEGQHDWSGNVLDEWTRPLGKALNH DPQSSSAIDYSNLAGPSRNPLSSLPSVQVSPAPPPGALDDAMRQYVHAPNRLAFGERK LIVMSPKVGQKSYGTEKRFLCPHPQATLIGGSWWNKAQDGCPVSPLVAPRINISLTGE QPVKDAAVSWTAVDGTNLDEKINTQALNTEDQPFVGNVAGKNLHISDNDGKRREVKAL VTIKAPLKVFAGPNGWGISKNTLKDISDERTLGIFESKEIKVISKPSKKKSSAKAGEM IISHGSTIALFNRVKSQTTSTRYLSVVPDFTRITGSDGLPVTGAQPPVYPNQRSTFSG FTADANNWESFIIWLVDPNRPGGPSLAPPPHPDWPSPPANIIAPSMLVPPIRYNSTVV LQSLQTGVISPVLIVRRIESDADAVGMDGHNADIPSALPLGEYAGDLVSQLQKVAFEL YRTDTMERLANDPRYGGFWLACVQEAVSDQLIAQERKWSNVQISHPSMHLPSTPSSPV SSNSSTTFDYFGTHSRKSSTHSLMSPNMPEVPLPPSSTDGGPVRKHRTGSMGKNVNGP FVRPLHKKRGSSSGSLEYLPSPAMTSSPENHRMQWTMDVGDSCIWSIVSTEQTTYTFY VPPYAQEPVEPYAPFPIANRMLPSNLSADNGPARYNQQYTSHTDTPLMTLYGKNFVRA PDGHAHHVVYFGSTPAHYNEVRCHEVMAAAEPKLPPGTRAPIFIVREDGGVIIPTNLT YPPT I203_03381 MPMSDRVEKLKKSSKAKTARQYAQQARNEERVMRLRGGCYSTRG VEGGTESASRSGDRGEGDGENGGDDGAELASAPPPEHNHSHTHHSHGGSHDHELGGSH AHTHLAAPTHDQGITSHSPHDHSSSSAFGGGGGLSPSSAGGMGGGMGGM I203_03382 MRFKVFSRSRSNSTSDADTAPHTDTDIGATARTETRTAGEYSKS SRSVLRVRGGCCSTRGVTVIPPIIYSTPFVLLVLMFDFLGIRRWGNQGQYTSGGRSSQ SRSGNCGGGGGGFSGGDGGGGGCGGGGGGGGGGGGGNGGG I203_03383 MLIPSLSLSLFLLPLLSSAQDIQRPQTTRTCLPSGNEEPINAAF SRGGAGTTVTLCSGSVHRLNSSILFTAEKQTLTTENDEKGLNRAMLIVEGPGQSAAIR ADCQQCSYATIKSLIVDGNRPQLLRIPKGDALIEVGNAERQVVRDCKLYEPRGWSALH FREGDRKQCRYGKVINNEIGPCGEEWDDDYDGQNELEPPFGNPRSDGISLACKDSLVE KNIVYDTTDGAIVLFGSAGSEVRNNHIYSRTRVVLGGINLVDYDPWQGDYMLVNVHHN HLHAFGRFFTGGIVIGPSTWSDDTESTVHSGSVTDNYLEGDHFGYGIVVSSATSFTVL RNTVHDDAKFRGVNGARCPKAPENGKPTAFLINRGSAKGTFQDDFVNGEVQHIICVNM PDENGKPYKPWRFRDSSQAIAARAASGDSSDPVVQAAFDARIAEALVSYQFALTSAMD IINDKIEKITNPINEEDFAVPVYKHEHEITAENKQKKKLTSSGNRDIDELSNKLEELE QSSRKVKKNFDGLKLDFEGLSGRLKKGADDNKPIIESMFIKVQNILSGTTPLISGGSS GSSKHFIQNGNSTVRSSGTFDSIPFGIFGAVVGSSAILLAASNLFKKLRKRRGKINKA I I203_03384 MSGNNEAGPSTSVPSFPSGGNDSGIPVQPSKIAKNARLPATMIN PAGQIQKSQKKHSKNKQVSKKQKARNEKGKERAIELSEKLGNKVKEREEKKAKRQRAK KAWE I203_03385 MGIVESIRRRSSSFKGPGLLPPHQQVTRQGILLLLLQYPNTTST PSTGEPNCSQSMDLGLARIKSNFSSLSIKLKSHKRLDLNLNQDQDQKKKCGPEGFRSF VQNAKDQNPNYRKEKLKIMKPVSSKDGFGWLMNRKRNDWVDE I203_03386 MAAISRHQDLPVILHNDKRGLLTVEDGRLDVLQLSRDGRPPKRL LSTPIRNFLLAKLSNDKGKGSGQNRRLDLHTLGNPSGNSTSLKLTKLHVLVEPINEPE AEEWCDNTMGLAYRGIKRDKKVLLLVNPVGGKGKAKSIVHDIVLPILQATECQIDLRE TTHRLHAEEITNSIELDYDVIATASGDGLVYEVLNGLASRSDAKKALKIPIAPIPTGS ANAVCTNLFGVKDTFNIPLATLNIIKGQTLPIDLCSVLLLPSQQRRFCFLSTALGLMV DLDIGTEHLRWMGDNRFLYGFLRGIVNNKNCKTKIQLKVVEDDKISMARKARDRVKQR LVKDDKVWGGGTNPLVNGMNGLNVNGAIGTKMSEGDGTKTPLPGDVHANGNGDASAGT NNMINEEDGEEGEGNEYIPDHGTIPEAKPLKPDSTWITIDSSGALPKSTLKRSSIGSL RGNRSSAVNDWIDGEGVLYFYAGMMPWVARDLMQWPVSISGDGLIDVVVQSIVPRMMM ANAITGAEKGEAYWLECQHYYKVSAFIVENLDKANQPIFTIDGESFPWDTFHVEVHPR IANLLSLNGDFYVSDFLRKHDEK I203_03387 MSKQHDTSAVTPHRPNRPSKISSGSGSFAISLPASYTQDATPST SSSTSSNKARFSTLSAAYPLKLLTPSALPSQPSSLGILYTLAYGGGLVSGDLISLRGE VGKGCGLVMLTQGSTKVYKKRPGIRPKSSNIKIQGEMTKQRLHITIHPEGLLLLLPDS ISPFSKSKYTQHQRFVLPSDRTGSALILDWVNSGRGLQDQQKETEIWSMDYYDSTNEV YIGDELLMRERMVLDNQHSTIITGNSESRMARSLAPYHVYSTILFIGPKFQKLTEYIK YKSNNIRQYQLKSPQELIWSYSETDQKYNAGVIRIASVEIEQTRIWLREVLEFAGIKD LVGEGIWPRCL I203_03388 MWRPGIAVSYVTTWLTRVDEHEVEWTASLTGDFPSDAFDHQLNS TLLSSFLLVTHLSPRQHLRYLTNSCPGGAVVAQDVHQNHNDSGYVPCLPLPSFVTGEL TSPFIGFKSYRDQVAVDPFSPAHNVVVGRNGSGKSNFFSAIRFVLSDQYTKMNREERQ RLLHEGTSTATTLSAYVEIVFDNSDGRFPTGRSELVLRRTIGLKKDEYSLDRKSASRS EVDQLLEAAGFSKSNPYYIVPQGRITHLTNMTDKERLRLLKDVAGTEVYEQKRAESTR LMEETDTKRDKIAEILVTIEDRLNELDEEKQDLKDYQEKDRERRCLEYALHQRDLEDV TVALDKVEEERREDIHDSNTKRKEFNDKEEQVQKYEESLTTAKHALSTTQLALRQYEA EMADLVRARTEIECVIADFTTAGEAGEQRRAEVAEELRSLEERIEEASERLDELIIDA ERRIAEERQARETLETTQSKLAVLFAKQGRAQQFNTKAARDRYLQGEIQSLRDYETDQ QTRVDTLRTDVENAKDQLTEVMARSRERSRQEDERRDNLRRMAEEVTTLRGNLDGMQE RRKELWREDGKLGQTVSNAKSEMDSAERSILGMMDKDTSNGLRAVRTIAKRLNLDGVY GPLYELLEVSDKYKTAVEVTAGNSLFHVVVDNDDTVTKLLEVMNREKSGRVTFMPLNR LKSQQVIYPKANDALPMIQKIQFDRQYIMAFEQVFGRTIICEDLQTAAQYTRSHNLNA VTIEGDRVDRKGALTGGYHDVRRSRLDAVKAAKKWRTTYETDSERHREVKEGIAKLEQ DISRAMGQIQVLEAKRKQSVDGRNVLATQRDLTARDEEGAKERVNKLEQALEDAEGEL RDARSKRASYEEESRTPMRQRLTDEEVTQLEDLTQDAEEQKKALLEATQARTKVTGER NRLEIELTESLRRRREELRARLDQMEGDAGSGVLQAGEVELRNAELRNLIRSIEDLSE QVTESENRIEEVTSEITKLTENLDKVQSEQLENTRAIMRVQKNAERYLTKRQTLINRR EECNNKIRDLGVLPEEAFTKYMDQSPNKIVKRLHKVTEGLKKYAHVNKKAFEQYNNFT KQRDELLARREELDESAEKIEELIVTLDQRKDEAIERTFKQVSRYFEEVFEKLVPAGR GELVMQKRIEGYIDEESEESGPVGREKSEIDNYTGVSIRVSFNSKADEGQRIQQLSGG QKSLVALALVFSIQKCDPAPFYLFDEIDANLDAQYRTAVASMIHDLSSKAQFITTTFK SEMLAQADKFYGVIFDSQKVSNIVVIDKTSASDFVETSAQVGQI I203_03389 MDEDEVASILGETSTNNPPPRPGVPRRGENREFDTYFDGTTAEY DPGEVDFQELRRAAWATWRTRPPAALGGNSSNRVEEEDDELSRVLALSAQEHFSRTSS RQPSVVVDDDDEDEELKRAIAMSEEDARAPKRRKREETPEEERRMLAEAMAASLAESE PGPSNDSPQQSQTSTIVSTQSSTASSSTIVAATPSETVKAPVLKIGGQVIDRAQLERE RRERQAARQAALSGTPTPITVTTMFTPTPTPIAGPSRIAGMSSITPSVSSAGPSTSRA NQSSSIHPLQSSGPFPSDAAGEYYPNGELRHVALKIGNSTTERTFSPAQIVGNHSQIS LIIMSSFVIDDQWVMDKNILPPPEEVPTIVVRPHPKDKPEYNGRIQLHPNGEMWVYPK MTTGFGSAHMKYFWIFYKTGRLRSVISTANMVDYDWEMIENTIFVQDFLPLPQPNPLR SDLRTHDFPLQFAHLFTHTRIHTALRSLIRAHPHGSQIPFTPEDNFGDLAKYDWSKVK VRLVISIPGTYTGYEQINKFGISRLGKVLNEEGWAPKSGEQLDVEFQGSSLGTYSLEW FSKFHSFISGKTAQQISNRSKPNAWPEIRILFPTLANVEASQLGKEGGGTMFCGKGFN DVTRSLFRDSRSKRGRVLMHTKMLIATFEPEENKLGLGKSSTPAKSAKRKVDELKDEV GGWIYVGSHNFSPSAWGNVEFKKDPPTLNIKNYEIGIVFPLERDKAKAQADKVAPYVR PAQRYTAGDVPWDLNAHR I203_03390 MSKSFSILGKNLKANTAADLQPYLSELEQMKDVEEVHFGANSLG VEACQAIAEVLKGKKNLKVVDLADIFTGRLISEIPQALSALCDALSSSTSLVELDLSD NAFGGRCADAMVPFLENNTHFSIFKLNNNGLGPIGGSIIAQALIANGEKCEKQGNESN LRVLVCGRNRLENGSSKDWKEAFTKHRGLKEVKMPQNGIRMEGIKNLSEGLSHCEGLE VLDLQDNTSTKIGTRAIVKHLPTWKNLKVLNLSDCLLGKSGGIALMSSLREGTNTELE VLKLQYNELDKTSIEILSVAITQHLKGLKELELNGNRFAEDDDCVEELKKALEVWGNE DALDELDDMEEPESGEEEEGSEEEVESEDEEEKEEIAKEEVDGTAKLPPVTDKQTDDL ADMLAGAHIEAK I203_03391 MSGIAPVNPKPFLQDLTGKTVYVRLKWGLEYRGYLVSTDGYMNL QLANTEEIENGKSNGALGEVFIRCNNVLYIREAKDKVRDD I203_03392 MNSSTFLLENIKSFIHLDCISPAYFRNQGITTNMSGFAGFGQNN NNSGGSSGAFSFGAKPAGANPPAGGSLFGQQPASSSQPSTSLFGGGGSSSTPTTQPSS GAFSFGNSASTTQPASGGSLFGGGSTTPASPAGGSLFGGESAAAAPAPASGGSLFGSK PLGGTGTPGTSTPSFSFGGATNTQTSTSTPAAAPAPAAGGSLFGGFGSSANNTSGSTT PASKPAFGGFGSTTPAAAPPASTSGGLFGSKPAAPGGSLFGGSATSGSTTPTTAPSAP TGGLFGAKPADSTTPSAPASGGLFGAKPAESTTPAAAPPAAGGFSFGSKPANAPTTSL FGSAPAPAASTPSDANKPAAPAGGSLFGGGAGGSFFGVKPAESPKTDSPAPAPAAAPA SGSLFGGLGGAAKPAEAPKPATGGFSFGNLGGAKTDSPAPAAAPASTIPAVSEAPKAG GFSFGNLGGTTTSTADKGKEAAKEPPKTGGFSFGGTSSTTPASAPATAPTTSTEKSAE PPKSGGFSFGNLGGTKPAESSTAAPAPAATTGSSLFGGAPKPAETAPAAAAPASGSLF GAKPAGTPAASTSTPAAAGTTATPAPATSSEPAPNLLRGKTLEDIVDGWNKDLDAQVK EFEKQAGEVREWDKVLVRNGNQITALHRQVLEAQQNQSAVDQALDYIEAQQKQLESIM SVYEKEISKLSNDSTRPLAAKLPADREREKSYTLAEDLNKQLDDLSRNLGQMIEEVNK LSTSSSGTSNTFGLDVNGTPSATVVDSTSQLPDDPINQLSAILGAHLRALNSIDSNAG RLEHKVEELESRMGASGNHLGDRRGWGLARR I203_03393 MANSTLRGAKSIHGGNPQYLIEKVIRARIYDSLYWKEQCFALTA ESIIDKAIALKSIGGVTDRNTPTPFISLTLKLLQLQPEKEILIEYLLAEEFKYLRALA AFYIRLTFRSMDIYEILEPLMKDYRKLRVAHSGGYSLTYFDEFIDDLLTKERVCDIIL PRLTQRDVLEETEGLEPRKSLLEEEEEEKEARSDVSSQRYISRSPSPDLRRARSRSRS GSISSDGSDRHRYISRSPSRSRSRSRSIDSGEEGDTRDRYISRSPSVSPDRLLVDQDE DDERLEGDV I203_03394 MSDSGGPAGDDDIGLPKATVFKLIQEMLPEDIACAKEAKDIIVE CCVEWVKLISTQSNTVCDESSKKTISPEHVIEALKQLGFEDFIPEVEESNKDFKQSQK ERTRAQPDTNGMSQEELLALQERLFASSQARFEAGQ I203_03395 MQKAHQDDATRRIVTIGRSLPHTYRPNGLTIPSATEQFIVDTFT KIGEDGIERAVDQPDQLKFRMLIHLGRTAIIGSRMFLPPSQLGMIIDYTPDTLPQNMR HALCEYGKEMWTFRKRKDGHPTARAVNRYNGQTRGFEYLTKPILLTPFSLLDTPFGKP LPSTIHLISYPAPRIEGVLDEVQALKQERGWNPIIIWEPEEESSEVIRKVAKDIDIIG PNHHEVLRLFSPTIPSSPTEADLKAVYNQACKNLVLLQPKIGVVVRCGHLGCCYSPSS TLGLEPKLKWIPAYWNSHRKGWNDGRVVDPTGAGNAFMGGLAAALDSGKSLDEGVIWG SVAASFTIEQDGLSTLSQSNGKELWNGEGPWERVRIMKEDLGVI I203_03396 MSHQNKRQKNGSAIEQPAAGPSKPTPRLFAPFRALGHVTDHVPY AMFVHTPQGALATPTVNITTSVGRSWLMWDAARMTLVFAGPDAGAQINSLAMTGTDIY ASAGARVIKYHRGKETGYYLSPDQSILGKMLIFGDELLVLKEDGTGMVIYDLNGKEIK NQITFHTSFTATHIMHPSTYLNKVLIGSQQGELQLWNVRTCTLIHTFSHPTPASASPI TAIVQSPAIDVVGIGYLDGSVRILDIRQGDLVMQMKVEDGAVSGLSFRMDGPPILATA SSTGSLATWDLSKGGRILHVLRGAHDQSITGLQWVAGQPLLVSSSADNSVKQWLCDSP TVVPRLLKFRGGHHAPPTCIRYYGEDGKQILTAGRDRSLRYTSVVRDSRSFELSQGSL IKKAIGLGVTVDHLKYPQITAIASSSIRSKDWEDVVTAHADDAVARTWRVQEKRLGAW TFEMESGVAQAVTVTACGNFALVGSSTGDIRMYNMQSGKERRSFSLSGPAPGDSKPKI IAQSQTAKAKAVKQSVNGIVSKTLEAITGIVSDALNRVVVASTLEGKLYFFDFHTTQL IHTAQLSTSITSMSLHRDSGLLSLICDDLTVRLVDIETRRVVRELRGFKGRILDTVFS PDSRWLISTSLDSTIRTFDIPTGRLVDAFKTASIATSVTFSPTGDFLATAHVDSVGIH LWANKAQFSEVALRHIPEEEDVPEVGLPSVQGLEEDAAIEGIEDIGAPEFTDIYTTPD QLAEGLITMSLLPRSRWQTLLNLETIKQRNKPKEAPKAPEAAPFFLPTVSGLETRFDL SAAQEKDTASNGKNGNRLDLASGWLESELTKRLAREDENGDYNSFFEYMKSLPPSTLD LEIRSLNSLDHLNMFLASLIGRLKSKRDYEAVQSILSIFLSVHSDLLISNGSSQDGEE GLGEKLKELKIEQERESRRLRGLIGYSLGTLSFLRGT I203_03397 MLNTSAALQGGKMSREEFRRQKDLDAARKAGTAPAAVDEEGNAI NPHIPEYITKAPWYADTGKPSLAHQRIGKSDGPALKLDEWYERGQTAGPAAKKYRKGA CENCGAMTHKKKDCLERPRKKGAKFTNKDIAPDEVIQNFQSDYDSKRDRWNGYDPSSY KNIVDEYEATEAARKKYREEEIDNQTSTADMSTAKKLAKKEKKENGGGGDDDDFGSSD EDEDDEDKYAEAADQIGQKLDTKTRITVRNLRIREDTAKYLHNLDAESAYYDPKTRSM RDAPVQGMAPEDMKFAGDNFQRYSGDATNIQKLQMFAWQSSQRGHNVHMLANPTAGEL LHKEFQEKKEVLKDTNKNSILARYGGEEHLERLPRELLNGQTEDYVEYSRSGQVVKGR ERAIPRSKYDEDVYINNHTAVWGSYYDLSSGQWGYGCCHSLISGSYCTGEAGKTANSS SSVSALLESSARVKEIEEKAEKERKSLAEQHLEDLASGKKDKGKEREAPKYGSRPDDL LDDDKVDLDKEKLRKALEQEKKRKGLNEDDAWEQNKKSKTDVTQEEMEAYRLSRQGYE DPMANYKDTGDYDLV I203_03398 MWRPAARTTGTNDVPIGNKRRFGLPEEAPPPSNPPSHAPRPPAS DIQFFNGRQDRERSDRDDYGPRDDYDRRRDDYRRDDRDRYGGSGGGDRDRYGGDDRRG RYDEPERGRERDAAGEEGPRKRRSRWGDAKVDVPGLPVAITGKVSQAELDNYAIHVRL EEINRKLRTGDVVPPEGQRSPSPPPSYDAYGRRTNTREIRYRKKLEDEKARLVDRAMK SDPNFRPPAEMQNRRGGGKPSDKVYIPVKEFPEINFFGLLVGPRGNSLKRMERESGAK ISIRGKGSVKEGKGRPGDFPEDEQDELHCLITADTEDKVKGCVALINRVIETAASTPE GQNDHKRNQLRELASLNGTLRDDENQLCQNCGEKGHRRWECPQQRVYSANVICRLCGG AGHMARDCRGRGDPNLAQNKQTAFDSEYTALMAELGEGGSGGAASGRPAGAIGAAPPA QDRVPPWRVPENWISHGPGGPRGPPGGGGGYGGPPQQGYQQQGYGQGGAGYGYGGGYG GQDNGYGQGAPAGGADPYAAYYASMGQQAPTAA I203_03399 MQLPLHTLFTILLFLTLQSPISAFLNLSFLSPSPRAIGTSFKAD KPSLPKRHLSSSRRISKPKRFGYFSFSRHPKSLPDWDPLEGVEGVLIHRGIPYQAVTA LKAESMAIDRHPNDDAAEHEDKEGELEERDDDDDDDAEEFMLEDCEKRQENINLGTIP QEELNLAQSQEGIENNDQLNVDDSTDEGITRKHNDKILWSGLESLLPLEKGILKKRHS FQSGGGRNYHLGDEGDEGDYGSGRGRKGYGKHANEYDGGGGSGRGRHSSQDQGGWNDS PQSHRGGNMNGKGRPSSGGWHRGGSGGGGDGKYQEDWERPYSSSSNNHHGYGSGRNDD DGNEGWHHGDGSGSKGNGNGNGKWDDGQYHTWKYNSNTDSDWNHHPSSSDWNDHPWHS PSNPKAESDHGFSSQSSSNDHRSDCMNLANFYKLAQQNGGEWLRHNGWSSTATNGDEV GDCCEWYGVTCDPISRRVTALNLRRNGLEGNLARSLFNLDALMRLDLSQNTLSTLPDK FDSLPRLTHMNISSSSIPSSIPSSISTSASLINLDLSNNELVGNVHLSASMLKSVDLS NNRLTSFSISPNGMNTLSKVVLSNNEFRGELPDLSGLRSLQSLDLSYNNTGPLFDISN LTNLTRLDVRSNQLTGSFAALPPSIQSLYLSSNQFTGPIPSLPSPKGLTSCYVLPNNF SPCPSKEDLSDPNTLASKCHLKTCGLQQPTTTVSSSSTSGGGTGATIDASRVSVTTLP NSQKGIPVNPLPGENLNNSQNGGQPVAGVSSNEWQGLKSKNQANQLPQTQRLGSNKLN SNGSNTMNTQGQYTIVVGLFVSYVLFHFGVL I203_03400 MPSSNSRPRPKLPGRSYSNLHDDPPSDATKADDDAVDDVYREVN STGSLQAAAKKLEQYARFANDPEESGYLEQHDIQEDVIMTDEDTDDELSPVSPGKQNS KGEVVHLQEVVDGLWIGDLVAAMDTEGLQQRGITNILSLLRPALQFSSEFSVYPLEID DSADTDILTHLPSCVAWIQSILQLREKYEATNGNEEVQIERVPIISKLAGEPKNGGVL VHCQAGMSRSATVVAAFLMREYDLDPVQAVVALREKRPVVDPSETFWHQLGLFYNADG RVSLKDKSTRRFYMERTASQFMNGDGGAPVIDQMAKYPLTPSPSNPPTPMGGHGRRKI RCKMCRRQLAVREHMMDHILDQQPISRPRTPSNVTLPSPKLSTSSNFAPASGSAAKER ERRASVVSDVINPLTGLPGRRASHTSISSLSALQTTSLDSSSKDSQSSQPSPGVGIPL PRKSSTPGLTLTASSPETGNHSKLSGEKEHMPSIIGKLENNSSPKLVSSSPKPISNAP SPSLDNQPPASGGRVFQSAEQLNSRLPPQLLALRMAGSTPTSQLSSPIGSSPTSSPEK EHHTISQQSYPPSQSQNVTNAARRMSMLAMTPNTPDGLERRGSISDVQGGSGSGGYPI LVNNKCSGYFVEPLTWMEPVLQNGDIAGKLICPNEKCGVKIGNFDWAGVQCGCKEWVT PGFCIHRSKVDEVW I203_03401 MASAMRSVLSRGYATASAVKAPIQLNSLTGTYATSTYLAALKKS PKDLESLAKDIEAFDKKIKEDAKVSAFIQNPTLSASERTKALSSVVPSGSSPILLNLL TVLSENGRLSSAPKVFADFGSLISAYRGELEVVVTSAEPLDNKALNRIDKALQGTEIA KGKTLKVVNRVNPSVIGGLLVDFGDKSIDLSASSKVNRFNTALTRE I203_03402 MSTTLPLFSLLPNGGGSYPPSPTFSDPSFSTGYDGGFDLKNGGL GNYSFPTPPQTSNTPSTSSFSSRHHYPHQLIPPNFTNSSPFPGGTPDGDDPTSSFLDL DLSQPGPSTYHDHHHAHSHGNAHGGQAHPASFGEDYLQDDDDDDDDGGIHQNGHGLHN EDDELVKIENENEHENQDDLTHGNYDGEEPLEVDGEVDNEEPLYVNAKQYHRILKRRL ARARLEELNRLVRSRKPYLHESRHRHACSRPRGKGGRFLTAEEIEQMKKEEESKIGDN GGDDGVSPGQAGVDIGSGLTTSV I203_03403 MAEIAPDQVSPKTSQEATVPSAASPTTGANANGTNENGEHPLSL RSLVSTKEAGIIIGKAGATIAHIRNLTGVKAGVSKVVPGVQDRVFSVSGDLESVSSAY AEVARLLLETPLSDSSLPPPPVGSFTSIRLLISHNLMGTVIGRSGLKIKQIQDLSGAR MVASKEMLPQSTERVVEVQGSVDAIKTAVAEIAKCLQEDFDRRAGTVLYHPGAAGDAG VLAGGLGAQAVTGPTGGIRRTSVAAGFGNPFPTGERRGSAVPRASISGAAGAGVGAAL ADRRQSENPPINLNDPNLRTQNISIPSDMVGCIIGRGGAKITEIRRLSGSRISIAKVP HDETGERMFTIQGTPESTERALMLLYSQLESEKERREYQTSRTL I203_03404 MNTLSRLDYYLSSFISFPSSSSSSSSSSSKPSLNRTVTPPGSSS SITGVGPLSTPRLYNLPPTPRSKRRHKTSSNGNPTRNGVGSVNGRRKKVVTTYSSIKV PPPTTPLILRIALVLWSILLAFWRSLVGETRSGRSRSRSRSKRNKTTADGLRELGESL MVSAGISSPSVPVPDNQVGKLEGEVEQEGSSSEIERDLLETNENETEQEEGEGEGEED WIDPLVTRAPSDQPSLDKPPPSEDDFAITTINDHNHLEEKENEDRNPKNFTFRLKSAP NTTPSNNDTSIDSENPLPPHHRQSITNLQKPLISPPTSILSNPTPKIVTRNDQGKEQQ GQVKPKPEKVRKSGILANPISTSILDPSVPAPISKNDSIIFRTKNINPTSTSSSTSSS LLSTTNAHGNGMKIPRHITTPFHLQKTLILDLDETLIHSTSRPLSINAGSSGGGGILG LSLSGLMSTNSKNRSKGVGSREGHSVEVVLNGRSTMYHVYKRPYVDHFLKKVASWYTL VIFTASMPEYADPVIEWLDAGRNLFAKRLYRESCHLQPNGSYIKDLALVEKDLSRVCF MDNSPVSYNWNKANALPIEGWTSDPNDEALLQSIPVLDSLRFVNDVRRVLGIRGFT I203_03405 MSSPPNLLSSLDLIPGLNSPANIVSPHSSLPSSPPLPTHHQRSK TAPPPNFSPASHHKALPSSSSAKLDGSPIIDTNSPHHSPPLASSSTETSPNHASCLRR TPSSLSTGSSNGHGREKKRLRFTSLSHKHAGPSKSASTSAAGDVIFPGRAMEEGQYAS KSTKGIPRDQVDYLMSDPGTPNLSETADQIRRTLSLASLDSLLLLSTNPSGERDKLIA EVSKAGKDLVWRSQNEKKLLPRDPERAGILALKRGLRSFLLAFSVRAGINVLLALFRN LRNKKLRLALFRHAIFGQEPFRFGAMLGTFTFLNTLTLHMLRLAPPIGYYRRRLKHGL FNRPTFGPPEREGDEGERRWQAAVAGAVGSLGLLWESQSRRTGVAQQMFVRGLQASYN QYTPRLGIHIPHGDLLVFGACCGQIMFAWLCSPETIPREYSAWILQASRVPGFAVNAN RTLVRQKIIEPVQVKKALEHKAITPTNRKALEQMLIKLKEGWKPSVVPCEMVHPWVDS CPETNLRRFFAVFRFMLPVYSALHLIPMLVLRRHHVQRDPLKMLARVVWGITRSCSFL GVFVFIYQTLFCLRIQSVEQGWGTNFLRNALKRKETFWLMGFSTCLSLLVEEKKRRAE LAMYVLPRALESAWSSARKRAWVPIVPFGETILGAVAMGMVMDAYKHQPDALSGIVRR LLFQLVGPV I203_03406 MPRSLDDTRRHVQVLPERIGAVAGSEFPGHYPGEDHSWNLQKFK ENLITSVQRLTPSTIEFDLVGVDASIANALRRVMIAEVPTVAIEEIYVWNNTSIMQDE VLCHRVGLVPLKIDPRSLKYRPSPHSAPHETDTIVFDLSVRCDRRPGVDKSEKDPKKL YYDSNVYTGMMKWSPSGDQSRKYKGKEPKPVDRDILLCKLRPGQQIDLHCFARKGVGM DHAKFSPVATASYRLLPHIILREPIPIEHQQKFQKCFPEGVIVIENDQVVVKNPRKDT VSREVLRHPEFADKVSLNRIRDHFIFNVESTGQYNPEELVPEAIKILLTKISAVEEGL DKLFATEGQVA I203_03407 MSDRPLSLPIIPSSPSLHSHLAALDHLSLQLQHLTTFTTRPARI PLGTKASMLGDILHTNDIKVNIGCGYWVDMTAQEASEYVKRRKDQLLEEHARLLEGHR RPAAVDRLEKKVSDVKSKGKEGKRRLVDPKVGFHPVFHKMPETDVAGSSNSSAEDEEG KAINGEEAPQAQAKQIEIQTLSSESSKTDLTNTSTQNLHSSRTDSTTQSVRIKDSEQS VGSSLLELLDDKDGSVGAVGVSGNSLGDSTTTNEEGLPIHEIRETLSGETIGPPPPPS TSSTAPEQPIEEIEDDYFSPEAVARRAALRRRLFNEDTSSEEDEPPVQAAIKAKGGII RSSNTVTETSSGTAQATPPSPSSPPVRERRPSCSQPLPSKSILKPSNPPTLKKSVTFD PSLPSPPTSPASDESLSQMSKRFGFPLPLAVSDESTSNSGEFSVKPVPVIPPPRPRKR DDTSGFAGFKRGFLDGSSRTITKPLQAEYDTDKMKDLLDLMENTARNAITPAVTENAT SSSALTSSDGDKAFNDPPPQSKMKKQSLFSQRLSQPEIDASAPNIQTTSTTRIPNLPK VSESKGTNTIKPGVIEKPPVVQHGVKERLDNLKIVERPISNGIKAPMKSEIDVSVGRT NYTTIGKNKHTNQSKVLAKDNNEEEEEDDEDDDDDEFSEYSTGEEDEYDLDQALLARE VALEYHKRQTYKPLNRDLDDPHFNELQEGEGEGGEGGGGVMLGLPRISEFGEPMIINP KPEDLRRFIRVGKLENGNLVLAPGEESLETDEEDQDEENREDGEGRKERRENRENIKK KLMGLEIPTSQLIEQERTDRERKNVDKGKKKQYEDWEKSLPPILSSDNSNTKSNDNKQ EVVEDDKDKSKSKPPIIPLVPESPIISPDQSVSTPTTTPGTATSEGVKPKKVSRFKAS RMANN I203_03408 MSFLQGRKNSFGSVFVESPIIESSENKHTDHLPVPIPAAVADGK GKGKATELSYLIISGGTGANSIASAFGNSPSFVLPVSDDGGSSSEILRCFGGPSIGDI RSRLIRLIPLTPNPTAKDDVERLAIYNLLAYRFPSDAPEKDVRELWHEIVEGRSELWD GIGEDKKECIRAFLVHFQTLCLKRAHKRFSFRNFSLGNGFLTGARDLFGSLPSAIFLF KSIAGVNHGVQVIPVINTNQTVTIAAQLANSTVLVGQCNISHPTSPVPPVSTSSPGAI APTPSSSSSTKPILSGPLRHHFRRDSRTFDTPDTSLPTSRRTSFDFPQHQIQGQIKEG EVGWSDQKAVGGNLGYRKGEEEAPLEARIERVFYINLYGQEIYPEPNLDFIDSLNQRD ILVYSCGSLWTSIIPCLALKGLASVIAGSKSLKAKVLLLNSSNDRETPEYTASQYLST ILDMLRHYDKPKRNKAIQGVPASSDSSWNVKPSDLISHVIHLEGGKVGIDMNVIETLG VELIRVPSDVHGYKHDQIPLFSPETVEWAMEKVLEN I203_03409 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMAKKRKKKNYTTPKKIKHKRKKVKMA ILKYYKVGSDGKIQRLRRECPAPQCGAGVFMAWHKDRQSCGKCGLTYTFEPGTKPTAA I203_03410 MVAMTVAETHSRAIAHALHLLTRRALALQPTSIRQITTSSAVND ANSDRSKSSIDEKDGGSFSSVEVSRDMSSTAESSQTANSSEQSQNTSDEDRQYAEIFA TLNEQWPKSNSNSKAKSTKASHPPKPESIASRLTFDGYSTPSPRHRGVHSRLRRSAGS TPKEAETFNEILAGIFADLNYTSASSSSPGSSRLNSSNFGLDEGYGTQRGIGLNDPYS ATKSGLGGFGYKFGLNKSNSGTIKNRARNLRSHFIDLEKEDDKDDKDLELLEEFEMLK EEMEVISSDVELIEWSKNRVFKPLASIDNDLNAQTGTTGQSIITYSPTYPKILAHLLR TLRVNYNSPHLVLSLFNYAQNISLESYLSGCLTEVYNEVLLTRWESFRDLKGVELGIR EMEIMGVNWDQITARLISKIVEEISKDLLSSSASASASASAPSTSMLSGEEETIDNGV FSNLASLTTVPQIQNDIYKKYGDNVIERLRRLDEKVSKDVRKQEKLYEIHQRRKRKLR EEREQKLARQLEDDARRQKQREGSENEDGDGDGDGNRRVGYIEDPKEGERAYI I203_03411 MPPSLYIHPTFLPSPQLITFDEYNNQPSLLDLDEYDPPPPPEVN IPSSPTFTITPTETLYVGGGKPRDINLESLNPEARAFYPSTPQPILLSSQGNQEELDR LFSLYTNSSSPSPSPSPSLSPSPVPTPTGTATKTKQRRNSSSSTLSPRDRTNRRRRRS KSSVTSSVKSISPTVSPRRKATTRAQKGQPLVELDHIGSYPPSPILGTPDLTSRSTAS SFYLPNRPNSASSPFDQPILISDPSELVGLFAYSGTAYPFPQEVTAPVEPGGGGLKTS AATKVQEELIQLEDHQDSFNPLHKSTEEQEDSAFIDLLGLSPSAPETNLLEEVEFENS EYEREEETYTPYSTIDYKYGLDTDELIDIDELTEETMNHDSQYGGPNGSSTCQVPNGD ISNLEASSPNFPSSSSLNHLSSSSSVPRVHNVSPYNLLLSEPSPLHTVPSNLAHPKQL DVLQTEIMQAWISTEPTQESKVFVRNLLSTLTSTINKRFGTKDNQRFLVDVFGSVSWG GETGQGGDLDLVILDRAQLRGYEPSLWRQSSDDTSSNRVPSSGRRSVPPAIRELPRCY YTYDLANCLRDSGMREVQPIPGASTPIVKFKDPTGKMECDINVNDLGGWYNSSLILHY CLISPYLLRPMIYILKRWLSAQDLNDASGAKGPATMSSYCLTLMIIGYLQNRGCLPNL QRDINVPPVTSASDTSDPDVIWVSWSKQQGVPAHVAFALTPPEDWKSAEPDLTVSDAV KGFFTFFSHTSPLFSADAQDKARFDHTKEIISILQGGVASRVTSVGGGRVEDQLQRNQ LAQQGFTPGQIDSVMEMMRESRIKGEEKMGKGDRGIQPRNWSERRLVVQDPFLWQKNC AGMMSKAGLDRFFTCVDRAHDMLQAQGKSATIERLLFNPSPMIYKTPTSGRGRGFRGS PISMRGRPGGRGLWNP I203_03412 MTKLSSTISYKLIRQWDHFLRHLSPVARYEIWSDASGKGYGGHL GPQSKPLDVWQDKHQLLSGGKGSTEYIEAQALLLSLEKWGKGLKGKKVWCYIDNYQVY QILRRKYDPIDILRPGLGLGLGWKRKVYFTSNNTGNSMWLSSTFIGDLTSTNYEQSSS TYQNRNRGSSTNKARCPKVTQTFEEIDELINRYGITIKARWVWGKDNFLADKLSRLVD VNGNENGKGKGNLKPHVLSLLEAATTTTTKITAEGEMDMIGGNSKDETRLT I203_03413 MLRRPLSNKNGDPPVTSATGGGFGLVTFKAFKPPSASAPKRDSA LPARKRKAVNYKDQGGGNDSDSDEDGKPSKKGKFAMGNKEYGEDGVLGDMAKWCNRKF PVFAPKEKTVVFTKSFSIPVMLNPRSSEPIIHALSHASLGARRHPTLTPRPLHDPMAD TAIVLFDPTIDDRPPPEEIDAAKEEEERRKKEEEARGPHKSLKAILGIVDVKKDKKEV KVPVVIDPRLSKVLRPHQIEGVKFLYRCTTGLLAANAWGCIMADEMGLGKTLQCIALL WTLLKQSPIAGKPTCEKVIIACPTSLVGNWANELVKWLGPGAVNPMVVDGKGGKAELI PAVRRWVQAHGRNVTLPVMIVSYETLRTLQEELANCEIGLLLADEGHRLKNADTLTFQ ALTSLKVQRRVILTGTPIQNDLSEYFALLNFANPEYLGSKGDFKKNFELKILRGRDAD ASDKDKAESDAKLKELGGLVSKFIIRRTNDLLSKYLPVKYEHVVFCRPSPLQQKLYSL FVTSKDVQRLLRGKDSQPLKAIGLLRKLVNHPDLLNLPEDLPGSENVLPDDYHGKGRD RTVDCSYSGKFVVLERMLAHIRNHTNDKIVLISNATQTLDLMEKMCRNNRYGYLRLDG SMSVPKRSKIVSRFNDPEGKEFVFLLSSKAGGCGINLIGANRLVLFDPDWNPASDQQA LARVWRDGQKKECFVYRFQTTGTIEEKIFQRQCQKQNLSACVVDEAEDTARHFTQDDL RQLFKYNAETACDTHDTYKCKRCRDGKQFVKAPALLYGDASTWNHFPNCELGKMHDDL LRAELGLPEVSFVFQYISH I203_03414 MCGIFGYCSFLVEKDRKYVCDVLCNGLARLEYRGYDSAGIGIDG DTPTSPLVMFKTVGKVASLRKDIAEATATPSPAEANTAEPHKVDMKKVFLSQTSMAHT RWATHGVPSNTNCHPHVSNALTEFSLVHNGIITNYKELKLVLLKRGYTFHTDTDTEAV AVLCKYVWDSQPHKRLNFTELIKTVIKELEGSFAFVFKSTHFPDEIVAARRGSPLLIG VKTDRKLKVDFVDVELPTNEERVDGVEAGGLLAVPNSVADGHGAAGPKLRRSQSRAFL SEDGMPQPIEFFVASDASAVIEHTKRVLYLEDDDIAHIAEGELHIHRLRRDDTVSSVR AIEHLEIELAEIMKGQYDHFMQKEIYEQPESVVNTMRGRVNFDTRQVLLGGLKAYLPV IRRGRRLLFVACGTSYHSCIAVRGVFEELTDIPVAVELASDFLDRRTPVFRDDVAIFV SQSGETADTILAMRYCLERGALCLGVVNTVGSTLSRETHAGIHINAGPEIGVASTKAY TSQYVALVMMAVQLSDDSILKTARRQQIIDGLHDIPAQIRKVLAMDKALQEMAKSMLA KEKSLLIMGRGYQYATCLEGALKIKEVSYMHSEGILAGELKHGPLALVDEHLPVIFIM TRDSLYPKVQSALAQVTARKGRPIIICNEDDETVSDAAKCIRVPQTVDCLQGLINVIP LQLLSYHLAVMNGVDVDFPRNLAKSVTTE I203_03415 MTSSDLPPSGSDGDINKNNEENVMEDGHTPGQGSVIVAKPDIES TPSENKKAKLYHVEVPAPAGAGDGAEVDGDEQMDEDQQDLVSSSMLYEGAPSSSIVDN TRDTASASSDETEEPEEEDASDNNTVQAVPLVEELEKIEEWWELKMTWSGKVFDLRVG GNDMVYDFRHLISTLTGVPPDGQKLINLLPGSKGKLSVEHDAKRFGTLGVKKGQKFVM VGTREEDRFKDKLGVGVQSTDDFDVTYSHQVKGIAPADDPRNKRMIQNIVDKVPITVM NEPREGKKLLVLDLDYTIVDTKPLISGALPSSECARPGLHEFLELVYPHYDIVIWSQT HWRWLESKLVELDMIGGERGYKIAFVSDRTTMFPVFTQRNGKPFEHEVKPLAYFWAHF PHWSAKNTIHIDDLSRNFALNPGEGLKIRAFNKAGQPEGMVDKELTKLGNYLVKIATT SEDFTTLDHSKWKKKPPRT I203_03416 MRFFNLFIAVLPLVYAQEPTSTSSSRSTSASASGSNSSSATRSS NSSSSSSPTPTLNITTFTTTLTTYPTTTTLSASFEPATTLALTFTLNASDYTSVNESI WKGNYTNGTIPWDGSEKTKPWQEGDGFIPFNIKIDPAFGVLGGLLIVSGIPVAVLGGK NRWSSNAISSGYALMLFTLVMVLRFGVEPNIQPPSPNPPSTTLRGLYLLACIIASFFG GAAGIFLYPFAKYWVSAIGGFTFGWFLLATRQGGLITSVLGRWGLLGGLSVGGLVASL PKQTNEWMMLISTAWIGATAFTLGVDCYTRAGLKEFYVYNLGFHDLFPKLYGFKYPLT QTMMIELGILAAMVVIGAAIQFRVLNILTKRYRKMREEEEAKIEAEEIERAAERFKNV GAELNEWEEKHGNTSPTSGPGSSGPTDPYGSLHGEAMRATRESIILPQLGFESDRDNR PSSTLSLLRDTEPKGNYEPVAVKSPTGLDTPQSMFVGLQELKSGSPEPEPSSPTGSNP ELEQQMRLLAEVKKAREDIRGSLDRLKSSTPTGSIISNDILGRTTPTPTLGANSDKRE RHTSTTSSRLLDFSSDVRSTSATGNRERHLSTTSSRILDFPDAKSTTPDNVQPAPAPP QSEWEKYLAERKVLSPTSSESHQQQQQQQRNYLDRSSAYSSVPLNMGMDDRRERTTSM LEPRVSDFGPSESARNNGTFPTDKMQRTRSEGLDRPSTYHDYLNDGGNGNGNKLGTPI IIGSAADHRGNRSSQHLSSGGQRAMTYDELAERHRKRLSRLQDPVTSKMKEEIEIEEA RQRWEKQKKLEKEEMKRKERERFYRESGVEQQGGKGKGREEGIKQAQEWRKSVILSPP PPAATAGPSGPRGGGGGNDKRKRASRQFAS I203_03417 MASSARHSRHNSRNTNHSIAISTSSAIRSSSPSPVASPSSPTPN SDGLSRRLSWNRSREDTNLFLSQPPVTSGLATPSRTHLLDPRTEERDIVYVTSGNDIW GGTHPLQSTEDLNTPIEDIPLSPPRNRNFGSKQYESETSLGSNSGSDIESFNEDRERL TPGQTYDHPSHSSPGQKKRSPLSNGSSPGKKKRPYDEKGLARSSTLRKVSKTIRSASV RVVNIMGVDRKENDGVERLGSEDDEEDTKQKGRENIGLGIRIPDVGATTTQRPDPRPP EIGLRGRTLGVFSKNSRTRKAMNNLMKYPYTEPAILILIITNVVVLAIQSAPAQNEPR IDDGYFQSWEDYVLLGLFCIFTLEMFARIVVSGLLLDPDRSLRDFLLSPSGIIPTVQR RVGRAQNNLQRNLSKSKTSHRAAWRFHNDPTNGSSRTYRHNGGPSISISKIKEEPKRV IPILPEAPFQSAVAKQKNLALQGRPYLRHSWHRIDMIAVIAYWITFFLAISGYEATAN RHVYIFRALSVLRAGRLLVITSGTTTILHSLKRAGPMLITVAYFLIFAAGIFSIIGVQ SFKGSFRRFCVLTDPNNSTNEIILENQCGGSLDPITLQHVAYLNLDGSRSTISPKGYI CPLGQVCKTTDDNPNNGVNSFDNIFQSLVQVIIICSINTWAPVMYSAMDSDFFSSAFY FLAGVIVLNFWLLNLLVAVVVNTFSDIRAETKRSAFGGDESLLGTDPQWAAETKQRKM HNKVLTIYQRTEIFWVLLILTDMVTQGTKTASSSASMLDLLKNLEIAFTLAFDVEMII RIIGHFPDWRSFFLSGRNCFDLFLAVACSIIQIPVIANGGIYQWLTVFQLLRWYRVIL AFPRMKPLLNTFFGSFAGLLNMVVFLFLMIFLSALMALQLFRGDIEGDPIDFSQTFNA FLGMYQISSSENWTDVLYNVMGSEGQFAQNWLSAIFLCGWMLFSFFILVQLFIAVINE NFAVAEEQKRKQQVEAFIRRAEPQSAHISWIDRLNPYRLMSARHNAVKVGTLPPNLVL PLRQNIGADVGSVPNSDGAWGNTAGAKGAMRRLLGRDKEESPIPLRNLRRHTTPKFED LDDDLDDDRGLTDLLPPLNAGPSSDEHMDALRERRNQQADFIAAHPSFDQSLWIFRQN NPIRRFCQACVQPAYGDRIFGRPAHPILQLVVKAIVFSAVVASIVIAAVASPAYRRKY YGQHGYIRGTWFDLTEVALGTVFIAEAGIKIIADGFMFAPNAYLLSLWNVLDFIILIT LLINTTTSLIFIGGLSRMTRALKSFRALRLITLFSRLRDTLHAVLFAGALKILDASIL MVLYLIPFAVWGLNIFSGLLYYCNDDSVSGKSTCVNEYASSSINDSITYLVPRVWANP ALDASKWSFDSFRESILILFESVSLEGWIDVMASLMNIVGRDEQPQNMASQWNAIFML IFNLFGGVIILTLFVSIIIQNFSTRSGNALLTTEQRQWVDLSKFIKAQTPSQLPKGRP TLPFRAWCYDRATTKDGFWAVSFTWIYYLHILLLMMQDFSENILNEVQLDIIFLCLTV LYAIDLLIRFYGLGLRSFRANGWNLFDVVVIAGSFATTIPALQAASAGLPGNQVNIQL QKLFLVSISLKLVQRISSLNQLFKTSVASLPAIGNLFLLWATLFIWFAIMYLEVFGLT KMGNNAGTRFQNYYSFGNALIMLAFMSTGEGWNGYMHDYTISPPRCTENSNFLESDCG SAPGAYALFISWNIVSMYIFLNMFTGVVVESFAYVYQMPGGSSLNREEMRAFKRLWAE FDTQRTGYIKRKDFVRFFSRLTGVFEVRTYPVEYSLPNMIRNALPDPIDNASGKLFVA NGVRRAVDIRRLEAQIAHIDYRQVRERRLLFSRLYSEAKISEENGRGISFTSMLMMLA HYKLIDDEKALQLDDLLVRRAKTERVTDLVNLGRVRGLLRTIYWRRRFLASRDERRRT LNAEAEGIPAIVLEPMPATPPLDEIDRNPFHNLPGELRETTPSPPQSRMSSPTPSPEV LSTGTHSHPLSPDVSFNNNASMSRHSPALSVSSTTGNRPTHQSRPSLGRQTSNGSMLS SEDAHYRRESPTEEFIADDYFDSMATSVWGDMMREAVDKEET I203_03418 MSSSLQIDTSSLVVPSASSSTKPSPSVGLTTFPNPFEDEPEQGI LPTLFSKVKQTFTSSSSSTNPTPGGGGSSAGANSSKDKGSPVENSSKPETELRPKPYQ TEAQAIAEAVRKGAQQAALAASAKIQDQNQNNVPVQSTNGRRQSSGPSTSIQPLSSAT TKTSSVEISRPVNIQATSPRKLPSKSLRPPLSTVNSVGSATSSVQSPSILSSSHFQAP TNRRIQAPNERQWKPSLAAPAQVTISPVTSVTTTVQASASASGSGPSSKRPHTPEVTT PFPAGPGYNINRHRSSHHHNPSHGGVPSSRSVTPLHHHVHVNSLSNPVQRVRRSSIAT LPDSPSSLSISTMLAANAELSTNFNYVPGFPLNQDDTRSIRSLGGFVKKHNSVSKIIR RIRGEGLSKHYWMSDDACKECYDCKSVFTAWRRKHHCRICGQIFCSRCASNIIGARRF GQEGAVRVCNLCLKIMEEYRDDDEDDRRSINSVSTSVHRFPSISDRAFLDAAISPEVP YAKSPFAASQLFTSHPNESLTAIDESSVPTRWGRDNDLDRPYTPLDMGGDSQLSDSED AHIWTSSRPQTAAPFRRPMEDEQKSNHIPEDELHNEQDESQPRSSPSSPEALASGTQS RAEPFPRTDTMSTDGGLETRVPLTRVDSNLPLIGLRTRLSSRASQGGLTALLDSEKSE GLWRARSHSFAQRPELLSGASLSHFHLMLQQAIARADLPHPQQWHRVLSNLLLKVTTN LQPNVKAGDDIDVRAYVKIKKVPGGKISDSEYVDGIVISKNVAHKAMPRRLVNPRIMV VTFPLDYHRVENQFMSLDPILAQEKDYLRLLTKRIIDVRPHIVLVQPSASRIALEYLL EANIAVARSVKVSAIHQVARCTQADVVASMDRLALEPRLGRCAEFRIQSFEHELIPGR RKTLMRFEGGHREYGCTIILRGGDLETLRKVKVVTDFMALVVYHLKNEIILYNDEHNI FPPHPSLPIEYQELLEVLKEEETVSHEKSESNSTTIQITDVDEDGEPTTPKPADDDGD EDEKQVERREALQTTKQIAQSLQPYLTTVLSASAAIRFPPPATLAKMAELDRALANLR QSIDDEEAAQILQEETKIVEPTKPTGPIAESDSSSTSLSTTLNDIAESTTNEVSSSTA MSAIPVPTAPIKEATRDPYRVLRKPEEVARESALAQIEHNHFEQLKLWQWYTRRFTDP LRAEDYQGIVYLYSLGCEGTDKPCVEPALQTINFYQAGDHTIGQFLEELALNAAQRCT SKTCERLLLFHFHLLVHGERRLQIAMDQFPCPSPGHEDQIITWSYCRLCATPSPTTIL REETWKMSWGAYLEHCFYPPETRAGFTCPHDAFRDQIRYFAHRNLAIRIHNEPVDIYE PLRPSISLQVKAETKVILKNQEYESALHKNTAFFDSVLFRLRSFDTDICPPEKIGSLK TALENMLSRAVADREEMVNLLNRTYKLTPMTDVLALNTVLRTLQDKVVQWDSDFAEIE KSFMPSEKDLRRMTATHLKRLFANQDVFNSLDRNVASLTVSEADEKEVKEDISSESTP APSEPVTPGLESTDTDNASLSAAPSVLDLNSDTNTPVGGVIREEPNPLSHPLTPGRER EYDSDSTISAIRRESPERSPLRVAESSGLDSDTHQFISRLPRRSRPAPSIADLVQRFN DVTKLQPYEAIEEPERPGSSASVRRKARKSPHPEASDSDHSPRTRPRLRRGRTEQPPV RYREVNKPSGLLSDGDRSYATNASRIPSTYSRNKPLAGPSSEHLRPGLRSRTPSYTGR LSPRSPRSARVSPERPQRSSTEPVSSGQPQGVPPLDTKPRMTGKGKSPRPPEPSSGRT SPSLIRTAARRTLASSSRVTSIARHFDRLSREAERERQKRISMVRGKRARPVGVTKAK VQVFDNLRDAFRDEFDTDSSEADNEEDEMGTDDSAESAGEVDEDGQPKQRRRSSPTKS KSRKNSPVKATKALEPIPVQPLASPSTESIPEQAGTRTDEIPISASGASLATQSILSD TRSEMSFTDRLQIELPSFETSAPLPSHPVTPQLSIDTADELPKGPQHPTMSQMSQMSE SELSSGGVERSSILKTLTGLWAFRAGDFTPLEYPLSASEHLFADSRVIIRENEPTSII AFTLSSKTYRDKMREANQSKNGGANGKTEAFMPDEHHHGNDRASTWDIVSMDEAMDNE NDLRREGGTHLKYGKVLIYYLSILDFESGASTIFCRIFFAEQFAALRSACQCEDSFVE SLARCVQFDASGGKSGSAFLKTKDDRFIAKEISRLEMDALTKFAPAYFEYTRKAFQGQ RPTVLAKIYGFFKIGYRNAITGRYMRMNVLVMENLFYARRFSKIYDLKGSTRNRLIQP TGRINEVLLDENLMEIVYKHPLYLRDHSKRILRTALFNDTLFLSNLNVMDYSLVVGVD SEKHELVVGIVDYIRTFTWDKKLESWVKDSAFLGGAGKGEPTIVTPKQYKIRFRTAME RFYFPSVPDRWTKVGLDEVSIEEDGQSITNNNS I203_03419 MQRKALKQLGKVTQWTNEKVFSGEKTNLSSEFTEFEKEIDVRRI GIERLHATSQPFYNQLTKVKPTADPYPPPGSGKDKITYTEALGLVMIDYGDEIGEEYG DGLSKYGRARCRLAAAQEDFSARLGDGYIAGMETALAAVNEYKALRKKLDSRRLTLDA AISKAQNSKKDSAALEEEVNIAKARFEEIEEETQQRMIGIQETEEQQITGLKDLLVAE LEYHSKCHDILKDLYNNWGGNGSRSITTRARSNTATSSRSLGRTAVTRSHTSRHGLPQ SSDEEGAVTTNRSRSHSNASSNGKSKEKKSMLPSFGSFGRKSGLSAVASSSHKKKSSY SKDKFNDSRAALNSEEDEDYGEEPPTRSISQLSTSSSFYNAPQPPAMRRALTSPPKYT DPNAHYVKALYDYHGNASDELDLTIGQVIEVKTKVSDDWWIGECDGKSGLFPKSYTED YTPSPQTAVPPPMPTRRMLPPDSSSGQRNMPPPPASSTIINKRNNLPPSPVMGFTESE SEWESDAYGFSDAEHNQTASLAAEAHPVAVARERSNTIGKKGPPPPPPQSRRSASSNN LLNIGATSSSAHLTPPLPIFGRQRSGTATSASTKIASPFAGSEDDEDYHDHDHETTVS NGLSSMHISPQASHGEINAGQCGICECEDFTQNVFKSKGTCSTCFHAH I203_03420 MYWLVESSVYSIVQLLTDLTYILELVCTSSSSCTSSLTKYLNSS NSLSSSTSALNNTLTCSTIPVVYSASQLSCSVIQSTLQALFPGSSTATITRSLNSSLS PGGEDTLTQAGLLHDDGQVQMQLWYEGVEQFYCQATGCTQNVVAGQGGSGNGSTWSCP EMECKCRENTLFCGGGTSPSQNLTGAINTLAGPLTIDCFASNTCNFKQSFLVALFGTN GLELSSCSFGECVQQYVIEQALGITSTAASGDGLSGGVIAGLAVVGAILLAIIAVIIW GFIMRRKARRDMNANGSIRKSGGVGIEWKNVGYNIKNPSYTSRWSENVYSFLKGSGKR SNGKSVLVGSSGSIPPGGFACILGPSGAGKSTLVDILAGKRKEGIVKGSVGFTQEDGR GGRVRVGYVDQADVLSPTSTVLETLVFAAQLRLPESIPSSTKIDRAQTVLSQLHLDHI AHTRIGSSEHRGISGGEARRVSIGIELVSSPDILVLDEPTSGLDSVSASRLIRLLKTL AESGTTIIASIHQPSSALYQAFDQVILLSRGNQLYFGRGGIAPKEYFEKKGYRCPEGY NIADYLLEIASSEIDIPPQHNGEIERDSSGETSYTSIEQDGIANQDQASESPLNEKTI TYPPSPRSNVILSSRDDNTTATLESQSRIKESWWRWPRSYCATTFLTQVEILSGREWR NLKRDKTLLIAHIFFSCLVGIFAGGLYYKVGITIAGFQNRIGSLFFLGSLIAFSSLSA LYNLVEVRGLFLRERAGEFYSPQAWLLTRVLFDVIPLRLIPTILVSCSVVGLSHDAAR FFKFLLIIVEFSMGMTLFNFLLACLFRNGGVAILLSSLCNLFLMTYAGFFVNISQIPP VLRWLRYFSTLGYTLEALSVNEVGSGLQIVDSLNGVRVEIGATIIMQTLFGFGMNNYY RDVLVLFAFIAGFAISLVFIVVYILRERR I203_03421 MPDLNELLRWSIANSTLPTSQDGQQAAGEGAQQVSSSEGGLTIR YNPPSSATHSGTSALHPSDLAPADLSPASTPGPGTPTGEIPSQTFPLPSTTPKRDDLT TEMLDLILGKSDSITMKEKMAFAVDEKNPLEERVEALDDFEMLIELIDNANNMPILKL WQPLLSLLSSPEDEIVSNALWIIGTAVQNNIKAQAALYIHSAFPLILQSYLNSSSPAV RSKAIYALSASLKHWPLASQALSQTYENVKVTGYEVLLKGLKDSDKNVRKKVSFLVST LVLQSNDKYDQKELPNEVRNVIEELTKSNTVKEEGLIDGLSKSGIFQESLDQLQHVKE DNLEFEENSLKSLINALKTSGLNDEERGQFKGIWNGLNAQQKEDRGLEKGEIESVNGI LA I203_03422 MADPPSPGVSNTALPSAISQSRPTAPDTFHPVSIPKDQKNVAGD VPAPMQPQKDAGPSTYDYTENAPDAVVQAVAIERERERKEGPQEMMVGSWTSWAGGEN DGGITPSSITRTHMRPGSRRASIASRQSFTQDRPSGQFRRQTGSQVDLLQSPSSGVFA IDSEDEDHGAGQRMGRSYSKRSTRRHSRAQSNQVAAPSGGYFSYRPDDHVQAQSPAPM DDAYEPSPASPLMSPAKPSTTLGRIASYIGFSRNEVEDEEAGFQDGHRRSYSHSRSRR GSGSETSSRRHRTKSRSPSTSEEDDWYGDEDDADSYMSERDPEEGYTSSLADDTSLPP QSRPTSPNMPLVPSATDGIFGEPNARPYDLTEPKDFVSVAVPSMQTVLLPDEDLSIRF TCYRTDPFRNAVWWFGCIVTFGALGLLGRWIPSIWVKFLGKETAFDEAKEGTWLVVET PYGDLHVIPLQIIPYPYPLSTVFPHHAPTAPPTAGSSTAPSLRDHKPGKTTWEETMGF LKTMEYRYTKFALDPATGRWAMIRDWRDPKWTSARAVAHGLESPIREQRMVLMGDNII DIASKSIFGLLVDEVLHPFYVFQIASIVLWSLDDYYYYAFAIALISITSILSTLVETK RTIERMREMSRFHCDVRVLVDGEWVIMDCAKLVPGDIFDASDANLPVFPCDAVLLSGD AIVNESMLTGESVPVSKIPVKDENLRSMSRETKQGSSEIDGDLAKHYLFSGTKIIRVR AGARPPWAPKSEEPVALAMVTRTGFNTTKGALVRSMLFPKPMGFKFYRDSMNFIGVLT IIAGLGFAVSAVQFIRIGIHWHTIALRALDLITIVVPPALPATLTIGTTFAIERLRKS GIFCISPNRVNIGGKINVVCFDKTGTLTEDGLDVLGVRTIDRQDQRFSELHSEIQDVP IEGGINGKTPLLYALATCHALKLIDGEVIGDPLDIKMFEYTGWTLDEGQSRPIPNKVT STSASGSGSGTKPQSLIQTVVRPPGTDGWKMEDALKAGSKHAHFLELGVIRTYDFVSA LRRMSVIVKRLKSNSMEVYVKGAPEVMPDICDPSTFPLDYDDMLSYYTRNGFRVIAIA GKSIEGLTWLKAQRMRREIAESDLQFLGFIVFENKLKPNTAPNIHTLRAAHLACRMVT GDNVRTAISVARECGMVSHSASVYIPTFIPGTGTSEGAQLDWSSVDDERHKLDEYTLK PMVTQMGVALDGQDPESHDYQLALTGDVFKWMLEYAELETMERMLVKGVIFARMSPDE KAELVERLQALGYTVAFCGDGANDCGALKAADVGVSLSEAEASVAAPFTSRIPDIGCM VEIIKEGRAALVTSFSCFKYMALYSMIQFTTVTLLYSFASSLGDFQFLYIDLFVIIPI AVAMGRTLPYPKIHPKRPTASLVSRKVLISIIGQIVLNSAVQIFVFAWVRKQSWYTAP DTNVDKLETFNYENSALFLVSCFQYILVAGVFSVGPPYRKPLYTNPSLVICLLGLGAF STYVLLSPAQPIALILDIIKLPLDFKFELLLIAAINIAAAFGFERFGEKPISRMLVAI KRWRFKKRGRGYRAVEREVR I203_03423 MLRINGSNQYINPEQVDQMVPILDQFWNSSISWTEQKGSWVELS FTGSDFWTYGVIGPSYSSIEFILDHQSLGTFSQEQEDLDYHHLLFEQHNLVDADHTLR LVNVEGEGKRMSFDYAIIQSEKMFDSTTSLSSPASTTILSSSSEAFSSPVEAIANATQ GQTTIPLPSDTPALAAQITSLSASSQTDSTLPTSPEASALLAAAASSSAAAALAALST QYSLSQLASLKEVYHFRWNPAAYFVVIFSSIVVLVFGWFIIHTYLKSWVKRNRSGTGN DLETGIDNGNGKIRSSGERDIIDKMALRIGSPMNPKKNGQPNF I203_03424 MQAAIAYLRQQKGPGEDHIIIFQQLSTLEITEEDHDDTTSTSIS KLLGLTDNNPFQDLEKPLTIPRKVQEFCNHIQDNHKRGLKDFSTDKFMTEPIENPFPS YLRPKEYMLTAKAIKRTHDCSAGKKVIPSGYTQLGGKGKFSTLDQVDDEIEEERKVDE DEALNLKFSLSHKQERDLRALLRDRTNKITPLMNHWKESIKDHPLLREWQEAAEELQD LVQVVPEPMFPLSSYQMYNDVPARPARGGNMTVWEDGQDELRGVSGWADDDIGYEAYS VENLEEFNGDIQPTTIQKNAQPLSSGNTNGEDYEDDTPHWPRYQYQSEADSPRDDILM MEASTYAPHNELEHFDVEDSRHAFGPTPISSPTSGHIQASWSPHHNDAYVNHDLLDDP TPIQEDRPQWNESTETIFKEWLDPATFQTPSPSLQVQMKNANKAYQPMSHAHSQNIAQ NQWDGEMSKPRQPARGRAATMTVEFSGSVGSFSNDHYPAPEHFTQIEVDGVEGMLANR GDWDAAPKVRMVYNDYQYDTPFWETSSDAPRTIVPGLINPSQPIVGLNTSFEDEGHPA SPIRPSRPRWATRPPSRVNDHPVAHGTESSQPFTSARTPDQSSQLAPAPVISHKQSIP SSTSGDQQRSTKKTTATNHPSLKDIVLAHKTTRENTDKKGKKKASTSGSSKTPAAPNM FSLDGYLALHQRNDLISKSEKNHHESKYDEVDKRLEEEEKTQWMSDPCINNPRWYNNA TEHPIVSPQEGTFPILVAMTIFQNLSLFRALRSAGFLLLERENKMHSVDIVLSSTTAV LFQDLAKLSCGYEQLLKDVKDSCTKFKKVIVIFETISFAKSEKDPEFKKKINPLTTDA PQGLATFRRLLPVALKSVRETIGNVEMVFAYDGASQVAKNLMWLMDEEGKKALKKDKK GYQDVYGDRKWLEDEPDERELELLMTHFGLNIFCAWYATSRYGTAQRVITGMDDVERK KAFEHVFGETAVERFNEDIAKKGMVFRSGK I203_03425 MVDKATHDDIDTELALISSSLLPTERLTSSESGSWPRVIDITSE DCKLSLHINVNEGYRVKDAVQIEVKSKSMGREEASGWKERVEEKMKGWNEEEDYPLYQ ILSIHFLPLLAPSSTPPITISPSQDPVPINDTSLKPHHCLLISHHLLSSTKRKDLLSL SSELSLLGFAKTGHPGIMYAIGLLSDLEQWIREVKSWNWLALRVRVAPEPILEEEGVF EKGGKGKENGARGGKGRGEWVELEKINEALDWLRMRGKGRERILVDCGVGG I203_03426 MPPSLPSPSSVTRAAITLPILARAASTTSAGTAVTPNKPPPSKD HHVHTYSPEAFPLGYTVSSTHAGIKKKAGALDLGILVSTSDTPCSAAACMTRNVFKAA PVTVTSELLRNSNGRAKGFIINSGCANAVTGKKGLEDAWSMSNTTTSQLPPSGAQSDK EGTLVMSTGVIGQHLPISSILDKIPELIRTLDDSPKSWLDLSKAFMTTDTFPKLRAKT FKIGDRLIRMAGIDKGAGMIAPNMGPPQPPHATLLGVIATDAAIKPEDLQNALNYAVD RSFNNITVDGDMSTNDTILCLANGAAGSAEHGGRETEEKMKELTEKANPEEFGIFKEQ LREFAEELAQLVVRDGEGATKFVTIRVKGAPTYEIANAVAKSVANSSLFKTAMYGEDA NWGRILCAVGYTPLSPNPISPTSVSVSFLPPAASSNTTPLRLLTNGEPEPNIDEARAS EILKEEDLEIEIDLGDGNEEAKVWTCDFSHEYVTINGSVSTKVGIVISLGHIGRGRGR MDVRLILHLVFRRSIEVDRRDEKVNGDEVGKRRRKAKEYFTGRLQSDSYII I203_03427 MPAIPQPTSSSRAKHFHPPDPNHAPRDLSSHRPSNAPIPEPSPK IVSSKSHREHHTPRRFIGPIPQKTLNSNEVVEKRRRLSELKRSAISHLPLFGEANGSN RDGTSFIGSNSHGEGDERHLIREAAKRIRIRKRDRNGEEFEQHLELDLETPLEDESKG KAGSEGKGKNMWSGESFDIGREFLGTIVDDQEEEEEPPPSKLTTQEKGKQKEMGKPVR PTVSTRTTQDSFVTARTEFTSVSTSEGNTSKSTLTLDEGNGDVDIGYQVTPQPSLGDI PDIDREEGERSKMRNSQSSSIQPLMSEDAITVTSTNHIMEEPSTLYTNTIKPSLEKIT SKEGISTKLKSALRKPSMVKLDITSTKSAQANNSSSSPVFAVDVGKQGKSKSVQFPID PTQPNSSLKRLGRLREGNGSVQGDRDPVHPMEVLERTGEEAEGTSHQAVESALEEEEE DWEEVKRPGEVILRDRMIVRVGYHREDKLPGFDEAAQRRNPCARLDPLEEYIVIYRKN QIELYSNYTYPFQEKIVGHKHLAFVIPLFPHRTNLSIFNPEDVTLCLTTSVYKLQDDI QWLKGHNKGSQVFILKLGERSRSLDWYWEIWKELNTNGEQGLPDRFDIQIPSLSTSIR LFTNPTGDEEYDPLGSTGQLERFEKTKVIDTCWKMLLDSDLNVEDLKRQSESASTSKG QLDLQLVWKNQKNGNLDWVAYGDTVQGKKRGWNLLSGLARAQGETTCRDLQLRSARHR PSLTKLEDGTSLEEPPGIEGYLTRHKDGSTKEQVYISSHDGNIFVGNMKEARPPLPPS KESSTPSELFPELYKTFIDEEHRRMASFLDRCSGCIDLRDIVSIKILPDKTKHQLQPQ PLMQQEPYGRTFEVEVNTGGNVRLEAQSSELAKEWVDNLRGLKKYWERRHRVDARQRM DVITLHSRENPFTGTELSNESDDFLSEVWDWCVIKGCRSITVSGRLFLRKDKWDKFRS KYIVLSGGTLISFKIKKKNAFHTRKKRYPLFGAYVYSGMLALDEIPSTSSSDAFTSES RVYQDGLQSSDGAEDTTFCVRLTSPSSSKKEKGWGKKVTQPWENQDLVKDQNFLPSDL SKKPSQLLIFRARSKLERDRWVWAINAEMERQVRSHIKQEEILRNYGNVPNRW I203_03428 MSSNREITVTLYEIKRVENGRPVCDNRPFKSSIRMNEKLETLFQ KWQKEREPETPLREFEFLLYRRRDDEPGHGGMTSGGGQDSGKGVTRLRGDQTPEQVHM QDNARIYVKRENLQCDVEEEPQMA I203_03429 MSGVDDMEMTVFELTPGEDGEMIIGPSRSISGGMQENLGDVFER IYESLGLEVPLEDLEWVEFPFGEPIPSTDKEEGSGGVRVPATLHSHQTPESLRWKSGV RIYYKRKTDKIDYFRAPKGR I203_03430 MGEVHLEVQIEIHEQPKELHILGGDHNKPSTYSTVMAWDQEFGV IFKRWHDENDKKEPLECYELIFYPLGSPNPIPDGFGPGPVNNPVIVKPEDSPKSLGLS NTIPLWVKNKHHHHHHHEKNSKADD I203_03431 MDDNIPLKKRKSKYERVESKEKTMVWIREINNFNADGQATLSPP QGPYMLELHLPLALLFKAWENERQKDVPFSSWFYARYPYNHPIPSQEPPVGQNEPQQL NGEQTPYSVNLQNGTTIFARNLVILPRPIIEPQSPIGSRRGSTPLPYSSQTETAFKPL SPTASGSSSRRERERDQYDHRDRYRMMDGDGDDHRDGEPDGY I203_03432 MSQSQEPNMMDDGFSYDPNYDYTQASGSQPLTQDYKDDVKFDMD GIEEEEEEEEPISQEDYWTVINAFFDEKGLVRQQLESFNEFIENTMQEIVDDNSKMTM DQFSQYTGVSGDETKRYEISFGQIYLARVNHTEMDGRTNMLFPQEARLRNLTYSAPLY VDIKKRVLQASGVDDPIEADWRPAVGSDGLPEGVEEDKASIGKVPVMVRSNFCLLHNL PDDQCHDIGECHYDQGGYFIISGSEKVLIAQERMATNHVFVFLKAAPSRWTYFAEINS QKEKGGKVAAHTEVRLYQKVQGQSGGVIRVSLPYTKVDIPLVIVFRALGIVPDRDVLN HICFGPNDEALLEYLQPSIEESFAVQDRETALDFIGRRGQHEKAPRAQRQRAAFDILH KEFLPHVSTAEGFESKKAYFLGYMVHRLISAAMGRKELDDRDHFGNKRLDLAGPLMAE MFGHMFSKLREDMLRYLKKCVETNKPFQLNTAIRPNSITDGLKYALATGNWGKRGNTR AGVSQVLNRYTFASTLSHLRRTNTPIGRDSKAAKPRQLHNTHWGMVCPAETPEGAACG LVKNLALMSYISVGSYSAPVMEFLEEWGLEELNEYQHAPQATKIFVNGVWMGIHRDAP TLHSNLLQMRRGGQLKHEVSIVRDIRERELRLYTDAGRVCRPLFIVDHPTQSLRLKRE HIDRLEEAGEQGALAGAWDQLLSEGIIEYVDAAEEETILIAMTSEDLENARRKNSKEE LVKDRAAHDFESFDPTARIKSTVFSKQYTHMEVHPSMILGVCASIVPFPDHNQSPRNT YQSAMGKQAMGVFLTNYQLRMDTMANILYYPQKPLATTRSMEYLKFSELPAGQNAIVA IMCYSGYNQEDSVIMNQSSIDRGLFRSLYYRSYTDTEKMKGMIKAETIEKPDRNETLR MKHGSSDRYAKLDVDGLVSPATNINGDDILIGKTAPLPEESEELGQRTQMHQKRDIST PLKSTEQGVVDQVMLTTNGEGHKFVKIRVRSTRVPQIGDKFASRHGQKGTIGITYRQE DMPFSAEGLVPDIIINPHAIPSRMTIGHLVEALLSKVSTLTGSEGDATPFTELTVEAV SKVLRSKGYHSRGFEVLYHGHTGKKLQAQVYFGPTYYQRLKHMVDDKIHARARGPLQI LTRQPVEGRSRDGGLRFGEMERDCMISHGIAGFLKERMYDSSDAFRIHVCDICGLMAV ANLKKQEFHCSVCRNSTQISQVYIPYAAKLLFQELQAMNIACRMYGESD I203_03433 MVTLSKKDARKAKLGKGKAKEEVSKPKPSKKIVEEELEDEDDDS SEDEDDYGVSEEGIKRLMELVDPEDLDEFEKALLGGEDEEEEEEEDDEEDEDEDEELV SGEEALSDEDSDEDDDEEADEEDLDNTIVNEKPEDDAISLDGLQSDVSVDEDAVPMRK VTINNKPAMRTITEAIKVTSMPWPEHLVLNSKEIVEVDPSDDLQREMAFYKIALECVP QAKKLAAKYDIPFTRPTDYYAEMVKSDEHMERVRTKLVEEAQGIKKSEAAKKQRDLKK FGKQIQHEKLKQREMDKKSFQDRVQGLKRKRKEGMELGEDGDDDQFGISVEDAIEGKT ERGGGGGGRGRGGKAKMPRHVRDNKYSLGGSSRRDKQNTRDSTNDFSFGGGKPGRGGK GGRGGRGGGGRSGGGRPGKSRRMAGRV I203_03434 MLCDPASRPQSPFLRATPTHSPHIHHQSFYPTPSPGQSSSRIYP SYPTPPTSGSGQLTPIPSLPQHQQSCSVPPTPSPSQRPTFSQSHSLSQRPIPSSSSSF VGRPSKPLKKSSGSTNQKQKNVQMSLELKVEKAKGFHAFFVPLCKNLPPPPPCSPVNG HPPQTQTQTQTQTQLQTGDYFGQWNKAKSHDQWVEDISNSFNKQNQHQHPNQSGGDGM EVDAH I203_03435 MFDNDTYPSSPTHDPTDRPDILTLNHNPTRPTRPYLPLDIFLLI RSILITDSQKATAARLMQSAKIFYEIFLPVLQYGQLELRGGTRGGNGVFEGLMMSDSD DEVGQLKLSPFSTYPFPIPSTHQRKLSLLQQCRHLTIYDLPSALSIIQAQRSVGKEDP IFPNVKKVIFGSELILSLAMELDKEGCEDIQNLSEFINPQKICIWYPSPITQPIRHSS ISPSYSVYQNMDYVQLDENRFYEIIHQTSSSGVPPSSSTALCCGEIYDKSISLRCFIS SFRPSSLTLHNVNMQSMIYIPSVRQYKVFYATSPQAGSPNRCSILEDIWLESMSLKRA VRITQGLPWNVWKEDGIERKFMFVDAELSDYHADEEDIDMEMDSHSEVEEGEIPMDEE EECGKVEKLVKGLLRSSPTWNEQSQAGLSFVRRVAIDRCDCCGTK I203_03436 MPIPSSTSTPFPTIPSFIVSTILAFVPTVMARGSTAPSSIPQVD FGKMGTVGLGGSFSGLDWYSSDSPFASSSSSSSSSSSTQSFSSKGDTLFYRTEDGIFR ALGSTNDGGIINSLCWSSSPDSSNGNGTLFIGGTFTSLSGVSANNVGSFSLSTNTFSA LSSGLSGNVNTLYCDDDNSEIWFGGSFNAPTGQGGNVALWSTSSSAWTKPSFGGLNGV LQSITPSSDKKSLYFGGDFTTTYLSTSSLNSTSRTNITSQPNAPINTTTVGQSGYLTP LTISASASDSAQYQIQAGPSSSLNQDGQNYGDTNGLLCPGTSTWLAQENTISNVNLVG DEYLPATGVRMVNGHENGRSTTYFCFTSLPDYQELNMTYTDPKTGKNETCTDHCPLST DSSIAAQDFIFTQGTHNLTGFEIQLKQWTGDGAALSSVSLLTDGAYSSATGAGASSTC SSGKNSTVQSVGDWSTKTAATDSATESYLSSSVSTRDPTDAQVTFYPYVGSAGQYDIY VFIPGCKNIGDCDGRTSVDIEVFPLQGGLGWTSTISEQVDYDTQTLVYSGPVDATTDA FTPTLSLALAANPAAPARGNNYVVVADRIQLVLTGITDSTGSTVSTTSSSSNGTTTAG SSITNTTSNSTYNVAYGVFEYPRSTNSSLNAATSSLSNDTETALTRLGFGLDAALNAS GSAASSWVVNTIVAANNTVFVGGDFSASNNYTNVISIDTSSGQASALASQGLSGIVNT AAVVGGYVFFGGDFTSTASSGGVALNYIARYDPSSKAWAALGGGVDGYVTDLLASTAS TNELIVMGNFSHIVSSNGTSTGTGGYAVYDVSTSEWINTGIVFGNVSAGAVPSSSSGV SSESFFAGKVYGTAGNSVGGVATLSTDDNGDAVISSLNGVNFGTSGSEPVSSSLPSLR RRSSIVARASHSYTRSWLARYTDAIVERAHTVLSSRATPPTIPSQTSQAPAVLAGAFW TNSSASGKPTITILGGNFTSSSSDVQGLAFYNEKDGGLTGPSTPLEGVVKTLNVIGNN VYIGGEALNVQNVGSGLMVYDLKAGDWVTGGMASLNPPTGSNNVTVNTIRTRGNTNTV VVAGNFGTAGSLGCAAVCLWDSKDAQWSTPGSGLSGGEVRAVDFAGDASDTLIAAGSF ALSSGDVAYVASYSFTNSSWTPLGTLPGPALAIAVDDKNSSNIFAAGYSTSDGSSYLQ QWNGATWTAQSESLAPGSLVSQLAFVPMKSEHEPQGSIESDRMLMVSGDLYLDNSGNV TSALYDGSTFYPYLVGTSSSGDLGSGSSLFWSESDFSFKVRHYLARGLVVLVAIAIAT GLILLLVLIVLLLTFCFRRRERKDQNIYNDQKKTDSDVDSTHQNVFNNVQAALEASLI GGGLAGAGAAAATNKHNRVSDPSSYNSGAYPIGSDAEEYPQDEDEELEEDGRETTMRY DFDGPDLQEGELPMKAGQRVIIVDDEQSTEWWFVRDPLTGREGVVPATYGSN I203_03437 MVPTAVALLLFSLTIPFLTSATPLRPIKRQGLFPPSSDNSTSEN NQPTSGNDSGGGGGIALEIIIPIIVILVAAIICVGLVHFRSKLPKLFRSLTFSLPSSS TAAHQPISTRDSLPRTVTADQLSGTNTPLTGGNASSINNGSTPTTNITAAERRARRAR ERERNVRRTESGRSVKTLPVYSKEAGDEELVLVRQRSQSSFSSGSYSDEELHPEEGPE GDVEGGLLPSHRRSTSMRSNRTVRDHEDVDNRDNGLSPVGETHEEIGPDTRTPSLSPS DQHQPLPETPSPETPQRSELLVRRESLARRSWGLTPTYLEAMSAPLVYASSDPTTANQ DVPPPRNLRTRTSSTFRGLLSRAGFTQNAPPPSSAQMMEIRNDRNRTRNGESSTSLLL QPTTSRASSSFAFGRSRSPSTASTPWESTNSLLISSPLPNTAMRASFDSTTLPRAGLS EDQMKFLASKEAINVVGKKIDDVPEYKRRRRSRTRGEGLSVDEGVGGRRRASSASSDV RLDDGEGEGDRLPSWEMSENTRRNQEAYERRNLNRPPSGEIEDESLDPLEKADQAERG TENDQRWLGTTMNHNENEHEPNGPIDENHEIDLPNDSTLNTSRNNDFENTQILKQKPI PAPIKVGQPDDNHDEIEDSASPNTFMTAPRTPLTPALTPTATARTNTLTSATTPRLEV EPPTPVASTPPPSLTR I203_03438 MEAVFLGASKGIGYFTVLNLLQNNQNWKATLLLRKPDVFDDNHL IKPFIENGRLRIVQGDATNEDDLKKLFEGKKVDLVMSSIGAAPQFGLSGIKIDQPDLC TRGSIALLHVLENLPQDVPPRVIVCSSMGIGEAHKDMPLAMRLLYSWLLDKPHQDKKS LEYLFHRSAIHLPTQTSPHDIPPESPLSKQAIESTKPDFLPEVIIVRPAFMPTEEPFD AVPGPKDKVGVEEGLSCYTIKRSDVGRFIIEDCLPGEGKDDKWVNKCPVVGYI I203_03439 MPPPEQAESSKSYRNPTVEDDDDDDLDDLDDVLASFNTTSQQKN NILPTPPITTTSPPQTQNQETNDNDDNDDFEASLMQGMDELLKQLAGTHPPGPMLDVP PRASSSSAQSNGKSSSSTANGAGLSKEAEEEAWQKALEMVLSGEGLKAMGLDANQKSK TNGESSSQPQPQPQQPKASYEETLAKTLESLNKAGSKPNTNGNTQQQPDLSALLASLG GDPDLLKDLNLNLDEGEGKGDGDLADVLEGMMRQLMTKEVLEEPMNELASKYPTYLSS PPDGTTQDDLIKYRKQNEIVQKIVGTFKKPNYSDEKDGKEIAGLVSEMQDLGGPPKEI MGDLPEGFDLGALGSLSGEDGCTIM I203_03440 MDIAHSIHSEVTSLSFSFLSTDDVKAMSVKRLDNPILLDNLNLP TKGGLYDPKLGPMTARDVCETCHLSYFACPGHFGHIELPTPVFHPLFMNQCYGLLRTV CLFCHHFKMPELILASYVARIRLLDAGLLTESHEVAQIFAKAMGTPASSKDDEPDAED VEGGHKTISRENAAELIVRVDAYVSATLEAAKKVSNGSTRDAYKDGLVFEERKRLLSE FAKKNWAKCNRCSAYAYTFRKEKAIKIIEYDLSLKHKQSNKMAHIKRKDVLAINSKFS KHRRSADPQDIDEGIEMDSDKSSSSAEEDNAMDVDEEESIEENDDNEEDDQEEIARTV AKSASGQVKGARGRNERVMSAAEVRAHLRLLFAKEPEICKLLYGRHGGNSNQPTSGPM ADMFFMDVVPVTPTRFRPPAKMGEELFENSQNSLLSAVITTSHRIQELNGRLIEQAKA EKGEAVLDAIAKADGARAFELLLEALIKLQHDVNSFMDSTKNPTVMRQGQLPPQGVKQ LLEKKEGLFRKHMMGKRVNYAARSVISPDINIETNEIGIPPVFAKKLTYPEPVTEQNV HWLRQLVINGPKNHPGAALVQNEDGTQISLDRTTPEQRVAIANQLLTPQGDDHGIGSS TGPPKRNKKVYRHIQDGDVVILNRQPTLHKPSMMVHKVKVLLGEKTIRMHYANCNSYN ADFDGDEMNIHFPQNEVARAEAKFIANTDNQYLVPTSGNPLRGLIQDHVVAGVWMCNK SSFFTREQYFQLIYGALRTEDNYTGRDKIITLPPAIFKPRPLWTGKQIMSTILANLTP RNAKGLNLTSKNKVQNKLWRRDDSSDPAMSEENVIFLDGHLICGVLDKSQYGASAYGL VHSVHELYGPYIANRLLGVLSRLLTKYLQHNAFSCRMDDLILTAEGEKMRKDILDKAS GDGATAAMKYVGLPEGSKIEDPDTAKNLAIRLEEILRDDHLMAGLDAVMQSAFNKTTS KINNDVLPDHLVRPFPDNNMQMMTISGAKGSKVNASQISTLLGQQALEGRRVPTMVSG KTLPAFKPFDTSARAGGYVANRFLTGIRPQEYYFHCMAGREGLIDTAVKTSRSGYLQR CLIKHLEGVRVHYDHTVRDSDSSILQFMYGEDSLDVTKQKHLEHFDFAARNHTSLINK IRPGDIQGKVNDDALSHMKKALKKPHKYEPVLAIYSPSRFIGSMSEEYARKLDKYIDD NKFGYISKKGVNKSSPYASERIPDKDFLKLARARYMRSLVEPGEAVGLLASQGVGEPS TQMTLNTFHLAGHGAANVTLGIPRLREIVMTASSKPTTPTMKLPLRETVSDKHTESFI KQVSRLTLSQVVERVTVTERLSSKASESSGTRQRKYTVLLEFYPQEEYGPEYEITTEQ LHESLAFSFAPRLKKEILGEMREVAKSAQQDLQVGKGLKVKSGADELAEDEDHDVDHE GIAKRRGKDDELDNENDDEDAYNEKRIKQSKQHEYEEDSNADSGIADLEDFVEKELDE ADDDEDEEADAIEKARRDQKSDDLAELFKLASKYATTFSFDGHKGKSAQFDLEFPANA PKLLLVDLIERTCRAAVVHEISNIGRCMKIFSDKGDFTRTLITEGSNLRGMWALADEL VDLDHLASNDIYAILTTYGVEAARRAIIDEISSVFGAYGIAVDYRHLTIIADYMTHAG GYRPFNRTGIAAKSSPLLKASFETTVAFLSEATLHGDFDDLTSPAAKIVMGKPSSSGT GSFDIRAPTRIA I203_03441 MGLFADIDGQFHRAVDIVQSLPKGGPVQTSYEEKLWLYSLYKQA TEGDISIPRPGMLDILGKAKWDAWNKQKGIDKQEAKRSYVNALLKILRNHGESEGTQN HISELESFDTVTNQDPPPRPVSPASSSSSYHSSQASPIAQPSPPEYNMLPPDPLLPPP DVAENIVPPSALTSSHRSLLNLSQAGQSPSGTQSQPQRSYQDSIPPAHVGSRTHSLAG GQGSIHSFRQRQPVPAPNESNYLRNPVSNSNVQMPQYSHSPNLPAVKDFVQIHTPDIS NSSYLNIQNPIPPTGHTPITNYPTRIAGPGSASTFNAAPLNLSVNLHNIQTSLSALHE RLSILERNQSIILRKHANADRKRNGWFGWNGNSEGEDELDQLEEDELQNSASASASQH LRNPTAGSRGSNQITVTRVKVRRPKLTIRIILYLLLALRRAVVDLSVGLTLMVVCIVV LGGGWRRARWTLGLLQAKFQRYLTEGHI I203_03442 MVRSTTIFRVHDGLPLAASVDDESTEKALTEYKQQSKLIFRRLN ANSEPACSIESGQYTLHYLIVDKVIYMCICDSSYPRKLAFSYLDELSKEFQRSYEGKI EGVTRPYAFMGFDTFISKTTRLYRDSRSLTQGAGPAASASSSNQPPNQLDQLNENLKD VTRIMTKNMEDLLWRGDSLDRMSHLSTSLRSESAKYRKAARNINLQALIRKWAPVGGI GFFVILFIWYRFF I203_03443 MSTVFYRWGASRNEQRVTFDGTHISVFDLKREIILGNKMGNGKD FDIGVYDNVTGEEFRDDNHQIPRSSSLIARRLPSSAKGRGNAQNYIVGTSAADALTGD HRIESHARQAMQDKQNRLGGIRGSAGTFGSMSKRFDGKDDAKPGEPSVPISTGNAEED AKIAAVLAQGAETWEQMQEDMSAGYRAPAVRAARSNKPSGSAVAAATQKYDFGLQHDK EPPAGYICYRCGKKGHWIENCPDNEDPGANDRKRFVRVTGIPRSFLKTVETPGGVEGS SGGAMLTADGGFVRAVPDQRQWQKQAAVKPRALTGSDVRDSQPLDPSLICPICKKLVW EATTTPCCKTSFCEECITNHLLENEFECYTCESKVQSLDKLIVNQELRDKVNKYVEGE VERSTKEKKESDEQENAEAEENGENDGEKLEKNQDGVDKGDEEIEEGAISPTKAEKNP RPNLKGSDIDQQPKNQMLGANGQAVDLDKLTPQVINTYLMGAKKMLLNPSLLSPARNI LNQQIALLQTQLLKLQMMNLNNGGNGGAPNVALGQIGNGGFGQQNQFNDMGMNMMMMD QMNMNMGMNMGMNMGMGMMDNMGMNMGMGNQLPFHQQQQHHQQQQQHFGMNRGRGGFR GGGGRGRGVPFAPALTGRVPPRGPNMGMKRGPEEELSNGNGENKQQKVM I203_03444 MTDRAPSTIHNLRHPKSTNVKHPLPPPPITGGYSQPFNGNHCQV ISLQERECTDNPSDNVIPQKRNSTGVTLPQGKKGNKLSVTVSVSVSNPARPIPSTGKR SGARKMTDNGDKVPRPDTASKGSVMRLKTKKSSDSMPGPATTSTRKHTSLDPSPSTSA PKPTSCRMGDASPCEPASDHPNVEKQPVSKSQISRPSRIISDSQPSHTFDGQHPTISP SCLPTQPYALPAQFASSPKQVIDPPRSAGLGPAKIILKIPPTVFPSSQRESLERPPQI QTTPNARKRKLDSPNPTPDRSSRRPLSTHSGSNPDHLTSSRSAKVNPSTTAPIVPTSL PTGKVVAKSSQTKEIDTSRKRRLDTGTLSQDKSSKHSIPAKPASHLRQVVASSQSAGT TTTSLPVPCILPPVEKILIKSPQTQAKTSTKARKRKLDISKSPQDGLFKRPKLGVGLA EHTRPRMTTDVIDLTNIDDDDVGDGNVDMQDADHRSPPTKVKTERVSPRTHSRRSKHQ QRSNIQTSLDDLMVDAVGVNPQDDVRQSPPHISIQQIDFTQPLNSDEIWKIASNTHDR LWSLCSKKLVVSGEEFVGSSEWKRKLKNIKQSQVRENDRKKMPTRSIKQSLPFLPRNL RAGVTHLSKLKFPYLKNRNPARIIAPKCFQRFWDEWDNRESNVQTCRGTRILVVKLGR FEFDNASIPAVSAPKPDSVTISFYRVGTTEPLFRKNFQLKDFEFQPTGMNIYAPYPID YSRSSENFSHLSMRATFHSGNRLWYIPFLSERTAHIGPDGKFATRCEPFTLRTAARSH PSILRLLPPPIWAVDPATPLRPLPRKIIDKPSSSDHTQVRFTDSADKLNQCVCGLDDG IINRFGNEEEVATYLAVIHRGSFQIRSKREETDGRKLAHIELFEIGLATEEQLMGDED AVRRQGVTNEDLDGRFSTSNLVQEPLMEIENPTAARGSSLSNPAPPRNVSKVSDYDVP TDDLSSEQRAIPNALTIKQTEVDNTSSESRAATEVLKESSAPVITVRNLGPLSISITD VGKATNNNDTIGTIENISQVNKIIVESLNDTLSIVPDIKDDAQITKPTSNGLAGPYMS DRTNGFTDWSTRIDPGTLADLFPALDEVWDGGKIRHLIRDHEEAVIWTRYHLTEGQRF LTCCWNRWVYENGPIPIANRLKYYLSFIEAYGQIMIRAGMTREIGDLLQIHWRDKYIS LKEMGEVLRVWNEISRFHEKLKNLRQNKQKEKGPRSITRN I203_03445 MTLSPISTSDPQVSSEPRRTTKETHPHLPPGLILDENGKVCKVC NTWQDFAKINKNKQTQSSDGTSSQSQSKTALKGMGMAGFANLLNNSQASSSASSSDPT SARVEVDRSNCPPDTASLGRSTWTFLHTTASYYPSNPTETHRSKITNLLESLSIFYPC SWCAKDFQKDIRQNPPEVKNREDFMRWLCERHNTVNKKLGKPLFDCSIKNLDKRWKDG PEDGSCD I203_03446 MPSTAQSRPPLLNFIVHLLFSVATTVLLYLISLGPIPQHVGFVM DGNRRYARGLGKEVSEGHGEGFAALKRTLEICLRLRIRVVSVYAFAIDNFNRSENEVS ALMRLAKDRLAELCQHGALLEEYGVQIKFIGQISLFPPDVQQAIKEMEEMTAGHKNGV LNVCSPYASRDEITTSIQNTHRSVYNGELEKDQITSTTVFNNLGTSQAISKVNPGLFK RPEESGKLDILVRTSNVKRLSDFMMWQASEDTQLHFVNTFWPEFGLSDMIPILLGWQQ KKWIKQLGWD I203_03447 MLRRKLSAGLLRPSTSSVLAPSPAPITRPRSRSLASAVLLSSQR NWKNETVVTLKTELKKRGLSQQGNKATLISRLESAEQSSLLGPLPPFPNGARGLSTTA SVSQPPKPKKDSTTGPGPATSEDPSVTSTGPQISSQRTEARKVDPIEPEKITVAPGLP KSDVAATKDVGEKLDVRFPGSQAEKDVEQVIPLTPDNFSSGTTTDSAPSLSAPKVLTV ASASTHLEGGPVHGVHESHDAHSLETESSGPSLKDIPSLTDALSSLITAPGRAWSNAG IKLPEINLPKSIEGQREYKSEKRNLNDDEKRGLYVLAGVIGLGLALGGGKKEKKDNKG LKDKVESAIAGSGIPGAKSVKGDAKWEKASGAGVVGHGSRKD I203_03448 MAKLLSDNLRLKFEPGALSKQPRQEERISDPNLLWQPESIVLRR TCHLENPQAAIDPDLAEALLKDRKGEEISPTDGRARSLITSIMSTPSSSFKPPEPITT AASLSTASAPMANVITVPKATDESSKPTLVTATRNIGRNHLWDSYRATVNDPSDPVHP RQVILQLSVLQRFPRKCQIDRPAYCDRIERFSIAQAKKGVEREAWFYQKYAPLLNKVN NIVPNYIGTFHCKHPCREDSPTRIDLYAMILDEPGELLGSAWQDIHCPSISGNIWRKV YELYDFLHSHQIIHNTELVALNIFYDKKTGKVRIANFFDALVLASDNCEPGERAELKA QRFLRHEKARIALGRRIID I203_03449 MERASSPVDQTRTQVHRKDESDGTLVRAEESDSLSPLPSETSTS VIPLRPDGAGHRRDGNSQTTFSSGESGLDTPGEIIFNGADFASPPVLPTHQFGSTPSA VENDQTPRKSSLRPTPYPITPRRAISKTLNTTVIDESYLPPSGSPVPTPLVQHRRPVR DVVNSINKRGSSTPFSLLSPMSNYSPAIDRKSSLSSNIIESSVVMPTKPKSTFSGQGE GEDQDPFGTPRPRLSSSKIQRPVTIHGSSDAGSSSTMSQRVSSSSVDKRPTTMWEVIK KEQLRVANPDQNQQRNFSGIDK I203_03450 MDNIIQHGRKIALLVLISTAQSAKAVDANNVTPRWGHAAAYIPS PPTLIIQGGKTDPSSSYTYSSSPNTGETLILPLTSSSSMSSAPFIPLDIPSAPTSAWH TLMPLSSEEEGIWKLLSFGGDGGTAEAVQTGSNSAWIMDVKTDGPNVNYTRQESGNGQ PMRRIYHSASSTSEDGKVYITGGLKDDGSGATFSDVYSFDSSTSSFSPLPSLPIGLYH HSSIILPNGTLLALGGAYTSPSTGVAALQPYSTIYTLDTTSSSPSWTERQISGTVPEG RRGGSLVTNEDGTKAFLFGGANAGLGEVYGDSWEFDLADGTWKEVTTAGLGPQARYDH TAVGIGGNQIAVFGGYGDGGPADSNLHIWDTSTSSWITDFTPVPTSSTTTTSTASSGK SVDSSGISTSHIIGTKTQSASGSAYTPSASATASSSSTSAPTDAGAHSHPLTLPIKIG LILGILAVVALLVALCLWRCLRRRHTKQAALLASPWPASGPKGRTPPRPYGSREKGGE GLMEELSPENSIEGGYEAWGLREKGVSIGLGMGAIGATLHSISSKFSGKKDDPYAELH DDPSDEVGGPLSKSSRRIGDGIRLLGLRLQREKSLYYSPEKPARRASIIRNSRIDMLG GEDMPNHAAGTSSRNVEGEDEDEDWVIDSDESGRNWKSAKSLLNNRQSDDEDQDPFHD RASSFDDDAPILPPLRVRGGPVPTPHESRSDLGTLDEIASMSNPYSELSRNAHSELSR NPYSDVSRNRLSHNSSLEYHLPSLSPSDPLDLTGLLVPPSDNRYSQTSIPTSARSGRS GRSGQSNALSDAEEGIISEARYLHSQSPTLISPVETAYVPIKRSESFFRRMAAGGIIS LLSSTKSISSSSQGKELDIRDPAPQPTLWPVMSSENVNSPSSPSPISPESSNHPPKSW RGDTLDLPSNDHGKGPSLSSLNSAKSMRDMVLVQRETTIPQLEVRL I203_03451 MGIDLRYHHVKKGNRSAPKSEDPYLLLLVKLYRFLARRTDSKFN RVILKRLFMSKINRPPISLSRIVKETKNSNPDNSKTIVTVGTILDDERLPELPKLSIA ALKFSTAAKERIVAAGGEAITLDQLALRAPTGSNTVLLRGKRNVREAVKHFGGPLKGG KPYIASKGRKFEQARGRRKSRGFKIKSTHK I203_03452 MTYIQSPRSTPASYSSSPGASPSTSYSPIRHSPLSQPPISAISR TPSPTSYVGNGVKPIPTPSRRASQPTSRGSLSPLTSLVSPSPRYMNGGTSPTSSFHTP THDVVHSNDQSPSASPSPSRRLSRSQPLLGSYHLSLLHSRMSSAHQPHQLTNEFSISL VSIGKGKSCAAHLRYPSAVEIPFSAVYYDLEDPEAIGMMPTKSTQSQCQSPWTGGVDL EKYYYDSFSNTRPHPDPHSHPHSHVLDAPTRKNEPPSFPGYQVSPVGQLQILIKSSNS PIKVFLIPYDLRKVSIGGRLLVREKAYCKTRDSIGENGGKGVLKYAIQLQFVCILPAS SPVNRTTQSTSLPSTPSHAHDGKSYYVSKSMKVVFVSTPPDSREMMDVERTDEIVEPP TTPTSSGNKRRRSSLAFSPGSLGKTSEEWEMVRMKWFARRDMEMDRSEVDAQLDKVDR GDSTRSKRDSDMGLVLEKPRMIRKPSLISTTSPFSSSVSTDVPKSAISPLPILSPSPI RPTTSTLHKSRPSTPTSPRPISPHLNGSGPPLIWSPTGQRRMRREDGLEEVELSERLR KMGVGVNKEE I203_03453 MSTTASERQPLLSSAQLPSGRDVQNAIPSKRQRIKVAEVSGALG AGKLPSQSQLSKLIQTILESDTLKATGGPNSRTARLGAEGTRVLEDLKGVLRAAKNWG DEKNDDDLLQNFFYNAATADVDVDVSSASASRPSQKELSKDGQRAIESFRTIASLIVT NDTFRQLGSDLILLTRDIFADAASVAADNAKQAAEKTRPSQKEREQGVDFQNLQNKGK KGLKGARTGKLQGEVRESIWDEVENVKQYFDEKLPEGQQKKDELIGNLQKVITQAQSN PQYRRSLTTIVNLFKKYANKAEQALDETQKKSNVDDEDEKVQQAGRDLKAFVEKISNK SLDDVISASQKAADDVRSDDKLSAYFEELGNYFDRLLYEPGYVTSQRAYRKATSLYDD GQSLIAENPKWKADARELQDQLESIVNGITNDEATNKLVESIEKLGSSLSHAGKVGVG ALKAEGQGLYRDFMDVVVPRLIGLVKEIPVPRVEYKSEEVDLVIDDIRLESVSFIPDS IRFVQHNDLRFTQGYATYASEYDASVRLRVQGLHFSASNIAFWVNFKSGFMPFEDSGL LDIKFGPQGISFDVTLENADEDDQETFFVVKDVQVWISGFDFEIRKNNKWLAAWFARP VIKAFVKRNLTHALEAQIPEYLRQADFRLYGIQQRAIAATNARPTAANFINAVFSDSI FPHPSTSGPVTVGSKGVVKYGRRGEYVLHIGVDEDLFPNKPPSYVSNTQRQKLKAAAS SSTNRAVGAADQFRGKGKQATDQAKAEGEDLTARAKEQKRREEKSEGWRSDAFNV I203_03454 MSPQTASSSSLQLNIPQPPSKIITTSPSPSSSSDLNGHTHPHSN KNGNDNGNGNGGSLLFDNTPLPNNGNTLTLNSPTCSNNRKSLDIHKTPSELDPMDLSR TPPPRTFAPSPPPPISRSDSFTDITMHVNVAPQRQGHDGVNGVRISKKYRDESELSRL MRTVDFAARKHSCQRRKDVDQTPYINHPIAVANYLSSTGVTDVKVLQAAVLHDTVEDT HTTIEEIAQLFGTDVARIVEECTDDTSLSGLERKTAQLRSAPYKSREAQQVKLADKIH NLESIRRCPPVGWGIKRIQAYFIWAKQVTDVCAPAHPPLAEKLQELYETAYTRVDGVY HPCHPGVCGPLTEPEKDLVDSRLRELKKGDKVCPAPIFF I203_03455 MELDPLYHVKQLFYQASYKAAIEEALLQPHKPSDDPSSLHRALY IARSHLSSNPPDIQSAKSILSPFLSSSEPSIAARTIDAFASHLAGEDKVDEIRDFVLE VEGSEDEVEESTVRVISSTVFILLGEVEEAVATLNEGRGKEDLECLALLVQLLLSLDR RDLAQSTYNTAKKIGNDSTLVQAIEAWIGLKTGARPLHQSYYFYEELYQLPSGRTPPV LASHAAAHLLLGHVDEAKADILEASQKENGDKQGDVLAVGTSLGIDGYAEKLATNAPQ HPFAVDLAEKSKLFDEAASKFAITA I203_03456 MSGWANGPTLIEEVRIRIIDLDAQIPIKKGWQGCISAVSPLREI FQRWVDKVHSGSDYIWYYFTLSSPNGHTLSGEESCRDLDSHTLTTIYAKRLIK I203_03457 MSGCVRGETLVEQVHLYFIEYRNNGSYTYRHSWDGCISALSPLR FIFERWAGEEHCGESIGNFHFRLKNPTVGRTLTGDESSRDLDIHSGTRIYIARVAGDA E I203_03458 MSGCIDGPTFVKQVRLIFIDKTQNPTHVVWEGCISAFGPLSGIF ERWVEENHPGSPVGQYSFTLDSLQGQPLSGDETCKELDVHSGRKIYAIVWLG I203_03459 MLVKYPVHFIAATRDQNKTEPEFEVTIDVDSDQKLEKAFNTVVK KLHPEDSEQHKYTFLLQSPNFPPIVINGACKPSDFPNFSRDWKVIVISAKAKAAQ I203_03460 MSDQAQRTATTAPNNTADSAGTANWPVDLSSSPRPRRTDAWDPH TFPSFQGAMSASAENELTLAIQWIRHLNAESSTLERDSPASVIHDNYWDLWKSIGDKS SETLSTAQARTANQEDLIEEWNTLFSESTVAEAYPMNEDEFAEQYRQLLQLDAERTER LASAANKDDDSKAV I203_03461 MRTYALSGLLDGQIVQTLQNDHLRALQYGNDLYESLVQGDLTLQ ESEIAREWAFSVPFLGTPHDEDQVICHWRNALDKLATELNSLTDIAFTQIVSQVSVAT QQKINDFAQSADSLLDIGNYAFEVLKIELFGDQNQGGSWRTQMMCKGSRRQWAESVER I203_03462 MGQHPADEASPSTVTDPINPPNYSGPPKPSLPTVSAPSNEGVNR PVTDQPDNRPRMTFTNAPIVVDNTILPNEGALYCSLCWGCCVINIPR I203_03463 MWWPFQWLVIYVLGGVTFVPLVIVLTVVYVYKYGSVPIGDADPY KIEKSELQDQEEKDEQIERSKTLTSMGNKPISGWLTVRRQFKPLSAGVGSKNAIATGT SADEADEAEHGVVEQEREKGDTESIVTNGSTTSNTTTPSNNNPTTYSARIAQTYRSMV ESRASRKEPVPKEFFFCVLKGSVLFLYEDESQSNCVAALGVDQYTVRIEREDGKRFKG KDAEMFSKRNAVVLRVAKGVEKKGIPLVSKDTQVGSEEGKERELENKPIFLFTKSNFK MEDWYLALLEASSQAAQAKTSEVFESRDMQALVDTIDTEPDPIPMRWFNAMLGRIFFS LYRTEALEQFIITKMMKKLTRMNRPSFLGPIVVREVNGGTSPPFLSKPMLKDLTAEGT AAFEAHMQYRSHPSRPNSHVRITIATTATIPTGFKPYVVDLVLAVVVKSLEGNLVMQI KRPPSNRIWYGFTSMPKMDIEIIPVVSERKIQIGMVLKAIEKQLRDVIAESVVLPNMD DLAFFDTTKMSVRGGIFNESSKIKRGEEMEQPETAVPIPESEIQTSNEDPASAVPSTS SLRKRNPQKSKTTDINGLGQAEEAPQLGLPRTDTAPPTLSNGTTNRTAATVQATKKWF AQTGSARPPSLTSQTVTGGFKHSSDDNLMPQRSDSSKVQGTALSDPTLVDANLTNSPA IAAVQVSSSTAPLSEEEKRSLEAVQPSDSIPTPTPQGDINIRALHGEPRASDASIAST SSSSTGTIPSAAPHSSTASLISSLRARDKQAIQAQVGTARDSLKKWGVGLAAKRKAMK EGIQHREEHRPPALYRPPEEDFREDERTSASTSPNRSLQDRLNAAAHASATSVPMTIP SRGRSASSSSRPSLFASPKSAASPASTSPPKWSPPSSKPTTGIVRDDISQNSNPSTSV PSHTRRTSNTAPVFVQPTSGRSMVVPRVPKRPGQVTGIGHNAAEPMIRKVSTEDGLRE ERVEDVHADGQTAPPALPPRKSKESLRPAQNSVVGDSNPPDIPSPAKPSTPAPSNVPP PLPPRKSSPAASSTNVNTEIGLPQQPDHVPQINDPPPQASRPELAERSNSIAALPSIN TTDSPFDEVPNIDITSPRTVKIESALSKSPGSSSTAEDALRSLVAKNEEALKAKATKS VPPNPDISTTHTIPNDHASSVAGDDGVTNAQNDETQAVESKD I203_03464 MSAITFAPTPWTRAPISTIYSQELIDPLDSTVPSAVHSPDSSYS ETSPDFQSPTFPLDHSFEYDNSHEEIQAEEYDEVREQEEGDEEVPRWGMGRRPSWALS AGGSVISMSPRGSFSSRAGGSFSGSLDSRRGSSISMSLTRAPKLPFEARRKSNPSLLG FDLAEQRRRSSAKSQHSLTRRRSSALSSAMYRSRRNSSTIDAMEEARLRNIASLDLLR RRFSEVVEVTHGYSDEEDDLDGAWDYQAWSSCTEDYDTEYDDDSEIHTESYVPSPDSR NGAFLPSLFSNYPLESVAVAPTSVAPDDNGSDLSSVSPSSLLQAPRFTQDDITLQRIG TPPPSAQPNAILRDRSRPTLNRAITNYVAPRTVGVPPGAAPPRPGLARSVSNPHVTTS SSSKETIHALEIRAAGSFPLARIIPLGVSPLRESLRRQSVISDGGESSRRSSLGERRR SSLVPGMDIGSRRSTRSGSFNGSDINDASRRASLAERRISLVKESAFRRMSGPNETRR SSTRKSSEADMRRNSSRPSTSTGTGSNTVPRDSRKSSIVSIGEYGYLGPQIVIDDPKA APQSSTSTSPVQSQEMPLPVSALKLRANAPTSIILPSYTFPSVNSLTSPTSLSPTSTT PHSNPYDPMITPTTSRSYFSSESSGSPKTPRAVNMIMDRGRPIPSPEYDAAKVLPFKD TSEPREEIVVKLGDRSSARKVLSIEDMHLVLDDGPSASNAVPKEVNVSGNKPGMKRYP TDIQSPLGSVSGSGSVQSQRPNLFRGLSFPISNDSVKQGYTFPSHTDKATATTTSSVS ASSGKRDGGEGNKSYQFPQSDGMANKGKNKAQISVQVQTQNSSTLPKNRSITIIEPPS PRSARPKLGDRTSSFTRFFQSKSKKSVNQS I203_03465 MGSPTKQHFYASRPSSRAGSRPTSPSTPAEVRSQAVAKLKRAAS LPRRPDGRRPSLAQAINAEHSTVTNHQTAQTFNTQNENTNTLSDQQQQQQYAGPSTLD VSPNPSEPQEVLSPSPVATTFDHSNMYPSPTPGPAMQMQRSVSANSTYHMASPIGLHT PPAADWAAMQLAQSYLPSLTPTCLSPNPYPHSVPIGVGRNTPSPLPTLGELATLQRSN SNAARAHAMSKLTGGKQAPQPEDEFTLSTPSRVNLTRAGTLGGPRMLGLAINKSHAAE APMEDTSPVLTLAEARPRLQRSFTVSSSNMGEERRSAVGRRMVERLAERRAARQKEEE EVRKLWEERRAQAEVVGAEQHVDQDDEDQDVPGEEAGDDDNNYYNENQEQADPNQHYH QDRDGHHYEARPDNGIPQFARHSPQEPPQPEFIPAHGGDLLAAGAASGDRPISRGTMI STQEPFEYEDHLRRSLSSRTARGAVGTAEPLPSIVTPEHDNQRYTENLAHTDVQVSDE PLLPPKPSYATPTRPGHAPHSSTSTESTIQGSQSPGGSTLSGLDSMMFVMGGSSLPGS AGLRPPGNGQHWPQEVHEGSEWGTPAKDLHQATFTDSPILHSPAEFHQTQVPLTEVPV DDEGDLTSPSRTTTRTDSMMSWEEVGGKEDQEIRVPTDKTYHQKTGSFSAKLKGSVRS AMKKRSQSRTSITSFTQSPPASPMNLQPATFSRRGSESSNSPSYSKEHSPRHQPSVSS LSPSIAPDPQAALLLQHQLSNEPSQISFLPRANLNDPRIMSAKLSPFPGIAQLERKNT DGTLAPGEPPKLIHQVSDSAVPSQQRATPPVVQESIYALPLPASHPDDRRASADSATK RNWLSKAFGHSTSPRSSGSVSRKSSSPDVAGEARTLGQGAQIISSDVDPFAPPPPPQQ TLGVKPARHRSASPSVSVVPELSEEGSRFTRFISMRGENNTPAVPEQMEENLDQRSKD VLTRMNAVLAMGPDDPARPEILDDPPRKLLLSSQILQVVNTHTVKDRYLFLFNDILVI AKPIISHGIHATLDMKYLVKSIVSLDRLAISGFNEEPTAEPPRHPVVTNFIERFAQDP VSACAYLVERSNPKVDTATLASLIFKTPELDKAQVGYLLANNDKLMRHFIDRFNFSNI RIDEALRMFLLSVRLPTERTSCENLLRGFGYRYFEANQSHISSDRELAAELVLAIIQF NDSLYGTFGFSLPNHAINEETFVSAFQSKDPRGLVPVELLSEIFTSVKQTELVQSLDS SKEVGRSKQIAINPRIPSKLLYNTWSEKITISIPTPDPSFKIKLLGEGLVFEPPLLDF ANSSEQSFRVKGTSLGVRHLLFNRTGSNAALYGNLGNTRLFTIERAFMKYTFHVSFTS HLGLKRKYCFSLNDLESKRRWGKLLSKQINLTKQTKSSAITTVQEKIRQTAENVSIQV LRDALIPSEWKSQHQPIDTSAAIPNGGGGSMDNYNNRIRSSASKDKTTRSGSVSISYT KPLKEEYDLGPLVPTKSTNPPLGLNGEERQSGIMEIQTGKELVLLCRQNSLLPGLLGL LHSAKEGAEDVRSLKVGNEMIERNDSLRNKGLRV I203_03466 MITLTPLSSSAAASSSSEPICYLLELDEARILLDLGQRDYRASS QQDNWEYEEKVKGLAPTLSLVLLSHSPATYLSLYPYARARWGLTCPVYATQPTVEMGR VVCLAEVESWRAECPVDEGSSLSASGIEGSKLDKGKKPLRGPFVPTVEEVHEAFDWIK AIRYNQPLHLGGDLSHLLLTPFPSGHTLGGTLFKIRSPTSGTILYAVGINHTSERHLD GMVGGHNGPTGYAEGVLRPDLLIVEGGRSEVVNPKRRERETALLDVVTSTLESNHSVL LPCDPSPRLLELLILLDQHWTFKLNPTNKRRQASSDPWPYPLCLVSRTGQDMVSFARS LIEWMGGVVKESGGEEVAVGDLAGGQKGRKRRRNAGAALGSEYGALDFRHVQFFLTPS DLLQAYPLMRPKLVLAIPPSMSHGPSRFLFTTMASTEGNIILLTSRGEDTTLARDLYQ RWEQEQEEASKWGKGKIGKLGGLKGQLQIEMDSKVPLAGVELEAHLESERMTKEREAA HQAALDRSKRMLEADDLESDSESESGESDINEDDGIMAPVITKRRQDANAFAGDGEDV NRTMSFDIYVKGQQMRVYNRPGEMTRFRMFPFLERRGRKIDAYGEGLDIGQWVRKGRE IEEEGETEEVREKKRQKEVEEEKQKVQPEPPSKYISEQLNLDLRASIFFVDMEGLHDG QSIKTIISDLQPRKLILVRSEQDTTSSLVKYLNSVSGVTRDIFVPATEEQVKIGEHVQ SYSITLSDTISAGLTKKWSKFEGYEVAMIDGKIAFAPGSTVPVLESSELVKPLPVEEE QVEKKVETIQEGDVEMTSEPAKEATEETAQDDQVQVKAEPEQGKDTDVNEVVTTKKKV PVPYTIAKSSVPSSLYIGTLRLTSLKSNLTKLKPSIPSEFAGEGTLLCGPGLLTPPEE KVKSGSVVLVKKIGDNGIVVEGTIGRVYDLVVGAVKDELARVNSS I203_03467 MRHSGNARSNKGPITSEKCWEIWYSAGKTIKESSESDRTDDDKD IIVLGESGKHPDIDMTLSDDEACQAALAHLKMFEWIERRAGSNLPVEEAMGGKENNTR MT I203_03468 MSSNTITSASEILPSYLRSSEPFSPFPSNLSTLSTFSSLSDPSN PSKDSLGSSHAGDYKGDRLLRDTFTGSPAKDARFQNQRQQLQKWYSDSSELSIIGNNG NISKPNTSRRSLKRDTANSVRSAPSKFPRSKMGPKAKARGFRSLLRELTTKTSLASPS LSAKDHFLSSIDTSKYDQKYVDNLWEAASDWAEDLHIRGRKFRINKGEWISTVGMNLD AFKASIATQLLRSDDAKSKTSERRVERRVEESDGMVRFRDKGNAWNLNRRFKRNDASQ LC I203_03469 MPKATRKKKEKQADFTKAKLKLGKGKKQASNATDTSFKARSIAL PGQQAISRAILNSDGLGPSEPTTANGLTLEDLFIRFRHPNAGVRRESLGGVKEILSID VRRDIGKVLRALGGLVSDDDATVRKALIGLLAWYLPQLPVSTLSPHLPLLILQTSSSL SHIFPEIRLDACKLVHLLLTHVPSHVVGNWPGEPSNILEGLRLAVGLGGEKGVNSQIG RLTGGAKLVTLRAMMEFVKKGLGQGEEQSNQEWLEGWVEKKDKGKGVEIKVKNEPTFE DLAQEGWVVGSGWDLQKEMEISWEVGRLTAHGKEDEDGVLSVLSQLYISLHPLLLSTF LENAPTAFSPSSTSSTPSTEDIPLALCTTTASLTELLARAILTRSSTRTSTELKEVRS NISDFLKRMAAWFPFSSNRLKVPTPSGLTAGFELSLVYSNLAVLLAPRPVELIWPKDT RNTKELGWKERVRVIEDTWEEMRRKQNVKGKGKESADEWALEEVASWVVEVLAPKKDI LSPQLTPAAYTALLPIVFSLLIQPPSKSIDEEDIPSTVGDAFLSHLLRTSSTSSIRTV GDGFVVSLTEIHEQRHPRYPFYIPFTNAELRGKCQTWFESLPKVLWELSAKDEGATEQ ILEFLLRLGLRGKEALDDKYSILSADSFASISSKLAPFFHLQHPSKGSIPGPWTKLSD AKVKKLGLDVVRVWIEWDDGRLKDATSKAVRAGEWEDYWLR I203_03470 MANSEILHPKAVAVITGAGSGIGLAAALKYAKYGMSLYLADIDE SSLQSAIGKVKAVDGVGEVFATKTDVSKVEDVVELRDKVLEEFGEIHILMANAGISKP TPAFSLSTPLSELQSSWHQVLDTNFFGVLNVCQAFAPIMARQENASAVIVTGSKQGIT CPPGNAGYNVSKAGVKTFTEQLAHELRNVPDSRCSAHLFVPGWVHTGLTGAKTGAPKP SGAWTPEQTVDYMVDKVFEEGDFYVICPDNETNNVLDKARIQWNLDDILQNRPALSRW HPNYQARFDDFIAAKQGLSAGARSRSRGRRALGSQDSGFPTEADISRF I203_03471 MPSSSSSTSPKATTSPNALFLPFDPNSPLLVAKGVLTTGTIGAI TGASIGVIQSKNPFALSINMTINLSIAGLTFFSIREYLVSPLLLSIELTPSHSRRLSQ LHNGEGELSEVQRGKLPSLSEVRWDRVSDSAIAGGLTGGVLSAAFRGRATFIKAGITS SLIASILQLSINQARVIRLKTLAKQQSNSTITSPPSPQNTLEGSFIDQNQSQSQITQS FEQPLKNPIPNTDINVESQKHETNITFPERMMNSLTKFLPVRKLTNEEYIQTLEKKRM EVDKRLREIDEEEKRMYDWAQSQSGTRS I203_03472 MASASSLAALMASPAPSGGDATVLAHGQTLPDISLGSLGSSFKS EDEEREQAERAARTGNAASSALGRPSRAQRHDQSPSPPSSPKRIPSSALHSLSPPPTV RKSSSHSGLPQSNAPRRPRVLGRQTSVSSQSSASGSDLDVDGPLRARYGDGDNTDDEV LLSSLSLAASPQKTSYAQRKAPYHGGVSRRGGRHSVAAGDMSNNGPMTLRDQEKQLEE SKKEVFNLQLENHFLKERLSNMAPEHIEAALNENVKLKLEILNLSKELKKLKKLVLQQ DRDLAAASHSAGGSKSELRELERMWKEEKERRRLAEEALKSQGNGDEGLRERLEDTEE SEKIWRKRSEELEDELEQVKIQLEDTNEQYQRVQEVAVRANDESKAEGSSRGKESRLA NKVQELEQENASLQADLSLAKKGALSEADAELLEEKLNELQDQLVAAQLDVESRDREV EELNNELDKHLRDHEKELQQVEEEWRDEVIEARAQVDELKDVNTKEVHEALRDREDEL SAALNKIEDLQAVQAETHDRLEDTLRNIENDNREKDGELLAANREVEELGQRVYELEE ALEDYRIKESDLNADLKSADEAFENAKSHYENLVSALKEARRKLQAERDEALSQVEKV EEMRVEELDRIERERKSEEGGWKRRLQEKDQSLSRLTAELNSTRDRLSQRERDLSNVE NALRSLEDERKKLGDEHTSDRFGLELELERFKRDLNRAEEDLEILRRDLEERDAGLRD RDLDLARMLDKQRDLENRLASERQGRLHMSDKLDQTNKIAKQHEKEAIHLRERIEELE PLLTETQHERFALQKQSESQRQERSELLLRVYRDVNRFLGKDDAITPSNFTVFRDTLV QRLKSMIQIRMDFEKKIKETESSVDQRIGALKKQLEQKWRSLDNFEAAVKKLELAKLQ WKSKYAVKEGELEAARSRNHELTAQLSSHRTGMTTSSSSEIKSLQSRAESAEKRAMNS ANQLAIIEARLAEAQQKAGQAENKWEARVKEYENRLRVAGEKIKTEKQGGKERAMQLE AQVRDLERQVSETRKRNQRVEGVVAHAHANAQNMSPEMKEFRRGGDIGYAFGGRTSR I203_03473 MGQEDEKPKVITAYKEMTEIRKQKQNLPGKDTAMDPLAEFTKLE WWNDDGEPYLQEYRGNGKLKGKKAIITGGDSGIGRAAAQQFAREGADVTIVYLSQEEE ERKAIEQDGQKCLTLALDLMDEANAKKIVEEHIKEFGRLDILVNNASKQIMVKDLADI ELENVESTFRSNILGMFALTKFALPHLKRGASIINSSSVTAFKGSPAMMDYSSTKGAI VTFTRSLAMQLAPKGIRVNAVCPGPVYTPLQPASRPAEQMEEWQLGTLPLHGRASQPA EMGPAYVFLASPESNAMTGQMMHLVSAFSYPS I203_03474 MSTTADNTNTELTRGTQRLDVLSDDGDTLGDISIHWESSSGGSG SPFKFQGYSVGGEPDQPTVPVAVSCNGQCCSSLPPHERSGWVFTHDGESSDVTGSRTH EGTGCNNDIVT I203_03475 MPEPTDATIKTADEAPSQVESGSREANTDTSTGTSSQRTKSLAD LYTSGMRDQGETAIKQGIVNTLNNIEATEYIPPRWLKLAREADCSTVHANCVQFPTLR KILLDYDEELGMRSSKGSAMRPVNSDPFVMTTAMGTETHYLFKN I203_03476 MKARLATLWGNDTTALHSMAVTLSEEGLASGTLNLNPEEGCLFK IRFVGSLIDPPEVELTFSSIGFCSCRPCGSKTSVNEMSRQGFRVVESKDKADGTGGMI YYGTLHIGSHKCDLACKSQMNEEE I203_03477 MSLPAPTPSLIPLAPISNPSPLASNTTPNNQADPSPSSRSSSRS ITPPIPGAFTREPVPVLVSTTQVIPRRPSSQRSFSSSRTSLTSSPPLISSQPTPILPM NPKQPISSQDLFGTKTKEKKQGWCSLKWERCKDRCSDCCSNCQCEVSFGVAMDF I203_03478 MVKGEFSHSNLLPSQSASTSTEIMILGADPSVKTVYPPENSERL EKEYPYVKFGWVKGATHDMHKTDAGVLAKVIVGGFAGAEKAGVTVLRGSRHEYIVNED YIDDEVITDVFWPKADPATNISP I203_03479 MPFNPLPSGYTFNPYVSSLQPAQVYLVGVFLSQIVNFTTRPQSW NPFDPLPTISALVFPHWVLQEVRSEVFNSLPPDLFNPDGVTLLLQCPPEFVEQLHQQA QNMNQTYSEVMYFGWGRMPISESP I203_03480 MTDSSKFRKTIDLLPGDLYVEILKSFRKFGVFGCQIAIIREIDG EVEPLGVSYPMGTLDGKTDVVGEDIFPLGGSTLFIALVALHKALELKGYSLTTPLKEV VSEMTLLDNGIDETKNCVDILNHKKCTSGEIDENAKKIIEQLSKKDYVTFVQEEVLTA CNMKCSKIEQGKVWSNGRDLLKFHCNPQFSKLYGHILIEDHQSSSQSRLVKSTYAGVE VYEIESEDDQYGNLTVILGGHRTQLIVLYKKSDGKQGREKEDFVAWLKPRLIEHLSKL VVQQ I203_03481 MPIRITSEEAPEAGPSRRSVPARSSPELDGEDDMIEEEGWTKET FENRSISKSTHSAIPQLRTTMDKLKEVISRIEEGLEIVKETAIALEDSQQDEPAIDEV ENSFFKALDQRELLTMKIGVLEDIINQLRAGEEYSNIESSYEQLSIPRETEYLGKSKR AKYKNSKEYADFRSALWEVNHSTACPPVSQWLEKGPDDESDDDDFDVGGVTQNYRCPI TLVLFEDATTSNKCGHNYSGEAIRDLVDSARKSRRPAKCPVTGCSAVLDKTDLKANPA LQKRADEFARREKRREDEREEGDDTIAIEDDEEDY I203_03482 MSSPLTAGFCELVFNSADAPEGVTPTLQVLSVKKINAPGASGQD RYRLILSDGKHFIQAMIATQLNGMVESKEIDRNVLIKLTGYVTNAVQGRKLVIILQLE TVPWNGEKIGNPTNIEQQQQQGNQSTSTSAAPAPVPKQEVGGFQARQQSAAGRGGAQA RAGAGGRGKAEVGPLYPIEGLSPYQNKWTIKARVTQKSDIKHYSNQRGEGKLFSVTFM DETGEIRATGFNEAVDNFYNLLEEGKVFFISRARINIAKKQFSNVNNEYEIMFENQTE IEPCDDDTVPQVKYNFKGIDQLGDVQKDELCDVIGIVKEVGEMGEITSKATNKPFAKR DIQLVDSSGHSVRLTLWGKQADTFKADDQPVVAFKGVKVGDFGGRSLSMFSSATMSIN PDIPEAHQLRGWFDAEGRNTQFQQYTNAMNNTSGAGGAGAGVGAKPSELKTIGEAKDE GLGMSEKTDYFTTSATIAFVKQETFSYPACANPEGCNKKVVDDGSGWHCEKCDRKWDA PIHRYILSMNVMDHTGSFWITAFNEQAEQIMDISANELMRLKDEGSDVNSYFQKATGK TLTFQMMAKQDSFNDQPRVRYQCRKVAQPDYASDSAHLISLINSMNV I203_03483 MASTKTRRAPPTNVVISTPPPQSRSKSMYSEVEKQGLLANFDIE VADKTLYFRSILSRTLASFRMREESEILSIPRELRGMTLADLEKKWGGGWAGTLQKIR RESFEKKEKVREEKEEKEREEVVKGKRKRNGTATTDNSPERGKKNPRRDAPTPSSTRI AQAPSSTTRSKAATSATDRKGKSEAAPSTSKGPSSLPQNHIFNPALPPTPLFASRSNP NRPLTSPLSKSSTRSKPTSSSRTQSEQEEASTSEEEEEENDDDDDLPNPEEIEAKMLS SKTPSSKSTSSSSRLKKKRGPSLIFRQSLAANINTHNHDDKEEVEVDRNGEPLSHISL SDGRTISFNPFNLTPGRVEKELNQGEQKLSKDEKKKVQEQINEQVIKSLRERMERWKV I203_03484 MTTSITDARYNVLHHTSSYTSSLLFDEPPETGWSVMPGYDETLN IPIELEPPRFDADETLPNQIHPSYPYGRPLSLGKKSGGSKGKGVGNLLGTIGMGMSMN LRGGEWTGESGRTGGQWQEWGLEEVKSSGGGGKREVPPGMAGAKRPPSPPSPSLHPSS IPPPRSMHLRRLIERQQSSPSIPNEGFDAPVPNETYDEPSSPQQQQQQQNQPIEQTRN SRNVVGTGSERENEDEDGNGHGGSEEERMDDED I203_03485 MSRPTTSLISRSARHGITSRSLFTSVPRYYSNEPELPPQPKSAE ALGFKTQPGRQRQLPTDLKINISPSVRKDLFKETSGVRIERQQAKKGRNETKSRITLN PRPSNKNKDTLDINNIQEESNFFENSDLSSSGPSTSTPTSRKGSLKDVSLDVISESSS RSSLPPDVIRRQRRENNRTNSRQGQGQGQGQNQRNARAGSRRGASRDTPKLNAREKRV MLPRRQLTFEVADHSKNGLFGKNPLLLQSSKSSIINGLGHPRKTSSTHQSLSTPQFPS SPIPILSPLPSKSSEQAIQIASWTAALNGSIAPRVKGRLEETVRAQLGR I203_03486 MSTEDYDEFGNYIGGDLESDEESDVEIAPSAPSAPGPSAPAQSY APLEGLEDEDEEMEDDEDRGMQMTLHGVDGTAGNQVVLHEDKKYYATAEETYGEDVEA MVQEEDLQPLSEPIVAPIKVKRFTVQEKDLPETRFDRNFMIDLMNYPEMIRNVMVAGH IHHGKTSLLDMLVFETHQMTYDVDKPIRYTDTHVLSRSRDISIKSGPMSLVLQNSKGK SSLVNIIDTPGHVNFVDEVASVGRLVDGVVLVVDVVEGVMHNTEQIIRHALQEKLNLV LVVNKMDRLILELRLPPSEAFFKIKHTIEEVNSIIASIDPDDSYRLSPERGNVAFAST QMGWCFTLRTFASMYSDTFGSFDVDEFALRLWGNIYFDEEKRKFTRKPADVESKRSFV HFILEPLYKLYTQVLSEDSETLKETLADLRITLKPAAYKMDVRPLLKVVLEAFFGPST GLVDMITEHVPSPIANAESKIRHTYTGPLTSDLTDSMVKCDSQGPTVVHVAKLYHTSD AETFRAYGRVMSGTVKVGQAVKVLGEGYSLEDEEDMVSAIVEGVLIDESRYTVDVPSA GAGNLVLLSGVDASISKTATIVSKDIEDDLYIFKPIKHITSSVVKIAVEPISPSELPK MLEGLRKINKSYPLVTTKVEESGEHIILGTGELYLDCILHDLRKVFSEIEIKVSDPVT KFCETVVETSALKCYAETPNKKNKLTMISEPLETGIANDIESGKVTMRMTNKERGKFF ESKYQWDLLASRNIWAFGPEENGPNVLINDTLPSEVDTKLLSSVRESVKQGFQWGTRE GPLCDEPIRGVKFRILDANLAQEPIYRGGGQIIPTARRVCYSSFLLATPRLLEPVYYV EVQAPADCVAAVYTVLSRRRGHVTRDIPKPGSPLYTVKAHIPVLDANGFETDLRTATM GQAFVQMSFDHWSVVPGDPTDSSIQLRPLEPATGQALARDLVLKTRRRKGLSDSIAVA KYLEDETIIAISASGNADLLG I203_03487 MRDVIRDSYFGHLLRFVYKPHYLRYAEEEDGFVIPKFDQTEEVK DGDRQMINTDSLPSVRGVDLHLVAWYGPKDSENPRNWTLGKKCYVVFLIMILTTTVYI GSAIYSPALEQASEYFDVSTTVGSLGISLFVAGYGVGPLFLSGPSEVPAIGRTSIYII TLFIFFVLNILTALVTNFAGFCILRFLAGFMGSPALATAGASLDDMFPAHKLAYSMGF WGLAAEAAPAMAPIISGFVVEHHGWRWAFWEMTIMSGFSLIVLFFTLPETSPDTILLR RAQRIRQKNGNWQYQSQSEITHAALPYRQIVVDSLLRPIALTCTEPIIIAINLYTGLV YATLYSFFESFPLVYEHGYGWSLGVSTLPFAALFIGSFIGWVIYCYWNLKWVDIPYEP AALRPAPETRLPTAFIGAFCFPTCVLWFAWTAIRCHWIAPVLSGIPFGMGSTLMWASV LIIFQSTYPRYAASALASNDFFRSMMGAGIPIAAHPLFNNLGVAWGNTIIGILGVAMI PIPFVLYRAGPWLRKKSKIAL I203_03488 MAVTGWYNDKEGKWRVDILSQHQECGNPSEVKRLKAQHFDQDNI VLKESFTPRLLGNMQPSRAFGDDALKLTKADKQSIELAGQVKFVGEESPFTKKTVPYI DPPFMDAEPEITIRKLRGNDNEKLKFLVIATDGSEDLPGTTPENSRGRWLFEDKNSAA HLMRNGLDGDGDKKVQEMILSLGGGAARSVRDDTSVM I203_03489 MSFPAALKPAARSAYRSMLRASRITFNGDPTRHVQMLSVLRQTF SSPSLTPPQPGSAELRSSPEATFQPIIEEQVEESEIQKRIEEWKETAQFLRKNVVQGV QDEDGTWKLRVTDETELGDNATIKEPPKLPSTPFPNRNKRRCAN I203_03490 MKSLFISLTALFLLALQAQAAITGQQYCNRYMCVTGQHDSDKNL DTYTLQPPSGTNIPVSQFGWIAIGFGNTMINTPMVIAWPNSDGSITLSQRKTNNHVTP IVDSNPPRKATLLTSSSFSNFSTTSISFTLPSNSSAANSTNLIWAYGNKNPGSSSSST SSLAQHLASGNTQISLLANSLPNTTTTSGNGTSSTSNSPISAGGSSKKVLIAHVACGG VATMAILPIGILVPRISRGLTMQRWWFPVHGALNGLIAFGLIVAAFGIARANFSGGFN STHRKLGLTLFILSIIQTLLGILTHWWQPKHRLQTKSGRGPVNLLHMILGLVVVGIGF GTVWWGLDEEWERYSGSGKPNVGWKVGWGLVVGITALAYLGGFYFLPRQLRMEKERRQ WASNVSNGNGHPTSKFIPTTSNNSSLPLPPPPPPTHTRPLQTPQTAVNTNTNTSTGYV PPPPPRRLPPRI I203_03491 MPPRPSASAPGMATKRIKKEIADLSKENLGAISLQPNESNIFNW KAILPGPTGSPYEGGVFEVDIKVPEDYPFSPPHLHFVTKVYHCNIASTGAICLDLLKH AWSPALSLYKVILSLSSLLTDPNPADPLVPAIAQEYKRDRKKHDATAREWVKKYATPK QAPPSPIPTTKASTSRPKLLNRPSSSTTSASASTPIPQIAGTRRPASTRDTIDLASDS DEGESQGDVSIQVLGNSSRSTVVNGTNGNGGERRDTKRTRLNNAPAGGGGGSVGDAIV IDE I203_03492 MAESPVPSGTYPVHFSPSINAQLGVHEKKRRRDENELIAFKYAF KPASITQNTPGQYQVSSGIGGNGQVVFDTNTGIQQVFDVREEHSKARECVLVWDDETK SFTLHALPSTLHLTLNRSTSRNKAPSVTSSTSSKSIPLAKSSTQPQNDEDDDMKSVDT TQTQEEAETPRPKKKARPSAAQTQVAPPVVTRQTKSGKGLPRKKPLESAPIPMLSSSA STSTSAKAQKTTTKKAKAGAGATGKGRGKNEGSGVVVEPPTPTKYKSSEYIEDSDEEI LASESNNPPAEEEEIDEFANLLGQSLAQADEYDEDQEDEESEEEEEDEELGGARLVVG SGSGTSRPVIEDDGSEWI I203_03493 MATPSPRRKSFSRYSNPSQMGGMPNQPQRPSVYAGPQGQGTPPM VNGRRMTSGPMAPGPVPGPGPMMNGPPPPHTDHSSPQTAQGPPQRYNQPQQPPHPQAQ TPQQRIPSNPNASPVVSSSGSGPQQQPQQQQQPSALVNNTSYPWMTRQLGLYTPQTSP ASAPQSPFPRYGLSVPAFPSHSGHMLIFGGLVHERVRNDLWSMDIRSCETLPVKTKGD APIPRVGHASAMADRIMIVWGGDTKVNVDDPQDEGLYILDLRSQEWTSVPVQKGPVGR YGHAVCLVEGKFYVFGGQADGAFMNDLWMYDIKQLSGNTHRWEQIQYTTPAPPRRTGH ILVAGNNGKLYLFGGTDGNYHYNDTWSFDVATGSWSELSCIGYIPLPREGHAAAIIDD TIYVFGGRDVKGKDLGDLAAFKLSNQRWYMFQNMGPVPTARSGHAMVAAAGKIFVVGG EANQALTDVRDDPTVIHILDTGKIKYPPDTQPPRNPSSVQNHQSPTPNQPQPSQEQLQ KQDTPPKNVQQRVNDTPQSVKRGLPNSSSMDSLSRAASPPGNGERLPPNMQPLGATLP RSESGDLNQAQATPRANVNGGGPPQRPRREGDEEYRRAMSPTNGPVSPSNSNFPGGRV TSPTHNGPASPPSNVKTGFNPSVLGTRSPSPRLRMLDGERPAPPPDAFYYGRSPTSAN GFANNRPSSLSGAQDLLREIKNKEHEIDLGKKRELALKVILARAVQQGFVTGEEEDIN LPEKNEGSEEKEKELIHKLTDALVKLKQDKATIQNDMLAQIRLASEKAMEAERLRRGA LQEAAFYRAKIATLESNSPIDLARIEKERIVELEKQIGIISLAHASSQKELESVLETV NSVRSLHTAAAERETETLKRAEEAEEAHREAVEEIEQLHEKLLENEQTLREHTEKLIT LSSTVQQREAEKDHLQTHLEEAIKGRDENIGLIEQAQSALKAAGLRTTEMEGLYEKAK ARVSSLEEELAEVKAELEVKTRDAEISEEKYREVENAWTQSREEADSLRAVTTSRLGE ILDSHKEMRADESRYSRGYQDQIKALEQETESLRKMLKEAGQRVDSAESGVSHHRSKT RELESKLQLLRGELRGSKTKLLNLQSENSKHKEIQSVKDKELKEKEIHLTDLETRSTV LRNLLADHGIAVSDSDLEKEPPSATSQLETQLRDRGRANEAAQREIEDLRMRCEEAED KVESLGRLIERLKDARSPTASSMRSPTPTGDSDRRVGELEKKMNDMEKEHREKVKAVE NDYQTAVRYVKGTEKMLKRMKDELNKQKATNTTLQNELDSIRGQPGTRTRDLSSSGRS STPSLLNETNELNRKLSLLQNQHSKLQEDFQASQDVLNARNREVELLRMRVEEYEREI EVLREDLDQAKQRIQTLLEVGVSSGENPGLGETEDEDEGSEEASMAFDKFTKELKQWE RSRSPGQGDQDDDETDQDDDEYHHHHIPGSSSSNNQHSTSGHGNGHKRHSSEYSGDWV Q I203_03494 MSTSSNTLTSGWYTNAYSGPFRSGRLRAPSLLPGSRRPVVTTIP VQQTLVVDLNHNHTHNNNGNNGAGEDNKNVRRRETIFGPDVGEDDEPGWTENKNQEIG VEKVKEWVERSKNEEGLHATTTLQALVNLKRPTLLLHQIENAIEEGRSSLDEAPEEDI TRDSVQTQIINAPPLHTLSFKYDATTPLVRVQLEIYPTPRTPAAHVDGEGVVEGKESI VEDHDNEPKIIYSGLHQGGFNQSFTLPHTSALDLSDAIIPIEEQQQQQTDAAAAAAVA NNEVQNVPVPEPVTTPPGEEPTSGSRWRRGLFRRNREQDLEAATGIEMTNRLDANGQP IATANGENQADGENANEKKKTVEKGMRLLIRIDGVGPEGEPLPRKNAQLTHILISGTW VTDNNASTTNTTQPPEQGQLPGKRVWVVKVARREAVIGSHTFLLKEIYGLSFTSTSST SNTQYPPTQDDPYASTPNECIVCLTSPRDVVLLPCRHLVVCRDCAVGMVEYGAGGKVG RREDAENNTGADATATGTGTGAGAGGSGSGNNTTAQVAGGTTTQGRERRKKKVKGWYC PVCRQPYTSLLRLALPESSAVDAPAAENELARVPSRAASVRTTRTARSVLAPSIAPTL PDGAERMLDALRPEDVRARDNEDDDDNDEEEENNNGSRQVEDQVERPQFVLGNEEDKA ETEHKENINDITRSTADPKTFDLGQPISSTTDGDGSRRSQDGKGWKEV I203_03495 MGGGDLNMKKSWHPVLLVNQERVWKAEKSANEEKKMLAQLRKER EEERQLAELQRLQEATTGKKRVEKMDWMYAAPGNEGGALGGQKIGEREMEEYLLGKKR VDEVLARGDKDVGASHKDFIAVQNANSARDTASKIREDPLLAIRKQEQAALQALMNRP DIRKQLKAAKKEKESKEERKARKKAEKEGKRSKRHDKDKRSPRSDYSDERDRRHRRDS YDRRRDRSRSFSPKRERDDRDYGSRKRYRDESPARRDDRDRRRDDDSRVRYRDDRDNR RDDRDRRRDDIDGHRRDRRDEDRNGHRNDYRDGDRDDPRIPPPRQYPSESRDIKPHPS RPSALDMADRPTTSSRSHPQPPSANGNGNGQSLDDMRAARLAAMQSSATEMYDQRTKT LAQRAEEDRKESERDEKMRAKYGQEQASAGFFKQQSGMNLSETLSRRAGKGLLKDI I203_03496 MSAKQVAGGHKAAINNDSVPQESKDHSKQVVDEIENSGDVETEA AEGDRPKNDGNVIGGHKATLKNPNVGEEAKAHSKQVLSENGIDVEA I203_03497 MFAPYLAPVGVFTFLTLAQNVIADGLFVGCYKNGAFSAQITPPA DDSLSCSTVCGSVGCPFSAFESDTTTCSCSQYFPTGYYMTPGTDKACDSSSSLQVRVT KTSFSNLGCLDSAGYKDDGYVDTEVNNPKECLSQCASGFLATFRDTGAATYTCHCAAG GLEMVGNSVTCAINTYFTFYHSADAQASSLSRRTSREIREFKAQQLARRTEYCPYGLT ACNVGGHSGNYEVSTNANPSKV I203_03498 MYSAYLAPVGVFTFLTLTQQVVADNLFSGCYKNDGSTFTVQQED APSSSLECSTFCGNDGYAYSAWKDDEFLCYCSNNYPQEYYLLSGSDAACESPSDLNVR VTQTSFMSTGCVDSVTYAEDGWNDFLVLNPEDCFRNCNGAYGATYIANSLFYHSQAAQ ASGLTRRRARDLRELQARRFKREIEYCPYGLTACNVDGHSGNYECLDTNTELQSCGGC FYGQYSNHTSVVGEDCTTTGAALGASSCIHGKCVASACKKGLALVGGKCQKK I203_03499 MSTTLVLPGDSISLPSSFKSVVLGPSLAPSSSRLPAPSSDEVPS IISTKLGLMSSAKGKERSEQFWVEGRSKRYIPAQKDMVLGTIIARHAEGYRVDLGSSQ MAQLDGLAFEGATKRSKPNLKVGTLVYSRVALANRDMEPEIECIDPNTGKAEGFGELK GGLVVSCSLQLCRHLLNPKFIVLPTLAATIPFEIAVGLNGRVWFKTETVSESIALKRV IEGVDSGDIKPDKGAVDRAVKEYLA I203_03500 MALVSTHAPTTLNPSSMGGHYADTTSELFNTDGTFTETAKDEIA SEGYRLLSEIANSASDLEPEDTSQIMRDRVRRTIFDREDLAEDPTLDSRLSSWASKDS DAASRATAAYNELMGKRDLFRLALHGVMGPAEKPSLGDGNGPLPSSWTSNSIAPPGHA EYPSDETWTTEQGSRALVPRTVKSAEEMEDELATGYNQVGIPTHLLQNLRRTEKTPHP MALTTVGPSFDEDTLVPYTGAGDILNGFQHTDTPIRTTPPATSGYSIPRTAVRRGHSG QDVPTALSRIGQMWQSMVSQMDQDRSEAIEWRQDHPDGGMTEGGDAEEVTDDGEKTQV SYRTGRFRNGSFSSYTSISTSGGPAGALPQGMNPMMRSILPS I203_03501 MSSSIESRATDLLPRIASASGMWASDQSDFRDAVRSLGDFKDYF SGCSQNDMQYFACKAAQGLSLYGDVPPELARRARGGYDETKVSSQARDLERSVQSIVS IFADKLSGIDLRQDEGLKRDINGLIEDACRIQFGREDESTYYDNGSFSDDESFRYSSS NSGRSSDRSSWSSHDSQSSRNRRSGRYSDSFDSRNSAYSAPPSTAAAA I203_03502 MPNTYIPHHLVHAHLSPPPSIPNAIPEIRILSYQAVPLTDEDYA EPLHPDHAVSAIHDQSTNILARSIYNGHVLELRSFNPVISKARPRGLDGSEIIRIFFP ERLRPLAQGCITVSKRDKRLFVLVVSQANVVYRLNFPLGTFRPGTEDRFVFTTKGNDD WYEEWEVPEDVIGACSGISAWTPLDENTIVLGGGDGGIVRVTRSGHWSSDSGRWTATH HRASSRLRLPSLFSRSANTDEQIISFAQYHHHDHIPVLYTLSRDRKLRTWNASTGACL RTVDVRSTSQELIVRGSQEGSSSTIIEDGSVNMIRVIPHPSSASRYSHLVIAFASTPY SSSSAGAFVVYRASTSSHSVSDLSPAGDKSCSSASAGAELRGFEILPPVKAEGIDGGW KLWATWDKKGSTFCETLTMDDIFQFTTYIETNDALLLSEWQQVTSPNDEENFDAAYFD KILSSDLPNPADPEDNGDIPAAFIQHLFHPGRFSILTLTTALEDYIHQLSRKNQAQQI AISFASLSKRFGGVVGSQIEMEYSPQTGAPVVDAYRKKLKIDWLGVWSNVRDLDKQAR WPISTTLLDKDMLVLTREGISTSVPTDVVGLVDRLGKSEIDPNQFLQLSEGAVRKLYP ALGPPKARTSAIAVSMAGSFISSILKGHDATEDTGTALDDFVNTTAEGLATVTHEPPE TIAGGIWDEFVEESLAEEDRVSVRRILSESSSITRGLDESLNILQQTSFPFSASTLDE LSWSGSGNALLTSSIAQFIESRFNLARNVLLVTFFHTFESRDHSYEDDEGEELIEILA RALVVFHRYKVLKWVCDQTGEEARERSKTKRSNKRKVNGGDDVLAEGFGSLRMKEGEE DQGLDSDIYDIGYSLVHSLLAKQIPQSVTPGAINRLLETTSAFVSGINIVEADQTDVG ARKSDLQLGYRILIDGHAQLAGAFTDMYPLSAGIAYVKGRAYLECGMIEEAVKFLEKA AAGCKDGSLAPILPATSGPNGLSEYHRHICRVFDEQGADEPVVYFGQLAIQSNKEDIA STKDLWTKVFLASIALGRYEDAYSTLTSLPFLDLKRDFLGQLISVMCENNEVGRLNSL GFIGFQKDVEEMLRFKARNSDPLRFPNYYKVLYSWHIARGDYRSAGEIMYLQGRRFAE GKSSKIPAFELSAMQARSYLAAINALSLVEKRNAWVSVPGAPSKALRGIKRRKVSSYI PEEEFTNEKRPVDIISLADIEMEYTLVLSQLRLSSHIPDLHEHGVTVSPQEVVGLFIQ RGTFDIAQSAASSLQVDMTDLFQALAARCVELSRLSEHNGDFSAATFLQSSPITARLR GSPSALSIKYLQTSLSRHDSSRTNWKYRQVVADTLFEMNKDKKKGWQMPVWLSEWEMD RDPEAWIGKALKYGWVEEALGWTIDLVRKATPPELLPKGKSNVSNLPYNLIDRVLAAS KEGDEKQEKNVQQKVKVLREEVQRRIKGLEKL I203_03503 MDPRRRNNNPQNNYRPSPSNSLPPPTNQYNAHPAGQYGSVQPPG NYGVPPPQQQQQSFPPFPPQTGAVSGSSTPPFPPAASAADPRMRPQDPRNRYRPGQTS TVTATPTPPPPSYATPPPVQVTNTVPIPQTDVKPNGIALVSSDGVIQNGGGETHKGKR RPLFCVVCASNNNRSMEAHMVLNKNAFRVISAGTGSAVRLPGPAIDKPNVYRFGTPYD DIYRDLESKDPILYTRNGLLPMLDRNRKVKKAPEKWQELRTVNADVVITCEERCYDAV CDDLLTRGGEYNRPIHIINFEIKDNPEEALIAGQSILALAKAIEAASDLDSEIDTILL AHADKHPHVLLHTVAFY I203_03504 MRLPWSKKEVDLEPTTGEDPKDSNVLERKMGLSKKGAIFAAGAS LFSDGYANASIGPASTIIKTYIYPEAFKNRPVNARLLPAIAFAGIIVGQLSFGWISDK IGRKFGMLLCTGFVFVFSALQAASKGPGAQGTINALLAYRFLVGIGIGGEYPTGSVAA AENTEDPDIPKKTQQRLFVLATNTMIDFAFVISYFVCLVCLWIFGMNHLNAVWRVTLG LGVVPPLFLFYFRLKMREPEAYAKHSMKHTRIPYWSILKRYWVKLAAVSITWFLYDWI TYPFGLYASPITDAADPNGTLYTSIGWGCLINFFYIPGTVIGAFVVDWFGPKYCMIFG LCCQAIVGFILSGTYSLLTEPGRIAGFAILYGIFLSFGELGPGNNLGLLASKAIGPTA ARGQLYGIAAAVGKVGAFIGTYTFPQIQASFGDEGSYGYNTGVFWLGSGLALVSAAIT LVFIPNIKPDAMHDEDLAFREYLEANGWDTSKMGLKDTVSDLEGKQIAAHGKADKELD SNATTAPVL I203_03505 MSDQTAMVSVLWACVSSWYYGYHLSELNFPVNSLTCITPAYPPP SRLPLCLDLDSNLYSVVTAIFTVGGLVGSLMSSWVVEREGIKGGIAWTGYLNLVGVLG MGIAPHWLLLALGRLIVGISSGIAICLVPPYLSMVAKSSPELASKSGQIGTMNQMAIV LGICSAQIAGLLLTGEKGDIPGSWRYVVSISGVIAAIQILTAGQIVAPDEDQKPSSDA PTPIFDERDADIEEGDVPREREAGADEASPLLSTSTPSKPAEQLTLHSILGNPSLRGP AILVAAFMAIQQLSGVNAVMFYSTPVLRPLLPTSAGVVGVGITVVNAIMTLPAIFLMD RLGRKTLLLASIAGMGITSTLLAIGLNGGHQTLSAFSIVTFIASFSIGLGPVPFLLVS ELVPPPAIPAVSSLSLSINWITNFLIAILFLPLRDFLSTPIDPRDPQSDRRGEGRVFY VFTGILVVGGLLVWRGLRPGK I203_03506 MSDPNDVWQDREGSPRRGDDGDRSPRRDRSRSPAVKSNGDRGRA EEGASQNQGNNLHISGLSRSVDEAKLREFFGTIGRPVVKAQIMLDPHSQESRGFGFVM LETGEDAQLAIEKLSGQPLEGKTVTIAHAKRGRARTPTPGRYHGVKVDSAPRSYGGGY DRPYQPRSYDSRYADRGPPRYDDRERRYDDRRYDDRRYDDRRYEERRSYRDDRDRRDD YYSRDAPRDAGERGGYREERPRYDDRPRYDEP I203_03507 MSSEHEHRQLGGYKATLHNPNTSPEAKAHAAQVLTEAGVDLSND PTVSTFKASASTTDGHHTHTTHDGHAHPHGGHSHNHADGREEMKHEHHVLGGYKATLS NPHTSEQAKEHAEKVLKEGTEHEHHVLGGYKATLHNPNTSEGAKEHAKEVLQEHGSSV A I203_03508 MSDDEFMMEDAADDEEYDFDYDDDDDEEDMDGAGDVENQYYKAK ALKEDDSEGALKAFRTIVDDQPEKGEWGFKALKQMTKMNYLHLHRPEKAIETYRELLS YTKTHVTRNYAEKSINNILDYVGGEGKVIPLDTLEEFYEATRVACEEAKNERLSTKSN LKLAKLWLDRKEYGRLTPILKSLHATCAPTNGSSSSDDQSKGSLLLELYAIEIQMYSD LKENRKLKEIYNAAMQVKNAIPHPRVMGAIKECGGKMWMMEKAWAKASTDLFESFRQY DESGSTQRIQVLKYLVLTYMLMGSEINPFDSQETKPYKNDPQIIAMTSLVTAYQRRDV KEAEKILKANRATITGDPFINYFVDDLLRSLRTQYIIDIIKPYTRMELSSLAKTLVIA RGEVESLVVSLILDGKIKGKIDQVRGLLILDRFNASSRERYIALNNMTAQLDHLTHKI EVDKLTREGGGRSGGWAGGLTSFG I203_03509 MAEPPSLPYARDEGSTSQPHSAYPAYPVEAYEPHEGFLDEIEEE PTEISAALQYWAAKRHRGKGKAKEDENDLSTMKSWRLKSKLKTVTAGLFICLNIGVDP PDIVKTNPCAKTECWIDPTQLPSNKAIEAIGRNLHQQFETLNPKVKYKPFLDPSIEET KKQCVNMRRSAKDERVVFYYNGHGVPKPTPSGEIWVFNKNYTQYIPVSLYDLQEWLGS PCIYVWECSGAGNILNNFAKSAERRDNEARAAQAQAGHAEGLPGSPYSEALHLAACQA NQILPMSPDLPADLFTCCLTSPIETALRHFVLQDPLRRNGGLDPNDPRSRITVDMVMR IPGDLKDRRTPLGELSWIFTAVTDTIAWLSFPREVFNRLFRQDLLVAGLFRNFLLAQR IMSAYHCTPTSIPEIPSAHNHPLWHSWDLAVDACLAQLPELLDLEAAREAGQSNIPPL SVYRPSTFFAQHLQAFEVWLQHGGAVPNRLAPRPKKGVPIPRSPPEQLPIVLQVLLSQ SHRLRALILISRFVDLGPWAVHLSLSIGIFPYVQKLLASPAVELKPVLIYIWARILAI DRSCQMDLLRDSGFTYFTQILAPYPQAGSLVIPNANEHRAMSAFILSILCRNFRVGQT ACLGVQVFDSCVARLGEDDWLLKTWCLLCIAQLWADYDEAKALFMQSQRQNELLGALR STAVEVRAAALYAFGTLLGASSAPVESTDAKGGGGTGAQLGLSDIQQLEIEAGLAFAC MMSVKEDASPMVRKELVVVISCVVREWRGWLVSAAWAYYEQEAYLSRTERQSNGTHIE EEEEDIVSEALKEWTSNEDKEPHEHQHNLTLLSSFKVLFETLLDLSVDPHTEVALMAS TVVDYIIALLVDSAFTRVKGSAIRNLLKKNYSSNRPKILSRQVSAALSEGGKFPNGPL TNSTQLKPPQMQRSNTNVSETGSGSSTLKRSGSVANALRSLASMTGLVVPPDSPDSIS SQKEKENEFMKITQPKIPLSENSGGYKSPYPEHGHERILPSSPAPGPGPNAPSRSRSA YGSALPRSATAGTGELVKASSVLEALTAEDMERLHFRRSKGSEANTDIDGRFGNNGLP RSNDLGLGMVAKEVKDDVLPLRSGFFDWAMEYFREPQMKAPDADEPGSTTYNEQAWKH LRNEQMVEDSRAAEGYAATHVWNVEAGTLQNDSWPLQLAFHSYDPVIAVTDDADNVCI WEWQSKRRLNKFSNQNIPGSSISSVHFINEMASSLMLTASTEGSIRIFRDYETPNETA LASTFRAVSEIHPVAHSSGVLTAWEQQKGHLLVGGDMKVVRLWDATVERHLRDIATQA GANLTAIASDEPEGNVFVAGFGDGVVRLFDKRAEDAGEVVLRTWRQHKIWIQSVHLQR GSMRELVTGSMDGEVRVWDVRKPDEPLYTIPRRDDGLMALAVHTGASVLARTSALTSH STRQELEITGFSDPLHPIRLAKLNLPTSPAYNNPLHSHRINGFMPSAASLVFHPVEMM IAAGGFDASGTVRLYKCPTPKSAIATWEQMNGNGSASNFN I203_03510 MPIIALGADEGLSSLDSVLNEKSPIDTFDLTDSPEVNQFSPKSK DDAKLGINIGLKQSAANYPTPTSPIRTPAPPPHPNSQHQHYHAYQDVDENERQNSQAG PSHLHRAIPPPPNPRRHRSGVLMSRVRAASSGSAIKFTSNPHGSKSHGDLVSFGGFTS LDDDEDEDELDDEVEQLDLAEDQPGSSSRRRFRSASRDGWKRHVSDPHRKPSVDEGGE DNVWDNSARYYHHLHSRPPSRDGSTANSPEHSPVLRSRSITSPHTSPIYVRRNLTLPT NGPGPPQSNPGVSISLSPNQHFLQTHLPPTFHHGGSSPEGSIPEHHGPAMVFTPTTQE WRDLQTTPEWQEIHGTGNKNHQSRDMEPSFSGSSSEDEGKGSPDRQNSPRRSTHKRPA LHTSVSAEFLGSEKQHTPDPGSPVLSPADESQEIQFKTSRSNIQVFNPVIIAPSPIMP VADKVATSIDDSPTKLTTHSTPVTPVDSTHDHDAYHHKTFDDDEDIILADPNADPPAR FASIGRRDSLRVIKKPGDAPALPRTKTKRELEREKLLSMVDEELEADKHSPPLDESNG WGGGVQVIGSGLALGSTPPASAEVRIDPSDMTTRSTSADPVLHGKSEPSNPFENTFSQ MKSISLPGKPKVPLSIPSATFKPSPLQASPVNAPSGLQTPSSSATSPAETPNNVTSPT PTVPGPASLASIRDYAKSISRSRHPSVEKSLGKDASPPMSPRSPRRRDTNRVSLVAGR VVQPFAIPPSTALPPQTRPQMDRNPSLQSFSPFRSPAPESRPSSANSIPQFPRLDSTI STAPSTLAPSECPTPTDETAGGIGGRGIDDYVILKEAGKGAYGLVMRGKVKGPKGEPV GEEVIIKYIIKARILADCWKKHKVLGPIPVELHVMDQLRHLLYHKPNKPLPWDPARAR PDESPTLPTADSPTSQGSSSFRTPESNWGHPNIGKLLDFFEDREFYYLVMPRFGTGLD LFDRVESSPTGLEPFEVRSLLGQLTDAVRFLHANGIVHRDIKDENVILDGQGRCQLID FGSAAHWRPGKRWDTFSGTLHYASPEILRGEMYGGKEQDIWALGVVGYVLLVGETPFS ELPDEVLEGLTDPDSRAVQVLEERCKNGHEDEGLESDGGGRLEDAMDFVKCCLELELG DRPTAEVLVDHRYLVGNGGWTGKKGWVGMKK I203_03511 MPKDEMTQPHPGAGTLYVYGTGRGSSPSGRGRGSRNRSSGRGVS PFGVGLPLIKWDDLVKHHEDPVIAPNPDHLLKHGITEYTYLHSSSGGILGKGKFSTVY KVLGADGVYYALKHTPLYPHHPLISARLLREPTLLAELPSHPCLIGVEGWVRTEGHFY LIEQYASSHVPLPAHSLPLQPSRAAYILDQLVSVVRDTLHEEGRVCHRDLKGDNVLVD VETGEILILDLGLATRFSASEPKLTTCCGSPAFHSPEIVQALAKPPGEVTYYGPELDI WCIALTVLSLLLQVKFPLGPKHTSPYVMRERVRDRLQELDEMYPPHSPWRPPKSSSSS NLDLDFEKKEWSRVRRAMRDFLEIDGKVRMHKFGLYQLGDKIKQRVSDWDEKEQSRRF KSTSFIESEIKYTLPIWLDDQDSTNTNSHGKKGKIKKDQIILRNPMGESERRCKSYIK YLLRSAGILYHLLPTTQRPSTPSTPTTPTNITIGKEDTIFQLVMNVPYDTPSDSQPTT PNDPQIGWFPSLFSFHKKPPPLNSAPTSPQQRSVSLPPSRRSQNPTNGSQVRTRDKSP KRVLRCYIKLEFVHPPQASPILDKRRGSVETFTSYRDGWGGSIAPLHPVTSTTTQHTA TTTPNKNYAFPARSVSVSRPPPNRPGYRRSVSHAPSAHPRQPLTRVSTTSSIHTAPLS PLSRQVSLSDSPPDVTPGQLQPLSRASSRSRQSSLGYSYHPHHNGVGLGGHNEGKIIV HLSDPRSYPILKKALDIKPNMNSNSNSDLNLLSPMTIRRPSLAPSAADPETGTENNSS EDERGVQEERGRPRSKDSNHSNSSILQLQQIKSRNNSIKVKHVPLPVISPEMGDQIAL DVKHVEVDQEKEKESKKHKNRGLLDVIFGTTRTGTTDDESSPSSSGLGMSLGTGYGRA MRAKSVPPYRGVDELI I203_03512 MAENALPLDPYSSDDDQEEDAAEVARQERRVERENLISEYGGLT SSQRNIVRDPSASARSAILAFSRDPPISSRDWEETCIWGVIDYDQLTTALKYKGLTRH TRDRLLAAPIQSFLDKPWSTKFAMECKSFLTFYLRGCPQSTQDFWLPRRESMNLDLND SKLAEGTLRSQLVSSPSGGIDLSKEGMEMYALHVVSIISKTLFDHFDSSGYSNRLEIL PKDIPGSILDVIPYKTREHAEDT I203_03513 MTRSHAKNNTTQSTLSYYERTLLRKDGAARRLGRDSFKPLDACY LCLSKVNDPVSCSSGHIYCRECCISDLISQKAGIEAKKREMERWEESERSEREEAKLK ARERVVSDFEKGMSLGGSSTVKRIPIGEDKDKSTTKSKFELDNNAVEKVAKEAEEKAL RVMELEQSESRKAKLAAFWLPSLTPEAKLGPLKDVKLQTLCHVGGSPHPISRKTLLPV ILTYPPSSTSKPICPTCTKELSNATSSILLSSRQPIPSANGDGDEGRKKKKQKKDKEE AYVCGHVICQTCSDTIVKPQGRCCVCDAKVEDSGRILLGKEGTGFAAAGGAEVKREGV AFRV I203_03514 MSATKKALKSIKSQLEEKNSEAALYEATNLLKSIGEDAPEAAQV LIFRGLALTQLQRNEEAEKSYIHAYKLQPSNPLASMGLKRLYEKNQQWDKLSQLLELY RALDQLLPSSPLVPLLQSVPAPSGSYVPFPSPIYPPSSAAIPPSLPNPLPHALHLVAS LPLLTNLLVRAESLVYTTTEQKVKVGRQRLGAGPEKEVRRKVEAEVLGGELGMRMVEL LKEVGSHPNVAEDVRREVEIREFNFWRKLGASSPYPDAKRTSSAKSNKGAQSSKTSST DLPATSLFTPHSYLTPDKDEALSRTNDLANGFVLLGITGKGAEEGWSWVLEGKDEFTL FYDIELLHKYAKAFPESKMTDFIDDYCRWFKLPLPEPEEEEETPQPADGEESFAQKAN KKIKNRRGRNGMNARERRKARRAAGQQGVLSEDLDLEEREELVSGMTKLVDQLPKSIF AHRVMARISLQEADWANAIAFGDKAKALVKELENERGISLPNVRASIDTTLGLACVPY FAPKHHPRAIRLLDGVLKSHPDNKEARFARAQIHQAAGKWAYARKEFQALIDAGGDEK DVVAAKEELGWCLVNEGELEKGREILEEVVEIRDARNEQDGKDDEAYQRARAWYRLGR TEWMIGDDESRQHAEEWFMASIRALPTFPSSYTSLGICYSSATPPDEERALKCFQKAF ELDATETEAAHRLAIGYANEDEWALVRTIAMRVMEGEGGLDGVAGGEVMNAKGRFAPQ NGWAWKALGSTEVHYKNYAKAAQAYQIALRGDPTDVSMWVMLGESYVKCGRHIAGLKA LNHALELQPLNWRAYFNIGDTQAQLGAFDKAIEAYEKVLSLTDTREIGIVAALAEANL SLGRHTAAGGFRQRSRNALHAAIRLATDVLKDGRSHRAWAWKTIGDATFELSNEESSL EEALSSSEVVQPVLQRLIDDDTDRRSQVEGLGHAANLLQSSPNLEHTLKTSIFAFAYR ANLLKNEPRVADPALYDLASSLHTLALRLPDSESKTSCLKAAISAIRLALERDAGDER LWNALGVICGTAGPQVAQHAFVVSLELYSKDPIVWANLGYLYLRLEDVELANQCFLKA QIIDPDYAPAWFGQGMLAERNGDKQHAKALYSHSVTLSAGSLLEADLALAIATFERFL VPGAQIDTNLLHQPAFALRHYCHQRPNDFAAAHLYALICERLGLADEAASSLERAAVV LEEEFERTESADIESRYAIALCNLGRVQLAAKKYSTALDTLNNCWELISDSIDGTIVS LKAQCRLLQGLIHYWLGQIDESLEAFQNSLDEASASQDLKTKEEVAVLLSRTLWGLGG DDAKETAKSNLMECLSQEKPLLKVISTLAAIAVISSDPDLVEAAISELSSRPVESRVK EDPSGQSDLVLYLHAIVEGDTEQAHKVLERAVQASPTNVKSRNRLAEALIQAGKSQEA NDMLRTSGGVEDAKSKARMESLRGTAEILEGDEGGISRLQKGVMLAPWEEDGWNALTW GRRVVAEAEAE I203_03515 MSGAYSYMSRDPAHDISTAFANHNIDLETFDLHFGALDWKAIVE RYHEPSTTHEAREASIAALLETAITNPMVMWDRAGVAEEAITKCDDPEYFSSEEKKRI VWQYGLEDRDEEGAMKVLKWQSQKDGSISLLSEYGKEMLALDLTRRVQDTIYHGKQTV SPISRLPSEAVEMIPEKVRSFAEDIWKSRSIAYDSRAPENTRLNMLVLDQMTRMADYK NWEKRREAGPISRRTTTRPLGPSIGESSKTNQQLPAYDPGNSTSVGSDEDSPTYDEVE QALSRFTVTDPDKKRVEELRKQYGVTEAMPSASTPASENVGSADRSQRKHSNNWENFS KFSKSCFGSSEDTA I203_03516 MGPMLTSSNVYWAFANRGYVTDPEYVKAVIRNYVHFKAQRGKSK TEISMKLECLEREFPQFAYAFRAIRQEYSLSPSRILPAPRVTSSSASNNSNLRRTQTA TTPSRTSNNNTITRTHTTVGATTNRATTNNDDEPPPPPYQSQDPDPASTRILQESLAA EAELRGTLPGQTTSTPTTATSHNTSPPTSAATQPRTAGSANAEVDDGPQRVPSDPELA RIWEESQFEEAKRASLAWQVEQQELQEAMRLSLAESESRGEGSSSGTAGPSNARPIST IPETQSIGGTSSHGSDMRDLVEGIGDMITPGSYNSVHPSASTSDSDNQNNNQQLQNLL DEQSSSYTGQTPLTPQKTGFHIQSKNPFLSSNEKEQLQAMEQAALHDQSHPAPSDQLA QQHTPPPMTAGSSYTHYTPPSEPSQQLYTPPPGPPPSHLRIVTPTSPTSRPLPATPKD QFPWNGSSSPSNGNGTLSSPISHNQPYLSSPVAESHNSLHQTLQGTPPTLPARRKSFV PPPGKEDALEMLKDFDTVFLVDDSTSMAGDRWSEARQALMEVAEIAARYDENGVDIYF LNSKRVGKELRAAHEVEDLFRGLEPKGATPTGMRLESILREYMARLERSRPTSPTLNG GGSSDASVKPMNLIIVTDGAPTDDPESVIISIAKRLDRGEYPLSQVGVQFLQIGNDSE AREALQELDDGLSAAHGVRDIVDTVLYDGEDMSAGLIIKTLLGGINRRLDRRSPA I203_03517 MSKPSSNRSSVSSSPTRTSPAEETTTLLPPTSQHPHAHPHTPAH PHARKTSISTRSVSGRSVAGSVRNYGAVAGRPGPVEQVPRVSKLGQRRILTTFPLQAT FFILTLLTFASFVLSLILLSNAVLDFSVKFLPYRGSGFAEFWISLIGSWIGLGGVLFF SHPSYLFFLTTLITLILHIPILFLALLSPSLKRSHAPLILVPLLLTGSTLIFTLLSNF LVRRAKKQESLRISRMLTEAAGRERASEEGTARALVGVQRKGFWGRILGFFGGVFGLI AGLAGLVLVSLLLIDLSISAYDGTLPLPSPSSQLVKVQPASSQWPINIHLACTSSNSS LPTIVYTSSSGVPGSLALLPSPLPPANEGSENPGRWLFDLQEQGKVGRVCTWDRPGYG FSDVLSNADLGGIADSLWEALSNAGMVRESKKEGLIMVGEGYGGLVSRVFASRHPSSI HSFLHLDAQTASTYFHDPSTTQFLSRLSSRLFPSLLTPLSLNRLPSVLLRRSTSLSRI LASSRPTPSTVRLNEKLRKSRLQETIGSQSRTSASFRVLLESGYKYPSTKPAIVLSSD RRMKDDESWAEGQRVLAEEVTSDDGLVDWIKVDKVDHFVCENDGRQFCEDSIVKLLKQ I203_03518 MATLLKTSTEIQKGKNKKSKDNATAGPSEGYKPRKDKTLMLCSR GVTQRMRHLMRDLEVLLPHTKKDSKLDTKSSLHLINELADLHSCSNTLYFEARRHEDL YLWISRTPNGPSIKCHVQNIHTMDELKMTGNCLKGSRGLVTFDGAWDSDESTKLMKEV LTHTFSVPKTSRRLKPFIDHILLFSLLDNKIWFRNYQIIEKDPLTPSGPPIPSLVEIG PRFVLTPIRIFEGSFGGPTLYSNPEFVSPAATRASIKREAGQKYRVRKEGETDREERK KRLREELPEDTLSRKKVFA I203_03519 MPRRQGPSRSLFASLPLDLKQSLRSQSFDNFASKSEEVQVKAEQ ILDIPKSGMLDTQPQSDSDSDSEIDGDGLPIRYPDGYNVNNSQNKSTVVAQTPNIAGP STIVNQTLGVTEAGPSTTVKSRRHVIHTPKYDPTQFVGLGQQTHKKRKKGKQPIRNPL NPFIGHEWDCTGLVKRFTDYTEVPQDLMKYYAQRRLYFPLYDHLPLLLDRTGWFSITP QPIAAHIANRCKCDLIIDAFCGVGGNSIEFAKTCERVIAIDNDLTRLKLARHNALHHG VADRIEFIHGDFINFAKSFVANSNGREETVDVIFLSPPWGGIDYLNTPSSTYPLSSIL PIPGDELFKLCTTLTPNIAYYLPRNTDLNELSTLAKTIQGEDPDGRGRNREWVEVEEE WVGEKLKAVTAYFGGLVADE I203_03520 MTIFPKLRGRTTLDVGTSFEKHALRYLNDQLHMDLRRVGGAGDG GIDLRGWWWLPRSTLKKTAPLGEDVRRVRVIAQCKAEKKSLGPRNVRELEGVMGNLRY RSHTFSSSSLSLSSSSSTSDNPLNPDEDPNDIAILISQSGFTKSTMIYSTSSNIPLML IHLPGGQPASQSQSDQEAAEGSLEEGEIEVKSLWWNKALSEGVLGNEIELRRSIGPKG VGVGLWMGGEKVGRCEPKEERSE I203_03521 MPIPIRDEPMVDYDPSSPQVMNAFYRRLFPYRPFFLWLNQDQVP SKLFTHREFAFTLAGDVYIRYNSFHTSDEFKKELIRLNPSRFEIGPQYSARPRDRKTL AAGALQPQRRELVFDIDMTDYDEIRTCCTDKKICKRCWGYIAAAVKVLDHALRETFGF KHLLWVYSGRRGIHCWISDPAALDLNDDQRKSLVTFLEVIKGGKEQAKKVNVRGNKDD ADLHPVLNEALDNLKMEFVRLVLHDQDCFATERGWEALLAILPQDRELMGNLRKEWQS DPTKSSVDKWGDLQQAVGQLKKHNQLLFRKYERAMQDVILQYTYPRIDAEVSKHRNHL LKAPFCVHPGTGRVCVPVNPDLVDDFDPDAVPTVGELLSELDRAAAVENGEAGGQRRT EEYEQTSLKPYVEMFEKHVAAVLRDSRGAKRAAKQESMDF I203_03522 MSTSTEQPITPSLPDQPQNDYVRPIHSEDERVVKTLIGLGIMEG LPKANNKIILNPLCVITILTLGYFLNRLMSFMPDEKIISWFTALIGPCLASLPILGLV EYIQRPHFIALLRRTMGKSDLVKFDGYCSEGKSKGWVFVHNDQIVGTILVDIQKPGKK LVSVLGDEEGQVNLDKQLLENGENKEKSTNPNLRKRKSTGVSVNTTSNNGIVQIRHLA VDSPYRQSSIPLDLLIEALDQSFNVQNQNKVIIKLGYFCSNEIINALKKVGFVKLTSQ QVKGFEFKLEVPESIGLLGWKGCWMIVSKEVYEVKKEGIVVRK I203_03523 MHRTFTLTVRQQPERARLCSYKEENETIDRRPVDPPPVVELSSN QIPLDHLLESTSFFIRATIVSATPVKVPFPDHGLPSILEPYYQAIKTPTGADATTGEV IQTPEKLRLLDGKPGAICIFAKLSVRVPGIFRLMFTLYDTTETGVVELSRTVSEPFEV FSPKLFKGMHESTPLTRHLAAQGVKVKLRTDTSVGRQSTSRKRTPANSQTSSSMSIDR APSASTTTPKPSISQSSSSSSIRHSPLSRPRSISQQHSPRTTALTSTRSLVWRQPMDF YDYANHDDRQPQTAVPGPGPTTLRKRRFVDDGLIPSLKEISSKYDFTPTPPATDLAAF SLSRKRAHESPSYRPSSRPPSPPNVFIPSPTPLPFPTSISSHRSSDASMYNPNNTTSS TSSVTSNYNSPLSINGRSAQSSVSSVAPSPFPRLNIGPGDDGIPILPLPSMFRASSRS PAPFVPPSVDQILDRDRDGIHRPPSAPITPITAAAQAPGYVANASGISPRALASPPYG QPFGQDAGGDERSPYQRAMQRPLTLPPIRPAERNDNFVARR I203_03524 MFSTKNKIPQGPNGLQPSSTGLPTSLPSSSGNNNTMTKPPALPL DQLNLPMPDYLASTKTQGQAKNVNWSDGTKNGPGMSSHSQAQGLSGHSQAQGLGGWWW WWWCRLFGRKIEWDESVYGYTDKGMKKKKGYKVSRPLPTISDPPTEEMALPTTMPNPY KMPRFVPNYPENFDYLPRSYQKMIFKENQDREREWNRKSKEQEKLYKISMKAWEKGEK QRMKYEKKLMKEQEKEALWQFRHPTQPDPNKMKYIKPTYPVRKPPTAIGSTPGQYNAP ELDRNNPYNFMLLPATEFGVRRPFNPMIGGEEKWPIMSRTMTHAILDMNREEQLHAYH ASLLHSPNW I203_03525 MSEAKPEIEATAAAMEVDVQEEPAVEVKAEELGPLPSVEGKNED EVKESLEKASKQIYFYFSDSNLPVDKYFFSLTCCNTEGWVPLKTILTFKRMKEFQEIG QPFVVYALKKKIQEEGKDPLVALSADGENVRRKRPLEPNATAWTRSAYVKGFGEDDPE NNKQEKIETYFDQFGKINAVRLRRADLEDKGPAGKGKGKFKGSVFVEFAYEQDMKSFL AKETIPKFTEDGEQMTKMSKDEYVKMKAKEKGIPDSEIHRGGKQDGKSRDAGRKFNAF KEMDKAKKGMLPSLAKIGEDVAVVGIRPGFSKGGEKNPKKRERDEDGEERDSKESRKE EPKPLTIEYKGVMLECDRHTGKILDTSKIPFENNAAVKFINHGENGDWKDLKASFVSK VIENPFLAFPPGSQSGTIAKSDAAVITDDELAKLKEQKMPFGGAEVEWARMDEEEQRN FWTTRANFQGKLAADKLNDAQQKGGRRDRDFKGGRGRGGRGGRGGRGRGGGRGGHRGD RNRERDNNKGGNGADPASSLPPSLGTGA I203_03526 MTTRSSMIPAAYAIALASIPGVWAITPSARWGHQAVYVKSKQAM YVVGGEVPTSGSQITNEVLVLNLNSSSPTFSTGSSEGLPPHAFGSMIVTADGSSLVVT GGITSSCDNDGTTHTLSLNDDEGWVTASPKSFIRRRGAGAAYVKDSSGNEDVMIFGGI ADSYVCSSSTYSYPASDVMSLPLGSSSLISSRSLPSSLTGSDLAVSDFALTASADGDK IYLTGGQTSSGEFVDMTTIGIWDSTNGWKSQVTSGDVPSGRVGASLVAHPNLDILILH GGSTDSSGTSSNLLSLLNTTTWQWSAPSDLQPSSSSASSYHSSIITDQGVMITAFGLA SSGSPSSDVYYLDMRDPTGPSGWSWKDTWSSEMLQAYSGSSSTSNVTTTTGGGVTAAK DNDGGMSSKKIASITVPILVIALLLSPIIIYLIRRRMRLIKKRRMARHFSFSSQEDEG FFNGPSNGLFSRFLTRKNEGRDMNERQGNYLSRMVTRLSSRSNSEEDHDDIPYVPPRE MVAVTNSRSVTFKDSPEIAKDRQMNWEEIDFGLGKLDESRHVSSSFNQPNNGTSSADV QSPFGDHATAPVSFPIPQPQSQGYTNEMLYSDEAISPPSVGRLNEPNNLSVNYPAMVP TSASAVGGSVPISGNGQSWDSLAKELETKPAFRSISPTAQLRSHAHPAPASTGPNVGV VAPGDIYGGLRSESPRPNSPAPSIPPLDFQTQSEPRRPASVLSNRSNVSENGTIRLVN PNSPSQRRPEFLPFHQTPQGNRSVSQPIRHLAGSAPLNRRGSANSDTSPLSSGQTTPT PKNRNISFSYTPGMRTASNPISSIGLGSPSGNGSPVNVERRSSLLRVVNITEDGEGQG QGAGNAL I203_03527 MTTLPNHEPISSAIDHVSSNSPQDEKRNKSESGVRMKKICILKG PQKGDKTPWGIKWRSSSWFITTVVTLGVITDILTYTIVVPVLPYRLQSLSYTNISALT SWLLFAYSIGIFIFTLPVAYFFHKYPYRRSPLIFGVLALIIAVILFMTINTYWVMVLV RFIQGASSTVVWSVGFALICENVPEKNVGRQIGFAYSGVSIGMTIAPPIGGALYQHMG WHAPFVFCIIALAIDLILRLLVIEQKDLREWEEHNHAETPDQVEVPSERLMENPDPNL ANESMDAPTTESGQIREKSAEPIVELSPWRVILTIGSSARGMTGFWVTFVYGLIIGIY EPSLTLRVQEVWNKQSDFVGLVYLAAAAPTFITGPIIGALADKYGSEFIMLPCLAFAL PWLPLMILKKSLAGFIVLFALAEVALQCASGPAGLEVTIVARQTPGISEIHQFAAMNV AFAISTSIGAVVGGQMYDHLPSGWAAICWFALGITAISLPLPFFFSGNKTLYRRLVDR GKKGDSGQSQSDGPSV I203_03528 MTRIGDPPRRGKGVCAMRGSCGRTSIFGADLPCPDDCNATEPGE KLRDLMASVCGPSYTVPDSVCCTYDQVATLSDRLQQAAPLIASCPACINNFRSFYCDF TCSPDQSNFLSITSTQRTTEGKDAVKEIDYEVSTEFKQGFYSSCKDVQFGATNGFAMD LIGGGAKNASSFLKYMGDVRPGLGSPFQINFPDNDDSLYPRIPLSCSDTNNINARCAC ADCPAVCPELPYVAPPSAGKCHVGAVSCLTFSLLIVYSVAILLGIAFYSWKQALRHRQ RRYERHALLDPPLSPTAASGNTNGLDGMIGRGGEDAESGPSGSIHFRLGRGASLLDPM EHLQPKQNKINATLRRSFYRLGLFCAKQPVIVFGITALVVALLNVGWKYFAVETDPVR LWVSPSSESAAQKHFFDDNFGPFYRSEQVFITQSGNGPVNYDTLDWWLKTEAEIGQLQ SESGVTLQDICFAPAGKGTPCVIQSVSAWLGEDMDEWEADWQSRIKDCAARPGECLPP FGQPIDPKLVLGGAQGDWLNAKALVITWVVNNYNDDRVALAEEWERVLRAYLAELSRP DVTISYSTGVSLEEELNKSTNTDVKIVVLSYLVMFLYVSLTLGGGLPPQLISAFSHRL WRGIINLGVLLRIVKSPPEDRSPVSPSFSLIPTLLSVNSKFSLGLFGIAIVLIAVSSS VGLFSLLGVRVTLIIAEVIPFLVLAVGVDNVFILVHELERQNSLHAAHDGNNDAQSVD SDGRQPSTGTSLSPEERVARAVARMGPSILLSSVTEVVAFALGALVPMPAVRNFAIYA AGSVFLGAVMQVTVFVSAMALDLRRAEAMRIDCFPCVRLRPPIGLYDETAPTGEGVVN KFMRTIYAPFLLKNEVKQLVLVAFGGLFLFATIGIQHITLGLDQRLALPSDSYLVPYF NALDAYLDVGPPVYFVAQGADVPTRHGQQQLCERFTTCMDLSVANTLEAERKRPESSF LASPPAAWIDDFLQWTNPTFESCCRVRKRDPTVFCSPRDSERLCRPCFEGEEWDATMN GLPQGEDFMRYLQQWLISPTNEECPLGGQAPYSSAVKLANDNSTVLASHFRTYHTPLK SQSDFIDALAAARRISNDISHRTGVKVFPYSLFYVFFDQYSHIQSTAVQVLSLALFAI LGITSLLLGSWRTGATVTFVCTLAVINVMGIMGYWGISLNAISLVNLVISLGIAVEFC SHIARAFMGAGTGLPIDKDVGKKERDDRAWTALVDVGPSVFSGITMTKLIGISVLALT RSKLLEVYYFRMWLSLILSGALHGLALLPVLLTYTGGQGYSLEDTDEDWVTSQMRRPM DYEYAPFADTDSVMSD I203_03529 MVNCSAFAFLILIIVIILIWLVRVIAASNQRRKARKDPSVLPSQ IQDLDHRPTLSETAQDVADRMRTHHRPRGSESFTPYQSRYSYESSNRGAVNRVMGDNA SVTSLPAYGAASLPAPPAATYDPSRARSGASPVVLPSYPDVPPPKYTASTTQPSVVV I203_03530 MTTTRLLCSELFLFTPTSIISSCLILTDSKCKTNIPTRTINVVH SVGMHFTTINQSSIGTPTHLDATKRNSLIQVANSLSVLGLSQPEEESIVYKLASMSRG EIPAPEAGWWNRVTNVISGRGTSSPKMILTEEQLDTISRKAGLATQIRTVKGVLEAYR DIVIASRDSSSMV I203_03531 MFHLSRGTVPSNNFKVPTDVDLKTISNKAPALALHSQNARNLFR RAQGQEESTITYTDQSGARIASANQFENDTLHAMRVSMRYERGHYGQERGESSGTQPS SSNGRDWA I203_03532 MESVRTITLPFSFASSRPQSVSLLPFRRSQGEASALQSVAESYD MYVFSLFRSDIENTRAFLGSLADGYVPSEFTKPVEKKTTFGSFRSAKYRAPPLHEIRK FATGRGLVDEVSTVVDLLESLYNGGHQRYESEVSLQQTYSDAETTDSDKYITEVFIEP LRLRSIRN I203_03533 MSISTGNYTTSNGCSQEQSQAIDTIWHYMYSTGADHDTVEAKRA YIRNEQYGQTEEVEVSVENKNIFGKTQTKTMRTVEVTNEDLENIVNYNRGNEYLERQV EIFREFRQAREASNTTTFGGQVDFDRWLEASDPTIYCRA I203_03534 MAATNDSGGHQSLQKCWERSPGSFELLEGDSEALARISHSFDLT AHVQNDRTWAAKKTYLDVLIDGRVPPFKGHSRDALDTTSPSTYMRPTIEDISLATRQT DQQEAGKTVSKILKIIECEIPDNQYFKKFERYGTTWSRRTIKSVIKKAQPQFGISNSN DLSDEDLWQNSEDIESPVELPKGRHDWAYRWKAEFASGDLLEDD I203_03535 MSEPSWPPLEFTVPANTMIARTAIDSIIGYVRRGYTDDTDEGIQ GKIAYLENVKRNGTTASSTVITTSRTLFGVGKSTSVQTAPMTKQDFTKIVSRNSHDEN LGRQAHRVQEYMAFLNGSTSSRNDEMGGTDGGYSSWADIPVSQGQRDFDEFLERTDPV LLGKPPKQ I203_03536 MSPPLPISSFVKSHLSRLNLVYPIIQAPMAGVSTPQLASTISNA GALGSIGLGASTPSQARQMILNTQNFSRKDKPFNVNLFVHQHPNSDSQIIKRWLDTLK PHFEKYGGNSPDQLNVIYKSFMDNAEMVDILLELKPPVISFHFGLPSLEVLDKLKENG AILMTTATSLEEGRMIERTGKVDYIIAQGWEAGGHRGIFNPIGEDQQLSTFVLTSLLV KQLDTPIIAAGGIMTGHHVRSYLDIGAVAVQLGTAFVGCAESSADQGYKDALFSSKSE RTKMTRVISGRPARSLVNKFTQLEDQVEKEGRVDIPDYPITYDAGKALHALAKSKGEY GYGAQWAGQGAPLARKMGAGELLDVLVKEWVGEGR I203_03537 MVDRSFISALIQSSAGEWALILGLVFGGCCSNVWALEGVLKDHP KSGTFLTFVQFVYVTITTISSQLIWKHVPKVGVPYPVLKTRKVPIGRWGVQVVLFLAV SLMNNYAFGLKIPVTVHIIFRSGGLCVSMLVGSIFGKRRYSFGQILAALLITSGITLA TISAPRPPRPPRIQESPSSPTISTSHASHHSWIPDHLEYFAGVGLLTLAMIISAFLGL WQEQTYRIYGRQWKEALFYGHALSLPFFLPMQSSLKSTFNSYLSSPPINLSSLTLPSP ITSSFPIPFPTYNPLKSILGGYTDLLIPSAILGLLINIVTQGICVRGVNRLTSKVNSV TVNLVLTVRKALSLSISVWWYRSGFNAGLGAGGIMVLLGTILYSFAPGPKGLSDPPQI KKEAENVRDDGISGETSSTGSSTSRIDGRPGDQAEVRKRNRQTRTIVG I203_03538 MTLASVAFKTEFAVDMTCQNCVNAVQGSLRDIPGIERYDIDLEK KRVTIIGKTPPSLLLSALKSTNRQVIVRGSSPSNSSIPQTAAVSILESPLPIPTNIAS TSNPILATSPSSSPIDLPGMNESEFSQKVFGIARFVQIDPKTILMDLTVRLPPPANIG LGVASGGWNVYISETGNIVNPPITTGKRFLDLGKIVPDKDGYADLFKEVDGELWNWIG RGCIVQSDSSEPSISTPQGESQSTSGKIFAGVVARSAGAWSNEKTVCACSGRTMWEEG RDMEKKTKL I203_03539 MAEDITSWISSAIVQHDLKNGANYKIPIRGRYAQVIKFSSYRDR FDPHAEIRGVISDKTHWIRVKFDVEATDEFEEPEASLPSESLTSNLRAIFLIESFRIH LLPPPNTSRRKSNNINTTTVSASGDLPEVMLEILQWKVVTGDKDDPEYYPGTPEVSKG KQDVDVKVQRVLRKWWFGETNSSQSHAPSTSQHPLYTETPSRYNPNQPSSVKPHLSSP LVGYHSSPAIISTSYPSSSKSTQDHHPVSESQQKKQSKRGDINLLDFLQPYLNGPGGK KKVIPEWLFDKSDETKEMLDDIRMFGLDLDRGNEKDTTDTDNNLNPARDDGQDDVVTQ SENAKFEWKGKAREDNSLVQSPTMTKKDLDQSEGAGDLKKVGKQEMVTPIQQPRPPII TQTVGNQADRIYVDNDQTDEDHMDSPIFRDSPSSRKSRQNPLPLVHTQPTSPENELEE ESDEDIFIKPREESRSRKRGREMFDPLAMPSSSPAQEDVQMDDQDEDEEGQEVDQLGD EGRRSRGDGKKQDEGEEDEDGLSDYERETKRKARLDRNRLETEDRATISDEKQRKYGD SFKYQEHDDLAQSPQAEAMTQKVERHSSKPDTPNKRRQSSIGIQTINEGENDVAKTIS SKVIQQKAISPFNSQKSQNGEASQSAKSNTSQTNSSPDSSANAQAQPNSEISHISQSQ KRKISEILVDDSDQSLSQHKEQKAEVSNTSGSNKTQDDSSQAQVASQGAIHIPTETQH ADHVDNPDLNQAKPVTTSFQSLTNDHEYPLSAKGPHPNEKQQSSPAFHNETSPRHNQI SSISTRSADISPSHRISSNKPESSIKKPKIEHLTPVPSQTTPISRTQSTGKGSRKSFL ESIRFFPSSSSSRVRETKKDEDDESPLKKRMKIDSDFNEGILGRLGRWARRGSSLSRE NDDVLGESKVEPKNDDRVEQEVRSDDDDGEDVGDEDGDGLDDGLDKTQDLQMNDEVEI IVIEDEEEEVIEEGAKDPLKIKEEENHMMIIVDSMGSHAQIGIQYVDEKLRAYNGNRE NTQRQSEDIQSRLIRQDKDEDEDDDDDDEEVEPAQYAKNDIDPSNRMAQTHPEVKIRE DQAERECDPRPIRAERQNILDGNFELNVLVKDGLRDWEVKKMMDNIMKARNRKASKGK I203_03540 MAPQKTVGILGGGQLGRMLTHPAALLGIPLLILDSGLYTPAKQT LLPPEDHSGHLDGPFTSESHIRDLAKKCDILTVEIEHVNADVLEAVEKEGLCEVQPSP STIRLIQDKYQQKKYLSEKGIPVAPFDELPLNPTEQDVKAIVGKLGLPVMLKAKTLAY DGRGNSPLQSTSSEDINKSLEFLGDRPLYAEGWAPFVNEVAVMVVRNKEGQVKSYDAV ETIHRESILRVCLAPLRGEKDLNHRARELAEKAVGQLEGAGIFGVEMFLMPDGFLLLN EIAPRPHNSGHHTIEACHTSQFENHLRAILSLPLGSTELRVPSAAMVNILGSSSSMEP IESMRDNALTVPGAAVHLYGKKESRKARKMGHITLTAQSDAELNEYLRTVLFAQPDAA DEWIDKIAPPPPSQSHSHKKPLVGIIMGSDSDLPVMLPATKILDQFGIPYELTITSAH RTPERMVKYAESAAHRGLRAIIAGAGGAAHLPGMVASETSLPVIGVPVKASVLDGVDS LYSIVQMPRGIPCATTGINNSTNAALLAIRILGTSIPNYQVAIEEYSRKLENEVLDKC EKLEEIGWAGYVKDVLKK I203_03541 MARSSKPATASSGSELSSVRSSLPLDKLVPYLEENIEGFKGPVQ VKQFKFGQSNPTYLLTPSSPNQSYVLRRAPSGPLLSPTAHRVDREYLILSALNRYNQT VSESHKVPVPKVYCLCEDKSIAGAAFYVMEYLKGRIFTDVRLKELDKDERWACWHSAI STLTKLSTISIASLNLPASFAPLPSAKPYFPRQVGSLLKVSHAQSKAKNKDTGEEVGA IWGTAEMNSWFEDGAMKLAELELRRGVGGVVHGDYKLDNLIFHPTEPKVIGILDWELC TLGSPLADLGNMLLPFSFPPISSSHRKELASALGSDDPRDDMTLLLGLKGLTSQETGL PQREELEKWWVDGMNYGSSFHQQHKGDNWVLPIPGISWVRSWILFRLAIIAQGIAARA ALGQASSADARADSRPVFDFFGKMAWEVKVEAEKEGRAKL I203_03542 MSSSSQPKCLVRGSINIDEFFALPHIVRPGETISSTSLTKRAGG KGANQAYAVARAGGQVDLDGCIGKDGEWVRDFLKSGGVGDTRLITLDNELTGRAIIQS AADGENSIVLHAGANYHLPSPLPNPDLKDYTHILLQNEIPLQSTLSYLKASKQEGLIS VFNPSPMLSQKELREFPWECLSWLIVNEGELFDLLDAFQQDPTTTKTKKSNSLDELSL EELKARSTGGILNLHSNSYFSKNINIICTLGSKGILYFNPTSGSKEIGHLPAGKLLNP LKDTTGAGDCFAGYFVAGLMRGDKLEDVLKTCLMACAICVENQGAMESVPSRDSVLSR L I203_03543 MTNGHDEVEAGSKADSEEDLELENVEEDGPLLSSAQNPHPEPKS SSLRGLAQRQKRFKVAVVVITIITLSFIVLGLEFTSSNVEPELDSFQETLKVESERAR ELLLRNIGPRIGAGDGLVVASPSKGEKSYLPDYCYTWTRDSALVYRSHTTFDSSSNSE NISSHDELLLRAYVQSQIPIQTRSNPSGGLEDGGLNEPKFQIDGSSFLGNWGRPQRDG PALRALALIPYAHFLLDRGYPADHSYVKENLYNPDKMRGTGNVIKNDLEEVAHRWWKG GFDLWEEVNGHHFFTLIVSLRALQAGSSLADRLIDSGAQEYYSKQAESLERKFESFWS DEKEHYLSSVSSLNLDDDNDDAAIFSLPDRQWSDCSLPLSLIHAGDQIDIDNYGYSNN TVIGFGPADPKVISTIYRYIQSFDGLYKINNNKRSWTQGWALGRYREDVYDGVGKSKG NPWHICINAIAQSLYLIEQRHYEQGYIEPTEITNSFWTELMNERVNNDRRVERYERKF EMALRRLREVGDSFLNVSRNAMELGERMSEQIGRDNGKPIGARDLTWSYASLMTAVKA REDAVSKADNGVKGA I203_03544 MRYPFKNGSTIHKQIKLISSNKIRTLITGPPPTTPSTHRHTTPT SAPLPSPVPLPFFSPEVSTANAGNGDAKPLYVPGSQADRLARVGRMSSPLRRGSHESW WSGDMGWFNAVAKTIPTYRVLDEEGHLVKGTKVPEYWLCQYKYRTMTLIPIVDNVLYQ SQRQGRISFYMQCAGEEAAIVGSSAAMLEGDEMFGQYRESAALLHRGFTLDALMSQCF GNVEDKGTKGRMMPVHYSAPELGFHTITSPLATQMPQAAGAAYALKLDEDRQGDCVIC YFGDGAASEGDFHAALGMNSVLGGPCIWFCRNNGFAISTPIIDQYAGDGIASRGPAYG LDTIRVDGNDALAVLSAVREARKRAVEGKKGVLVEAMTYRVGHHSTSDDSSMYRRVEE VKEWTVVDNPIHRFRAFLASKSWWDETSEKNLLASHKSAVMKAFSRAEKLPKPKLGEM FHDIWGVGKGDEVPAVIMEQRAELGRLLKKYGDVWEPWRKERKRFVEEGMDVMDCDGR GS I203_03545 MGRKFSNIAAEKPFKNSDNEPKTGIFADIKNDLIAMAGEFIGTI LFLLFALGAVQTASTNTSSNSSSNADDTAGVAPTGSDSNKLLTYYYVSAAFGLSLFAT ASIFYRFTGSIFNPSVSLALCLIGAIKPLRFILVSLAQMVGAIVASAILDGLTPGALA VNVSLSNGTNRAQGLFIEMFTTATLVLSVLMLAAEKHLLTPFAPLGFGFTLFIVMLFS IAFTGGAVNTARAFGPACIQGFQTYHWIYWLGPTLGALLATAFYVFLKEVKYWRITPG QDSTDNHESPSVHPVTSRMSRGRNDSGETAIDNGNGNTDGPRGHAAV I203_03546 MSVKFTPGPHPSSLSRSSSSKLPTPTPAPSVPNSPITVTFNKST TTHKPSRLNTLNTNDIEGDLERQPLIRTRELNRPRYIWSIISGIIIIILIGVFIGFGG WRLGKGAGGGRWPGSPGV I203_03547 MLLAALKLASPSKEHLEKHYSDLSDKPFFPKLIKYMLSGPVVCM VWEGLDAVKTGRVMLGATNPLASAPGTIRGDYALQVGMNICHGSDSVENGQKEIALWF PEGVAQYKLDAQTWIYEA I203_03548 MGRSGNVFWGIMVFGGLTILALIPFTLYLINSLSPTIIHGLSLA KVTGEFVESSTNQAIKVRVRVGPSGGCVWSNLTESISCVSLIPYKPTPESIHLANNES ITSCFPIALGKALALNHVTTAFMGLSILAILVDCLILQGGISLAIVYLMVLFMWITFI LETVYISVLHKRLDKINQGDNDNGNWDYKVGEGYWFVLAATILVSLVTCGGNFSIDSN I203_03549 MVRRRYDILIITLIIIGAAIVILFPFVSFLLVSLSPYYIPGLDI ARIEGKYFDVANNHSIDVKISVGPSGGCMWFNDTTPKCSTKIPYVPNAEYLHLQPNSN LTSIFPIAMGRALPLNHVMVGLMGLSMIAVLLDILILDGGISLFILYFTGFMLWLVYF LESTYIGTMSNRLNDIYEAKEWEYHTGGGFIMISVSVFVASFFLCGGGSISAGD I203_03550 MLETIDSLPAVLQPWLTWLSALTLGQIWKVLYNPPNKLNLTIAF LVISFKLTFYLRRYRTWRESRKVVEFLWPVPKEAAREWKGKIVDNPSLFSHLNDSTLL PPESGKTGGKREHITCYDPSTGYHLLTLPLLSASEVSDQVIKAQSAQKGWAKTTFAQR ISFLRSLKAWVLRDIEDIVRVACRDTGKTEVDAVFGEILTTLSKLDWLIKHGEKTITP SARPGNLLLAHKISKVHYSPLGTVLALVSWNYSFHNLTSPILAALFAGNTIVVKCSEQ VAWSSLWFIGGIKACLRACGLDEDVVQLVVCLPDVAETVTRNKLIKHITFIGSEPVGK KVALAAAEIMVPTCIELGGKDCAFILPETDLDFFSSTWMRGAFQSAGQNCIGIELFLV HRSQYSRFIEIMKPRVKALRPGIDVGSLISHAPIKKLETILASAEKSGARILAGGKAY VHPTYPQASYFEPTLVVDVNMNMAIAQEELFAPVMTVVPYDDIDEAIEWLNKSRYGLG AGVYGKNKGECRRAAERLECGMLIDWTLQGVFYLNQAMPFGGVKASGHGRFGGEEGLR SLCSVKSITEDRFFSYIRTSIPPPVDFPIPDPKKAWGFLVGLVNLAYARTLWGRAKGI DGLLKGLM I203_03551 MVHSADRNLNSINGIGKPRESVTMMMTELNRILQHPIIGLHNRS YGVFTDLLFCLIQRDLKLDTIMVRETYKFICGRLMKDEVKKIMVISHSQGQIISQIVA TQLLSTFSNAILQKVSWYSFGGAANSFPVPFTGNGRIWGDVEYFVNDGDLIGKLGVLS AIPKEKLKLIEHADQVPKLLGNHCGRIFIRQNTPGHLLLSHYLAPEKSILDEYQVKQH SGLVKYINRHQTTSQESTTGNVDRKGKRKAD I203_03552 MVATLSARMFRARAVARPFARRTYATSVTGYGREVEGFVGAVGN TPLIRLNRLSEETGSNILAKAEFMSPGGSIKDRAALYLVKDAEEKGLIRPGGTVVEGT AGNTGIGLAHVCRSKGYQCVIYMPDTQSQEKIDLLRMLGADVRPVPAVAFDNPQNYNH QAKRYAESLDNAVWTNQFDNTANRNAHILTTGPEIWEQTNGGKLDAFICATGTGGTLA GVARYLTEKSNGKVEAWLADPPGSVLYNLVENGKLERVGNGSITEGIGQGRVTSNLQP DLSLLSGAIHVPDSASINMVYRLLHEEGLYVGASSALNVWAATELAKKKGKGSTVVTV LCDGAYRYQARLFSRVWLESKGLDSHIPEHLQKYIVLP I203_03553 MSSVLRASRPCAACLRRLRASQSALQSTSTLSSSCRPLSTLSLR VPSKLPFNRTSRRLITTSIRSEARATDITSKKRSLEMGVFPPERIRNLSIIAHIDHGK STLADRLLQMTGTVPPSSNPQFLDKLKVERERGITVKAQTVSIIHEHTDGQKYLINLI DTPGHVDFSYEVSRSLGACEGGLLLVDCSQGIQAQTLSVFHHALEANLKLLPVINKVD LPHASPEETSEEIETSLGLPLKDHMRISAKSGLGVENVLNNIIDNLPSPKKWVDDDGK LRGLIFDTFYDQFRGVVSLVRIFSGTLKKGDKVRFLQAGKRYEILEVGINNPEEVVVD QLKDGQVGYIVCNMKNSEEAFIGDTICWADKPVVPLPGFKPMKAMVYAGVFPMDSSDF PKLEESIERLTLNDRSVSVQRESSAALSQGFRLGFLGTLHMDVFKQRLEDEYSSEVIV TAPTVPYKVVYLNGTEEFVSNPVDFPEVTDSKLRVRHIEEPMINATIFVPNEYIGEMM DLCSRYRGVQQEYRILENSDRAILRYSLPLAEIVTDFFSELKSSSSGFASFDYEEAGY QQSNLVKLNILINGKPVDALAMIVHKFAAQNVGKAWVKKLKEVVPRQQFELSLQAAVG AKVIARENVSAFRKDVTAGLYGGHYDRKLKHLNKQKEGKKRLKKLAGNIEIPQTAFFQ VLSSRPRSFHSSARSSSLALNCQTPSIPIPPGFSPNNLLSTSFSSSSASWHNTNDPIT QNLPQLDPESPTIPAQPASAPPPIGRSPISPPERSYKLSLIGRMTSSPPPPEVTSSKL SEAFRELYTSSPDSHVFTPGEIHEIISALLALQQREGGDRKLYAEQLQPLFRELEDIV GDKSKALRGMELTVLSQASRIERKVKTQDIINAEKSFRSLFPTSPNQNDIKGTKSYQR GINHIMYLCALAGHQSRFEDWWMKLSRNEIFPDSHSHLTRCILAEKIGRLEDIPKILD GAFDQADLEMSEENKGVLVNFAIHAFARGPHPRGADESDVDVNEDKFLESAIEGYSKI VELDQGEYQNLLGATTNRTFKQDGQVVIPIPKHCKPTKELFGPFLSALVNKGNLIGSL TVFKHIFENGFTPDIKDYITLFKGFVTYGQSDLIRGGGEAYRVFGINRYGSNDYGRNV ERESFKDIWEKGLSPFTDQEKSFTAIPPKNHGSDGIKWDLENLQEIYLSFLSLSPSSM GNKTKGINKKQLWIILKAFTKTSGGDLQIIEKVWKDLENKFGEGNEEGWIEWKVDNRL IWAKRTLYGEEH I203_03554 MAASATLKSNDPNARLTEALCTSLRRRQIVGSLNVALATAALIQ NVVRSARYSTIDELLGLIKSIGKKLIDANPKELAATNIIRRILRLIREEYRAAAAAHI ISAPPSAPETPFLGPTTPGLSAPSNHYLSTATDFQFFPSTSQLSRQTSLSNFVAMRHS RAQLERSGSLVDMQLSQSTNSLFTRHNNVPETPGINRIDSDEFMKHSAKLKPVLIQAI EEVVGELETTHEDVAKGAREHIHSSEIILTMGHSKTVEAFLKQGYRDRKFTVVVAESA PSYLGHSLAHSLSSHGIPTILIPDSSIHAILPRVTKVILGAHSVLANGGLFALSGSLA CALAAKTHSKPVVITTGQFKFAPAWNLYHDYAAVDFQGPGSVIGTTGNAGGGGKESTE VVDPYFDYIRPELVQLFVTNEGDHSPSYIYRLIREAYDEEDVEL I203_03555 MSGPSQSSATRIVQSLLSSHPHLSTQQMYQAATEGLRPVLRPAH VLDNQGRIRMKRVSNMREGRRPWVPMPTAPFPDHPFKSVNFLKRTILASLESQGLIHK ARIERPIETEQERQEAIANAMRLTRKDERTAMRLKEPVPIPRVPKTTVTEFAWKMGPS PQRATGLSEVDADQIGFEKNNRKKDKPPHQLEEGEEVDEEELEWRKLNELDEKDDQDL AMRMQRAWERNRGEPLPLGTSAIQDEPVDEIQKRWDQAVRLEEKFSESQMIEQQQIAE RQQARRAAFKLERERKKQERLEDQLAGRTEAIRAEKKRIEALEAIESYARQTGEDVSG WYAELGINEGEELPVNEDRKKRRGGGGFGLRRDA I203_03556 MDAKSLLRAKKAESRITHPYAAYNNAGILRCSICAVPVKQWDAH LMTQQHRQSVAREKAEQEKALKAKTKSKRPLSQSADIDGQGQGQGQPGESSKRAKTQP THNEEDDDEDESGRRVGGLPAGFFSSSNQPKPLSRSPSPEPQQSLQPTGDTELDDFLS SLNDDTPSSTAPITAQSQTKQINGKRKTYKEIIPSQTSYEAGPVRIAPPTKDDQKDAV QEEEEPEESEQERKDRLEREEREEIVRRLEEEERAQEDADSRVASLKARMEMLKKRRE AKGNKPKSANTNGA I203_03557 MMKAITHLIFFLLCLFGIVRADTEIINFSLPLPPPSPSSTPFLS TNSDLLELSPSQPTIVNLTDSSPNQSFILNFQGLEKDYQKWTIRISWPGSSPTKIKII PPDESFQFSIIGSSLSPRMYHPLIFHDLPYKPADQFRTPISILLEPLILGVIPRTALP TIYTILLAVVITGWHIPHILRLIENIINKLNDQSQVHIKQS I203_03558 MSPTKPLTSTRPRRSLPTPVNSRTKTIRKAQDSGTDTENENEAG PSSIGKDVKGKGKEKEKVPERRVLPARIRRSAGGGEGMREVEEMIIDWLERWGEPSTT PPKQLPIHLTSLPLTYVSPPTTKTQQDVNVPTITLTPSRRKAQEGEGRLGKEEKIEVP DWVMVKAGEDDKEEAREELVFGLGGKGKGPVSPVKRLRRGGIGDEVEEDTSDSYYLSL HRKYEVFERRQRIREKEKLQFERYKMKSRLDLLKNIPRLNWILIVNTILQRFTNQNQP TPSKADEELDTIGEIKKEDGISRAKAKIRDNGEEWLKDLLIREGEQLMKRFHELLPPE PRKPKHSSANTPHQPSSRLSTPSRASHSLSPSLTPPPVVLPARVAALRDPPSTVSSTN KRKRRSTIASTSTTTTTADHPAGEEKTPSQGERRSTRVIKTYGKRSNSGNIDKSSPSN AMMIDSSDGESESEEEEPYSPSQITIPSASKTRKATTSVATMATGINTQTSLPSKPNS KAINHTQQSIKSFFKPPPPPHQNQNQPLMIVRPLAPKPYFATTPATTSKTNSTIVSSL TTSSPGSSTSIRNANNVPAKSAITTPAQRPSSYPIRVPCLIEAASRRESGFGLGQQKE AGDPQGLGVEAQNASRERSGSISNKRFDSVNGNRGDATPFGVALPGRLEWKSEFTITD EEDFWPIIAHRQNIRSSINNNNSNNDQRKISNGISHVSLTKDDSVLTPEEVEELEGVE EAVVL I203_03559 MPLLANPHNDPSFPHVFNSLNARDSPPVSYLVFYSDIVNGQMWC PDCRDVESTVKEAFDAPDKPKAIIYWVGSLSEWRTPKNKARVDWNVNSVPTILKIENG KETGRLVEQEILDKKSFEEFLK I203_03560 MPIILASLVFAFALAALPSTEPLSPDEQEQAEYVAHLKDEREKR RWKIRQKETDNFRRRLDKIPNDSDERRRMRGENINLKNDDRRSRRKYVSGLGLNLPFE QFNGLSRGRLISDIYPATDYKKTHLRRSSSVPSLSSTSSASSSSRDSSPGSSPKRFSA ILV I203_03561 MPQPSPIAIPSHSLNLSSDDFKGDSKTGDPPPPPLEQESVQTLT REDVAVKLMDELEKDKGGGSSKVWDDRENAVNEVNVDVDEEDHVDGSLSPTGEVSMID KQDDERVDKSPVAFEGQNVSTPLFSDDKQDYISPAILLSTDRREANEDPAPTSEVIDD ERTAPAGPARYPIANRRPKGWISSLNHPRAISNLTDQQIALTPPRSSSTSDLPKAENR ARVSSVHIHQQQRAGTRRISGTMQYAFTQPQSQRASRERPLHNEEQSEDQDQAGNGDG DISIVDDDQEEGEDVVEQLSPEDEARIRYQVGLSQDQDEIWIEYVRNQLSSLFPDFFG ADPGQLQAQMGEATYLGHGYQQEAESGVYQESQQEGAMEGDGEGGEQMTMEDGVERIG SPLFPPEDATSRINGRSEPVSSIDSLSSRRMSTSRNVHDVYSTPANDRSFISNGSSTD FSSLPTPPMRSNAEMLRGNVTIPNVRDEISGLREEIERLRSVVGGLAQELGGGSGGQN REQQNQEVVRENEIDGGIVNAESQVEGGLDKEQSHGPDAAIVQEAEGVESVRVKEEDD QTRKNESQLEEENPETEKEEQVPEAFLKTANISSEIIHLLDSQIRKSAKRDAEVGDTR SDEEVFSIANLERVMRYVKGLGPS I203_03563 MTLSLPPHGTYVINKQPPNLQIWMSSPLSGPSRFDYITSKGWVH HRDEKIVLKDLLEQELRELLRRQGKEGEAEEWDGTGL I203_03564 MSDSTSYQQFYLPPPSSSSHDPLSFSSSQQSKTNNDSQSCSHPG IGTNNGAQIPTNTINLNIEYQDSYPYPYPYQVQYPYNPTSSSFEYQQTIQPQSPPSSF DYSQQTSSSPISASFPVPSEYYQSYPNAQATILNTVPSSSPTQYLPQVGVANFPSQPV SDIGVNTFPSTSAQPLTITKEGQIMERGYNWPTPIALEGKMQDMQLENQSGGGMWHST ETGPSVVNVANETNLFETINTSTCPSSSSSSYLSPSIPTWETDTSTYQVSTTSTTQPT TPGQAFTPRPSWQSYTSPANYGYRPHPYPPDQQHYRVSSSSPTSPSHKLPTSIPFTTS FSLSTPAPSTPAFVVRPSLEMLTTKVARPKRRLPTPPRISGWVPPEQRPLPSSDMGEE GRPRMLPVVTPEYFSSNHPHPTPNPSSSSTTMMIPSVPRSMFTKVSISECDTCLPQVQ TQNQDTFAFAMSNPPDTSITNLTMPTSSHVRAIADPTSTDPLPSSQTQPTLSIAVLSK RDLLTRQPFDPSGSGSGPSRPRTEPYAVRQRGRPRSKAGTAKRPSTGGSTLGMGTSRR WRIEQKLASSIGMRRFMCPDCDEPFTRRNDLERHQRSKHTGETPFICPGCEKGFSRKD KLDQHIEKVPACKAIAPPREGEQNLRISESTETEQQQG I203_03565 MSKPDEIPALSYILEPALVASLLTVGCLWNRRKPSEIIQAHNVS GTNPISTNSSTDNSWNWKEMKFFVWKVKVPSNERFGMNFFSRFLGMFPFLLEVWYWLL TYWIYQIARAIQALTMGSDFRVLAEKHARQLITIERILHIDIELGLQRFVMNKTWLLT FFNKTYAMVHIPATIAFMAYSYRYFSPLIFQSTRRTLVLCNCLAFIVFSSWPCMPPRL LPYEEFGYVDTLHAGKAASIWTTNKFQNQLAAFPSLHFGYSFVIGLSLFIHSPHKLVR AISLFYPLLILLVIMATANHYILDAVGGFFVTIVAHRINRLVLNLRPIEEWFFWLLRC ERPMDKVQFDSIIQSDSFITASHRDMSQRPLMSGCPE I203_03566 MPLQDFIEGGMDRTRRNSTTVSSLSNIRNIFRVARAQPKKNDEE EWCPGRPGGLHRVDSLTPLVITNKRRRSIIRYLSRCFVISISSCIMVVMVIIVLLGWG GQGDSWIKHPDRNIHHGKNVSSVPNAVILANSHNDEMQGGNALNLALSLGYGFIEIDT YLGPTPAPALSPKLGYSSSNFSTPSSNSTLDPSLTLLAGHDLEDLKAQRTLKKLYFDP LLDILDRNNRNSTAAGDAWTGIYEKDPTKEVGILIDMKRDGELIWPYLLDSLQPFISK NYLTYYNTTSSTWHHGPLVIIGTGSTPLSKVYYQDVRYIFYDAPLLTLHNPIIIPESE DGPSLNVEWNKEISPMASSKLPFKYYFSIIPFFFSHASSRGKKNTMRCRLQRYTSTAQ EKGIKSRWWGVIGTPNWLKVKMWEVLWETGQDVLNTDDLIQSKLWLENKRGKDRNLDR C I203_03567 MVTAADLGPISSQYAAAATVPLIKSPSLWLPKPIEMPNDIHPLP EDITAYFVYPFTLEDHVLSVHPSPSEVISQRRARNAEILHKREVEEEQKEKEALRKIA PGYNPSSVLLPSASNTPKTAQSIPSTFDSLMQNASQQQSNAGTIDPMDDLVSQLEEME AKK I203_03568 MGGVSSALDPARGRGAVSVPDGYTTPSFPSLYLPTLDDTANQRG IFLYEAEAIWHFTLYWTLLLLGSLFLICSIYASLTIFINITTYRDKQSDHSRDIPSLS KKMIVGKRIKSKRPPLWPIFILPVISIVIASGISLISATVVGFALAAIYSAGGFSMST I203_03569 MGKLIWHQWGRLLAITSAVYMVWASFWAYLYRKFFWDMIGGTLG PAGLIPGKNTQPLVNLVVVIPLLQTFTLVLALLALALEMPLPLVLNTSIHRSIMLRIV LYFLAGFTGIMVYQTVDCAIYFIITSGVYAVALSKGEKITAIGEGRIVVV I203_03570 MEKIDQLLGPLNPNKIAAGTAPDPIKVIVFTATGDQGRSVCKEL IGDGGFIVWGVTRNTESKGAKAELTQLGVKMVRGDLGDVQSYKPHLLGMDGAFINSDY DSIVPTVNGDTKAATKAEYEQVKALVDACKEAGVAHIVYSALDGYEEEDRKVPYFESK AEGEPCLPPAQSPHGIHHDLHYTDIYACTYFSNIYKFNYLTRDDQGDKWVLGWPMPDD TPILSYAVEQTGVWVKKAFLDHTTWSGKDIQICSDSVTPLQIAEELSKLSNQNVVTLG LTKEDFYSEKHKKEVWEPLWLNYKSYVDGYFIRDIEASRKVCPDHGDFKQWAAQDKEL KKIFDSASKQDGNRV I203_03571 MSSVEEIARKQVTGNLGSHLSPLILGMAVDGLLMGVAINQFIRW WNHSKKEARHIRFLLYLASFGAICATIFTWATTLHMFSYSYGSYSQFIKCDWIAWYGI LDPLTKISIQAFYAERAWRINKRNFVILIAIGICLCLSVTGSVGYTFVTRTKTMNDFD TTANIFFYLWPGACISADLIITSSIMYGLYHSRSGIDHTDRLVKRLMRISLEAQVPPT LVALLFFLQFAAESMSSIVQFIAIIHPKVYLVGCLAVLNSREDLRNDRKTSYVYASGG SYGTSSSGSGSKGLTKMQASGGITVETETYICSDGGLVVPRPGLNRSFSDMKVTSIGS SEQTHAPDAEAIALAETGALGTPLEFEVKLQ I203_03572 MASPSFPTIKHKPNLTVNTILPSQIYTIDGFFTSSEVKAVRIWM DGVVMEGPKPPGKGEAERTARRGSLNSPEISTILLDLLTPYIPSLSPKYASPKPILSP NIRVYHYPTGTYFRCHYDSPTLDPSSRRLSCWTILVYLSDCKGGSTTFYTGTHDTGSS KKTKNKGKQKGEEEKRMGKGKVSVEPKAGRLLLHWHGMSGGGCLKHEGEEVKEGDKWV LRTDVLA I203_03573 MSSSGVVSKKLKFKGDKTKKKKRSHNHPGGGGGDGDELAALAAA DPRGWVLPEHPMEINGPAFILLPSEPLTCLAWDPTRQRVYAAPVDIPQAPEGMNDLSE AEILQTIEPSDVNHVWVISRLSGSEDVISLRTSTGTFLTASPSGTLSATTPSRGPLEA FIPQPSSSGSSSVFPGWSIQTQHNSKYLSTSAPSGTSVGKLKAELRIDVDQPGEHELI RIKCQREFVYKAKLALQEGKDGTASSSKKRFLSGGPAEGSMEDELKRNRESQTWGGGR TIVSEKDRRELKKARKEGKLGEAMLDRRAALKSDRYAK I203_03574 MDPLLLAHPPTTFAQMSGVTTDEMPFDSYCIVCDRLITPPKEVD PVEGPKTTKKKLGGGTIRVKNPDGTTTTRSANGQKVTRPGLKRNPNSAARLAALNSSS KMQPLTRSKTNESITSPTTESPPNDLSSPKSQKVITPRINTSSPPFRSSIYCSKQCME QDAGKSSEAYANIARTLSYDFSHAFPLDTPGVTVADHARSPYGPPSPLFVSGSDTESS AASNAGGLQDHSGPASSAPKFMEYFRLSKEGPDDAWNSIQRQRRSSMQPSSSQRPASA HSHVHPSNESLSSLWNADSDLVLDHRSVSGSGSNRMRAMTPLQTSDQDRRELHGAGRR SVSISSEHSAPIPTRAPLKRSDLSHTSLLASSPSSVQNVPIPPEFGSAPSHTLDLLQS YAHAFPVRSPSGLSTSVQRGFVFPGSTAMSPNPSESRRSSISRPVSGTIRAKSRNGNG NGGEVSWDSFGKEVIDEKNYKSFCRKTGQTSSAATTAGPMSVPADFRGRAELDPDNNT PKQSLERGIGGWKIKYFQPSSNLVERSGTITNTSSNRRNRSSSSGGTTTSSSSSIRSS SRPRTGNGIAIPKANNQLSTSISSNSTSRTPRMLPPPRPATTGTTTTTTGVLPDISSL TMAEGISVSNSVPKSGFNWESSEKNGMKTYEIPDLPKFKLDRNKAGLFYFQ I203_03575 MSIYTPPLPPVMSRENSSEDLHLPSSSSGKPMGDAADVVAQLSR SLEAGPSRLSTASSLLQTPKITGNRRASKSVSGSRPALIVTPATRTQSYYTPQVKSSD SFPSSSSIPSSPVLGPGIVKRKSSVPNLVRRYTTREDEGISDLLNEDHLEPPMTSSTR SMSKGKGKEKAVESRARSTSTSIVVPQTQDLTEHSTYTFPSSSFAQSSLNHVPELPPS SQAWSSMPLFPSSSDRELDTYPPPSSSTSSSFVKSAYEVGESLLSWVKPKKHHRYDGS SAYRRGSDDDSEKGLIGSGSDQDEEDDGYSGGRGSGESTRRAGPGKYWGIWTSTAEDQ DPSSSSDNYFTLPPSSPLDQDGNYPRFQAAINGDSNSFPATLPTPALSTKSLSRDNSK RNKLRKVFRSRGGDGISHDDSRGWLTTVLNVGAGQRGGKTAEVLKELGWTVGILVGAF FVSAGLVLWLIQSMPITTLKHLPQSTTDLQLLSAEIRSYMASSNNGWWHTIGVLTFVG CWKHAWSVPGAVILNILVGSLLDPMPALLLLTIITASGSLGAYTLSRPLAPLIAVLFP KPLALVRAALAPETIPAPATAQQVIGDTITPIQASSDPSQPAIGGPTEKSTVWRRLLI MRAMGFVPWSGMNVACGVVGVDWKVFWLTTAAGSASWSYVTASVGHILSRLKVPSQAL ADSGVISENSGGESLTSLLRDPVLIAKLIFLSGLTLLPVILKRRNGENGSGVDGIEDG LNLNLDSSISTTPRSSSSSFELNEFTSSSPSSSGTPRPTIMTNPAISALRLVDLNSSS NEGLPPMSPLSQSLAKFTPTPRMFDLLSFGRTVIRTGQRGLAGGVRGAERMIRGQNGP N I203_03576 MTTPTPVQPVEAFVSFPSPYTQSLLVQALVSVLPFIKISLAPYS EDQPPALQWADYDLLSFDLPHSNPTKYLISSYVYRKALIRKHQLHSTIQAYLAKCQHR NIQSILSPEEGGVPKGWNVELQFLDELDELLLDDLYDLNEGMQRNEDLPEEERSWFIL KPGFADRAQGIRMFSTEDELRAIFEEFEPPSSDEEDYEDEDQDQEEEQDGQIPQDVGV DGMIDMLAKKAVELGFDGDEEDDRHFGSPADRDDDEEEDGTGVMTSQLRHFVIQEYMP NPVLFDIAQQPNLPSPFLEGYKFHLRAYVLLTSAYTVHLSRTMLALFSGSPYTPPRSS KEGEELDLRPHLTNTCLQTDSFGAPAPPEELVKLFWELEGLDALSSVKDGKYEFKGSV TKEWLEAVFNKSGGVVGEAVKAGAECGSFGLQFMPNAFEIFGVDLILSFPPTNPSSSS ELPIPKVTLLEFNASADFHQSGIRLKKDLLEMFKGVIRISIAPFFGLKVNHDNDDEEE EAIDAKQDQTQKSEDWEVGEERWGWTLVGKGEVRGSGW I203_03577 MPDSNAQNPRGEGSSSATGSGGHRTHKERIGNYVVGNEIGRGSF ATVYKGYRSKSKVSIAIKAVSRQKLTTKLLENLESEINILKVIHHRNIVALEDCFKND THIYLVMEYCSGSDLSIYIKNRGRLDTLDFFPRPGSRMDSLARKEDGKIFWPHPPTGG LDEKVTRSFLGQLAQAIKFLRAQDLMHRDIKPQNLLMQPATETEVAEGHPYGIPVLKV ADFGFARILPAAAMAETLCGSPLYMAPEILRYEKYDATADLWSVGAVLFEMSVGRPPF RANNHVELLKRIERGEDRIKFPDEAPPSDKKDSGPPPIPVSPDIKALIRALLKRKPAD RIGFEEFFSSTVWDSHLSESTEEEPTTSLEASTDSSAHMETSDTRIKEMVASIEKSKE RLTLGRKAPQPLAVDVALNPQPAPRPTQQASPRTAPPPTPPPSLSVRQPPTRRSEPKY YVSDNPPAFEDPPIVANTTTTTRANPRPIVTAAQRRVSEREREQGSLEEAAPLTPPYS GPPTTMPRAATRGISEGSPLAATPPITMRHDTKEESALDSSESVVGTDYVVVEKQNVE INALADEIDQASKKPTTIVRRRSSRTSVVTRPVSAFKPISPSPKPQDTAMVPISYSPP FALGTTPPFSIPPGARQSSSPGLTRPPSIPQSLNMFPPLNQPSYGQDAATRFGVSPSS LQTGALARAITNTAIRMIGNSANTAATAIARATIKRRPTILRTSDIDPAEDELLRSVE DIARKAFVLFELADERLLAQAQITNTARNASTPTPTGTTPPFSSQALNNRRKSSSGSM NSEVWILRQQEAAANDAVVLYMKSLTFIVQAMDKVKRYWRDRDEREASPELNEMGQWL RSRFNEIFEKIEWAKSRSNDNMLFPDWLVHDKARDMSRQAAVAELQGDLITAEQGYET SLWLLQVLLDEGVYENGRIREDDKATYEKLLVPIRTRLEALRKKLADSGNTSLRLS I203_03578 MSNTHTPRDSSAQLHHTLPQPLAVSATGLTFTLDDGRVILDAIS GGAAVNCLGNGNKELVEVMTSQAEKMAFAYHQSLGNLESEKLAKLLTDRSNGVLQAAA FLNSGSEAMEAAIKLAREYWVEMNQPLRNHIISRSPSYHGNTLGVLGIGNIPSRRTIY QPFFSSNIHHVTSPQYLRQHLPNETEEEYSQRLADELESTILSIDPRYVIGFVAEPVV GAALGVMPPPKGYFPAIKKVLNKYGLLLILDEVMSGSGRSGELYAYQAVGEGVKPDIL AMAKGIGSGYVTISAVLTGQRVTERIKKSGGWKNSHTYQNHPINCAVACKVLQIIERD NLLTNVKQRGEQLLDELKDATRDIEIVYNVRGKGLFVGIDLVGSSSLKPRLAPRIKTK AFENGLLVLAISGTIDGVEGESIMLGPAYTVTKEQIREIVTLVIKSIREVVDNLEKED I203_03579 MEDDREMRVDEAIIQDSGNGDRLDGSRRDDRDRSRSRDRHRSSR DDDRGHRSEAYGDGDGMKDRVNDRDRDYRSSHRDYRSSSDRYGGSSFRGGPRGGRGLT GAYNRNGYGHSHSSSRPTSGPGPNGEQSVGGPMNATQEEAEAHAKVSKRENRLYVGNL AYDVTYKDLSNFMSQAGGNVVFSEVLTTPAGQSKGCGIVEFATQEEAQRAKAELTDKY LLSRNVFIREDREETARFGAPPIPGKIGVATGEARTFLGNAAPVFHVNPMNKNIFIGN LPLQASWQDLKDLMRQAGEVIRADINFHPDGTPKGNGTVVFVNPEDARAAIEMFNGFD WFGNILECKPDKFANSPFGPGRGRGGFRGGFAPRGGFGGFRGGFRGGFMGGGGFGGAG RGMGFNPAYGAQGGRSFNDNIYADYNGPEGGEGMAVDGAGAGAGSGLKPQPAEPNQQI LVRNLPWSTANEDLVELFETVGNVVMAEVLFEAGRSKGEGIVQFTETAEAQTAGEKFT GYMYGGRPLDVQFNPRWHEFSSAAVKGGQVAPV I203_03580 MLPRPARFRQLSSLPFTSKSISPTLSTRLNRRHYSSASSSTSRP LKVAIIGSGPSGFYTACRILNSLPRDSPNGNNVEVHMYERLPTPYGLVRYGVAPDHPE VKNCQHKFDELSSDPRFRFFGNVLLSSQPSSSPSTTTPSSALSPYTYPHSVRISFDDI LPYYSTLVLTYGASLSNPLSSVNGSSSSSNPLDNVLPALAFVSWYNSHPAFSELPINL KGIKEVSIVGQGNVALDVARILLKPLDQLEKTDLSNEVLEVLAQSDIKKVRVVGRRGP GQVSFTTKEFREMLQIPNLSYNGVELGLMAEAKEMVGNERMKKRLLGLMEKPISNDGG NKSFELDFLKSPNTFIPSPQNAKKVGEVEWNLNQLLSSTPSPPTPPKSQDSAVPSPSG VIARPTGEIVRNKADMVIESVGYRSEPLSGEGEGWVLPFDEKRGKVRNVSGRVVDDQG VVVPGIYTAGWAARGPVGVIASTMHDAYSLSDQLLDDHFNSPTTVSISSPLNPNPEER IPDALTRAQQKGGIVVDLDSWKRIDEAEKSRAKELDNGKEREKFRKVEEMLSVLQ I203_03581 MALLLVSAGIKAGKKAYKAYDNKQKDKKEKELKDNQGQDAPDLS RLALNDPSYPALSITSQIVPQEYVDEKKSREYEDHKDSNLTENPFEAPPPSYQTALEQ PSSAPSPAAYGGGFGPSEYTPRRRGSTSSSISSSSSSSDSESHNKKGLSKSEYRDLRR KDKYERRLARRQKKKQRRADRAQMRYGGMGGGFGGGPARIGGLGGGPVSVGGLGGGPV RVGGLGGGPVRIGGLGGGPFGKGGMSGGPGRGRGL I203_03582 MIIAAGVRWARKSHEKKKKEKEQQGQIHNLQTQQPHQEQDTNRM LKKDKDTLNVQDSSKLDTQCDRDNGEDKGNMGRGGMGPGRGRGRGRGGGGRKQVSNHI LCSYVSLYWMIV I203_03583 MHLVNTALFAGVGLSLLGHVIALGEERVITFPVLSDLDTSSHPH LFDTDQITFNIDTTRRRQRKDDLFVLASKPHGHATPLLLDSKDDQAIHVAAQTFAHDV YQVTGQHPKLYNDTLPGHVERALIVGSVSSDLIRRLKDVQRDGLEGKWESYEIGWEED PLKGVKEGLVISGSDRRGTIYALYTLSEQMGVSPWYFWADSPIRPQSIIAYSKSKKLS HGEPTVKYRGLFINDEHPAMWGWAQQHWNRKPWEPAFQVEMYEKWFEMLLRLKANYHW PAMWASMFDVDGLDVSNGLPKTPTPGPNQVLANKMGVVMGTSHHEPMSRNKPEWDSYG VGEWDYVKNQETLTEFWRYGAERAKGMETLFTMGMRGDGDEPLSGASNALVQNITHAQ QGLLKEVYGDQFDNISQMWCMYKEVAGYYLNGLEVPDDVTVLFADDNYGNLMSVLPPD RQDHKAGAGIYYHVDYVGFPRDYKWTNSINLAKTWEQMNHARSFNTTSIWILNVGSLK PLELPSEHFLSLAYDSDAWPRNSVGKFLKTWAEREFGEEVAEETASIMRQYSLYAGRG KPELLNGTTYSLTNYEEAERVLAGWDDLVHRADKIYHKLDKAARPSFFQQVYMLCAGQ ANLNRLHMAAGRSHVYAFQARTAANTFAKEALDAFYRDANLTETFHSLLDRKWDHMWD QTHITYYAPLEPIRDSLPPVRFVNPYQPSRPGIPIKEHALPGYVAYLRVTVENLIGAW PGDTGKNCERSYKCPDPTLFTMDPYGAKSRWIDIGSGGPRNTKFTITTDHDWLIVSES KGKIKWDGTKDVRVYVSVKWDKLFNHQEGGQVYETEGHVLIKGDDLTNVTVTIPITVP PPVPKDFKGHVEGDGYVVMEASHYTRSSAKDGYAFEEIEGYGRTLSGMEMLPSSTQNF TLGQGPSLEYDFWSHPASTSDKSSDEVQEEKEEVEVTLHLGPTNNFIVNKELSLGLQL DSSSVQEVHPIPLGLLQDNPHEEPFKRSAVGAVPQDWENAVSSENRNATVSFKVDADG WKTGGKHTLKVFGMTTGLVVERFQIDFGGIKERGYSYLGPPESYRL I203_03584 MPQQLVSPEEFLTKLEGCFSDPSSSSSVWLTHKRLTHSTDGDVQ MGDKKEDGDGPDYEVLIRCTQGDIKFSAKIPASSLSTFHSTYGALLKSSFAPLMRKRD KKKEKLRAESLAKKRREVYVDVVVGEGGKRGKGRRQRVSGV I203_03585 MPAPAPVKSLDGRLKMRDGNTIPQFGLGVYEMNDQEAYDSTKAA LDAGYKHVDTAEWYENEEPCGRAINDWCKQNNTPRSEIFLTSKLMHNKTYEQASADIR KSLKRAQVVSFDLYLMHSPIGGPEIRKNIWRALVDAKKEGLLKSIGVSNFGKKHIQEM IDQGVELPVVNQIDLHPFMRHPEIVEICEQNDIILEAWGPLARAMRFDHPSIQKISKA KGRDVGQIFLRWGLQHGFIIIPKSVSPKRIVSNSQIFDFELNEDEMKELDGLDEYLVT DWDVVDVE I203_03586 MSPLPFTVGCSVPLTPPTLDKLKSTFQTVHYHPKGSDDASFSSD ELKEIQLFFTTGRGLPESIESLDQLPNLKHIQLASAGADAMLRSSTIKKYIEQQGQGV SLSTASGTHVLSIPPYAVGMTIALLHQWPRIILGGKEKQKWLSEEECDAKGETYYARS TYGRTAGLLGYGALGRETARLLKSHGMRIIAANTSGKATPQDGYVIPGTGDKDGSIPE EYYSTKDPKSVETFLKQSDVLICSLPNTPATHYFLNKEKLELLPEGAVLVNVGRGSLI PSDDLLAILDSPKFFGAAIDVTDPEPLPVNHPLWSHPKLIITPHISGNSENEMDIAAD VLITNAKRLNGGEGVINEIHFGRGY I203_03587 MVFSTHDTAAARLRALDAMTRGSNSSFEVDSDTEKDKSLIDPPL DDDVEESKRFLVARSSSWPLPLERVTRGMGNLTMSGVNSTVHYVEGKAKDVKSSVDNR WNRFKMKRRIDEYKSTTMKGAREEQCGVMLDLQADESKTTTVESRGTFDEDWSDITAS QIGLSKIPVSDFKVSNALRMTVNQDASTIYVTPVKVNIERESAG I203_03588 MNTLKNLMNAATTKVTHSHNMGSESIHTYPYIYDSESEDEVKVT LRRRDPPLTENSTSRVASRWGWHEGVTESLTDLTSRTTKYITDHAGPHLATIDDQVKS VKMQYQIEKSKNDNKDDYKCGIPLNEIELSTRYEEEEEEDPQNKLIPECQNPQSKFDS FECSDWPSTCGNRCYDHLCEGCRSEHERVVSGIKVERRSRI I203_03589 MQRPNDPLAQRALFVKNLNFNITGTDLYDLFGKYGAIRQIRLGN DTNLKTKGTAYVVYESPDDAKEAINHLNGFHLMERYIVVLYHHPSKQQASALAKAELR AREQALAEEKKRLGLKDE I203_03590 MASVVTLPLTLSASPSGWTKPTAAKPSSPSQLPLYPAGPSFISA ARRQILQRSFEEDDKHVLAAREKEAAAKEDKGDGLSYPGLGEEDEPSHVLNQDPKEWK KQDHYAVLGLGHLRYKAHDEHIRVAHRRKVLRHHPDKKAAQHGANDDSFFKCIQKAHE TLTHPEKRKQFDSVDWNIEDEVPDLKSLSPEEFVATCNKIFAREGRFSKVQPVPEFGS LDAPKKEVEGFYDFFYNFDSWRSFEWHDKEVNEGSDNRDDKRFTEKKNKSERTRRKKE DNTRLRVLVDDVLALDPRIKRIKAEEKAARDAKKKGGVNGTAQNKPLSAAEKKAAEEK KKKEEAEKKEAERKALEASKGDREAAKKAKEAARKNLKKWKKAIQTVITSSNYFQAEG TSPSPAVIEKQLSELDLLVELLEPEDIKDLKEKIEKAGNGQPAKSVLVEKAKATGEKG QGKFTEFA I203_03591 MSSTTQPPSQTKSRLPPVQTKGLKFGAGSSSQGSSSGKGSNNKG KSKEDEDGKLPFLDYISCNICNEAFFDGIAKGKIFWMTSCAHVLCNDEQHQHKEGICT VCGKTMQAVTMEHGNLQPIQENFLSNPEFQLEKALSEYEDQSKKFVKNVLSIKGIYKF QTNQHKKTRSLNKERLDKALAEIERLKDELHIHVAENVELKLEIKQLQDRLNMPPPPI DTYQSRGAGSMPPPIYGRTLTAVEEVEEEPNGLVGETSSSKRRRIDNAEQPSRSLVPS TPRSQPHYAQLLDNSYQTPQHDSYERPISAAGYSAVPLTTNPARPDLERYRYNSTPLN GTSPQAYSQGHTNGGSTPLHRPASAFEGVPGIGGYMGGFVDGDGSQPIPAPAMMNNGF RQSSPPYEGSYSPRRPPPNVYSQPPPESNRYSRDAYNRSLSPNAHDGGNEGKFTISQP PKRPLPPPF I203_03592 MDALIPFTTSGSLVDLVDKKVLVVLRDGRKLIGVFRSYDQFANF LLESCIERIHFKFEYADKDIGVLLVRGENVVALGEIDLIAEDMVPLQERSWNEVEEKI NDDNKRRERDHAIKDRVLGSIGFVNEGREGDAY I203_03593 MSASASASRRQDQETSEEELVSPSEKSQSPTFTSRRRSTRAAAL KANRASAKQARSEDSPVDENVNGNEDESESESEYEGKDEDEREDTRSEIDLESESGNR EEGILSNSEGERWLKVGEPFPWEEVEWYLDESIEEDMRTHLGKRIDKMKGSQTLDPGQ ADLLLINPHPNRINHDRSKHLCGLGHVQRKLARVIPYDWLSKCYFTKHVEPPPSPSVQ PIFLEEKGKGLRVAVLKLGEGVDGDKLRRSVMVDLETNGAMIVSSPINAEVVILPPSH PYNTTPPKQDELKHITWHTPDWVREKIEIARHAPKSKANPKLSKEADLKEIAVVKVKV IQKTSDLVREGQLRGEFIRAFPPLLPRKTNDRYSPSHPFFKHASRHPHSAWHEHFKRN RSKLGMDGKVLEDLVDEYVDKGIDRRLTTRMERKGKGKEKATANKVRENENDEGSEYE DNDAAEIRAVRKKGGEKRKIVRSARKVTSPTELRDGNATHNSERGHSEIPVVNDNPED ENADLREEVGEEDTDGHGDEPDGGNQHQDVDPLQVDHTNNGDQDEDENMNKHVLGQEE VVVASGREDLEVVIEASEGSSQTSTNESKLMENPAIEGYSDASYKGNEAEVAQDGNET EGREIKGRNEVKFVNVRFLQGEGEEEAVGTQRRSKRLRRV I203_03594 MQRTSTPLFPEAGPLTDPSRPSIFDLLAQDQLRELFHPVVRYVL SYFAQRYPRYLLRILNHHEELFAALLLILERHHLKKHNASISEHFYGLRSTPISSIKT PRLDAFSPPKRRKGMSRRQRWGLLLFLVGLPYVRARAQDHFESLGGGGSTNEVGEEDR RRAVTKRQRIFKLIYPYLSLGVDLTFLGYDLAFLFEKTNYPRPWHEWLGLKVIRRGPE DEPESTGILSKLPPLLPPLLLLLKLSQWWYSPSSPRSHPSLTANENSIAATHASILPP RPLPILPGSIGALPTPPLTPEQEIDQPLLDSPQTPSELSVQTKGRYKVTKESYGDCPL CGKKWQNPAVLPSGWVVCWRCGWDAIEGEDEEPDEEEGNKGEQSTLVGRRKGRCPITG VEVGPGDLRRVLI I203_03595 MSQTNLVQSPRPVRPTLKPLTSLSTVPSEFDLHMKNHRSYSVSS SISTTSSSSTYSRAAEDMIDRLAGQETHTAIDLWSGGNIRLNGRNLTTTARDAEDLLN ALKEDGRFEFVKLTFFDAEGEDWKISFRRSHVGIASPVISDSE I203_03596 MAPSMFTRPTIPPQEPRQSTLSHRQKLKSISSFFPSFAHAASKQ MDESHVIPYRQPDSSSQQNVFDGLPKIKNNSNISLPLRSSQQTISPSQSSPCFKVTLQ KTISNGHAVTYTSHNLQQQMSKEMPTLASAIHIPDSSISSSPNPLSSSKASVAGMKQN HDGVHPLYHLNASSTSLLGSYVQVNEIIHVVNVDMPSEGGVGKRERSNSRSSTFRQTT KRITRRLSLDNLVPKITSRGSLRKRERSGSKSSILDGGGGMAAGRRWSLFSSTDSNVN AKANEPSQEAQTKQVIGERSFSKTVNKQSMPVRRNWRNKFTVKNTSTARTSVGKENIS VGVTMGMDDRLEAARQARDRSRAESAEYRERYDERTIKRIPVRGMRDISSSGFGSENE DRLKERESMISMLGGRGGMRERDGISATRLSFVHRPSSRASSFDPAATPKSTSSSSIP IPFIPNGPPPQQSSLVESQTTTSLDATFDSPSPLPRPVHTPLNRSAELRIPSATAKRS AILSPAQDDRSILDPARSPCPIPDMSPILPLNTGLQYQNMPLPPPPVQVNQNMVISES KKMEVAENDPMDMSSLDKSLVEIVKPSISSIAATIAAQPSQTGTFGISDSGQTWRTAT TSPQPILPSSSSTRTMYTLPRSKSKSHTDLSSYSSTKNLVEHIEATQGPGWWSTDKRV NRRVSTMTLRYKAEDEDRELERLERLAQSTTMHHRPKFDDITQEEEMVVSPTMNRMRE EDKLRTRSSLDLRSSFQSTSTANASIVRSSNALDSDTMRSGHHPRSSLMAGSIWSKEL PPSPRRSVLSRQTELAHGDKPMKVRPAQIPLPLSEPRNNTFISNRISPDIARRASFLS KDSSTTEGESPSRSTSRQTTRTTLSAVSTSTAPTSGQSDGEEQEEVSGKVGMLKKEYE EKIAQLRTRHALEIDAVLTALSNAKTEKTALKGEVIGLRKMVDEVTKEKEGLKEKVKI LCMSLQTIELGSISSTQGGTRDESGIGMGMKRSESVMSSLLPELISSTNLSDPISPLA FPSHLDGFGRKQVTVPLTSGSIGKEEMRNKGIMDSLSRGRKSIGGGSSRNVSGATSLH TNEINILYDDVDEEAGRQAGGDGDGWTLKLKESDERFLDDL I203_03597 MSSLFNPFARSWVILILSIGLVYSLAVPSAVNDQQNLNAIVLDD RHSSENDILDLTGFDLGQVPLLNLPRNDNNRGWLARWLSMGGEGEVVAHLSLGSHQHQ PNLTLPHRPASFPDLSHLSLPLPISGFLIPFSKLEPSLEADNDTNLLPSGAGMACLPP LGLPRPPTHPRPGESYRIALVERGGCDFATKIRAAQDRDAHAVIVGDSVQHPGETDEE GRKRESLITMFSPEDTDSIFIPSVFVSRASYLALRDLLANGTSSGKQEREGLWVDLSQ GSDESGALTSLLSFALFMPSLFLLATVAIHRIRVARQREKDRAPPMIVLSLPERVWTP DIVWEKDDSSSDRSVRTQSGANSPTSQSILIKKNDITDMNSNNESQIASSSSNVPITL DNPPPPPPISPSEVRTPPSVSIEIPNPLDEDVSPSGGSPSRSKKDKHKHRTKRHVKRQ YFSKDECAICMDNFEKGDIVRILPCGHVFHKEECDEWLMKWRKLCPTCRADVTLPPGG NVKGSTITPVTNPPDHPAGQEIPRERESSWMSLFRSARERLYGLWGNNEGESETTPLI PRSPDERRASTSSSL I203_03598 MASRAALSKVIRPTSSSIRALSSSSSAAAAGSKIASRNALLSTP LARVARSEPKWLVGAEQRRLASSDEGVTQMTVREALNSAMEEEMNRDETVFIMGEEVA RYNGAYKITKGLLDKFGEDRVIDTPITESGFAGMAVGAALAGLRPVCEFMTWNFAMQA IDQIVNSGGKTYYMSGGNVPCPVTFRGPNGAAAGVAAQHSQDYSAWYGSVPGLKVISP WSAADCRGLLKAAIRDPNPVCFLENELLYGVSFPVTKEELSEDFLLPIGKAKVEKEGS DVTVVTHSKMVTHSLEAAELLEKNEGIKVEVINLRSIRPLDIDTIIKSVKKTNHLVTV EGGFPAFGVGSEIIAQICESPAFDYLDAPPERVTGADVPTPYSESLEHMAFPDTDIIA KVLKRHLYRQ I203_03599 MALLPTLRSACPRCHRNLKQTLIKGDLHLIRRYSSSSASDYSED FSDIAGELRRRKRKADSKRRQYGNTFVDHLLVTVRGGKGGSGACALQATLKGSGPSAP CGGNGGSGGSVYLTTSSSLSSLTTLKKRVIGGQGSSGSGAFKHGRRGEDVLITVPVGT IVREIRREGEEERTVREENDLGLNDEEKRKRKWQRWIVTHPSAGGEVSPEEYKDAEDL LRREQRWNILTPTFDEVPPIEIDIDQPLVESVLLAKGGLGGLGNPFFNSPRVSSKGFL PPTQTFEFELKLLADVGLVGFPNSGKSTILRCLTGKQTEIAGYQFTTLNPQIGVVRVY DDGGWVSNLEEGEEVEESWVKREKEELARLLGEQVFPDPQLPQKKTQREVERIRFTIS DNPGLLPLASENVGLGHSFLRSIERSPVLVYVLDLGKDSPVDDLKVLKHELESYKEGL SERANVIVLNKGDTVDEDEGQEKIKEIEKYLNGQEKASEVIVLSGKYNLGVQNLVNTL VEKVELAREERHRELKEKERLKDSPNKSRSFGLKRIE I203_03600 MVNINPISTVSRSSLIGVGIACGGNILISLALTLQKLAHRRNQE AASGHSSSSHSEEEDDTNGENHTLTQNGNDGNAHSPILEEETPCPSPRRTIIPAEVTE ATVVPVKLSSQPASSSSSNRRSPSPSPTPLIIPRAPTKHVQLNSPIPSHHIPDHAEDE DEDEGLPHKEGEVKEGEYLKSRLWWLGQILITIGEGGNFLSYGFAPASVVAPLGTVAL IANCIFAPLILREKFHKRELFGMALAIIGAITVVWSSNGSNPRLNPSQLIAALKRLPF IIYTLITVLLLITLVILSNTSYGHRYLLVDIGVCTLFGEYTVLSTKALSSLLSNDFFG SWNYGITWFLGLMVALTSIGQVRWLNRALMRFQSKEVIPTQFVFFSLAAIIGSAVLYE EFKDVSFSSFVNFAFGIATTFLGVHLLTSSSSSQDHTSDQSDTESVRPGQPQRASSSA SLNLLLPSAASTSNGERAPLLISSPSTSLQTPLSQANNKAPLYGTTPSGNHMVRLVRI GSSEFSPALGIGSQAGLLLLATTPPSVGSIGRGRSSSRTMPTLGTFTLGDEERATSRE RERSRRNTSASRRNGTDR I203_03601 MRTSSFITLLSLSSISLLGVISARSGFGEACDQSNTHLDSNTYN LITDCDYTTYCASNGTCAYKGCRKDIYPFGYSDYAFDQLPPLCPQGQFCPDEGDQCMD QVSIGGSCQKDRDNECALADNYKDLAGFLNTNGSICLNFTCYYANVTLGQTCVTDNTA YTAYLDSGAAYAFIVSRDNCANGMYCDGTALQCMKMKRQGEACSGNKECLTYNCGDDG KCGKAADDPIHPGAWQYVLVALGIVILIGGVMTGLWFAHRRSRNENQIRLEQYYNEQI AYRQSIMSMSHAKNSLLSLPPNTSPDIARSSLYRDDSGWTSATNDGLLPPNVRRDSTS GWSDGDGPSRERMERVDSDALLMHPHAHASNRESRYMDAPEPRGGYRE I203_03602 MTNFLSNVKSALNARRGSNGAEQNTLSPEYSQNQIQYNQVPDTS SSIDLKSSSASSSEEFKSKKELKAERKAAEQEELARLAAQARERGEKMKLDPLYLTKE FADRGLAPGGNDFIPPFGRG I203_03603 MSLAKRSSPENHHDKSMQSTSPDETPSIKSRSEKNLNLVEPETE LPTLSNSPSSNAVNDKTHQDGEGFESDNELSIPRKYRFIAFSMIIFFATGSSFLQAIT SPLKSTFKKELGVTNAQYGAIASASSLVNTILPIIGGVGMDYWGATYAAIISSVFILV GAIVASISANVNSYGCLIGGMILMGFGSTVIESTQSKLYAHWFRGRSLAFVFALDIAW NRITSIFSKASAVPMSEINGWWGWALWIPTIVCAVNLGMVLVYWYFERALPEKYKPVL GKNAEIRQEKSKGRRKISWDTLTSLPMFFWILCGTQLFQNSAVSVYTSNLADIQTVTR GTSKLAAGYNSSLQGIIPIILTPATGWFFDKFGYRMVFVSWTAILYIIVFSLIGFTTV HPLCPILISSFALTTNAITFIASIPVLVGDDRLLGTAFGVWKAFQNGNSAVLDVAAGA IQDRTSNGSYDRVLYLIIAIKAIEVCLGPVYDYLDGKWLGHSLRLPEKKRLLLRKEAL DKEIDYPGWRINKIVTRIVGVELVGLIITAWVVSFYFLFIYGSVDR I203_03604 MSSPHPTTLIPYSERIKFHTNPTAIKILEIMDRKKTNLAVSVDV NTAKEALEVVRRVGASVCMVKTHCDIFEDFTPAFIEELVRLSKELDFVIFEDRKFADI GNTVSLQYSSGVHKIASWADLTNAHSVPGPGIIAGLAKIGQPLGRGLLLLAEMSSAGS LAVGGYTEQTFKMAQDAGRDFVIGFIAQDRVDRADKIKEGEDYLIMSPGVGLGKKGDS LGQQYRTPRECVVDSGADVIIVGRGIYGVEGGEQAVRDEAERYRQEGWKAYEERLGKK I203_03605 MNHGYDDSDEEYDYATPPVLGGAPGGMGGGGPSALLSQLMGGIG RYTYRAPPPSLRFNRAFDEYYKAYSVAVMGGRERPELMYGGKIIMPPSALAKLSALDI PSPWTFQIRNPRNPTVHQTHAGVLEFIAEEGIVHLPAWMMKTLDLTEGDPIRLTGAKL PKGKMVKIQAQSTDFLQVSDAKAVLESALRFYSVLTKGDIIEITYNSLTFEFLIMETY PENAPGISVIDTDLEVDFATPVGYVEPPRPAPAPIPTMADKLKIDLSETHSVSAGSSR PGTSLSNRGAPGGAGGGGSGDATPIESFTGVGQSLNGKKVKGKGLAKKIEQVDQSSKI NRNDGPRIITPESLADDGRKIPAALVLPEGKFFFGFKYIPFDPSKAPKKTDENSDSTN GSLQPFGGSGQTLANRPPRGARSPFKQASPPPETKVKEEVKEEKPDPWANLGSGNTLK KSATQIAKEKEKSRQEVIDATMLDEDDFMFDGGDDEDDIIEIDSD I203_03606 MSNPYLPNSPSSSSNPLFDPYSASSSSTSASKLDQPITRSRTLF FLSVRDSSTTYSSKIRKSNRQYGDTVDIGDDEEQIGLIGGSGSGMSGGKGLPPRWVDL SDEVEEILSRAKIKISTLDKLHAKHVLPGFTDRSAEEREIERQTADITRDFRRCTSLI SSVNPGPRAARVEIMTAKNVQRGLAQKVQELSGVFRKKQRVYMQKLQGHAIKNKDLMV ASGAITLKGTDLLDELQEDEEATQNQLQSQSQAQSTSAIDIDIQQRTNEITQIASSIS ELADLFRDLGNLVVEQGTVLDSVEYNVQMTARELKGAEEELKVAQRYQANTGRRKCIL FLVLCIIGLIIVLVYKPRSHTSSTSPEPTQSSLPIELPLSSSIIDDNTDIEVTPSYKS VIYATPTTTEEETPSSRPHRPLPKPPPLFTPVDEVDDW I203_03607 MSIPATTDTNDTPLPSSLPTSPTSPSLDKRSISTTDNNKNGGDH QEEQEIIPRIPEHELRIYGLSPAVTAENLINFFSTTTRVLGVLLHPQSTFSSTLQWAQ LWVGSQAEVERCMDLKQHLAPSGITLSKAPSSAPPPSTQGLMTPELSSTNLAIDIRAP LTPPSLSRGLRLEGSGLGYRHIDPQGPLPRNLYVMGLPLDLTQVQFKSLFSQFGMVEH STLLSQLDGMGRRRGFILMSTHREAVEAMSGMNGNWLEGFKIDVSWALVQRDSKNFGS NAFGALHNRVIHPPSIPTRRDLSDDSAVLVENLDPAYFPNAGAVREIFSTFGPVQRVT IISVTPFQAVINFEHEVSATALINANGLSLGGRPVVTRRYTKPPFAISSPSQEATSPG RLTFDPFGTDITNRLANLQVNGPIESSSATSLNANSAPFVPLPYPNARWLSSSSSNGH NMISQVESPKTIHDESSDSLSLTNSRSESTRNSGSASLNGNGGSKQPGPKVQDENIAP IGHYPHAWPLPSESTLVPAKSRWTSAPK I203_03608 MSNDWWTSSTSSTSNPNPNTQPFHTPQRPSQFQAYHQNSISRST RFAANNVGDELDSEDLRMADSIKFLPSFANSPAGKLALGTSPQNTMSPNSATASMGQS MGVGGVGSPNEGRRSPGVRERVERDSPRHHRRSLLHQNSQLGSSILGGGGGGMSIDED MPPTASLRDSISENYNGQSQYRGNESSNAVDLPTPPSLLPTTSTTSLHVFGPPLPVLA SLQPYLSQFGTIQSYQPGPEGSNWYIIQYTNPVSASYALRRHGDIIQGRYMIGFKVQN ENSSNGLTVLPNSSTNQSTGTRAGTPIRVQEGKSIVKTRQPPQPIQKVSTANGGGNEY NWDDLEDEGSKSGWSGWFGR I203_03609 MSSPTQHTHTQTSGNNGSPSSISARQPDQVKLRSPASSTVKVVQ LVNMLANGHEPDHVPHNQPEQVVQSTVTEQVVEDGNTTMMSTEENEVVGELFQSQEAE QDEDEDMNGNPDEEEEDDEEGEGEGSEDDEEDDEDEDDDEEDDEEDSGEESEDLGGSP EIVAIDGPNGPSRLSLPPVIKPEPGTPGAGPSSLPGDTTAQGEAGPSTSGEGAEGETN VLVPKKKKRAKLRSPSEEEDLPPPPPPMKTIRLERVMLPEGETMEWNILDDARENGMV AEIWGVAEGEGEPEDLPIPIPSENQNGMEIDGILQPSVLPNGHEVLPGEAPAGPSSGP LFGLGFGEEDPEEIARRLEEKYGDDNKKSKKSKKRKPVETYDLEDAFIDDSEILIDAP THFARPKKEGFFVHSGPLELMEESPVKPKSRPAKPKPRHSNPAPPPKEPRASLSAVLR SRKQRGFNFRGSQAVPISIDDDSDGERNGGPSRGRDARAGSLSPPPPVKDETEDALLS EIQSVHIDRLRYKNASRDERYLPPWSEFPDDLRKRLWILRSESEKQQWDTINKSRFPE NLKPFLQAAGEAAYEHDIFGLGDREGVDKSFWHAITSALPYNEYTMKKLCTKLSYPGY WRWLHDCEDEAIRQFGEMVDKDREEVVSKYEESHRKWEEEVREWDDVRPPEPPKRFGW NSDMRDVFVQLVENMYNMVDLTAKAGDWNIPGAKTGKEFSEASIKQKLYKRIVDLFPE GYMNTGVLSREMSKINKVKKAKQTEGENEN I203_03610 MGKAEAGSAKAIGNAIKAKGLGRLRWYCQVCEKQCRDENGFQAH CRSEPHMRKILLLGPKAGAAINDFSQQFQSEFLLLLRTRHQTNRVRANAVYNEYIQDK HHIHMNSTRWVTLSGFIQTLGKEGIVHVDEDEKGLWITWVDTRPETLARQAAAQKKDR ATMDGEERERKMLEEQISRAKLQLEVNGQGDQSKGLEKKEGEKISLNLFGNNTPPQRQ DSGDGDKDNTNGEEKKVQVQVSTKPSGFSTFSTPSSTKPIAINPLKRPAPMNVFKSAK QSKTGSESREDAQGGKKTMSEVERLMKEDQARKSSKPMGYRGMGPSRGGR I203_03611 MSGLSDDTLRKILTQIQQQAIQSQKQLSIVRAQIQSKEKEKKIL SLTVRELDTVPRNGVMYKGVGKMFIQQDRKEVDSEHSTQEKQLNDELNNLTKKSKYLE KQFEEANSQLKDIFHSQQRQAA I203_03612 MSIENLTIDDTESTQVDIQSRPERKARKALEGLGLKRVQGIQRV TLRRPKGVLMVVAQPEVYKAPGSDCYIVFGEAKLEDPTSAAQLQAQAQLAASSQAAQK AHAQGGFKEGVPKSLEDLMGGDEAPALEDTDKPSTTTTTAPTTGEDGDVKISDEDVNL VVAQTGCTEEKAKEALKAEKGDLINASESLCFVCDITTSMSSNMQGCYSYP I203_03613 MSFFSRSNKSASSSSSSLAKQAKPNATSQIFTEPSQESSVKKKW DYNEGQLKQYTSTLLLPETDPYYPWELRFLNDPGTHPRYMRAAKWKMDDAKKRIQGTL EWRREYKPELISPDDVCVEAETGKIILTGFDYDARPILYMRPGRENTERSPRQIRHLI YHLERAIDFMPPGQEQVAIIVDYKSATSQSNPSIAVARQVLNILQNHYVERLGRGLVV NMPWWINAFFTGISPFLDPITRDKIRFNPKLTELVPAAQLDSEFGGDYVFEFDHKPYW KTITEFCHIASDGTRIDDQGEKIYPPSGNGIKAAIEGLHPVKGAVATGQVEKVEDLSV LKHPTPPPASTPPAADAAANGAAVVASGETAEKEGSEKTLTEENTTNSNTVGGLEDGI KRMSLNRALTTAPGAPEGDAVFDHPPTQSELKEARQSLEGR I203_03614 MAPRFLLNFARYYNSNFDRRPIPTLIITNGVLNTIADALAQTSS IILHEPTPTSPTPSYDPERTLRFAIYGMAMGPLIGHWMRLLERAIPVRIGSKGAGLGL AKRVAADQMIMAPFGMSLFISSMGFMEGKSLEGIKDKFSEMFYPALIANWKVWPLIQT INFKLMPIQYRVPFQSTCGIAWTLYLSLLNAKGEEGSAKE I203_03615 MTTPLLPSSLPLIPLPPPQVLYPHMGITIPLSTTQLAQVLGAVQ SNLGGKGGNGERGMVGVVPVADGDRRVGRWGCAARIKNVHKSPNDDDTYHLAVQGLAR IRLPRSLPPILSILPSIPVPTSPYSLPLPSVIPPTTDILPLAFKLLPEELHNHMNTSP PSLLCDILVTILEVDRDTRIELLGIPDIELRSQKVKSILINLITSRGLTPSSSPSNNE KALIVKPRQPQPLSVIGGKPKPPLGSGSNPFPEDLRPLFELFQRRLDELSLNARETIQ RELMRLSKIPPQSADYSVSRTYIEWLLALPFKRVSENYEIDLKSARSRLDGDHEGLEG VKRRVIEYLAVYRLKKQLFDESQEKKALLNSSQSAQSNDKLDREDEKNLLELIPSSTS AKQITKTLQDNPSIGGDDEPPADAYRDTGPILLLVGPPGVGKTSIAKSLATSLGRKFH RISLGGVRDEAEIRGHRRTYVSALPGLLVQAMRKVGVSNPLILLDELDKVGHGGFHGD PSAALLEALDPAQNWNFHDHYLGDVPIDLSQVLFIATANSLDTISWPLLDRCEVIECS GYIIPEKLAIAQKFLLPKQIKECGMNDTLVKMEKGVLEKIVTEYTRESGVRELERQIG KLCRNKAVQYSISREPSNHESANEYESRVSVEDVERILGVSHYGREKPELGVRPGVVN GLSYNGSGNGSLLIIETLLVPGGSGRLVITGRLGEVFRESIELCLTWVKSRSLSLGIT DLPEQDPLKGYDIHYHIPEGAIQKDGPSAGIATVLAFISLLTGKAVSSDIAITGEMSL RGSCLRIGGVKEKVIGAHRVGVNKIILPRTNRPDVDADIPDLVKKQMQFVYVDKIEQA IEEVWGKDIWVGPGAGERDVRIKVDARL I203_03616 MPPDSSSVGESRKQKCLPGHGSRCRNCQRADTPCDFIEKSANEI YLQKYTTELENRVKQLEDFINTSVATGRQGSSQSPQVHSTTQNSAQTHGDTRASASAS EEDNLATGVGFLSLNGGSDPLYLGGSSGVGWAKVLMHSLKHKNAISGNPTSPRITRPS PSSHHPPHPPALHENLTPLPDPPSENLGIHYITTVYYRVQSRYGFLDWVNIQSWQADH KRLCTLRPLDSLPTNNDTTTTVQDHYGAFFLWMLYGLGAELCENDIGSGMVPVSHEVY FNTALRHFTPLSTIQSLTTVQALLLLIVYTFRHTSSDLSLWHTGGLAIRSAIELGLHR KIRSREIRERDPRAYCMRQRVWRGAYILDRMIAIQFGRPFAIQDRDIDIELPVNLDAN IADQESLCELLNAQALMPETNRPGEEYRNGYGCFTSMTSFIHTIRLNQLESKIHELVY TVDKSIHQIEDSDAIQGILNELNMWRSNYPLQPDNRIPNCSHEFFEVEYYNCVQILLR PLAARDDAPDYWLSNCAASAAAVLDIECQLLRRDSKNLATWVLCKIFMSGLTLLHVIW NHPQVLPSSTVCRALRSCSTCLFIYAQQFPIAGSYLDCFEDLVKAFDERREAHAQPID DSNIGNMSAVMGPSRSNDNIVNGNTASFSIGDPAGVVSQADIWTDRLDNMGGMLPGLR EDLASLINSFGSANSEQPMMTMAEPMSLPMTMGNNTVAGQTNVTDPMVEMMWNLPFDS WTGDQTIDISSLFDSSELQGFDFLNGSNVNSNNSNTMM I203_03617 MRQSAADAVILLKNDRGILPLPKTGKRIAVIGPNAERAQIFGGG SAALKPTYTVSPLEGIGAAVGEGSEVVYARGCDAHKLTPLIGEELRNSNGQLGFDITF YNEPSSSTSRKAIHKLSTTNSSMFFNDNLPEKLNRACYATVSATFTPSRSGIYQFGVG ALGISDLYVDDVLLIDNSTSPIPGELFYGKGSREEIGEIHLENGVTYGIRVEYASPSA STSFVGPLALSSRGGLRFGGYLKLSPEEHIREAVELAKSADIVVLVAGLNAEFETEGF DRQSMSLLQPTLNLIETILSVRQDRVVCLQSGTPLETPFIDRCSTLVHFFYNGNETGN GLSDVLFGDVNPSAKLPFTIARLLSDCQSHKTERRFPGVEGKVYYDEGVFVGYRQFVT HGPESAFPFGHGLSYTSFEYQNTRTSLTTLELDSSTSIEISCTIKNTGSVVGREIVQL YISPLNGQEQRPKRELKSFKKTSLIQPGKEEVVNMKLDKESFAIWDTTKSTWIIPKGK YEIMLASSSEGIRDTITISIESDFSF I203_03618 MPVAVHSTSNGQGIESSKIKYKLLDVEEVLLKLSLPEKVSLLSG KGMSKLVQCSLKFSVRSMTERKWVVGIDNWHTRDIPKFGIPSLRLSDGPNGCRGTKFF EGVPAACFPCVTGLASSWDVDLVRQVGVALGDECRAKGVHVLLAPTVNMHRTPLNGRG FESFSEDPLLSGILAAGFIRGCQSTGVAATLKHLVCNDQETNRVSSLLTSSSTHVDER SLREIYLKPFEIAIRESNPWLVMTSYNRVNGKHASETKGLITDLLREEWGYEGMVISD WHGTYSTDEAIQAGLDLEMPGPSIIRGPALLRMVTCGKVKETEIDERARQGKVKTGIE INVD I203_03619 MTSPALAPLPKYEDKSQDLHDLGGDEKGPEPNLALLKRIDWYLM PIMAMTYGIQYADKQSISNSTLFGIATDTHLHGQQTAWLSTIFYLAYLVFEGPMNYIM QKVRLGRFLSIAVFIWGGLVMLIATCQNWAGLMVLRTLIGAFE I203_03620 MVSSPIFTGLVTYGIGVATQNNGGIAAWRSINLFLGGMTSAWAI VLFFLLGTPDEVRWLSPEQKKQAVARIVSNQSGGGGQSEWKWSQVKEAFLNPQIYCYF LISFLADVPNGGYGIFGGIVTKSFGFTSLQVILLNMPGGAMGGSFNVLSGYLAGKYKN TRTYYMAFSMIAGTAGLLVAALLPQEHAYRWKKWGGLLCMSI I203_03621 MLPSNVAGRTKKSVASTMSFVGYCVGNIVGSQLYQAKDAPLYRP GLIASAICFGLTFVVIFILRFYYIYVNAKRDRQARESGLTVEEMERLGKINGENDLTD IQNPHFRYSY I203_03622 MAPIQVKKICCIGAGYVGGPTCAVIALKCPHIQVTIVDLNQQRI DAWNSDELPIYEPGLDEVVKAARGKNLFFSTDVDKGIEEADLIFVSVNTPTKKSGIGA GFAADLKFLQLATRRIAEVAKSSKIVVEKSTVPCRTADAMRTILEANSKPGCSFDILS NPEFLAEGTAIEDLFAPDRVLIGSLQTQQGKDACEALTQVYANWVPRERILTVGLWSS ELSKLAANAMLAQRISSVNALSAICEATGANIDEVAYAVGKDTRMGSKFLKASVGFGG SCFQKDILNLVYLSESLHLPEVAKYWRAVVEMNEYQKSRFANKVVDTLFNTITGKKIA ILGWAFKKDTGDTRESPAITLANHFLNEKARINIYDPQVSKDQIWLDLTDYGDIPAEP IKPHVTISQSVEEACHNAEAIVVCTEWDEFKKLDWQKIYDNCPRPAFVFDGRLILDRK ALTNIGFKVTTIGTGDRL I203_03623 MEISTVSLAPFLLDPLSAESKSECQKAAESLILTGALIVRDERA TKEANDRFLDLFEDYFEQGERELKRDERPEVGFQVGVTLENTEKPKCASDENCQNIIS SLDEAERPMDLGSHGADPKCRFFHRMSEKPPYTSHFPVLEAPNVVPEKFKDTWEGGVN EWGGFMKQAVEGVAKMVASGLGLDENTFTDAGRYGSHLLAPTATDLVKYGKLNTVYAG FHTDLNFLTIHGQSRYPGLHIWARNSGKKIQVKIPPGCLLVQAGKQIEWLTGGLIKAG YHEVVCTQATMDTIARRKVEFPQRPLMRISSTFFWHLTPDFNLSPITTLKDEAEKRFG KQEDYGKMLVGDQVRRELGLIALMAPS I203_03624 MPTPPSSSSSSSRSKLPSSSPSSARLRRIAPSPVKIPAINQTSP ITTKIQTASLLSDITASLPQEYEFAPLELIILSSPDTQIRTLFEVLESSRTGRRRKSI EGDDKLPLIFDSRGEFGKLAHEARIRKYGIDVPCSPVLSCFEGEGKDESVKSEESSPN KKRRTSNTTNFNCEENGANDTKELHSLTPTNDNLSLKLKYDIPSPIMIDFKEDIFSEK GRNQIWLDEIPKESTTRQIMMRRPGRVDREDSSRSINTFGHNSSNAGPAIESNGDWKS DGLEEELDNWSFTLSAYEDEESIVGGISTDRKDSFFASFGSSNSTIDLTLINTPSTLN ISFDNEPTMTMTTSNDKFLSSSSYMPPPTTTTATTFNFSSMVQSDEADQNSLEFNNSP FSDTIVIPLCTARDNVSQLLLSVDHDQVDDTPTPKNKTATHSSKGRARVISSDKELPA LPILHRNQHTFQQLEVDGASCKTDGPRRSQDIEGDPVELALKEVDKSMTIQLWVDQEG CRENRSSLQFIRSIKPNVFREREGKALKEAALWCESPTRPECFQQSGCWEFGMDPKER DKWSFHHAALEGLPVLRRLTINNDEKHDFLSRSATLQIKEPGVYSVCGQEERGKAEWK FEYLVQNKISPNSREQIANERIIIPLGLYVSPNFFNPDRALKTSLLNLFKKTLASNIM SEKIRPPHIGKPPAPPSAATAISEKEGITMTTVKSELALIQHRKRSQTQSHIPTIGAV SSNNVTPSHPHPHAHGHSRSVSRTIGAIAKAVAGMTNTTPTPTHQINHDIAFGKSPTP NSSSGKPGSSGVGMGIHKFGTKPATFGRSTLGSRSKTPTGANAVFDNAPDQENGLTPS AEEKKKNRKRSTSLFSRSRPFTPPVNITELHPIPILSNTAIKPSTPTSMGPSGLLIPL DNNNQTSISLPLQQNKGISSSRPNRLSQLQPQQGHPFLQPISPSRITTTSTNTMTNGQ SLLTRSSSITSRSKPINHNYTHSNSQLSHDTLCSLPGSSNTRRGIRKRPSTAEPRLGM R I203_03625 MSPSPKLKNEPEVMMGHSPTPTVSELEMEGALLRSVIDVRPIGR HKHILIIQLQSSMHKRTGLWIPIEELWDRLGGLYNLEMLDEMSSSSSTSLPSSPPLLS PLLPKSPKANSDRSSLSPLSDISMTSPRKSKPTKRGRPSTVRRKSSSTELPKEKGTKS KSAKVINSEHFKENFDLPYFKGKGRRDSHANANKVVKDDEDEHEAQSDKEEEDAERKR EEAEGEEGDEGDRRDSDDNWEKMIYPRAEDPGKDEKWGGDSPTKSEGNGGEDEDQDEG DDDEQGEEEEPQDEEEDGEAEEEEQEQEEEEEKEEEVSSPVKRKPGRPPKNANKAKDT STPVVASASRSRRDSVSTRRRTNRGKKDESESEEEYRGKRKRDEGEGENVSLSLGLCL NQPRGRGCQFWIRGVLMMYLLFMDRNDAREDK I203_03626 MPLKDLLKKRFTSAIQSIPPPAWKILITDDHSQALLDSVYNNFD ILQQNVTSVEPLHSPRPPMSVDAIYLLTPTSQNVDRILADFSGRRTYKSAHLYFIDGI DDRLAQKLTDGLQGGVLQAFVELYCNVWAIEDRVFSLKNPGSFFTMFGPLGGAASADL AVEAFEDDVKVAGRSILNFLATIDESPYIRYYQPHHHPPLGPLAAQAQASAPVQQQAP QSLRWRSAMGGGPKTPEIVGEHLSKKIANQLQLDLDEYLQNNPEFPPASGRPKGVLFV VDRSMDPAAPLLHEFWYQAMANDLLKIEDGVRYKYTYTNTIGGKEEKVAELTEADPVW CSVRHLHMKDAIDTLMTDFGRFAQENAGFGGGGNVNVNDLKDMLASLPQFQTQREQFS LHLDMAQECMSLFEKKKLSLVANVEQCCATGYTSEGKTPKTIVEEMVPLLDDRVNITS LDKVRIMALYILFRDGVADEDRRRLYQHARLSISEQDMVNNMIHLGVKVIKDSSRSNK SRIKQKPSNQEGEYELSRYKPIVQMVLEDQSSSRLDQSNFPYVRDAPVDATTSLRGGN TLAAPSQSGSLRSARPTWHKASSARMNNTEGKQRIIIFIAGGMTYSEMRCAYTVGRAL GKDVYIGSTHVLTPEIFTQQMRALGRGGIGANPPGGIQPHPQGPSRPHRSPGQPTSYQ NILDFRHWTVPVGPPILPPPQPQVQNQHLQQPKLQHQSSYASSLNDSMSNLSMGSGKD GVEKKKKKKLFGMKI I203_03627 MESQVLACLQATLSSEESTRKHAEEQLKQLFLVPEGGLSLARIL LAQEVDLSQRQITFGPYSAGVLLQQYVNQHWTPASAGFQHPITPIEVKGQIRPLIFNG LSDPQRKIRLSAAFALSTIAKYDWPEDYPDLLSNLVSLLGGPPNSVHGAMRVISDFVR NDLSEDQLLPVVQDLLPAVLNILGDPERHSATTRAQTVSVFRQTMRMLETVKDEHSSS VKSAMDSLSPVWLNAFTQLLSLDAAEEVRKNWEELSVRIEIFRTFSLYHNAFPKYLHP HLQTYIPLSIQNLKSLLPSFHTFYLSNAEDSHELPSPTSDVGMLDPKIDITDLACAIF DFLTPAVRTKTAPSILIDGQGHGNEVMQGIVDVVLDYTQITRENEEEWMEDPNAFVID EDDETEQYGIRTSGYDLIGSMMDKWSHPVTSILQSRVQQKVQESAGARSSGNPDWWKP LESALSLIGGVSDDLREILEDDEAAGKAQSFNVQFLFDEVVPGLLSQSETPFLQGRAF VFASQFASLLSDALAGQYLGAAVHVLDSRDVSVPVKISAVKTIKNFCRHVSPEIMQPQ SRQILSLLLPLLPEITNETLYLVLETIRAVFALDTNLINGDSVMELASRIYDVWVKFT DDPVTTAIIEETFESLASLPDPSVAPALVRLIGPKLAEAISTPVDDDTVHIPGEAVQL ANSLIRTRGGPLEPELIGSVTVAVMGVLRTTDDMDVIQVSSLKIDGISSGSDSLTVHP PIRRHDSEGNNGIHSIFHLLSRFLAPTFSESGGIFVGELIMHLFRKAGSVMAPVLPDL LRAVVGRLVTAQLPSFIQTLVLPFAYLFGTEYTTSTIDLLSSFSVPLPGGGEESGLEI VLAAWCEHSDTITGSWNIRVSDLGLSKLFAMSSSAQDQRLNGMVVRGDLVIDERNRDT IMTRSRTRNNPNQYTQIPFPLKAFKLILKDVQSEPGAKGKGKKVDYDIEEDDGDEDWD DDDLLAGGGDGVGEFDYLSSWLDDKGGNENDAQDDDEDLKSDPLAQIDMGQHLTDILR QAYMNESGDIHQMIDNLTEVEKKTLREVLTLA I203_03628 MVGNNHNDYIPLATSPSGAGEEGVDEQKERILRRSHNKLFFPLN HLPNSIRSRHRLLFLLLPIPLIVILLSLGRHFFGTTSSPSSSGGLDLQIGENPYFYTG DVWKHNDLVIARLSHCRELGILRNTSLPFTENEKLSQEEDELILQGCGTNQTTVLILS SLWFAEAFAGTSTAGETIYAQSVISTLNAYNYSYVFTSLGWYNADMRKTVELWSILKD NVRMVLADPDQVNVCYTHSDQKCLKTKENIEGIPAWKIMSFWYWDDPANPLGTQFTLS PSPRNDNYFLSYSIEPTCRRLPSLPTSHRHDPPQAYLLAKQIHYLENSTAFSWTLETL VGLQDTYGITVVGGMTDDDEVTSKRVKELGIKNMGKLPKLDFYQELSKSFVFVGVGRP RISPSPWDALCMGVPFINPILTWDEEDPQNRTKWHAQQWHMTDLEPPYVYSVHAHDLS GLSEAVGLALQNPIESYIPDYMRFDFACHRTADLVESDWRSKAESILQERTESGEGQV SCVFLELQDGWKGYEYVSLIRVL I203_03629 MQLKDQRPPVWVSWWMAFSIVIVSWDAAYCFLRPRSFGTGDLAW IWAPYNMVPYSMVDYLYGQPGLDANDGFTNAQALMNVIEVFLAIEYLYLRHTSPRSSN RTPNPAHHYHAHAPLVGFAGALMTLSKTALYFLQEYFCDWCMVGHNDRFTFWTVWVAT NVSLSISYTWVVVPFIVCVVLGRFIAQALMRDTANQIAYLEWSTSQTQSSLTSPKPTS TTSLTVQSDSEQVESDAVSTSITPPAALSLTFHPRNLSVLVIGSNRLAATRATTFLEA GAKVTITSPALISDASPELKQLEQVGRVKYRQSKSDTAEDWSSLFSNLKISLVCVTDT LIGSQSRRSASSAKTIYETCSSLRIPINISDQPLYSTYTFPSVHRFVGQNGIPSNLQV AVTTNGQGCRLAGRIKREIITRLPSNVGAAVDNVGKLRSKAKSSPKLSEEEEQNHDIP LNSPVPQLHTPSLSRVGSTEKLSIIPDGGVEGLSEEEQQLRRMRWVHQMSEYYSFEHL ARLKEEDLDQALELWSTSSQDITLSHHQSGHRNKNAEGKILLIGSGPGHPGLLTVAAH HALKTATLILSDKLVPSEILALIPSTTKLHIAKKFPGNAEGAQNEMMELALAGAQKGE VVVRLKQGDPFVYGRGGEEVLYFRENGFESTVIPGISSALAGPLMMNIPVTQRGVSES LVLCTGVGRQGKAVKLPGYVKSRSLILLMGVARINQIIETLTVSSPNAEGRDGERYPG YLPIGIIERASSPDQRIIMSRLDRIEESLKKLDERPPGMIIVGWSVLCLEGKGKVDIL DKINASEDDERQIVDDWLDGNDYKVREGLQEGWRDLLSEVQ I203_03630 MIEDTNTEPPPPPDIRPFGRTKWNKHNNNNQDALNDEPGRPHLP CESEVEAEEGKCTSYTEDGTGQPRSKWLFRIRGGAANMTITILLLGIILTASTASASP TSSLGMGKDEYTSYASSYQRDAMIRSSKRRTPILSFLKYILDYASSSLSHFTDSDTMH VHMMGKRELSTGEIVEACMIPVLVALSGMFAGLTLGYFSVDPTQLQVLSISGTPKQQK YAMKILPVRKDSHLLLTTLILGNMIVNEALPVVMDNVIGGGIYAVIASTALVVIFAEI IPQSICSRYGLLVGASMAWPVKIMIWIAFPIAWPIAKLLEYILGAHHGIIYRRSELRE LIKMHAATAEGGGDLDFDTVQMAQGALDLAQKTVREAMTPIEQVFMLPIEAKLDYETL GHVVRSGHSRIPVYQMVEVPDINLATATKGPAKTKMVKKVLGSLLVKSCVLLDPEDAT PLASIPINAIPSVPYDEPLTNMLNVFQEGRSHMAIVSRRPKRVEKDLEDAESVMTAAA GGLRQRFMRKVAEISHGNKSSSDSDSSETDTDVDVERGEKGENKKRRKKTRIRRINSG SDGTAVASSPTSTTAAEEVKLQQEQERKKKASLVEKAKLTQLEQTVPADAQMAPGAVE KFFEGLEGAPLGVITLEDVLEELIGEEIYDEYDEHGVPRSDASAFVPREAMLAARKAA LARQNLTLAESTPLPATNDADLEQPQSQSTGARRVIPKLITKPKFGLGKKPASQPGRS RTADVTITSSTPPPPAAPAQSPGNDAPSISLAAGGEIKRTSSPGEIDQDDAPRRTQSE VKMNARRQSTPTDPQVASTGAGVVPLKGIDPVTAVPARLLSSGGAVTPNIAPPSGTNN LLNEALMIERGRRKAGANTPPIIRAVSQGATLGIRSNVPSRQPTPPSQGSTSTASGPS GPSVPTGGIVSPQPVQAKKVPKFKSVPAPPLVSTPTPSERGGSIDGTGGKKSEGKE I203_03631 MSRPQGHRKSQSTSALSFLASQPRPSSSQSSRIEHTPSDGQSKH RSRRSLLPAVKESEPVSASMTCLPGLTTTPQASAPAVGEDMRKTRSNQSHVSNDRSRR QSKSHAAAEVEKMKRGEGAGMAMGMGMRREKSLEEGLESWLSDVKSLRSSSRRRLSAL KHVERYLVEICVSKDEVKLQGLVSRQIHQTLLSLLTRHASTLAQRSSHTSLPANDELA ISLIPEIEVVASILQGLCCLSRRCKETVGESWVMEMFIDLLLLLRSQPPITEHYKAIA YTILELLFCILVDSPKNARTYEKLGGLEAVTRVLKGTGVTKDVRMKCIEFLYFYLLPE QNDPQRAVSSSSSSSSSSNESSLFPPSPLLTSQNPIDVPTIGHSNDTHSPKGHPKELA DIDMPFVPMTPRKAPQPNLGYLTPATRKSSVCTSNSSTPSLPTVPASPRVPISQSTTS RGLAAMLDEMEGPSMATPRSSRSNRITSEDERSGVGLGLGLPKSTSGLARSSISHQVD SPSAFIDPFNLTSSSERSHSGSSGSSTIVPISTSRSISRSNTQASLTLTDSNGLPRSP SISSIRRSSVRRVSKSPLIQSNVPEQDDRAVPVSIPRTPKIRHSRTQSHLSGLPASSG VPPVPPLPSSSRTPSSKPRAFPAELTRGIPPSASSPSLGGITPLGASKRIPSDKRNPN AVMSSRKDVGLGKDKVMKDEKKRVKDVRSVDEKKEMLGMWLGNVDQLVQGVEKVSFWG SIGNKGKVGR I203_03632 MLERRSVMGAGVIPGQVDSKGRYVPTAAERTAYQAARIPWADQV VYGYYTLGFLCGLLGVYTILNGLWKLRVRYSIGTKSKIYVNVTSLLRMLTYPQLPHLQ WLTWIWTFGPLGPNILLFAGLIFATGFTFINEYYYYPPFYGSAPLYLRSEWIAMATLP FVYVLGSKRNIISVLTGVSHEKLQVLHQGSAFNFTYMSLVHTVAACIRAIRERGLKGT LAVNEVYVSGFVALAPLLILFAGALPPFSRKSFYESFYWIHIVMAVFFAGAMFWHGYQ TLDSDAYMIAAIVLFLTSATTRLVFIILNNPVLHRAHVELLNNETIKMVVPTLYINWS AGQHVFIRFMGMGWRSLDSHPFTIANSPLALASIRDGDLEKSNTLHPALAKVNAKGSV KEMVFLLKPLSGFTKDLYHKAKSGQSQYKVLIDGPYGDANVGQDLEAFDRVLILTGGT GITWALSVLSDLVNREDGNAREITLVWAVKKICKYCKSISRSPIVPFEYQSTFWDFTD EIVDYHVASMKWFERELQFIRTNHPNVHIQHYVTVESLTQLPAIPSPSADHSDPAGSS EDDTPSTGKRLNLVGSSKSDRDWNWNQGRPNLPNIIERTVATTQGSLAIAACGPPQFL TDCSNAVTKAQIGILTGSYPNVNEVYLKSESYGW I203_03633 MDMGGDMDMDSHACKISMLWNWTTIDACFLSASWHIRSKGDFAG SVIGIFFLCIAIEFVRRVGREYDRRLIMAAKSGLIPSALPELSSNKDTTTSMTYHFRP SWVQQIIRGICYGSQFTAAFLVMLFGMFFNGYILFAIFLGQTVGYIIFGRDTCTASVD HVASGSCC I203_03634 MTTLTNAITSLPAPSITLALGGLTTAYVFFANIGETQRGSIAYL NGRLTPVTLNDKERAKVWRGYFKPAATWIVSGSLISSALNITTSYLHKSHLISKITFV SGLASVWILPITFFVGLLPINSRLFELAEQDEGTANKIITPTSDDEAKKLIKTWEEKH LIRLPSYGVAWGLSFLAILLDGRV I203_03635 MPASDGDKEAFEAHTPSKDGDRMIWSKGDPIPSKGQEGLRKGEH YLIKDFSGLVKSGEMMLVIGRPGSGCTTFLKALSGNKEGYAGLDGTVYYGSLDSKKNP KDLEPIKSDIIFNSEEDLHDPNLLVGRTLDFALRMNTPSSGTRLPKEVGGDPMSRKDY QDKSKKELLKMFGLEHTHDTKVGDQYVRGVSGGEKKRVSIAEILTSRASIQMWDNATR GLDADTALRFIKNLRTFTDIERHTMVVSLYQAGNGIYDLFDKVTVIAEGRIIYYGPRS EAREYFEDLGFVHPDGGNTADFLTAVTATNERKIKPGYTGHIPTTASEFAAIYARSSV AQLMRQELDAYLSGSEKDVETDNTRSIIQHQKDKWARKVRPEKVNYVAQVKAALIRDY QQRWGDQWTFWVRPATMAAQALIGGSMFYQMPEDTSGLFLRGGVLFLTLFFPSLMSLG ETTAVFTGRSVLAKHKGFSMYRPSAVLFAKTIGDLPIFAIQIFLFAIIIYFMAGLQVD AGLFFASLLFTYMTTLCTTALFRFIGYSFNTFNNASKASGFAILLLSLYAGYAIYTPA MHPWFGWIRWINVFYYAFEAMMINELDGLSLACVSPHLAPTGGTYDQLTQGCAVAGSS PGSTIVSGRDWAAVALSFYKNHVWRNFGIITAMWIFFLALCAIMIELLPAAGTTKSML LYKRGGGGRFIREAKRNGSSPKDEEDGPSHTQLYDKSAHSSEGQVVPNYSVLTWRDLN YTVNANGKPKKLLDNISGYCKAGTLTALMGSSGAGKTTLMDVLALRKTEGEIEGQVLM NGKPLSVSFQRTTGYCEQVDVHLPQATVREALEFSALLRQPRTLTNQEKLSYVDVIIE LLELRDIEDALIGTPGNGLDVEQRKRLTIGVELVSKPTLLFLDEPTSGLDGQSSYLIV SFLRKLAAAGQAVLCTIHQPSAALFARFDQLLLLKGGGKTVYFGAIDEMNSYFERNGV SMPKEINPAERMIDIVSGDLSQGRDWAQIWLDSQEFKDRLNELEELKEGSSKVDIQIE GEHYEYASPAWLQLKLVSKRASIQLWRDTEYVFNKIALHVAAALLNGFSFWKIGNTYA DLQNRVFSTFMYVFVAPGVIHQTQPKFIHNRDIFEAREKKAKLYAWWAFCFGEIVAEI PYLLICALLYFAPWYPTAGLSFKPGVAGPVYLQMTFYEFLYTGIGQFIAAYSPHEVFA SLVNPLLIGILMMFCGVLVPYSQITAFWRYWLYYLNPFNYLMGGLVSRILWDVEVRCA EDEFGVFDPPPESGMTCGEYMTQFLAKAPGYLNNPGATSGCQYCTVAKGSSALEALGL GEKSDGWRDIAITFLFCLSSYALVFLLLKLRSKQSKKAK I203_03636 MFRKTRSYFKTIELTYMEKTEEQKGLFGDINKDLIATASIQTVK AFQKMASNNGEDNQTTSSPSADAALALVSYFYSAAAFGVSLFATSTIFYRFTGSIFNP SVSLALYLIGAIKPIRFILVSAAQMAGSLVAFAILTGPSPIELDVNVQFQNGTSRTKG VFIEAFSTAGLILSVLMLAVVPFKKHLFTPFAPMGFALTLFIIVLYSSPFTGGAVKAF QIITGSIVRTPAAVLFRAPLLIESDSLGLGPTLGSLLATGAYVFLKAVHYW I203_03637 MYIRPVHAELDVPTLHQFIRQNPLGLFTTSIPHNSNFTIQTTHI PFVLDTSPNLSDENDKGTLRGHIARANPQSKSIVDSLTASASEELSEEVLILFNSPVH SYVTPKFYVETKPKDGKVVPTWNYAAVQVYGKARIYYKNNEETSAFLQKQVEDLSQLN ELEHMQKKGQSDGEKPWKVSDAPSRYVDLLKKAIIGLEIKIDRIEGRFKLSQESSDGD WEGVVNGYRSLETEEGDKMAELVESRGQGRSVRTKQ I203_03638 MTIVSSSHGYLAFRGEPTAYLFRQAPALSTDFLFGFATAAAQIE GGGAEAEKASGRGDSIWDEFCDRPGNIRDGSHVKRTCNHLEKYKEDIALMKSLGANSY RFSISWPRVIPLGGKGDPVNQKGINFYNNLVDECLKVGLVPFVTLYHWDLPLELFKRY GGWLNKERIVEDYTYYAKTCFELFGNRVKHWLTFNEPWCTAVLGHGIGQFAPGHVSNT EPWIVGQSLMIAHASASKLYMREYKAKQGGMIGITLNGDWTDPYDESPENVEAAQRKM DFAVGWFADVIYLGRYPQSMIDILGDRLPQFSREELDLLKDSSEFYGCNTYTTNTIKA TRTKDEFAGYTTMAFDKPDGTEIGITAQLGWLRDVPWGFRRLLNYLYQRYNKPIYMTE NAISIADDHTSIRTCHTNDEGRVQYYDGYTQALKEAVQIDGVDVRSYFGFMDNFEWAS GLVPRFGSVYVDYETFERTPKDSATSLMKVCSFGFPSSYSKSSSTDTATAL I203_03639 MSLHEVISHPGEHEKDIKTFDQHHDTLPNVPKATVEPGAQVKDV KNAELYAAIHESQIPRWSKRSIHLYFAVFVSFCCACANGYDGSLMTAVLAMPHFQNTF HSGTTGPKVSVIFSLYTVGAMVGAPLAAILSDKFGRRKAMICGSIVIIVGMIIAATSK HVPQFVVARFVLGFGIAIMTVAAPAYSIEIAPPHWRGRCTGFYNCGWFGGSIPAAAVT YGCNNIDSNLSWQLPLIFQAFACLIVLIGVWFIPESPRYLMANGKEDEAVEFLVRYHG ADDPNSKLVHLEIEEMKEGIRSDSIDKVWWDYRPLFLTHNGRWRMAQVIMIGVFGQFS GNGLGYFNTVIYENLGVTSVSKQLAYNLLNSVVSAIGALTAVSLTDVMNRRPVLIIGT LGCAITLAINSGLSAKLDSQTQSGNITKSVAQGALAAYFLFNVVNSFTYTPLQGVLPS EALDTNMRAKGLAVYGFVVNGMGFINQFCGPIALRNIGYKYIYIFVGWDVIEAILWYL FCVESQDRTIEQLEWVYSQPNPVKASLKVDKVVIESSGKVVEKVEA I203_03640 MLKTSLNEGWTFSQVSSEHCPDVKESWNPCSLPTSIHVELKRLG KIPDPYKDLNEWKVQWIQEADWTFKTQFDVDKSLFNHEHVDLLLDGLDTYCTITLNGE HIANTENMFLSHRIDVKSVIKTNDNQLELHFKSPWYEARKAQAQNGGTDWFLGNGASN RLYSRKAQYGWGWDWGPVMMTVGPWKGVHLEVYDVRLEDVRIDTTLTGQTYDTATLTA AISLSDKQERDYLLDFTLVDSNNNIIRSARKHLASEKLRWDLGQEAKGWYPRGYGDQP LYRLEVELKDRGGHTLDMITKKVAFRSAQVIQEPLEGQEGTSFVFEVNGIRIFCGGSN WIPADSFLTDIDPARYRAWVNLLVKGNQNMLRIWGGGLYEAEELYDACDENGILVWQD FMFGCGLYPSYPKLNESIKEEAEQAVTRLRDHPSVVIFAGNNEDYALAESIGVMDYED NSGDYMKSKFPARHIYEILLPEIVDRLSTIFYHRSSPYGGKSSSDQTVGDIHQWNVWH GTQEPWSNWDKLAGRFVSEFGMQGYPNIRTVNEWGDDKSQLFPQSRVSVNHNKADGFE RRLELYLMENFRHAFDMSSYIYYTQIMQAECLSAAYRLWRRNSKGRGKEYTSGALVWQ INDCWPCVSWAIADYYLRPKPAFFTIARELKRFTVGITRKHAKVYRDKSTSAFFEIKE QMELWACNSTLEYVDVKVVLETYNLNTKQRDWKSFDIQLGPNASTEIWQNIVPGQPTR TDDSQVPEPIVAQARLYLTDKPDQVEARFSSWPQPYKYLTFPEPGLKIDVKGDDVILS CEKPVKGLVLDVEGEECAWSDQALDLFPGDDQVVRAEGLNGRDVMFRYLGDGSA I203_03641 MSAVHPSSSTSTGQVAVGNALSTGTGTGSTRSKLAWVTPWLSEH IKRCAACRFNKVRCLRNEGLDHACMRCLRYGLACTPPAVNKPRGRPPRKSSSNGVNNT TPPMSLPPGSSSSSIRPIRLPNALDMNLNQPVSSYTNIQPSVSSYSSSHQQNLNQHQH PSLSPDHRRLSTNVYPAVQPSPRSSEAEASATNRNLDISEYLDPADRPIQLHGPSSPF FLNYTSKHTKVEDDDSPHGEARDDPVKQGRWYSSVLDAKLHTLSYLRSTSTILFSAVV AAASKFARPDLHATLLSHTQTLLSRAINNGTADVGVAQTIMILMYWKLPADTSSWRKI GIAIRMGYQLYWHIPRTQPLPEDEMIARKILNSERTWMYQDKQLTFNRREGFDRSLSQ TYGLPFAIQPNHVMDAGNWAREHVYLGPSVDLHLASSIELKDQWRAICDSTFQSLAYN DAALESVHAQCEALLSRYWRKEAPPAGFEYEKEHVGLWATLDFMLTLKRHYLEASPSD PIRIDACLSYASRITDEIDAVADNGDLEIMQDTSSVMASSLTMLLRKIFHLSSITQKL LIITLLQRILTAYTRASGTEVNTAPAYVARFVQRTLRAIGMESKAGSPMRDQVGTSSG NAGETLLGEGDQPDFMAQLQQFMGVPDEIAGMNDDDYW I203_03642 MLYPIHLLTILVLLISRVNSLPHATPRQDASSSNTTASSATIVD ETIVNKLEGIRSRWGMKGINIAVVASPEYMGNKTGSNQTGWATQSIALGQANRFGDAF DDQTLFALGSNSKHFAAVAVALLIENGTTLPDGQPLTYNKTLPLTSFNPQRVDIYHAS CQYVSQNNFNMHSQYNIYYSSSRGDPNRFTVVQTPLLP I203_03643 MRSGLPRHDHLMGLEVDEVISRMKYLRPSTPFRHDGQYQSLHYI VMDKVVSTLTGTSFVDFVKTHIFHPIGMTHTYYNHTQAVESGLKIADGFVHEDVDIAG CTAASEAYIEQGGNASLIPCAGELKSIGWWDRTDGVKIATTGSAITNSQDMFKWLQEE LSPAVLPPPIIPATTTSQTVLTKQPVIPGITSAYTYGLGQYIYTYRGYSINGHDGSVW GQLSHNTGVPEAGVGFVIIVNDQSYGEQMCTIVEQILLDALLGLSEINWELNTFTELA GSAEGQSIEGTDSSSTSQDSSSSSNSSTTSITSSSADTKATASLRPPLGAESVVGSYS DEAYGTFNIQPVASLEDADALLAINKRFTLLGQTLDNDTYYYQNTDSSSFITHYIFTP FDGPIFNVTASYVAPLYPDGSQTRNGSTLITYGPGSAVFKDNGLGLFGIWQSGSGVAD PQVVEDDVEEKAEVWLKKI I203_03644 MSCSTLCFGKPDCASENAHEQPITDFAKQNKKGEHATCRSGPSI TDIAFTVSVSSHLSHKSKAKASIIDPQPQAPSAVTTKNLYEPYSTTPETTESLDWAEL VNLDLSTFDLPGGKEALAKQFKYAVHNVGFFYVSNFGLSQEEVDYQFSIGKQIFDLPL SEKVKYGVDTKAFSYNGYTGPANHQIDISYVLDNIPKFTSHFPDKEAHPRPVQDNWDT IEHFAKTIHRNVIERLLVIFALVLELADEEYFVKRHNYETRDEDHLRYMPYTARDKDV NKKAQELYSTGHTDLGSITLLFRQPVAGLQVLNNDGKYRWVRPVKGTITVNIADTLSL LSGRYFKSSIHRVSVPPADQRHLGRLGVLFFIRPNNNVLVEVVKDSPLLKREGVYEPL EERKDPLDVGTWVEERQKHIFKNVYDITDAGQGKDGQERDELEAEVAGIKIKYWN I203_03645 MRSVNSQSVIDQATEIDYKALARKLDKRLIPILFFTYALQCIDK SCLGYASVFILSKDLGLKGKQYSWLSSLFYFGYLICEYPTTLLSQKFPLGRFIGLAIV AWGGVLIATAGAHNLAGMAVLRFILGGFESLITPTFILIVKRIVLYTKEQVLRTGAWA AANGFGSMVGGIIAFGMGHVNTGISGWKVSVSLTGPARQSCSVLVFLLLPPAPMHAKF LTESERVLAVHRIRSNNTGILNRHLKWSQVREALNPFEDPQGLLLFLVIFCNEVLNGG FGAFGTLTIKSFGFNSLQSTLIYIPQGFINMICILFGGWLAQKIPNGRIYVSIGMLVP TFIGLLLQIVLPRSNVAGLLTGVYLFPPFATCLFICLSLPGVNSSGYTKRITLSSYAF LGYALGNISGPFMVKTGETPAYRSVFVADIICIALQAVFLVILRIYYVRENRRRDKLF AEGQVQNSPDDEFADKTDLQLPGFRYVCCEYAIWLTISGWSSEGTDPDYVD I203_03646 MTNPSGSSGDLTEPPSSANKKRKTTAAEERPARRALSCTECKRR KTKCSALGKIPCDSCIRRGKPEDCRWEGMESRELREDGRVHTPPKDIEQISALREQIN RLEKLIDTLGDNLPSQSQVPQAPTSAQQDRTTSGNSLESAAIDLEHLIAGPGIRPGIT ETLGSPIKEAQRPQTPAAFPLSSLFSSASFDPDLITVLPQLLPNSPLSQRLAEVFLDG PINSSWHAIARPAFKIQMRQYSTLTLDKLELEMDPLWFALYLMVLAMAVEFASADQKY IISNINADSNYLPTTLYHASIKALDKSDYLSKPQVAHIQVRLQSDILLRALLNRESIL GIDSLHTVPLQQSSIALRHLDSAITTAQWLGLDSLEDSLSSSAVDDPALSVLQPRHQV EICKQLYHLLKFMDGTIFKRPGLWRLASNDGAFLPSNNDDQDYEHSDSPSEKPITVMT EASLSRIGSTLVDTIRSFTSDHIVSLHQVITYGTALEKLLNLIPSLPDAQTSWMMRTL HCSILYRLIRLHRPTLLRGYQSPEWSFSSNACVSSAKSLLRLQVAMAVYPHLRPSFIK RWIMGSIIVLAVPSIVQDLTFEEVIYQSQRICIGTFAELLPPIMALLSTADEFRRAAT AGGDRYNLDVDLFFKEVRKRLSPTGNDDNQQNQRNGSSTMFDFNFNLDFDPNSMLPNF DFSFLQDNPTDSTGTWENMEWGLI I203_03647 MSSDLQILILGASGYIGGTLLVDLLKEYKPSSITTLVREDTKKS LLEPLGVNVVVGNVEDATFLQGLASQYDVIVNFAVPFGGEDASIQALVDGLEQRASSG ATKVKPVLLQTSGTGSIMYGSNGETGTDVWKDSDHERWEALPDNAFFHSGDRIISKAA ARGIVSAYIVMSPTVYGQGTGPGNKLSLQVPAYVRYAKRAGQAAYIGKGENIWGNVHV QDLTDLYVLLMKHSLSNPESTKATGESHGWSNLIYAGLNQHTWGPIIKLVGDQLHARG DIANPGAKSIEDGQGEMYMFGTNSFMEVSEKARALGWKQRQPSLEDAIKLALPVRD I203_03648 MVGVTFKTQATETVRLTEEQLQAVSHLDPELVRIGHEVVQRQKK ESFIQAWRNHGRAAGWSVFLTSALLMEGFDTAIINSFFALPAFLNSFGTKGSNGKLTI PANYQSGLVNIAYVGQIIGLFLNGWLQERYGSRRTFIGGMILMTLTIFLAFFAVSLNM LLVAELAMGVPWGMFQTLSTAYAAEICPIQLRGYLSAFASVGFGGGSFIASGVLRACL RITGEYGWRLPYALQWVWPVPLALGCYFAPESPWWLVRKGRFEDAEKVLRRCTRPGFY ADKEAEGYVAFMQHTDCLEKLDAKGGSWVEMFKGVNLRRTEIMFGVWLVQLWNGNMIT GLTVEMLENAGMSTTGAFNMNLVLSAMSIVGVAISWVALGWTGRRPIYMTGIALEACC LLPIGILGTIPQTNARLNAMGALMIIINLLFHFSLGPVCYSIVGELPASRLRSRSIVL GRFVYVVSAIIGSQLRARMVTATAWNWGAKSAYFWLGCNLVCLTWTFFRLPETGGFSF AELDILFANKVPTRQFTKVKITHEAVEIGTEKQAFDHDEKGEIEHVEGGAQGETVLDE EERAVVQNEPVPGHVPTLA I203_03649 MSSLPTISPSGKQSLDKLLKETVDRRHVPAVFYGATNAKEEIYF NQYGEKTFGDESSDQIDPDTTTECFSQTKLITCIAALQLVDQKVISLDSTEDVEKYLP EITELQLLKGYDENDKPILASPKNKVTLRMLMSHSAGEHRPDLQFSVPLLIWNIQFKG FTYMVGSNVLSKWHEQNPHPPLFDPKATVKSLCTPFVYEPGTSWTYSPSIDWVGKLVE RVSGLDLEEYFQKHMFEPCGITTLTFYPTKEIKKHKIWICYRDVEGKVQKIPGNFGMN RPSDAKDVPKELLSGGAGLYGTQRDYLTFLRHLLQCDPNSPHHSTKPLISPESWRELF TPSIPKGEGYDGVDKIVEMVTKPQYIHPAPTAENVNHSVGFLLTLDDFTEGRKAGSGC WSGAAKTQFWIDPRTGIAAICATQLLSPSPDPWYASYVEYERALYAALK I203_03650 MVEQQRSTETDTVNTNSPKQQNEKVVPAAIVEDEAAIDGVDPVY AAKARVLNRAIQDIGMGRYQWQLFFVIGFGWAQDNLWPIVTSLILTPISNEFHPSKPP LLTLAQNIGLLAGAVFWGFGCDIFGRKWGFNLTLGVTGVFGMLAASSPNFGAAGTFVA LYGFGVGGNLPVDSAIFLEFLPQSHQYLLTVLSIFWAFAQLLATLIAWPILGNLTCQQ TDPDCTRSNNMGWRYFIITMGGLALLMFFIRFFIFHIYESPKYFMGKGRDEDAVKIVH EVARRNGKESTITVDDLRACEGVTGGLVTEHQHDATAAIKRKMEKLSAKHVKALFATR RLAFSTSLIMVVWAFIGLAFPLYNAFIPYTLATKGAQFGDSSTYITYRNACIIAVLGV PGAMLGGLLVEVKWIGRKGTLCLSTLLTGIFIYGSTTAKNSNTLLAWDCLYSFFSNVM YAVLYAYTPEIFPTKDRGTGNALTATANRVFGIMAPIIAIFANLETSAPVYTSGALFL AAGAIVLILPYESRGKASL I203_03651 MGFASKLAQANQLAGAAGLMSNKPPGASYGAQGGYGVAQGQGQS PYGAPQQGQYGQQQGQYGQQHSAYGQQQQQPGQYGAQQQGQYGQQPQQGYGAPAVPGG RPGQTQGQAPGGYGQQYGQYGQQQPAYGQQPGQYGQQPGQYGQRPGQYGQQQQPAYGQ QQSQYGQQPGGYGQQPGQYGAPGGYGGAGAAPGGGGVNAQYVSQLLSQCVRDQHLEAF YPPQALEPIAQRVVQSGALQQLASNWKLPVELASDLVKISLFDVVVLIDDSGSMAFEQ NGERIEDLKMILGKIAFACSLFDHDGIQVRFLNSQLQGNNINNEQAALQLVQQVKFSG LTPLGTSLDQKILQPLLLGPARANALQKPLLIIAITDGAPAGESTDKIVQVITNANHE LQRSRYGPDAVSYQLSQVGNDKSAQKFLSSLDDNPAIGSLIDQTMDYEYEQEQMKQKT GEDLSPEMWLMK I203_03652 MSQFDAAPPNTYAGGRDDNLRIKDGALPINASNDAEGDFDMVAY KRDTPLWKRIKDHSLTQMILLSIQAFCGLAMADAIAGLLGVGWLLLLLTISRENAVSY AALAGVCFLGGPLVNKLGTKWALLIGALTFPLRGASFYTNSKFGNQWFLIVGSFLEGF GTGAWYVAESGTIMSIAPSGARGKYLALWIVSRNLGQLIEAWPNHIDGVEGGVTPDTY IIFLIIECLAIPFALLISPLDHVVRSDGTRIRVSEKVETAQECRLIKKTWRSKLICYL LYGPSGHSSTGSWSTCLGLYFSVRARALSSLFSPFFCIVGCFGLGSILDMKSLSQRRR AQVGLITVVILDAAVYIWSIIMQLRSYRTSSSKPPGPLSQSYMYWLSSSFATDAQSNV RNGAAFRCMEAIGQAVAYGMKSKTDESPLIGFCVTFGLMALGLPPFIVLVNNTPDRIP ADVMAEEEATEHKLEGGYEKKDDPVQVSVLG I203_03653 MGIIRLFSSLRGLFAAVSIFLFTFSIWNYKSSTGTAQSKSYVGK GTIVDLESILIDIPPGQGTSAWTEGDEGEFIRIGQPVSKIQFNDKGIVDVRTGVPLEG ANEGSTFNLAVLKLPRGSKWGFVGVARGPTRLRHFMKINGHSSREQVLVALGLNVTSE GHLIAVTKGQTLDFPMIPREGCSSAGSWIATYGAEDPRLFWTDAGTPALTYARSALDN DRCRSVGLVNDLRSIFPELDTALAAGVEGVSPYDGYKEPGLGSDRELYRSEKQGVIEK NWMPFYPGALPDGETAIPHIHYTVKSSLSLRPIEITPTRAIYQDVDLGQSTTKSCIGT AHSKSFKIHQASPLYRLTLCDRGCIVTEQNTMNIALSHTQSPTRQYGRFLATFNVSYP FNPISVGPRFHLNGCDDENEINYALSPAPIQQSDIDPAVKSKSTPQIVQPDHFFLDDQ MLITMGHDDKEMTSVMTTVREILGRQHMC I203_03654 MSRKTADYDRPYPQVGLGKLIAVGLTASFLGVAVYVILAFTGTS SDEKISNSEVTGLTLARLIGRSEKGKLNLVMHFGQDALLGVVRAFMAAHGMRGPFVDF ILIGLRLSVDHALENYVDSRALPWTWPVSKQVIGILHKGVFAFATGYICDVWLQ I203_03655 MTAPRQFQSPLILTLRLDKATHKLLTDLRSKYFPPHRNFLTAHV TLFHAIPAHRFNELDDQLNSICSSRSGWDVFFGEPEKMGNRGVYLIVRERPSSTVERI HRQLLTDLKRGIREDKDKLTNQDLQTMRKPHVTVLNKASNEEQVDTCLKEVKEFFDGL KTDGQKEGQHKGRAVGFELWEYLGGPWKSIKQYSFKGEDIDSPEKQES I203_03656 MSLYSPHSSASFEGYYNRFRLPSGASVCLIVSSVPGAQNRPYMI SFTHVTRDGKKYWQKENWSEKWSITREKGDDYTIEWDDGKFGFRDGKVFWQMKTKEVE FKAGQTDRGVPWDPQDPNSTPAGIIARFPLPIQWHIHTVDSDSHFTLKISDVELPPED HEGIAKVHIEKNWAVSFPKSYVWMQVRNRNKGLSLAGGSLLPGVQAYLVGYQGDSFVS FMPPTSTSIFGLSLGLYSNVVSTKGIIDIEIVGWFKRLKITGRCDPSTYFSFAAPLNT GHAPDYTVQSYAADIAVEVFERSWPWSEWRRVEKEDFTEGGMEFGGDAYERHEE I203_03657 MHDPQQLSFLGSINALVALGRIPLASEVPLWSIFGCILSARLFP STGATGITSIDWWVVLQCAFTTWATNVSINYGNEYFDWNLDRPGQIESIKRSIKEREK VKGEHNGKIKEEEAREFNEKIMGNTTRIIHDGTFPPYTALILGVAWQAAVVGLIFYSR SKDPSFSTMSKHTHGSPYRGFALQIGIISSVLSQMYVGPPVRLHYHGFGELISALLLN PVSILWGMTGYYTATTGRTIQISDIFTTSSSGFSLGSVWALLGAMYCFEQARIFIMHI QDIEADIAGGKITFVVRVGHAVAARLYVGFNVLALTLFRLFTTYTQTPELHITHSRSF SRGWIQGISVVLLYSIPIMIVTARSLFASIPPSRITRPTGGLLPALPLTTLPMLVSLQ VLISPLVLSFFTLLN I203_03658 MVSIISKHPANDPEGLGQDHTLRQKPWMLHRTAWSEIINHPYEG QGTEKSPYVVTWVPDDPARSFKDRENPMTFKFYYKWIATLIAAFGTLGVTISSSMLSA AIWDIRLEFPGYSNQIYIMVTSAFLIGFVIGPLLWGPGSEVYGRRWMFIVTYIPFTIF NAACCGAKNMVALIVLRTFAGIFGSSATVNSGGTIADMFPAHQRGLAMGIFAAAPFLG PAIGPVAGGFLSEASGWKWVAALIAFLSLALCALNVIFLPETYAPYLLRRRAQRLTKY TGKVYKTQQDVTAPLNKTKLITNQLQVPWILMFKEPIVFLMTIYMSIIYAILYMQFTS FPLIFQQARGWSPGIAGLAFVGITVGSFIGLGYIIFYENPRYSRLIHARGGYLPPESR LPTVMLGAVVFPIGLFWFAWTGIPITIHWIVPVLATVLIGMGIVLVFLGLMNYLVDAY LQYAASVLAGNAVVRSMFGVVLPLFTVNIYNSLGIRWAGSLMGFLALAFLPAPFIFYF YGSRIRRMSKAAREADDLGQMMAKKKLEMMALQKSPETEPMSNAGISSDSPNVQETSM EAQENESGAQTVVGENDGSVRRAEQ I203_03659 MSVRPDSQASEAISLKTMDERPVIGDVQQDEEKKEELNDVQDAL PQPVQDIQHKEKESAVNSESNNTGESEVAHGESKLDNEDEPKLKEEVNAQQSEQGNEN GQADDVNGKVDEKGVDGEARDNKVVVSTAKEAASGVKKVLKSGVFGAGTPKPTPKATP SAKLSTSSTRPLTTARQSLAPTRLTTTTARPTTTSRPSATTSTSTISRPTHTSRPSQS TARASVTRPTATPASKAEPSSTTATRPRATTGASDKTSTSSSAPRNVTRPAATTSSTP VRPRTSVKPPVPTPARTSTTTRPDPLNSSTASTTATGAATKPRVGLTSSTSRLNAGRA SMLPSSKTATGTGTAQKVRGGSGPSVLAGTAPDRSKQLSELKGKLEGLEKKSAEQKAE YEKKIEELTKDKVDLEQRHAQAIEELNARIENATSQAADNNASEINELKELHTAELKA AEEQRGTAVKEQEGRLEAISAEKESLVAQLASLHAELSTAQSSITDLSTTLSSVQEEL SSLRVTHGESTSSLKDITEIKTSLEGKVSELQAIKEKLEAELAEGAEEAEKSIAGTTG LEGKVKELQEEIEELKEGLEKEKEGRRGDEEKFNEQKEILSKDLETHKATAQEHLDAL TKSRTDNQAQQEELQTIKSAHDELSSTYATLLESSARHPEALAELERQLKDVNEKHES LLQEATSSSNARVSELESRLAEVIDAKDKAENELTDLKSKVEDLEEELGQLSEVRKGM EEKEKALNEIIAKLEAEKEKEKVEFEQRYNRAFEDAKSAANESHHAELSSIRAELQNT HTQLQESHKAEIEALQTSHSLALTDLQADHLKSQRTLEESLVSTQQQVVESQTQLSEL KEVNEKLRNEITRLIGEVESLGKKENTETDKVELEGELKRVKGELQGVKDELEGAKEM AEMNKSHFEASLSSIQDQHSQEIKEAAELKIKQFQEAEEKYQNEIEELKMGMKRLEIQ LQDERVEKNSALARLADSVRTPPTSPKPPAEPHSPALTKLHEAHNAKVVDLEK I203_03660 MQLSTLLPLLALLATSTPTLASTHRRHSAKAVDHARAVGRLATA VERRQPVGEVAKPKRKVVRKRGTTCRAKTTASSEAQAAATTTSQAAATAAETSSTWVE PAENYTNGTNTSQAAQDSSSATSTSAAAAATTSASSNSTSTTGQYTPNGKKAGIAGGD AYDQCEDYIGWWYDWSANPSGHSGTPIAVPMLWGAGTVDSTDASRLSVFEAITTAPQY IMGFEEPDCSTWGSANIDVSTAAGLWNSLIGPFKAKGSTLISPSMCHQAAEQYIGWLA SFEKQISVSWDITNIHVNKNNMDGVKADIDYYWNTFGKPIWVTEFACVDDSTDFVPCT DQSEINTYINEIVALFEADERVHAYAYSNGDGLGDVWPMYKNGALSESGQTYVTAISN VQH I203_03661 MSPIAVMSEAITVPSPSPKVLPTDIPRRPSPWHDVAHSLSTTSL PPRRPSVSLSSSTTSVNGTEPNTPPDVGSLAQSASSQALREWDERLSKPSSNISRHQL IPRQTKLAAERDKAGFIEYKLKLIDPTPERFERLITQLMWRLKQGRNEAIYELGLADD GTVIGLPRSQMDASLRTLELMASEVGATVIILKEIVLHSSRKSHPIPQKPSWPSIANV ESNEVAKIKKSKWKEGAGSRRRQRYIPHEPGVYGGTTPKKLIFDPADMAGSSGISDEE DVTRQDPPSSDDDTSSPFFFERHKPDESITTDKHSAPTLSHHSRPSYTTRDHSPSRGG KPSPSPPSRPRSRKDEKKRGKSAAKSEARRLDLLRGDGTNPMWKEMHLDGQCTDTAAT MVSNDTSCSETLLSLTANGNPASSPHFTIPHQPARPSSLRLTTPIQQESEPFLDDLLH LPLDSLSLSFADVRELSEPDSPISCTETESHTPIDQLHIDNESQQEGEEMICVEALVV RKLQHDEDGEDDQEDEEEIWGYGGEEDVWGFGGDD I203_03662 MHPRALQRPPFDADRSPASISTGCMLPPPLPSSQVASTNTPLSP YSPPLATPPASQVANSGSPVATRTRRQVHLTPSGREFWKDAKIHWNNDGSSYTPSSED LLVDWLQIPGNWKFFFSGYKGGTQSKGAKRCSEQLYKWYCPTVRTPKACQKKLTDLHD DWVKAYDLYGKRGTGQGDMGYRPEISSENEHNDIIDTEAEKLKLCPRYDVLTPIFQPS EGGSVANRGDTGSTISSSRTNTPSLLDRFTATFSQGERALSSVPGGERPLDDHPIESD FNMGRYRQGDTDTEDNEDGPETASITTSRSGFSKSISDNLSGSRSRVDQALIDNAEAI ISKEAEYREKRLRNAEDTAERDREYQEKRIRLEEDRIELKRAKNKEEAIAAWVKNKAK FSINPDIDALYDQAEDWYMQRYT I203_03663 MDGELDTSPPDGSALVDIDTSVILSLPETAKFLNDQEKEFAATG LGQYAPKRSDKYFVGRRPENILGGLLLSAVGSILLAKVKTSVGGRYVGALLNACTNWA VAPLLAMRTSTVYGASATAVASAGITAFANLSALGPGPVHYEGDIEGLSKGRKDKDME LAQVSVERV I203_03664 MSRHVSLPIEEKPYEQHIDDSNADPTLQQIGTRTTAAGTETEKG STRYASSETGLAFKDENKKAERRLLLKLDMAILPFAVLLYLSAYLDRGNLANARLQGL QKSVLDNSDQNYSIALAMFFVTYIVFSVPGTLLARQFLPSRSIACGAMIWSIAATCQA ATFNKAGLFVCRLFVGIGEAMFGQAMALHLSYWYTKHDLAKRVGLFISAGALAGAFGG LLSYGVSSIKHSAIPQWRILFLIEGCPSVVLAICTFLFMPTRPETSRYLNEEERTLCL TRLNAESNVETSTGIEWGGVRRCLMDWKTYVISLAYSCMNLNLGSVGGFLPTIIKGFG YSNARAQLFTVPPYAVALVFMLLLTSFSDYKQSRGIPVACVFILGLIGWSILLAVPAS HISQSQYSARYLACILVVTAGYTNIPLIISWQSGCTGSQSQRATSLGMLNSVGQCLSL LAAFLFPSKEGPQYRKGAIVNIAFQALGLVLVLAMTAWYRYENKRRDRVEGGRPPKGT PLNVVEQYDLAPGK I203_03665 MAAAAKRGIAMGLSSSSTSSLEDVIKVGKTAREEIEEGSRQENE GFKLQDSDWWQQLYVSNVRSDTEDFIQRSEKAGYTALLITVDRPYLGRRLASLREKFE FPLHLRRASGEKMAQDPSMHWDELIPWLRSKTKMKILVKGILHPEDAALALKHGLDGV VVSNHGGRQLDAAPATIDALPAIADVIQGRIPIFFDGGIRKGLDVYRALALGADVVLL AAAGEEGVGLALDIIAEEFKLVMALTGVTDITQIRRSTLALVDPAHVGLKTLSDPSWE DRRPI I203_03666 MSKHTDANGYEDTTVLDGEKVAIPEAYREPPKANWKGRIWDTFD APPEERKLLFKLDAVLLLFGCLGWFIKNLDQENLATAFVSGMKEDLDMLQNQYTTALT MWTVGYCLGQIPSNMILLHVSPRYWIPALELVWGLCTLLTYRVSNHKQLYALRFFVGL AESGMYPALHWILGSYYKPNELAKRASLLGTSAGLGALFSSILQAAAYTNLDGRHGLA GWRWLFIIDAVITLPVALLGLVFLPAVPGHAQEKPSFWLSQADLDLARSRMASVGRAP QKPLTWKRVLGYSKTWHFYLLPFMYMVWNNSIKYTAIMPFWLKDKGNPVQRGVAERNH LVMPITGIGIVTGWIAGWASDGLLKGKRWPIIALYNSVVLVTAIALAVLPLWKNLGGH FALYYLSGAGTNIAGLYFAWINEICGTDAEKRALILAMSNDASFVLQSIVPNFVWKQV DYPKATKGLWYTAGLSIFLMFIIATVRYLHNRDKVLAIRAANVDKDQELESPGVYENY DDLDAKR I203_03667 MSSAGDIIYKAFMPTLKMVLCIVLGFAATKKGWLTAPGAKGLGA IVVQITLPALLFSSMVTAFTPDNIKAFGPLVVVAVLYQLLGLIFAWIIRELFYVPIDF RWGILVSGLTSNWGNLPTAIVQTMAKESPFNPAVDVDLGVAYIAIFIFIMNTTFWGLG VHRMCAWDFQENRRRPDQPPLKERWSSQLELTKEKITKWTGMRNRHAGTNIDMESQTI PGMEMMGNADDGAEQDNNFGQNNIEPYIPASNSPGNSSQEIVPMARIPTVDNATSLGR NSSKASDIQRTSGPAPSIHESDNVLLVQPLWKKILLTIYDLPNVTKAIIISIPIATVQ PLKSLFAETEGWSGSRMPYGPDGNPPLHFVIEFSSFLGAIAVPGALLLLGASFARLRV PKNWRDLPLGAVIALTCSKMILVPIFGIFVIQAFRDHTSLFPREDKIRTFIAILLSGT PSQVIQLVVTQTYNPDGTAETLSTFLLLQYAVMFILSTALAAIALYIVER I203_03668 MLSELIVASLGVQLCIGTLAHQTPFESPDWENESDPHRFEWPIK DVAVIGAGVSGLLAYRALAERNHFKRIKVFERDSTPGGNWHYSDEEAKSVPIERGTTD DWWKSDYVPTIPRELPSHNIYTIKGNDTLRGELEWRRINQRQPKPLWKSLRANTPAPQ QQVPGFSWPPGVEWASHHSKVQRYLRSFASWLGINNGDSNEDISYDTRVELITKHLDR KGKQIGWTLLLRKFIQAEKERYEESYWEEHFDAVVVASGRFNIPHIPSIPGLVEWQKR FPGHTLHSRQYRFTDSVKDQNVIVVGASASATGISLDINPLAKTSYLSIREHSNDPRA PVRRETHLNSIPSNTTIIGEIVRFHPIPKGGNLRDGRIELLNGTIITGIDRLIFGTGF RYAFPFLPQYHNSSASGTVPVDSDEQPIVTDGSHVRSLYLDTFYIDQPTLAFQGQNVG IQTFIYGKYAGEAIARVWSGKAHLPSQRAQWRHFWNTVEERGGLKKGFQWLNNEAHSR YLNYFVAWLNSAAIKNGDKLLELPPDVSEEMDLWMKARAAGVSINPDGRNDFWQNSVP VPILDNESRRWRRAVLDDW I203_03669 MHVAIVGAGYSGLAAATTMLSFGHSVVVFESAPDVGGVWSSTNH YPGLRAQNTKDTYCFSTLPMPKEYPLHPDGQQIQAYLELYVKRNKLDQEGRLKLNTRV VKAEKRDDGWILEVRSNDHQSQLLSFDYLICAAGVFNQPKIPTFNGVDTFISSGGVIV HTSGLHRLADLKNKDVVIFGFGKSACDVAVGATSTSKSVTIVARDVIWKLPTYVGGAV HYSYLLLTRFGEALFPYIRPWSSQRFLNYGYGRPIRALILGLVSLVIIVQLKLVKLGL LPNKPFETIARSSISLATPGFVDAVEENKLTVERGVTVESLGGRKVVLSNGKQLDADV LVCGTGWKHGIPCFLPQNHRDKILNELGDWVLYNHILPIDIPGLAFIGYSSSIFCPLT AEISSLWLAAHLDNLPGLIREVPSKNQQRAQAEEEVEWMRKRTEGHHANGTSIVPFSL SNIDEMLRDLDCGIVWFDWLREWVLPVNPGSYYHILPTVTRRRDKLRAEQKKGEKCLS LLSTCAGDSIWSGGSGGVSDEVDEDEDEDENENTHHDKLSCTLITCCNITATTIMSNS RSTSRKSSVNSRPHHTLPLPLPASLRVPSITRRPSNTQLSFVFAQGDSARSDALKSED RLSRQLDGDLGGKQMGLRSRRSSSATIRTVRTVSGLRSPSPSHASVMEEDESQPIAGP SSSTKPTSPSADPISSSPPRQPSPSPPKRSLNPKASSSWLRWNSPTPSFPRSPSMSKG KGKGKEVVDDVVTEEDNVDKGTLATPQPSTIPPPSDSVLPTPLPPPPLLIESPTQIIS ESLTGASPHVTNIKPDEHLPPPVSKPRGWWGRSTSVHPTPLKEPFQPSDHSPITVETP CQTMNIPGAPISSSEDAPTSSPQPISNPVVPPAAPAPTSSTSAQTSPLSATVYQDPSS TTSTSAPPASQGWKGYLGWGTGVKDVKATTEQAEDYAGTTTGDGKDGAPLLDSGSGPM DTSIASAPSDEPDQQEVESSTAQEPTARGNSSAESAAHPQPWSSYLYSFVVPPPRQQP IASGQPTHSHAVEPSSPTEYQRPVHSESSPKVNVREATPIPHPEPENEPTQTPSAAPS LASNPDRRPSTTGWLNYLAFRASQKKVAGSSVNTNETDNKATGEEVMDFSSDPDFPTS STPAPSLVPPQGLKGRDVKIATASVKSAAPKPSQNLDVRKKRLSNASLVSNSSHTPAS VSPKARSSIEGSRPGNNGSSLPPPPQAPTVQPNLVIPTFTDTFDRPPRCFLPAAQPQE QSETASGLTAATTGLAWKALGAVGSYVYGSGEGKHKVTPRTILENETEPRGRKEGRNV GSDLPRRIGLGSGTPDDGWRDVKRVVAVGVHGWFPAKMLNSVIGEPTGTSVKFANMMG QAVQQFFQEKGVDDIRLTLMPLEGEGTIESRVDRLYKAYLSNPAWINEVRRADAIFFA AHSQGCIVTTHLISRMIAQGHIRTPLNAEAKVAMLAMCGVHLGPLYSISTSTVIQPYL QWFENAAARELFEFQDSSSAVSVAYQKALSMVLENEVRVVLLASLNDQVVPIYGASFS TATHPLLLRALYVDGASYTQSDFMTNLLCFAFMLRNAGIDDQRLVEHLSEATAGSLTG VGHSTPYEELSSYSLAVQYLFHAGPARQPVPPLEIEPFSARDVKNDFELPWIMRALVD SPEVKDIFPGELRDLKEGILHWRPNTKVLKEIKKRLEPMAGRQSRLRALHTSPSSVSL HSNGTGEGLGVSPGANKALQSGKTKARL I203_03670 MVLQITEDNRQTATALFTADGFDELVPSPAFDYRTYELDQDEAE DKLSLLIKGMTEVADKTGRFVYQLPDGRIIDTCTYHRWEWPQAIGMNGLFDYYQLRSS QDPSDPTAQHTLALMKEWYHQRVEEGIPTKNINSMAVMYSVASLLDLDQQRGKGSLLY EEWRERFEGWVDEWAEWIMNELPRTPQGGFQHVTFRLANEGQLWDDTLMMTVIPLTKI GLLLNRPHYIEEAKFQFLLHTQYLMDEVSGFWYHAWRFDNEAGTSGTHSSDAPWARGN CWITLAIPMFLELTDLSPSDPVHRMLVSTLRRQVDALLPLRDAASGLWHTLLDDPTSY VETSGSSGFVGGILMAIRLGFLEREPYLDLAVSGLKACLAQITPTGQVGNVSKGTPAG KDRKFYKGIPRMGMVYGNSLLIVALVQWMRLTKGESK I203_03671 MSQPNVEHRLTEDPTTWIDSKGQDEHIEDLKHVTIDNVNDSEAA PYLDHTIIIDERENKRLKRMIDRRILPLLCLAYFAQAMDKGATSPISIMGWLEDVNAS GQDYALSSTVLWIGLVAGNPVASQLIRRFPVAKVLAASMLVWTGLAFWLTFTLNVPQI LANRAILGFFEASFNPCLVTIMVQWYLSSEQAVISAVWHSFTSLSTCLQSVMGYGFYH VRHDNSGGLKSWQYLLLTAACISAVSTIAVFFFLPDSPTRARWADEELKTKFVERVRS NNQGIKQKIWKSDQAWETAKDPQVYALFALTFCQTLVIGGVGKFSALLINKAFGFDVA TSQLLKIPVSAVGVSAYFLMAYLQQKYEQTFFTMIAFTLLNMIGTIVIVCVPPSNKTR VGLMIAFLLLQFFGACNTATSVVLSRNIAGQTKKSIAYATTFMAWGAGNAVSPQLFWN TWAPRYIPSLYIHLGLYATYIILALATRTMLVRRNDKKEAARNMRDGEEVQANLHAFE DLTDLQNPDYKYSI I203_03672 MSKQPNFLVILADDLGFSDIGAFGSEISTPNLDKLAKNGLRQTG FHTASACSPTRSMLMSGTDNHLAGLGQMAETIARDSFYQGHKGYEGMLNDRVAALPEI LSDAGYETIMSGKWHLGLPKHAQPHARGFQKVFGLLPGAGNHYLYEPFLDDNTPAMKF LPPLYVDGPEQISHKDIPGPFYSSTYFTDRMLGYLKERNTEKPFFAYLPYTAPHWPLQ APDEEIAKYKGRYDAGPEALRLERLERLKQLGLISKDVSPHPIMSPYGYKSWDELSPE ERAISAKKMEVYAAMVTVMDKEIGRVIQLLEDRGELENTFVFFSSDNGAEGALLEAIP VMGDQIKKTVEKFFDNSIENIGRGNSWTYLGPHWGQAATAPSKMYKAWATEGGIRCPS IIHYPKLGGLLKQDNAVTHEFTTIMDILPTVLDLAGIQHPGNEFRGRKVHKPRGKSWT PWLSGQNKEVHDENAIHGWELFGQAAIRQGRWKAVWLPPPTGKDQWLLFDLDQDPGET KDLAEAHPEKLQQLVAFWHEYEAETGTIVNMTEPSVGGFGRSTGINWDDWGH I203_03673 MVTGAHDQDISPNPPASPGEKSFTAYNTPSLPSLTGDVAGSEFT WSEAEERAAVRKIDFLVLPLLFFGFYVFQLERGNISNALTDGFLKSVGITQDQFNTGQ SLLYLGIILLEIPSNYMLQLVGPRLWISFQVLAFGLVGVLQAFQKGYGGYLATRIMLG VTECGYIPGALFIISTFYKRSELATRNSIFFIGNGLATATSGLLAYGILPLGTRFPSH KGWQWLMIVEGCMSIFIAVLLLLLLPASPHCPKPLFLPIRVWTPNQEKILVARMAKDD EKNNRSSHRLTLKDITSTLSNWRVWPHVLIAICLISQTGALGTYGPTLIKGFNFDTLT ANALSSVSGWIGLVTTASFGFFSDRTRIRGPVVVTGLALVWAFWLAFQQKSLSTDRWL KYGLQIMVQGFSIPSHPINATWLSLNCRSPQERSVAMALFIMAANSGALVGSQLLRGD DSPLYKRGFKVCLCLVSLGLLVAILQHIQYRLSNKRLDQRKEGQEESLESDETASKWR YTI I203_03674 MADKREAPLDSQLPDMQNELLHDEKTIPLEGDKQLSNEHGTYVL EVGNSEGAIVASHLELGTSEEEAERLEAIYHAMSLERTMKIIRELVRMHRDDPNFSGA LLEDMNSFVNNPDIIASPDKHTQVIATMKMEAVLATENSPYVEVRANVDPTDDPDMPA SAIRAWAIGVVFCIIGSFIDNLFAFRNPAISIGTNVAQLVAYPLALMLENLIARNTCC VFSIMANVSFTAPYTFYIIPVQAMPQYFNQSFAYDRGYQILLSLAVNMFGYGLAGLLR RFLVYPSIAIWPATLDTVALVKAFHQETNEAVPGSFGRTYRASREKVLLIAMGCMFVY FFFPSYIFQALSSFSWMTWIAPDNVTLDAVTGVWGGLGLNPWPTFDWNMFGGTGLYLP TFAVANQVVGIIIGAIMILGIWFTNTWNTGFLPINSNATFDNTGARYNVTAVLNPDTG RLDETLYRFYSQPFFSAGYIVYNIWAFASYTASFTYVYLFYRRDIIRGFRGVYRRVFR KVDEEDLGEDIHYRLMKRYKEVPDWHYAVLLVLPIAFGCAAVAGWPTQAPVAALFYGL ILPIIFLLPLGIIQAVTGIPVALNILANIIGGSITAGEGNSLMYFKSWGYLSSWQALS FCNDLKLAHYLKIPPRITFWAQIVATLIYSIVSALQYNFIMNIKDVCTADAAFRFTCP SQTSFYTSIIFWGIISPKKLFGKDQQYNMMLLGFPLGVIMVGLYWAVRRKYPRSSFLR QVHPVMICMGPVNVAAPYNLAYYLGNLYVNLISFQYIRKQYLAFWSKWNYVIGAGFST GIALSGLFIFFALQIPKGGTLSIDWWGNNVVNLGCEGQGGCHRLDIPEVGYFGPAPGT YS I203_03675 MHGSRCSPSDRLLNGPQSPPSTGSAAQSVLSMNDPLKRRGTGSH MQFGPTSFWSYAPDAGQDPGSSTGSDAVNNLPPDDGITTDLLPGDYVEWARHLPRDLR ITKKVHDTALALFGAYYAPWCMAVDMPAFLRDMEICNLVAKTPTTQPILRRTSNYSPL LHNCALRLGVHFNRDVWPELAGMMDGLISKHCASMLIEETDDPNLSTPKALALYAACL NLRNEESAQKTGYIHFGMAFACVQALGVNLNCRHLVESGQITRQDQALRSSSYWTLFQ QDLLRAICAGRPFMLRATSDIHLPLIDADADQEPWLSPFSNVSVNGRNSLRSSVFHWT AKLACLLRQVVDIALSTNYDGLNRDLQVTDLISKLDAWQRDQPLPNPQAHPVPHLLVM HMLFHLTYIYLLRPYFRASLDIAPSAAQRCQQATNEISELLKTFDEIHGLRNAVATIM IFGMVTIRLLVLVSDSTLSMTDSANGLDECIGYMDEIAHTWLEARQALMVIKHLKNE I203_03676 MYRLQPLIKRLRTFREGQGRFKEMQATRRGARYGAYTLVSLSVA AVMLDTKESPKLSDSVTAISRTATLDLLRSYLVWTALSFPTLVDFSPSALSFLLTTRI PLLPTLTETIVRATFFPQFIPGETAVECLPTLERLRRQNIGSALNYSAEADAVEEVDA RDVEASRFKEIERALDVQGEFEKRMQLEGWSPGSSAFAVKVSGIVDPAVLRRASDALQ ISRPKSLRTMGKEVPYPGFPSDDDSEILNPSLTMSFDAIVKHPSLQQGDCAQLKILWD RLDSLAERAKANGVKLILDAEETWLNPAIDGYTLLLSIKHNKRDPVVYGTYQSYLQRQ PAFLTAWIEHAQVNRYSLGLKVVRGGYIVKEKAVGEKEGKPGNGAVWATKDLTDASYD GSVEIVLETLRNQLDRPGNSVPLRVIFGTHNMTSVQTIIETLEAKRLASRTASGKLRM NSEAEGKVNIAQLYGMRDDLSDVVCAAFEPSRSAISMKFIAYGTLRETMPFLSRRAIE NKSIMSGPTGAAAERRRVGAELRHRLSFNLF I203_03677 MSSLISWVPGFRRVTTTNQVATSNAKFQSIWKKEWAWRQEQRLE DDEDDEDSLKAYLPRVDAKTQAARLAYWNDIISQLDKIDLQSLSPEEQVNYGVYRAQI EVFVNSQKFKDYEKPLNADSSFWANHASAARATFRTAMDYSAYLAQLQEVPRYFGEQI DNMRAGYKRGFTPPKITLEGRDGSISSVADAKSAEETIYYEPFKSIPSVIPAAEQDKL RVQATKAINEFILPPYRKLLAFFRDEYYPNARETLAAEAFPDGKAYFAAKIKEYTTLD SNPETIHKIGIDEMARIRSEMLDTIATSGYKGDFQSFLNFLRTDSQFYAKTPAQLLHQ AAWIAKEFDGVSGKYFGRLPRQRFAIKPVPLDQAPFYTSGRGGPGVYLVNTYDLPSRA LYSLPALTLHESAPGHAFQMPLALEHNHLPPFRKAYISAYGEGWALYCEYLGKEMGIY HTPYDVFGMLSYQAWRAARLVVDTGIHSLGWTRDQAQTYLRDNTALSAHEIETEVDRY IAWPGQSLSYYLGQLSILKCRRKAEEALGEKFNIRAFHDTVLETGSIPLPLLEKNIDE FIASGGVGPYPEEEK I203_03678 MGSTYSAPVQPAPRVTEELDKPFLRPCERPHPQETFDQTSIASD SFYGKIRAIYAQRVLKTQLEQLRKQGSYEAFKLGWHPKFDIEKLQGAKCTISSPPTLF WDSDVGKWIEAACYFLSTADGKGLPHASEFVSAIDELIAMMAKAQQSDGYLGTYFTVV DKAGRLKNLRDMHEMYNCGHLLEAALAHYRWSGDRKFLNIMIRYVDLLMKTFGPGENQ RHGYPGHPELELAILRLYSLTRDPRHYAFGRYLVAARGQREPSLGGKPFFVWEAEQRK DTFFHASMASIDDGRYHQWHAPLHDQDTILGHSVRALYLVTAASDLDGTLLNDANRLW NDCVDNKMYVTGGIGSVPDIEGFSEFPHFLPNSHDEGGCYAETCASIACMMICERLLS QKLSGKARDVMERCLLNNVLGGASLDGKRFYYANRLATCGNEVADRSEWFEVCCCPPN LSRTMGLLGGYMWSVKTKSQTISLDIYLYMSGERTVKLPSGEIATVEMSSEMPWYGKT TLRLQAPVGYEWIVQIPEPEYAVIFDVSPPSLITNTDVEGYHSLFLPARSTISVTFDM PVRLLAPHPATHQDTLAIARGPIIYAAESYDNTKMEGE I203_03679 MSVPNGQTNGDQSVDASGTFKSYAADRDATDQEAVYTTSNGVPV SHPYTVQRAGFDGPLLLQDFHLIDLLSHFDRERIPERVVHAKGSGAHGVWECTEGIED ICCADMFKKGTKCPLTVRFSTVGRESGSSDLARDPRGFSVKFKTAEGNWDFVANNTPV FFLRDPAKFPHFIHTQKRDPATHLSGDDDSTMFWDYLSQNPESIHQVMILMGDRGIPK GWRFMHGYYGHTLKIVNKDGDWLYAQFHLISEQGTQNFTAEEAANESNDYGQKDLYEA IEKGDYPSWTMKVQTMTIEQAEQAWKEKKINVFDLTHIWPHKDYPLRTIGKFTLNENA KNYFAEVEQAAFNPAHMVPGIEPSADPVLQSRLFSYPDAHRHRIGANYQQLPVNQPVC PFMMSNFQRDGQMAFYNQGSRANYLSSIKPVNFRPNKYDINKIHGGFVGEAVSFLSEI RPEDFNAPRALWEKVFDDVAKTRFIETVSGHMANCRDKAIISRQITIFREVSDDLATR LEEATGVQGEKTIEGMVFNGSHNGFGKKKAANGQEDAVMVQFNNGAPQGDKGP I203_03680 MSVLEVRITSYDYWAPISEDRSLKDKYYELKSISHFVRSSRDLP KTYPVSTLDYGTLHVDKLVNPDTQGTFYVARHIDSTSREKSRHSLTVRDEVGKVDLPV YLNERDSRILSSNYCWGKTCILHTSASIFTSTTIGNTDILVLYAPQDEGHRLAFPLHQ HLSIAADTVCNVKEEIDKERKVIKFQVGQGTRDLVSVVGGRRKVEVILLDSKEVSWAW EAVVEPGNEESFGAFYSFGHNERVLVLGPWNNQTLNSEQHQLTNFGEGKWSAVLPGPN SELLFQRTEKMIQGWKYRDGLPEVEASFNDSGWKNADKKVTSNPFQPYGKQAGFEGEY VLYGTEPIRERKGLALKVSLISGPGGVGAFWLNGVYLGTGIADIETAQQEVNLTLRIP HNVIRQGRKNVITIVIDPAPLEEAGAYTGTTESISAPRGIRGYSLLGRDTSAIEWKIQ GNTGGWEQYPDKVRGVFNVGGLYGERKGWNLPGYDDGRWENEHFDGKHLVTVTADLDE VQDSGAGIGWWRGRIEVEYPDGLDLHYSFVFPRILSPVRGQLFVNGWQLGRFYGDLGP QYRFPIPPGILAQGNNTVAISLFGYDKSADPKKFIEGLVMEVDEFVTTTSLKLREMKV ESPSWEEVFRSGA I203_03681 MPSPSPYPSSYPYRHLALTKLLQEHPVDSSTGNDRYQLFNTDLH SQHQRSVALDSILLSAWATNKGKGQDLLHHVDSLRINKEDFQAIGRLGDGQFGTVDAV ECKLNGQVYAMKTMPKHAIKRAGIQVCLELERHIHILSHAFPAAPVPTLFVAFQSKDS ISLVTEYAACGSLWDRLCSLSDEDDQAGCMTVAEIKWWSPQMVVAIQWMHDQGYVHRD IKPHNFLITDGGRLKLTDFGSSAELSQPRLHSERPFVPHELCSLPIGTPDYIAPEVLL CAEEAFVQAAGANEASYSPPTYGYDLSIDWWGFGATIFEMATGKGPFWAPTIQQTYLK ITQYKGDLQSPFILEEGLRRLLQKYVRAI I203_03682 MDLSGTLLLHLVSQIRSSGLTGNSPNPSQLKALNYSKLAKAALI QLILDISKTNTNAPVKHSLTSPEPIISHRETLSIQGNDQPSKPTNKRRKVDTFSKPEI NTADTESITRHTPVAPPTGVKASRLNVSYLKSGDEKDSNDTTNTQKSQESLKTSKIRS QGKFQAPLPVIKKTPTTFIASPQNLTRASRPAESFMSSLDRLDFLRNHFLNALFLEFN AAREIPTFTTPSSALLRDSTTAVKPYQDLAFPGFGPAMFSKNVSIEGFMVAIRFWLSR LHTLSQLGSTESWSVHGHGQGILGPDLSRWPPVLSCKKISEDVWLIETGNIEIIPEDR SNIPNKFLTLGINGDVLSSNLDKYEGGSIHGCPVRHDWYNYIVSDQPSTSGISSLLDH VKTKDPSHHPHGISRAWKERVEARGNTGELIQIAKRAVLASCALNSFSGSKLSATKMD AHTLGYPTIPRGNNSSPVELYLPESSQILSVHVDKPPYHPALASVHRHGGITDFVLSE TGQVVGNEDEGVSSLWQGFLGCDSNGNENRSNMAAFWKGWEMRMIQVCYA I203_03683 MSVNNSIATARRQLHSCAVRNSHIGKVPIAVPPSVTLSIPLTSN LSNVSSSSTSAQRVFSVTGPLGSASIPISPSVVLTPPSSSSPSITVSVRDPTVKTQRS IWGLTRTLINNAVTGVSTGFNLEVRLVGVGYRAAIEPIPPVFLELAKQRNPQSADSLP RERLNIKLGFAHPVLIDIPSDIKATVPAPTKIVLSGTDKQKLGQFAATIRQWRKPEPY RGKGIFVGDETIKLKEIKKK I203_03684 MGKKKRTQVFVLKPWCWYCEREFEDDKVLLQHQKSKHFKCQLCP RKLNTAGGLMVHSQQVHKCDPEPLTNTLPGRDGYDIEIFGMEGVPANAVAEWKSRKEA EAGTAALAAAAAAALRPRQSYNVIPEADLRAALEQHKKLMAARNNPAPVVPFPPFGAA PPPFPAGFPPTMPPGFPGMPPPTMPAGAIPPFAPSPGFRPPFPPAGIPPFPPASNSPI PIGSPAPGILGVPPTPPTFVPQTSTPVAAQPVEVLPPKDGVMWPDASASPAEKRAQQP RYRYSSPTPEGETEEGSGVGKKRKAAADFL I203_03685 MDRAKRDLPKVRDEERERMFGSVYSVSGPVVIGENMRGCAMYEL VRVGHDELVGEVIRIEADRATIQVYEETSGVTVGDPVLRTGKPLSVELGPGEPFGRLL GIQRPLKAIQEKSQSIYIPRGINTESLSREIKWDFNPASFRVGDHLSGGDIFGSVYEN SLVDNHKIMLPPRAMGTITRIAEKGSYTVEDVVLETEFQGKTTQHTMMQLWPVRAPRP VAQKETASYPLFTGQRVLDALFPCVQGGTTAIPGAFGCGKTVISQALSKFSNSDIIIY VGCGERGNEMAEVLADFPELTLERDGREEPIMKRTALVANTSNMPVAAREASIYTGIT LSEYFRDQGNNVAMMADSTSRWAEALREISGRLAEMPADSGYPAYLGAKLASFYERAG KVTCLGNPVRQGTVSIVGAVSPPGGDFSDPVTSATLGIVQVFWGLSKALAQRKHFPSV DWNVSYSKYLKVLDPHYEKSNPGFIDLRTRAKEILQKEQDLAEIVQLVGKSALGESDK ITLEVARMLKDDFLQQNGISEYDRYCPFYKTSGMLKNFVAFYDQSQRAVETSDMTFAK VRDSAADVMYKLSQMKFESPNTQSEQDIQGKFDQLYNEIGETFRRMQE I203_03686 MGSRFIPHHLSRIRSCTSSTTPRLLLRRSLHLPPTHTDRYALPT IFLRRISIQAPGPDHTSERRDPTGYEILHPPVIAQSSSQSEPSNGSPDPNQDVTSSTT GLANATSLPAPPEGSTPFTSRHLQHPFDTHAFVSYLEKNDISRDSARALMEAVREMIK KRGTQTGENMVGKEDAENAAYLFNAALSELRTELSVQARNDGLALKAMAGAIRREVEG LEQKMKEDVQVLKHDIEMDMNNRKAETRTEMKGFDIYIEEINNKFTISLGDLRTEIES VKWDATRRAISIIILIVVATIAVSTFLASDAEPKTPPQAKSTTSPPMKDMAVGTDDES IEEDLKTYTEERLDKLLSDSGVARLERRRSKSREHGKEEKKDLHVDRI I203_03687 MAPQYPARSHAVKVVEELIKLIPEADRCKTHGVFLQASPTLYRD DTDRELPFHQEANFNYLTGIILPSCSLVIFFSLSSTAQPSKLFHNLFIPPEDPAETMW SVPPPSIERASAMYESDKIQHTTALPAFLHSAVQDAHNVEVILHTLPNTMEYPALPDI VEKTQGLVRNTDHLFTALHIARMTKDSSEIELIRKANQISSGAHEVVMRELGKFARDR ETGKGGQKQRTGKESLSQWEIESEGDAEAVFVATCRRMGAATQAYLPIVASGSRASTL HYVCNDRLFPSTSVPRQPGDTSFTPPQLARGCCGTLSPSHSHEAPPTELHTKAFTPQV LLIDAGCEWQGYAADITRTIPVGNGGKFTKEAGQIYDLVLKMQKECEALVQPGIHWDT LHLHAHKVLIDDFIRLGIFKGDAEEILQSGVTAGFFPHGLGHSLGLDVHDSRQYLRSV HEAIPDSSTQTPAKLYAYLRIRRPLVEGMVLTVEPGCYFAPQLMEEHGVWSSQFVDQE VLKRYVGVGGVRIEDVVVVSRNGCENLTTVGRERQWIESACSGM I203_03688 MSSLTGSFATLQTHQNWLTVIAGVCLAVILRLVIRYLIILNHVK ALPNIATLLFGFEPGTRTRLPHIPWICPVNDHTVYHPWLKYQRARSDLIAFPSLLSST PAYVTSSPSTAQYISSRPHLFHKSLHMQRYIGFNVFGKTLLSTQTGDEHKRHWSVVRR CFSDRQMASVWEAMRWSLNTFISKEVAQGEEGVVEDVRESLIRVMLLVIGRKGFGKDF PWKIDLSSHTKVRLTVATVPFEESLYVVEQSVLLQIILPAVRSHPWAARKAFLAYLER MYLDKRTELQTSGVDSSIEEEKAPLLGALVHSQLGVEQEARLQGGDEKVAGLTKSEII GNMCEYIAGHETSGHTLVFAVAYLALYHQWQEEVYQEIISACGDEQPTYKDMHKLPLT LAVCLESLRLRDIVSTIMKEAIDDVFVPYTTWDGEGNMTTRTHLVKKGSLFIIDSSAT SIDPHAWGSDNMEFNPRRHLGDTPPFVSFSLGARQYLGKRFAEVEMTSFVSGLCRNFK VYPVKSHEEESWEEMKGRMIDSATEQISFQPGKFAVRLERR I203_03689 MPSATSRKGKSRAAPAEETKPQAAMPLGKQLAHTDKKVRDRAIA GLIAFLSQGGDTEGESSSYVRLDDDEMAKLWKGLFYCFWMSDKPLVQQALAADLAELL LQIKPKTASSQDRFDASVAFLEGFWDAIVREWAGIDRLRMDKYYLLMRKYVNATFRLL AREKWEKNAVQAVNRMLTKNGGPMTWEDRRVPTSISTHIADIYLDELNKALALPEVDS QPACPLTAVLEPHIILLTRTSTSTVHTRLMSSVFTPLLENLTLASPSLSLQQDAERPT KKSKKEEPMFAHIVMHSCVGQEGQSDRSSAKELKKGLLKRMFEAAANEKATESNRRKV YQVWREEDGDDDDDEEDEEDDE I203_03690 MSSLFGSSGATPDMAARKEQMKQSIQQELAIANAQQLINKINEN CFAKCITKPSTSLTSSQETCLSQCMSLYMAAFDQVSRSYVSRISKERGAAPGIGL I203_03691 MPPKKSIFRQPNAQHFQLVHRSQQDPLINDPEASQRVFKPMNRS NESEKKTEITLADLEKSVDQSAIRKNEGEAANYGITYDDSSYDYMSHLKTVGNGGFDS FLIAAPRGSGIARGMKSTGKGKGKAKEDDMFDLLPQDVLPSREQISVYEAQNRGEAIP RELQGLQPDMDPHLRQVLEALDDDAFVDDEGDEEGWFDELVHDGEREEEEEVPWEFRE WGVDEGPAPVGELKEETWEDRFKAFKREQAALPDEVSDIDPEERSEMADTVGSLASNF DDMMVVGGKKRRGKRGPSDASGMSMSSSSMFRTQGLRDLDDRFDKVEMDYLYDEEEEE EEEYEDFPDDDTASVAASSFSVSSRFSLASHAAKAAGGPPEITRDDFEEIMDDFLENY EVVGRRMRPALGGTALTGTERFGVLRAAIDGEDDLRDENRRRILQIEQEDRENGKARE KVKKESKEKVEQPEEEKWDVQTILSTYTNTENHPGMIRSRNAAQMKARAERQAAAAAA AEVDGQEEGDATDSGSETERETPRVTVARPKGELAEEKKARKAAVKAERAARRAEKKS HTETFSTERKRQLASHKKLVSNGRAADVAVGNKGVVLAVDLLNRPADVQARTHKLKKV VPEPNSFFMDVKCPGCFAITTVFSHASTVVQCQGCATALCQPTGGKAKLTEGCSFRRK N I203_03692 MPRFGSTLPKMDEIVVQVNPVQVKCMEIVTQELEDLHMLAQDLK VWLEMEIPVIEDGNSFGADVQAHLISQLTDAYKKSNSMQNGVRAHHADRLKLAMDWAK FPNFQDFAGAIAASDRFDHFLLRSYLRSILTLYGGLLTKFERNWSKVINPKGSHETGG MY I203_03693 MDNSPTALFENYDEDFKQLLASLKGKLEGDVKQLKGEQRKSALK KVAEELDEAEEIVAQMEVELPSMPVSIRQTYQGRLASSKQGLEKVKKTLRDVRSENQR SELLSGPGGFPNSDDPYTDDPSAYSARTRLLQGTETLVDGSRRLDNAHRIALETEDVG GEILRNLRGQREQIEHTRDTLVQADSSIDRAAGTLKKMIFKMYQQKFLSAGIIIVLVL LIFIVLWSKLFR I203_03694 MNFFNRQKTRTPAETVKSLKENILRLDQSAAGEGRKRINEEVSR LLASTKNSLLGEGESEPSPDIIAQIANEVYAQDLLSLVVIHLGKFDFEARKDVCHIYN TLLRRQLGTRSPTVDIIATRPDIIFNTLKGYANADIALNTGMILKEMLRYEPLARILL YSEQFYTFPNYIENTTFGISTDAFACMKETLTRHKPMVAQYLDANYDRFFNMYTTLIL SANYVTKRQSLKLLGEILLDRANYSIMTRYIASEANLKMMMNFLRDKSRNIQFEAFHV FKVFVANPNKPAQIAAILRRNKDKLLVFLKEFHNDKDGMLRWPNLHFMLVDHSITIFE L I203_03695 MSSVESSSSLLIPPNPSLSPTKPSASILLNGNGRESTHVRSPEP PRTTTPLPHTSVFNAYPLSPSSSPSSFPSSPTLSRSTSSPHPSSAALNSRRLSTPKIS FAPLPTIPNELKRRNSISIGVASRKHLLGGGNGPNKIVMSDEEWENYKKHYDEKSGND PIDLGQVAKQGAKALWGKVKSRRSSSVSSQSSITSSTSTTSTSTSNVSSSAPAAAAAA APALSGSLNGLGTVEEDEEQYETVQTGRGRSLSPRRGNLHTIPGSPPPRQASLDSESS PSTTTSVISDTESDFGDTISEAEEPSYPSYTPGNRVAVGEASESMKHKLLHLEEMGDQ KDGDETPRRLPSPPPRKADVEPLPEENQDEDEAENGDGRNTPGGYRARESQWERDHHE KKGRTEILGFDPERFGRALDLAAKNGEIKRD I203_03696 MPVCTDCAYPAEYVYTTYKTKSNIRLGVCPRCDQFLDPLIEHPD LIILLDLILLKPRVFLHLLFNRDSPPFDADKGTSVTGREQHEERKRSIHHDLWILGCL SILAETSLRLSPALTDSNSRGIDACRAIKVGLIVLVEGIAQHGTTLCLALSALRFRGW YPSSNAKKNMDDKKKDGRRQNFLPSLIPLTILYTSLVPLLLQLLLSIWYTPPPSIHEH LPVPATFTYTSFLSSLPFEIPSEVLELENALNHAWARSDRIWVGTRLLAGMSAGFGLR VLLPTKPWETTMIVLAGWLGAAFVSQVISGDVNIDYVVS I203_03697 MSLRPALRQTVSSPGETPSHSTPNTVSPSPSPSFSALPQTTSSS RVSVQLPDRPRSTQYDSTIHLPSAMSQSGSAGFPRTRTNSSGQGPNDGKYRRKVGFEA FEAGPAALFAFTCQAKSEGYKRSRNTRVFAVAVSPDESGEDALDWLMSELVEDGDEVV AIRVIELDEERQSHEAQDELREDAQRLLRTILEKNNEADDRRISVIVEFVAGKVTEIL LKMIALYRPDSLVVGTKGIRSKFQTWGRALGAPGMGSVSRFAVSHSPVPVIVVRPERK VKKTLAKRQNDPKRGQYAAIVGPDGLALSRSRSRGSTGAVSDRGE I203_03698 MSDDQDQIMISSPECSPQTPGISPSPPLRELSMSLSLSRSNSPA SQNTVALPPPRDLRALLSNSPPTSPSRSSRKVDMDESMDQGPCNSDKSKSVISSPPRS DAVLHRSLPPLQRVTPTLPRLNTCPPPHRRSPPRTSSNGLGAGFGLEAFKLGSPPRRH PQPAHQRGFSHSHIGRSFWVPSSSTASPGTPKNHHQRLASLPSIQTSHRENVYPTPVS LTSQRGFPASAATPVAHTRHPSEEQREYVVQRQTIHSKYPSAGHQMNLPHPQPHPGQP VVHRRHPSSGDMTGVRVAPQPTYRPTWAAPPPPLAPGHPGSSMISGRPQNLGRPRLQI HPFAPPRMDPRYYSAGGIGMHTHTSAGVPHGMPVAGLGREGVYVSPSSGLSPGGFKAP RKRADDSQLAILNEVFEKTAYPSTEERDNLARKLGMTSRSVQIWFQNRRRAVKVDAQS AVQRAEAEAETQIMIRGPVPVIPKPYPIRARQRSESDEEGPSFRESMNFDRIIPNIPR DIPTSEALAVRRASLRSEDDVCMVKREVISP I203_03699 MGRTFRIYLAGESVLICRQCGNHLAVSEGVMSKQFTGQHGRALL VQQVVNTYTGEAEDREMRTGRHTVRDVYCRVCHSTLGWKYDFAFEHDQKYKEGKYILE REMITEKPESKRDHGRPRIEELPVREVLARV I203_03700 MLFTIYCLLLISELLNSQSTGTITLSSPQACIIVAQIATLESPN SITSMVPICPSSTSVSMNWPLSEAGDGAASSIGIYSQLDGGHAGCVMSMSQMTAMYLL GRILRWDDSGQLYEEGAGEQVADPLNQQILICSSSDRMLPSDLILPDPTSLPEDDPMW QQTITLSDNPASTTEPSDVNTSSIDPSEASSTSSSLETFTSISSQYIENTSPASPVQF SSSATAVEGASSLSATGTLTAASLLGSSGSASTSSPQEVTVTATDFPVSIAQPVVNAT SVEAGATTTSESTTADSRSAPSDTTTIILEAATETAEPSTTSEYNVAPTEDSTDASGT SSSTSSSLKKPPLDPTGTSDSSSQTAPQPTASIAEDSTSPAFSSSFVSDTTTIVIEAT SATEMISASSVESEPETSSTVPSLDTSGPSVTATGDVTSEEPLPSSSTSRSSNANSPS SQTETASSQATTVASDSTSQGVIAIETSVTISPSEPVSTESSVPTPAESQETGTEAIS ASSTSNTATSAEPSSTEAESDNLDIPSVHIEPFTLTPEPTSTFPTGSSRIAPHATTAT VNTSVAASSENGTETLAGAGLVTDTVSEVYVDQTITTFVQPTGLPTELASSIHMTSDN PSPEPSAISNTESTETASPDSYSSSTADPPNTATSDSSLEPNTMLFAQHAATMPPVTV TATNTTRVGAETSSNKKAINSASSEPISNSSVSTTTEHTTLSAPNMSLNRSRTDAASP LPSTDTTTSSSDVPTTAREVSESHQLPSDYSSSAPEFSALPIHQAAVNTALPDTASKT KFQSDYRTSIEDSSSDLEPSISMATLDSDFASSLSADKNSSVTTGTDDTLAKVSATST TVQPDSTLAMHRRRHRPARRGMMSRRWGPGGGLGGWGGGGRGGWGGSWGGGWGGDEEE DSGFGGWGEAIAEGIGEGAQGGSALVNSNAKAEPLEQPQQTVETPAPAVPSPSLASTP TRSAAPSPTQYQGASTSQYLSDSSLLGSTDQAAGSTIGQSFLYSSASARPTQPASLAY SSSYPLNSSAAEMASFEASSSAAPPSPIVDPAASSVEAIRSKKPATDSFSLATSKSGM LTSEEAMTIQTETDPSKFNTAQSAASSISQVGSVSDGVVTSTWLSGATPPSPAPEASA SISRPPVPDSSKVSKSHSLNVETTDTSTSQKSITETETFQHTTTQLSTFHDLTTETSS TTDISTFQTSTTAVSTFQSSTTDIETYRTSVTQTSSAIATEFTTSTDMSTFRTSTTEL SLTTVTDTLTFGTSITQTASTTTTDSTTETSTFHTSAYETTTLQTSTTATSTAIITST STQLPTTTSSSTSTASESSSASSEMPSTGTPSFTVERPFSSDAPSSTSLSPSSAATEQ PPPSSSTTHSSTEPPPPPATSSVDSSPPSSSSEEQPPPTSPSSPESTEEAWTETPSSS WSEPASDPSQPTESSSSSETPPSSAVEDPPTEEPTPDPEPDTGPPVFSVDGSAVGQFT GPGPAARSLGDRDRRRAIPTKRDVAVTAPLPTITSKSIPSPARFATATGPTPTENVRS SLGTDDSQIRLKRHVLRSRRRDRNRRIYTFLG I203_03701 MASTLYPSLKSSLGAIIDSYPPTRQLTKPISPAELTDKVNKAWS NSSVNVNAPESSSKSGEVIRTVLEVVGRDAVILPITSAELAEPTSEASEKEKQAFQIS LQDRLDIVLTLFEVVYASYPETPSLEPGALFIPLIEELVELISVESWRGLWTYMETRS KRFTKDMPASRGKALPLLRTINAFLRFLPRTPDDLVLRGRVHQFASSVISVADKSAIN MRGDYAEVRTTWDEEEVKEDLAEENKDDKDGEGDVKMEDEVDKEPSQSEDSEAKEQKL DFYPTLWSLQQYFAHPPSLDGPAVGEPAKTPFHTFKEKTDFVLPHLFAQTQKEKALLG KDAEVIGKKRKRSAEDVENGGFFHPRYLTGKRLFEYELADPSFRRQILVQYFILFQFL LNLTPASAGKQAFTGGMPKTFVLNTDDEKWVISKVQTIRDELLKMVDGKRFEETVLSI ITREVHYAQWKNDQCPEGVFEIPPLDESTAKDAAKLWERRLAPPRAYAFKVGSRPLSM LWNNGFKGIDQLKGRQKATNEEQLDEELRKIEEDEEDDKAMGIEPAPEVLAANKERKT SLTWRALRLASHDHLRYFRTLAPKRDLHVLMKAVKGEAEKPGPAVENQTEEEKAVEDV PEKEVLDTKNGGEGEEKFNDGEQKMDESDNQPDEDTEVVDVEKPENAETDVEMTQEGE TEQKIEEQGKDRVAPQETDESTVTSKVAEQSQEDGQKVST I203_03702 MSSRAAPPHLSPRDRERDRRYGRNERDEDRARTRSRSRSPRRDR DRYDDRDRKYGRDSGRSGYRDDRSRRDYDSPRDRDRDGRSDRDDYTRDDRRGDYTRDS RRDDHSRGDSKPDVTSRGGYSRDNPNNDPTYRPSPRPYEDRPPAGSAPSIPPSQPRAG SSAQPGFRGGYGGYGNRGGDYDIPLDRRAIEEGRRRREEERAKGVVYTEDGAYNPSAE ASPAPKEEPEDELDPDDPEAQMAAMMGFGGFGTSKGKGKEDNVDGVAKVNKQRSWRQY MNRRGGFNRPLDKIK I203_03703 MPPRRLKVIPPEQPGSPSQISTTTITLNPAATPKHTMINALEHM PPPELLKTERDTISDSDEALTPITSEQEEEVKHAVDKAVDRNLSKRKRGAAKKDISYV EEDQDGGSDFAASESELSEVEPESPKKRTPKKKATATPKKTKVKVEEGEGEGEGEEET PKKAKKVTPKKSRIAKDEPEYDEDGNEIVKKKRKPKVYPKKVYEIPDVERKTTTFRGR LGYACLNTVLRSEKPDSIFCSRTCRIASIEEEGMELPKGLALMNVRDLKTMIQWNEDN KIRFMRLSSEMFPFASHAKYGYDLAFADEGLKEAGALAKKYGHRLTMHPGQFTQLGSP KPNVIEASIRELNYQCEIMDRMGIGAEGVMIIHMGGIFGDKESTLARFKENYTTRLSE GVKKRLVLENDEICYNVDDLFPVCEELDIPIIFDYHHDWINPSSEPPAVLIPRIAKTW EKRGIPMKQHLSEPRPGAETVMERRAHADRCKSLPDALPDDVDLMIEAKDKEQAVFEL YRIYGLEEVNHDSLRPPDPNPGMHTKGRKSSLKKKQKETGDVDSEGEPINLSDIEKEG DGGVGDISVVEGHVKNAINDAGMEVDGQTPPKKGKAKGKRKSVGGDDEGDEAKGDNEQ GSAKKKKTTPKKAKKNEENKENVPDADEQVESKTEDVKEEPKKQPAKRKGRQSKEKAA A I203_03704 MSWTGSIQALPEQYQKHIPEEYQKYIPHLWLLGAGVIGAYLLRG LFSYLKILREVRGLPVKHGFFPAYEQGLRARVPHIPYILPIKDFYSRPEWDRFEKPRS DLLAYTVATQHRAIYWVANPYTAQHVFTKTSLFEKPTFHPRYVAAAKFGGNIISASDG DDHRRHKAVVRGCFGEEIFRSAWDEMDKTFELFLDEDKLREGGIMEDVGATTIKLTYV VIGKVGFGHEIPWDSQRSKKGEKMGFVESYEVVDRTMLYQFTLPLWIMKILPTKELRR MGDGRTAFVGYCYDMVRSKRAELNALREAGEKSKAPVDLLGAMVHAQLVAEEEARLKH GHEFTPNVGLTENEVFGNMCKAGHETTGHTFAFTLAQLALHPEWQEEVYKEIKEVCGD EKPSYRDVHKLPLCLAVGLEAMRLTDIVRQLFKVAKEDSMLPYTTWNEAGEVTKREHL VKKGSLIYIDTPASQLNPFYWKDANEFNPRRHLGADDARASLNNAEVPFVAFSMGPRQ CIGRRFAEVEIICFIASILSRYTIHPVPTHAGETKESMRERMIGSAVEDVTMTPGKFQ VRLEKRH I203_03705 MLILLSLLALVASLSSVRAAPSLNFPLDEQQPSVARVNSEFVFE LLPSTFNSTSSTNLTYTTSTLPSWLSWDSPSLAFHGTPALEDEGEQDITLTASDGSGS TESSFTLIVSNYSVPAVHQSFYTQISQPNLSVISSATIMPGGTGVTIPPYWSFSLGFQ TDTFRISRNEPNNGQLYYAAHVRGSIGLPSWLTFNNQTFTFEGVAPSEGSFTIVATGT DFWGYTGAQTSFSIQVGQGEAVEMARNQNLTNAQTMAKNQLNQQIDLSGVTIGGNAVD NEDVVLSLASNDYPWLSIDSSNDSLVGTVPDQYQNGTFAFLSVPVNIRSTNTSNTFYM TTWLGIDILPYFFSTYTLPNSTATLGQSYDFDITSYLLNKTATVNATVVPPEAATWLK FDTKNMSLYGSAPASMDYNRVEVVFEASIGDLVATSKLNVNIPQVTQTSTNTGTAAVP TSSTGSSGGQGISKGAKIAVGVVLGLLLLITIILILLFCCCRRRKNKKPARKDDDNDS FVATSPVTVQDPFRRSNSLDPPRNLLGQIARFSGLNLRSGEANEKNMPLSPASLSTDA TMVQEKPTRLDGLKGIFGWNHKEELENEKPAIISPQIPSDSRSFIGYPDVIGVNDPVN RPSQDASSFTQSFISESSRASWQSRESFHWSSVDDGEGEDEVASLGAVGGNRISTASS IPRPRPNFTPRYPRHQNPSVLARMVDLDDTGSHASFSEFHSSQEGHHRDSSQSGTNFD SSGFDHSSNSMMASGSIFNSQSGSSFPAGPSGLSRFGESGGFKSNDTDDEDAFSVEGP AVVAMAERQSFETRRPQRQDSRSHPRLKESLSGVFSDADDVARRSTIYAPSEGNQDEN NPGLGYPASAIYFGSQNGEIETEGYTSQRTSTMDQNNNRGSTIKVIPNKSGQTPLSPA LPQVGSFIRHRRTNTGSAGATKAMIRPTSTHSNGANDGRVTAVANETFSIHPQINPPP TVSLSAATWSSNPPSTYRAEMEGGGNLPTWLHFDSRELELWGVPPLRITGDVTTIRII ERLPRDNRRSDPMSFGYEPPQEREVGRVTIEVTDRLKSPQFALEGSPHAL I203_03706 MADSLADSRDNIRDWEKYEKKVGDEKEEKIVALDESDIQILKTY GQGPYSLALKKIELELKEIQKRVNEKMGVRESDTGLASANLWDVAADKQRQGQRPLQV ARCQTIIKANGAGAGNPEGDKYVISIKQVAKFVVGLGEQVAPTDVEEGMRVGVDHTNY KIMIPLPPKIDPSVTMMQVEERPSITYADVGGCKEQIEKLREVVELPLLEPERFANLG IEPPKGVLLYGPPGTGKTLCARAVANRTDSTFIRVIGSELVQKYIGEGARMVRELFEM ARSKKACIIFFDEVDAIGGARFDDGAGGDNEVQRTMLELINQLDGFDARGNIKVIMAT NRPDTLDPALLRPGRLDRKVEFSLPDNEGRSHILKIHGKSMSVERDIRYDLIARLCPN ATGAELKSVATEAGMFAIRARRKVATERDFLDAVEKVIRQGTKFSSTALYAQYN I203_03707 MHPSRLANFHASNPSNDSGTNSSSTAKIGNAQPPVNSGANSVPL RATVRKRRVPVWNLPLKPLSRTARRKKKAEDKKKAKFYGNPINTPAGSAFASGVEPEG RPRKRRSAIVAEGVIRDHLERDPSGTSGPIDEEVPIQEQRRIDDLEASIQYRDQRPSR RSSAIIAEQVIREEAENRLQGSTGQDHHINIRFIRVVNSQAHPADGSQNGNTITARNT EKEQNTKKRKKDKGKRKQEDSESRSQRTDKKRKSRRASRSEAKIALS I203_03708 MAMNQVNHSLLSGSNVQNAITIESSSPAVGSTSEPVSAISMEEE AERIEYGPRPPRATVPRESTPETAKEAANTPQLVEAGPSRPRIYHVRYPVGGITYEDD GDGIFVDPETETPLYIWIDPALNDRSSLIKKIQAEGGEISPDHTEDETSLLLLDPSST YLFDAYCHSYWLRPRDLERYQRRRERRGNQTDEEPWQKKVILKAWWIDKCIEAGKFLG LADDWGGCRAGGPPSEVQISTEEPGEEEDGGQVDDENGDAENDKDDENDQHISHDQGQ EIEVRTVPQGINAMELGGDQQDTQADEVQPIDADHSRGPELEADQNDDIPMEDAQDTN PVDSAPASYMTADSPTASKPIPAEVILQSPDSPAHIASDNHVDNNLVHLAAPATQPKA DAGAPSERTPEGEDVQMEEETAQEPSDPTTIFSGLKFWVDTTYPDRITLIKRIKAAGG ELVTSYSDSTHVLIHNYKHSQWHSIVESLTKQGIWFLTFTWLVKSLSQGRKLPESAFA VVHGNPIEANLDKKPAILSRPTATNEPFLSSEDLDEIFKREVMLVKKGGTVKALIAFL LSKYATYSEAHWGNLYHDWKHKKGRFAYLAATVPVNKSSPSKLSRSPPVASKVAPTKT KSNQLALLTDQIARILISETPNRTGMNVTEFSLYLNRKYPEYPAGTWASLISNWTKRT GRFVNFQYSQLSPISPVSAKPSATAGSSTILPSAAPQSLTDQGEYSNADYERIFTTDV RDANSKSFTSIGKELAAKHGKFHATTWSVLYSEWSRKTGRFKNSGNAVVSSEPAVPPA DRRGSSTSVSTRPSISSPSKPTSRPVPDDDDEDPHGSLTTEEIAQILREREEEFVQRK LTSVEIGLTLYTEVGIYPRATWFQNWKHWRRNKKQFSDLPPNLQSTVAAKAADVSAHH DAQPSTSNVDKPVSFPSSASSSPKKRYQSNNAKSGRYDTEEEKAMAQYISGYKGSHPK TSAQAWTLFASIHPGRTASAYAQHYTAYAFRIDSFAPKHRAADREGDDNTVLGIDIND LIESPNGSQSRPVEIDDDEDDENEQNPIVVVDDDEDDTDYIEVASIDD I203_03709 MLQSSSSPSPSPTPATSPPNRQSPAAAWIQRLNAPRSTSFDAGL EHNVNANGISALGYGRPGQEEMEMIVDENGAGPSNPAVIASDLRRATSLRTAGVFGGA GRETVSFGAAGSNLTSPPNPAAQLFTPPLASRHPLFSHHAVQAPASTGGVPSVHSSDQ GPSSPAFMSDPGPSGPPSPSASDLSSSAFSPASAFLSHFSSSMSLRPPQNLAPDAQGA KVSDYILGKIIGRGGFSTVRKATHVHTGEVLACKIVKRDDLSDRSGSLEKFEDEIKIW QSLPKHPSLLPLVEMHRTPFATFLFTPYMSGGSLLDVLQREGGSDKTARKWFPGVVNA VQVLHEGHEGFEGGILHGDLKLDNFLVDQSGSVMVADFYMAQKIQDKDHNSHQESNLT VPPPLVMGHSSPLGRHSTLPAGYHRGPRMSSPLPSSKHRPNEHPLPENITPHPTRPFP SASLPYAPPELLRAPPAGPSLAQDVWALGIILHALLTGRLPFVDAFDPRLQMKILRGA WEEPAFLGREWLECLHGCLDGNKETRWTVRRVKESDAVSGWAEVKSRSKSRSRSRARM GMGLNDGYVDTIRRDGSAGPVSIGSPFGRGRTRKHSTASSNSASASRDRMYQQPQAYD DRLDVFTSTSRPPSTNNRRDDPRHPRSRSASASRSRSSGNDHRPMFTLDAPDLVRSLE SVDTARGRTTRRGETNNGLNIPGLPYARHSGEVAITGLPIPGYSNSRSGSRSRPRIAI QPQSAPSYPTNFGTSLSSATTSSYNPMNVPPHSSGTSRSRSSHSPSVSRGGRSLSRSR DSPVWEVSQQAPERYSYGRELDMVHEEKGRVIHEEDRHERERGRTGRSRSRGRAGRGY I203_03711 MTPPYGLAPSSRVAVTLPEYYAPATSSLGGIYQNLNDLETLLPQ ESVENRTHQPRYLQDCTHDPMITGSTPQDNQTTAAGTRERVDVARPHSAHTHDTTSAK GLSRNDMIRADYGVNNTLTQALRENKNTSWPTSSVPHQRQFTSDNTQTSTTIAPHAQI SSKLNMKRLTTEYVSTRGLTQQHFEHAMHLTFTGSHVKLKRYQTPGRTTYGLEHTGPF QDVTIWPTDEPELGRSIIQVQWSCSVILDSRNLTAVPNVDDEGSLTVQSITQNSSNPF KPVKLKSGNGTVLYKIRQAFMDGIGAQMSSAKATKIPTSLISYERSVNRVMGYQGSIT SKAGSRGRQTKLTVPLQVEVSIAFSAKS I203_03712 MSQASSSFSQTDRSRLTTSAQSMLQGHQGDWVTNAVEQVESYMQ SNLMDMSDTAAALTRLRTVCDEIKSNPASTPKGKRAIG I203_03713 MGKFSFRQLFKPKNRLSEPDNYPYPAKSLPSGPSPSNFDVNCKP KLTLPTDLIPLITSFADQPTLAVLARTNKYFNKLAIPLLYRHVKLFNAQAVKYFCLYM FCVKPEDQIKLIRSIHIHFELLKWDVDLFTLRKEWPEIAPQPWATAILYDVPELVITS SGPTKIAGNNMAHRTLLDDLVGDWLNLLVGIYGPRRSIGKHYTTSEDPRNNRGGEEEK EGVNWSEQTLFNLMCRWKNLQYLSLPVIPYRYNLLPGLLASLESPKGPYKFLKEIEIH STSSEQFGGNGAALVQWLDAVSRRNGEWMDQVKEKNRKDREEYEKMGIPIPDKMQGEE PDRRILLRIREPTENSSIGKVNEWIDGKEQRNKVFKTADEGRALGS I203_03714 MFAQFESEPKPRRCPFSTEIFENFISFVNDQQTLHSLTLTSRLC NQIASPLLYKSITLTSRHQMAKFQAGAPSWAFNAVHHIEMAHTYTDDIDKEKTESLEI ANILISSRRKKILKYPNLLSFHLTYQERPFNTMWCTAPRSVLCTLKSLILSQIDPGDT KEGKMKFLKVNHIKNSTHNHHLGSPGKDDELLYLYDLLLELRWKYKSIISVEASFHEL ANWNMGLVESAEQWRERIMNV I203_03715 MEDIIPLIVAQATDQRSLHALTLVHSISNRPATIRLYHTIRLSR LDSIISFLTYAPDCIETNVRHVELELKLDMVTSPRWGEVLERIRNPTRKMRSVISLSV TIEGSYPYGEPLKEELYQLYSLLCTSLFTDSYEGPKFFRLRYVVEGESRECPFKLQDR IMESFLSWPSTRYLDLPFFGKDWYPGRGAFPFWLSADRNQDINLEVISISGLFFWNWH RDRMGDWLEQLSSLYVSEGRPKKSLRLEIRKPIDQLLDNCLEKFVERKDPAGIFDMII VDDGRENEDAESWRFRVMEEYYRNEQRKI I203_03716 MALKDKWDERKHEKHHKRSGYFREYEDPRSPKTARSPAYDGYLT PSSSEGRYTDDSTNPRNSREVVVSSSSDRSGYSGYSDSSSGSSDDSISAPQMRRTRGR RAYSSDAFSYPESVTSSSEGEEISSVSRSSKSISTGSPISPRQPYPVYEGSPHSSVSS PSNIRGTQSSSEEMTGYSDEPSPISKYLVRKPVNWAGVTCSVELIEGILLTDGLSSTI VARSDRIHVRPKGRHDESEYSGNTGHSPTYGNRARFMGMIGKGLDKVAQTVGGRR I203_03717 MPYRAHPPAQLVPYDPRQEGLYMVAGKGDGSPRKVVAYYRDGRD PRSNRYHGGMRGDISSESSWWSSSNWSENSDSTAKSMGGLFYNALANIRDRFYDNLYL SPQSRRYRYTRRAPSTRSYSDSSEEYWNRSGSESSSSSIPSCYTCSSASESSLPDRYR VQPEFMYPVRYREHRHHPRPRYVRERGFWERILGW I203_03718 MSYTPGSGPSNGYPMMIPQSSTNAEGTFNSQQLQGQATMVNPAD LAMIARQGYLQQQQQLANMNQQYGVRQTYGMTPQMQQEQIRRQLQAQQAAVAAAQAQA AQAQAQAQAQAQAQGQGQSQPGRKKRGPKPSVGSQMIARQTGSFQPPNSQEHYIKNAM AVPTSSQQAQVQIPPQGVSGEPVEPWADALDELDPREIAMGRFRKRHEVLSEVFSPDA ISEILVDRFSETNTRKLTDPTFCVITEDIPNGEYDPWAGLGMDGETLEAKVLALEKEN EELEAKSNTIIEDFKKRLQDIDAGVDVSTTTAVAA I203_03719 MSESSPAIPPPSYHSQPAPSYDARISLGDMSLHSLTPTTLTKPQ QKRLQDMIRGSMKGTNGDKTASSDPWLDGLTDDGGSFFTAKGTIRSLKPKKNDKGQEQ EENEEVNEDVLVLVRQPAKGEATIYKQSNHEREWTYGETPMPSRVLHLFHPPKSPQAN YIPTNVSLNPWAWVEAARESLERAFWGILMPSVSGVVGVTEKVEHEFASMINILPSPA ILSLEGSVAWSTSSTIQRPEDPKPEIKRRNSTYSFSASASLRKEFQAKRHLYDQETLY TLRMPPTEASAPNGEGGIDIGPTAKPVHVKKRAGIPWNDTKMVDDGRHELGWGRNGIN MGMGIGMRV I203_03720 MQNAYNAVSLLFSQDGSDPFTITISSNDRQGGYPYLGGAFNDQS SNIGSGSSAFALLSGISREISGTAQPDDQGLENTRGLYGGQETAIFLYNPATQAITGR WTNTDSSKQDTIFFYGSGQNYGFGMIAQSDYQAFTQDFDSASTQIVTFKYVTLPSPVS I203_03721 MWTIRLFTIFALLCLTSVYSFNPINAGDRKSKSQCSTNAECMKI GQPLLKPTRRYTRNRALRPRQSNVPPMYTGAIQILDANSNSSGYVSRTLNQANIYDVT TDLNNALVVSFGPSDNGPVLFTISPGTRTAAYPYFGLGFNGGATTANMGGTGSSASRS YASFVGAASEVRGKAQNTPTTFQPRGNQGVETDVFFYNSATNQITAQWTNTDGSVRDT IFYVGQGGYGLGMISPVNFAAFRSSFSSANPQIVTYYLGRSPGQWIR I203_03722 MFRSIYVLYLLIGLLGLSSAALTRSVNERPFWNDLNRTSASPQI KRCSTNAECLRTGQSLIRPHRRSAIRALAPRQSAGFPRTFTAIQVTSDSGQEYGWVAN FAGPALTFSNNTNAADPFTFTISSAERPSGFPYIAALMSVPADGTEYDLESGSVSYAT LTAGSIDHPSGPAYTGPSDTYYDSENEEPGNSAGTETTLWVVDPDTLEITAQWVNSDG TAVPVAIVSYLRNNLLVLSGDGPELKSSFGQYGEIMTLKFATLPSPP I203_03723 MATVHPSRMGLVPAGSSRASAPTNGEGSNSREEELRRKLMDRKR GGDDKRDRDGDRDERRDRDRSRDRDERRERDGDGGRKDRDRDRARDRDGEGFRSYESR RDERDRRDRDRDRDGGRRRSVDRERERDDRPRRASPSYNAYDANAPPPPSGNGAGYGY GRRDDLPSGPPGMPPPWVPKMQSSQKPNWQNPPPHQRFGNMDLERRRQERENNPLSIW PESPKRPYQDEDELEAERKKNKSKSKSSKHKSKSKSSKHKSSKHRSSKRYSDESSSET DSEEEERRRRRRKEKERERRKRYDSESVSDSEERRRKRRRSTAGDEEEKEEDLADQWV EKGGEVVLIPSEKDKQKIESHYYKSPEKVVQKKLENESDDEEIGPQLPTEAKEKGMDR SAFAHMRPGEGEAMALYAESGQRIPRRGEIGMEASTIEKFEQSGYVMSGSRHQRMNAV RMRKENQVINEAEKRAILKLQREEKEKKEGMIITQFKEMMEENLRKQGINRP I203_03724 MISSTTTTIYALLLSSISVGAAGAHNRFGVGAHRRHHARAAAAT TTSVERSTSVEQITREKREDECTLGAWKCVGSVLQRCYNDQWNFVTNCTGTDIICSDG LYTTGCVWTWSVEQNDDANESATSSPPFTSSITVTPTSSASDSLSTSADVPSVSITNV NLAVEPTATATPETDEECDEDDEDQDEDDDDEYCDDDDDGAWEEYMSSATSVPSTTAT SATSLSTAAASSPTSAIGGELIAGGDEDDDTEGDEEECDEDDEESVETDSASPATTAS ATATSIIGGQLWAGEGNSAPTSTHDWYSWDSASTTSQDWDTWDQATAAPTSTNQDWNN WDQATSTASSSRGHGKGKGHKSSSADWAASTSSSWDQSADVGGSSDKWSTATTTNSWD DWSGQATASSAAWGQSGKVSATASASASVSSKSGTSSNHTSSSPSGSYSSAPHYVIYA DNWLTEMPSVDVLSNFNRFILAFWMTDSGAVDNAQFWEQLDSDTRQQVLTEYHNAGIA LMVSAFGSTDSPTSNGADPAQTAQKLAKWVKDYGLDGVDIDYEDMSAMNSAKAVAWLV TFQKELRSLLPSPYIITHAPVAPWFTSANDYSDKAYVAIHEQTGDGIDFYNIQFYNQG SGVYEDCDSLLFNSGNDWPSTSVFEINSSAGLPLDKIVIGKPLDEGAAANGFIDASLL SKCVSQAQAKGWNAGVMFWEWTTSAPSIMGTVRGTN I203_03725 MRSSLFPFLLAFLSFLRLSLAFPSLTTSKEEAKKEVWSLPIDPA EYNHQKYSQCSTNAECFRKGLPPLKPATKTKRNELKPRQSTGVNVISGIIQVLQNGSP IGYVSGAVNTTYGGYGFTTSAANAMSVSFTPNGNTPVTFTLAASQRPAGFPYLASVYG GGGSAMNLLATDYSYTFMTAANAVIPVGPAVASASNNRFNRATGTETSLFVYTASTQN IAARWTNTDNTQTNAIIIYDVKFALFYLIGNFAQFQAKFPNEGEYIVTWRLIPQ I203_03726 MRLIKRRSSTSLSQQGSSTSFATSPIDTLTPTPVAVPPLPSSST SDDGPSSSPTKSGSLRGRKKRDSQEMKYPPTSFGQGGTGWSFGRMRSFKGKPRASQDG TVSGSEDEGNVEGKGKGKKPLLDTLNVNSLPIPTPVFSSSPVNYSIPLHAKAPVTPIP PPTPPYLLDNVATPDFTESPSLGSSLSSQYPYPQPNQPLIAFTPSASNPAPVAALATA QTESIFPPSGSRPPLTTSASTNSSAPSFESGESSYPFIPRGDDNQPHKRVTTSPTSPR KLTKRRPVSVVYDQVELMERPSTSPDQGSYDPRPFHNYNSSPNIYTVLSAPLQPSSNL PLPEGAAPPHHLNWPLNRNPSCSTNASSGLTPSTDESGTLETPNNAVLIPGQGEGNLW ERIGEPRPPLSRASTFSSKEGDDGTIHIFKREGYFQQTNRRDISSSSGGTQTENGKFQ STASTDSSPTFHRTASGAKYTPTVSSGSDVTARQPRSIRVSTSNLELKTNKSETLISP TSSLQRRSVAFEVQPIAPEDTNRHNQDGNSRPVRPPLTRLRSSSLGAISIQTNSVYSV GEVTEATIAVVSPARPLEITGITSEPATIRGGIAGMLADFENSSEAVRRAFENERQRG DWPLSFPEEDPVPRIPVRRIHTARPAPPPRLASPLKKNARPPLSPILRSSISSNSPKK LVSFNANTNTTGDEEVAQSSPKRPSMPSRSSSLSRLWRRLSTGGSGVKMKKSKSSMEN LKEGIPPVPQVKKNEWYGSLSDSPTKMASNSLEKVMSTRMRRSKGSLDLTSIEKENPD FLSSLRPLISTEPERPTTPSSTNSKRSKGKKRMSSIPPPRAQSVPLPIRSDTPPVIPP LSPAPPLRNRLPSPGLAPVLSDHLPPVSDNLNAAEAGSSTSTPARSFRKLSAPPSSGS AWKTPLGPYTPPLSAIVNDYFRDLKTSTLLESSESIFNREVTLHRTSLHEDKEYQSYD AKRRYRQSLVEIKDDQAFQATVEELVKLESDGRVRITRAGGAALRNDQITPMYRTPSK DLLEKQARQENIRAWFVTRELVQGERRHGRLLAKGVAAVRLAAQGHKDLPPVPPLPTD EDAVIPRPIQSLGHARSGSGNIKTPSRLRRSRTSNPSSSTNTPAPSRPTSVTSSPTST SVPLPPLPTAYSYTPIEILLIQLPKLYSLSLKLSERFEHDPSPYGVADAFVSMEEYIT KEVSEWAKRIGEVVYSGIGDELNKVLENQKLSLSTSKRGRRRISEGGTGNDGLNTEGE EEEEDRFKFADIIIVPIQRASRYKLLFQELSTKLTPTSHTSLKIQRALEASIRLASEC DRCQSFDLNALRRQGKKGKRVRPVSVGPGVGVW I203_03727 MPHSTTRWHTTTLSTTQENNLVTSSRRPNGYEGILNGDSSSRLE PTRITHTLTTQCTTKTTHRRKSHSHRDRNRDRDRIHSHHHHHRENTPRPYHPSSENEG NIPNRGGRIITPVPRGQGRSVEETDQQLAQDSLMLESANWSLEEIQAYIDRMAIDETE TITRACPSPIPDQALLLSPSSNSSSSSTLVPQPAVEEADGNDRRVRFNLPESINPMRL LQPHFKDHLIDNKVTIFGHVAIHPGPDELFQRPQESGAITPAPFASKEAEIKVKNRDR GKTYFPKLNGLRPLTKIINNQIINNHDSSKRRMDRVTHPEDLTNSEERLRTEIKRLND HSTQEEGRKFILDIIENRLSEIDYRIEHELNQDKKRRYRELKWDLTKLFMEVRDAQQS I203_03728 MAAQISIAAGKSHRREGSKFVDALPEKGVLELRSEDGEFTHFSW KNRVTGQVEDELLIFPGEATFTQVEQDPSGRTHILKFSSSDQKYFFWIQKSERQVISR AQVDIDHLIQDPSYRIGSAPLPIPSTPQRTQERSWPPTPGAPRLSHPEPAPRSAASAS TPAVAAGSSSAAGGMEVEDTPAQGGGADNSQDQMARMLAEWARGGGLAPAEDDARLTD VLSPIQMSTLLTTHPTLIPTIIPLLPEGLDLPPNPSAQDLLPILSAPQFTDAIASLDN ALRSGGLPGGMMRELGLPENAGQSVKAFLEGLIALKRDESASTGVVEDERMDED I203_03729 MLSKRASKRLEGFKSSPFPAPKGAYYDPKLHPDGTINLSTAENS LLSEDVIPVLQNGKINVQHVKYRATLIRSSVPQLEDLLPDYINLRNPLVKVTRQNSVT GPGVGALLASLIWNLCDPGQGVLLSTPFYHDYTRDIEYPALARMVLSHIPSEVDSISP DVIPYMEETIRSSSKEGTEVKCVILCNPHNPIPKCYSVEAIKGYISLVKKRNIHLIVD EIFAYTVFPSTDTPSPQDFVSILSLPEYRSPDVIDKIHVLGGPTKDLGCSGAKAALLI SENTQLREQVRRSMMASPISGLTDAALSELLSDKRRLLGLLERNRSEIGKNMDLCAKW CKFHNLPYIGANAGVYFILDLSPIALKFKYDPKEIQAITILMNKMIDNGVHINPLALD ADPLPCRFRFIFTQKREILQTLRPLYFPYRTFATSRSVRMPPLTKAQASEIEEYDYFV IGGGSGGLASARRAASYGAKVGLAEATPKLGGTCVNVGCVPKKVMWYTADIADNLRKA AAYGFGKDGEGNRIAGDFNWTELKHKRDAYIKRLNGIYETNLVKDKVDYHSGFASFID ANTLQIDGLDGETYQVKAKNITVAVGGRPTIPSEETIEGAQYGINSDGFFDIEEQPKR VAVVGAGYIAVELAGVFNTLGTETHLIIRHDQVLRTFDPMLSEVLVPYMEKTGLNIHK SSNVKKVEKTSSGSLLVHVDSLDKPLEVDVLLWAIGRHANTEKLGLEKIGIKTDDKGD IIADDYQNTNVSNIYAVGDVAGKVLLTPVAIAAGRRLSNRLFGPEKYKNDKLSYENIP SVVFSHPTIGSVGLSEPEAEEKFGKDNLKVYKTSFKAMSFAMLDENHKQPTSYKLICT GPEEKVVGLHIIGEGSDEMLQGFGVAVKMGATKDDFDSCVAIHPTSAEELVTLR I203_03730 MEDASEDDHDHDPRMNGYDDDEDDDESIEGDINMMDPAHAHFYH QQQQQALYYQQHQQQHGSQMDLNNPAGPSSKQNMNNQNQGGLEGEEEMYSDEESDTDS MPDENIDFSLTYALHTFLATVEGQASVVKGDSLVLLDDANSYWWLVRVLKTEDVGYIP AENIETPYERLARLNKHRNVDLAAATSLEKQAGTVQGREKLKDVIASKAKGLRRDLSG EVSSESGSGGGRRVVFAPPTYVDHPGVTWSSDEEDEDEEGHEDDEGDIEVDQVENEST QHHSQHVQSGDVRHEAEVHNIEQTLEPDSEMEPDDGVEWADNAAIEDQRRVMEQKQRQ SQPSSTVQPKSNNPFAPRESTSNTIGPSASNTSLASSSGGSATMDPAQAGNETRRLTV TPAVASGPLLPSAMQAQQNSNARSVSGQSAQSVQSVSSVVSTGSSQRSTTPTSPEEMN KKGKKMKKGSKEELDNGEKKKRGMLGGLFHRKGKDKGGKGVSGHDARSSEESMVSGAI EGGPNGQQRWSEERTAPPSSLSQQQQQQQQQPSQQSQPSQQVQQQNGGISSHGLRLQQ QDQARMQSYTSKYLNKSPSSDLHSPTAEEAAAAVAQSAAAMRLAASMGNSMANINGSN NGGSANGGRPSSIILSPNPAGPPLLNVIRIFAGDHIKSESSFKTALINETTSSSDLIK QAMQRFHLTISSSSSNTMDNGYFITIRDVNGEEYELTSDEKPLIAFQEAVQRWASESD EDLTSRIGAITPTVKRSSVSSLSSVMSLTNHPAIAKLGMNDFSDDSTVKIYLNRRRPG SVQLNNSNHASSGMPEPASEFSSYSTQLSTVQESEGGNESSPENRSGEWTNDGQHVDG DVTPPARAQPKFNNSLSIQINNNNNHELYERHSSPSARFTIQLMIHQNDLPDNLVFDP SSDSIVPKSLLKERQVQPASNGDDSRKRLFLVPRNVNVIEAIEQGLERFGIQEGVVDG GDDVEDKIGKRRSVTRVRYNLAVVANGEERSLSTSSRILEAYDHPPNLRPMEKTTPAE RRHSRDLQHTIGSPHDILPTDPVFVLRRVQNHQQQQQRGSNGLANAKIDSATSTPRQS TSSSNGTDTRSPAEIIAAQRAASRANQKALISAHTNQTQGVDIILPDQKGTFRSSRLI ENDGEEVVRYSYIDGDGETYDISELLEEEWGGDSQQQPQQQKSKPALNRLGTDQSAYI TAPSTPEEGLEKDKVLQELPGTRQRTNSQSQDILKGVVQSTVEQGQEENLQEKLIRVI DKVKSGSVKGSTSSEEVVASIQQQQQGNRTERERESPSPGRQTPNGRSTPQHHPTLSS LPEGKMSDLNSTPRASSNNTFNFGDNSNATPRSNSRTGQYNSTAQSINKIIYSRHRQQ PSIASIMSDLEGPNSSSRRQSTNQHDDSYDEDEHDDGTEGDRSSTPVTATSSTHPTPP FNGAVFTRAVSGSISPTPRGGPVRYKDDFGIKEMMAIIQIRAREYLPSGTTNGVKRRE SDKSTSSTSGSSSSLNDEKRKREEEVEELDRLLSGEKVNLDREEGIHPEIKNCFESQI KRLEKFENDLDDLLAQVAGL I203_03731 MLGPPANVPLPPSPPYSGDDSPPPTISHLVPPNGLTTPDRTPSI SSSFGESSSPTPHQHAQADVSKMLRSDDDERIVGGVSKRQNSPSDASGSGSGDGSLKG HQKRYIPKLEDFQLIRVLGKGCADRVLLVKHTPSNSVRAMKAISKRSVLTHDELNHTL TEQSILKRFAIDEPNNRFVSRLHSSFTDRENFYFVMEFYPGGDLATQMELHGILGDHR TRFYAADITQGLEDLHRHGIIVRDLKPENILLNAKGHAVLADFGLSKEFSYRGEPKPI HVVTYPGQPALPPWAGQGAGSLRTLASGQKKLMVDKAYSFVGTSEYLSPEVVKRGEYS YAVDWWALGCIVLEGLVGRVPFRKADDEPPMVLWNRILFDPWDELFHDPKMARFMPDP VTYNFIDALLQKDPMWRLTEPCVKQHDYFAWLDWDTVQKGEYQDPHGLKLHPIAEYNT HYFPKLCLEEDPSVDMSTHEFRGEDNFKKTPLNDNALYALEQAKYRYELESFAWTRDE DGYETVEESEMEYSIAEEEGQKQDEYQIADETEERVAIEVTEGDISPKVVETEGFGSS SVLEMGVDSKEERYKDEEDVTIQPTSDLWDASVPAPSVAAIVGIPKEASLLGSPTSAY SSHLPGVASPASPSLATSITSPEDIAVEMLKTVESVEPQAGTSSTEDSHVSAPSRPSL DKSLPAKSGRNTSPPPQSSIDRIAPPQSPVSPQLSTHWTKSHPVPIPLRPKPVRQLSE EINHNFNLPQGLPASGLSVSDIVTVPSPHPGSPTRIVRRHPQLPSVDTIPIARLSVEL QGVRTYIEDEEWEELSIPEGPNASAPNGNGNNIHHSFLGLGRVLKRRPSTLLGNSTSS HGGGGSGLKRQIKNSDTSSSHQGSTSPTKSHQLRPNLFSTKSIENTKKAFKFGTKLKT FPKLKSLTSPDTITTRSVSATPSPSGHSPFVDPPTTSSSDTNMRNSENTEGKDKERPK MGYRRHTESGLGWLGRKKKISSIIPSASASSSTSSNVSVRKQSTTTSATANTKRGPSS PIKKNLRVGDKDRTVSNSSSIVSVSKDDKGLPKLELDDIQLSGLDWEPFNGKEWGVK I203_03732 MSDTLDKEETQVHPDSGVSTNEGQQTSITSTPVPTTTDGVAAQS QQTRESGDAGPVDQEVEKDAGQEDQNNAVAATSEEEEVNASTARQNQVEHQDTANTAD DNEVEQPANQNIINLEEHGLNLDDEEGFDPATLANLAALSRIANEEGDEDGEGEDNNQ DQNRDQDQDQQDNDALEVHSPRHDGPLTREQVQEFVNNLSHQKSQDKENEDENDKNKD RDEEERDQREDEDPEIEEKQDDKLKEIDQNDESKNKNKNKDRKQADEEYEDENEKNDI GSGAKQKRKRNRTVLSCTETYVSFPIVQHTIKLTDISSPILSHIEHCDRNIPCSRCIK RGVPGMCRMEHPVLPQRKKRKVQDDEDINYELGLRVQALESLLRSGSLMDADQASAAA KETIMHATRAANAGSQDANNALAQLTQSVAVSGGIGGLSQDAQSSLLLDVLQQLTAAS MGRPLDQNGAAEGSNRRGLEVWSAIPTASQETSVAIASAYENDEVGVKINLSLPGFRE DNGKIFIPPTVRYVEKNLRNENILAREALPIEGYSPFLDAGTKFAYGSESQAYRHRRI ASIQAFSLTGALRLAATFLSRFPPVPGTKSVFVPSPTADEDTTALQDSGLEIRSFRFL DYKTGGVDWESLREDLQDAPPKSVVLIQVSGSMPSGAELTTNQWRLLAALLQERELIP LVMMAYQGLSTGDTNRDAQALRFMVHEGLPVVLVQSFDAMMGLYGDSPSIVSIVTQNA EDRDRVDSQLRSVARGMWFHPSPWGAQVAHQILSDAKLYPAWLAEIKAMSDRLRSVRE KLYDLLANKLKTPGSWIHLKRASGMYCTALLPPSQVDALTSKRHVHLLPDGCFNLGCL NATKIDILARAIDNVVREGIKEMEEQQAQRLAMELALAAAKEQQAREEAEALELAAQA LREEDTLLMERSIANAIERQRIAEMEEERDRQTDEKQREIDRRAAERAEIAKQAEAIL ASLQPPNHNGGGVGH I203_03734 MRILEYFVFFPPEQHCHRIIPKTQLHPPVGSLEPHGHCPSEDQT EVLIPEPVPRPFTLAKFYWAGSLMWSYRGIGWAHQCPLPRSSTQHPYTRKSSFRSWLK MQLPYYIATYLIEDFCRAIRNIVGKDFFSGPNQIPYDSLSLSQRAVYSIAVVSRVHSG LIIAWFHTAVCMIVLGKILGWKGELWEPWGWPPMFGSLENLWVHPGLSTMWSKTWHGY NRRWLSVLGWIGIGENILGLTHTGISSHPSIPPQLGHQRNNDKSSGNSSPPESGRVSP FHPITSSGSPGGPNRAISTKLVISNLIKSFITFLLSGLNHDAGSLALLIKNHPRTEPV YLSNLFRLTPFFILQPVGLAFEALIKSIYRTNKKRFVGRGEEPSWLVFTERLVGFVWT WTWLGWTARYFVEGMAHLGAYRRDGDRDMYFSLLGGLVWGKWMI I203_03735 MLAEVLLLLSGHQSSLFVPHPSSSSSSSPASTTLIVSPHLTEYL HPGEITSLNSLADLSCKYRKIKSWADDTQRRGREAILSESVRSTSSRKGKQRQDTTTG SEDDLPNQYLTTLATSILSILRDYEVSIVDIESKILSLDPTLVQDGDNKGYVPLSSLV ANFDKWKIPLSSLSTLVDELSPSTHTPGKLIDLVESKGKTGNPRLSKIYSDISSALHN LFLVHLISFLLYGITTSSSSKISTSMINSIGIDAGSDPSSPKYRIYVLDDHIIPSSTD RKTKESILYIGRVSATLKRENRSLPKSIVDGIKDEIMGVKGLDKLSGFDEAIQRARAD IGEWLWKNILTGPQIVESIECLSNYFLTRKSDYTLSLMTEIDKLRLTKLVLSNPHSSS SVIREQDLDLALLRSSLGTTAENDKFLDKLRWKVDNGPLRAIPSKTPPITHVTQTEEK EKSHISRLFSSTLLGTPLTLTTSISWPLDLFLSPKSMMIYSDIHSYLLSFRYTLMKLS NTWLNLSNHQRQNHKDLDQKSQVEKRLDKICWGTIRQMNWFISELLTHFMDIIEVQHR HLLQRLDIQDEKRSLNRSSSKGSLRGSTIGRSSIGPLKGKDLVPKSPLSESHTTNWED RTNTNTYKSNKAPPTPNKGEKNYLDFLTLRSIHSQHLAFLLEALLLSDPSVSPLIRDI LDTCRRFTSLADRWYNESPDSEQNTTSMDQLIQQRIENVKEIDETLHDHILDFFSILL DSQNPSTSSEGDKDKSSLEGGGGKSFSRTSKMNQISKIMISRQTSFVGSRSTQASKSK MENGNTFGSNTGMVGMGLERHVEHLLLRLDFNGILTKWKEDEMNGIRGDNETDYKSVL AQGGL I203_03736 MSTSASPHVGPSRLHQPPPAPSTSGDSESTVPQPPTEKELDIGI LKELAKSALVESLNDIQGAKTLILEPALGGPLGLVTEVALLKHQAVDKMFWLEPGPLN VNTRNVVWLCRPKIEYMRTIADQIKAQQSNPSPAGPLMYTILLVPRATELCRRVLEDQ GVAGDVTISEFKLELIPVEDDLLSLEMDDVARDIYLNGDDTPIYYSSLALMTFQRAFG LFPRILGKGEGAKKLADLLQRHHHTDPAQYGDIESSTQVDGLIILDRSIDWITPMLTQ LTYEGMLDEFVGIKNAHIEIDSTLIDPNTAPTPSPSTSALPSTPITKKRKHHLSSQKD KLYSELRDQNFAVVGSKLSKLARRLEGDYGGVKNLKSVSQMKEFVGKLGGLQSEQQSL RIHTGLTELLMPITKLETFNRTLEAQQNLVAGYDPNSQLNTIEDLMNQELDWQTILRS IVLMSLTNGGIKPKLLESFKRDFLQVYGYEHLPLLISLENLGLLVKSGSSVSTIQNQS VNFLRKNLRLIVDDINDLNPNDISYVYSGYGPISLRLVQCLTMKNQILSSSTSTTNGE DANGLGAGKEKPKAHPLNGWKGFEDVLSVLPGDNVDVRQHGEKRDNLLGKYIRMIPDD NKITTTVVFFLGGCTYTEISALRWMSKQYKGRRFLIATTGIINGNNLIESLGDNPPVQ LKPST I203_03737 MEDLSTGSESDYSNSWISWFLSTKGNEYFAEVDEDYILDRFNLT GLNGEVVQEYSRALNLITDNLDEESLDDDVREAVETSARFLYGLIHARYIVTSRGLSK MLEKYRKADFGRCPRVYCYQQPLLPVGLSDIPYQKAVKLYCPRCEDIYSPKSNRHGSI DGAYFGTTFPHMLFMVYPQMIPGKGQPVGSSSVADVNRSLANQQKDNTAGPSSLGSGA ISTSGVAIKAERYEPRIFGFKVNEDAKLYRWRTAKRDA I203_03738 MESTSLPRLNTQPVPPTPMTGDQQITSAPANQTIFDELPNVTSP IPTPEHTSDSSAFQYDDGGRHSPFLSGSPSPSPRSVLLSPSKTSPTSRPLSPDLPTRD ALYLFSNSSSYMRSPNEGSEGLRADDFKDTIRLLEHARWLTSQPHSNVHILHLKYRFQ GQSTPFRSPYSTGDYQLPLNYKDSTGRVRNPVPQPFSVLHDPVVKIDYMENGVFDPLP AQAVFSHIAKMCQPPPRVIVISYVYSKVIENHLSSLSAWALTSSPPAYIFSPVETRLR ESQPLHDALGHSIPMSMYAWPNEVYQTPSSSLSPVHTPLYSNSASTSSHRSVRVPSFG VNPFSPISPNGQHKVALPPISLPGKGRKKAQRRRKIPLQDNNNTIEGKKEGQGEDVNS PILITRRISGTESPNDIVDRYYAGRTSQVAAAASTNGGPTGVGGRKYKDDPIASLTVI SSSPPTADETSTTLERKKSNESSNSHISPTSGHGLSPGTVPPPIDRIPLWHLAPGVGI LHGSTSSSIGIKLSDFSAINLVGDSGNAGMNGHGISNGDILKKEVRKSEVEELGIKTE QISNLNYHDLLKDNHSHTSLHISHTHDTLSTGTGTDAGGITISRPSSTTPLPQPIGIP KPPFDQVSTRQKTHDHAHLTPPNHHPRPVLPNRDVSMGQRINSNSNPSWPAPVSRVPD WRKGRKELMEDTLEEMGLGEEYDMDQGRDREIRMEDVVENLEGLVSNQNSEHDQNQVL DMDMDATELGVRKEAGEYIELIDLLGS I203_03739 MVDINIQTTISPYTQEPVCTRPLLSESELDNVIAESVKAHKSWK KVPLEERVAIARKWLTEFENISDVACEDLSVQMGRPISQCKGEINGTIWRARHMIEIA TEALAPIPQTQPEVEGVEKWILKQPLGVVAVLSPWNYPHLCLVNAVIAALLSGNAVII KPAPQTPSPAERWVSTWQSAGLHNNLLQVVHLTQERTLSQLCQDQRIDFISFTGSVPG GRAVQQAASQGKGFKGICLELGGNDPAYVREDADIKWTAEQVVDGVMFNSGQSCCAIE RVYVNSAIYDEFVKAFVEAAKTYKLGDPSDTKTNIGPVVSVASAARIRKQVKDAVEAG AQIVLDESHFPEAKEGTSLVGPTVLINVNHSMELMNEETFGPVVGIMKVESDDEALQL MNDSIYGLTASIWTNPTDPQSLAAAHHLSTELECGTVYLNKCDALDPSLPWHGWKNSG QGVSLSHLVYDAVTHKKSIMKRVEVKGVYEKK I203_03740 MAPESTPKKEKKDKKRKSDAADLAASIKPQAVPPAEGTASAEAV AMEVDGEKALKKQKKEKKEKRKSLAAGEGEGEEEEKKAEFSVPLDAISPIASPLAPKK LSKKLFKVTKKASKARQLKRGVKEVVKAIRKGEKGLLLLASNITPVDVISHLPLLAEE AQGVEYVWVLSKEELGQAAGTKRATSCVLISSTPAKKTTPKDGSAPKAGPSAEDLAEL KSSLEEVTEEVKKLESSAGIKY I203_03741 MFAALNRLTSSPSACDNKSAETKAPFSHLPTKIDLSSIVDIPLR SANTKGSISCSHATKPGSCAIDAVAVAVSDIDEFKCIATKLDGDTQVEQSQTSLPVYI RTSRWTETPLQLAGEPKTRTDEDPNSSVTYNCSYNWGEKEDPKGLSQTQRKSLDELAK SQDELERIVAERIKGRLEAGSIPDTHSAALNSILSGVNMEA I203_03742 MWGKSIDKFSCKARTPYNDASSTYPVEVEITEWTKYSNYLSHLF DRRNTSQAEGDKTYSYLYSWKDEVGSGEDGKMECNGFGQMRHKPLSKFRNIIEGDTQG VTASGRTREMDEIVYQRIQDRKNGNLTVSDAESVRLGAEGQGSSILGSVKGILAYPFA K I203_03743 MPKRPRSKSPTPPADMIAGSSRSRRYHGPPLSQTSHHVPNTSGG ESSTKRSVADLFPFQEIFLRILSFLPPNDLAMVQRVNRYWGKMSVDPQLWKRLYLARY PHPHHSRLIYNNPASSPASEIEREVNVSSPRTPRSLRPIARLPSRAFPPPSPKRSPSF SHGQLTSSSSATPARTESATPARTEGIGHATPFSSLADKGKGRQTVDDDEVGHGIRND GVDWKLMLRLGANWSNGNALSHSTIPLPPSPSPSVQSDSAVPPLTLSPTDISTSRNSS ISEQYVALSPSYIFISSPLSPLVQVHSPTSQGQPLGIIPPPPGWSNPKRPDNVTCIVS DQSVIPIDDGHRDTLPARMTVFYQSGGFVVLTISPSIVGKAISWKREFVNPPNNRPRS IKRRATTYEAVEGDTVVLATLHWPILISCTRDFTLSVYSLTPSTTLGDSNQIPRPRHL QTLKSEISYHPANLTLFPTPPSSTDYNHLDKQSIQEEKNEHDHFKASLTYCTPLYPSS WTIAVQEFSIDNQHETVERGDSFHVGRNDDEDQDQDEIIWPKRIKPLIGVRGKAVGIG SDGRWCILVGERENKIQVFSLPHHHEFEHNLESSSSMLENKRKLKRDIYHPITHSQTL ISSNSSDITSLAINSGRCVSSNRDGKLLVWELDEHHDHEENVGKTVGYVEVKQGGRRQ SVWKGPTGPRFSHHLDSDEEGEEEMREWPHPQSISSAARALFLPRPPIGMDLKHAEEV VSQPPIRYLTFDEEKIVGVVKGSHVGGGAGSGAEEEVMKVWSFS I203_03744 MIIHTHSKKKKWSKGKVKDKANNAVVLDKAVYDRILKEVPTYKL ISQSVLIDRMKINGSLARRAIAFLEKEGHIKRVVHHHAQLIYTRATAAKE I203_03745 MPRRGAPPQKSRIKGVKQIVVVASGKGGVGKSTVAANLALSLLQ NSPLSNPPKIGLLDLDIFGPSVPKLMGLENAGDPNLSDENKLIPLQNHGIKTMSIGYL LPPNPANDSPVVWRGMMVMKAVQQLLFDVDWTTNKGELDVLVIDMPPGTGDVQLSLGQ LVDVDGAVIVSTPQDVALIDARKGVGMFNKVNIPIIGSLLNMSHFTAPDTLNRYELFG SSKNFEKAAGELNLEVLGKLPLVPSVSDGGDAGRPIMVQSNEEGKEVQETMSKVSEKV WSWLKGREKSNLGTRG I203_03746 MDNDISARGPSGQVNPPIPPRRPGGARPNPTRISSGVPPPPKLN IPPGNVPGINVDQAGENGWQIPTTLPALALKPMRASPSPSSRPKLSLSGVSTPQSSSP LSQPSRPPLLNAPSQQYLSKGPGPNSRTATPILKLSIPGSGSSLGSTSGGGPGFSSGH EYPCEASNDNDLLNSALKTPTPFLQGEDQNPTLQARGNNYEDDESSYGFGRFNTLEDQ DGRSKRLSEMTKDIKYALTNSRFDPTASSSSSSRSRASSNASKSRSRANSSSTTHTTR RDSANLQMDNELLSIRNLDISGSNTSASVSVSASEDNSRRSSLDRESSDNGHGQGYGY ENVIVSSDDGHEQKGSPVFDPEDLISIKRLGEGTGGAVELVQDRKSGKIMAKKVIART TNPSMHKQLLRELEILNVCSSPYIVKHYGSFLTERDSVIGILMEYCEAGSLDNLVGKM KKGGMMCSEHVLGRIASSVLKGLDYLHEHRIIHRDIKPSNIVLTRQGVVKLCDFGVSG ELVDSIAGTFTGTSFYMAPERIQNQPYSIKADVWSLGMTLHEVAHLRFPFPPEGENQS VAPIELLSYIVTAPTPVMIDDPSVGRVWSDGFKSFMAACLIRSGTDRPYPWQLLQHPF IIANEAKKVNMAKWVAALCQWPYP I203_03747 MNKLQIKVETFLWGKPPSDRKERKLLLKLDLVILSYVCLSYFSN YLDRANLANAYTTGMKEDLNFKGNDYTYAGSMFTAGYVIGQWPSALILSSGRISPRFW FPFCMVAWGLCTLGTAWAKTPHQVWGIRFTQALFEASTFSGTHYILGSWYKDHELGKR SAVFATAAQMGTLFSGIMQGGIISNLDGKNGLEGWQFLFIIDFAITIPIAIYGFLMFP GTPHTTKAFWLTEEEREMCLRRLPHTDHVKMTPKTLGKSIKKMLSDWRWYLFTALFTV SATSFEKVGVYTEFNLWLKSAGYSKQQISYYPSIFTAMAILSTYILTLISDQTRNRFI INPIMYLAVFISSVMLLNWNHLNKGAHFFAYIIGGLGYAGQASNFAWANEMCRDDDVL RSITLFSMNLFSNIWNLWYQIVAWPVVEAPRFRNGQIATLVTGAASVAIAVAIVYCSR KYPPALPSAEIVEIDGKLTDVTHNTHTTSNVSNHGEGEGDIESKDVENLHGAISAVKH V I203_03748 MTLIPTPSAPWQEVAQAKRQARDALIPEEWRIQPTEADNVIDVP KTCGVLSPKEIEITELDAPTLVGKMVAGELKSYEVTLAFCKRAAVAQQLTNCLTEIFF TAALDTAKTIDEEYARTGTPLGPLHGLPVSLKDNFYVQGVDTTVGFVAWANDPAPKEK ESEMTKIMRECGAVLFCKTNVPTAMMIAETYNNVWGYTTNPYNRKCSSGGSSGGESAL LALKGSPLGVGTDIGGSIRIPASFCGLYSLKPSFGRFATYGARSGLPGQEAIRSINGP MSTSLDAVELWAKSVVGKEPWYRDPNMLPIPWREVEVPEKLCFGIILDNGMVKPTPPV TRALLKTKKALEAAGHNVVEWSPYKSDEANTIVNRFFQGDGGVKIAQYISLSGETYVE GLSAYKARHEALKSTPPLVGDLWDIQSDRTAYCKKVLDHWLSSKEITGTGRPFDAIIS PVTPHSACPKMAFNDHVAYTSMWNITDYSATTFPVGSVDPSVDGRTAFEARNEVEKKI WDRYDAEGVAGAPVSLQLICGRLEEEKALKLTHVVADALKTA I203_03749 MFTRAIRATSKSTRSLRTRSLGARAFSSLDLIPEEPSGPSVKTD KVPGPKGIAASKEIDTFQDPRTHVIVPNYEESSGNYLVDADGNVLLDVFAQISSIALG YNVPALLELAKTDEFAKAAMNRPALGSFPPVQWAEWLKTGLLTVQPKGLDQLITTLCG SSANETAFKCSFMAYRQRERGGPDVPFTKEEMDSCMLNHTPGAPQLSVLSFKSGFHGR LFGSLSATRSKAIHKVDIPAFDWPAAPFPELKYPLSEHVKENEAEEKRCLEEYEKILI ESKSTRPVAAVIIEPILSEGGDRHASNNYFRQLRLIAKKHGAFFIVDEVQTGVGATGT FWAHEKWGLKEGEEPDFVTFSKKMQAAGVFHKKETRPNAPYRNYNTWMGDPVRALQAR EMIRLIKSHDLVSHTASTGLNLASSFKSLFGSSVAQGKIMNFRGEGEGTYLAWDMASP AQRDTFLGKMRNNGVQIGGCGDQTVRLRPTLTFGERHAEVLVGTVEKVLKEL I203_03750 MPSITSDSDLEKHYRSYIDAINTITSLPSSVLNPYLGENNINHN DRGLSSEQYHQLIIPKSVFKIEDVVASVEDKRVASRLEIVLGDGTGRVVKEHVFYLYD EDWRIVRVWSMVEGL I203_03751 MSTPLVQQFPSLAQYPPSLLKDLLSSPELTEAFLFSLPEVKELA AEVEKLGRENDEIASMDELIALRDATAQSYAYAEGLKRKWTDIEKAQANLYQRNRPSF LHLRLRHSLTAQDELSEKIASAFIEGRSAGASMPGSRVDSPWPGAEGTSTPVSGGDRN QSKAIEDFINEFKAARKTYHKRAIWAERWSRGEVAWRDD I203_03752 MVTSTSGSSRKDELLNENFVNEEHLRAFASALSINDSFTNEGEA SPLSPRSPPVPLDSPGIGTSSSSRLQPTTWKYGPDNGSLQSGGGGERVEKLTATSDFA PIHQRVSKRRARTSNQGLTYHLIRWPLLGFFFTIIYFEFLTYVITRQVVNVFEWLVAW RGPKVKLRRDLRNAKTYEEWIEAAEKLDSNLGFDEWKETEEDSYFDYTLVKRVKRTLT KLRASKDARGLMDALAVCVRSNFAGTESVKMYSETFFGTKHLIEEHVNEVAACLDFVR TATDVSLDEKRAFFRAINKHYGASALCLSGGASFGYYHFGVVKAFLDADLLPRVITGT SAGGLIASLLCTRTDDELKELLIPELADKITACEDPFRVWFKRLRQTGARFDTVTWAR KSMWFTRGSMTFKEAYERTGRALNISVVPSDRHSPTILLNHLTAPNCLIWSANLASAA VPGILNPVVLMAKDRNGNVKPHNLGGSRFKDGSLRSDIPLGSLHAQFNCNFSIVSQTN PHIHLFFFAPRGSVGRPVAHRKGKGWRGGFILSALESYIKLDLSKHFKVIRDLDLMPQ ILQSDWSGVFLQRFSGDLTLTPRTTIRDWFRILSDPDQNEMDHMLKVGQRVTWPALRM VKNRMTIERAILRGRTEVRSAIHRDRTSNDITSPPKDSGGLRIPDIIPLESDVDAGFA SRTRRARSTKGIGGLGITTTTLEAQPVSGSGNGGLGLSQTTRRRKNTPRRRRASEVFA IDSDGVEDQLVSPSANRSRGITPEISTNTAKRGYSINLGDTLRHVRAPSLSALSSPFR SIRQSSISPSSEDPPFVRRSSGDPPKVKSQLSITRWFGGASDSSSENDEDDDDGYNWR NDQQARSASDDDEEEEEIGGPDGERGVEPIPGGKRVTQEQIDSSAADGASPSENRNEY GDGRGSSSGESAFGLGLGNTPPQQDAISH I203_03753 MSTDVPPLPPHDSIQADLDENDDPHALNTLSKFTLFETRSRFYI TASTADTHRVLKIDRTDPAVLNVVEDATVYDSAELDLLLRMVQDGNKSQGGLEKVLEF HGIVGFVKFTAGWYLILISKRSVVGLLGGHYIYHCDETTLITIASKSERTAQETKMLH TFQHVDLTKNFYFSYSYDITNSLQTNLTVSPSNRRWNTRFMWNHYLLSPAFDLEDPKG RSKWVLPLIYGFLDQAKINVFTRTVYLTLIARRSRHYAGARFLTRGANEHGHVANEVE TEQIVSEPLATPFGTRNKSDDARPLSDHGAGYGAYTSFLQYRGSIPVMWHQESNQMTP RPPIEITIKDPFYTPAAKHFDDLLGRYGAPIFILNLIKSRETVPRESKLLAEYGQCVE YLNQFLPEGKKMTYIAWDMAQAAKSGHQDVMGVLEDICEEALQATNFFHGGPARNEVG TGPYRDKPLLQHGILRVNCVDCLDRTNAAQFAIAKRAFGHQLYALGLISTPHLPFSCD AVDVLTEMYHDHGDTLAWQYTGSALVNRVDTYRRTKAAQWSSHSRDLLENIRRFYNNS MLDADKQSAINLFLGVQPSPPTYDLARPNYKQWFTPSHLEEPKSDELAPINQVYKEYY KPHILSQFGRLYAFTMNSTTRFHAKPKNEMLASPFESRVPTELGSPTTHHSSTQRVAR RWAIPVPAPGSTSLSIQQSSNMPQTDDQPKHDHHTHTHNHPPHPLETMVASLLNPPDL DKRIKDYEWYTQYHSSEELESNTIGEEKDLQLYIKVSKLFQGEFDHQHDNESSNMNIF NPHTTNTNTNTNLNSLRLGLNSSNWLSTTNTNDNLLINRDESAMTSVDITKLDELGKV NLGYYENWLKSNVTQ I203_03754 MTQTIPFSLPFLRSHSIFIPPSSSSTSTSQDGDWELVDKPTDDL VGQKYGRMVMRDKDLLVAMGKEIRMMSLAAGGEGGWEVKEGKVGHYKTLNSQNLNFTI HHLIPNPNGRLLAVVGHHQVVVLVLPKSSYSSSSSAEVDCRTITVDEFQFSPSSNDAI TKVAWHPWGEGGNSLWVLTADGKLREYDLNQPHDAVQTFNFLPSTSASSSSKFTAIDP SSRYATSFAFSIGSIDFSPLMIYVLLANGDIYSMGPILPLRTEMPIRYLQGLKAYSET KLAKIQDEARDVFGAGQAGLGRAIFQAQWVESLVKQVKIAEQQKKDESSYESPTTRRT TLLRQGPLVYSPAPQDIGNGDEDDEQAATDLYISQIQSSGEEIEEGEEVDTIIAIAWS GGRVDIGLEVERPEPRWLSSRDPSTSTPVLPIIESILLPFPQSDIDNLDSNAPTFLPD SLYRDVLYVQHSFGVDSININPWLEALRKDDASVGELPGSKVTRHVDSAGSPVKRIIG MINFCNITLGYGLLALAPSGQAAFVELDLRVTDSSSIIPPPTNHSENQKQSDEPDSQS LLLVKPLDFDKLINSIRTPNTPYNPSQLLRQRISGSSKPTTNITPDHLRALGEISSQV RQRTQAIRSGSQSIENRLDLQVKELQRQIKLLKDSQAKISNLKKNTSISRAEGLLAKQ EQLGNKLDGLVGRLTEEFKPELGEQEKRWFEELDRLKVRVRGGSGVVSKGKSLNTKSQ ILKEQLSAIKPLLAELQLHQKEDESTQQLPNQNYGSKQLKPLEAALSARSEELRRLIR RMEMLDTRVESYNGAEDD I203_03755 MALHLPRIPLSPVLAKLPPLHLKNPTDYNLAFGTLGLIILVLTA PHTGGWRLLRLGVIAPLCIGVFGYLILCTEDEHDFNQWGVATLMGSFIMRILEFFIFF PPEENCHRLLPRSQVHPTPSSNGHLDAKKSDSSTHQPKKTDDEVLIPEPIPPPFTLAK FYWSFSLWFSYRGIGWNTTCPLSPSSRQHPYIRQSSRKHFAFVQMRKWIISYLVDDFF RSIRNIYSAQFFSGLPGAIPYTHLSQFERGLNSTAVVVRIHFSLVNSHIAMSIICVII GGILGWETEMFAPWGWPPLFGDLGELWKYPGLSTLWSRTWQGYNRRWLYVLGWIGIGE NILGLTHTGISSHPTIPPVPKSQTLSNGNGASSPSNPSGQITPSHPLPTSPLTPIDPH PNSPRSIRRKMSTRLMVQNLIKSFITFLLSGLSHDIGSLALILKNHRHQEIYLSDVLR LTPFFIVQPFALAFEALIKTHWRTWKANHHPTWSKHREGRGGERGNEPGWLVLTERLV GFIWTWTWLGYTARFFVEGTAQLGAFRRDGGRELFWTFWGGVIWGKWYI I203_03756 MYDRLESVSKSPSTWHIDIEPANTPPSPGTRSPSPTLTDQRKPI HRRFLSHLQEDISNGSVTYPSIYMCFLTGLTAAPSFAACFVWCGFQTGNAAQLGLALA RTFTPDHQRTFGFQKMDQQALVSLLTFFMGSSLGQIGNKVGGKKRYWLILATFVQMLL MMSAALVGHFSGESGLADGRGDPSWVTPMGMTTLAFLSATMGLQGAVGLRLGSPVATT VPLTSTWIDIFNDPFLFALRAVRTRDIRSAGALSLILGAFVSRAILGVIGSAGTIGVV IGFRAVLLGWWFFLPGDESTVEQVQQEPKPQIPK I203_03758 MSSSPSTPTASTSISELRSRPHRPPPLDHVESNEGHPPPAYPPT SDPRSTVSAGSSTNVQFPGGLYLPAVKGTIRGIESPRRHMRNSPSISTFRDDSSSNPP NSASTIQPSRSSQQDQQERRDASPSPPPPSSRAIFRSDPTIKSCLTALKMDKADEIAK LFGVA I203_03759 MPKVYSRAVTSSSEQAGQTQSSRAVLRSYYCLCGDFVLVLQGKL DRLPRRKTDGAYIIRSKDGKDPIKQPARKFKLNATPGQRCLIKKKGSQELEIRQPFAC SRCNTTIAYQTSPPPAGDGPFLYILKGSMTELQGRIPPDAFEGEEAIPPSDDLSAEVT TTA I203_03760 MPKNNGPLQIRLTEPVIFLKGPSTGLDFRGRPQAVRQDGQPAMV RGLLTLRLSKPTRIRSITIKLEGKARTEWPEGIGSKRMDTYEEHMILSDQTTFFNAVH NDSSRSRSARRALSVGPGVRLGGHEDEEIDDDNDLNDVPRDGDDLDDWLEIGRPRARV PRSSSAMPGTHDNHSWHRDGFSRRPSFDTTPQSTRSSFLDIRNLSIQERGPSPAYTPH ASPPRRSSTLPGETRHSSRPSSLRQSNNASIPTTTSREPALSPIASAAPSQNTSERGD SAEAEHRRPAHQLRSNISSDAVQEGTVWSPHPPQDESALDEEEDDSAAPSPALRPILN QRTCHMSAGEVRFQDPVHDIATQNGNTEEHSEATISGSTPTPDHTTAPASADEASVPP PSAGPSVTAGNRAASVRTFNSQFSTSSASLALSSHDNTPEGSHNTTPGENHPTGVQAV SVVNTAHNTPVNSAPPSIHQRSSTETLGNIAHGSGNESSSPNASRRTSTVSNSNRQTS ETSIHNLPHQEPLPTVTRQGRSSRTGSSSTIVETAGAVSSSSHVNVPSSLRNASRPQR SASGTPSLSSAPSLQHLRASSSTHREPSEDGRGRKSSKFSLAATLRGISRDVKETFHH HGRGSSKSRSRMSSPVRSGAGAGDSGFSGFSDRPSLQNGSSSSQNISMSMTGGGSGYG PGETIRQRAGSRNPSYRQDDFQPSYGRGGAGSISTSRRSRSRDRDPSVARVRDGESRE RSRSRARGRHMGMKVLTDKLGLGEHEDHHGDDVHNWKEFRKGTYNYPISFPIPVNAPP TIHAEFGSVTYRLKASVVRVGALTPNLTEDMEITMIAQPQEDDMEESENVIVERQWEE QMRYQITLGGKAFPIAGTIPISLRLMPLLKCKIHRLTVALEEKTDYFAQERKVARHET PKRFVLLFIKQPDYKERIEPLLPIISDDPNAAEQSPIAEMARQAVLNNPPMDVFDLER DPNDTMYAQLMEPTGPWHMEKELHVPDCASKIKFTTKHEQTNITVGHWLKVTIRVERG DDVALDSKGRRKQFDIIIETPIKILDCRVNPQWNSLPTYSVLNRGVMSTPGVCSIHGT KSTNVPTTSTNTSNNASLRNDNTISTSSTIVQSDPHAHAAHRRGTVDQVGAPSGENGE DTLLERNIVYDRLMSGQQTETGEVPPSYGEATAGESSTRGRGRQAISRTVEQEDHDHG QIVFNTRGSNSRSRSRLGLRD I203_03761 MTSKISQVLPQLSPLPDEVYPIEYEKNKPDQGYYVAFGLVLSVW MVTPLCCGYLLWYTLFSPSLSGASGLTATIFAAYSLTEIVFSIYMSYLINYVQKPSPA STLPISERTILFLKVLHSNLTYSVPSRGILKHPNEDDDNGVVRDYEVEQAEKNFQLGL ISVAELHHIRNREYEESVGIRSRKRVGKMSEDEKNVIDSFVEDLPGDREKKLKEQVEN DVLPNEHGEEWGYEGIINEKTNEVVKLHYWDKRAIEFRERLRTWFDHAPWKSIKRRNV EIWLAWSCFATSLEDVLADEENKKFLGYTMELLEARTGTEFEDGYDESVQIIRLTLDK VNAKGRPLILYAATNVINLFLQEVVYPYQGMGPYREGDIEYLIRIPKDWTPEKGKTVP NAMPVVFLHGLGFGLLQSHLLIKHLITSLPTHPILLPLSPHTSQSLFHHRHLRPWGRK EFVGAMKRICTLWGFWDEHSRSSSGRGGVSLLSHSNGSVSHGWLLKDCPTLIKRNTFV DPVVFCLWEGDVCYNFCYRKPTNALELLLYYFIASEVGIANYIQRHFDWADNTLFFED IPNATDPSRTAFFLGGQDLIVDAARVRRYLERHGVTNGLHWDPLGGHGDGLNGEARDR VIMFAGTGSTSGWQNWLRSGRRRHSKGNDDLMQYINGKKQ I203_03762 MSSSIPTYTTHTHLSSSHLPTISLPPSTKSFLLPISILIAIVIA SAIQTEFAHTLSSKLGYDQPYFTFYLTHSTFALLFPIHLSILSLTTKIPIGAYIREIR FVIIDQLELPSSSSWKDIIPIWSRKITYLTMILSIPALSWYVAMGLSPPVDITAIYST SAFATYGFSMLLLRQPLSKITIGSIVLAFTGVVVISVDGMKSGEEGLVGRVVGDAVML FGAIILGLYEVIYKLALPEGHGGITSSSPNGYTPLPTHHQSSTLTEDDEELSSTSGIT IYHRPIFSQETTDTTPTPIELTPPLSRTTSNAVLLHPQTHSSSNHHVQHQVKLPPALH ANLITSCIGIATLLLLWPPILILDWTGYEKFSWPSGENVWRIWECLGLVFAGGTIYNA GLMVLIGLWGPTTSSVANLLTIGLVALIDSIWLGQIPDSQTLLGVGMICIGFGVLLWE GEG I203_03763 MSSPAASPSQQSASTKKLLPTDPSTVANPLGEGKYIQTAGCIII GDEVLNGKTKDTNSNFFAQFCFDLGIELKRIEVIADDEDEIVEAARRMTEKYDFVVTS GGIGPTHDDITYQSLGKAFNLPLQHDPETIKRMWELSTPQRKEELSKATPAQKEARDR MALFPTNVDKDENKSKSEVIFVEGDKWVPVVRLGGKLCVFPGIPSLFQQLLLALTPYL PLPPASSKPFRHLIYTSKPESVIAPYLTELQARVKKEGIRIGSYPYLYSGVHVSLIGH DIVRVRELGQEVVREVDGKVVSEGKLGNESEKAKV I203_03764 MTANPDSRPLPDGWITQFNEEYKTWFYVNKNAPGGAASQWTHPA DDKPQNQSYAPPPGAPPSQNTNSYEEKRDISSPYGGQQSSTPQPYQQHQSYAQSPAQG YTSPPPQEKKKGLGGFLSKFGGGNNRPQHQQYGGYPQQQQYGGYPQQGYGGYPQQGYG GYPQQGGYMQQPVYQGRPQRQGMGAGGAAALGVGGGLLGGMMLGNMISDSQNDAYMDG YEDGGGGDMGDMGDMGDMGGDF I203_03765 MASVTRLNLLPTSRTLASGIPLAPKINLAVPVGISGAHGHGHGA AGARSDVPQAWAFKSGFRGHVGKTNALPTSSSFQQRHFSSTPTPSAAHPMAGATGIPR ASATGVPDFSPYKAKNASLNRTFSYFMVGGLGVLGASGIKSTVSDILSNMAASADVLA LAKIEVEMGAIPEGKNLIVKWRGKPVFIRHRTPDEIDEANQVDVKSLRDPEKDDDRVQ RPEWLVMLGVCTHLGCVPIGEAGDYGGWFCPCHGSHYDISGRIRRGPAPLNLEVPEYS FNDDEEKIVIG I203_03766 MAFASSSTSTVMRSTRQLVTPVVSGRSISRGGRRTILQVTRPYS AAAALAESPSAYPQPLSSSSSSSSSQSLPPRSNYIRPSTTKFERKPHRSLTPIEAQSI LTNLLSLPSDRQFSPELSLQILTHKSYRYSHAIRHTDSVSSSIGNEPHNSRLSFIGRR AFQTYLSMFIHDSFFASSQQTQFKLDASDFLKGKSLEDRLDGLRDTRNLGRLVAPSWG IGEVVRWDRNETSRESGDSKILGMTVESILGAIFNEYGSPAAQRAFHLHILPYFIPQL RDPRLIERVLDVKEQIEKVGKGILRV I203_03767 MPPPHPLRRVSTGSLSSLARSTDRNTSSPSGLDHLTGAMVDLSD EVATLSSNIQQMTALHDALGTFNEAFAGYLYALKMNAFCVEWPQAPNEQSFARMESLQ EPEHIPQATTSAQPPTPISAPSRTSSSTNPADMTYATAYSYSEDEPVPPPRAKATGLR KPSGGVAAKKTAGAAAAARKKREVSDLSE I203_03768 MRLALSTTLLIFSLLLITTTAHPSGHPRRRHANRQRRSRVQLST STINTPTTAITTPQNGITNDNTTEPTALGLWTPSSASVAGTSNANAAAAAAPQASASA SALGLFTPPSEDEGTPDSEAGSEGYGGWGGGGGGGGGKKGGWGGGSWRGGGWGGVQSQ QQSQPSSAPVASDMGVDISAGVDVSILKAGGGAGYGGYDAQSTAPASQPYAYQPPESQ PYSEAPVPSISSPSYVAPPATTSYERPPIYSSNYIEVTEWYTPSTPGTSSYQAPALSG QTDEGAIPTSQMYSPPPVAGTPTPTLPNSPSAANTGYSSSTAGGYSSNAVVTSGGRVV HTSSWKSSWTNSWSNAPSTTSQAPVATSAPQDDDQRKFVDCHNQWRNQYGAGNVSWGD ELASYANTHASVCASMTHTNGPYGENLAAGTDGFMDIISSIGMWMDEASDYDASNPTY SHFTQVVWKETTTIGCAAINCGANTGMAGQIYIMCEYHPRGNVIGAFAQNVGKK I203_03769 MLRLTLLPLLTSLLAIPHGVTAAPHCRPHHNHSVSTVTQWYTRH HPQSTTVLSSTIPTTSAQASVNTSLVIEDPTSSNSAEPTFVLVSSTTATAEQVVSTST LASVEQSSVPESSTSTAATEVAASVTNLAAQPTTSSVSNTTSSGNGSGTSDPDADLLV ELHNDFRAQYGAGTVTWSTTLADYAKSHATACNMEHTNGPYGENLAAGAGGGYTITDG FNAWADEASEYDPSNPQYSHFTQVVWKATTEIGCAAVTCSDGTIFSGVGSDSLYIMCE YNPPGNVIGQFAENVDSKTS I203_03770 MRFLAELCKQPRTCNVYPNGDYKIPLSAKAFELAPLRIGHGGGH PVDYPDRYHKTRHRRRGEQQRPFRSGSSDIGRNDLYTNLSPCCLTGGRLTGTPRGTWE PAIQGERKVARYHTTPSKSGGDENGTARVVDGKLALVLLTDAFGLSLPNAKIMADAFA EQLKDQLDPPPVEVFDSVAPLYPDQYASRSWFTTIYQIVEVLWKTWRWLPMLLFPKKQ VPLAQSAINDLTSEGYTSIAVVGSSRGGAMVQHLLSNPVNTTLVGGVICHPSPEKETW TKIIKPTYWHLADHDQMFGQKDINKLRETFEKKKDEQGVDFQCKVHKDTVHGFAARPT LDHENTKFAFEESNSSAVEFCRKYLLGKD I203_03771 MRSSTVRPIITSLSHIRPNAQPRCITPLSRRSNVPSIQSYIHRR SFQNILSPSPDSDDKPNLAINKLTPRGFILSDNLVIPGGCIFHSGRAVLWDVDPPLTH EATLDSMWKGWEKERFRVFELVVPRPEILLFGTGKTAIPAPKPIRDFISSLGIQLDVM DTRNAASTYNLLAEEGRTVAAALCPLEPIDPRTGGPR I203_03772 MNPAAPPPTGAHLAPDASPLHPPSLMHNTRILSSLGTLSACFSG LISGILGFTNLNGFLLYLFSSIFTSFILLVLKCNFDVRRYIPQAHSNTSSADSQSSGS GGGKSIEWKGYWALTGVNQENLLGFLLFWIGSFALIHGELPFYRVNIPPVERAP I203_03773 MSPTSYTLTPGESSNSASTSSPYSPNPNPQAHDHSQPILHLHQA QSQSRINDKSQRSRDGCLTCRSRKVKCNEERPICDKCRIKSRECLWPSGDESERRRNK KRRSTNTPDDTSMSTPNKKPSQPSKLSSIELKPILPSSTPSHPGIVHIPPNFLPPDAL KNKTGTGNADGTLNGDLVDWLVPDKARAGLMMDPSFLEPYFPTVDERLVIRHYLSKTV HIIIAFESNHRPWNPWITVHAPLAFRHLPGTNPAADALRSAILAVGGVHLTYSTNPND QAAAWRITKSAKVKVLNLIRQTLEDKDGKPKVLEKENIELVLAALLSCTIASSLAADD SWHHLLSSVLSLIDQLGGAQNILQDAPRDRLSPYRFFMEQLAIRDVFGCMTTELAPSI LQDAFTPWFFEAESWSRSDFEWESVERMFGISRGMVDMISRACNLIASVRALNRHLPE LALPTLDPSLVALHKASSELMSELKIWDEAENFTPLHPRTQYGNHSYKHAIRIRMLRK VYNIPSDDQRVVSSSQAIIELAGEMLALYGKITWLTWPILIAGFEIPPSHPSRRTALD MLGAFGPHACFDNRAAARMLSDYWMWHDMDGDHATSWEVARTLNQRPFLD I203_03774 MPTGVPRRPDPSPRRQTTGQPQFLGSGQSSMFLSPVQEARIDSW RKGASTATPPGPKSTKVKSAPASITSKGSICTCTCTCTQCGGGTVIECPTCGGSMISG SCSCSVHSPRSKSSHKSRRSTGKSGDRGNGPNAHVHGYYSSSSGKRQTSEKHNTRPEP PLPVSPKSPINFAVLKATDKLDLTETEKVRPHQVGVLPPVQWPPAPGQEIPMREMAKP AMEPPLPRIAGVRDPVSQNYYRNMFPPYAHGPTPMIPGIHPIGMVPARPWNT I203_03775 MSNETKTHPSAKAPQKTVLNLGNLPPKLKPSDMKQSASQYPRTP SRVNPNQPPWPAYRGYHEYSFAHATMGVRLPTILGKAIDDVWKTLNQEYDEERIVDLV NCIHRLEDLMGDLQGNSKLRPIIDDGAGDVALWNKEIAKFFRGKDFMNAPWLFAEAYK YRRLRECFSLSKYWVDYDVFFRQKCDTFSRSNQAVFELSQRFAEPHKHEGNISAEAQL EKNKLLFLELTQVCLWGNSTDLSLLIDMTEEDIKKLQSTGGDHLAATEKNILGNDLHK LADYVMTIKNGRIDFVLDNAGFELYCDLVYADWLIQSGTCSQVIFHGKKIPWFVSDVT KKDWDWILNSCVYGQLFQDASDAEVESLRTLGHRWKQYEKEGKWKYEAHPFWCTGYTF WDLHSEAPDLFQHLSESDLVIFKGDLNHRKLTYDCHAPLDTPFNQAIGPLASEAGAPP VASLRTIKSDVVVGIPSGVGEKLDDEEPGWKISGKYAVVLLSQGRKGETPVYNN I203_03776 MATSIDPLDLLRQSIISSLPPTLLTSSSSGASNLAEASFLSFPP VPSLTDSPTNIAKDISTRYTSKAGSKDEFYNIGQLWLAWNERESGVRDYLMKGQAGGV GYVTITDRRGVVEYLAGESEGVGRVLGKEEKVEAGQAPSAASATAEALPSSLQSSEAG PSKPAAPVKRKYEVDVADREFCKKLRAEEIELRDRNTVLRSSGGGKVNNFESFLKTVM VEKIRALRQSFDKGASKAAAPAQSQTVPSGPKKARSTHPIIIISSSPTSLLTMWNVKK FLEQGIFEPSEIARQNEVAQGNYKAEDVVPILRKRSGPHGDVTSKYFVVDGVEALQKF GQDAWDRVICVVTTGQAWQFKPYKWQDPRILFRNVKGIYFQWHNEPVNPTVKDWNVTE MRIDRNKRHTDRQVVADLWRILDGARRK I203_03777 MDLLSSSVDIAFLLLALLVVYRQPLTVPHPTAPEDVTVPPPHSP RSPHPSPGYLAVPSITVSHPSNRLNGKLPLPSAGGAGAKGGSRHKKKTVSFSLSSMDD LKASYNESDNTSSSSSSKKFRPPTPFFKLPKSPNLQMSPISPGGRPTTPQSPSIKIED TDAQQETEKEKERERERELGLDRELEGVKLEHGILREDTMGIKKKWLVA I203_03778 MNAVMDLTRGYPTPSSEVTAPQSPTARSESETPFHHPSGPPPRW YKRRPDKSRGKSGHPYVCEAMVMQTPSETPFRYEDSKFWPFVGPLNPWKLPKSVGWES WDDFDGTIALCAKGKVILARCKDDNPWEVVWELEIDDYPYAVAWTYHPFTCYPLIATA GEKGLIYIINAISRRCVRILRGHGGDITSLTFHPLHPHILASTSYDKTTRIWNILGSD LTDFPEGDSPSMNFPMGDADEGNCLFAILAGDFKGGHRGYVAAANFHPTRNAIATVGL DRQVKIWPLPKFPKPTLSPLPTPKGYRAKIIYQPIFSTTRLHKDFLTWLERLNEYILI TRSRKEFKIWQWLGFSRYFTENDPLPRSLDPISQDYMDSGSFMLISKYDIGSDCWNMK MSFHRPFVFQPQDEQEEEDNLVYGDPLVGLVTHSSKIPEIAVLNPLLAPSEDVPAPIN ELRPKSRREREDSTASGSDMDDDEEVDSIVDSYSDSSMNHQDDDINLTVDEDQDAVTD GRVDGDSTPAEPWRLIPTEFQPIRQKHAKKGYRIYNSSTNVCNVAISPRGAKWIVGVG EPGTVFVWKIDQGWKME I203_03779 MSFPISPKVQISSHPLVLSKLTQLRLHDLPAKDFREGIRAIGSM LIYEASRHLPLADVPNLQSPVAPFTGQTIPLRVGLSPILRAGLGLEDAALEMFPEASV LHLGLFRDKVSLQAIEYYSKLPSQVTADIVFLLDPLIATGGTALAAIHMLVEWGLTPS QIKIISVLGSKQGVQHVAEEFPDVEIYIGAVDDILTEKGYISPGLGDAGDRLFSTLQ I203_03780 MNAITSGSNKPPLPIDEISNIPIQDELAVASTSKRLESPRPPFA EEDRRRKGSDVTIGSGLGGSTREKKKGKGLTEGDEDVPDIPKNNLKLVMPAIGLVLFL SALDQTIVATALPTIAEDLHASPSEYSWVGTSYLLASTLQTPINGRVSDIIGRKPMLY AAIIVFTIFSALCGAAKSMSWLIIARAFQGLGGGSIIGLTSIVVSDIVPLHQRGTYQG FLGSAWGIAAVLGPILGGLLTEKASWRWCFYINLPTCGIAFALLVFTLKLNPSRKLTF KELSQTFDFIGLALLMVASGLLIVGFSRAADFGFGQPASYGVIIAGAVVFVAAVVNFL TTKRNAIIPARMFKNRTTAFFLLASTLHAAAFLAFNYLLPELLQGLRGDSPIQSGVHL LPFACCVAWMTVVAGQVNSRLRIIRPVAWVGYALAALAWFLYYGLFTSTVKLATLEGI LVIGGIGVGLCLQVPMLIIQAAMPLKEMAASTASWSLTRNAGGSIGLAVFTAILNTNL RSKFAVIPGYGTTFTVPESASGYKSLHELPEGETKDLVLKAFADSFRICWVVGAAFFL AALVITIPTRSYSLNRVRGQAAARRETDIEAQPEAPIETFDEKAQMEMRDIDEEALDP INGPSSASSDRTVASDSGNGRKKMEELV I203_03781 MSSPNPTTTCLPASGSNVHKVELPENALVLAPTRQLQSLLTIIR DEKTQRGDFVFTSDRIIRLLVEEGLNHLPVISKKVTTPTGKVYDGVAFQGRICGVSIM RAGEAMEAGLRDCCRSVRIGKILIQRDEETYQPKLFYAKLPDDIANRYVLLLDPMLAT GGSCIKAIEVLLEHGVEEEKILFLNLIASPEGIQKVCTRFPKLRIITAWVDEGLDSNS YIVPGLGDFGDRYFL I203_03782 MSNQELSLITITLNKYTEGHVAAACPAQDPTCYNCGQAGHLSRD CPQPKNKACYTCGQEGHVSSACPSGPAAGGFGGNAGGAGGECYRCGKPGHIARMCPES GAGGYQQGGYGGYGGGYNTKSCYTCGGVGHISRECPSSGNRGFGGGFQQRGPQKCYNC GQEGHISRECPQEQGKTCYSCGQTGHIASACPNGAAGEPSA I203_03783 MEDLSSLSRSLHEILKAPQGGESLASTVEGYLTTAETSTKTAVC DILLELLAEGDEPSQTDKKQVILSDTSLTYLPLLLPLSTSIISSKELVCLIAEYSKAR EVVLGLTEGIQSIVDRAEGYQVSDDEGDFEDEEIAVDWPGLIEEYELIIRCLNVAIPR LPNSRSTPTLLSLSESVSISLPILSHQATTISARILLKELCGLVNTIWVWVQNTSDKG GEQRAILFTMLFESITLLGYKVDAKLTERWFLNTFPKFKNMPTSQESLEGWEAGQEVL DLAWSTGQTLDFTPSDIVHKIIDPSHITVHSSLASLNLISSQLATQDIMTLIPSLVSP MLLDDSMPILCAALSGSSVDAGVAYTWAIVNYYSDSKEGTVEYDNASMLLELLVPLTA QHPSSLMRLALFKLIGGIISLQTSAVNKIELFKQLLEPANPFDNIRIQSLSLLRETIS SKEVLSSVLVEILFPILFVSPSQQDLEDNPFTYSPSDLLNSPYIPWWTECLTLLWFIL DFDKEDVTGARKRSEGDDGMRAWIGGIEKKLKELQVFLRDGNSEDNNEFGGADFMVMR FEDALNRVRRVTFTNA I203_03784 MTLTSSFVKLVYHLGNPPKPALVPTKETKTSSLAPSKIFQSWLN HLPKPLPRESGKHVFRLLFPHEGSRRRYGLKESKLALELERILGLRGLSKWDRVCWDN GESGTGCLGKEIELLMRDRSMTERKSTVSIRDIDALLDELASSSTFSQLSQDQDQIHS RPARRRSPQEILTFLYRDSNLTPYALSVLTQIILRDLRPLLNPLPKLSVRNPTTMLRM KSNTGPEQLNLKDALCAWDKRLWGFYNGGMGNLDRCFDKFDNLENEHDKIVMTGPVLG INIKIPKCRKGRSIPDALSEFTGTKYSPPVDEVWAETKYDGYRMQIHVDCSGDQPTIK VFSKSTRDSTDDRLNTHPIILASLDLPIPSHLPIHPSLLKRLSILPETGPQTGRGITS VILEAEVVPFNEASREGGRGPGIEEFCWLGNAGVTASAMPLVNTQQNRHLCLVFFDIL YLDGQSLLHKRYEDRRALLETVIRPIQGFSQLAERTRIPLGVNRQSAPQALEEAFQRS TERREEGLVLKASNSTYANMKWQWVKLKKDYIPNLGDCIDLVLLGAGWDIDRARELRV DTSVFTTFYLGVLTNPTRITSHREVPHFEILFRVSYGPDRTKLEYYNSCLRHGRWGSK PFDKDDLFKRVSLSWTYTLQKGMIPPSVMFEKPLCAEVMGAGFQKLPGSNYYELRWPR LQKIYEPSERQWIDALSAQDLIRSAHQSLGYHIPSTNSPYSPPSAEDSIRALWRSHST MNLIDVPLLVSPKSPKSPKRVKSEPNLNLLLSNATPSPISKESGRKLMAVMSGQEDFL EGDEPLIPSYNLRPPTLNPQRNAISPPNEMPVNIVRPFTPPPKSSISFGPPLLISTPR KSPQRKAIPPISPIKRLISSIDWSSIDIHHQSTPKKAKTLVLEKEKEGKKRDKKVGVR PLSLRSRLKLASRRIGVKS I203_03785 MSALPAQTTSNLPTEARAKRTHARRSCDVCKVRKTRCELPDLDV PSGPNPLPTDKSCHRCRVLALPCIVDDSGKKQRKRGRDETTNTDVKPTDGQQLKEGIT PPKRRGTKGANKRAGTSSTPLSRRQSIVNHALDVLTGISPLAIHQQPASLDEASRIQP DLPTYDHGDCIDQSKSMKLHGRPAELACAMLKVAYGKIGVKRRPKVDEDEVNLNELLD EQTRARLQPGFTQLKTFHPHLKSFEDVYKDHNQTTDTSSSLLLATVIYLASLTLPPDA SIQHVRNTLTPFIAKLRDRVILQLPKSFLALHALELLAVHAPLGVLPLELTSLKELGV ARGLIGAAKNLMVTLEFDDLVENAIGPDPMFAFDCSDLWLWASLIADQATISFEDLNP VKSTNLSHARRIAENLTDHNEKLGLWQDGIAKGDLAILIGRLSVSDRLARLEEVLDTI ANIKRALEISAGNQSYDPVGSILNEFQNYERKMEDIDRRHDALMRLLAEQSRGVESGW LAYRSIRRRYETNKVHVTGLRMLIATHYLSGSPHAYPEMPPIMNIASSVNYAIQRAFT PADIVRFITDTAGSKPAVEAVWDWGRRRGVNTEACLVACAELGQNLVNDLHNGVYSSI FPLHDVTLIANEAAKVLIEMEAGTIQILRSNNQIHKAFRARSWLIVMNQVSQTLRSIG LLASSDEYGGDSVANGCSNLIGSMVRSAEDWTKSLEKEIPHELLGNGNGSDQQHSENG ANLPPYMLDSNGNGTQPSTIHESMRQPPPGLSTTTSHQQYMNSSDRWMASSEPPQPPS SSSSAGHLHHQLSATEERHHQLPQANYPPQPYPSNTNANANANPAQPPQSGPYPNTAL DQLLSEMFCYNLPASQQGQNRVLQPVQDHHQNSWPAGSR I203_03786 MFRGSVSAAEFGVVLNYIMLTVSIERVQYYTELPPEASPTTPSD PGPLQIWPDKGAISFSQVKLRYRPELPLVLNDITFSVQPGEKVGVIGRTGAGKSSIAQ ALFRTVEICGGTIHIDGVDLKTLGLDTLRQRLSIIPQDAFLFGGTVRDNIDPTSSFPD DRLNDALNLIHRDCHCSPTLRDKLRLDTIVANEGSNFSAGEKQLLALLRALVKGSKVL LLDEATSSVDPETDALIQRIIQTEFMDVTLISIAHRLQTVAYYDRILVMEEGRVAEYD EPLILFDNPNSVFRSLCDKKNVTREELLRIRANAAK I203_03787 MSIPFWKPSPAPPAIFKNQPLPWSNAGLLSKLLIHWVAPSVKVA WSRDVTADDLYDLTPDLQTKLVSLPEAVHVKVI I203_03788 MPRPRSRSRDRDRERDRKYRDRSRSRERDRERRHKDRSASPYDR DRDHRRSKRKDNVRDPSVSDEEEGVDLMDMGVKEIGEEDYLQVQASLLKSSEFKAWLK EDRGKYLDEMSSESAHKYFRKFTRRWNDGVLKPHQYHPPATASASENTGYKWSFASRG DTTSSLKSVREDVARSTHSSVRKYTETQSINSYSHGPPTIGPSPSASSSSRPLGPSMP TSSDRQYALEVAQDTRKAEKKSHLRDMYNKADELVPKSGGREGKLEEKRATNAENKRY RDKDTAAGLEMDEGTLMGDEGSFAAAVRAREQAESRRRDRKDSAVQDRRAADSERLME RKAKESATMDMFKALAKERFG I203_03789 MVSAPRKQSKTYKVPKRPYEAARLDAELKLAGEYGLRNKREIWR IQLTLSKIRRAARELLKLDDKDPKRLFEGNALIRRLVRIGVLDDTRMRLDYVLALKTE DFLERRLQTQVFKLGLAKSVHHARVLIRQRHIRVGKQIVNVPSFVVRLDSQKHIDFAL NSPYGGGRAGRVKRKRAKAAAGGDGEAEEEDDE I203_03790 MPHSFGSRARTRHMFSRNFKEHGSPNLTTFLKTYRVGDIVDIKA NASQQKGMPHKFYHGKTGIVYNVTPRAVGVICYKVVNGRYLEKRVNIRIEHIKHSKCR QEFLDRVKSNAAKKREAKEKGEHVVLKRQPAQPREARHVSIKNNLPQTLTARPCKSTY FPNQTYIPSCTGANTLCDTIDETTI I203_03791 MSEHADEDTRKEGKDRQLRHKTLSAYYPVLYTLSALLTTTITYA DTDRPISKPNDPIPYKNLLDSSICAYRSKEQKGQLEEKLKGCKRSSGLSQQESINCVL RDLSRNGEKNVLISGNRFPSVELPINISRPHVENRHVNCPSSVLRGMEWKLLRSRIGD EAFRLILIHASLFLPVGNNCFIQLSGIPIYDLYDHSKIAQHHPHPHPQTENDGSDTNP NLGEPCGHNKRKRKRKSSKDDVEKAGKCPKKARSALAEIQIPRQRMYYGHISRTSNGR MVHGLPRSLAVDTLHKLSQTVGEPSKEYLEFAQQVLPSLFRPRSSGDDELPKVRGNVK RLEGVLGMMRELVVRYRKIDFRDSLRRCKDTESVGQTSYTKPHELRLSDTLNVLQSHR DKRLPITQVIPSTPLTLVKTDNIKNANGNDPLPPILAAVCRYVTMVFRSLFSIDIMGS QHNLDTILSHARRIVKAKQYEPVSLHTVFQGIQMNDVQWVINDPKNTQRVNVTEAEKR RNLVVDFVHWIFSEFLIPLLRNTFYITETSTTRYQTVYYTHEDWLRATKPHLEVLKDD LLVELNKTESYFAQQGPLGVSAVRLIPKPKGFRPIVNLGKKIKSQSPLGIPIPGFGKK ELTANQILKGVHQVLTFEKDRHRASLGASLFGTNEIFSPLQTLKSELITKHGKIPKLY FVKMDIKAAFDTIKQDKMIQVVSDLLDQNHDYCLMLYCLLLPPASKASQGASRRLFKS RAVVDAHIASSFGEHAQEIAEPLRNAVIVYLVRRKQITKESCLELLKIHIQNNVWQVG TKLYKQKTGIPQGSKISSLLCSFFYACLENDHLRFTRQEGSRLLRYIDDFLFITDSIS LARKFVDTMSKGFPTYGAEISMTKTLLSFESESNGQMGNVVGVNPDGEILFPYCGFLL NIRTLDVMSDYPRLISGPIKQSFSLRSDRHRGSAFVCWYSRQLENRNHVAYLDTIHNK IDTVHFNIFNNFALTSMKIPFYFRSDDLTGISVRREQMIADALISSIEYTYLAGRARV IHSSRNDEDKNKNHYGVKRLDFFFLAINAIVGVLERKPRLRGIVHLLKSQMEHKKYRR SYKNKNLKEVRDRGWEAVKDAKY I203_03792 MTALSPFRYFAVARYLVHRWVDSMPSIKGKGKAKALQHYRQTQH QHNHLLRSTSLESHPSSASSHSDIPLAGPSRPSHHQNQYHGHGSDPKGNGERPDVKFP KLAPDPKWPPGPKEIFNLMNDERLMVGGRIKPPRETVVLCHGLYGFSTATPIPLFPSL KLHYWANVLDVLRNKIGANVVVVGVKGTGSIQERAEQMHQFLKDTLPKGTGVNFVAHS MGGLDCRYLISTIKPTSYTPLSLTTIGTPHRGSPFMDWCAANIGVGSVAAAATAALAS GKPKALLPYSMKSPLLSRPPTKQETKEAAQSSFGSSFASGLTSYLLSIFDSPAYSNLT TSYLRDHFNPSTPDSSNVKYTSVAGRISKMSVLHPLWFPKLVLDAAAENGYAEDHSNI VHGPNGKRVYEGNDGLVSVSSAKWGEYLGAVDECHHWDLRGEGGLFPTGLGNSDEKSQ KQKKEQEQAEQDALSAELENEWKSSSAENGSKHGVNQHLGLSIGLTTNPLKNPGEAVE EALNKFEMKKSIKDDGKAGSSPAGSSSNSWDIAQVGQIIDWVTDYLPGDGQSKDSEIS KQQLKDATLEKQFENKEKEKEKRKKEKFDLGRFYGGLMIKLREDGF I203_03793 MFPSITLAAAALALLSSTLAADTCNGHAELCSRLYSNVTFIGAH DSYAVGDGLADNQDKNVTAQLNDGIRTLQLQAHNTDDGVHLCHTSCSLQDGGSLEDYF KSVVSWVSSNPNDVVTIVLTNPENLAVSTYSSILTSSGIADYMYQPSSSQIALSDWPT LGELVDQKKTVVAFLDYQADFTSEPRLIDEFSNMWEDAYNVVEASFGCSVNRTSGSPS SQMYLINHYLDTSYSLGGTQFWIPNQDQLNVTNSESGDGSIGFHVGNCVSLWGRNPNH ILLDFYDAASNSPFNVAASLNGVSAPTNTVTAGSGATASVTSGSTVKVSQSSLNSSAG GEIQLMKGLWIGAGVMFAVLGGVGRVLM I203_03794 MRSIFQLRTFQKPFARGLATVAEVVEPIASSSTSPNPVGKWTPH TLRTGLIARKRGMTALWDQDGRRWPVTVLQVDANQVVRHNPPPSTSPFHTLQIGASKR PEKTTPAQQLGHFKKAGVEPKYKLKEYQVSNDGVLAVGTELNAGHFVPGQYVDVQGVS IGKGFQGVMKRYGFRGLKASHGVSVKHRSGGSIGQNQDPGRVIPNKKMPGHMGTSTVT TQNLLVHKIDTVLNLVYVRGAVPGSDDSFISIRDSKKALISKSKLSLKKGNSEDQWLG NNLAGLPTPAGTKDRVQGEGWPEVVEWRGEGWSEK I203_03795 MAAIPINQYASGPGGAGNSPISPHPQSQYGAQMNQYPTSSSMYG DNSPIDGGYPQGMGFPGGGDREFDRQSRIVSQPAALLDQSHLRPGNQAELLSQDRTLQ LYRENAKKTNDPELIFEFSVFMIDAAKSMVPPELEADPSPPPTTTKLIEKREELIKEA TALLKRLADRGYPDAQYFLADCYANGIGTVRGRQDFDRAFPLFILAAKHGHPDACYRA GTCCEHGWGCRRESAKAVQYYKKAAVALHPGAMYRLGTAELNGALGLSRRPKEGVKWL KRSAEHATEEFPHALHELALLHERGIDNVLFVDFEYAAELLAQAAELGYAPSAFKLGE CYEYGKMGCPVDAALSIHYYNIAAQQNHRDACFALTAWYLVGSPGVLPQSDTEAYLWA KKAAEMGLAKAQYAVGYFTETGIGTEANPQGAMKWYKLAADGGDKRATKRLASGSRGA SGGGAALDRRLEMEAMKEELQAKGGKEGCVVM I203_03796 MQNAERLQKHFMRRMPPSRRFEVHVKTISEVPPASRSDSALTRS IGEKVDSHEASQGHPKSPSETDPEKKQIEPGSDQDETPRLRLGEPNPEHIKLYGKKKA KKIAYDQLAIEDDKEYDMSLWRAIYFSLSSQYWTAVVLSIAGRSKQCLSFSIGASETI GIIGRTGAGKSSVAQALFRIVEIDKGEIEVDGVDLRELGLETLRSRLAIIPQDAFLFA GTIRHNLDPTSSRTDAELNDALNLIRNHPRVSITLKDKLKLDSSVAAEGANFSAGEKQ LLSLIRVLSRRCKILLLDEATSSVEPETDALIQGIIQTEFSDITLLSIAHRLQTVAYY DRILVLDKGQVREFDTPLTLFDQKNSIFRDLCDKKRIRREELLEIQEEAKLAKLISE I203_03797 MTEKNENVARRPRSKSPPWGASVSTHYRFEQVAKLAIECFPKWR SSTWYITIVVTYGAMTEALCYMVGVPVIPFRLEDLGYDHISTKASWFLFSYCIAIAVS TLPTAIFLDRKAWRRGPLMAATCAMQVALLLLIFVDKYAVMILARTIQGLSCSIIWSI GFALICENVEKKNIGRQLGFAFSGMSIGNIVGPAAGGGLYTTLGWKAPWIFCMIVCSV ELLGRWLAVEQKDLIKYQHGSPTSSEEATNTIASSSNNRQSLDHTPYSDQAANDQTNR DERKKESALQVVKFLFTLPRSLTGIAVNFRLGLILGAIDPTLTLRLRDQWGKDSHFAG LVYLATAVPCLITSPVEGWLADKIGAEWILSPAAILSAPFPLLMMLSKSLPGFIICFV LFFVLLNSTLAPVGVEVAAVAAQNRGISELHQFAALNLAWSISGAVGTIIGGQLYDHV GWNAICWFSFATSLVLLPVTMVYTGERPLFYRMVYKKSQQSPPSEEQ I203_03798 MSAQSPPRHGANFEQEFIHQIATEMSRLMNSVNVNDLLGRRIVE IAKGNRSGDAFLKAVSTFGKFPEDAMLSLHTRILAQQSIASQSNGSSNARRGSDHSPP RMLGGRPSGEVEGMEHDDSDRLAPEPVRKGGLRRGGGDAVAFRAPPTAKPSLLGLDRL AAEKRAAAAAAEGRSEPPSKRSKRDAEDERDDGSSAGGVFKVPSIPVKREHARVRPDE TPSRGTGLSDEAKKRLEAYRSKKNAPTSSITASSSDKDGRDKQGGIDDFQSRLNRGSY RDERDRDRRAGGRNGHRDRDRDRERRDDRDGDRRDGRSGEKSWGAAPTPRTSRSERDL EGGSSMRVPNRGWDETPRGDRDRGPGGWGKAESSRRPQTWDQTPRSGRKGSPDDEGGI ELDAKEWEEEQVRLDRDWYSYDDEGAVAPDEEHNPFSQWENLEREKEKELEAKAAKRQ TARQAQFNADNDLWETNRMQTSGVMQRGGVDMDFEDDSDSKVHVLVHDLKPPFLDGTV AYTRQLEPINPVKDGTSDMAQFSKKGSALVKERRERQEREKAAAKAASIAGTTLGNIL GVKDEPNLGEEGQKTGDGEGGNYKADSQFSSHLQRSEGVSNFAKTRTLREQREYLPAF AVREELMGMIRDHQVLIVIGETGSGKTTQLGQFLYEDGYCANGMIGCTQPRRVAAMSV AKRVSEEMECNLGETVGYAIRFEDCTSKDTKIKFMTDGVLLRESLNEGDLDRYSVIIL DEAHERSLSTDILMGLLRKILTRRRDLKLIVTSATMNADKFSRFFGNAATYTIPGRTF PVEIFHSKSPCEDYVDSAIKQVLQIHLSSPQGDILVFMTGQEDIECTCQVIEERLEQL DDPPPLAVLPIYSQMPADLQAKIFSPTPDGRRKVVVATNIAETSLTVDGILYVVDAGY SKLKVYNPKVGMDALQITPISQANAGQRTGRAGRTGAGFCYRLFTETAYLNELFPNNI PEIQRTNLANTVLLLKSLGVKNLLEFDFMDPPPQENILNSMYQLWCLGALDNVGDLTA QGRKMSDFPMEPSLAKMLIVATEYQCSSEMLTIVSMLSVPSVFYRPPQRAEESDAARE KFFVPESDHLTLLHVYTQWKSNGYSDAWCMKHFLHPKLMRKAREVRGQLEDIMKQQKM EILSVGTDWDIVRKCITAGYFHQAARVKGIGEYMNVRTGLPCVLHPTSALYGLGYMPD YVVYHELVLTSKQYMMCVTSVDPYWLAELGSVFFSIREKNFDALARARANRDFSKKTE MEAEMAKQREEIERAKAEKIRQEAVSRTPKIGGMGVAYTPRSAGIGAGSRSSATPRRR PGGI I203_03799 MENRVTNDQGMKEIEVCRKALLKAKSDQLEDGTLVNEGIELVLR SYPPAVQLKHLELLLVLLRQLIALSASISPSLFTEVEAKIVPAFLPGGHLSSLVLLNL DHPLKVQQQRSSEVLALAGELSTLLPPPQTSTSESTTFIVPLFKQAISNGIARRSNLI VISTLLDYVPSSTISPNLVNDLLGDLGIVDCANIRSNLIINLLTKFSSSKNDVVQQLV PYFAPGLPNSVMVTMNRYLLPSLFKKDPSYVTTLLGVLSDKSELFGAWITVASIGLTL GIVKVNQLPRQDLKDALAHEDADIRIRAFELVSGSKDQFTEEVFELIKEGFTWNDGLP SAGSRSAFSSSTFAFLVRLHQLETSTRRILRKKPNTDNIKKEQESASSILPLCESFHR WFLDYLDNGLIQARRFPVFKILLSLNLLGRYLDVFGDNDDIQISVYTKERVEMLFCCQ MSEFTEVRNRSRKILESATIDLPGYETLSTPSSQALINSAMNSINLPRKTQAEAGKSA LCILFSKLVRSDQDQSEALQFVGNLIEKLEKGIEVVEKDLVRGIEEYPLHGSLAAIGD LLSCLDLISSTSQKAWKPTFHKMFTLVNRIWNITKTVISLAPSAVEGAVDSSRPNHEI ARAYEVLGNGDDEEEDGEGAEGMDHTGLLSGCWRATRNAGELLATITSLPITQSGVSQ TIWTKEEINIAGECFLTWMHEIKHRGTFSRIAIAFAQLVEAVRPIPELRGLCEEWLQY ELRTIASDQHSTTRRSAALPYSILSLVSFDEELLNTALTALLDLARVDNEDTSNVTKV HAFNVLKIVLLDARQTTWFGQWFERGVMTALGAFESPDWNVRNVGLILFSTLVHRCLS PPRGGQDYYKSRSTLATRQSFSAFHSRYPLVIPFITKYLKSHSIEGFSGNKHSPLFPI LIIVRSLRYDDDSEELVKDLRITVERYLSSKEYQVRQVAAQALSSLIPPSQSLHAALA IMTKSEESDLNAFHGEVLYLKQLIANAIPWTDISSPSKRSFEDDLSTLVRRCVPGTCP PVTEAVLECVQDYNVNAKSTSSDIAKQTYSLVTAHLDNRGDIPFVPGEDARHYSSIKF VLSNQPTQGLVLGLLSKSASEIDQTLVLEKLPSLPDLCTGEIFDAVLENALTSRGGDG VRVLALDALSEIKWSDVVLQGMDGKWKKVVERLRTVVESKCVPVKEAGLVSLGWAIHN SIVNKNITAMEGLRAVSAPILTFSLEDESQPSRVSSLRSLAHLTPHLFTQPNIGLHQA LLRLVQDDDEEIRDGACDIISTGLKLRMGVVLSKSLEIYWTWLEEYLKSQNIDQKQEW LGWLKNLATDQEGYEQDVKVLNRHDNSTELLFEVEPSNIFRDSLVDLYYSNRLLRSLG LGIEVTHADDAHHVEGGVRLSPIDDAWEARRTLERRRKYTHGIE I203_03800 MSTRTPDPSSSISTRNHPQQQTRYHPYSLNSNLLAYRRTGPPSI ASTATTSYAPSIASTSTVVPSLTLQRQDNLRIKVNTSVAPSSHIWDEFYKEHGRPLPS NESDNEEGRLVENEMEEYDGTTNRQPPSSSQPIPPMPPSVEYSFNEDTTISELLSMFE LPSETQSSINGVNSTPSHHLSTTTALGDYPGAEFLNLPDTASTLDAQFPNLDGFDFTQ FNFGNPLIPSTTTAQSGGDGLQNGDLEDVATRPVSTDNEGAQDIHEIGLNSSNSIMEL SPSNEDTSVATNHPSSVQSGDNSGQDISTQPSHFSIKNQATTLSASVPLHTDVLSSIV GQLSPIPNEENNTKKSLLHLSNKCTPFIIDSSCPSSVTPSTTNYPYPHLRRMKMKALS TDKDVIRFQKEVLNVAAKFYTDLADVFENTPSDIIRLGMSRTGVLVEDDTISDVLEDI KSLCGRLTAKASDIPGYPADEEEDISMSGEEIQEEIPPPTVLVPPTAHLSAQRGSISE PIDLTASTPRSEGVTPAALPNIPLPVPPVGTSQHPLSQATRARTDEVSTPRSSPPGPG LGSRHLHDPFTPESLGAGPASVLPTASTSPLCPGSTPSLPSYAYTPAAHSLPAQTPLA RMLLDLPPLPNPTNRVIHGPWKPSEVERLRTLVAFSRDVEDNAPIDHTDWSWVVDNFG GTRNRHQVLIKAVELGLRETSTHHSRRVKQKGYRDAIAAMETELTATTSKPLQSPIPP LLPSAQITPRPPRLGATPRRHSDVVNQTISVDGDAGKLVKTPLMLGESGRRESDTSVF FEPSDTAKTPPRDSSLPRPGTRKPLPRALDLSFSPSKERERESSMSSIRPDTSPIRPG SGTGPGFSPYTPRSRINTMAFKPYSHPSSAPPRPTGHGNGHGHGRTLSGWRLVNMAGS NDGRASVISPTFGGFALTGYQLAPTPSFGNLERRAEADESTGKEK I203_03801 MPLTISGQYPPSDSYLKSKDGKSPIYLIFYSDHCRDVEGIVKSA FTGNSKSNGVITYIGPYSGWKNVPTHPARIKYGVRSVPTIIKLDDNGKELDRVEKPGI LGSTRFDDFLGL I203_03802 MQSPLKGSQIQSGWTDSYKYQNQDGPKSVDRKRRGRGVDIISSS LPTPPSQSSSHLPSPETVRRSARPRKHLPPSTTQLPTPQTQRTKRRLSPSTILEEEEE VIPSPSGHTTFFLSNQTNASSSSSRPEKIRRRPGLTFAQQMGLLNTGKGVGMGGGHKS GVHVYGKVDQIGGVEKEKERGGPVKEENPFLVSGSGLGLGAPIPMRSPGQTTIHQPSG PGGDEEGFNSDTEGQPSLAPRRPPRLASPIACGKTHPTLAQSISPTGLLSPPPTKHAP RIASSSSSKAKGITRQENVRRKKEMEMLDYDSNPFLIKPGEPSTRIPKTKGPIVDEDL PTVTYVFRGSKKVFANPLYPSNTVFPRSELDPEDEEFEPNPLPKPKLLWPTGPSPSSS KKQRERERTPSRSPPSSPVSTPTRSTRFGNSNLSLSVGPKGRAQDEGEGIYSDDEGEL MMRDIRTEEHADTDTHGQADLQDVLPSRRGLLFGGGIGGSTNKGVKRDVDEGDLGGRG KKARGILRL I203_03803 MTQETEDRFGQPPSPSHHQLPPSPSPNGDISLEASLSNVSLGSS SSDAGPSRSPRLSNGRRTPPAPLELSREVDIDLEVEGDIGVSLTSPTRTSSPSNDYFS VSPSARRSSSRLDHVLSRSPSPSPSSTRARQRSLTPNGHLEVSPTKISLPSPTSLSPP LSAPPSRRSFSLRSISSRSPSPPPQPFALKPPLPNVTSVILSSEEQGAGEVDDSAPFE SIPLSSTPPLTPTVPPPISPSYVSPERKGRQSWGGFGMTKEGQRYAIGETPDHIRSQT EQIRRASATSSIHSTHTHTPSINGHGNGSEATSPPQNAESSAPTPRLHAPPAHPFPFP IPSEPYSEDQRRISTSQPHQQTHNSVHPVQGGSSVETHEHGIGVKETISTFEKVRSHT RPAYLPPKDKHEDEVHLHQWEEIMMQSREHEKQVRKLKEQRKLEREKKLTIVIPKWEA LLHDKEFNVQRVQNDPGLRNMWFEGVPTHLRGLAWSKAIGNPLAVSKDAYRTYVTRSE KAQKSGRFPQDVLDQMEKDMDNTLPTLRLYHRGSPLRDDLKEIMCAWVVYRSDDGLGY APYISHLSAMFLLVSPPAEAFISLINLLSRPCLRSFYSQTEDEIDAYYRVLENLQADA YPKIYANLKNLGLRVPEGWFRGMLVEQVDFECACRLWDQIMLDGDGYIFRTALAIFGF LEPRLYYPDHDEILSVLEGHNPATLAIQARERERAKLRGETFDELIDGKLSVFGLNEH TLFEWLGNDGWKEKAFERLVLREMPD I203_03804 MPPPPQTTAGGSTFDKMKMGAFMGSCVGLTIGFIFGTFSVMRAG PGPRGSVATLSQYMLSSAATFGFFMSIGSVIRTESQYAYTLPPNSTNGKISHPLMMAW KKSEERRKMEKL I203_03805 MVLTFLFSKYYNSPSPKTNLPLQPIMSQSPFPPCPPIPKATGPV NLILPPTEDRPHKYFTLENGLEVIVVSDEKADKAAASMDVGVGHFSDPDDLPGCAHFC EHLLFMGTKSYPSENAYQQYLTAHNGHSNAWTAMTSTNYFFDVSPDALEGALDMFSGF FIEPLFNEDCTEREIKAVDSEHKKNIQNDIWRFFQLEKSLSRPGHPYSKFGTGNYETL WSKPKESGRDPRRQLIEWWEKEYCARRMKLAVVGKEPIEVLEKWVKEKFQNVQIRTEG KPPTGKDGVRLTFEESPYGEEQVGQFTFAKPVRDMRGLEIVFPFPDMDHLYRSRPTHF LAHFLGHEGRGSILSYLKKKGWVNSLSAGNHHDAAGFSLFKITVDLTPEGLAHHKDVA LVIFKYISLLRSKPPSEKAFNEIKAIADISFKFAERGKTSSYCASLSGFMQSPVPREK IVSAKWLVEEYKQDELEAALQLLDPRRSTIGITCKELPKDVLESKGDFDQKEAIYGTE YKRVKYDEEFLKEVGKGLGELQLPGPNLFIPEKLDVEKFDVAEPANRPVLLKDTTTSR LWYKRDDRFWQPRANLDILLHSPILQTSPRNAVLSRLFCDLFSDSITEDVYDADLAEL SFSLWNEHQWIGISAGGFSDKLAVLTETMLKKFKEFKVDEGRFREIVEATRLEWKNFA LADPYGIALFWDAYVTRETAWTQEERLKELEYVTSADVEAFGKEVLSRLFIETLIHGN TSPEGAKEIQDMVEKVLSPRPLSASEIKTPRSLLTPPSSEHIWSLDLPNKAEVNSAVV YHLHVGEPTDVKLRNTLSLFSQIASEPAFDYLRTKQQLGYIVFSHASASSGSMGYSII VQSEKPTELVENKIEYFLDNFLKESIDKMTEEEFEKHKLSLIQKKEEKPKNLSEESKR FWGRVKDRYYEFNKREKDVEALKTITKSDILSLYSKHITTNSTDRSKLSVHLKSQCKG IKFDASSAGPLIENFMKAGITVDQSSIAQLSATNPTLDVVKQFAIGVIDNAPNADDEA KKTLKEVVENLKGSEDKQVEGQEEGVRESNVYIKDILEFKAGLIPSKAAVPVEPIKVN AAKL I203_03806 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG A I203_03807 MSNPRPPLRSQSQPSDNKLQKRTSIASPSASTTSTSIPPHLVRS RSTVHLPLYRRVLFPHDDPTSEIPQIIRGKGDEVELINERLNHLLAIALRGYVLQWYT RFSTDRSFPPSIHQQIIYPILHPILSDLYTDRGKDRLCELLLVDLPVILNLHIKTYYQ AKSAQKYLPTHAGLDDKGEHEIVEGYHNRLPLLSISKREDTQEYGISSIYLSALSTSL INLYVTPEGKQIPDVERLMIREILAKSILGSIVKRLCEGWFWYQIVLKLLCEPTNNND TANKHPDLEECKEKEGRSVDQTILHFFNTFINICIKLWNLSINIIALHSAAPKEKGTY QRCYEPTLLLLREIVGVDGHEGMERKRWSKRLVWGGAELVVGLFGGILDRLLPHLMKT YILNPRTSLRLIDIIEKLLFPDGYPGPSPIDPTSQEALDLRLRAERRIDQLLPSYIQR IFFDTSRGTKVILDPIEDRSCNAHLVGMVLDGLVGTSIPDLVIQEQEQRVAQVDLDQL DESD I203_03808 MAENYQKKELLALMNTGNNKLCVDCNAPSPQWASVSYGTFICLE CSGIHRGFGVHISFVRSITMDKWSDDQLKKMKLGGNEAFKSFIENYGPEGGYTKGLGM QEKYNSWAASQYREKLAAACADPPQPWSPSSPPPASAAPSRPSSAQATRKSRAGGGGG IGSSSLNPNPTLGSTQKAENEAYFERMGNNNASRPDHLPPSQGGRYQGFGSSPAPDAS AQHPSYALSSHSAPTFDELRRNPLGALSKGRGLFSSAVSSAAQEIYQSVVKPSMAKAS EYTSGENSEEWKKYLSQASAQATQASHWATARAGEGWDQLNDVARQKGGVDLNEQLGK LGLGGRTPGQRGYGQLERSGDAEDGFITPHGGEGAGDDFFDSWNDHPTSSSSAATSAQ GKSTGQGQKKKDGWEDDEWKDF I203_03809 MPKEDPSHPPPLKRGDACLYCRKRRIRCSADKPTCQHCLKSGRE CVYDSGKPVSRVKQLEEKVAQLENLLKSSTGQGNSADNGRRESNTSTASTSQPPPLQH HSSSSSSQSQDVPMDLSGMGTGEPSSSTSYEYSGNNENFDINLFDAILGSGTSQNTGS SSHNSFASFGGAFFSGSASTGATPNFFGLVNSSSTTGQNVESLFDFNMLDPNYMSLLS SFGDTSTGPSTAAQPFVNSDSQSHFQSSNIDTNPSVPIPHPDPPSGTFPHPHLSGPPQ PPTTSASQPISASLGFYDPNSTSCPMHLNSDDSKVPTSYDLPQSQTQTNPSVHNSDGT SPAMNQYVNSCNPEDLTQTLLNTLNRNNTLTESESRMAELLRSSQMKHPQSSDPESFL KDVEISLERTVGADRHTSITIGKDDSIKQQAPNSQQWVTGITDSSTLDDPAGPGTSPT ATAVSDGGGLRSSVPFYDPKEDFAEDDGSNTLVGGWFDAHDLPKVARDHLLDLFFSGM RLFGQEFHVPRFMASLTLPAHKRPHPCLLYSMYTLASRISDSSPIRQLEPHFYKIASS QLETSIGMADRLLDATRASTLLAIYKYSKARYHEGWMMTGQAARLAVSCGLHQIPSSV FKASNLPQLNADLVGMMRHRSYVLPPPKDATELGERIWCFWSIYITDRCGSISTQWPP AISDEVVTTPFPRPLHEYELGLVTEQDDHQSIQSLYRPSPHHNPLPHYAETTLISIRL RAICILERASKLMYQPPEEGWERSLPLSNPTSTSNSNSNSNSPSSNIYDYIYSQISTA AGFMPSYQTTGNGTHRTSNSHSRSREGWTRTARIRTPKAYEEVKQALLKIESDLPPEW RVEWWKWDGKVQEWHFTKARKDLITLHFVLGCAWMFLYDVFSFNAENTDAINVAKRLT VTVRFVSKEVMTSDLDVFIAMTWSFISKILIREMKRLQSLGDVAGANSLEPDVQILVT ALEEFGQRYTIGTMQAMRTERYSKTTKEETSFLYKADKRNQRQEDGDDDSDGEEKDVD EERISFVDVRDSMSGAEDWRTTTLVSRLGGE I203_03810 MNRLTNAFSSAIPSSGSDGSSMHLRDRTGDSPRVSSPLDPSFNI PSHPHRPSHSERRHIVQNLIYDDPRTIYHPISSSPNARGNFTNNLGLLTAGIGRPGRP GLLRHLTDDESGRLSGSQAPTPRVRSQSRSRDRDRGVRVNLMSTASLNGKRGWGMNSA TKTTRLNAMAQGPDGTYAIGGGQYLRVMKIHDPSSGSTTPIFASDETKDRHTLAKGNG GVTISEVVNLWKPNWPVGKGVNDVDWGVGAFDTKIATATPSGNLLLFDVEKGKLDKDI SSGTFRPMNCVRLCPSASHGHLALTGGTEGGVRFWDLRERDPSNRKALKHSSAITSLT FCPTDAYQFVAGLDDGSIRRYDFRSPSRSTGKAFGAHGSKSVLDLKWKEGDEENSDGH RGGGWLASAGADKIVQIWDMGQQWDKPPGPVHSLHTAYPVRRVAWRPDHPTELVVVPL IQPVTSADPTLSPNPSSVTLSSDGDSASHAGLDEDAHLEIWHVRRHYIAKYAIPTQDG GAVDIAWANGDDNLVACFQNGGFAQMELRGPSGVGSLPLPLDQVPRQLVGWSPRGEMV YAVDRFKLGEIPFDDVKSEYVNHWDKLGRPTPSISDLAYEPLQTLGFLPLPDTDEVEF AYLANWYKLEGDTPENLCQWNGNVALSCGREDNARLWAFLETLIEEFDPSPISQGEVG FKEDVFSGTIDGTARLSTPPTFSPTHGSPNHNVPYPSPTAVPLTRLGASFLDEDEEDL SEPSESSSSTKDSYSDSDSEEESHHPKSRFLAFAPPEFITRRLSDALSGGSTGRRESN ATVILSNSRSVSVSNPLSAMKMTKGGTAATSRSSSGSPPPTEKEKESSTMSTSTSKKS SLSKMTSSRIMAMSDYPDPYGIQGEFNFSGSTTTATISRTSPTPNTNNNTSNNLDGII VGKSLRSSPLPFSSLRKGGAGTPEGSLSRRNSAQQQQPVRIQGAGVGMTKVPTATAIK GEIDRFEKNDWLMYRKRRVDALHEWWEGCIDDGEMQLASCIAIVGSKIGDFPSKQVER LVHSYVDMLERHRLPIPASYIRLYSHIPSLQIVAINEGITHKLHCGRCGKSTGELDDI GVEGKKFWWCKKCKLGAKVCSICRKVIKGLYLGCKKCGHGGHGNCMRVYHNQAPLLPL HPPNDHISDGNASLARTYTGTLSTTEHGEIEQDIPIGGWSVCPTGCGCRCRRV I203_03811 MSGSGFHGFRQDMPPPGGYEAIKYKRNLPVRGVGGAVVFSTVAA ICAFGFWRVGKGNVEKRELKREQAWSRINLVPLLLAEQDRDAYRRQQAALAREKEIMK DYPGWEAGKSTYNSSRYTPNTIVVL I203_03812 MIDTLTFFPSFLQPSKSLSTVQVPSLAELRRQAEQLASSIPPIP DYSCPPGKMKNEINLPQQSKSRGLPIPFLTEDESWYSYTFSYTHNRPPNSYDAPPPRY SARQGGVREEDKEYIDDIDIGHGYDHIHRLGKNASTPSSSNKLWKTWNGGFRPIHIQS TLPSESENTLRPKREYGMKGSESYAESVRSALSIS I203_03813 MPRSVLPLLRPILSSSIPTPLPIARSIHSSSRVLLATPAGRNPF APRNTGPKHITPGPIKTPNPRESTTADAASEGSRHGNDQSAGTGVADQWPDYSKGPSA LDKASQLFFFTEIVRGMWIVLEQFFRPPYTIMYPFEKGPLSPRFRGEHALRRYPNGEE RCIACKLCEAICPAQAITIESEAREDGSRRTTRYDLDMTKCIYCGFCQEACPVDAIVE TQNAEYSTETREELLYNKEKLLSNGDKAEAEIAANLQADHVSLSLH I203_03814 MSNQQPNQGNQGQAINDLSSAEAVQLCLNTIQLMHQDIIKKTNI LRSAEAARMVGIMHDLQIPIPVDPRNGKDYPDNMPEEYQRLESIANIRSLPDEHLNAW LGFYDKEHAAEMSRGQKEGTLFIALGESGQNMLVPVGGNIRDIN I203_03815 MYTSPTPTSIFVNNSTRLVRPQTLPRISSPVYNFSPASVARQQR MFALSAHRRDQPKTFSNQSKLPRLPVPDLEKSLEGYLKSLGPILEQTYDASALSNEIE KRKLFAKDFAAPGGIGRTLQERLKNLDHVSPNNWLNDTLWLGLAYHTWRAPLLVNSNW WLLFAPDPSDPIPPVASGSSSKKVVKREWISDWQIRKAAWLARRFAEFRTKLLKEEIL PDSSKAGPFDMHQYSRMFNLSRIPLPNSDAFSVVDNKATHLTLMVDDYIYSIDIFSPP SIEGVPEPLSPSEIQQRFKAAVDDAKQRKDGGERADQIGVLTADERDNWTKNREHLLL LSPNNRSTLNSISSSLIVLSLDPYTLPSIPSEDPLRLPSVDAQVRNTASGIDGARNRW FDKAVSVMMETNGRAGIMGEHSPVDALIPSIVGEYVLASPVDQSQFHEGTSSSTSEGW KKLDWVVDEEIAKEIQGCKERNQKLIDDSDASQLWWNEYGAEWIKAVAKQSPDAYIQQ ALQLAWYRDQGYATATYETASTRMMLHGRTDVIRSLSSESRSFVKSMLDEKADDSKRY SLLTEACKAHNFLTRQSSQGNGYDRHLMGLKVHLRAGESHALFDDEIYAKSQEWKLST SGLSAGGKFMGTGFGAAWPDGYGINYLAGPYLVKFGIESKFSCDKTSTQRFKHNIVQA LRDMRKVCEAAGEESKARL I203_03816 MSDPAFVQLLDMGIPGGRARAALKRTKGDVMSAAERVFAGEFDD IPSDDEEEVSNKASSSKGKAVIVVEDSDEDMGGSDAESGSEEVLVDGFEDDGEIPEDK LLSDPYAGVFFSKDRIEKVIEPVEEAKHMKISASAGSPYDVEILGRGEWMSGCPEGNE QSFLFQLYSMLAEGHMGCSAGCGYQFKRKQDDFFVLFPDFPAFTTYLSSKIRPTCPQC HQITCLACGEKVDRNGKQSEDILLHCPNLQGIILGVGLHMIEQAFSEGSDNRSLSSSA CSTANGPPVKKHKPSLGGLTKNKNSTDEADGTLEDDPYSSGLGLVQKVVNRGKGSAKG TGYDGSGVEDRTGQQKAEKAQIASDAKTALLLSQVRAFLPDLNRPGGARTSDHLVHPT ALAHLRRRSAFVNDLLRNDSLLDMSKRSDLYRALFDWLEIVSNHEALASMLAMPQMRP ISNEPLPQDPCSVIVTYEGASSPRELLENCVIQAQAALKGLQSVDNVSKSSEDVMMTE EDLRISKAELARREREEKQEEKQDSNYQLRSFCERIVASARTIDKSLIETKGKPFVDR MRESLPRLPETGVSVEEKVSQGQNDESTTKIYEEWAGRARFQYCDLTEEATSTSDKMV YRHAFNGSIQSLEGMDMPKRSLAIAKELAILTTSLPVAWHSTIFLRVDETRVDVLKAM IIGPEGTPYENGCFIFDIFLPLDYNQRCPNVKFMTTNGGRYRLNPNLYADGKLCLSLL GTWSGPGWISGHSTLLQVLISIQSLILCEEPYTNEPGELNCQTSASKAYSANVRRMVL VDAMGNNIKNPPHPFENEIRTHFRLKSKSIRQQIKKWKELDDGKGTSGDSFMSSASGG DKNRSFDSASKDLLKLLDQLDSGSSTISPSIVEGSTSKGKADGKDQAKGGKLARTLGF KK I203_03817 MVSAKSPPSKTKTPPISGMTKAKSPKSPRSPRSPRSKPAESFTP LSSILTEEPMSTDHSSPQPTRGLALRRVLSGGSSTASTLPTTPTGSSVPLSPSSTGIR TPRANRNPLTLKSDLDRMDIDTNANEKEGGHQLANHLDGLQVKSPQTAIPPASGFGMP PSALGITQVLGHQSDSESPHPPHSDPIPTNGGNLTNGRNHDRSYSTSTEGSTTSYHPQ IPSHLGSSYGPEGFPRYSRSPENSPNIFNSSQQSVNDHGYHASLNAGFGGADANGKNI DPELALRQAEALAKADEAVRALNGTSSLYQSSSTQPIFEPYILQHRPPQGPEVNFVTP QQPQPSVVRQSSTSSSTTDAASTSSEESDWCIPSIEWVSTNPQSPRFLSSGYNPAGFA QAQRDRTSGNTNSANNRMPPPTASPRVQDPTQRPGTLQHQQSLPIGASASTPSGIKPS SALPLGIPPDGQAEDDDEEITVGHERRSPSTSSQSAQSGLDLLWRAAASQSKSQSHPP KPQLPVYEVSSSFDHKGKRKAGAEAVDKWRSSGIPTGLPPPTPTSIPTSKPLGGGTGN EPPKKRRRSEMTMEPMDNPGLKREVSEEVVEEENEGETGSGSEYKSPSVSSEPISDGN DSEYGGGGATNKRGRPSARGGRAIAGTKRATASTNKTAGGGGKILVNPANAPGGVTKG GTIKKVRKVGDSPPGGNRGGRRSSGGAAVPSGGVQCEYVNPLPPYNRCTDVFTRKYDL PRHMARHARREGELVLDGKLSEEKAVLWRTIKDKPKVTCNDCGENFTRMDALKRHQAK QHHH I203_03818 MSEILEVLSCLPSELDQNDPVACNKFSAVATRQQKAALMLLSLE RINEKSQYVTKTYDTISKKLQYLQTSAVYSFVVAEGGPLPPAIEVDGKLCPDDMPKEY TKISFFEDIISFPPQYLDKWLIFHGEHPEDFGSMDHLDRSKLLFVLKGGTLNDSVRKY EKE I203_03819 MANRIQIPAALRNHVLAANNPIMQQQTIGLPPNPERMLTVKLFV NAILADEGTRRERVHVGRNEPQAQQPPAAPAAGPADADDGLAVVQQAIQRIEATVNGQ VQALDRVVQRLDELTAVVKSNQIFSSLNLPLVPTPVAGRDFPEGLPRAVQRLTSWTDV QELTLQQVNIWLRFKNTEPEVAWTEAQRKSILFRLLGGTNYF I203_03820 MQASSSKLKPTQDPLSGSESESDSDYSSSDVTEDEDGDELTPAL DAAILRTLSKIKRKEGVYGKENVLQEELKKAQERAEAKGIKAPVVKKVVEKPYLLQDY HRAKLLSGGNPEDDEEEVNEEPLTYVQSERKLKEEAVSAFKTLASDDSDDDDEEFIKK REKDEGEEDLEDEEYRQFLLEMGGGEDEVRKILGMGDQPISTALEETVEDEKGEKDVV LSKVEKEEIAAKKKAKKEKKAKKDDEFLMNYILNRGWIDRSENHVPTYKEVVGDDEDS DEEVEEEPRAGPSSHPWGELDEEDEFDDKADAFEAEYNFRFEEPGASNILSHPRQIDA LVRRPDDARKTKRARRAERKAAEKAAQEEALKAKKGSKRREMEKRMAALKSDLAAEGV DGEVDWDSLQKVLDGEWDENEWEKVVGGMLSKAGEKEDEDGKPVWDDDIGDAEYDDLD EDGGYPYNQDGGQKAMDIDEEYGQDDDGPINMDADFIDEEPSKKKRKKEKKKSKQKDR AIEEPLIEDQSSLSLKDKAQAVKEVMEEYKALDHEDIIGGDLPTKFKYTKSAPVSFGL TPVEILLATDEELNKVLSVKSIAPYRKGGIGHQGKGLGQRVRQLKEDVRKRKWGQDDN EGKPWKENRAEHAAKKESKSGVEGGEGEEKRSKRRKGKKERKRAAVEAENAGES I203_03821 MSSLAAQLQNIASLDAARLTSKYGQPSSKSYLFPPKVASTHDLD SIFAVAQSGFEELLSLDPDMEEFEEELFSEKSKRTDRMILSQEENDELDILLGRCLRR LGKWIGIMAGGKCIEWLVRRFRVHEMNAEILLQVFLPYHESPNFPRILAILTIPQDSV YFAPFFPLIKGAQPVPRSYITNVISPARDKSLRLLSDVAGMVQQAIKEKTVHRALLTF WTATMVDLLDGGKNGRGVQEGLVKVMVEAFVTILSTPFAGEDVNAAVYPPLVLLTRSV TLTDEAFDAIVSSILTPNSGANASQRILTLLVILNDRPDYSGGLGDNASQNLSKVKQL DQILVAAYEKYKFETAMNTVVGIILAEPEIHFKTIRSILEYRELPKSIAQLAALILLR LGSSEDSSEDTKGLCKSLLVNLRERHPTIIDSVYVEASATAEIDHQLVQKPVDESAFL NIYAADVSSRVQGIKEVMELHQSGSKDESAVAALVARLGDTDESVIQALYQDPEQLLE ITFVEKYIAGVRPTFWSTTPKPSIIGHHLDYISNTLLTDKVLKKYPNAGRQIFQELLS PCLLASEKSSSLTKNEVLKVFGGDFKSIDMLSKIGPEILKARSENDQRGLTKSNQLIA AALANATATSAHFDQDFTYFIGQLDSTHAPARLLAHLVMAQLITTLKGDKQLSAALRA LQHTNTRQNVSFRDFDNSEDIFSTAYLDSVYKKTQDARTTQRAVLSLLAAMGKVHRPA NLITWLQGDHHDYGNAVSYELFAQEVYQWTNTGLLPTPLAQNLLRALLAQLGEDALLF FASIWCGSSSTVLRTASLRHAIAFVAAYSGIKTQQGIDFQIMVPTVLIALQDSEKPIR SAAVDLLKAMAKNAQSSENIYALDTVYGDRSDSVQLLKPSDRKKYFDVLIEAGDDLVV DSNRLQTTHTAALVIVPGKNKKDTAHRRAVLGCLISHIISYRSIRERLGLLKLISNIY DHTILRGLLPLLIALKDEKDEESIWLSGLARDARKEYLALLFSTFRKESVNVLADEQS GAWQFLLSILHMNAASHLQHQLRELSLQRMVNGVFAALPPNQKIEYTLALIQSLHALS SEESLATSKLLERFDLDTSSIVEIIEHLSEPLETTVHRKKQRQDEIEDDKPTTAVLDL TVLIESRDWKTLPGDASLVASIMSILSSLLAKRQVISQGVDYLEQEVLAAILALVEKI DDPQEIGRAHVGIEVIIKVIRASTNPRTSQRALLVASELARLIPEAVLHNVMPIFTFM GASDFQRDDAYSFGVVEKTVSRIVPVMTKSLKEKAENTFDLYNESLTFLSIFTDMAGR LPKHRTLPFFVHLVKSLGPADFLAPICMLLVDRATIKAGRSGNPVSVVLELPAGLAGA FDIQVKTEVLSEVVAELARLIGDLSQSEKEAFLSRTIGENDSSDRPIKQINHLLTFTL ALIEQLRGKPCTQATVQTIVQNLIQLAAKTSQPVLASTDIPKNVQAALGGAMQLLSAE NFLNIVLRLVTECTDQDVIMALNTFSDRVPLIRSEIRAKCSKVIGDIIKKASTLLDAT VSSTKASLTAIKTIATTALSSEDGALSAVVPTLVAAISKMGETTNVIGGLELVEVLVR HLNSRIIPYIQSIITLSLTLITSPKSISTIIRSSFSVLSSLLEVVSTFISSKQLATII RTTMEHRSKDSSLALKTSTTISKKIRTKALFPVLMELWKTTQNDDNEETIIAFFDLLR LTLKNATRDELPGMMKNVFAFFLDVFDLRHRLQLRQVDANTINDIEESAIGSFLELVT KLNEATFKPLFIRLYDWAVIDLADGKAIDDGRLVERKIVLLHVMMGLLVKFKNLLSPY IATLLPHIQELLPAYAEGSIKDESLHTLLLDVLGKSFEVDEGSYWTDQLYLQILPNLI KQIPLFPSSLSSEEDSAISRCLAELAGSTNSENVLRRLNTSICLMTRSDDIKTRIQAL RVLGKVWEKQMDELISFVPETVSEFLAELLEDESKDVELLARGVLAKIENITGSLKEY LE I203_03822 MSEEASAPVAGPPNVNASISEPTSISEEAPTLSYEELLACLPPT LDRSDHEACLKFCQEPTTTEDQIWAVVSLLAKYMTEGMKENSKMLRRAAYNVRRIGNM QVNMQLSGNDYPLPIYEDINGKNHPDGIAKTFDQICDLPPPILDKWCKFVNKELYEKE KDQDHFYRAKSLHARLGGARNASVDARERIYEMKNREGRRKRHGNEGCGDEGMTKKKR KTEKGAIERNSMRLQYR I203_03824 MQLGRYSVSTLRPPPIHSITFSEDGRFFALAGEEVYEVWKSWPL ALVKRRVLPGTLTLALLLPRSPLLVLQGGGANPLYPPNKAVVYNDKLGVAVAEVEFGE RIRGIKARKGLLCVALSRKVIAFEYEGFWLRKFGEWETAENETGLMALSTSASSSLLA LPGRQPGHVQLISLPLCPPIPAPSNPSTTAFRSPIILAHTHPLSTLSCTPNGSHIITT SERGTLLRIWDTTKGRLERELRRGVDRAEMWGCEFENDGKGRVVAWSDKGTVHVWKDE DGARSKTEGTRSSTPTPSSTPSLTHILSRNLPLPKYFSSSPSIAQYHLPRKNPHAISS ALGKAGVNVPSISMNKEDIDNEESELFVVAWIDVELTSDTQISQQQPKVVERRSSAIY DSPGSTPMMGGREERRSFGSGSDNTSRTVTPAPGTSSTHGRRNSYTRTVSSNFVNKSL IQDQPSSISSKQKVTTLSSKHTSLPPSIPKVEKQLIVITYSGDWYRLRIPDDASLSIG TSGGEVEGEEAKKGGSKCELVEYRRLGVGGGGW I203_03825 MSDDERMDTGDFEGGDYEQDYLDPDTLNYENEDGENVQNEEEGM NGEIDETMIVESNAPQEGERQRTGKAAKPNEVRVTTPYMTKYERARVLGTRALQISMN APVLVPVEGETDPLEIALKELAAKKIPLVIRRYLPDNSFEDWKVEELIIQE I203_03826 MYTSTLLYLLPLLSLSLPTSAAGGPTPREIYEAASRRAALSPRD DTDSHGHGRYNALLNSHGHGHVGRRSHAGGLEGKKLVRRKKVKAGKRAGSTCRASSAS ESTATATLEAQQNNAIAKSPSSSSSSSASTSTYGEETAYPTMSSSTSSEWQSSATTVS ATSSAAAESTSSSSTSGNSLFPWGTGSASWTTSDGTSFESVLKPLTAGKLPSHGTSPD GSDSLVANFPAGTVGLSSAGFSFYTTGAHDGVEVDSAKEVSFSYSVYLKDGFNFVKGG KMPGLYGGTSLSQAKSCSGGRQDGRDSCFSARLMWRTNGQGEIYDYLPVPYTDTDTGY GESIQRGAYEWATGKWTTVAMRVKLNDVGSSNGEQELVVDGHSVINLKDVTFATSEGT KIYGIMAQTFFGGHTDDWASPTDQNIWFKDWSLAVLS I203_03827 MGKNNKRKRTAPVQSQSSKLLTPPSESPSASASLIDSEDLECTI NALKTLCDFPEELAGKEMKGLKRKVHELYRIMAEGSGLDESGLAVFRVKLKVGDEKEE LASTTFPLDGTSLTSKISTALSDYRFTDALIHLFEMYTRRLSPKLGALQRWVRECDAT SNEDGSPGDPEALKCLDMILRIANMSHPTHVPTDQKVLGTEGSMRQKGSDHMVDGMDM GGIIQRKQIFKAREANEEIKIWGLIKEGRLFDTPPPTPYPNFRPVHHVKADDRKPPNL YDSTVYGSSPGAIALAPPSERKQPTKVHVSDVPGAFVVLDVFTPEECLQIVRAAEAIG WEKDEAKSGSAVNKNSILAKNFVWLSDSSFLDHFYSSILPFVPAIAPISVDGNGGGKV RGINARFRVYQYTENQLYRPHIDGAWPAAGLHPETGEYLHDSSPPDDPLWSRYTLLVY LNGEGDIPQDTGCTTFFLPSDKIGVMEAHSVRPIQGAVLCFPHGDTTGSLLHEGSAVG KGGIKYVIRTDLLYEARGFGQYKPPASVGMKQGGKEEEGLGG I203_03828 MAKKGRGSKSTKLLNKTANTATATGANATAGPSTQSSRHAKISD ELKQAVKDLGGDEDDLDLIDGIDESDNEEQDRSATKMPKGEKKPTDEKSLKMELGDFM KGLDFAAVGAVQVDSEDEEEEEEEEEAEEESDEESEDEEEDEDEEEAEDESEEEEPEE SEQEEADVPPTTAKATNQKTVESSKANIDQDSSSGINVPASSSWTSLTPQLPPLDAPL RPLQGHALNELRQKALNLLDNLPPLNRASSSSDAAFISQILQSGTHQDKLSALVLLVR ESPVHALNELNRLRYMAGWKEDGIGGGSGSNKDQRVAVMKALADWWVNGGGKQQGKLR YFADQPLLAHPQLTDRHLLMYAFEDYLKRWFFNLLQVLEVLSHDTLPFVRTQALHIIF QLLSGNAEQEQNLLRLGVNKLGDTDRPVASKASHHILQLLQAHPAMKAVVAREVSALV LKPIGSTAAAASSSGSHIKFDDDVKGKKPEEKKSDTVNHARYYGLITLNQITLTRKDQ EVAGKLVDLYFEVFREILGDGSKKDEEHPIGGEEEEGEIGEDQIEKVAGKIDKWRGRR KGTRPKPGQKKKTAMEQEEELIENSESKLVSAVLTGINRALPFAKLDETMFKSYMDTL FVITHKGTFNTSIQALNLIYQVSKTQSSSTSDENEIKGISDRFYRTLYDSLFDERLVN SSKQAMYLNLLFKSMKLDDRINRVMAFVKRLLQMLGMHQPPFICGALYLLGELFSTTP GLKKMLIEPEDDDIEHFKIMDEKAYDGKKREPLYANADSSCLWDLIPFLNHFHPSVSL QANQLLLSQPLTGSSDISLNSLVSFLDRFVYRNPKKTLQPKGASIMQPAAVSDKSGMI VQNKGAKSSGGEAGLMVNSESFWRKKVDDVPVEMMFFHKYFSEKLKRNESLKKKKSTG EDEDEEDSDEMPELPSDIADEDDDEEEDDSDIDVEEQEEEEEEGSDPEEDEIWKAMKA SMPGADDDMGLSDDEDEDESDDMTEYSSEEGEDEDVEVDEDEEEESEDEEEEEEEEEP VKSKTKKGKKHSPSISSDSSFPNFDDEEEDLLSDSEMEMPDIRLDTAVSSDEEQEKVE TGKRKRNDDRKAQRKKRKELPMFGSYEDYQALIEAGGEEED I203_03829 MPVNIKPVVGAGLASCCTIFSILGIVILLTLGSFFGKHVEGLTG STKDPENPDHVAKMCYVAAIIYGGFVVFCGLQMAVHRRYPRGVQL I203_03830 MPVKRSAGSSSAIPKRTRFDDRPSSSAAGPSPVGSGSVSANDDD DLLEEDLPQGAARDKQRAKRSLKDAEGYGSDSSNDEEGVVPSRRPGAEKDDEDDDVDM FADDVDEKAKPADKGKGKEKEYMDLNEIEGQEFDQHPRRIGDDGEGDSDSEDEEIRKN AKTGLDGDMGSEITPFNMKSEMTEGRFTADGEAYMENEKDENDKHDSWLTNLNKEEIK KARRAHRERERLEKEREEKENSKENKTKERELLREAVGLLERGETVLEALQRLGKEYN KEDPTKKKKMSWAEKQKERKKLMAIEQEQSDPTHTSNPFTNLSNIVSQLTTIGHLEVY SMSRESMQRMLPAEASSTNGSSSRPSAMPATPVDTRQFQYRFSIAYVRNLPEAQRPVE REVFGPFPVQQLKQWRNTGFFGGPACENVELRLAGDQPGTWGNWTDIVGQ I203_03831 MVTKDQSDSSSGEGSPVRNPEAQNGNEQPGFFGMLIKSVFEPGA NAAVVMAMNLCFFFLLLTLFGLAVLTQWNKHVLLLLGVTALLWGSMMWFVLELTKVQS RPDNMPPATLDLDLSGSPAPGQNEVEQEKKKDR I203_03832 MLSRSTRSLPRSSRSSFPLPKPRRSLTTSHIHLSPSTSPKTQSA WAAATESAHSVLTPPPSSSASSSSTATSLDDPLSMINAEIGNLKSSLFRMLGSSNSAL DTVAKYYFQAEGKHLRPLLVLLISQATNGLGGKGWEKMKLESQSRRSIDDSLTSQGGV LNDWNPEVNGQESSSNSQVFASPFQIPNIGSSTISSIPQPPLNISEFDMALQQNDQPM ILPTQRRLASITEMIHVASLLHDDVIDNSPLRRGEPSAPSTFGNKLSILSGDFLLGRA SIALARLGSREVVELLATVIANLVEGEVMQLKATSEPEQKPTPKGFEDYMRKTYLKTA SLMAKSARAAVILGGCGDSDGIGLSKDGEWVKDVAYGYGRNLGIAFQLIDDALDFLPP DPSLGKPSLGADLRLGLATAPALFAWEKYPEIGPLILRKFSEPGDVEIAREIVNKSDG LQRTVELARTFAGSARELIELLPESGAREALIGLTKKVVERVK I203_03833 MRIPRNSRLFCLLISLSFIPPLCVIFGLLAYLNESMRICLPFSI ERISLTAHRKLSCQPPSSSFLNRPYSTGLKGIMAQAEADAVNGNTIEEGRLAGFLRSQ KESFLEDLKKGNAKGWTVVMGNEAGDLDSIASSISYSYLSSSLDAKQSIPLILTPSNL MTLRPENLLALKLSSVPLDSLLHSEQLSIPTNELSSKGVKFGLVDHNKLLPLFIPSSS NTNTTTQSPGPITNGHAAEGEDPVESIIDHHDDEHSHPNAEVREITVPTGSCASLVTK HFQDRWKASISGPAGIRGSPIPSELATLLLEAILIDTSGLKPNRKATPFDYASAKFLY PLSTLYSNDNPAHPQSNGQNGDVVEFSQDGSNIPQDLTSLTENLQTTKSDVSSLSTPQ LLLRDYKEYLLPTSSSSYPTLKVGLSTVPLGLKVWLDKEPSGFESYLKEVELYMSEKD LDVEGILTTFSNSQGKHRRELALIVRMGSTLSGEEDAERVLEELKVGLESSGDILDLK KWNKDDKTSDISVWEKWNDKVVVWKQGNTKSTRKQVAPLLVSD I203_03834 MSRSKVEGAEDLVEALAKEVDGTRINIPSEVDVEDDSLDEGEAA VDGEGGDGDEKNKKKKKKKKKSKSKKIPVIKPNQGKIPEEIPPPPPESSEETSRWNKE LVKGTNTYNIPKWGLLDDRARPILNIFRTPSCKKMELITPRLRLRQCEVGDLTGVRRI KMEPIVQKTQLYGSPSISDIKDSFLNRYIRSRDEYIFAITALNPSELKVQDPGQVRIS NRISNADGYLGNIALSFTYLSSPPSFLPTKGKIYTQPTFGQTHDAKVEGKLFYEIHPQ LWGQGIMSEAFEEVLRFGMEEVGCDSIACDPTTGNEASIHLCTKNGLTFSHTTNNMYN KPQLFHRIAKEEWWKRNRPDKGIEDRWGGKEVCRW I203_03835 MDHSSHNQRFSRQDVDDSNEYAQGWEDTDTFGTIMEAVTQQSHL QPSTDVRFAGHNYDSPAWEQPQYPAYHQNWPSMYPSHGRSRVLIGVPGSEAGYASSFQ TSKTNWLIQMQVPRSGDLSASSSQTPPSWRRSRGRPSDFKSTSNKPKKERKKEFLSPG MDNIINTGRKVMGATLEAFRASKAANFCADGPALSHWATLTPTTDPGVWSTPTRRGKT FKEIRGHKGLPVMTARELYMGQWSCQLTLTFASSGKTITGTGMTPKSGESLDFRQVGL LDDTSIDQSEPFSKKTKRNADLLYQRIKAEHSPLQQARVSYVCFEEHSDRYDVQVPCT VLTQYGVLPASISVFLDVELLNSSECLDQDNEP I203_03836 MRTNRKLLQPLLSRRSITVASSIRLSLLPWLTPSATPSTLPQSQ IRLYSQIIRRGPSAPPGYPSDTEYSDSTVYAFSYISRVIRYILYGILTLGGVSLAGFE GLHLYVEKVALAAPSRDGFDDPYGWIGENVGWTGGLKGGTDPRLGQKARHALRGAWIC QEWGAGGSASSTLSSRNTSSGPFHPDYVAVKGMIGNTSSSTEGRQTVDRGYELAEEFV NLAINEARKRGLVFPPNLSSSSSIPNLPPKDHENTHGVPQGDPAVLDLLLLKAGILER INTPYSLLHAKDLYQQVLNSMNHAQDEQHLHNQPRIMRLAGKIGDLSARTGNSGNALE WWSWGLGKAGIQMDKGNTKISEVVKEVKKEGRSWFGFGGQPKTPEESTSTSTSISIST DVQSPGISPAILRASISLLASASAHLATTSSLNTASSLQSHALALIPPSSTPASSSSP DSALHSTWLQQRVALLKLYQASILHAQNTKSTSPKPLELVTESSEISELVISSLPTIP IGKSSSLVGPAKLLRRDALLTGAEASYTRGVFLERSPPSSPEEKVNRLEQASECFERA MALNVLESGVEKKGEDEMGQGGDWNKYWRGYVRVKGKLGNLVESTTTTKV I203_03837 MYKLTLAALSALALAGAITVESPDDDTVWQSGTSSQTISWKAVS TDPDSFVVQLVNQAGYLSDSPVTLISDQATGSSDIVNTATVTYPNGNWPEGVAFQINF VTSEKSNSAILAQSKQFNITSGGSSSSSSSSSSSSSSSSSTATSTSTRTSPTTLTQTS SAVTVTSTDASTTGNIPNSSNSTTTANSGASPAKSTGLFAAVVGALGLAALFA I203_03838 MFSRQVARSSSALARGFASSARSNRKVAVLGAAGGIGQPMSLLL KTDPLVTGLSLYDIRGAPGVAADISHVNTHSEVKGYEKDDIKAALTGAEVVIIPAGVP RKPGMTRDDLFNTNASIVRDLAEACAEYCPKAYIGIISNPVNSTVPIFAEVYKKKGVF DPKRLFGVTTLDVVRSSRFLGEIKGADPKDIKVTVVGGHSGATIVPILSHTAQGKDVS GETYKNLVHRIQFGGDEVVKAKAGTGSATLSMGFAGARFTNSLIRALNGESGVVEPTF VKSPLYESEGVEYFASNVELGPEGVKKINPVGELTAEEQELLKACLPDLAKNIKKGVE FVNKA I203_03839 MFKPSTASSALRAIPSSSRASVSIGARSFHATSRASLATPSETK PAQTKEFKIYRWNPDTPSEKPKLQSYKVDLSQCGPMMLDALIKIKNELDPTLTFRRSC REGICGSCAMNIDGVNTLACLCRIDKDVNKPSKVYPLPHMYIVKDLVPDLTLFYKQYK SIEPFLKNDNPPAQGEFLQTQEDRKKLDGMYECILCACCSTSCPSYWWNQDQYLGPAV LMQAYRWMADSRDSYGAERKEKMQNTMSLYRCHTIFNCSRTCPKGLNPALAIAKMKLE MATE I203_03840 MARPSTILFRPILLRPNAAAPTISRSAAGIAIRFASSSSSSKPA TPSQSRTSTSSTPLPLPWPEYLGLRKQRRLWSSITTIPTTFLGLFLGGSYFASLESDP SQLIMGIEAMYVYGGATLGCMALGYLAGPTVGSSLFSLTHRSISAGKNSPLEIMDREF YNRIKRNRADPTLQSAQNISPDFYGEKITSLSTYRRWLRDQAVYKRKAMHGVPAEDA I203_03841 MFSTNTNGHGEIPAVNGNGKAVDLGKPKDPSVPPSSGKCPLLDM PGEILSQISSYLPLQEIVPFLSLHPLLLSLTQTHYSPIPPSVRSILSIPPYPRALSNV PHLNHFLPPDPNDAKRLFIQILVRARPKWILENFELLRWDDDFWKEAFERRFLSSWKR LKGEEDTWRAVFIRILGRIEHRNSGCTHEEAWTRFVTLHRNGSASINRMYSRTFDPYE IYDELKHQNNFSAHPTTVRVILHLQDVRILAVGVLIDQPSLFVNSNAHLTLHPPLLRH LSSPPDEESVGHSKWYRSSDDIKGKKRRIQSEEITANEAYFPLVRSLSPSSPENTTYG LPTAGPIPIPLTVESTASPPISPSSGSFGRMLGSYIPGRRRTSSNAANQPTLSAQDGS SQGHTHTHTQVQAPFGAGAMSNSLGNLGGVLTAVRSRDSDDGTGRRRTWSFGRTRSGS TASNYNNINNEGELQRVSSNVARPAAASTSDLPTLNEASSPTQEIQVPTPILEMGQPD NTTRLAQDRPYDVLEKPQPALSHLRYPNFTVPPEEPESTKDLRCEHPEVEDEYEGGLW GGDVPWGGDGKRMAEWDEELGKRRRWVGPMLLIAQLHPSHRPIPHPPGVNPSLPLEGS NPVLGQNGMYASLGFEDLETLFPWIELEGSGGNSGEAKRSGLGF I203_03842 MSEDNKQQIQPQSQAPTPQPGTPSGEEEKKERRNSYHDPFSGDE ENENRSEQGGDDDVRDEGEGEGDEEKDGGEDKQDGEDDKREASETGDKEENDQIREDD ESSQQEKDEEDDQNKNGDPSHDTKQDNSPDQSKDTDIQEDDTNNHHDDTSPSADKDGH GSSSPDDQSDTPPTSTSNNEGSATPPQPNKNDSTQKSANVAHDSQGRHSKYSDREDKL REFEHSKPSNFPENDREQELQRVVNRKPGSRELHQKGQGRPKDTPSTEYEAQDPSKQQ GQQGLGGVTDNLGNLGGATDQLGNLGGVTDQLGNVGQVGDIGGQVGDQVGGVAGQLGD QVGGVAGQLGDQVGGVAGQVGDVAGGVGDTVGGVTDQVGNVAGGLGDTVGGLGDQVGQ TAQGLGDTVGGISNGLSDTSKQLSKSVKNVGDNALKPLKQKGGLLGGKKGGLLGKGGE GDEENEKGALKIRIQLDIDVEVHLSARVKGDITIGLL I203_03843 MSTHLTPSPPGGIKPPPFVPSPFPSSSTSPLSTTSSSSPGERWV PVLSCKCYFGLSEFLSTLKTISLHPERNSSLILRADTLPPPPSQSPNQRSNNLKNINL SDGLEAELQLDLVDELKVKLLPRQPRRDNSVNQTISFYRTNEQGHSYQARTTKGKEKE VEVDQDKGKREMGLVIIQPEVKDQNDIPYYHPKVEKLAYIWESLPQTVNSKSEKGDDE NKEMIGEGSEMKVEEHEVKGIISIHYLPFDGPSSKPAQDNIVNGLNDMSINGNLPSSL LPSPHPTKPRKRSPLAGPSIDKSQFVEPVRPPATILIEAEGVNSPTTQKTGTIRTPTP EGKERLYRTCLALLETLHKHGYGQLVGYQKRRVHDLIVPRDNFQDLYLTLKDRHRSLD SRAPRSDGLVTKLEDVKRHVWKGIPLPNDPSLPTTQVDLSEWGEQDIAIAAFLMLLWK DMYPPISSRGPDGLGIQEEEREWDTWGRPENGFIDLGCGNGLLVHILISEGYHGKGFE LRSRRTWPTYPIKTQEALVELPIDPPSWFPDTIQEWESGMWLGEEGCIIEENTFLIGN HADELTPWIPLLSLIPSTPVPHLSLPCCFHALDSAFTVLQFTAPDHPHTPKGGFENGL EPGVSRYQSYLVWLGWVGLKCGWEWEKEGLRVPSTKGWGIVARKRWSTPSEDRECREW ALEQVEQVRRNGQFKVREKEGKEH I203_03844 MSQAQPPPGLQHSTSSHSVSSLGTNNRSNPTTSNISQNINANIN TKKRKASPPPPLKERKQSVPIDPSTDDDAASTTSRAPREREPKRTRVHFSCVECHRRK QKCDRKEPCSQCVARRVPHLCRPFLNGVEDPNNNSDVHARLNTIESLLSRLVTSLPQA MSTRPSNGNYVPTDASSPDVLGLTASGEEIFHPHATPPNEGSAVQRVPMPHKPPPSGL FPSNMSYTTPPGRSGFGWGLREGRRISLTTDDNQDLRDILQTLKESGIGKGHLEWLIA GVPGRRMADGLVELYFRWTRYKMNKASFMRRYNKFFDHIGRNPTCPKIDADTLKWLPL MFIVLAIATLSAPHDLVPRDDQLGWSRRFYGSARSGLEYAKALQRDNLDVLFAGLLAS RYMLLTRRPAEGSTPLTTAFQVGLYRDGTVLNLTDKKEIEIRRRAWSMLYHLDRTISL LVGRPASISDAHTDTQIPANLDDEEVESGDFDPAGHPLTVPTEYTYVIVRHKLAEIMG RIAYHTFAIQLPDYAMVLNLDRELLSWKDALPPFFSMSNPDTSLDSKHPYLFVQRHLL ACEWYYTRITLNRPYLLRRKPQDSRYAYSKNAAIESARADLLSRRSFVMEKGNLIVNS GGYRVLNSYMVLGVTIKLDPDSPQADELRQLLNVVSGRSPDAQGKISEPLVKEELAIV EFLTAKSQSINKPRISLTSSGAGTGPTSSGTGNKEEDQTPVDLLLGLAKTRSGRRAAE EEKRQLRLQAYREIEEQRQAARRNSGAQNISSPWGYIAPSMPGLDVQQPFRNDEKRVP RPLQPPTRRSDGSVPDSTNWSPELAFSLTTQSNTSNSSSIGQVKGNRPSSAGQIGYHL NNSPTNSMGSQSHQQQQQQQNGLISPFGHLSSSSSTGANANGMNGIAINTNNEQQFSF PEQSSQSQHQQSFNDNNGNNQFGNALLDSFDFSDLGLGGNTTSSNNNNNNNNLLGDMN NNNANDGIGGGVGGLGLGFNGSGFSLDLGGNGSFNPFALPQTEEGNEPMAEDDQTIFL NYILNKFANAQPDTS I203_03845 MLYIASALTPTPILNTLKVSGFTGSGSTSLIVAKPDRVEVWDVG SKGLVYQTELEVWGNIVGIEKVEVEGARSHILVLLSPPSAHLLLLTYSTSPTPSLIVT SSIQLTPPTPTLRQAEFFTSVIAHQNVALVSLWIGLLSCIELEIEKDKEAKKRRVSTT GDVNMVDEGKRLILKDNFNINIREHNLLHLSFLPVPSISSGPIVSFLWLSATSDLQLQ ARTLSLASHSFNDLSRPVDVITPLSSNLTLTEETDFNQIPFSCPAARRVLPIPSSSGG EKEYSLLVIGDEHGVLYNLGVTQQSPKALRRLSAVSGTNTQTSPRGANIRRSPQTELV STTNKRRKSSINSKGIGDSANERWELRPVWRVRQGFGTVLAASILEAHSTGASAIIGD ECGRLTAIGWEFEKNQGILEGATGQNGTVRVRKVEVGTASPPSSLTYLDSSYLFLSSA AGDSSLLKIQLPSPETAQHSTSPFGPRKGKGKARDEAEEGSWTVVHEDQGNEWRGDVD VKERWMNVAPVKDFCAVHEEGDGLSHLVISSGASNTNSLRIVRSGVSLEEVVNVEGID GVERVWSLTDSSRVSRLLLSTSSTTLLLQMDPEISLIETAEQVSSTPTIAAGIVPGAD ILVQVSRDGIALWSDVTSGLSAGSIDLDKDSEIIAAQVYESLVVVAKRGGEVSLFEAT PNGLNLVASINVASEISSVSVIQSAALPSPVLAIGTWTNETLLFTLAQILSGSTGITT LDEQFFPSSLHLRPFSSSSTSTSGIQLLAGLSDGSLVIYDLEPSGPNNEIVVKSRKSS SLGNRPLSICPTTGPVVGDDKVIGIGLSERMSVIFESGDRTDFSSVNRKDIIASTSIS SPTHGEVLVLASSTGISLTKINSLKKLSVQTLDLGDRSATKLIAYNENLLVDGVIVKT MDSQNGEVLQINSMELRDSNTLTPLSELPLKSREEITSLKAVLLNGKKYLCGGTAILP SDEEDKDEYDDEDSYINVKQGRLMLIDITQNEKQEWQFKVVVEKTVEGPIYDLEVVHG FLAVASGSKVSINRLSPNPPTLTEVSSFSSAFLASHLTVVHSDIDNEDRLVLGDGMRS IIVLSIDEGSGKIYDDTRDLATHQVTAMGRVKDGGEGIVIGDGYANILTFRLKEGIET AASFGLHEEIARFVPGSLAPPTSSSDVLIPSQLFSTSTGRLGIIGELTPSATKTLDDL QRNLDKYRKGPGGVQWKDWRRGGSSLVKRDTAGWIDGDFVQKFLNTNLFSPEESEKII HGSNSHEHISRISGSGQKEPADRSDVVRILEAASGMH I203_03846 MKIYAIKIPDQPIPNETFDKLLLLMEPQGRERVKRFRLWDDALR SLVARLTMTWYLTVKGLLVDDKLPTFGRKGKGKPTLASPTLNPPLEFNNTHESSYILF AVLRSPLPLACVGIDIMSPVSDPVDTQEGIFDQLTLMEKLSLAGKMSDQERNKRLMNL WTLKEGYTKAVGEGITFGLERIEVILDDQGDVQCVKVDGKDSEDIGWNYKLGNVEGNR WAVWWRGDDTEEEGGVKFVDVRWEEFEKPLVDLVVKLGLQNGQDYI I203_03847 MDEDFEDLLRDPDNPDAEFSSPEKPPAVKLPNISNIQLNIDEKA IPSANEVRLGKRVLELEKERDELMAEIASLKTQQISPQHPTIPVSTTQPDLNSANNQT IEVPPSLLPVLAVLRTHISVLTRDNQALRYTFLGPNPPTRGTIKTSQPPTIPSPLPIP QPASSSSLATSSKVTLDVDMPPSTPSVPNPPSPSAAIVQGGTEAESSKKVVPPASGLS QIDLEKVLDRVKELIKENEELGEMILESGRKEDLEVRLEKALEGELGKHY I203_03848 MQLIDPVLSSRLNSTYDQYLKYCPNGALPIHSNPSLTNSQKIRY NTLKKKGKYMLVTNTRQIQDHLPDLLNTLIILLDYLGYDQLYLSILEGPSSDCTPQII QNVLIPLLRSLEVPDNQVNIVTDEPKIHWSDHNRIEKISELRNRALEPLWLNSNWKKE TRSVVFFNDVYLSASDVLELIYQHDKNGASITTGMDWWKKRPEYYYDIWVGRTIDTGD LFYPIDNPWWTPSSNLFHNSPNSKTKYNKLQPFQVYSSWNALAILSPEPFLSPYNVRF RRGDKEKGECAASECTLVASDYWKVGFGKVMVVPSVQLAYERDVASDIQVDLIKQKGD LGWIDGVPPKQSDDKVDWINKPPEKVRCHPWPETNGLSANVWEETRWVDPWLD I203_03849 MSSSNTALFSSHPAETQVLLHSSLRYAQLASMIVPPIYLARTLV LRRRPFSVRGLMHTSILWTGVGAVAGAGSGYGESAVRDRLIRIKADTQQTRSNDYSII SATLSAMLIPALFLRRAPLPSLILGGASIGLGVGVWAHLGERLSKGQKVKVEDVTGEV PGVGDVVKKT I203_03850 MPFSPWVNDTESMVRDYVEKETNGNRQTGPGDNELAHFERWAKN DDFKKVIMDVLKARLELDWPHNYKALTILGKMPEGELEGLVEKLGKLADSAQTVEGFR FIKELAKPLHEKAKAALAKKEEEEMKKKQAGIQAMWGGLWANDGYIAPTLQYGGYMGW PYPYKAPPGIARAAMAEWPGKPPDGWSPYVIMRRAAPTSAGALYPYIYDPWPKTYNIT ALPQIYPMSRTGYYATQPEQKDIPKPPSNWTVYVGIGPNP I203_03851 MKVPFALAFSASSSTALVNGAGLTGKDSLKPESKVARNVLEGKS EGYCSPSGPIESTHCLYETIESLNKKLFPTIHELVSYPFFKHYKVDLYKECPFWHENG FCMNRNCGVEEANEDDIPEKWRAKALSEIKVSSAGDEGVSGCYFKEQDFCYVEDDADQ NGQYIDLTLNPERFTGYAGDSAHNVWRAIYEENCFGLSEASLSSSSSSEKTTKIADTV LGAGGISKSNDEQVPGWGFSKLSEGWGTEMVKHPSAASAGEVELCEEKKVYYRVVSGL HASISIHICADYLDQSTGEWAPNLECFIQRLATHPERLSNVYFNAVLLLRAVARAAPY LRAYDIATAPTIGLGVKSGRESDRLSKQRFNEILDLASQGEMDKGFDEGSFFRSEDAP ILKEQFKTHFRNVSRIMDCVGCDKCRLWGKLQVSGIGTALKILFELDDKALDPKINPD LLQRSEVVALFNTLHRISESLAAVEEFRQIYAAHQKEEVEGSKKKIRQIEGNANETDT TSSTSTISTILAVVLSAFEGFRRTCRGCLDRIQTEGLGQVIHRLREWVGGVGKGDL I203_03852 MSQFTASPSILQEILPLNPATQRRYLKLLSPSDNTRSDKTRQWG SSMKLSFNDTKSIEQLPFFQDTLHQPASFEIDLSGFTDAKRCSVSENVLKSIYVISRS SERMDSMVSLKPLEEDAASSDDGALEKLRAPYPKAAQASMTQRDAFTLSNLPEDIKRR LQDSNILSKFSTIEFHFRTRSDGSILPQLTRTLPEYYKTPETESGRLSSLPVDNKTNE GRDPDNYKWETLDFTSEVELCVDVNSDRTKVLPWYDEERTKETMRSVMGDWKSIPAVS VCYVKATTS I203_03853 MPIMNEGQHSTLHLGLAGIYPLTEDNKKSYTHISSRSDYLSTHR LTFYHHPQFVPAESAHEDRSTRKPILISDLDCFKTGFQQQSQYEISPLLVRNAPLRQT SSDDEESRRDEELDCTESALHSIYVVAGPTYELSGGEIESNFVGTSTPAASTGNKVKV KIRQEHSFTLDSLAERVHEGQMREIITDILSRFYTIELGIRSTRSMIPELQRIMTGEY KQNDELVSLPITAFSEPDHKRYDWSTQNVHSKLDIEFLIDSPNTKVFSFYDKDHSKQA LTRVLQDKKFILDAASSLIIEAEVVEDGQSEGGQNTGQLTDRPLSYTESLRSRALDIQ VYNQAQELEFERAIMFGTGP I203_03854 MPFVSAQHAMQNDDVDLELIPQSAGDSLQPRPNTYSTTLPTHRI SLFKPSDRPVELPTDRTVIAFDDCQTLRDSFRVDLKVAISPWFLHSNAIRKEDEKIDE EMLTTSREIWDRPTNHKASKSVTENSLEAVHGLQYYLEHFFGICNSVYRNPPNSESDK EVTFDLIANHRFSLSSLSEDVKVRLVKDDVFSKFRSIGFTLRSAVPAITNDAPEFEYK TSRIGHQFEISWDIESDRTKVRSLYVAKTSKDDLRKALGVERSQVSGVSSVDTLADDL NWVEQAIETHQEQEEYQRERERRSTMADFVASIQEVGEPDSPGTIDARRAIIAQAESE YRRVRYGWFD I203_03855 MSKATPVTEDIEEVLLSARYGEVDELKEFVEKFGAGPLAEAKDE RGNTVLHMCCGNGHIDVLNYLLPLVPKELLSRTNEAGSPPLHWAVSNNHVACVKALVE LSQEQGGGLLLLKQTNANKRDAFAESIFAGEGKEEVSGWIEGYLYKVEGGDDEEEPPK DAQMEEGEGEVKVIEGDDVVKEEAEKVEELVEKTEGVEIREN I203_03856 MARGTTKATKQSPSASNSGNILSFFSKTPTPRRTSSASKNGLSE KETVEDIKPLVKDRKGKGKATSLVGSIEDPVVISDDEDILPVSPPSPISRKRKLSHIS PGAGPSSLRAIDSSKPPPFPGYPDFKPPTTWPEVINVGDMHNIEHEDEEDDIPPSDED HNRNQDPEDGDDAENDDSGLDMDVEPTDLDLPDPIEPETPQVATLSKQFKERDLGMNI DMTEEWEEPEDEGMGMEEDGEGDDEASVISEPINRGRGKKGLSGGKISECPICGKSLK GQVNTVAQHHINSCLDTSSSSPRSSKKSRPISSYASFSTEPSLSPEPEVPTKGPNAFS VLMSGHKERDQWKDAEADLKRDGKRFVGRRKAPFYKVMTGMPVAVDAFRYGAIPKVTA YLLTHAHSDHYTNLSKSWTHGPIYCSETTANLIVHMLGVEPKWVHGLPDDVPFEMPNT GGVTVTPMEANHCPGSSIFLFEGPQTVNAGDSGFRSPYVGSKKVFRYLHCGDFRACPK MVLHPAIARAPIHTCYLDTTYLNPKYCFPPQPQVINACASLARNMVVGMSANAPDFQA LQPKVYIKAEEGEEIKPDPSELAERSKAMMAGWLVKKEDGDVKEELKDIHDVKPKGRT LVVMGTYSIGKERIVKAVAKALGTTIYCDPRKKGILLCQTDPELHAMLSTDPISSQVH LLPLGNIQLDRMQPYLARLHPHFDRVLAFRPTGWTYTPPAGTDMLPDVNTVIKRDQAR WFSEKDLKEMRGSCRTFQLFGVPYSEHSSFTELTCFALSIPGPDLKMIATVNVGNEKS RAKMKKWFEKWAAEKSRRKDKGLPAIVDYRDETFVSDCDQPLPLHITLC I203_03857 MSMLDRLRMRRASFSPTPSTSSASSSTTSSPVPPAASYFPPPGR IPPAYENPDKNGVNWLFALPDELLERVFVGLDRITLSRCFRVCKRLNEILSSSIPISL TYTLQCNSLKLNPNALLPQSSNTNHIPPSKAVLLSTLRERLTRFKNFQARSSNSIKFQ ESEGRLYEYLEGVLLRNVPPVQFIGPREAGREVAVYELSKGDDWEDINVKEEKKDKSN TEEQGGDEEEEVVEEYEEDQLVNDIRKTHKFDFDMQDFAVDPGQDLFVVAEVRHPSPR NYTLHIHLLTLSTFQPHPKAAQPVLEWPGPLHARIASLGFQICDDGLFVLRNNNSGAK DHLVGWQWTTGRLAVTLKPPAVSTFESFILLTPTSFLVPSVRTRLRPDSLIQDDLADA RDLVFTHHLYIYAFPPFSSTTNCPTTSTASEGTNSNEPAPPHTPTQIAIIDLPEFVID LDENLPPPRLTIRTDPPPRHTFPTHPPENIQQFVPDPESGIIIIEFYCQPLAAAPHNH PHFVMFSLKKTFLAYLPAPTSPLLLQAFPRPAPVVRWESIAPKVRLIGPDEPEPSWVC YVYGSRYVVPYPHASESSTTVRLYDFDPMRVRQEIYSRRNEGFVGSLPTSPRGIVQRL MFGLSTTSSASNTSSTPPTASANEKGGSPSKRKSLDGSQSQRYDGDRDGIVLVSEETV LKKKLPLKYEIKTGRELPFVYSEKRYMNREIDTVVMDGERLVLFDYTDDKEFMEILDF I203_03858 MSAADNFPQTASSYSSNDLRTYPRTRVLTSQLDFKPISPEQTIH LRHKVLWPSISIDDQLIPEYDFEEDTIHLGAFLNPHQLTPSIEAGIEAKDEDQISDLI GVLTLANQRYDDPSIDAPVHIQLHKFAIHPSYQSKGIGRHLLAYAIQILKTRYHEDRI LFHFDARVSQKRFYEKCGMSILDDKTFWKFGKTGNAEGVEYVKMGCII I203_03859 MDVDDHHSQLRYPVVHGYRIGEEIGGGGFSKVFRAIDDSQGRVA ACKVINLFISPTLGYGTPNVKELQKEVQVHKALKNDYILQFIHSEVVEKDKERMGWVP GLYMVLELAVGGDLFDKIAPDYGVPEDLAKFYFSQLVSGMEYIHDKGIAHRDLKPENL LLAANGNLKISDFGLCAVFKHKGKTRLLSGRCGSLPYVAPELGNPAGTGYHAEPVDIW GMGVVLYTLLVGNTPWDEPSDASPEFCAYRTGELLQYDPWTRIRGQARAILLAMLTID PSQRITMEGIKSHPWCMTPSQLRREQIPEALTQGMKQAGMMTVVDPVFNSTASQAYAA SQRMKNESQWGSQFNQQESQFMRGTGNITQSGTYNTITTRFWLRLPLSQAFDVLLAYF RQELGEGNVQPSSDRTYLRVMKPAGAKTIQGRIVFESSDEFSQGGDTLVVMHRQKVSM TGV I203_03860 MAPVAITSSLTSPPGVPTKKSQIANIKNDAGLNLDADGSSTPYS ATSTAVSEAGGKSPDAAAVHKALVDSALPDPTPSPTDSGYYSHLIASSLPTPHTKAGS IVYASAVDVLEAFAVKHSESVWVYDDATQVGFGARLSEFSSKKIHELQTREGAGLELA GYSKKSSGKFSVFATIKTLPYLLSSLERIEGDVVVHLSTTIVNESLEFQDGLYSSGVL KDLLSIPEDWEVVFSSSGNGLLETTSKLYGSEARKVIQVVSSAASSREITSYTFPSSS DASIPSTKSFDLSASSVYVVPVGKLAQDLYDNLPAGSTLFEFKTLNPSPEQVFSALTS SEGAERKTVSVVGSSKADAEALKALTLSALYSASGSSKVVLPTVKSVVATSAADLLPA KEAALPGKVISFYTSPLSPLPQLLSHLFLSSPSLETRLAQFGSSAARGVKSVLSLAPS GSVTDNLTVDQASDVTWVNDANVLKSTDVLSSAKDGSIVVLELPWSEEEVAVKLTRSE ISTIKSKNLRVFLLDLTASPVLPIQEQVAFLLLYTGTQKLSAGVWKVLDAFHSHQLNR DDVEAAQAALTELHPSGWEVPELEEGKTEKLKSTWEWDALPATAGIVDVHSDDQPLTA SWELAARHLFFREAFAVPEAKVVDSDESKNLPGINGLRPSMADETFLVTVSENRRLTP ASYDRNVFHLELDTAGTGLKYEIGEAIGIHGWNDTEEVLDFCSWYGLNPDDLVTFSNP LKAGTNETRTIFQLLQQNVDLFGRPGKAFYAALSKTAKAKADAMALKFISAPEGNELF QKMAEKETVTFADVLFRYKTARPSIADLIGMIPEIKPRHYSIASSQKAVGDKVELLIV TVDWKDHKGSPRFGQCTRYLAALAPGAKVTVSIKPSVMKLPPDNKQPIIMAGLGTGAA PFRAFMQHRAWQRTQGIEVGPLIYYFGSRYRSQEYLYGEEIEAYIASGIISHAGLAFS RDSDSKTYIQHKMSADKKMLSKLLLGKDSDAAYFYLCGPTWPVPDVFEALVGSLTDTG MDRKKAEEYIEELKEEERYVLEVY I203_03861 MAPNLHNLLSSLRSPIFQTLSNPTSSRMGTKYLRRRLRGPSIAS YYPQLTNPFPSISALNRTHPSNPFAGWQGNPLPSSLTTTNSGKVIMENPVWKNEGNML RNSELVDEGFEEVTRKRGLGWLADGNEVKRAERVRMRKAAGKGPPKKGQGRRSQMKKK I203_03862 MLVTETNDSGVEVTYHDTDLNSYNTSSPASFTPGPTKPLHLRLN LDTTLPPESRVSPFFQTLPEVNAPYSVTIDADTLQAEYRYAIERDPELSNVNFTSAIF NSDIKLDISSPSTTFNQGRIRGSAMTSEVPYFQVVRSINIADLVKK I203_03863 MVALGDTPPPLHLYPHDASSFADTIDPVESARPSFESSTGTAGN NLEKVLYRISLSETADPEYRKSLKRLADHFRGLPTLRNRYSVIINSFVLESKYEEAKA KGYNSANRSEAILDDRTKLDISPWLGNIPGKFNFVDNPQEKSKVNMISAIMVPRSFSL RSMVEDQSEIVKLIKSDSLAQMQSIELQIDPGSNSWVGKSISTESFKTQVARDQKGEL NRNMVVMTQSDDDSNRFTAQGDQVNNADSQIRVCIGSTKANSPTAKAQFDTAIGTEVK TVDTDVALRIDLTRELR I203_03864 MSTDSDPSSSSDERSDFETSQRDSEISVTFREKDSHDIIPYWEL PPVSKSFKMTIENSTDLAGLDGKTRTKQKSMKHPHPPYEFDCTVNGLDGIGICEVNDE SELYDEEIPNPTLQQIIDQAALAKVKMFSVNIQPTQCQWPQQSLRKGSTWGSLSVQLG DDGRFEGQGKEVYRDAQMTFIAGSAKVFPAYKNEESEINRTLVDIFKGQNVPLLFAST IKLSGRCWED I203_03866 MSVPSSSSSQPFGALAAFMRPPQHIGMQTLDRSAFQRDVPVISI AIEASKVGKIRSNLALRGQVLDLPKVKPIVESQNSEKGIRWIRLHVSREEDIPSETRE LIDRETLGLRKENVQLGYDNWNTSEILCAVLPTTKSDDIPSSFTSTGHIAHMNLREEW LPYRYLIGQVILDKNPGLRTVVNKLDTIHAQYRYFDMEVIAGDKDYITTLNESNCTFT FDFSRVYWNSRLHHEHERLIDLFKPNSLVADVMAGVGPFAVPAAKRGSYVLGNDLNPE SVKWMRENRIKNHVENNLRIFEQDGSEFIRTIALEVWRNPFKASAPAPSKRKQREERK KPETLGVTTLTNSHPPQVEEEQKLPEPPKIIQHFIMNLPDSALTFLHSYQGCFLPLLN EEDFVAKYGMDGGKLTDEELPMVHVYGFTKEMELDKAQEDILKRASDDLRHPLSTSTQ DYNLHHVRSVAPSKDMYCLSFRLPRQVAFNSV I203_03867 MKRNWEGGGSSASYGQSNGIPQTDESGGWVQVSSHPYAQAYSSM PNPFQPSPLHNSTTYDQTSSYLDNSNNSLQSHAHQDKKPRTDHSPKDIGGGESDNEDD EDDDDDEDGNDGSAKGTPVGKGKGGKGKGDKPKVKLTRGSRACIACRKIKMRCIPDES AGPGAPCKRCKSGGHECIFEESNRGKRSTRKNEAMAAKMAKFEAALKGIGAALSNLDQ PALNSFSTALHSTTADTDVINLITSHTSPSAVPTLAVAMNRQSYNSAGGGDRYPGEMR GEDSAHGPHHSQPPLSPRLHSLPDNVLSPLGLLAEASLQNTDSKKNKNSVSNPSPNHN ATNNGNNNNVGGKSLHRNSALNFDGRSNPPPRSGSPMGLSPGSYRMATSDIRGGNSVT VADEDEHNHYSENGQGVASHNYFKLGGTLNPASGLSDNRLPELMTIVSREEIGELFDI FFDHMAFHVPLVYREFHTPDLVLQRSQFLCTVICALAARYYHKRPELHAQLSAYAKRL AFEVPSRGYKSVEVVQAYLLLSLWTLGPEKTFEQDRTWLMLGMAIRMATDLNLHRKSI VSGLDTEEGKARDLEIINRERCWLHCFVLDRSLSAQMGKPYTLREDYIIRNACEASWH QQRFSLPSDRPLSAYVVLQQIMSRAIDSIYSSTTTVSGLRHDCDYMLIVRSAHEELRR WLTEWNKPEQYVGMAGISDGKMEYDSRAQFYFAYSSLVLYSFGLENALERAKMDISFF LTNVYEAATRVCTVLKEEFLPKGYLPYLPDTNFVMCSYALLSLLKLLKPELRPYHDSE EPIFKLVSEMADILEDCAVDPSHQPAIYAAFIREIVRKTRELRHGSSATAPTSPGTLL SQQIHGTMATGHPIAIAPVAGTVGTDHSTAVAAAVAAVTAAAANQNHNTGVYDPQLLD QDANWQPGDLLPGHSGETQFAYIPQGGDMMILPSQAGPSVAPSPTAAFLANPLSSNST SINGMQSSQNYVSTPTASNGWAEYLPTFMSSDGFDGWDGSMLLPGFGRNQITLGGGLL HSQHGSGIITPAHQTPAHSRMGSRAGSRAQTPHHGSQG I203_03868 MSFGSCSRYALPRSPLAWGTAGPSKYMTTSCFSFQVRRSIHHPA TSRSPKSRIGSSLPCTSCQSANSFQLASFSTSTRRSVPPPSSTTTPTSTSTKSPTAIN TDATPKDLSHSVQDKTDWRIIVKLAENIWPKNNAKVKIRVIGALGLLVAGKILNVQVP FFFKTIVDSLNVPLTESSTVWVLAGASIAGYGAARILTTAFGELRNAVFASVSQSAIR KVARETFKHLLNMDMRFHLERQTGGLTRAIDRGTKGISFILSSIVFHVIPTALEISMV CGILSWKFGWDFAAVTAITMGLYTWFTVKTTAWRTRFRKEANAADNKGATVAVDSLIN YEAVKAFNNERFEVGQYDKTLKTYESASVKIATSLALLNSGQNFIFSSALTMMMLLAA QGVVKGTMTVGDLVMVNQLVFQLSLPLNFLGTVYRELRQSLIDMEVMFNLQSLDSGIK DKPNTKPLALKGGEIRFENVNFGYHPERPIFKDLSFTIPSGHKVAIVGPSGCGKSTVF RLLFRFYDSQSGRILIDGQDIKDVSLDSLRKSIGVVPQDTPLFHNDILHNIRYGNLEA TDEQVYEAARKAHVEETIQRLPDKYATKVGERGLMISGGEKQRLAVARLLLKDPPILF FDEATSALDVYTETELMKNINNTLLGGGKTSVFIAHRLRTISDADLIIVLQDGKVAEQ GSHEQLMTIDGGVYQRLWLAQLTESIQSKDEEGEKEESEVVVDSKKKQ I203_03869 MTDTRTNSTTDEQSTFDWSQRELLRRTALDQGVGSEKADELVTQ LTTENPTSSKGRDWT I203_03870 MDRNTEIMIASNAVLDEMINDLILSTAMAAHREIKRGMVVCGTC GTKCRAHIPLPPPAIPSSSSSSSLVPNSSAPPSRYPSPQPGIGPDGQPISRTGGYTVG PEKGTGGSTGIGSGSGRMDGNGNTFFECLVCGRSVASNRYAPHLSSCLGLNGSTRRGA ARSAAVKARLGNNDRSSPSPYVNKGDTGSDNGDWERGSEGGDSVGGKKKKKILNGASP SLTPSSSANAKRNKSPIKGASIPKKSKIGGTGSTPNSGSATPTPSFRQALPPSKLGRP PTNRPIDISQSPASSPEKSIISISSSGHGGGMTGKKTLPGQNQGFNMGGYGPGESVTD VLQSTGGDESSEGEVDDY I203_03871 MSMPAADHHHSSKKPNPTAHPFSKLRSKVDQLQSDLSRLGIAIS TTLNPNHRHDEAWEQEVDAKIEAIRDQHRFRSFSDIRSGNIAKYAIDGHDYFWAVSEI IDSAKECIMILDWWLSPELQLRRPAALFPEWRLDRLIKKKAEEGVRVYVMVYKEVDLS MSLSSKHTKHALEDLHENISVMRHPDHSGGELVYYFSHHEKLCVVDNTIAAMGGLDAC FGRWDTRNHPLADVHPTEFYKSLFPGQDYNNSRIMDFQTVDKYTSNALAIQDAPRMPW HDVSLTLIGPSVVDLVQHFTERWNFVKTIKYKHDHRMEWLSLPFPWDDVKSRHDEEKK IKDNEFREHHPHLSDWKERGRQFFHPYHFPPSEAPRAAEPVPYGTCRVQVLRSAADWS HGILLENSIQQAYIALIREANHCIYIENQFSLALAQRVISAAQEGRKFKIIILIPAVP AFPGDIQSQSGLKAIMEAQYRTINRGGASIFEMIREAGFDPQFWNLRSYDRINNPKAA IKRMEERSGVTFHEAQVALAKVYVGSDDVAGGQDETVNIEQPHDQTDGVDQINKKDTV QKAIKLPKTIDEAKQIIERFQNGRSNDDKHVSDNVGQHALQDTTSLVDEQWDGTEEEE LACFVSEILYIHSKLMIVDDRRVICGSANLNDRSQVGDHDSEIAVVIEDSDMVESMMD GKKYMASNYATTLRRNLMREHLGLLPPQPPFDSAEHPNPAMHPAPVPHEYDFGSPEDK AVEDFLSDEFEQLWVGTGRGNREIFEKIFRPVPNDSIRNWEDYKKYLAPNAGISSGHV ADRSLKLREVKEMLSKVRGHLVDMPLDFCIDLKWMTEGDWLAVNPYTLALYV I203_03872 MPLLLPPSDDLRPSDLTYTHAYNSIVSRVRRRGGSGSGGVVILA GTDVDGLLGARILCSLFKNDDVPYRLVPVGGYSELETRRDEALASEELHTLILLSLGS LLTLSSYFKLPSSCHLHIIDSHRPWNLQNLFGIDIDISEDPESSEGKIWVWGDGDEFS EVMDNVKKSWEALEYEPSDSGSSDDGSDEDESDETDEEEEEDEEEVEVEVEEDDEGQG NGHGSPSKRVRKRRNAGSTEPKTKRQKEDDGRPRRLPRAVREAHHERIQRYYASGTSY GQSVALTIYLLATVLERADNDILWYAILAVTHQYITSKIDRDSYESFQSIFQDEVVRL NHDPSTTSNGTKIPNPDDRSIVKSEELRFMLYRHWNLYESMLHSGYVAGRLGIWKEKG RKKLQGLLAKMGFSLQQCQQSWSHMDMDLKRKLPEQLESIAPEYGLIELSYPSFTRSF GFQLTSLSSADAVEVISSLLDIAVGVRLEVDREGGRGGGEWFGGTTRWNVNTRESESS RADGKENQDPNDSSANEEDGGGQGDGEGLAEEKKKRRDNWGTTNFWIAYDACDDIALI RRSLPLSMTLHRSIIRAGSALLDKTIIRSLRTFRLAILKEGPDLRLFSHPSTLSRLAI WLVDATRDRWAERQSKMNNNGKVKSLPFVVACLNEEKGSYMVVGVTGAPEFGDVRKNK FGLAFQHAAEESGSGTKHDMFDTSVVEVAQEDLQGFIESLHLRSG I203_03873 MSIQKEYKFTRSTLPLPNDLLHILLQKLLSTSSFSTLSTLARLS KDYYSLIIPLIYKHVHITSDEQLQSFLTIPLTKREENDKKKRKIVNTVLLKGKSRSRS NSLEDSSNWSRKIQCLSLCESLTLDVYPSRTSFKIASKLPQPLQTPLLTFTPKSLENL REKLSRSGAPRILVSFWSQHLPTLIRPRKVVVDYSNLNFNPISNAGEEEEEDEEKWAD TMSGMSISLQSWTCSEGLEMVELKGDKWMGVLPSPGVGVKMVHTTASTPSSFSAETLN GDEEEEELVTVNETVLNAPPNPNEPNPATLESIESRNKLVSTRVQSILMGLRTSQALY ETYNSRLPLKWDVVDVLPPPIGFEEMDQEERYNEYQREKRKVLDEILSGLDEVAPVIT RRYGRIGREGRRELSCLNWVDGS I203_03874 MPPPTSSLKPTAAKTNGSSAPAAAPAKAAGGKSTTSTAGHLSKP DQSKYNAEQEELNKQIAEVKTKLDAIRSRISLTQAPQGGDRRSEIKAEMDSLRSEQAK FKGDRNKLFDEMKKYQESLAKKIKEVQGQKGKVSYRTTGEIDDRIASLEKQIESGSLK LVDEKKALAEITSLRRSRKVLETTGSVDDAIAADKAKIDELKKQLDDSEAKKVNERFD ALKKEMDSLRAEGDKAYEERGKLFDERNKLSKDMDELYEKKRQSAQAHREANDKYYAK VQADRQARQERFKAEKAKEDAARRNEEITRLREEAKAPAFTSEIDDCQVLIGWFKGKY GSGEVPSTHAGSNTSEEKVLEGVKALEIRKVDSEDAFKGMTLKKKGDEEELGGFFGGG GKSKKNKKGGNNSKKSGTATPASEGTSTPTSGAGAVNLPMSLLSALLSLGIPPPSGKD DVQRTIDDLETKKAWFEANSAAKTKAEIERVEKLVAKLQKKNAGVLNDDDEEEKATTS GDEVPVEKGGSKEPLHTVAVAGEATGTEIVEDEGEQLPTNEDGNDPEVKKVDSALEEL KEAEALQEA I203_03875 MARTKQTARKSTGGKAPRKQLATKAARKSTSKTQGAGTSGGVKK PHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVLALQEA SEAYLVSLFEDTNLAAIHAKRVTIQPKDLQLARRLRGERS I203_03876 MSTPATSYSAAHRFSFSPSSSSLSTSRTLINIDVIQYRLYVKSL YKRYLVNSLNWYIRRDLWRERAIEIRAEFERNRNITDPRALALVLEQAEERLAKEIHP DPYRPPLFPDGTKWERNLPPRMFSAKEKADALAASH I203_03877 MAENPLKIPILLDPHLPASPSSLPISPTHIASWLIPSSTEAGPS RRTALACRDNTIWIHTTSSLLDRQEGVSPGIAIPSITTQAASSPSSPRRHRPTQQRNL SYSGRPRNSSSASSITGKRRTSAFSPPPSALQLPTTTLSSVSASSAGPDQHTHRGSIS DRHELRESLEKQKERKDDSSSIIGLGIGGIGRRGLVGLHSKEDRTNDDNDEGRSGERS PKSFVSSTSTQTTSNTNRFGLFGRNSVSTEHEHDEESVLGGGEDREGMKEIQVDLEME KETREDKKSSQDRKLIEGLISERSPTISTTLPPPIGRKKSVKGKEEFVRRIILREVGR GKIVQMKVYEEVGSLVVLRDEGLLDIFSLATLHQTASINLESPEETKSSGSKQALKVP LFWDWRDIHLAKKDNTIIFVVHGLPWPCALPSPNGEVTRVVMITPSPAGTGMHIVARL ELPGQGDLGVLNNHDTSYLIHSTPTSLTSYPIIFPTHPSSQDTTPAIKASPQMRAVSS AFPSPYLRSSTPDPSAQRSRESTLRKSASYTHLKDSASSPLLGDHSHPKEDKDKEKGF AKFLARRDWGRKGKEDDKPEEPSPGIGEGQEVERDGGGNWDRIVIGEKGEGLGWKDGS VDVFWTDGKKLKMRGHVEMSLQGKIHAVHFTLGWRCIVVISQVSEYMPRFPIRKLKVT RFNGDAVLDDVRNASLHSDGTLYFITNTAIKLLAISRKESESLSLASLSQPNETSSTC RIAAASIETVFTSDPRGNVMTRHFSDVLVKSPTKSAADDNPTVDRLDSPVTCLTTITS SGTDGVGNEYLVAGDDDGVVRIWTTSPFKLCGSWTLFADPVKDVALLNMSQAGTLQGC LLITSRDGTVGIISLKEMDDLFLIPASRTPLRRIFIEQKDILLAYANGKARVWNTQTQ EFRRSTGLDAAKDMLQDGDWAEPADLGTLYTILPRCQVRELNSSLINIGRLINFDLRE LGRWLHSSKNNPSHSPLSALRSLLSVFLTFGIDDRVDEICTGNLGIRRPHIPAVLGNS DIEGGQFNYAQGVDVWSISPYMTGLRQLAIVILLRPFLDSPDHERWAAEVIAHYTASL PSLATEPDLHFFAEYYMDSSTDVHQAARMLFAARVGRMTSAEIDSTVDVGQANLPTKL SPATRFSDVAANALTMLGGIALNKFETMQPSVLKSIAESESIFLHSNSFSHLSLAIEI CSKGFTTWQSYVDPSDLLRRLFHLSTHKDFASNTNGNGNSISIAAQARLAVLHVASSN PALFMSTLSMDILDAKSVEGRTSIMKLCVYMARKKPNVLENGLPRIAEAVVKSLDPNI GKMRDDVWQAATVILNELVLAFSTIDFHSGTQRLAVGTHEGAVIMYDLKTASRLYVIE PHKHPVSAVTFSPDGRRLITVSLEEGNVTVWKVGSSLSGFFNVGAPPRQGGEKGEPFK RIEFIRADDGPLSSTSALSDIQISWPGNRQARIMIKETALTFET I203_03878 MTSRLKHKLELENVNLNSAYLNESFVQIGTPLPALSEHKKDKQE YVPEWQQEVRDEQGRRRFHGAFTGGFSAGYYNTVGSKEGWAPSTFKSSRSNRANKVQR AEDFMDEEDLEHMREDRKLENTDTFKNDGFAGTREELGGKSLPSAIESLIAPSRSSIG EKLLQKLGWRPGQGIGPRVPLRKLKLQQGKLGKARAGIDDDEDEQMGDDTEGSKHTFA PRDVALLTFDAKEDKQGLGFAKGRGMGPLPVAMGARQLDDDDYDPYAEAGPSSRPLAF DGLDDDDEVIVMGGSTQPGLGSRSNKKNRYSAGRDLQQDTWHDGRPVLKGFALDPKGV PQDKWFALPDIPPDWRPRPARVWGTIKKWDQKPGEEEVKKEVIRGEPGKPLTFEQRGA ALGEEQRTSKAKSVFEYMSSKDRERLASIASTAAQPPSQSLSLQAPPEEEEVMAPAAT EVDIPPLSPRTASAALKGFIPYGDDLEKQDRYKSYLTSQTYNTTEPDPILKKGTFEEI NKELRDFSSSARIFRPMSYAMSNRFTSGSSSLAVSDLKQAKPGLHIFDAEKAKLDLEL GKPKVAEVVEAKKNLTPREQAAANGMYGKMTRETRNWYPTKLICRRWSVKDPHPEGDP NLGKDQKNTSNGNNALETMRLPTNDASWESKFIHQPTTPTTSTSTAATSTNEGSGTVG DTEVEKQPTNISEVGMANDINQGRDILTYEKPSIDIFKAIFASDDEDEDDDEEEEDKG LPGAESNQGVVRKEESSEAARYEDPFPVKEEGPVDIQTFKPVFTLRKEDNTDLKKKDK EKKKEKSKKRKGVLSFDVGDEGEDEDVQREEKKKKKKERDRNRTDGSKTANEGGDEEW VEKPSVVPRLVGRKGAADFM I203_03879 MTSIATASASTPPTSDLLSILPQLLTTLQSPTSISIPPATLLGA ITHFLSQIDSPHLHDFISALISSPTLWSHNGISAEDIRNAIRLSIPAKISKIHQETAD VYFKETRRRRKAREWLGVWLDSLSDDQDGTGAIQTRIGLLQGLDDTPEIDWGKGRVKL EETMILSLAEKFQTPSTPDCGDLIAVIPHIAVDRLQALDIKAVTSQIESNLYQELDHF SPSTETVVLNLSRALARSWEVSYSGGPSSRKYAKERMISFCEQMQEKTENLESEWQGN SGSKTEDANDPVWLKNKTTFFSFLTVASTIIDIVLSHPNNSIPTDRNGLDPLSAADIA INLLTTLGSFAYFTDTNQGTFENYYKILYASLDIASGQGGDEGVSALFEKLCREGKMS DAKAGYVLVLGEELIHHLGKKEIDLLLPLAERHAHKPTHKGSFEASHAFLLALIRTSS EGLSRNDAQTAFFDSLLPNYINIIIKQYSQKHISSEQFRQAFPLIVESASKRSPPSVA FCLSYLSTLKPNPDIRHIRVTITPFIDSARLPGYLEDLAQMILTTEKNSEERMDLTRN AFEMVVKDLSDKNKHVGIDWWINWKDRFAGRGKEVGFIRSRL I203_03880 MPNQTSTAVTTIKMTTTAQSFATLPRYQLSDVQFAESSNSPTST TSATDKSTRTETGELMSRMVSDMGYPATDESSSPLLAQKKVNTDATLYLNTPDQLMEW MNQRPLSTTQHQTYGHLELSFDLKWFRTHPKLFTKIFTSPYSTKNVPLENLHSVKLIT TGVNFFMLDQKPIFDQRGIEQYTERLAITLHHTLSVLLRVLVDDNGPRRFTWIDGNNR FLKAYNKIRGNSSKVKSSKVQTPAILHHWINTSTWYLPYLIRPTWYFDGNEKGEENEE KPPIKFMSIPAEGLHKYIKGVTMNNIIGDILSRNEEEGEEAIIEIRGKVPRGKEKYVK QLKETLKLVDEKGIIVLILVDEKEL I203_03881 MEDQVIPQSINHQTLIVQTSSTDIAHIKLLEEKVKKLETESKKV KTQNANLRRTNRELMNENREPRSVVEDEETGNNVIEDLRSRRQVIENRIKGLENLRSQ NQLLENKIIGLEHEDRCKGDRIKELEDLLQLHHYNCVEEIDNPKSDKARQAEMDRRLI ETQQAIVSKFEERVKQLEKEKSDLVTRSRTEKKQLESQSAKHQSQLKRLTDEKGILEQ EKEKISKRCSELESRCDNKDEQMKKITAFLAQAKDLVRGAEQILKGPKNCNTSDSSPL LHDHPGRKLYLKLKMLRVFKPQTQHLQLSEPLNRKFR I203_03882 MPYAEPTHSPMTSPGQESPFHFHRKSHHTPPKHIEDIDYGVGSS SGPMPGIPRRNSSHSGSSTPRRGMFDTISKATHAIGSQTFPISRPMEGLPRRSPSSSG PSSHPVSGLPPAESSSLGLKLHPSPVKSPLLHRAVRDSMTSNSTADSSLPSTPSSPHV TLPVHIHDPAKNGESSVPFPAFDPEFKPPPRSSASRGLLSPSSRPTLASMRRSSAGHV RGGQNSTGSLQITFPPPVSHSDDSSPIIGGSSTMLRPINMIRKKSGEIVKPSLKQRSM STPDLTRQAHDSPTDEHDSPRGFGEERSKSVRFADASEGDAKALESVVLFLREQKVTA VGKAADPENAANTETETENDTDTDFVQFRTRRNAAAKAADENQIIMEGAGRIPRKRTD FSPDARGSLVGENVLLERIELQSGLGPLCMRGTVVVRNVAFQKWVAVRFTLDHWQTVS EVSGTHVCHIPAATSGDEGWDRFSFSIKLDDYKRKLDERQLILCVHYSVEGQDWWDSN DGMNYNFTFKKPAPRRNTRSSLPPALGANYTTDEQPSGLPGLRKSNAPPPSANINKVF GAPSSGPASWVFPKLSQRMNGQVQPPSRPDSPMQTPPPNSFKPPAPPSTHAHLTLAKY CAPSPPLSPTKDASPTMPQIVSPESYDPVDLQRRTSMNVINGNYATLVAPDMGHERRS SWNGQNNSWDSFSQAMENSASPSKSSSGDATPTAHRSPKVPEDEQESTPEHRPLTLKR STGDLRKLMHDAENADLGLMTPPSSNLSSPPTPTHAGLPAIPMSPAPSASTGESSPVE TMSNESTPDLASLPIEIDPKQKDQKKMLDTSYQEFLDKFCFFQSPRMTPVELEPVYSR PSFVPSKGDNSPNGFPFYGTGSNGHNSPRNTPTPTRQYNSAQDAFNFNATPRPTSAGH KQQQQKQNSDLSSPTNPLGHLAPGFHASPADTRAWAQQIHSSTASPSLTAAK I203_03883 MAKTYPKKSQWEDKAIVAICSNDERVTPYGASKKGGGGGDESFR LIRSLSRIMKGNDVKNEKDEMNDQRESGKDNYSMRKEDGSMEMPFNGACGAPTLVGAK GERRKKGSLNDE I203_03884 MPEVNAEASSSTSTAPVTVSVAASRNGRTPGKAHKGDKTAVKRS YISNSIKTPFEKRRERDRQQEAMKAVEKELKDDKQAEKDRKVSIIKERRERAAEKQRM EDMKAKMSAKKLQRMKKRQGRTKKING I203_03885 MSTAATSTPRKRKLSVGDETPRRSVKGKNRAGPPTPNPPLVPFP TPPHTRTRKAKYVEPILSEEDQPIPSSSKKSASTDNLPPHLQTLLNLHHSFNLALSLF IATHPPVLPPHPPSATSVLLPNLTNFLSIRETVERTSGRRFGLQELGRLAWVWTWDGK SLLDDKAISEKNKRAMIDEDDDDNPFLVHNTPKAKTTAADVGAGHVSGLSYLITPTRT LDPQTGRRVYTHGLGIDLELRKGETRQVLHGGAEGGIGNKGQGGGMGAVGRWNTNGDV RHEVFRERLEKWVELNGGYEPPEKSILPTPTTSVNSTRSSVPPIPVLPLPHLPSSTLL PSANLFSSFSSPSTTLTPQKAHPKPSTDSPKTAGLSDPFELTDEKDDKKGKVVRPGSV DERRQAMMDRIKARSGNSKNPLSTLGSSAGGMKRTNLLSATGQQEELKRRSTLSRLEG IAEGVWMMFSAPSPGPSTLPNAPRGRRKAIPMTEVADVIVKSSKTPISTAEATSSLQL LTELCPFFLTIKQIGKQDWLEMPSTLAAPPSPGSTSTIAQPPSMNGGRLAPPSPSTPG RSSSSLELAGPASPGRVRRVGGLREVRERIRRELGE I203_03886 MSQSRPSQPSQSAMTSRSAAGARPDRKPILPSPIARSARAAAAI GAAGLHSPQVNKSIPTVELGGNKSLYGSFRSLAPNARIIFGLAIGAVGLAGLMIDRNV LQGEKADEKPAISVRMVDRK I203_03887 MLIALLSKWSCHICSYLYPAYASYKALSHHPDSSPEAMAQVERW LMYWAVVGTWTAVESVLGWTFTWLPFYSLIKTLIFLYLSLPQSEGSSYIYTNHLAPFF HEHESDIDAFLASLRSRASTALAGVLGWCWEKVKAQLNIALPAGHEPFPNQGGYNVGD TQGFDVPGLHQPPTLQDPASGAIQQVYGLFSRYAGHYMPVALSALTAAAASAQSSNRS APASSGFPARAEQVPESMSMPIPVPTPGVRSSPSDTTLRSRTYLHASGSGNGISSPEL AAASGRFQGGRSRPSASSSNSEESLFNSAPNSKNSSHVRLSSDYEQINRDEALGAPNG QRPAMGDQRRSSGWFGWGGVDAPDKPKSE I203_03888 MTADTQLPSDICPTLHKWQLHSANHTVYTDDDQVHVTLSPSVGG RGTTLPERSEMTINLHDLNKYKSSISNHLENSQSPIDLSDYSKVPQQVTVDVCPFVGY SRISHAPLSVGLDERYEGTVSVYSGQAEIQGRHRSLISYYSDIVDSVHQAVLDKGLPP MIKEANCKFSKPPGSGIRGA I203_03889 MYGQYQNNYGQPPPPPQQQWGQQPPTSYPGPGGYGYNNGQQQSY GVPPPQNNYGAPPPPQVNYGSHPSQNGYGAPPPQQYGGHSPQPPYGAPPPQQAPYGAP PPTQQYGAQGGYGASPPQTMPGQGPRFLGVSIPAPPPAVPLSNLQGYNAQFDAERIRK ATKGFGTDERTLIDTLAPLDAFQMEVLSRTYEQTVGRSLKKTLEKELSSWLEYTLVLL SLGPLGGDLHLLNRACSGMGTHEDLLNEILLGRSNEEMFLLKEGYKRVYGKDLVAVVR GELSMKTERMFNMALSGQRDESPYVNQQQVQQDVEALYRAGPGKIGTDEIGVCGILLS RSDAHLQAVAQAFPQRHRIPLSQMIQSEFSGHMRDGLYYIAKGAENDGQGVVRDAELL YNAMAGMGTKDERMIYRIVRNHWNRPRFNAIKDQYQAQYGKTLKRAVEGETTGKYEKA LVGIIEQN I203_03890 MASPQYLGPAGSQPSNPLTAFWKSQVVNPEHREGNINIARATVI FILGVAFVRSSLSSALVPVF I203_03891 MSSEVKFSLPIPKQPLEILLPFPKQNPSEHTIQLLRKLVQKILD HKEIYSVTYSPVLCPVYKEDGGGNNQLFTIKPHWWRNPNGEWENARSQGQAQLESVSK TIHTLALGIRHVPDPDLKQKSIERIEKLLRVFFVDIDTRMEPQVRFSQCHPGQEPMKG NECFVIAIRYLILVDQALLVSGSLINQGLLHQTKEWFNEQMVWMENSDQGMKAKNAEK PLGYNVILASHRQFIQGDTSSITLATSSFNEWFTKHPIPQDVFAEFLRHDNKRHKCLF TLEPLFMLASLTVPLHHEQGISDGMKKYLRECVEYMARVAWFERILYGRNGQGERDAE GKEEPSGEGWENEWSARMRVMWGFI I203_03892 MPSPNPPTYYETLFHSSSLNLVIPEISSLPEEPSGTSDKDDLSK WWEDVEGSSSTRESAFFDEKLFYFLSMHLPDEILRDLPGTPAIDAKEPTSEMLRFLGR LQLSMTASFIPSLPPQNPRSTFTPTSSIPISTSSSSLAPPAPPRTPLPSSSTSEQGSN PPVTPNPFPAMSQGEEQYANVEGVVVWEGAVEEVSGPWEEGNSKTKLPTKGTSGSGRK VTRVKDGWEIIWRGEVPIAYVRTQIQNPLLALTASVTLRDQTHSIKTHRRNAQSIDTS SIRSGTTVRTEGTDYEGYEDDDGDEYAVMEQIDLLGGLAGGEDIMPATRLASSIRQDL SIHTSPSSENSPLPLSAMTPLSALSIVTPSTTSTEGIGPSRGKGNLPSTVQPIISTTL RKSYRRVLSLSPGLRVRMRTLFLPQLLTSNEVDEEEGEKCVILCIEIENSLESSLDNG FKVNKVNLEISGKGGKVSTELIENTGFPLRLGSIEQYNLLYKVKIASSPSSSSTGHGD TTGKDGVEEIVNKSLGRTDEIRPVSIIVIGKPFTRINQSTGEDKYKYQTKEFHSRWNC SLDLSSFHHEDKHNLPAILPKSHNASNRNSKTTSLPASNSIAIAGDKRYSLAHLLTLE KEKESQHRKPLMPSQMINHNQRVTSLNAKTHQAHQENGLLVSIKLLPSASSGSKSNSA IQPLETFSIEIFVHNRSDQIKRFRLNVPSRDTGSAGVENRLREIWDKRKKLRKGQDDA DWGVDDTILKQSLSSHSSTSPSIIPLENDIRCGPLLPCTSLSTRIKFLALRKGLHKID KLRVISNDNEFDFMISPVLDIVVG I203_03893 MAESTQPTIAEQAQDVASKLANTVTETLNLGDKSKDNVDKSALP ILYIDEKAGSDSTGTGAELSPFATPLAAYQSLNPSPESDANPTNVANLMVRKADSVER NEWVEISTSAKKKLVKNIGGWRKSQAKSAAEGDKLAKDKADKEEKERKRREEAKSVVL VDDQSKESKKTKIYAVPELVGSRVRIQGWVHRFRPQKTNYFLVVRDGTAMLQCVLTGD CIKTLDALDLTTESTVELVGTVEKVKEGQKAPGGVELMVDYWKIIGRAPGGIDAFEGR LQQDTDASIRADLRHLELRGETATSVMRVRALLLRAFRDSFYRRRITEVTPPCMVQTS VEGGSTLFEFDYYGAPAYLTQSSQLYLETVLPSLGDVYCIQESFRAEKSLTRRHLSEY THLEAELVFIQFKDLLDHLEDMICEVVDTLLNDPVSSEIIKTLNPEFQPPSRPFLRMD YRDAIKYLNEHGIKKEDGSDHIVGDDIAEAAERKMTDQINRPIMLIHFPKLLKAFYMQ PLASAPDFTESVDVLMPNVGEVVGGSMRITDYDTLMAAYKREGIPSESYYWFTDQRKY GTTEHGGYGLGVERFLAWLLNRWTVRECSLYPRWMGRATP I203_03894 MTHGFGTPSVALATTPTVISTFFSHLFARNKRKSQSKKSLKEGG PGGGPENQLSYEEGLKVIRRFLEFASHHGVEEVQGFTAMWVPTPHWVRRETVTIPDAN IRAAEDILAKHLSTYGPEGEQGGGLKLIGGDKWWRVRGRTLEGEWIEMQKDYLKRKAT ATSRSSSTQPATQLSSAQNGGYGDYWKYLPKRAGTTGSDSVKDNTGADVLQDRVILYI HGGAFFFSSLETHRYQVQRHARKAGARAFSPAYRLAPQYPFPCGLLDSLASYLYLIDP PVGAHDPILPTNIIFMGDSAGGGMVISLLVLIREMGLPMPAGASLISPWVDLTHSMPS IGGWDGGDFIPSSGFHYKPSCAWPPLTGDGITITMPDGTEQHFDEQIQMYCPNKLLTH PLVSPVNLGSLGGLCPLLIVGGGGELLRDEIIYLAHKAASPTTYPPSSLTLSQYPDQA RMVNKYNPTKVHLQIYEGCCHVVPTLSWTRSSKYMYRACANFNIWAFTAAQKAVERRL HHEISHHSLRDKHASRQNSTNNTSTVASSLSGSRATSTIDLNQPIIGTTAASAANREE LDLGDLESEASSDSDESTSTYQDGDEGVPVEDQGPSKGVVTVSGKEPLFGPNNIVSER ISTHGRVRPFEPIESIPALDPKLREHIGQIHGGGAIQKWLTKRAEWDEKYSKELKKWR EIKFNDRQQAEKYGYLTRELQGDAPPLCSLAGIYDEDLARQVGRSVDEPTGKQSGVVG MWMKMGAKADKEHAGGDNLGEIKQNVESEIKQEQIRRASVSAGAAQRQGLVDEPGVIP EHEVVNR I203_03895 MSCSHDAHDHDHDHGHSHDVPLDVSPLDSLYGQIDLPNVTALNA EGGGEEGQKVIKSWDMKNDETLWCESEVDDELIIKIPFTASVSLRSITLRAGPSGKVP SEMKLFRDNPSLDFSDASQSSPTQSFDVVDVREGVEYQVKAAKFNGLTSLTIFFPGNN SDGEEETTRIYYIGLRGTHQPLPNRPGVIIYESSARPADHKTEGINEGQTFRPGF I203_03896 MLNRSSSNPDLNLHTLDLPVLLTHTSYLMSEVPMNIADFVPRSE ADIQDHLRFLYNTSVSHPVQNGPRHLINHQMNIHMTSVQSVTRKAETDQLLQGYIRCP EITFGKTDDDGNQIVRIEYHFRHAQEGEVTPEQMKGGRRLNSVLFYKDQYISDEQGPM LERYNEINIDGWEISWEACLNAQNLKDMYDGTSETSWLAEADQYDYVASSEIMKLFIL SIEDSTTCITEKVERKKPISKTSNLNNDVRLIHTFTVLDDEGKKPHNLHSIHGDEIKI DHLILSMSYTLYHHLY I203_03897 MPGMKSFLTLALGFLYISGRTLVSAATYSNEFASCVSTVYVPST NPASVSTANAASCANYCYITDPAYKYSAWQSTTQRCGCATNTFAASATVTGNPGGCGA NYEVWSYAVDTSRALVQSSTTDFASIFSTCAAYGNIAIYPTTTSTYLYSCGFGYTPVD PTTTCGRNVNRIYFHPADATASGLSRRASAAKRRLSEQEHVKSFWCPKSMTACQLEDD PASYESDLESCGGCLHGAYSSPGHPNTTSTIGKE I203_03898 MAFQNKKRNQPDGESSNTKKAKTGESSSAPRPAPAFLSALKDEE TDFPRGGGSSLTPLELKQTRAEGRREAEEEARAEAASKGNHRKKQMSDRQIKRLKKNE VRKKEKNDEDTIRVEVLNYKRLVPGTHVLARVHTVLPLHLILSLPNNLLAHVPITEIS TTLTNLLTAEEAMALDSDKEEEDQEEEESDSESSAPDLSQLFFPGQYFPAKVLNVYPT ASQSFVSQYPVTETTRLAARVEVTLIPEKVGSEVSKKDIEKGYLLVGEVKSEEDKGYT VGIGLNPDEGSGVEGWISKEEVQKYVPSQSLIPGQLLPGIVSSLTAGGRVVQLSLDPL ELTRSTTSEVSTVGSLTPGHLITALITAVVPSGLNVKVCGFYDGTIDLAHLPLGEDDV ESRYKIGKKVRARIIYDNLSTTPPTFALSALPHVVGLTSPTKEGEQVPLEHAIPIGKL YPSVKVVRVMPDWGVICRTNDGLDGFCHISHLSDERISVLTNGTGQYKAGTLHRARVI GHSPLDGVVLLSFEQKVLDQVFMQVGELQIGQVLKGTVHRLAERMLFISLSGSVDGIV FPNHYADIKLKHPEKRFKVGSSVKARVFAIEPARNRVVLTLKKSLVDSSDSIPQGFAD VQVGQVTPGVIAKIMEKGCIVDLFGAIKAFMPLSESSQTFVKNLNDLFYVGKSVTVRV LDIQPENERIVVSAKQAAPNPVASAAEKLQVGDAVSGSVSAIHEEQVVVKLDDSGLTS LLSLSNLSNQRHMGIDELRSTLKVDDKIEDLVVVSKNPVSGLIIVNVKKSNSPTTKTK TKKEKKAKKEEEGPSGISKNVKAIDEIKVGQIFSGHVTEHTERGTMVQLPNKIRGRIH PLDAVDDLSTLVGGHAPYNVNQEIRVYVLAVNKQKRAVDLSSRPSKITPGGKVIDQEL TDVKGLKEGQSVRGLVKNIASHGVFVSLGREVTARVMIKELFDEFVKDWESKFEIGQL VTGKILSVDEKRNSVEMTFRKNPAKSTKKVAKLGLSDFEEGQKVVAEVKKVEAYGIFL RIEGSDVSGLCHKSEISDNKKQDVSQALKSFREGDQVKAKILSIDTEKNKINFGIKAS YFGEEFGEAQDEENEEEEDMQENEDEDEAAEEEEEEDVLMIGGDDDEDEEDEDEQDEQ EEEDEEEAETIPTAAASSKKTSKSNTTSGLAVAGGFDWTGEGVKSEESGSDSDDSDED EDVDVPTTSAKAKGKSRSKGVEDLTATAPDARPESISEFERALLASPNSSYLWIQYMS FHLQLHEIEKARKIGRSALEKINYREEDEKLNIWMALINLELSFGTAQTAEAVFKEAS QYNDSRSVHIRYAEALIAAGKDDLVEEIFKKIVKKFSAYPDSWTRFAEFYLKKGDVED ARALLPRAMKSLDKSKHVETIEKMSLLEFKYGDPERAKTLFEGLVDRFPKRLDLWGVY IDQLAKIDDIQGVRGLVDRALNQKLTSKKAKFLFKKLLTIEQRIGDEKGQEKAKERAK AWVLENTKPDPEEDEDEAEEESDDE I203_03899 MASTSFNLQETVNHISSTPGSFAIVSSTPNWPIPPKVSSSKLHI SVLDSSFNPPTIAHQQIAFSTFPPPSSSFTPSNNPPSYTSRLLLFSARNVEKTLKSGD ATPQQRVGMMSILASLQSNTHPKESIAVGLINEPTFVGKALIVRDYLSTLPYLDVEVD LSFLVGTDTLIRFFDPRFYPPNEMSRKIQEYFRDSNAYLISARRGINEEDRAIENEIL NRDGVREWVDKGKLRLLGTGQEGWEEVSSTIVRQAVGGGDWEKVEKLVGKEVMDYIRK EELYST I203_03900 MSRPSTSLLRSALAQTPLSLPRAASKFQARTLLNAASRSGINTT SIDYRILEGINDFLPKENFDRLQEWQVGLWNRLQGEVSNNPALIETKQKWDKYGLDMT DLISSTARDKNLTLAYNYAALLLNNSFFLEGLSAEGSQQVPSEFKDLKEKLEGYAEGI VGGGWLWIVRSGDASNDLDVIPTFASGTLLVTQRSQRGRESSLPLFAEPPTSGSTEPS TSSSSETPHPASSAESEETQVPLNKRSTRSFTSSSNRIQYPTPLAVLNLYEHAYLSDK YGVWSKKEYVNDWWKNLDWRKVQKRNAEVAA I203_03901 MSPRNVLDPKSTKVSVSSTAPGSSKKHLLNPSASDEGQPWSSNI PSSVHLTFDTPIRASHFALTFQGGFVSTSIAVWVARSEDAEGGVGLGLMMGGKVYPED RNKRQVFEIPFPPTGLSPTSEEPPSSGPTITTEITAAEANSSAVEAHPDGQGIIKNKH TSDDLPYLTELKLEFEKSSDQYGRATLYSIEVLNE I203_03902 MSAPTTSVSPSSASLLALLKNKRFDPSKAYIDERTFDFDEATIG AVSRASTYFQEGSSEAKLLSNIAGQHSSILTLPDKDLYDLRGSITMGAAESKVQPSSR TASIIPSKEDFQAVIKCIDALLSGSEGEDINKRVNDDPTTMRPTF I203_03903 MLRRIRAKLHPPVLGQPSLLSIRLSSSAALARVRETEEDYQHEP YGIEYGAGPSRIPYQPRSYVIPRSNHPTHPHSSQQLSRILRDSLNKLSPGAYAQPQTF FNALSNQADPQDGLIYRRYPEDLATLSRLELHAILHHLIRKKKGNLAAAIICDVLASV HREQRRRMLSIKTLSMLFKGRSIFNMSNAGSFERISTLPEITPSIEDQIAPPPSRQLA TLLNIMDSLQEVRYQRPIELYQLVIKQCVDEHLYDLAAKVYVGLVEEWVTEGRVAHGA DPDDFHPGGGPPRSIEYPTQRQNLSKLLGHWWTGVRTWRLPGEVLSPHDRLDLWHPKH LSLGEKMKNFPLPIATSPPSLVPQPKSVLLTTIIVSLKLNPHTCSPQEFASSMRALAI LANTVLSRTLPIVSLGKLLQVFKSAPSKPDVYPENITEIPKENQWAYTSFTQIHVTLM SLLFSPPISSHSMQLIAQNQDVHPEDESTILHSVTAEGEAMNRYMLPPLSWNSCAILL QYAFEGLRKPFALKKLLSYMKEMFGMGGANPQAFNTILKGSSALQLNAIAAQADMDIF GKQQYDRPSHAPPQREDTRFFSSSQRVGRDTSQGAKDAEEEFLNEWSSRTKTSFELGG SPLPNEHSLLLLIKHLTVTSQFNRLEDLVYILEPYLEFSKKMPLDELGDRIESKGLKP GESGRPRSQPLSYNIYLSLMRGLEKSGSTGLAQRVFNLVLYTEGGRLQEYLNENSIPT ISDNRIPTSIKFPLDGYKIMLDVWGNETRFTNRLNKRNRKSNEQLKELPLGWNLPKEY LNLPRGVAAGYMTMVTHHLAKKNFCPEEFTKGYYESLIRACRWRWKLSEDKSPHHEFR AEIKDVILDIQDSGLEVPQLLRDKLTDERAGASFRWVSSANKMGKKQKTDEELLLGRL LNGQDLDVFVDGEKIMRGKDV I203_03904 MSTRSTTRHRTSSHPAHTHTPTPPLQRTSSRPGSSRPGTPSLIS RGDIPFSRKTSSSTPQKSPVPPQPHQLPTPMSEQPTTPTPKKTRRPKRGSKPSQQRNV IVGSEPELGIDQEPSSEDEEMLFDLLGVTSPPKHSPKRGVLNLSKDDMDVALGKRSKS PRNRVRTDLISNDHDGGELGKHPRNENSPAPNSRKNVNRKNQRLKRSEISDERGINSE GDVPSKGNRGRKKGTKAPFPSFQLDGDASSAHLELGKDDSLPSKSRSSGLLSNTKPKH LPKHQSSSQVPITEEVAYSSFDTSSLSKSLPARGGLAQPQPQPAKKKNGKIKNSSEDE SAVWEMPPVAGGQELTWQQKLQSSTTSDPSSHSTASSPLKSNKSTPSDKKKPNRCAPF QQTAQPAVSSPLNPRPSHNRRASVDGVPSASATASSGGGDRTISAFDSHIPFHTGYNV HRAPQTPAKGVASAHGNISDNILPIVGSGEFPRLRDHSFSDLLQQRKGSLTATNPNSN STTAAFSAKYAGPTFHNSPNAASLSKPDLEDF I203_03905 MSSIIDSLSSTVSTLYQTLSNATSSREISVDDDTQTSIRRLKTD PTYSHWGTENYKMLDNLSQPTIELPIIEEDGRKRLEMLRAELDKSIDTADQIRAVRCK ARKVDYERVKVLVQSVLGEVDNT I203_03906 MFIPRSTHIRTQTHTRCVTSLQNPVKRSSSPFDDGLPLPALIAI PVFGVVIFLMISFFVYRCVRYGRIKHAFNKQNTIPKIDLNQQPSRGFDIDEHTITPFP PQPSNPSNNYLTLGPGNQAHRSPLSSLTLQPMIESHLPMRQHQHNHSRTPSQEALLRH NAENDGGDVPPPAYAQLSLHGQVQQR I203_03907 MPPKSAVLPSPTAQLGLQEWLKILTARGVDMRVAMGLAAKIYKS HGTIERLSSLTPQKLAGLIEDKEVRKVVSNAVRGLASGEAVSKKRGRDSDLLEPLGKR RTDDENLPMDIDFHPIMDIEQLIPLTLTTNRAPVSTAWAYTISRRLGFDIAESLSLAH VYVHISSLKHALQLGNILNDVETREAKEEIEDLPDGEMGLPSKFRKTNQNSPSKFGKG KGKQKEVEGNAVRISSQPWVGIMRAKPIIERPDGTIRAIQKGEPVGPGQAYMYITRAF KDYTPHVMGALKLVADSYEPEELNRMGGHMYNEFKPDVVEWGQRGTLELAKVLDQVKS PVDGNGVEDVEAYPVKSDPPDEAVKTDAEGTVGPVDLDRVKGEVPSSPIPSPKEEDDE DMYKLPKKDMTVEEYEAMLDAEEGPGGFVEGGDLYGA I203_03908 MQTPTLPPLLILLLTLILSFTGIVAAKELYNITVSDQSPTISYS PSRSGPADQTWNVTYSDSNWSDYVNQTIGQGVSSHYTTYIGANASLGWWGSAVYLWAE AGDSDIEVKVDGNTTAKKIVGGWYVDKLPEGWHRLRVRVTGDGGVRITGVTFTTVIGE EGSTPFNSTFQAIFGENQINGVFSQSTGQWETATQIGGAGNQSIQTYNRLDTFTPGSK LIFQPPSNTSLIILYGSVNFDHDQFSVSLTSSNLPNVATSGDVQDTTTTTTTIGGVPA TQQFYGTSPWVSMDQVLYYANLDTTAQYTVTVENQGQGRPYWDISKAVFIQPQGGDSS GNSSNTAAIAGGVAGGVVALIVIAGLLWFFVFRKKRDQRKRKQEANLYEDKPFEIDPY TPHGEESVGDAYANAASGGHTPFDHTPPSSHQRDSTVTPLLMGSPGSPDPRSSYQSSG SYNPNRNSLPLSADGYGYFAPSSSIHTSQESGGNAMVLHNPDQAQNGGGRPNSGAPGS KARYNNNNERRRRSNIIQEEDAGSVPHPQPVEEETVIPPSYNPSWAQNRSDNGSSTNH I203_03909 MPFRNSSKLTQSLSDLSSRASDLELENQELKQRLRALEALRVSE WQKNRHIITNLESKHSNLLDVYTEDKFTLTNNKQAYGRHCHGSNGIYQNPESGWSMID NLLKDHFKQEDKVSNILMTDQDTKNQVESFVIDTLREHYTSTMKSGYGEYPNTMGSKL DTKAKARMASSLRDPHIFALSIQLGDGDDIDPQVVKSHLRVGPLPVDDIIRETIFTK I203_03910 MENTTSPSVDDRSEGKINGKSNTNTVALSEHQALGLNEYSYQAL KHEIEDLRRKNENLKKDLAISRTSLRDRDRDTRDIDARGTSVAWQAMKFWTKVDLMKS ELRMHVAKERLRLSRDQDTELASKILNEYFDDEIPYKWYALATASETGPDCDSTQQTD RPEPSSRDLNDLNLTMSWTASVLRMMTKSGDIPKIVPQLGIRLGNNHTSKGYFLQLSP VT I203_03911 MSAQDSPLNSLSSRFPLTFPVPTPLTHPHLISASDLATEEDLLH NPDNLRSWLSYIHQLKERIIANEPPKADKPSPEEILLGPLSSHVAREGLQQLTMVYER ALAIFPASFKLWRSYYQMRQSYVLGELTSSAKTARGQHAKRGSGFKTNVREQLEAAEE ANEWVGGLDGIVGYEEWRSLIATGERMIACLSHLPVPWLLHLSILFHPKCPATFKRTY ARRTFDRALRTLPPSLHGRVWGLYLRWAEMIGGEAGERVWRRFLKVDASLTERHITYL LDSTPPRPLAASKYLLSLARRASKNLYSSLEGKSPYQLFVDFLELVERYADEVGMDEE QTLELKAAKQVAQDEITKPDAEDTPAPAEEPASIHGRLIRIAGPPVPVEQGKIFKPKD AISAKKGPEELPYDEDTDPSNSRLLDVEGIVETDGLEVYKDQAGRLWTGLATYWIKRG EFDRATSTFERGLAAVVTIRDFTQIFDAYAEFSETMISTLMDALADEDNLADEEFDAE ETEKELDERMKKFEELMDRRPFLLNEVLLRRNPNEVVEWEKRIALYGDDDEKVVETYV KALDTINPRKVTGPLYPLYVNFAKFYEEGGSKDPESGEPRNEPDLKQARKIMERATKV PYKSVDELAEVWCEWAELELRNENYDEAIRLMQRATTIPRDPKKINFYDESLSPQQRL FKSLKIWSFYSDLEESIGSVESTKAVYDKIMELKIANAQVIVNYAAFLEENKYFEESF KVYERGIELFHPSVAFEIWNIYLSKFVKRYGGKKLERARDLFEQALENCPPKFCKPIY LLYGRLEEEHGLAKRAMGIYDRACTTVQDSDKFDMFTIYIAKATANFGLPATRPIYER ALESLPDKQTAEMCKRFARMERKLGEIDRARAIYAHASQFCDPRIDKEFWEEWNLFEV ETGSEDTFREMLRIKRAVQAAFNTETSFIAAQTAAAAKGAEKPTDTAQDAADPMAAME RELSGTNGSANKKTGGPAFVASTLKTQNAHGIDQAEDESEVANPDAIEMDEDEF I203_03912 MRFADIAVNLADPMFTGSYHNKQRHVSDLPQMIKRAKDKGVERI LITGTSLGDSKDALKLAKEYDFHCTAGCHPTSTSEIPNHPSGFEGYLSDLRNLISEDR GQGGSKRIISIGEIGLDYDRLHHSSRETQLEHLPSLLKLSEEFRLPLFLHSRTSESHV DLVRTLKHIGWSTKWGGGVVHSFTGTENEMKELVNMGLYIGINGCSLKIKENIEVVKQ IPLDRLLLETDAPWCSITSSHESHKYLPHTDSGCFIERVNKPDKWKDGMGVKGRQEPA DIVIIANIVSKIMDIPLEQLSQQVWQNTIKLFYPSELI I203_03913 MSLPHNGSTAPAITSPDLAWPSRPVARPSPLSTPTLSAESAEYL HSPSSSSRQASSSSTAPTTLSSSGSLKGKEKALDLQLRIHSHNTEEQEEREEKERNYG PPKKPLPPHQLGRIAQSFGIIMPNLPQSQTHSAHETRIPTSPLSTSAISPTLPSASYR GRLSPLPFQSPIQSTPFLLSVIPPLSLLPPNKDNSSEEIHRRNKKWRRGRLIPLQPTL GSMLVCIAREYGLPSTIGIGVYLVLPNQPTRKGGTNGSSSSSDESNYDDDEGSTGPKI SSATWSTLFSPHLIQLAQGGGGGMNSRSSTPSQTPFKNNVYSNDIVDPESGFPPSPIS TSKINPSRNHISRIRRSKSTEPPELVHSHSSNLSTSTSSFSANLPPTPASLGDTSFAT TISSTASPMVTPIVGTIEFDVDLDEAKWFENYHQRKSGRHSKHKRSLTSESGMKELNL VNKVSDVRPRFLKELNPNTPQINHQDDHGSQESREKNVVEVDDDLLKPHPEQNDLLAC PIDLSDQSTRLKVQEILDKRGSGVVMAEQLDDLEKMMRQLSPREIRITSPRLLTPRMA AKVANLTLPAVPKRGTSKTAPTSSPLAGDFSNGNSDSEEKSQPNSARSNGTFGSAIHL QQQQQATSQLQVEQVEDNDNDTEETTLDRPVWPAVKHGSPGSPTIHEYFSRPSFPQRQ QQVRNASSPASIPVAISDETLKRMKENEESQIEQSKISSQSQERIPRRPARPPSPKLE SMHQRTLSHTLSPELVDFLNKSPPPATGGQGTTPEEKRRNRSGSMSLKGLRNQMSSKN LSHMWKSGETSSTATSPPLPNEQKETIGLFKGGLPTSNGDEGHFGFNRDQAHSALGGG MRSVSSPTNPTTDFGAVSQTHDESSLPSAGSGSGKGKFASRIWGFRHHSDKHDKDKDR SSKMSSKRNPSIDGAGSIKISEPIAASFIHKESFDIPPNNSSIVQQQQQQQQAGLGHG RFPSHSYAHATPTSPNPSANPASPRSMKRKPVPGTTTEDGDSTMMIKNSMSLSSMNTF VLEDAPKGRKMMGLGMGQAQ I203_03914 MFSQLGHLPRFIRLTLLITLLIVIPTLYFLYPTPERLPSPGEYQ AGGIDSEHWRKPVNPDYSNVHEEVREWDDELPLGNSNNKAWKGLSEDTINGGVIMPKL GNETAKAELGRSAWRVLHLMTLRYPDEPTEDDRQALKSYFHLFSRLYPCGECAAEFQK LLKEYPPQTGSRKSASLWLCHVHNLVNERLGKPEFDCLTLDETYDCGCGDESSTGTTP NQAENTGSEDVEEEGERGLKDTEQEGELVMGHGGGEIHLKRPSNEKEEEKGKQTEKNP KQNLVDIDEGERPIDKIPHKPVRPAFQDRDEEEEEDSNRGKGIKWKEVTQSDDNEMNN QWENVKFNEEKLLDENNEYRGDLNRHDGSRIKVVDENEDELRWDE I203_03915 MTCGGCSGAVNRVLGKNIQAPNAYHISLPSQTVLIWGPSLPPFD EITAKIAKTGKAINSQEVVEDATKLPSIEA I203_03916 MSDDKSREAFTSESLARFRLNASKSSIGEILIGEIVTYLALKNP PWKKATTTNPSFPTGEAWYAAAGSASTAKMDPKSQELIKSYEAYAKPSLPEGHSVSAF VGTVFANLAKSGSADDEKTLKDALEKEAAKFKQRSEDAK I203_03917 MSLLASSLAGANYMTSSNEDRESATNGAAEFTRRKKWPEILLKE LVGGSIFCLKPVMIRRESGQQGGPSGGGTTWSWKIIYSSPAVIEMLGKKPADLEGKDF LDLVFSADHGQVQTFFHHLLTPSLPHHASSSTSITTSTNSPNNNSTAQNTLGDSQTTY IRMLSSSSSSVGGSNDNSPESSSNGSNDTSSSTNKKIGPVIWEIRAHASGLGDDMPLP PGTENLTMTSTNGTLTTTANVPGENGNGMRGKAIWVMGRRVSENFSDLDAFLELKLEN ERLREELRELQLDLDEELPYEDSSPSSSRSSSPSRVAGNAGTSTKPKGKTGRPPKEGK DKEKKRKKSSAGGVNGKEGEGMHVCVTCGRTDSPEWRKGPLGPKTLCNACGLRWAKRN SNQPTRKKPNEVKK I203_03918 MSSSNSGLVPGPSSGPLTTPTKRTAFATSTSADAGPSRARPAGS SPAYSSRRHSFYGIEDRVVIDPGSRVWKVGFSGEPDPRSVFYSLDLSDLEDTRALEAW DMDLSFMKGVDGDRVEGDRLVGVRVVKKLRETYVKHLMTDSKARKVIILENTYLPIAV KEHIARALFDNLHVPSVSFTPASLLSLVACGRITGLVVDVGWLETTVTPVYHSRPLYN LSRSTPLAGRRLHRHLKTLLHHYALYIQPPTFLGSIHDRQRSPGVPMDVSSDELVERV LTEGCFVGDLHLERQEEDQQPMDIGQAPTEDDEMRRIAEEWYERYGSTSTAKDMTFRI KSKSSLGPNTIIVPGWIRERVAEILLEDDGGSEDESIPRLILNCIMKLPIDLRPSLIS SLLIVGGTAVLSGFIPRLGISLLHHLLPPPASAQNKSPLNTPDSRREEALLWRQKNRE PYKEIYGLSDKLVIINDPSPVDGKGGMVPRWSTGLMGWVGGSLAGALKTSSMEITRES YDTSLSHSIARGEIYKDELDLSSIEMSTLLGVNIEDLKVGQALGADDAGGEDEFRIKR KRGWNDNVIGMNDWTRGAVRV I203_03919 MTSDSSTPLTFTYDPINKIDLDVYLPTNDTSQGDEVDVDIKSLP ALIFFHGGGLVAGSKKDLYFPSYLKEQCLSKNIIFIAANYRLLLPLSGIEILQDVETL FSYLSSSSTELFSSLQARGFKLNHERLGVVGVSGGDYLARASVVLPPSKIPEKVRPKV YINLYGMGGDWLLDHWIKAKPSMPNLVGAPYDPNKVERILADVNNSKEPEKSESPIRV GGDDQGRLGFLNHWYQTGEYVDYLLNEPGLSRKLELFPYEARFEAVPQDKKWLLLPLE KNRLYPKTMMVHGEKDNLVPVESSKKLQKDLESLGENVLEIQAIYPKDAGHGLLDVGK FPNIVPIPEITVILDRCVDFVGDALRE I203_03920 MITDEVRPLLKNYDNIVLVGIEAHVCILQTALDLLEIPRFHKRV YILADAISACHELEIPLALDRMRDTGAVVTTSEAMLFQLMGDGTDSNDKPISDLIKAE RKNTAKALETLLPHPSGTATISN I203_03921 MFIKRAKSRPSLRTRDSDLPESTNTGSPLAKSSVTADDAMGDIS MEVDPDESSGSIMERKKAQKKDKQRTGGKSKSSGSRLSFGGGEEEAGGSEPFKPKKSL LSQSIKLPNPSIPSSSTSTPTGSGSGNGNGNGLYSREYLSELKASTPTRAPRTTEIQD DEDEDVDSNGLSKIARDKYSTSIIEDTTPGIPDTAAIAAAKAKRQAALESSKHGGMGE DYISLGSGKLAIYDGDSGPHPESRLMREDDEEGDGDEDMAEYTEAKDKLYLGREANKA AARRLKGEIGELIAEREAEDEETLEWERAQAHRAGRWEDEKPEKMTKQGYKPAPIPTA RPIPTISSAQARLAKSLADLQVDKSTNVRNLETVARDLTSLEQEERELKLEVEKVEGK REWVEEFRNWVEMLGGFLEEKYPKLENIETDSIAHFKERAQMVNSRRSADDSDDLSLF LGVPAPNTEEDEKDEFGRSTESEAGPSSIRRKIRRDQRIARRGKRRARSTKSITEEQG YSTDSSLAEGDQEDYRSAQVHLERRVHALLDDVKAEDFRDPEKGLMVRFGGWRKRYEE EYVNAFGGLALVQAWEFWARGEMVGWEPLRSSKTLDSFSWFHSLHHYSHPPLPRTEQP EDDDMDLDDEPPLGPDGDLVASMVSSAVVPLLIKAFEAGAYDPYSMGQTRRAVDLVDV VREMMGKDSRKYTTLLKAVLGVYHEHLLSLSSTISSILALGSIPPPAFDPASRPAMER YLTRRIKLIKNMALWRREAPQETRELVIRLVGEVLRPILGKTWDGGGKEMASKVLTAA TGLLSPDLVGWLQQGPGSRW I203_03922 MGITSATWSKVVDITCISIVLLALLVSLATLVSSCYNNNKQGRI KLPDKSSEQEIATKKDELNAKLRDQVPKDLTEEGEPVEVETFWRKVLFPKCLLLFATL GIIGLQIYTVIKHRPILSDHLGVTIFILEGITSVYLLCLASVYLLTKSISTHKSLTNH IFTISSFMLAHLYFQIIGRYLFNYESAYSLVHWTRYALLGGYLIHVLIGGNILTSPNL YIDLTPMYSKSVTNLLKEDPTIGSHQHQSGNIIEQETTTIFSQLLFGFVFPMIMKTSA KDQVDITDLPATAKDLRTQNIYHQTMTSNEDGSKLKWENHKTWSLLYTVWWPQKLTVA KAFGYSIAACPLWYIPHVCLQQILSVLDDPNSSRNAAIAFASLMVITTFGSKVMAMRQ YNLKVLTRNLFAASDKEDGGKVVHTKADILNLTSSDASSVQRIGWTGSELFQALLELA LGCGYIWMLLGTSGMWGFATLIFTCPSAYFLTKWEYQVFEKRLAIRDERVSLMQEAIQ AISMIKMMATEKFWFKRINSVRKREFKKLISAQLVGYGSSLLYTAAPVILVIVSFAHY TLVAKKELNATIAFIALTFCFTELRVALFNLPSTIAELLQDILGAKRIANFLTNTDVQ YLSEAADQDPSNEDEPLYVKGTVAWDTPKPYNPSTTTAAGDNNSGTSTPSENTVGFRL QNLDVEFPRGKFTLVSGKFGSGKSLLLLALLGEARLIEGKISYTVSPVMDLKELDGKD WSLVKRAVAYVPQIPWLLSQSIRDNILFGLPLDIERYRAVCFATGLMPDLELLEDADL TEIGERGKILSGGQKARVSLARAVYSRASTLLLDDVISAVDAQTSKHIVKHCFKSPLL AGRTVIIASHAIEALAPLAHHSIYLEDGQCMFDGTGRELLDSEYMSHLKTESRMPSRM PSRMPSMINLSDQTQNENALISKEVTERVEKNEVSEISMEQASKFEIKIAIPKTPKQL ILEEQRVTGTVDLKHWYNLLALNGGGLYWTLVILLMLASTLTPISERTVLSLWTGTED GGKASEEHSVIFWVSLYAILSMTRVIFGILFQCSRFFGGMRAMKLIHGQMLESLLRSK MIFFTKTRAGSIVQRFGKDLVTAFPDDSIVHQQLTTNVVIISLLSVSIYGGWVFAFVA VALTVASWGPARWYRASSRQVRRLQAIIPGPINAIYGETVAGTSVIRAFGAQSVFIDD LMRWTNMKITAMVWTIAISRWLYISFQIFDSILRITALTLLLTRSSTAGASAGFVLTF VGTVSSNMNWILIQMRNFEWKGVSLERASEYRTLEREDGPSLDPEDANLGLWPEHGAL NVKDLCVRYGPDMPEILHDVSFEVAGRQRVGIVGATGGGKSTLAKAFFSFVDVTKGKI EIDGKDTSKIPLGQVRSKLGIIAQDPILLSGSLRLNLDIEGEYSDEQLYDALHQVQLL KKNDSPSSAPASIDERASGSETAADNVNASQQDNIFKNLDYDIKGGGENLSAGQKQLV VLARALLKKHRVLILDEATASIDSATDAEISRVVHEEFTDATVLIIAHRLRTIMPCSK ILVMDQGQLIQQGLPNQLIHQEGKFKELCMAAGLEEYEHLVQLAENHSTGGYTSQGGQ LVDI I203_03923 MAARKSLLLICDVQERFRSAIYGFDYMKNTICKMMEVAKILKLH TLVTEQNPKALGSTIHEITNLLHPARHLGTYPKTKFSMITEGTKYDIDKGQFDTYIVT GIESHVCVLQTTLDLLKLPTQPEVFVLADAVSSCNKPEIAIALRRMELAGAKITTSES MIFELLGDANNGKFKQVASLIKDEKTNTALALRTLCVEQI I203_03924 MKLSKIFFAATTLLGGLIAAQANEGTETFRYESDITRLRSLVIH SLYSHKDVFLRELLSNANDALEKLRLVSLTDRSVLSAGEGNVTVEVIPNPDESLLEPK TGKIIIRDTGIGMSKDELTRNLGTIARSGTNEFLKKAEEGQGVDGNLIGQFGLGFYSC FLVSPTVKVSSLPPATSSNPGPIQHTFVSYSTGDSFEVYPDPRGNTLGRGTEIVLEIG EEEAEFLSIDKLKSLIEKHSTFSTTFPIYIKERKITKIPTPPPQSPVEDGDPDEFAHD LETDETTPKEDSITEAEEENWIRVNDKAPIWMRDPKEVSDEEYKAFYQAVSKDDQGEP LGWSHFKGDTGSGVSFRTIMYIPSSLPKDFWSKMTSGINNVRLMVKRVFITDDLGEDF MPRWLSYLKVTVDADDLPLNVSRETLQNNRFLSQLQRILVRKALDLFTKVSNDQPGKY KEIAKMYGNALRIGLMETPKDKLKIARLLRFESTRSNYTTLEEYVENRKEGQKQMYYI AGVGETARDLAKSPFVEKLHARGYEVLLLNLPSDEPMMAALDQFMGMTTQDVSKKGLK FGDEDEDEAEKKELEAQKIAFRPLIEWLKKDLAGQINDVTVTNRLVTSPCTIIVDSWG WSANMQRIMSAQTDSQDDPMFNMMKNLPKVLEINPKSPLIEGLLEKVLDLPQPDDDEE DGDAKRTSEEEEELRETVRILFDTSLVRSGFSVADPTTYFERVEALLRRSLGVSLSAK PEIHIRPAPPTAAGPIPEDEEAEEQKIEFDPNDMQDMLGDPSQWADWQDIKKQMGVEH DEL I203_03925 MPHSATLQEKQRREEHQQRAYEIQLAGGMQGAARWTVYGTIACA LGHYSYPPFARQTLGLKAFLVSSATIFGLVVGADNHLLKYETHLREAENEIRRQARSE LAMQGIIASETEIRKWREANKDKLEAQAQAAAARTSSF I203_03926 MSKGDLIIIEKPYLVLPLTEDGEEPDNKVYHKLYLNLSLEHKRI FDGLYERKDDLADKDHIVNKIDTNAIPLAQTSQDGSVVMKKMGLFEVISRVNHGCKPN AGWYWYGEEREMKPSSIRRSILLDGFGFECICKGCNQSSIDILKSDNRLIELESLRNE WSNKNIVEYCKIDDDVIKTLRKLNRSLKLLEREEKFNQLGEVYEKIFEVYAVHGSSDT SRLAANEALNHFKAIMGEKEATKTWVARQSVDPTLYPLWNKLGMIHSDSVTEHQDESE ENEDDDEDKEKDMGEGGIGKVHRSRSRKWEEKSWDGSD I203_03927 MWRKDLPVVRSAPLTRPPQPLYQFQLDESSFSVPFFPKPPSAYT IKEISPNINKKPEWSGQIRTQPLHHSLPKKPPQQSPVKRRPPPITPNFSHGPKHRNRS FSSNPQLLTPVSATIDLPTFPAPNPLPETTDCPSNSRHVKSDARLPRYSSFNQGKSEC PSETVTPYVPPTPPRSESPTSLSPIPPGSLCKMTTFDGKNLGLVSTQKIEKGTLILKE TPFATIPVAHKDEDLTHQHIFPVYTSLTKAQRRLSRSLHRRTDENADPNELVNIVETN SIPLQPGYSSEVRSLGLFETISRLNHSCCPNADLYAYTTIPLGTEITVSYIDDVTCLT SERQTQLLLGHGFECLCPSCTQTASQIAISDKNLRMYQALRSKWTTSPMSVFGKSLRN ALKNLDLALDILKQDKKYDEFGEVYDQLFTLFAVHGRRQDAQDMARRALEHYSTVWGR DKALMGTDYGELKEDPTLHEEWGSLIVGAEQEKVKKKKLV I203_03928 MPNNPSIAERDRIMTSPGSILEMEEKVLNGKKVRVWKHLPPTFR RFLLHTLHTYSDRQFLSSPLPIPRRRHRSHEDASSSTQADDPRENVTFGQVLEKSLRL AAWMRKRGLGVGSKVMIGGRNCTGFIVSFVATHLIGGVAIFLNAWLPREQLIWSIRMT TPSLVLLDEERAEILGPYRYVRETNLPDMFCWSESSYLPTLMDIFDTPTDVHSILRGD GLEGLGPESDAVTFFSSGTSGFPKAVLSTQRMALSNLWSGMVAPARAALRAGLPIPPL PKATDPQRTLLLAIPLFHVTGCLSWLMRAFFAGSRVIMMRRWNTDEAVRLIVEENVRV IGGVPAVVSSILQSSSLPANQTFDTVFYGGAPPSSHMPKEVKTKWPKAGLVQGYGLTE TNAYVCSVAGPDYLDRPDSTGPPVPICDLKIVDPVMRKPLPSGQIGLLFVNGPQVMKC YLGDEVATRKAIDEDGWLDTGDIGYIDDEGFLYIKDRLKDVIIRGGENITSSEVENAI YSLPYISEVAAVPLPHGRLGEVVGAIVTLRPSATSGKTRKIDERRILSDIKARGVLAR YAIPEMIMIYDDPLPKNVNGKILKKDLKLLIQKEWEKRRIDGSTKPSEVNTIRAKL I203_03929 MPRSPSPSRSPSPSLSRSPARSYTRSVSPRRRSITPDDVPVSKR KRSPSPNPRDRSPSPPTRRRRNSVSPPPAGVRGPNDIDAPRVMDIDPNRRRAREAAML EQSIQSELTKSNGNGVVAVNGGSGRADEVAKAEFAKLIGSRSGGAYIPPAKLRAMQAE AAKDKTSTEFQRLSWDALKKSINGMINKVNVSNIKHVVPELFGENLIRGKGLFARSIM RAQASSLPFTPVFAALVAIVNTKLPQVGELVLIRLISQFRRAYKRNDKTVCHATSTFI AHLCNQYVAHEIVALQILLLCLDRPTDDSIEVAVGFMREVGLFLSENSPKANNTVFER FRAVLHEGAISKRCQYMIEVLFQVRKDKYKDNPSIPEGLDLVEEEEQITHRVTLDDEL QVQESLNLFKVDPNYLENEKRYEEIKKEILGDSDDESGSESGSYDSESDDDEDEDVAP EKAGIADMTETNLINLRRTIYLTIMNSLNFEEAVHKLMKINIPDGREMVLCEMIVECC SQERSYSNFYGLIGERFCKLNRVWTDNFQESFAKYYDTIHRYETNKLRNIGRFFGHLL ASDAISWGVLSVVHMNEEETTSSSRIFIKILMQEMVEEMGLNRLVERFKIPDLKMAFN GMFPMDNPKNTRFSINYFTSIGLGKVTEEMRTYLANAPKLLAAQQAAMLADASSSSDS DSSSDSDSDTTSSSDSDSDSDSDSDDSRPRRRRRYSSDSRSRSPPARRRRYASDSRSR SPPPKRRDSPSRSPVRRRRYSDESASRSPPPRRRYTPSPSRSRSPPRRRRDSASPSPP PRRRDDSPRRRDSPPPRRRRDSSTPPRRR I203_03930 MSSSPIKSPTKAAHEHALLLNSIAEGANEYPKPEHVNYTYGTAG FRTLATKLPSVLFRVGLLAVLRSKRLEGATIGVMVTASHNPEPDNGVKLVDPSGEMLD PTWESHATALSNCPTTSSLISTFTTLATHLRVDLHQPANIVYAYDTRPSGPELIKALE KGFEVFGESVKTVNLGITTTPILHYVTKATNDKTGEYGKPTKEGYNQKMASAFKTLIG NRGPLSPLYVDCANGVGAIALQEFISTLGDILPVHPLNTSTSEKGALNHLCGADFVKT KQALPPSVQSSNVLSKAGTRACSFDGDADRIVFYYLHETKGTFRLLDGDKIAVMVAMF LGDLVVKAKLDEEHNLQVGVVQTAYANGSSTKYLTSRNIPVTCVPTGVKHLHHAAQRY DIGVYFEANGHGTVLFADHAIKALKSASPQSPDSANAIKNLLAFSQLINQAVGDALSD MLLVEAVLAHRGWGAPEWDAGYEDLPNRLVKVEVPDRSIFVATDAERKLQYPQGLQDK IEEAMKKYDMGRSFVRPSGTEDCVRVYAEAKTTAEADNLAFAVTDLVKWGSGQT I203_03931 MPASAREATHAGSWYSASELQLREQLSSNLSRVHPIPELEYDPP VLDSKAIIAPHAGYSYSGPTAAWAYASIPVNKIKRVFLLGPSHHAYIPGVALSNFKVY ETPVGDINLDLKTIEELKSTGIFSTMKSSVDEDEHSLEMHLPYIRHVFKNKKDLSLVP ILVGHPKSETLDELSKVLAKYWKDEDTFFIISSDFCHWGSRFSCTPYYPHAPPPPNPI PPVPHETLPASFGPPDLIKKFTSSHNNPRVPIWKSIQYMDHEGMDLLRHPAEEGAAEK WEAYLDRTKNTICGRNPITVLLHLIQHIYLTKPDSAKPVFTFVRYEQSSKCFDGKDSS VSYVSGVLRVPH I203_03932 MATTPTMDEYRQILKSRDEHIRESWIKAMEARLVREELQKCYRG EGVNHLQNCKDLAEKYAGMIRENKVKGYKQIDENMP I203_03933 MTFQPFATSPWTPPTSQPSSISIAGPPTVSRITTPRDTPPSGSV SPVVATPALADEPALWSDRYRSLSASNIGAMGNGINPSVNVVYGEQRRVLGDLPPHSN QVSPHALASPFMPGVNNGAWGPPVPTRQPHNLGHGESHGQSQPRNQNHRNISLQEKLA IAEEKIRQLTIENQKLRERNAFTGCNNQPVAYTSPPVSSRSSMIFENINPSFTTAGYG HVAGLGLQRFEDPEMCFDPAKFSHGGDDQLEKVNYSPPPHLVGPILQGTFNFVDMPIE YVRPIVWIIAHRIPKSSSESSGLKAAVNALASRLGLLRSLDPTGILVRSIIEWAKPLC FTSCGNYLCQQLLERCGMDDKQAFIKQIQDDIVPIASDKFGTHVLCKAILTKELEEPI SDALIKFGIFESMRTGARRLWREYLEKCRQTRQFEIFTKINEEMAGRWSELACINEHG SIAVQQVFEVFGSQELMEPCFEEILADIARISNNQFGHFAITKLIGYPQLYRRTCEAI LTSYPPVAVTHHGVNFAKIALTEGGRGSIVKYVDAICSHDDGRTPGIVAIATPSIGKA HLTFVLSCLTPAEHVRVRQTCRAYSTTLRNSQSGNDLLRSLGLMHAAGVRHRAGSG I203_03934 MLRRQTRERREYIYKKSQESQERVIYERKQRIKDLLAQGKQLPT ELRKEVNGKAGKDLVLDEAQADPKSHIDDEYAKVGTYDPKIVVTTSRSPSSRLLQFSK ELRLVFPNSYRLNRGNTVIRDLVSACISQGVTDLVVIHEHRGVPDALIISHLPHGPTL SMTLHNVTLRHDVSSSTSTVSEQYPHLIFDNFQTKLGERITGILKALFSVPKEDAKRV MTFRNQSDFISFRHHVFAKTGHKEVQLAEVGPRFEAKPYEIRQGTIDQTEADVEWLLR PYLRTSKKRNQI I203_03935 MRSTLLAGLFGLAVTASSSTASSSEQVVLGPSPQTSNDGIGQFV KPKRRPLKGRFLHITDIHPDPHYKSGATFDSGCHKRDKDKKGKKGKGKGKATDVDDDY DDMEMLKDKNKDDLDLAGKWGTAVSKCDTPMSLVNITFDWLKEEWKDEIDFIIWTGDN ARHDIDRSLPRTPNEIYELNRMVVSKMLDTFGTDIPIVPSIGNNDIYPHNVMAAGPSK LTEEFLHIWSKFIPPDYSHVFERGAYYSVEVIPDTLAVISLNTLFWYDSNTLVDGCGD HSNDPGALEMDWLDVQLSGFRDRGMQVWLTGHVPPHMGYYYDNCYLRYGDLALRYQDT IVGHLFGHMNIDHFFFIDVDELEATPVTRSKVGSKKHFSPLTANLSSLPSFDPLSDNS IGIFGAGDVLKVELRKDFQDMPGPKDLKLKDYIAVNVAASVIPTYLPGLRIFSYNISG LGDEKNERAFYKPHHTPTDPIDDDEDEKEEADELKDEGDDVEIEKKKPRRPGHRHGKR PKGDCKRPENEDKPHCVFRRLPRYYSKESPSRSNKALTPLGYTQFYLPKLNKQKQKPE WEIEYTTYKKEVLVPPYSNGTGWDQPPPIPLGLLPEYDQTFFDNNENASDEEMTKKKK TKFEKALKKITPWKMPDLTIPNYVKLSRKLVGDKDMWDKFQELM I203_03936 MLPAEQWDSSPSQEKRIYQWRGGVMREEEPLSIRGNARIQAHEE QNIHRGIDTNGKEWGNDETEEIQARIFTINLNGRSNYTQKDVQYPVPSAVHQERSIRH MDENRYTVNIKDTNHRSFVEKEVEDNGERQDVMVVDDFVVHPFFPQDETKAQLIVESE DNVRYFIAASQARSVLPSLPNPIPVARSSTRDLSQYQTRAQVVQWNGGSNSITLILTC LFRPEKFISSSSSSKTDPIYLIKLLPDVLNLADYHQFTSTFFPKFINILNKLNASPLV LYTAYALMDEFNKCDRYAKLTIRSNMLNNIPSTLLTILEQHAPRYFNSLTKLHKEWEE AYSRHYIALVTDLAGVNIQLVGFGAQCKKRFGRGCPGYISSKGNFKELRGRAADAASS CARDNGYKAMNSRIEEAVHTEVGCETCAHRLINAFGAVMSKVFMGVKDGI I203_03938 MASTSTPTSPKSPSAAQQAFQPIRPSPLATPLPVKSHPRRSSAA ASEAASIASKLTGGYPGFKGLNEKGKGRILGLQDKLKNEVDGVVKRRSGGVLGRGYIL KTGQQPSVSKVKGMIEQNQVLTYDIDADHYPTGRAMDLELTIQGAPNFRAPDEESLNV FGVAQPTSAGLKSILTLLACQPNRPVRKLGSRRASVPASNQSTPAPGEQANGTSSQVS ARTVSMSMVRSNDLDEGVQEGKAIWFSTREETLGEFSNGRPYVLRDASTPYQTLALSD RADNLEDIERRLKIDILDEANKYGGMILTHEEITGGIIIPTWVSVDEESLRTPKEVWE DMKNQGYRVDYYRIPIAPDTPIEHNYLDAYVSVLKNVDPLTTALVFNCGMGVVRTTFA MCAAMLIRRKQLLLQELDDPFSSATASGMATPSQMPQAAQFLEQASLQQSLNKSLLKV TRVLDRNLPSKHPSTAIDLLTSQPALLEQLRKAHMGSYQIVLSLLSSLDHGKQMKRLV DAVIDACDAVINLRENVIEHRIKYSVSSMDDKNRQVYLEKALRSLEQYFDLIVFASYV DEEDAGTTGVTFSSWLKNRPEIWNQIKIMRRSGGNRLFAFAPVNDLSLISRSSELAEK GSSARLDLEMAGAKVLGDEWAEHVVTNRAGIMLRASTLLKSDLWVSRSASSSEGVRGA IGFRQIRGSTIYATGQPTQDAISTILQTVHQKSPNIHNVIWVCLREEPLVMINGSPYC LRRDSTALRNMRDYSGVSSSRLEVLEQRLKSDVVAEIDQFQGRVLLHTETADGEVVPV WESVDAHDVASIREVMDDVAATSKDVHLQFVRIPITSESSPDFHDITEILDLCMRTDL TKSAVILNDQLGRGRSSTTAVIVLLIQQWLKSGRTRSSTSYSLTPNTPSRSRPPMLKK ATLNSPRTSWQVINSCLRVIRHGLDVKQAVDEAIDATATQFNLREAIEDTRQKAQEAK DPRTKQKLTEKGLHHLKRYFHLILFQAYLDDRAPDEENPYSFESFIRHRPVFKTLEHE MQSGGLQSLAPIEKMDPADGMALPDEVTQVVANRSGAILSAQTILKSDFFSGLQKQSL PERVEGAANYRRLPLSLESAMQEEKAEDADQENHHYVYGTGMPSSAGLRNALEKMDAG PSGKRKVTWTSLREEPVLYVQSRPHVLRLIDKPVTNIETTGVTAAVVERMEVALKRDV IRELRQGKGRLLLHDEVETKPGVYDIIPIWETLEENEVMTPKELYESVTQEGYKVDYV RVAITDEQAPLPVTFQVLVDRIAEGLQKGTDFVFNCQMGRGRTTTGMTVACLIASIAS NDQALNLDYSDEECDHGEPIHETTQYLNGEYKTILQLVTVMSHGKEAKKITDHAINLM EGVQNLRKAVYDFKLQVDVAEPGSAKYKSQFTRAINYLYRYGALIVLANFLLETKEKG IPLKETDFPAWFDQHREIRSILSRKGLD I203_03939 MSPLPAKPDLEIKMEDHISDSSDAELEAAIQVEPEVEPEVESSE PSAPSASTSFKLPPNPIMPQDLSLIMEMVSKNEVVGSLPPISMSAAEKRKLVEQSLKG KGKDTETEIPQQKLQEEEEDTDSDSSSEFVTSSEDESEKEDVPMNQVQHQQLKQELDE FVGSSNHVEVESDSDSDEEGGGDESYGGLGQMGFEFMEDSEDDGPATAGPITSLHEAP LPPVAQPPMIKLPEGEGVSLAGEVVSWMREKKVEVWLEKRRLEEEQMKMKEKIEEGNV SEQKQDNKAEGPANENDDVMGATTIDQSNDKIKSETEDIKEHLTEVAALQTSKEEGEV VEGGTVLQSTLEVPDTSKEHEEIAQQPKKTLTPSKAKAVEPKFTSSGTVVVRAMQSRP GAADEGWLEEGSVLCWEDGRVLGTVHETFGPLTSPFYTIRLPPPPFPYPSPQSLSAGS KLFYPMNPSYRSFVNMLTIRDPRFKGSDASNLYDEEIGEDEMEWSDDEMEAQAKRRRK QRKGSKAPGSKHGTVQNIPGLPNRPHFDYDHDGSETASLHGEAEEDNWETGSSFSTRS RKEPEPYDINIDDSPQTQRSHQSPNQRGGRGRGRGRGQGRDRGRGRGGSGGGGGRGHG SRGSNSHNSNNDRQQFSLPLNPMMTQPQYQQQYNPQPRPQQQYYPQRQQQQQNFPYFP QPQQSYQSYPYPQMGFTQQQHQPQYDNHNDTYEPNQPSTGMPTFPNYQQQQGYLQQQQ QNFGSQSVPAINPRFAAQYAQMISNQSPQQGQGQAQGQQGYGYGYGSQNQDHQYSE I203_03940 MSEGSSSNSTAQYPAKGPYSTQAVQESIQREQIGLGPYASRPDG QAQVVGDIVAPPKHFRLLSLVGLAYAILNSWTAMAASLSIALPSGGPTAVIWGIVPSF IGNLAMAASMAEICHVYPTSGGQYHWAAILSPAHMAPAISWICGWFAVAGWWALTATA GSLAGSLITGVIALLHPNYEVERWHIFLIYIAYSLGACALNIFGLRLLPKINQTAIFW SLTGAVIIIIVCLSTASPDFQSGDFVFKTYINETGWNDGVAWILGLLQSSFGLTGYDA VSHMVEEMPNPHLNAPKTMILAVCIGASSSFIFLICLLFGIKDVSVVNESAAGALLES MYQATQSKAGAVCLQIFPIIAMAFTAQGLLTASSRMSYAFARDRGLPFSRVFAIMNRN GVPIPSVILTTSLVVIFGCIYLGSSAALNAILSSSVVFLNISYSIPILLVVIRGRHIL RPPSLPAPTWTLGPVLGPICNFVGLAFTLLTTVFFLFPPDLPVTGENMNYAVAVLALV FIISVITWIVDGRNNFIGPRDLGALLELARSEVDRDAINLHSHHAHTTNVEKGHGEVP AARID I203_03941 MSNIRTITLNDGVEIPVLAFGTGTAQAWTDTSPIVTLALQKGYR HFDCAWHYKNGVYTGKALKESGIPREKIFITCKIGSFDDDPSEFDTRKFVDAVLKDLQ VEYIDLLVLHADILVGSITQAWKAMEEIKKEGLARSIGLSNFSTKSLEEILSICEFHP YSLSTYLPTLLPLCDKYDIKIAAYGSLMSLVRHRGGPVDEVVEHICHERGMKETGGQV LLRWAQQITEGIVITTTNKPDRMVEQILPFLEVISDNRLSQKHLDEISAAGAERPFRF WGTNWPYFMQGEGGIQACPEDATHRNKPGINGGRGW I203_03942 MAPVTLPPSFYNSFWSPDYRSGLEKLFRALEQGCLEDDDVEAFI DSQIKSHLILSQSLLNPPLPTVSPESSSSLQHTLLSLRGASSARGEAHRALAQELEQR VLSGFRNWKERHEVRVKEARTDMLGKGGVVGVWEKENGKLGQLHQTYVNKSRAADDSE DDAKFAPATARSPPPDNYTSSPKVHHRSPSTNLRRTGTVADRISEKLRAASVQSSPPG IQSSPSKHRPVLSIDGKELPPPPSPLRPVINSPDGIVSPTSPTREERFVPPTDPDGKP FIHSSSGGPPVPSKAGPFSPSTSINAREEPILLSGLSLTPQALKDLLQRFDAYLQRST ILGTYEKTFSGEEIVEWLKENVEGLGGDWERCVEASSELYKLGYFSRIGVGRGFDSSE DTYFVLKSQQQQSGQSHLPGGISINQANEALASIGINTPLSPSTATNALPGLLKFYLP ASLGNSDEPQHVRLRKEANKADDAYKEGIRTAEETRLEMEESIERGLRLWERWERERL LAVKTVLKHYDEALAKLPQKLRELQQGTTLGVEAFNPEADIKALIEGNRTGPFRPHPH IYESLETDIPDVNFGIDLRRWSGEHGWKSLVSAPPQPKGAIPEALEALLKALTEMYEG VPDDERRRSWIYEVPLNETHMLRNAINNPQIPVEDLITIIKKFNLPIAAGAVKLYLLE LNPPVLGWEGWEDAKAVYPAIGADQERDMTSAVSSVLGRLPGSQLYVLDAVIKHFRDL VDNTKSAESNEVYVTKLALSVGRTVLRPQYENDLTIGDRTPSLFLADLINHYSALFPS LIEKKKKEADRIMPVRKRTALVDQRISRSSLSGEKDPQHLLEIQHCLQHPQRAASPSP VGKNDAPPHLGSALGFGPPLEDLKNDSRNFTSAEKGKEKEQNARVVSPTPAPEAPVAP PAEKDEDRPPMFKEPESDSRPTTPTEGFVPPPTASYQPQNSNTRSSTPTEPFIPPTSA TEGRAPTPPVVKDTAAEDGVIGGGSSSGGLKRATSGEASRLRGPRGARGPRPAGGRVP SHTGGVPSISAIVTSYADDRPESPSTNPPESATGSGSARSREPSRPSSPAVGTPTSAQ GSNDGGAGLKRASRTNFGHGTRGSVSAMAARFENKQ I203_03943 MDVPRIVRTQISTSELNPPQELVEAVNNATVGLLEIVKALGEYL TSTEDEVRLKGLTFLSNTMKAVNPTKINRQATQTLTNFYLSKLDDFDSLPPALGGLTV LSKLSTFDDDAAVEVYKGVVENVNMKAYIQATRHLVYVLFDSLLATHRNALKALGTSF LNSYTKMVDGEKDPRNLMLLFSIDRVILLEFDVKDHIEDFFDITFCYFPITFRPPPND PYGITADDLKLALRKCMASSPYFARMALPLFLEKFATLTGPSMKDLQLSIAACLPVYG ADAVRERGVELWEGIKTEILYSSDTSIEAAALSALESLIRTLYPTESDAPSGLAQDII KECLEILNEPDKNQAVAATKILAAIFRASPSAGRFALSQALPQLFRQFNSPSVPSHRS PILSTISSLLVAVQSVYASPTSQRRQHEEKSLDPYHTGILDVLREGLRTEGLKSPAIK GCTACVQIPGFWDREDMENVVRGLDDVLVNDEDPEIRPEVIKSLTTISVHHSTIIESL TLPLLFHNLPDSAPPASDHLHREKYRSILSSLTELCVAPALFQTLVIRITTKLDLLST SSFSTSNEVDETMDEAESEFDLRECNIAYSWDLLITLQRVVDKKIKEKHQDLIRYFDQ IVPRLFTLVVGASTSRDDKALFRDRRLIGIVARLVESLVWELNAEKQSQWFSAIYQAF EKGDWTGVVHDKSQIGLGASLLRNGTSSAEQDLIALYAGTIQGLKGDAVLPFSSPETF LSSKIHWTINVARDNWQVKWSLDLICAFVNKRENALKESLETILDSVWSLEIQDTSKD LEIRLRGLSVYLYMIKALSLLRSPLAYTALERVLDILSLSNLDPEFVPFAAAGFGILA QGKGNGHLTAKLLFAQKLWNFVLPKLIEGDKEASGTGRLVYLVAFASLLPLVPASLCL ADLNTILPLILRSLSLPDPHQRVNAITTLTSVLETASDSKEVDKAIHIHAEVMVDALL KSAVRQEGVPTSGKVRSIALSCLSLFPDITRFETLHKHKSIVIKELGKTLDDPLRTVR KEAVECRAKWYRYGNAT I203_03944 MFERTLQDLIRGLRAHKASSKAQEDAFIAEAMVEIREELRGRDM ALKAEGVLKICYLMMLYPIPAPPEFAFHVVEVMSSPRYHLKQIGYLAAPMAFSGDTEE VVLTVNGIKKDLMSPHVPLPPLPLTALPHLLSLSPSLSTTLHPDLLHLLTHSSPRIRK RAVLCLLPCWEAFPEGLREGFPRLRERLQDSDQGVVGATVGVVMELARRQGGKNYLPL APELFGILTGSTNNWMLIKVVKLFAILTPLEPRLVRKLLPPITSLISSTSAISLLYEC VRTCIVGGMLDPDRAEGEALARVCVEKLGGYLRDEGGDQNLRYIALLAMVKIIPTHPS MVAEYQYEIMESLDDSDVSIRMRALELVTSMVDRDNLQTIADQLLSHLAPLESNTSTL PSAVASLTAIANQLSTTASKDPATTSTSVSLSPAYRLLLTQKLLSIISYDTYVNVTDF EWVISVLIDLAYVSHVDVGQEIKGLVLDVVGRVKSVRQYAVGVLEKVFADEDLRERGR DGTGEDGLIEAAIWVCGEYSSYLSSPLSAISSILSPTLHLSSSSLISLSLHAAAKIFG HYAASISSSWSFDRHEECKALVTSIKSGLEPFLSTGDIEVQERAFEFTQLLNFVQADL NNHIPPSKTVSSSSPISDIPEIEGGFADSALPKDGNPPYPKSLFLFEPLFTSHELNAV AYKAQEAVRIPDELNLDLEVVPQGGFGDLSDEDEESEEEKPAIDLGEGGGEGMEELRR VLREQEKKGKRREGETKEERAKRRAARKAKHKDDPYYLYDKNEDAQEEDIDEIPIVKL DDSELALQDPTSISTKSKSKNRNKEKQKKEKASPPEFDRTGELPEGSTSTNVQQNSEK ENDNVDQASEGLAGIDLTSNTSTSNRGSSSRFEEYKEADEDESRRNDDLPATPTAEIE VVKVKRKKKGDKKKKDKGVEG I203_03945 MDASIRGENLKYFLRLLQCAAKYGDDLNIHASHRAWELSVTNSN KSAFCLFKLDKTFFDRWSAKSRRGIKCQLLVKSVLAVLGKAAQINTINRLDLRIIDPS NELRPLQHRKDRERDTRSSSVHHDDRHDGRRNGNADQDDRHFGDEDEFTDDEDARISI ESKLIVRLVCKHGVTKKHSLHLGSTDFLRADVDPDTTPSGFVIATRTLRDWLENFALS TGSNYGGNANTGGTDQLGWMFTKNEVRVKSWEGLGGGGLCTEIKVDPEEFQDYEVVGD RIDLTLPMKEFRATLLLAEQLSATLNVSFSEPGQPLTLTSLDEEFKDFSIFCAIATTS CEVFKDVRSPSVEIKRSNSDAPPGPPPPSHGRNLSSSSSSSGRKRRGSETPSQFRKKS SLNLTAAQEPTSTVYLAQSAAQRNAKAQDDGQMDIDHPPSQNGNMDQEALFLPGASQA PVSPDHPPRSQAIRMSQAEILEHAGLGDMNLEEELDLADLDDEREMEEEFARSQIPVE EELVRPSSARGHPSSSNSSPSKDKDNGKQVLSPPKGSNNTSNSGSGSGNKSTKSHPAW VTDSISDNTTSHSGLVWDSTIEDPSPGSPTKQNRSRSRITTSSPHKSRSPQKGSSSSP TKIQGQGSGSSKQAKGSTDPGEYDELTDEDEQMGQPTQVKQGSSKFTMLFDD I203_03946 MGEQAEVRAAICSHVYRSKSAITSCLRQAIDTVKRSSLVASSDQ SVQDAYNLYVEKILNVAVEPEKIWDDNHTVDQLLASEGSEYLNSLLNLQSSRSRNSSN KAVCPIPEASEGEDSAISTWIDGIKVLSKDVKSDTWPTTKTRPSQM I203_03947 MSSHSFQSLWSTLTGQTTPSNSQTELHKDCFALIKSLKEDRNEF ERKLKASEDEVSSLKRSLEGAERKLILTRGYHDQLELYQGILVDKLSVDVAKLDSRYF LNTQESSSKSGSGTGLFSTEDENNKLSDHYRSDIRKLVDNEIQSIYNSAIDHALPVQV CLWEGTRLSHVEIFPEDLPATEGERRYEILFGNDVPDFAMDDDYGSTLSEIVKRRTLT FVSRMPEDVINSHLQK I203_03948 MYVVTKNHPFYSPVSPDIPPLEVILGCGDPADLLPPRKDQEDVY DSASFTFTDSETDDDLDFDTKSDQIYHDNNDNNLVNSSNSIGTTDIRQINQPVHTINT NIINNRHNGIFHNSTNSNNNINNPHQRQYVNRLMDDIVRNNSYIMNNANFVNKRDKSL MSGDGNEDDCATDQSIFCDSTSTSSERPFNPDYPFTPPTSSPTPEDDDPSPRRPASKA KQNLDANPFSTESLDLSRLPLPPQGGESSSMASKRKAKDQNYHRGSTPKAKKTGEKGV RTKVACQACRARKAKCSGGEVCSRCEQLKLSCEYEEIKRRSPSPSPRSSNRDILNRSN FIPIQNVSGVKSEAEAVEPFFWKPQVPHRPLGVDDRDDTRSSAVGPGGLITPTSGPES LDDDEEEEDDNGEGHARQFDREGKRKAKDQ I203_03949 MSVQDKAYFFHFLESDKKAPVVSPPDGPAPDSEKATQMAQNQES AINTRKSQFLNSRIIWQDLSNTQNSLSENCANQIVSLANSNLEDTVKSNLISEYKNTV NSTIGDILEHKFRSAIGTYSLYEKSYNTMNFTQFFSDCTRDPKVCFQGGTLELSFGLG EGDNDGRYDEKLWDVVKRETVSFPSTAFTDLETPGTGQSKA I203_03950 MSGATAQVYSRISEREDSSEYASESELEPEIHRLHPKFNWEINN ITSATHTITTIISLELAKRSMEYYLGEKMDLSKSENGVANGKIVKGLSDHYYSEICST LIIGEAIHQKFTDLIVNTTSPNPESGDGFPEMYRNLILQNPIIQCDDETETKEPSERS YNVSLALDRSWSQSMGVRIPVLDVSKETIQRYINERYVNAIGQPSMNESTLSDVD I203_03951 MSWQPSEQGLQEVLGMLRDTSSTDSEVQRNVAQRLDQLRFVPDF LAYLAHVLIHCTGEQDSHRAVAGLLLKNAVNQRTGPAANDNDARAMAYVKSTVLNGLA DPDQIVRQTVGTVITSLISNEEPGGWPEALDALTKGMASSDPNIIEGSFNSLQKICED APHKLDFQIQGRNLLDHLVPQFIEYTAHGAPKIRLYALQILQSLLAIRVSAVTANIDN YIQALFAKAADESADIRKCVCASLGLILGTRPDKLVPEMSNVVDYIAFCTQDEDDTVA LEACEFWLTFAEDSTLKDQLRPYLPKIAPLLLKGMVYSDWDIAILDIDDVDEGVADKE TDIKPRNYSSKVHSTHETNDPSSSKSAGGGLSREAQDKAFDENEDNLDDEDEDDDDYF DDEDGSGEWNIRKCSAAALDVIAVSFGNDLLEILLPYLRDRIFDQEWTQRESGILALG AIAEGCIDGLEPHLPQLIPFLLKTLQDKKALVRSITCWTLGRYASWCVHVNKEDKTEY FIPTMEGLLQMVLDGNKRVQEAGCSAFATLEEEAGPEMAPYLEPILRNLTFAFSKYQQ KNLLILYDAIGTLADSVGSALGAPGYLDILMPPLVERWQRLGDQDPDLVPLLECLSSI SIAAGGSFSTYTGPVYQRCLNIIHATLTQYQSFEQNPEEFDEPDRTFIVVALDLLSGL VQGLGEQMHQLIRDSQPPLLHLLALCLTHLEPPVRQSAHALLGDMSMTCFPLLKPVIP QILPSVIEQIVVEPPVDCVSVCNNAAWAVGEIALQFNGDSAILEPYVPALIQRLVPIL LHSKSPKSLTENAAVTIGRLGLVCPALVAPDLANFAQAWCTALWEIKDNDEKDSAFRG FCMLISANPEGIQNSFIFFCNAVCKWQHPSSQLDQMFRTILQGFKSGLGANWDAQTST FPPVIKARLAERYGV I203_03952 MPPRRSLRVSGASTISTSSAPPRSSRSRKSVSTAPPPAVDSDEE NEQSPDEDDYEEEDDVKPKPRRGAGSKARSAPVPKKRASKMRVSEADSTVGAKEDVVP DEEEEEEDMIEHIVSKPKKATSQVEKKKKVIVSDDEDDAEEELQEALAGRDRRASSAI PATPSSSLNIPLPDQVRNPNVTPMAPLAQIPESPTPNGNGPSGDDSDADSDGTAKIDN SPSKQQSSSSQIPPATPLPNRPSPPQINIAPPPVPTPAGPKPRLTIHKLVLVNFKSYA GRQEIGPFHKSFSAIVGPNGSGKSNTIDALLFVFGYRASKMRQGKLSELIHNSAGKEG LETCSVEVWFREIVDLPGVDNFLLVPNSQIVVSRTAYRNNSSKYTINDKTSSFTEVTT LLKGKGIDLDHNRFLILQGEVESIAQMKAKAQNEHEDGLLEYLEDIIGTTKYKEPIEQ ANSEVEALNDERGEKMNRLRVVEREKASLEDKKREAEDYLRDANELTRKKSLLWQHHM HTLQNNIEITTKAIEKLNSDLTSEQERNADHLSRIGDLQKEYDERLAAYEEVKRLTDA LLKDAKKFEKEEVGLAEKKKHLVTKQKKFKKSIQDDGHARSEALSAIENHNADLEKNR TKVTDLEGKLETEQAELEEVVDDLKDKTAEFTTQIEVKQRELEPWTAKISEKQSLIDV ATSERDLLAEKATSMQTALDEAKANLQALKDGDEGKHEEYNALKQEHVKAKKQLSEAE SRLEDMTARSEQLRADVSASRSKADEAKASLAADKSENAVLSSLNKLRDQGRIKGFHG RLGDLGVIDEKYDVAVTTACGALNNLVVDTVEQGQACIEHLRKGNVGRASFMVLEKLP PRDLGRIETPENVPRLFDLIRPKDPKFAPAFYKGLRDTLVATDLVQAQRIGYGKKRWR VVTLAGQLIDPSGTMSGGGAKVSRGGMSSKFAADKVAPEVVARYEKEASIAQDELVKF QEQKKQVEGEVRQLKKRIPEIEIQLEKVELDVQTQRKRIEEGEKRLKELISQAKPDAD DEQRIKQLDSEISSLSKETDKLREKSSGINEQIKSLQNKILDVGGVRLRAIQSKVSTT KGLLDLANEAITKAEVGQSKSQRDSTKLTKSIDKNQLALEEVESELEVVENDLKECSN DLNVIKSKVQEAQDASEDGKEALGESKKELDEKMQGINAFRALEMDIKQKIEDNARIQ KDSKDKYKHWRKRHEELELVYIDEDDEEEEEEELVESKDAAEPKPQEGETPAEGTEVD GEAEAIEKKAPKKKKDNSTELVEYSPDELREVDKELLNAEITELEEEIGKARPNLNIL AEYRKREAEFLDRARDMEAVTNARDSAKQRYDDLRKMRLDEFMSGFTAISSKLKEMYQ MITMGGNAEIELIDSMDPFSEGVVLSIMPPKKSWRAIANLSGGEKTLASLALVFALHV FKPTPLYFMDEIDAALDFKNVSIVANYIQSKTQAAQFIVISLRNDMFELAHRLVGIYK TSNCTKSVAIDNKDLRLQARPKRPPGVPPTPMGAGRIPSTPGTVYRRIPSTPGTVNRM RVMSTPSFNRMPVIPQTPSVVRRDHHKIIEEEEESIPSNPETVVA I203_03953 MSIRIAALRAARSTSSRSKVSLPQLSRRAYSTPSSRAAPSYKGL GASAATGFAIALGYTINHGYNESKQVRCDAAPLVDAVDTYGYPWLPVHAIEKDDPKNP MRIRMANWVRSLQDHIVNTMEEIESASEPNSFSPSSESPKFLRDTWLRKEGGEGSSCV LAGGRVFEKAGVNVSVVHGSLPPRAQKAMLPDHPSLPEPIETVPFFATGLSIVIHPRN PHVPTVHLNYRYFEIEDPQNPNEPKAWWFGGGSDLTPSYLDEDDCIHFHQELKKACDK HDSRYWPDFKKACDKYFFITHRGESRGIGGIFFDDLTTSSPINQPPVSTMKHKTKEDL FEFVKDASSAFLPAYVPIVYKHKDRKWTEEERRWQQLRRGRYVEFNLVYDRGTKFGLH TPGARVESILMSLPETARWEYMSPVGAEGSNTREEELQKVLKNPKEWV I203_03954 MLSAVIRVAARSAAIQLEEVAALSAAASVNSQNTSKGKGKEKEV VLDPESSVDQVQNDLTKLQTLSDILEQHESRSTPLPPASSSTSSRKLSPLDRLIAQAP HSPPPPAPDTHPDRHIEAEQRKKKGLPPTPSSETLISPSPSKSRSKTVSSSTSSSSRR PPTNSIGSSLNDLIKASPRQNPPPAPDTHPDRHIEAEQSRKRKLQTPSPSDSASASVQ STATKEEKLNQSNTASTSKPLDELVNASAYSIPAPSEGTSSNVEAPATASASTQKFGN DLSVPAKRLTEAAQPTQLDTSSAISDEASVVQPIETEDRKDDLGADLTSLRSQLDDEA DTPVVLRASKVPSSRLGRLFHYGSLAASLSIGAASESIRRTAGGNKTGGSVLMSDANI RRLVATLGRMRGAALKLGQFMSIQDNHMLPPEIEQVLHQVQAHANYMPDWQMEKVMRE EFGSDWQTLFSSFDRTPIASASIGQVHRATLASTDEAVAVKIQFPGVSSSIESDLNNL SLLLRSSALLPKGLYLQNTIAVMRRELQDECDYVMEAAAGRKFAELLKDDDYFSVPSV VEEGTTGRVLTTSWMDGKPLSKVRGLSQEARDRIGTNILRLCLMELFQFRFMQTDPNW ANFLYTNTNGKEGIQLIDFGASREYTKEFMDGWYRLLKSCLVGDRGMMKEESLKLGYL TGEENDVMVEAHLDSMALVASPFSYSGKYPFAKQTITDSVRALIPIMLKHRLTPPPQE TYSLNRKLSGAFLMCAKLGANVDCQKLWEENVGNYKEG I203_03955 MSFFAKLGSDSDSSSSSGSDSEESILSGDEGIAQDRKLAEAKKT KNKASMFLRSDAEDSDEESEEDSDEDEEELSDSEDERAARGNKFLMGADSTDEEEEEE DKTIVLSAKDKRFAEMEAAIHNITNATRNNDWVLASTELDKVFRFIQRHQVTVVATTV SAAGHIPPRFLEILVSLEKDVNETIASEKSAKKKMAPAKAKALNGLKQTLKKKQKEFE AVLKTYIEDPSAYTAAYEAANAAPAPKKVAKKVQIADGGEDQDQNEDFMTIGKGGKAL NLTPEGVFKTLREIFEQRGRKNTDRAETIKILSKLLEVSETTYQKIRVLLALVPARLD YSQNLAHIPHDSWVLGLNELDQLVTLLLDNPDYVVQETVGEYDDLVEREPQVVNGKKE RVTVAGSLISLLESLDNEFTKTLQHTDAHEKGSDYIERLRQEAPLYTLIAKAQSLFEH EATTDSTARAVIRRLEHVYAKPNIIIEHFESKIPSSLQSKIVPTETKRDAEGLIHDLC VYIYGSDAPVLRARAILFHIFNHASHGRYHQARDLLLMSHLQDTIQHADVTTQILYNR AIMQLGLAAFKLGYVTECQTILGDMFATQRQKELLAQSVQRYQQQLSPEQELIEKRRL LPFHMHLNVELLEAAYLTSCMLIEVPLLASVDTEEQRRRVTSKVFKRLLDLADRQAFM GPPENTRDHIIKASKALQAGEWEKARDLILSIKIWSLLENVDQVKDILAKKIQEEGLR TYLFTYSSYYASLSLSHLATTFSLPQQTVTSIISRMIYTDELPASLDQIDKVVIFHRV DQSDVQRLAQQLAERTSSLLEQNEKTLDLKLGSNQQNTNDQRSGQTASAGQEGGNKNE RRGNNGQRGSYRGRGGRGRGGFNAGLGSTMGRRVTAQ I203_03956 MSFGKKAKAPASNLRAKVDNTKRFEPKPEIPRKDTQEPGPSKTP TPATEVRTTKAEEDEEDEEIGPAPPSAAGAKRKADGEAEVNSEEHSDEDEYDDEEEVD RTPVSHEIILKDHTKVLSALAIDPSGARIATGSHDCDSKLWDFGGMDSRLKPFKSFEP NGNYYVHDLSYSPDGRNLLVVSGTLQPKVFNKDGEEGVEYNKGDVYLRDMKNTNGHTA EINGGAWHPTDNSLFLTCSSDSTLRIWDLENKRKQKQVIVVRSKERGARTRVTSCAWS PDGKMIAGACFDGALHIWNTSSNYARPNYSNDNAHAKNTETTGVVFSRDGTRVATRGG DDTVKLWDIRSIRKPLAVATGLDNLYPETNIIFSPDNKSILTGISAPKGQKGGLVFLN SQDLKEERRIAIGEGSVVRVEWHSRINQIFASLSTGALHVLYSPHSSIRGALLPLAKL PKTAPRDPSFSTADVQPVIYTPDALPMFKDKGHRESLHQKEKKAKKMKPMEPVRGAGR GGRLGASETQGFVQTLFPSEMVFEDPREALLKYADKTDKQDEE I203_03957 MSNNPDDIATPTLEPDTIFSIPSPSPLPLSHSVYSPPSGGCDYT TCLNTSATSPSSNRNMEDRHYALTLSNRISEERIRIAEEKLFDTQCQLDLAFKTVTLV HTTTSKLLDKVPCQMAQQDFEGCMKVDHQLSHYIPNVREGMERSLSEGFYQKIIQGMV ESKVKEVWERSIRSGSDPEKTLQDLFEKSEVRAKGSYEPNSTKRTLLHGTELTKEYLT AKKYSLIIPNEKTEIELPTLGRNTYDLIVYFKSSLGTSKLPEGKRPLWPDEYTSR I203_03958 MRAQNVVSAAGTSALMLAASAAAEQAVFNPTSLTAPFIEQFNEN IADSRWTISRATKQTPVGDEIFSYVGQWEVEEPEVYPGIQGDRGLVLKTKAAHHAIST LFPEPIDPKGKPLVVQYEVKLQKGLECGGAYIKLLTEGEGGEGFRAGEDYTDKTPFTI MFGPDKCGSTNKVHFIFRHRNPITGEWEEKHLKNPPAPKITKTTALYTLIVNPDQTFE ILINDESVKTGSLLEDFTPAVNPPKEIDDPEDFKPESWVDEAEIDDLEATKPADWDED APLMVTDNDATKPDDWLEDEPEYIPDPEAEKPEEWDDEEDGDWVPPMVPNPKCEEAAG CGPWIQPKIKNPNYKGKWTVPKIANPAYKGPWAAAKIPNPAFFEDLHPSDFTKIAGIG IELWTMTEDILFDNIFIGHDASQAKKFADETFHVKKPIEKEAEGSLEDEDLDHPNTLV DKIRLKVYEFIHLASIDAASAVKQMPEVAAGLALTAFTTLGMLLALFGLIGSAPTKVK QTTTVKKTPSTKAVAPVAPAGEEEKKALEDAGVPVPNPEVTNPEVRKRTTRSTKD I203_03959 MIPPEPPKHTYASTHPHPDHIDIPRTEGPPAPATDDLLESPPLV SSADRPPPSRGILKNPLRRGSGMGEEGMVVDNHLQWDEANIALTEIQKDSLMKITEPK TPYVRYDAENDRVLDNDIPNFDLEHDQAPKSPNTPLDPGRGSFPSTPNSLAENTLFNS QAQQPQDEVPRRPSVSSSSSRSASFSLPARDRPVRPGSSGSSRSSHSSQSGGKPNIPG PEALGATAANTAANSGEVFSDSEDEMDEEQKAKHKEFAAKRNNHYSKEAAFAMKKARE LLQKEEDDEQEEEGGANGVANGRMDIDG I203_03960 MSIEEAAPAISKEEKKRLKEEKRARKAAKAAAAAGTSGESTPAV QEKKEKKRKSSEDAVEETVKEKKEKKDKKKKSKSIGADGAAQSSETVGGADEPPKKKS KKSKTEETEESATPVESSVPSAEEPTPMLSKKQQKKLAKAQAATTTSTTGPSSSMPSI STEFTAEHNTYLTSQNITLTPSVYPPILSIPSLPINPALQPFLKSFSKPTPIQACSWP ALLAKRDVVGIAETGSGKTLSFGVPGLNHVSSLPPVGKKGKGQIAMLVLAPTRELAQQ SHDTISAFGKSVGINSVCLFGGVGKYEQINELKKGETRIVVGTPGRTLDLADAGDLDL SSVSYLVLDEADRMLDQGFENDIRRIIAHTPDHTKGRQTVMFSATWPESVRRLASSFL NDPIRITVGSDELSANKRIDQVVEVLDNSRDKDGRLLYHLRNHLKAHPNTPTSPTRIL VFALYKKEAQRLEYTIRKANYNVGALHGDMTQDARFKALDLFKTGKQNVLVATDVAAR GLDIPDVGLVINVTFPLTTEDFVHRCGRTGRAGKTGKAVTFFTGENHEKSLAGEFMRV LRDVGADVPKEMDRFPTTIKKKENGSYGAFYKDTSDAPAATKITFD I203_03961 MSLFAKLGYESIPSEIAKKSFYELKATLPGSKGELDFATLKGKV VLIVNTASKCGFTYQYTGLEELYKSYHDKGLEVLGFPSNEFGSQEPGTDDDISSFCTL NHGVTFPLMKKSEVNGKNMNEVFAWLKSQKGDGVGGIAGTTSIKWNFTKFLVDKAGKV VGRYGSSTKPEKLKEEIEKLL I203_03962 MSSGSTANASASAIPFTAPHPLSTTSPQTQTQTPYTPGSHTETT PLVRQNLNEVINSNSGSFSVIRQSGDREYGDISKGKYQDWEEEFERSKLKEWEEKVGR DLKGWRGGNGKPRSYYALRALPPHSYFHQPITGTIGKHLPKEIVRIERDWSGGEVCQF DTVYPMELEGRIQPHQMSEFVTTLNEILYSAYSVRATIWDNLIAVGTLWTSLIWRESH FEKELQRAERFIQESNKTLFNPQGLNLLSPRYVALQFVRSPFFPSHNSGFILN I203_03963 MTTTPQPIHASPSTSIPERPLSAPPLPRARDIPKDFKSSLSAWW STSSYKEARLAEERLLRRMAAFEPSSVPAQQVSKGWFGSSSSSKQATDNDLPETEIGQ IKDPLPISSTGLVATLRNVFIPTPNPTLAPQHPADPRDLTPGSATSSSTDLSGASANT KEKKKHHHECKKGEEGKMVDFINTLEITKPQDKDSKEAVVVLHGYAAALGFFFRNWES ISVSSSSTGRRTFFLDWLGMGLSSRPSPSLLNSPSNTPIPSRVSRAEHFFVSSLESWR ESVGVEKMILVGHSLGGYLASAYSVRYPERVKGLILLSPAGIPHGPEYVKYPLSSELT SRDNVQTQTRSPPETPQELDDATDAAEAELNSGPQGEAKEWAKRREESFVRRNMMKFF TWGWERGMSPFSILRSVGPFGPLWVGKYSSRRFAAQSEEDVRDLHSYIYGTSIMKGSG EYCISHILAPGAYARIPILDRINRLKVPVTFMYGDNDWMDVKGGEDSLKLLEESGNTQ SSCHVIPKAGHHLYLDNPDYTNKLIDKAIKAIPKELS I203_03964 MSRPTATSSPPTTPPTPFQFDSIPDAIEAIAQGGFVVVMDDESR ENEGDLVCAASKITTEGMAWMIKWTSGFICLSLPPSRLSALSLPPLLPTSGKSEDPKG TAYHLTVDANSSRHPVSTGISAHDRAYTARLLASPDSQENDLTRPGHMVTLRYAPGGT RKRRGHTECAVDLCYLSNLPPAGLLCELVHPTKEDGSMARRDDCWRFAREWGLKIISV EDLAEYVTKHGKGLVPEAEEHQV I203_03965 MPPRRSARQSLPTPAHSTTSSTPGKTDKSVANGDSSTISRTKTK GRKSAVQPETPTSLEDEDSIAIALGSEVSEGSEEGIVEYGVKEESPESPFNSTSGPSS SKRYKLESVTLPSRRSKLGLDKTDSADNMTLESPTNGKRGQTNGNGLVKVENEEVEMK DGEPEQEEFKSTTSRSRKGKAKVDPAEQAPFNIGKFSSVSKVQTSDLEFDAPKTTRGR LPRASKGKAKKELTPPLTDEETEFEEDAGYAMDSDEEEKQLKQAIKASTQKSKTTPRT RSNASTKGTSTPTKSTRKTKGNQAALRAAVAKAAEKRFKGTNGTNTPSTRGGDMTPHS EAATDMTPLSDDDSGLSTVLSDISADEAQITDSEEDDASDLDFSSDDSLDVKPRKKAR KSGGKGRFAGKGRSLADGKWIEELADELGSEGEGLDPDEMKMMSLRKMKEKARLERKR YEEAAAPRKKKEKELSKKLGRKLTNGEKNLVALSMSHPELEDVWGDLQANVEPVKPVT MEAHPSLRLTLLPFQKESLYWMKKQEEGPWKGGMLADEMGMGKTIQTIALLLSEPRRK PSLVVAPVVALMQWKHEIETHAEGFTVCLWHGQQRMKANELKKYDVVLSSYGTLEAAF RRQQRGFKRGNNFIKEKSPMHEFEWYRVILDEAHNIKERSTNAAKAAFALHATYKWCL SGTPLQNRVGELYSLVRFLGADPFSHYFCKKCDCKSLHWQFKDKRTCDSCGHKPMDHV CFWNTEILTPIARYGIEEGGPGHTAFKKLKILLDRMMLRRTKLERADDLGLPPRTIVV RRDYFSPAEKELYMSLFTNAKRQFSTYVGEGTVLNNYSNIFSLITRMRQMACHPDLVL RGRTGALVKDAPEGTVCRLCNDTAEDAIMSQCHHVFDRECIRQYLEVKQARGHKPECP VCHIEISIDLEAEALDLEDNNKKARQGILSRLDLQNWRSSSKLEALVEELEKLRNKDC TIKSLVFSQFVSFLDLVAFRLQRAGFNICRLEGGMTPQQRDATIQHFMNNTHVTVFLI SLKAGGVALNLTEASMVFMMDSWWNPSVEYQAMDRIHRLGQKRPVKVVKLVIEDSIED QIVQLQHKKLAMTEAALNKDPDAALGKLTVEDLGFLFKL I203_03966 MAQVTSLLRRRNIKPRQDVSSAAPEASSAAAPSPSPSPSAEPSP TTSAEPEPSSAAPSSAAAEPSSADPSPSASPSPSPSAAPSSSAEPSPSASPSPSPSPS PSAEPSSQQPSSAPSSEASQSPSPSASPSPSASASPSAAPSSQQQSQSQSPSAEPTST PDGTTTTITVGQSSTQQSQSASPSAGESSQPGTTTSTVIVQPSSTSSESTVTSAVGVV TTTDSEGRSTTSTPSEITSSYVTTSDGQVYTVTRIVHNPTGALDSGGNGSGSSTNSFF NNKGAVAGVFVVVGLVVVGIIFALGLLCFRRRRRQRLDREVTAAAIAASSGGAARSPL DEDNEDYHSGSGPTSESYPSTVNQPMTQYGQYGASYANAGGYDPYAAAATGAAGAAGG YGATHPHHTGGYDGLQAGNQGYYFDPRDAANYQDAPQDDSYLNAPQHGGYNDPYGGYS TGGEGSVDTPNNEREDPLRVANPSHGRQ I203_03967 MSGASDPSKEIIALFFLTYLTMDTVSPRTKHRAEHLSRSAAAAA HASYYAHPSLHLHTYLSKENPVILDGQGQIISALNEPNQPPLSTLPQSSEKVKDTNVS NIPHVNAEKDAEVGPEGTKKEEGGKEDAGAPSGMDKGALEINGEAGGTITSPGPALED VLKYKEVQNGWTQHQHGQGANGNSTVPGQPQGDGHVERPELGRHWSVKDRMNPHLQLM CGPLLAYYTVKNDIWQGGALVVVRDDGSDLSSLPYITLTFHPHTPPSAEDPSIHDSTI HLIPSQTVQARRIHVYSSKDGDMSFFRFMFEIPLQHTQMVVRYRLNGGAEMDFVVPQK GENMRWAAHSCNGFSSGVNPDEFKGSYPSGYDPVWEDLLLKHHEKPFHCMVGGGDQIY CDALTREPEMQPWITAPDRKSKLGTELTDVIREAVDRFYFNHYCKIFRSNAFGRANSS IPMVNMLDDHDLIDGFGTYDDETQASPVMSFVGSRGYFWFLLFQLFVSDEVDGVDPTP GTHTLKSMIVGDEPGPWIPFPSHSLLVYLGPKVNLLALDCRAERKLNRICTPQTYAKT FGEVRKIHGIEQLVILLGVPIAYPRMSFLEHFLDFKYNPINILARHNAMGLGGMVNKF NQASELLDDLNDHWCANTHKKERNWLVLECQKLAREQKFRITFLSGDVHLAAVGCLFT FSKGKKKFAVKPEEDHRYMLNVITSAIVNTPPPPGAAKMVSMLGRNKHRTLPQDTDEI MIPLFLKDTDGSASKLKTALNRRNYAAVEYNNNGELVFDFRVEKSQGAGETVSYPIKA PAPRW I203_03968 MSDKLPNVFFVVAINGKELGKVEFKLYDDITPKTSANFRSLCTG KKPDGTPLPKGFGYKGTTFHRIIPEFMVQGGDFERGDGTGGQSIYGDKFPDENFEKKH DKIGLLSSANAGPNTNGSQFFITTVAACPWLDGKHTVLGEVANDQSLKLIKEIESKGS KDGKPKEKVVIVNCGAV I203_03969 MFARSVRPALNVARAAQQQSQGMATLREIEQRLKSVRNIEKITK SMKVVASTKLTRAEKAMREAKKYGAANNELFKHTEIESEEAPKILYVGISSDGGLCGG IHSSISRAIKKEMAANPGTLAVVGDKPKSQLARAMPQAFKVTFNSVGKDVPTFAEASA IADEIVKNGGEWDEIKIVSNKYLSAISYESGVTSVISAKALAAAAGFQSYEMEEDVSK DLAEFALANAIYTALVEGHAAEISARRTAMENASNNANDMMASLQLQYNRGRQAVITN ELIDIITGASAL I203_03970 MKKKKKKTVVADVEESPAPAPAAEAPVDTTPAATSSAISEVDSG VATPVNEGEGEKPAEDAGDLFADMKKKKKKKKDIPLDLETAEPSSSVTEGLDLTKKKK SKKTAAFAQELDDLDNENDEQNDEAGGDEGDLGDDVFSKSNNANGEDGSSTEPGKEAW VVEGREATYPELLKRFFGLLHAHNPELAGEKRRYTIVPPQVAREGTKKTVFANISDIC RRMHRQPDHVIAFLYSELGTTGSIDGAQRLIMKGRYTQKQIENVLRKYIVEYVTCKIC KSPDTLLGKENRLYFMTCESCGSRRSVSAIKAGFQAQIGKRVKAA I203_03971 MSNKSQPQLQGQGKERVELEKERLQRKGNEVILTPVKVYLLFYN LISALLWGHLLYIVLLFIITPRSTASSIQHPPSSLFARLFPSTSSTPSPVNQLIEHFS GSYDFKGLGWKTKYVQSLAALEILHTALGWVRSPLGTVASQVFSRVWTVWGVVEAVPE VSHSSPFFTTMLFAWSLTEVIRYTFYLLSLLNIQSPLVNYLRYTTFIPLYPIGASSEA FLAFSTLPPILPNVINTRSWGLLEVSRLVMFFIWWPSLYVLFTYMLKQRKKVLEGKKG KVVGGSNKAR I203_03972 MSTEPPSAPLGPLSSLPVGYEPLKQFKILLLVTSINSFTQRVIS YLQYLGFEQGSVQLATSDEDMLNAAEGWDADLVLCPFLTKKLPASIHTRWITLVVHPG PPGDAGPSSLDWVLMGDDGSITDPTQALDTLINTSTADRESTQRSHWGTICFQANEEL DGGAVWAWEQYPLPPIGSITKAQLYQGQHSNGAISAVIHSLLRIYDSTVGQGIEKKDW LKVTPKSEWSTNCVSLQKEFLGGKTLDRPLLQSTKRRPNFDVHTAQDILRILNASDSQ PGAMLSPLTTNSKSSLFAYGAHVHQDLSTIPASLYVSLGYESYSEIPDGKIIATRQGA VFIKTRQVPEKNAGAGIWITHGRVPRGKDKPIDPKIPMVDAIRAAGHEEILKGVKEWE RNTWMERKGEWQEVFVRSVVEKDGIAQLVYWNFYNGAFTTSNCQYLLKALRWATSPER GNVKLLALMGGNYFSNGIALNTIEHASSPGAETWANINAIDDIVSFLVGVEPLCKRGI VTVACVRGNAAAGGVALAAGCDVVLASRGVVLNPAYRGMGLHGSELHSYSYLKRCGPV HAATLLRAMKPINTTLARDWGLVDIEIGSATESLSDNEPSFVDTIKTILNGKCRDMIS PTSSFNCAPWSRPTSSTLEHLDDESMIEAMCAQKVSTYSEGIRDFPPLLHYRNEELSQ MLLDSFHPIRSNRYHSRRYKFIKKVKADSTPSRYIIHRHAEADEEDTKEFDEAPGWKR GEEWGYVNMETPRSLESSEKTRIDIFHLPNEQHQPEAQGIEKDQVPKSVGIERKHSEA PTLLTMPSLSAHPISPTMSTSSSAEGPLPTTPMLLDSPPRRNLTTTTTSPQNAKMEMS TSNSVNSKRLSLFRSHSSSQSPISSGPIRKDQFGVLNTKGVEKEEAKEKKKDKGTLKR LRKKFRNVLKNLNGDSTSGSTEKKKAPSNGVGLGPSRSMSSNSVPHTRSTNNSNSPTS RAQTDDVPMQTQTQQGTCEWPCLTTGGEEVDELQGQRERPRVEQVA I203_03973 MSHSHDNSVGERYLKLLQRSESGETNAANDQAPASQLQDLRRSM QYARSTERMDYAPPSESSSSTRIVISTDQTTTSTGWTTNTTGQPTATHDSDPFGALQR YLDRQEEARDHLTEMGLPERVDQDGCMIAAGFVSGIIGSDTARARR I203_03974 MSVKRIDITSDIQCPFCLIGVKQLLNTLEKYKTDHPESSEHQIR LLPYQLDPCLTHEPSSRLDYYKKKFGEEKAKQITSAMGARLESLGYKSDYGGTVSSTH LAHRIQTYALLKNPSKQLPLAMDIFEGFNGYKKDPSDKQWLSSLAVKHSVFENEQQAT QWLEGNECDEQVRQSYMIAKKLGVTGVPFFVFQGQYAASGAMGEEEFYKLLEEIDKRE STPKQDSPAIIAQGEACQTDGVCGKA I203_03975 MTFRSRSGGPVKKRGNKKQTHTRKLHHPPPPPKEEQPPPPPASS LPLPSSLESGPFNPPDSEILALLHRALHESLSSDNFVDTVQRIKGLLYDKKWLEVFCG PESVLESYAGRWVPSRVCCFREVMDKLVYEVFSGEEGGREERMAKLNVDEDEEDDEDD EEEDEDEDNVEDDNDEAGKSEDDDEQEDDAETSRNTAESSTQPMSHPTHHILSLGGGA GSEFLAIAALIRSVLLTRPHSHPNFTWTGIDIGNWHNVLRKMEDSIRIDWKIDKSILD VDYIKGDLLSLSTSTNAQSSSQSTQSPSHASQTPQPIDLTSTLLSKPPKLITLFFTLT ELLTQSRPRTLQLLNTLTENTPTGTLFLVIDSASDISDFSLGKEGRKYPVYMIIDMLL SSKGRGWEKVKGEDSRWFRFGEGVGAGWKVKLENTRYWYRLYRRV I203_03976 MPFESRSEIGIPSHFDFIARNNAVLLNSSSTGESSAAAHKRKRH GQETRQLGTRLPTAAELFVPSLPGIPNLATHPTHPLHLYAGEIPSYPGEGQGGGEGAT GKDAKLFFMMAKARRSAGKQRLLFWFNGGPGCSSFDGSLMEVGPFRTVPASETESGKV EVKLVDGGWEEYANVVFVDQPPGTGFSYVPTNGYLHELDQGAAHLIKFLQNFYTIFPE FAGVDTYLAGESFAGQYIPYFADAILKSNALQNFPLKGIAIGNGWIDPKQQYPGYVDF AYEKGLIKQGTPEAEKLDAALKACEASMEKYTDPYKTPSNINHCGEVMDSVTDSYVQE LNGKKVCMNVYDVRLVDDWPACGMNWPPDLSDVYTFLRRDDVISALHAKEKETAWVEC DNKVSAELHLRNSPASVALLPGILEKGVPILMFAGTEDLICNYKGIERIVDNLIWEGQ QGMGNATVQKWYLNDTQVGTWQSSRGMQFAQVFDSSHMVGFDVPHVTNDMIMRFMDVD LALLPGLAAQSSSRLGDVQRVAVSIGASAAAGMPLLKGGATDWDAWYNIISALLILFI LISIVAIYFYFRRRGALRRSRMGLGFPREDGGDLSERIPLGSERVELDDIERAERYNE EYLDGDVHDQERRKRKGKGKGKEMYDEDEEDTKGETVFALGDEDEDK I203_03979 MASVNGDHAGHSEAGDEPIPSIVQQNPDNCFRILLATDNHIGYA EKDSIRGQDAINTFKEILEIARDAEVDFILLAGDLFHENRPSRTCMHQTIALLREYTL GDKPISFELLSDPYDGSAPGFSFPAVNYEDPNLNIAIPVFSIHGNHDDPQGTGPEGAL CALDVLSVSGVLNYFGKVDLPADEAIQDDTDKGIRIKPILLRKGTTNLALYGVGNVKD ARMHYELRSNRVKMYMPEGGDVAEDDWFNILLIHQNRVKHGPQQSVPEGMFDDSIRLV VWGHEHDCRLTPEKVEGKDYWISQPGSSVATSLAPGEAIPKHVGIMSIQGSQFQIAEV PLKTVRPFELDEVVLSYAAEQGALNIDDKDSITEYLKNQVTRLIKQAHENWKERNPDP DAKMMLPLIRLKVETTDAKEMTNPVRFGQLFVGKVANPRDILQYYRKKKPAGRKAKNN PDLPDEDEDDWEGDDPTMLTTNDRLAKLRMANLVKQYLQAQNLEVLVENGMEDAVMRF VEKDDKDAIKDFVSDTLKMVGRDMKTREVDEEDVEDHMLQAKEHAASQYAEVRPVPKE KSKKGKGKQKDSDEDSMLAEDDDQMDLDSDGSFQQTKAPAKGKGRAPTARGKGKNPLF QNASESEEEEEEPEEVVPPPKKRGAASASASSSRKPAAKAVPTKKAPARGGGATGMQQ SQLTFSKGGKASKPIELSDSD I203_03980 MSAEAGPSRRPRTSNDHHHHRHHHDAEEEDRSNPPTQKRRKITD VNEIFEKPDLQEQIRLSREYRALQNEADELRANLANSTAQDLIKAISKQENLFLDVRD TGIGTLDANLMKTNTENAMALAKKFKIDGVNFDIDEFLLKVKNHLGLDRMELDDQDGS SSASSDEDDELDQNQSQNRARNGTGHRGARKGVLGDWEKIGWMAAKFNRRIFGIEFMY GPLSKIHEKRKVGPKQKRKPLAPEIRPEEVQNETQGKKKAKDDFTSNVKMVKHVLQES DSDGEGVNFFQFVINPDDFGQSVENCFFVSFLLNQGLAGIEVNPDDGEILIKDTPPHD EIDHDIIIKNQAVVELDIKTWEEAKRIFKIRESAIPHRDYSAIRTQMAGNAWYA I203_03981 MQSVTLHTSHGDIKLEIFCESVPRAAENFLALCASGSYDNTLFH RNIKGFMIQGGDPTGTGKGGQSIYGSPFNDEIRQTLRFNNRGIVAMANAGPDTNKSQF FITYGKQPSLDGKYTIFGKVIDGLDTTLDSMERVPVNAKNKPLSEIKLIGVTIHANPI ADQNK I203_03982 MFPSTFLPLLALIPLSSAFYLPGTAPRDYLHGEKIDVFVNTLTP MLNSKLHSLISYDYYDPRFHFCQPPDGPVKQPESLGSIIFGDRILSSPYEIDMMENQT CKTLCQASVPKEDAKFINDRIKEDYGLNFIIDGLPSSEMKRDSKTNEIFLDAQGFNLG DDETIPDKPALNNHYDIYIQYHQKDYSSSYSYLPGSNSANTKKQYRVVGVLVYPRTVN SMTSGSSQPNCFTDQPFYLSEASNNEFYYTYSVSFIPSDIPWGMRWDSYLHVFDPKIH WFSLVNSLVIVGFLVFMVAMILYRTISKDISRYNSIDLSEDVQEDYGWKLVHGEVFRL PTRPMLLSVMVGNGLHLIFMCLVTLIFALFGFLSPSNRGSLATVLLICWTLFSCISGY ASSRTYSTLGGNQWKSNLILTTVLFPVVIFSIIGLLNLFLIFSRSSGAVPFGTILAIL LLWFLISVPLSVAGYFYGMKHGSFSNPTQTSSIPRQIPPRPWYLNAIPSAILGGILPF GAAFVELYFVLSSLFGNRAYYAFGFLFLTFIVVALTTATTTVLFVYFVLCSEEYRWHW RSFLIGGGSAFWLFTYGIWYRASRLSLDSFTSVILYFGYLSLFSLLDFLIGGSIGYIA TYFAIRRLYSSIRVD I203_03983 MSSTPTPVTLVGATGLTGSASLRSILLSSHPFNLTVLTRRPLSD SITPTPSNQSTTLSTKIHEDLSSVPKDVASKIAEKGGVYISALGTTRAKAGGTAEQEK IDLVLNRDLAKKAKDDGAETMILVSTGGANHTSSFFYMRIKGQLEEDVKAMGFKNVII LRPGALLGERTESRSGEWFVQTPFRLLRKVGLSFNLSTQAEDVGACIAELAANIPEEK VLTLYDDKISYYAKKYRESNPSSST I203_03984 MLEHLTIQLPGLLSYFPFACRIAAFILFAPFGLCIILDIIAYAI ARTLHLSITQRRVPRSPPIISKEILSSDIISSAEVSEEESVSDN I203_03985 MFKTGRAPTLPPETDFSKQLKETSFYRYGHLRPLGLTGEVTALA VDPLLSLFAVGTSSGLVHVYGQSPFQFTLPVSTISSSGPAASIKFLFFHPGHHRLIAI DNSNTIHTFSLQHMTDHPNPLTHPPLPLKEISYTLWGTVTSVDQPLPSHTHLFFTIKD GTTLTWDLSRRGLGNWKIGNCWGDYEARMVRSGIPGRRKTLGGPMATCIAMNPRDLNI LLIGYEGGVVSWDMQKNEVAKTFEMTLPPGAPGGGSYQDADGSLWTERTPSVTSIAWR PDGLVFAVGHADGCIAFWAYSESDKPLMVRTITHEDVNVTDAESLFDAGALDNQLRKV ELDPQGNEIPTAVAANREPIFKLSWAGFPDQTALKTQLAAQGADPSIEPISNATVDYA ERGETLLLVLGGQSPGEKPGINILQFPAYKPPLLRRGTTPQSPSESMPLQERYAYRDS LAPTGSSSYLTRTPPEDFILLPRSNPYFNLSHDPIAIIISLTPDTNLPQVTQPTALRG LESWVFPPPRSAVIPPSPGRKNYVLPGEGEKLVAMTPAPTLGTPVASTPRSGSFSAAG WRLPWTSPGASPVPSPALSIPTPDSVYGTAPQKRKARRQLRLPSSLWSGGLSVLGMEM YSLPTPTFKRLISYAIEHAGQEDIPRLPIYGGLAVPDLQSHGAPDVKVSKLESYRIFI TFHSDCTIKFWDASPHLLLLPTPLRFEYPMPLPHLTISIGDYLKHPDVTHLPLAQLWL NDRAKVRIKSVHLAKEALECVITFYTGEVIVTKFAEAKGSPAGNREDEVEELDDGHDN ENHDSGYFPSMSSSQSHGGIDNNGWVEEVLEIGHLAKYKKDGFKPVAIFSMKRGEPIC TAVSDIGFIAIAFASKTLAIIDMRGPDVILREGFNEDGEQMKKKKKKGNVQNVLGEQS VVGAMRWVVSGMGADLVNRPRLIVSYAKGMTKIYVLINSLGEWIVETKPPTFTNESLA GPIASFVLDPVNGTELSPSSESLQAAMRDQKVPDNHGHGKSKEIPVHCLWIAASKKSI RCAINFNGDRVAKVELDDEELSDVFYVTRHGQKVLVAVTTTGSAHFYSVPYLEYITRV DLYYGTEGRPSGKLSMDDRSGDFIEYCGPLDINLRTFFHFRKPFPPRLDPCALKRVVP AQPVPLNAASMVGGWIWGGAPLTGAQLDNLIAGPTRPPPPKVPPPPPKPLITWGKPPD EEEVRPTLTASTGAMPKRTAVQKQKAPTRDARERNDVYSEMTDAANQRGNYLDGLNDS LNNVSASASNYYNQARNAAMKEAAKSTAKGVFGKLL I203_03986 MSSSDKTQSDLQNKSDNSRRTVKAKRFLANCFVGSYIYLPIAAV IIWFFGLIILLFMWIQDGKPRYNPTQASIAFISFVGAAYPTLFICICVPVVLLYFPTI CIIRYLRHKNRLPGTVQRKEKIYSWLAIAFCGLGCMGLLILSIWNCWDYYNIHWCGTF LFIIGVSLSAIFQTAEVWCLKKEHPDRKHLKRNGIAKLSVVGLPIILACAFGGFYSLC RGNPTSEDGEYTPEQCEKYSSTAAIMEWSIAFSLNLYFITLVIDLWPSRKTSSRYIRR EEGEEKV I203_03987 MSQSLHLPSNPTSPIPKPPRLLPRSPSDPLHLSRSDNTASNGNG NGSNQPIYVLTADGSSLFLLDPSKPRGGEEPPPYASFPISPQLSNTNIDTEDGSVGVN RPDEHHHLHQHQHHRDRARTLSSLNTNYQSSRPRYTSTQSYTRTSPSRTTGGFVSISR SRSAYSSPHIHTSLPLTDENTPLLPHPPPITNSINKRGLWRSIWCGDLDNAVDKQPGG WGKGFKRFWRPLGRKEYWRASIHLILFNFPLALLVWPFLVAGTLAGTVLLITLPLGAA VWWLTLFISRSAARLESIMQLHYHSPLSPASPPSNHPIFYRLVPSVSVSTNTPISSPT SPYPQTPGLESDSPTSVTEDDGVPMEDPTTMVWEKRFMKCSYAMFLDHYSYSALSYFL LIKPLIVLFSTIVIIVLLPIAIGTIVGLPIYLRMLRKWGKWQAEVAIENL I203_03988 MPRPPETPDVRASKGLAYILRHGAEKEGLNIRSDGYIKLDDVLA RPKMRDVDVNMVLRLVAENAKQRFQLFYGYDPSPPRPKKMKKGQQPKKQRPPPPQVVT AASTDSHNIEKTRKLDEEGDGLSPIPLQDANGIDAAEIDNIQSNLSKTTISSRGENGI GYVELPLVSLPNPNESNGTGEAGSSSSSSIKGEYFIRATQGHSIKLEGTSHLEELLDD EEGRKKAGVMVHGTRLELWDILKTQGLSKMSRQHIHLAPSHHGSIVPRPNSTLYLYLS LSKLIENNIPVYVSANGVVLTPGDEKGIVPKELWRKVVKVQKSKEKDENGKYRTSRVV IWEDGEIVDEREEAEGEEGI I203_03989 MVPWNGPRAKVQIKLSIQRLRTLQEKKLALAKASRREIADLLNK NRIETAKLRVEGLVQDDIYVELLEVLELYAETLQARFNLLDTSVGEQPEPSISDAVCA IVYAAPRTELKELQVLRELLMHKFGRNFSLSLVQPPDPSSPPGVPARVLSKLKVFVPS KELVDAYLSEIAKGYGVNWAPESTPQDEEEDEGIEPLRRKEVVDDDKGDEDDEDDEEG GEEETKPQSQSQSPKKKELSISPEKKSTGSSSPQPPPAKKLTEEEELAQRFERLKNLR I203_03990 MPPPQTTGIYPSDSISEVAQSLPLDPLGPGAADLLAGDVEYRLH LIIQEAKKFMAHGKRGTLMPEDVEYAMDALNVEPILIPPRPLPLPSFQSIPIPSSSSH QQQIYHLPDEAIDFATYLKQPLPSGVANSSGVKWKAHWLAVEGVQPAIKENPNPTQRS GQPRPQPSSTSLRPQARTQLPQELQLYFTRLTTALVPPSPTGPETEAERHRLAALASL RTDVAVAGILIYFVKWLGESIQKCLMAPTGTIGQLIDAVEALVSNDGVFLEPYVHILL PPLMSIILTVPLGPHPSSSSSNQPSPYDLRLQASQVLGKVADKYGKSYPGLIPRLVST LSKSLNSPPFPSPLGASNPPSGRYEGSLLALSCLGKQAVRSTVWGKAGENISRIDDLA GRLYTDGGSGKKRNPLIRALIKCLSVIINPKPADTPTPQVNLDEIADAFGPNLANMLN KKLWTANEILRLRREELGADSHHRSGNDANGEREGDGMEVDQS I203_03991 MTVDQSSIPFNVSEHYQVLEVIGEGAYGVVVAATHIASGTKVAI KRITPFDHAMFCQRTLREIKLLRHFRHENIIAILDIIAPPSYDHFNEVYLVQELMETD LHRVIRTQELSDDHCQYFIYQTIRGLKALHSANVLHRDLKPSNLLLNANCDLKICDFG LARSAAMPPPDSGPNGGNGFMTEYVATRWYRAPEVMLSFQEYTKAIDIWSVGCILAEM INGKPLFPGRDYHHQLSLILDVLGTPTMDDFNEITSPRSKDYLRALEFTRRQDFAVVC PKAKPNALDLLKKCLTFSPRKRITVEEALEHPYLEPYHDPNDEPGAEPLKPDFFNFED RQDQLGREMLKRLIYAEIQKPIHDDQE I203_03992 MVNNQYDAAILGCGVLGLTIAQELTKKGLKVALVGKDLPEDTHS TGFASPWAGASFHSFATNSAEQRRDTHTFKEFERLSREIPELCQRRPYRYFKREKDVG EDPWWADLMFDYRHLKPSEIPAPFAQGVTFEAYTLNTPLYLQHLGNWLRSHNVPIIRE RVSSLNEMYDLLSIGKVNLVINASGLGARSLIGVEDSLVHPAKGQTILVEALDVKTTY GIEGKHPIPNQSVYIIPRPGPANHVILGGCYIKDDWSTNIDKDVAKQILKDCYELCPD LDNKGGKGSIEDIKIVSHNVGLRPAREGGLRCELEHRFIGDNENNDALLPAKGKGKGG KRKVGVVHAYGIGGAGYQSSLGIAKEVSGLVDQWLEGDGKGNRKAKL I203_03993 MGVWVNIRGNPAWACREPTCESQQPYTNGKFTATCATHPRGLVV RAQYPNQPLDWYCASGCGSTTGNSQPRYDPSGHVSALRYAPSTRVPGSVSEYSVEYPH QQQGPSAGNDGYDVTFYSGYGNNPYASYQGQGGR I203_03994 MADRDSSANNSRYSRSTEGIPQFGSFGPLPAGQRTTVSSNPQRV TEDTLTQYMDEQSKNYDRSQREIEALTASSSSRAQNTSSVPNSGAEGGPSNETRPLTS FDISVGYHHAYSRDPGNVAGSTDLTTTGGGPSIDPPWYDQQKKE I203_03995 MSVRIDNEPNIATGSGRSSSEETAVPTPPKPAHRHSKFSFHHQP HEKVDDDYAQRSQDPNGYNPPKGKGAAVAHVEGYKRQELHRRNTKEGRIVLQEEDAPE ALGYAWSTRKKWLTLSVIFIVQCSMNFNASIYANGVDFLQEKFGVSAQGARVGQCLFL ICYAFGCELWAPWSEELGRWWILQSSLFLVNIWQILAALAPNFGTVIAARVLGGFSSA GGSVTLGMVADLYDPNEQQWAVAFIVFSSVGGSVIGPIVGPFVQANLNWEWICWTQLI FGGFVQILHFFLVPETRSTVLLDRHAKKLRAAGRTNVFGPNEVRTFRERFTVKEVLHT WIRPFEMFVREPIVLCCSLLSGFSDALIFTFLEAFGPVFKQWDFTTEQMALTFVPIAI GYFIAYALYIPPLMSQRKALRKDPQGTPPEIRLKPLLWLAPLESLGLFGFAWTSLGPP HTHWIGPMIFSAMVGIANFAIYMSTIDYMIAAYGPYSASATGGNGFARDFLAGIAAMY STPFYSNVGGESYRLHLEWPSTILAIIAGFVTIPIFIFYYKGAYFRERSKFAMTLAGE RQERNVHRLEKSDNMPGGGIPV I203_03996 MTTNSTVPSQPIPAASSSSATAIPLTNENVGPDSLATLEEARNA TTSPPIDPSKLNNQPAPLPSPPLHHTTSNGNGSSSHTESNTTLRSPGNETGSTLDSAY LDSGIPIEPSSHPTIAETGVLSQSPSDGPGPKHGQLKRAEKPKSDNGIIKLGSLGGEG LKIKPPTGSPTGQ I203_03997 MLHCLRLLGGRAVPSRKEAVHLPYVEPAKLPIIPSATLHHSAHS SSAAQAVEDTAEDTPNTPSDSAVLHQLKRVAELQSRVSKENSKVGKQMIIAQYPDLRE LLEYIYDPNLRTNLTFGSLLRHLDSSSRKIKRGGPPSTDIIELFEKLSKKQITGNEAK GVVHDFLVANDVLDDQRLLFTFGRLLDRNLTAGFGANTLKQVIWPNGSQTTKPYVSDE GYISQGKATSTPSSSSSPLSYATGKTPIHPQSAALDKFEVALGKSLEPPFEPIFKDGS QWYASRKLDGVRCITFLDFLVPSSSNPESASGTQPLELVSVHFVSRTGKPFTSLTKLE EQLQHLSKLPELKGWLDVDPLIVETRPEGVIKRLVLDGEVCVMRSKTPEESHAAQARD DGSLADTMWIANDNYTEDFQSTVSLMRRSGETIQHLSYFIFDVLSYSELNAKKSLPAQ EGLGKKFGKRIEDIRSLGKWLNGHLDELGVKEKMVKDLKQIKVGDAKEVEEMVERAAR EGWEGIILRKDEEYKGKRSTDIRKFKKWLDSEYTVRSLDTSSMRLSVDGAFGEYEALA NVWIEHEGHPVSVGSGFTAEQRIRYAKHPEQIVGKMITVEYFSESESMERDKKAKGKK SLRFPRVKVVWEEGKRGI I203_03998 MGVTSENFTVKISVISRKEPPIPPNQSWLKISSATKVDFASLPE HESTVSGYGTEKRYDARERQKRWHGYIREIESALTSATEQQISTSAEGTNTSTFESPV PSRTVEEDKQATKQILGEIVNKFGKEWTLSETQALSASSSETVWCSTGRH I203_03999 MSGINNNVNYRHVMHEALYGWGRPSQREATGMMDELVDLLSPEV NDGLEGIRKEWREISDNCQDARNESVKLDARRKKWMEIYERTHDLSEDERTLLRAIHE CSKPDRLSYKSRFMQGMNLNPTTENPDERTKAVRSAWSTIQEDVDGDKVTNRERRKRW WDVVG I203_04000 MSSTPVTHLYRSLLREIRLASKQSRAARNPTVSQHVRTIVDTTS DQQALQRTLLETRDFLRSSRIHAELLKRYNPIHGMSEEARIKATARRVGLDTPLEFKG DKE I203_04001 MSHQLTDVEMLRLSYNDIHTAIQKAAAKIKEEFAPTLFIAIGGG GFIPARILRTQLKTDQDGKKKNIPIQAVGLVLYEDMGGVEEKVGTEVVRTQWLDFSTL GSNFTHGGLLGRHILVVDEVDDTRTTLTYTIRELQIDINKQLAAVEDDQERERLRKET KLGIFVVHNKLKPKAAELPSDVAYFSAVDTPDIWLAYPWECDGDIDAHDALRVHNPKP I203_04002 MYPYWATANRPPYGHTQAANPYQTPNQNQSQSGNSSSANQTSEA QSSWQPYGQQPSYSSPFGQTQRPASTAGSGSGYDQLRYTSSNPYSTPSYPSTAIYSNP YSYGSNVSTGYYNQLPASPTPANNYPSSSSFSLFPKPSENATNTNSNLKTGIAASGTW I203_04003 MSLKRRSSRLCGILIKSKKSPDTTDYTFYRTGRPLPDTTIDPEE WRIRQFLDTSPDHTPNSYPSSLPPYGNPNPFSPNTFPQYGYYPTQSMFGIYSSPFSGF TSPQPRPPPAPPVGKSPATKKPFLFPSMEQYQMYSKDPGLFEQSTKGWITDPTAISQP IHKPFGESSDLSWTSPRTGKTYQLPSLVSRYAEPHHYVCVVCENKKMKFDGFTWCREC NDNTKSLVVHGN I203_04004 MNPNKSWANVGGYQYGYAYPPTPPMSPPSRPPAQAQNPYAGQAQ NPASQQAANPNPLGAGNIPSVLMPNPSTGGFSSLNQPNLSPENGWTSISPYQRHSWIT VTDLPANMGQSSSSLTSYQAPPSQAASSSSSNKATKHWECEFCDTRRNENDGLLWCHK CRTYTKTLWCEGP I203_04005 MKGKKAKKSIPLEGFYQPYDPPGFIPQSKPPQHSQFVHPGSIPA LNCQSHSSSQHYGGYMHHYYNQGSPAAPNPFIHPQHQTNQPAQASQHPQPQPSFNTSH PHPSQISVVHQNYSPYTQQSSLSFSNHTAFDPYSQYVNHVYHPNPTYDQIGIRQYLEA AETRFECAECDKRRKDDKRAGWCDQCGKFTKTIKISGL I203_04006 MSSSTPSSSSSSLPQLHVHPHSAHIHNVPPIQPAGESSVALEEE EEHDYESLPVGSGWAVNMAAGAMAGISEHAAIFPVDSIKTRMQVLPSLNPILTPLGVG PSSATASAATSASASASTSAARAAAPQLNTIMQHVRSISTTEGLRSLWRGVASVILGA GPAHAAHFGMYEFVREISGGRGEGWAGVAGTALAGAAATISSDALMNPFDVIKQRMQI RNSPHRTVLSCARTVYAREGLAAFYVSYPTTLTMSVPFTAVQFSAYESLKTLLNPDGS YSPVTHCTAGGIAGGLAAAVTTPLDVAKTLLQTRGSNSDPRIRAAKSMGEALRIIRDR DGWRGLRRGMLPRVLTVAPSTAISWMSYEFFKVLIRQNGHLPESGQAV I203_04007 MPTELPYAAEAETSLGYEELHVLKTQYYKEIEQGHVTTQSKFNY GWGLVKSGSAEYQTEGVKLLQEIYSASPAHRRECTYYIAVGYYKLKNYAYAKRFNDLL LSVEPENMQAQSLRTLIDQAVQRDGYIGMGLIAGAAAVTGLIVAGLVKRSRR I203_04008 MSASNRGKPSLIAAAQSQSQNHNQPQASTSTTTPTVPPNLASIP MSAVSSSTSGSGSISARSTPAPSQGGSVPPSGGVQRLKFKPKVPIRRVKQEVDVKPDI SNIPASSSTPRGGMRGSPRGRGGPGRGRGRGNAPSTSIAAGVFGGPRPVPSASSSRKF TASASTMTSRFDEQDIEVYSDHSDQEGGSMGRPIDIDMVSTMSESAPTSLYRDRRSNQ DKKTKGKDEKKEKEKKKKKKDSPSTIESDVNVGLGVKAEPISPEKRPQQLREDDTMLS DDEMQDRDDQGRRVRNFAQTGGIEEPPHSTRSPTGDNDEDDEVNEAQMVDLSESEEEE EEEDMTGDFNQVDGYDNPEEKLSIFQFPHLFPKFLPATPVDLTTDTKPDINNPTTTGS AQKDIKPDIKPTAAQLRSIGPGGKKLPEPLPEGRVGTMVVMKSGKVKIVMGKDIVMNV TPGLPTTFIQHLVHLDDKTKSAHVLGEVHKNYVVTPDIDRLLEDLYINGGKTPGEVDA ERRRRLIREKGLMPMKRERD I203_04009 MSTETAIHISSSMPLKHEGFINDEPSNIYRQHEFPSPPTESLKP PSPSINPSAQLPSTPTISTLHLPIPLVCLPSHTPSKSTIIPSLPHNPINGGGGIGSIP SSVKDLRSLASSIGHSTLRPISTNEGGSTIRPAPGLGGSLISFGGQLRNLDKSFLRQF VTRSKEGSDTSSSTVRLDEHGMMDGRRLSGEKKPGGIDEVDVEWCFFCGKERIRAEMG LREVNLVEMLSPTVIAPGMAESIQNEDEKEGGKSWQWVCKRCDRE I203_04010 MSSSSSSSRRRSVEDMISPMDVDSFDWAAYEGTYQGRALITRLT HIPTLLLTSSSSHPPPYAIALSKAALKRLIPHIKNDTWDYTTYFQSVRLLLDPTSLKK PDESTMDIDESAASAVAGDGEVDMEWIENVKELERRENTRLDVELRGYLSNLIKESIR LTYLAFAQISIKVGNSSSAMKNYGAAREYSTSPQHHVDLGVGIVETLLAFNLPATLPG HISKLEATLDRLHPPPTSSKNQAEAASVTASDIRERRENELRSLSVRKSVMVRIKIAK GLVALYNREWAKAAKELLGVQEDCGGQGDYEGKAISTSDIGLIVTFCTLANGDRDQIR RVLLENPNYKSQIDDSNSWLIDLNNSFVDADYGQVMRLLYKSEPILLLNPFLSAHTTT LIDLIQTKCILQYVQPFSSIRIQTMANSFGLTEEQILRLVEGLVEGKEIRGKIDLIDH VINMTEPDYRNEMFKNAYQVGKKVSEVTQSAILRMKMIEAGLIVDPRPPKSEKPGSGV DEKGQLVLDEGLGEGLGIEPDSYQV I203_04011 MSCQTCSSELPPNLFKQSFITPCCSTPICPSCINRNPRLKEYIP CLRCGDPRTSELKGGASISRPGGSSGSRNDHGLARDIVENNGDEVVFEIGDCDDDDEE EDDDADAPPGYEDINSQQYNDPNRNRQNIRDSTINEDSSESALDALKEPTDTLPPDNS ISTPAVIPAADEGKYETVEVTHEIQRSDTLLSISRRYATDPHDLLSLNNLPYTALSTH PRILHTRKTLIISRRQIPSSKIPSQPATSSSPFQEENEEREKSKQFKRFQLLTKNTDL GIANTYLNLSELEESLGDDPLESGSGETINGHKKKQFANKENREQRALESFFEDDEWE KEHGGSLSKLRINVKQSTGQGKGKGGGSSWNISSAGGMKVQ I203_04012 MSGEYQTRIVGAANTLEHRVFLEKEGKVVSPFHDIPLFADESKT VLNMVVEVPRWTNAKLEISKEEAFNPIKQDIKKGKLRYVRNCFPHHGYIWNYGAFPQT WEDPNVKHPETGANGDNDPLDVCEIGEAVGYVGQVKQVKVLGIMALLDEGETDWKVLV VDVNDPLAPRLNDIEDVERHLPGLIRATNEWFRIYKIPDGKPENVFAFSGEAKSKKYA VEIIHECHEAWRKLVHGETAASTDAYNLAIHNTTVKGSKGVVSTSDSVYTSLPADSRK PAAPIDPSVDKSFFISSASA I203_04013 MSEPSPTPPSSTSTETHDTLTPTSPLSTNTANSKHAEHIRELQA ELTVLKIELGDHNPQQIVQNHIKLLHEYNEIKDGTQALIGKYAQLTGRTVREIHQEMG LPLTD I203_04014 MCSQHENHSSSSSSSKNQTTKNGKRNELDIPDWETIDLPPDHVL SHLTDAHCHPTDLTHPPEVYDKVKLGGLASMATIVEDQDKVRELSEERGWYTARSKGK GKEGHGVGVVACFGYHPWFTHLYTLSSAPPSKEQHYFSLFSPKSQNHQTLLKELLPYL PEPKPFKPLLEKLRNDITRSKDEGRMTMLGEVGLDGSARMRWPKKAKHLHPDYKDKSQ KGEEGDGEEEEEEWKRLTPFKVPMSHQRAIVERQLDLAVELDVNVSLHSVACAGPSLE TLISMRDRHGVRFTNNINVDIHSGGGWSPDFWEQAERNLLNIYASPSIFITGRSPTAS SLISKISKDRLLVESDSHDVRLSDRLVWAATEWIARCKKWKLEGRDRHPDDDDGEQVK EWDLQDGGDEEEEDQFDEKGRLKKVKEAEQDTWTVRTLERNWRRFMRIDEVV I203_04015 MKFGKTIQSQQVPGWGEYYLNYKALKKIINSYAAGRPASDASLL SLGLRPAKKSPGSGSNKSDPDAGSLSPPHLQQHDTSITPSSIVEDLEPLPAESEPPAP NTGSALMSRDPTGGNDRSESFKAHRDVFFFTLQRELEKINTFYLVKERDLRLRLLTLL SNRKRLLQNSSGSGTPGEDSTLDNSTRKDAEWISLEEGWRLFERDLGKLQGFIEINAT GFRKILKKWDKRSKSNTKELYIERQVEVQPCFNREFIAKLSDIVTANLLDIENGSEHL STSFLEIEHNLPESIGADGLNLGSGRKGDFGEIDVLESTGNSLALDALVDLDSNMLKA FSSGKDAILDWLRIAKAKQNQYQRQDKSTSTRLMRILWRAAQHVPQEYLDMVLNAVTL DYNYIDNINGRSPAHQAAINGSLTLIGLCAQNNVGLLEKPDAYDRRPIHYASMHGHSE IVSFLLSQSVDPSATDKDGYTPLMHAITQGHLEVVRIFVQDKLTLEPTAISNDLIPLS LACQYGHLEVARLLLQCGAKVIPNSEGLYPQHFAAKAGHEQICRLLVEEGGPDGGGKD RQDKCNLWTPLHHAAIGGQPQHLACIKVLVEAGCNVNAADEYGKSPGWYSAWFGHVEC LNYLLDSGAKLNGNQNTLQGMENLGLAADPQMDSLSPGSDLMLDPPADEFELIPSLSL PPPIIPLRVYGHEFLANRCLIQLSLGHPFTRPSSSSKAPPIKLYSRSGQDPLNLWSSL KLIMTSKSDISAVPHSVILPLADEREVFSFQVQSLETFTLELSLYPTFGSKVIGRAIV LPATFNDVTYHKGIVAPLLDHNLKTIGEVAFEVSCIKPFQGAQLEIGGRVETYWKSKV TPSQPTQDHAHQFQSHRPLSVSTSSPSLRPPAITSAPSSNTNHESALVTASSLSGEYV HVVVQVTKDGVPVIYPNIKLPVDNLDITVSDVTLEQFLLIGKSQNLLLDPSSSSLSSV EWSSILSKTMSTLDSILNVLPSDIGLNLLLQYLRPKTIQLRNYGKSIEINKWVDTILH SIYENGKAQSQSQQKGRKFIFSSFEPEVATALNWKQPNYAVFFASNCGISSSSSSTPS TTTTDRKRLIPISQEEETDLRCLSVREAVNFAKSTNLLGVILEATTLAAVPSLVASVK DAGLLLATFGDTQDITSLRQGASDGRTIDAFVIDGTPSPIEVVYSLSDKFPVPGRTEI NMKAANDETNRNNNNNSTGGSTLQVQDLLALGKRFEFEATESVSGGPVDFIAAN I203_04016 MTPIHPLISKIFTAHSIPLSELEPSSRGIYIHKPSQKMYFTKTQ SDIPQMTGEIEGLKAMGRTTPGLGLVPEVIGFEIDVERKQSAMVTQYFKLGSSSRNIQ KELGDGLAKMHSIPEEKEGYEGKFGFGVPTHCGVTEQDNTYTESWEDFYRDRRLGDLV KSIGDNTISKEWEMMKDRVIPLLLHEIDPPPQPVILHGDLWSGNKGYDTITGKAVIYD PASYYGHNEADLGITHMFGGFSKDFYEAYHAIHPKSKPHYDERQKLYELYHHLNHTLM FGGSYKSGSLSIMRSLNDWAKDKEL I203_04017 MDMDDDDAFLYGDESPPKPQQVALPPTTTVNTHEKATVPPPLQA TTSTSTSAPIPANGISSSMAASLAAYGMDTSATVVDNPEDGGVEEEEDEEEDDEDDDS DDSDDDIKVVFTGQNARTLDLRKPQTAPSNVIGIGKWAHTSTGTTAPAAATPTPAPGT PQVSTPSRPVQPTANQTTEYTPTSRPGVAQAQSSTAGPLVNPPISSTPSDPSITVQPP SSSVDGISGVPPLNPGQPPSTLPPVSSSQFISKTKIDPSNPTGIIPSTGQSVFEIDMT QFENSGQPWRKPGATLSDYFNFGFDEFTYPRYLRYKSDMEKGRAALANLPPMAQLPPD IASLLHIPNQNIPFNAIAAAQQQQQQQAQQQQQQQQQMQLMANQIQQQMGMMNPQMQA QMQQMMAMQGLDMNMMNMNQGGGGMPFGMGMQPQQGMGQQQPQQQQSQQQQPMTRPGQ NGRPPGMTTTSQSGSMGTPEAGEEVKLEEGVETGFETPDAQVQGQMINPAMRGRMPVR GVPVRGVPLRGRGGAVPLGPRAGFAAPTGPKAGRFRDKDKVDTSGAGSLDYGGSEGLG GDSDAVKSETRGDDQGDSNSHSHSRSQSRSHSRAQSRDRSASPPSGRRRSSKRDYHDY DDDYSSEDRDRDRDRDRDRDREKRRSSRRSKNEDSNSGSSRSKRKREEGGGSATLGPG GWESEDEEDERRSRRKRSSSEESTEKRTRRSKRR I203_04018 MPSIFSRSASTPKKIKLPPTPAVSGSHPTSQRGATVSGGRGQSQ GIGEFGTIPGNISNTLPNRPTQQQPQPLTPPHSPDGSPPLLPPKFTFLPTHIAPHTTS NNSVDSFSYHSDEVTGLRQYGFLVGVGGKVTLGLTEVGQVLKKVSKELIRRGLTTPML FSNQALELSQTRTKMLIQAYLDTLTSNSKSKHEAFKQDVTFAKEHELAWLLRWSLSRI TRIKEGVREICHGVLEWEAYEEWRGRERASGYPTDAFPFLAHILANEVYTFIMTPLFH LLSRFAAHSHLSGLTPHALSSLFAPLLFDIPTSSTAMQAHAHYVRAASATEHLLLAYI RSTSAKGSLGLADLPFRLKEWVTGYPAMVASDEDLARGGPRKGARVVRCERASRTVRA YSKDLVCQAELWVNDLPIGEKRDAWDRVTWKSRRGESSRPKFSAVYRRRMMVKENLPL PSSSIGEDGPISYGSATKPSFDGGLSGGPTERSRKGRLATIEDDNEEGRWSSLAGKEW SMFEEGGFDAPSLSSSSRTSKNGRQEGDIRNRLQFDLTESAKMSIAERRRTMDWSEFA SPSGGFNRTDPLLDVSLTFSAPIEKEITDWPKERDELGRRLHKSQKDSVPFNYDTTPR VGINAFSDANARVDDKGRVYVEEAFVDCWCDLIIGAGWMDREELTFREANWALMEYKA KPSRVDPRTQDNDPLGDPRKSELYFLFEERVPPEYQQALLTPAQKKSAFGLFSPKRKK RNQMTQSNTVKSRLGQGWGDDDFDRMLLHREQTEKVTLTKSASDQPHASVWHMSNDTS TPASPVKPRPVRRTRSNSEKDNIDPKMNDTKGLFFGSAKKSMRRVKSNESKEKPNVSK KEKKNQREQNVEFESHSASGVSSSEPSPKDGVIGQKKNDEKWMDILVANGARRMDRQD ALPPIPHLHQANLGLPVSPHPPARHPSPSAIPDQHTPPQERLSSEDATTPRATGPPME RQRSIRRKAVPSDEEDLNEGFEPVSPTSGNFSYSDEDHSLAHPHAGTADDGESGSGPE LLAPIPRRSHRDTRDTIHGIVDQYNRDSMDSEGVSEPLEEGDHSRFDDSQEDTGEVGL EPPEKGMIFDLTPGREPSPARYKHGEPLQFGESVSLYESYETGGRTS I203_04019 MTSSRHSSSEDPILNPSVAEPSTYSQAPIQDNSAESTSTSTQHA HPLQPSTSSRNASSPVPSPALAGDTGTRTRTGRRRQVTILPPLTTSALGDLSTSHPSS TSQGRRRAHTVGVSFRPRIPSDFFANASSNVNGNGKVEDERSDTLTPLNSIQRPSNTN ASANVSPGDLEAGLAGTGGGGGKGRMRSTSNASRFSTTSAGRRSRRSSTPVEFDLTTR HQGGHEVHQLDDEMVGLLDCIDPTVSTVNHLQNMTNSVLVPHIPQLWKRRPEVQLPHT PSDESLASMNRPYESTSSTRQRPSTVRSRKGSISRFLPSRSPGPPPEVTRQQSTNTPA DQWGGTQPIPIPEVEEPASPIDQDRGNDDNDTRLEVPLIQALPKTLQREEFEDDLEDI KEDHQLDKHVKHILRSSKRAKIMRGLKGVWAFVKTPMGFITAVYGFLVAFWGAGIVLF LLGWIPTSSKYRQDVWVEICSQVENGLFTVTGVGLIPWRVIDTYRMSVIWTLKNRDSR LRKKRGLAPIEDENDLPDPELIKDFVFVLSEKDQNNLKYQQEKFAISQTWYRPHATAT HKAFPMKFALWNTILMDGNSFFQCGCMWGMDWHERPAWTTGCLIPLSFLCGIGAAVLI WQGSARTKKSALVSEKLRNALNVPVAIGIPRSVDGTVLVSNTNNAPATDIPLHKTESP NKPGAKTAGGGRRTTITFGSTNNHDEDESQRERKVEHKRDRGVTLAVTPEWKGDESAG GGGMDFGLGKVVSDRHDDEEGHGRDQGNEKDGNEHIALKEVEK I203_04020 MTPLSTLATTIIFASVAHAASLSFLGCVKASALSSYTGTSQTSR SACQAYCLNVSGNLHGAAYIADTSRCYCVPSNNLLNGFLYSDLVKGTDSVGNCYTSDA SYDSQVTQAQADTIASNGQVPYAQFTGCYTSQPPAGPKGSRQFSRTQRLNQVPYYVQV GGYRSYAFWISAVSGRSGFNYTGYNDWDLDLSSLTPTDCSATGSDTWLVALGPEPSAT PSAVARRANRIKRERSLCPKDQHACRIYGSSEYELSNCLNTNYELESCGGCKYGEYLG DYRRPYLVNTTMSAGQDCSNIAGAEPWGVSCSSGRCDIKACIEGYSLVDGNCEQIM I203_04021 MLPYTRLLDRCIKLRFSCLKRFLSIPSASNEGHAHFINVLTDVY NIFAEYKNQEFTEETKAYKLRSPTNRFAELAVEEDEDVEDLADIFLPEPPRPPTVSPA RIRCMPEPSREELLLGALAFFEDVHHIRDEIIGVWERYKSGKISLITAAVTTNAALEL LRKPHEDVVKSILPGFENNLPNLLVILFNVLYSAVTGTSGIRIPPLWDEVNERYTASH DLFDHGSIPVSKPGYFGQYDSSVDVRQASFSRRCHQSQIFICETYLEYLPLIAFGDVI SKHMLTPAAEVQIDGRPINIYLFDEMAVEIDTFRQTETPSLLLLIFGQIFVDINLVLG TSAPKALHHLRTEAVHMLATLERRTETEPAAHPITWPPENEEVIGSFKYELNFWRSVS TASGDIGFKLVNSFATLLSTAHLVAACRLFGLMPGASMPSWPDMDLVFNIHGKEDIFG GKYPDNIDDSLVSWHYMMGYSTEALGALRHVGTDSPIPSYLRNRTRDANLMSRSGAKR LRDHSQILPIFANKYRSAFIAGTTYDINILDKLFQDIQADQQDAGRTAYRSSRNVKVR RERNHRSPKYSILQMISLLEAGLEAETASIRFDYISMHLRCFSILDKVRLSAHDYITG KVGPDYLDNDSQLPYVVGWILQLAAFSARAAAQIGIKREGKGAIDIRSQRLMEATKVL GTYLRETGEGDAEFKRMRNV I203_04022 MQLILHKKYYYYPRYRDQSTKPPNDQIAEIVRLNKNLQELKCTV KPYKIPKGHEVYKIWDDYVVTRPGLEAPYGYFEKHGKFLACSIFRGAARMDILCSRDP TFAVFKIDFIHRVIILQQSCGTKAAAKFLVYRVNEQAPSGTERISKEDPDCTIVIDLE EDIWTREEFGPRILKNGNLLVGVQIVFYLYVLVHGRCLKRIPSHQGTRWFRYHEWMLT SNELLVGVEDCDFVCRRSFTDEHRRSFDTADDAKLVGAYFRRDDVSSDGLSSESGYES GDDIDAGKRKAHAEDRHAEEVNSGLSHENIYSSRFDRTQGEPLDITGYQLFEDLDYTV RYQFERHIIRDRLKSKGLLENLSLIVLDPQSIPEKDPSTGKSWLPVVKLELPFDPLEL EILLKECRYEGNPDFYEYIDDSKRVMQEEENFDTGCTDFVLPVIRRWIPNDDDYARDP LTIIDFLIAIPANPLKRLLTDTKGQILVGRPAADSRKRNTFTDLDGEGKERLRQETFQ NVTTIPFSDWRENAQLWVQDNDPAMAQHGNFNVDIIANGKESLILRAHCISPLLNVPD LDEGCGKGSMRRLQIPKPVRSSVNSFIFAQ I203_04023 MEKYSKWRDPGTGIQPFLPIVPPRSVSPIFVALLGPCSLVHAIA RTILLGVIAALHVILVDGLCLVFTPVPPIYRLVSSGFTALTCRLALGIMGYWWITTDI YSPKRGKNGVSQISKVSPKKGDLIITNWTSYVDVLYLAFRHNPTFLLPIFSTASSSTA PTAKTGRHTGTGSASINLSSSSAQPDLIGYEPIPLFALLGRTGSLPPTYDLPPTTKYY KNLREARRKEGRPVVLFPEGTTSNGRAILRFADGVLDGSDFTGGEDGQVWIKYFRHSP PTAFASSTTCPIPTPLNHLLFSLLYTPTPIPSRSLHVRTLHPSASPSSPSFLPSEILH NAPGGLDSAAKDPKAVWREAIGVVLAETGRIRRTKGMGWVEKASFLDYSGNKRR I203_04024 MGVTLLIDNYDSFTWNVYADIAVLGGNPVVVRNDKITLEQIEEM YNSGELERIMISPGPGHPRTDSGISRDAIKWGIGRLPILGVCMGLECIVDLLGGEIAY AGEIKHGKSSLVQHDSIGIFHDLPPLLSSVRYHSLSAQLLSLPPILQVSSTTQESGVI MGVRHREATVEAVQYHPESCKSEGGKGLMANFLKLKGGKWGGENAWCGVLPTTPGKDE QSSTIPNGSAQPSASGSSSAPSLPTILNKIHAQRLLDVEETSKVLATTPANITKSLSL HTSPPLISFVDRIKSTPHTAIMAEIKRASPSKGDIAPDASAPSQALKYALAGASVISV LTEPKWFKGSLLDMLSVRNALDSLPNRPAILRKDFILSKYMIDEARLYGADTVLLIVA MLEPTQLKELYDYSVSVGMEPLVEVNNTKELELALEIGSKVIGVNNRNLHDFNVDMST TSRVNAALDGRDVILCALSGISSPEDVQKYVKEGVKAVLVGESLMRAKDTGKFLRSLI GLPLEDEKRIEEKPLVKICGIRSVEDAEIAIDAGADLLGVILVPNAKRRISLEVARDI SDLVKATRSKSDLSRTSPEQSTSTGNEPWFTFNFNRLSQRKKPLLVGVFQNQPLSEIL DAVDEIGLDIVQLHGDESQQLAKFIPVPVIKVFKIPTSPSLTGEVVVSGRGEIGRPGL NQFILLDSAGKGGEGISFPWENARKVIEKGENGSEGSVKLPIILAGGLNPTNVRKAIE VAGGSEGVRMVDVSSGVERDGGDGKDRSKVVEFVRAVKGQI I203_04025 MTSDREYQLPDLEDYLAIDCEFVGCKSGQALAKVGIVNHEGTIV LDTYVYVNPENIIDYRTSTSGIKAGVLDGAPTYEQVTSRIKSLVQGKILVGHTLFNDL SVRRPSF I203_04026 MGIKREGEFPSLKKLSKELLGVDIQRDDDGRGHDPIEDARSTMA IFMLVREDYEIDLMKNRDRVAGIPPIPVNLRLLGISLARDEVTGHPVMDT I203_04027 MTPKLRVLISSNRSYPSTIPCPVNSATATKLETEHFSGDIWVFI KGYNGDLKKGDGEEYFGAKGREGMSYGIVVKGKYKKPFNADTVVFGNVFEKPIRDNLP WGTSIATKFMYFVDPTVEADIYADKPWALSPALATMAHLSLGGKNEGPHVEENSLEWL KENVKGVDDIPSYTDDKSQISSRRKWLTKASNRQAVEVDEGTEVGMEFCNGLLDFNTL SATLPYPFTLQIPLLKYWDGQPVTYVCQDKPTSYKDQSPVGGGNKVYWSVAFEIVDEE ITKELEEKGGKVVRPGGVEEEDKEEEREREKENENENDDVDVD I203_04028 MVADTIHVHTHLAGHPAYHILGHDLVLDVPIPALILSAVGAAFL LRYTLSFFRLILELTVLPGKNVKRYQARDGKTWALVTGCTGGIGLEFARQLAKNKFNV VLVGRRKTALDEVAQELESKYGVETKSFVVDVATPGSARDEALTQIELFSKSNDLGVL INNVGASHLMPVPFAETDRTEMTQIIETNINWTIFLTRAVIPSMIARSSSRGSPKSLI MTIGSLSGRIPSPLLATYSGTKAALSTWTKALAKEVEKKGVEVELVQAAFVVSNMSKI RRSSALVPTPRNFVQSVLTSFGQPRGAQGRPYERTPFWTHAFLDYAVGFAGYLSEVSG IKVIDKMHKDIRKRAIRKYQREKEREGKSE I203_04029 MSTRNKRGNPSSFSSSSSSNQPSSSSNKRVTRSSAKAAQQGSSS SSSNPRKKKKIKMSVHQPMSLMTRMTNLKIPFSQSMARAKQVGLPSPALTEEVSFGRA GWKRDYGLCKAKWGVQGEVEGYAGMIGQVKNLKLGDSDDSISFELIYDDIDSREFRFN LDLVFQDLNKYPASYRLVVFSSDPLPKRADTSFSRFVEIYDLEIPLLLEKLLASLQGD DEATGGAPGDFDEGELEEDEEIIDGADPWAEDPGRGFETAKGSDGDLGPGWIQLKDHF EQAQKWGYRPGLTRVSDFWVVSYSIPHKNLPIDPNVLSMWDDGLVDAWKKDQRLILMM GTDTYPPHLDKMKYWLGFHPNYKPPPDVMVSTTRGHGMPGFYLSAPILNYLKSFGRCY KLRTAFGLNWCTADKIGMDEEASRQVFQYNQIPKNIGKGDEDDPVVKGFGRNIPLVTF SWVLRRFMEAPKYCLNCGLEVKLPSLRPYVYDKPLCIYGFMSLGLGPSVEHTIVTHPA VVDVLLSFAHCAAASGAQTRMELPLHLHIEVPPEFGIAHSQLLDELSDLDQRRALAWL IQQLPKVSEIKAHLEGGGKLKSIDAPSGSIGVLRWSVGSCRAYLKETKPGEGVQDISS ASTTAPSQVTYGSLGGELKQFTFVVGSPEQESDFKNEIELAKKENKNCKAYSTLLAFH GSGAERWHNILRTGLDFSETINGRAYGNGVYFASDSGTSMGGYARATVHTRENADFRL SKATALVELVNVPHTFVSSSPFYVVNNVKQIKPFLLLVQGSETTENSEEVEEERIKKA KSSKGALFIHDPMLRTRPTLSTNQPLAVRMPEKLTRTTFIDGEPNDKTDRDIFNPPPP PPKPQNTFKPSPQSRYARLESLPPPTETSVVASKALGKELKAVVKAQEEGGLPFWVNP DVESLYCWTLELHTFPPDSHLYKEMKKHNISSIIAELRFPASFPHSPPFMRIVHPRLM PFTHGGGGNITGGGSVCNELMTGTGWNPAFCTEAVVRETNMTETTPPARLDPRNWNSP YTMREAVEAYKRVAKQHNWEIPKDFDRLTV I203_04030 MNARKAHNTGRNHVANVRDYFAGLNHDKAQNIIDQIISQHESGG GRQQMMMAPSMRLGAGFLNPMTTQQGYPPSSPSQPSFPPSTGAPPFRPPFPPNGNMPP FAPSAGSGTPPPFRPPPTGMGAGAPPFPPTGVNGYGGPPPTNGMPFRPPPASNSPNGF ASPPGAGAAAAAAAAPPSFTQASQPPAGAAPGIHPDRLRMMGSR I203_04031 MAHLAELSKEELIAKIEYLENAARAKISAIPSQDGIPATMPIAP TASASASTSSTPTPVLGENGKPLRKHERKVLKKSDKPFSFHSHPTRHIALMISYYGWP YCGLALQPPIGGKPAVDTVESELLKALEKTKLIEEGQGLEGCGYSRCGRTDRGVSGHG QVVNLWVRSLRKRDDGGEQLPYEVSWKDARDPIEVKVKPLPIEEIADTETDDKNKKKK NKQKEAYDTVKSSSGPILEFPYPKLLNSVLPPSIRVLAWSPLHQEFDSRFSCSYRHYK YAFHLRPTPTTPLLDLGLMSEGAGYLIGENDHRNFCKLDGSKQIKNHKRTVLKAYFET DPQTNGDRIIFNLVGTAFLWHQVRHIIAILFLIGSKLEKPEIVKDLLDVEKYPSKPIY TMGDPLPLTLYECGYEEGILDWRFGGYDGPYKSLTDQRKEELYACAMGGREGFERQLL GASQEADLRSWQINGSLRKLQEVYGTPAKKDKKDQITYPVGGGEVIIGMNYKKLENRP RGETPDEVNRKWRELKGRAGGRGKKYEGMDLDLAEDGGDE I203_04032 MTVDTPLSNGHPPDVNAKSKSTESDGTTEQEPILTPVIAKQVKV QPKEEPTVERTSLWNYLLFLLILTVLPIYLSKLHYKLPERLEPYDANGNPQPSEQLIL GHIESLETIGYRTVGTSEAVKGEEYVLGEVRKIVSRCEEGEALNCEWWVQQGSGFHSF DILDHEVLKAYNGITNIILKISAKYPPSYNETAKRPEKDAILLGAHMDSTLPSPGAAD DGMGVGVMLDLARVVVERNRPFDGSLIFSKSALETLQDGSHLYSNQHPTRHQVRAMIN LEAAGSTGGALLFQATSKEMIEAYSHSPHPRGTVIAADVFSSGIIMSDTDFVQFEKYL GVSGLDMATVGHSYFYHTKKDSIKYIEPGSAQHFANNMIAILDHLTSPESPLLQVEEF SPPDMVYFSLFDLIFVHWPVDAAKSVYTSLAVIAGALSVRQLSKKRWKAFLVALIGTP LGLVGGALSANFLAAVLVLAGKGQLWFRHEHLPLLVYLPAGFIGHFSVQLLLSKLLSP VDRTKLESAHYTCQTLFAITLMMVLQSLKVRSAYLFSFLAALLLAGGLLDESTRLIGA RNVEGMKIKTTYLIPLVGCTTLAVEAVTTTLDIFTPLAGRMGKDAPAESIIATIASVS GFIFFPTFIPLFHRAPRSSQPKVVLGVLLFLAGVLTALAGPWYWPYDDMHPKRVGVQY LYNHTSGEHTGHIAFMDRGPTSNIVDSIHTRFGKNGSEVINTQLTDYDSDWDTVYPIS SFLDTYKFPLEVSREDEQFEWPSMGFYTQEVSWEYATRVLKLRFVFKGLVWPTLAFEA SVLRWSFDLPPPIPKMRHHIKVATSVDEHVQDLNLTLRMDVGEKLQIHWTAIDLNQMV PGTASRLGPEMPASKWLTSIDQWAEKEYEGSLEIMMNGVVCGVIEV I203_04034 MPRFYQNKYPEVDQLVMVQVQSIEDMGAYVKLLEYDNIEGMILL SELSRRRIRSVQKLIRVGRNEVVVVMRVDPDKGYIDLSKRRVSAEEVVKCEEQYEKGK AVDSILTQVAKKRGVSPESLYEKIAWPLHKQYGHSYEAFKASISEPEAIFSSLSLDPE TLADLRSAIARRLTPKPVKVRADIEVKCFSYNGIDAIKRALSAGEALSTEEIPIKVRL VAPPLYVMSTTSTDKSGAIELMEKAVETIGESITKEKGDMTIKMKPKVVSETEDAELK ALMEQFEAANMDVAGDDDSDEDDE I203_04035 MLTRTILRPSTLPILQLQLRAMSSSADQLVIPSRSPSNKVSILT LNRPKALNALSTPLFQALNAELEKAEADDTVRAIVITGGEKVFAAGADIKEMKDKEFA EAYTTNFLGSWNKIASIRKPIVGAVAGYALGGGCELAMLCDILIATPKATFGQPEITL GIIPGMGGSQRLTSLVGKTRAMDMVLTGRKIDGLTAERWGLVSRVTEEGQSVVEEAVK VAETIAGFGKVAVQAGKEAVNASLDLPLEQGLRLERRLFQQLFATQDQKEGMAAFAEK RKPTWSDK I203_04036 MSSTSTESIPTFGFKERQPSAEEQALVDDVLQLYQLNPISSAYA RYDTNAQFHDPIGLAKGLDSIKAQFNGMPKIFSSSETKGLKFLDNPEVKSPSVQISLS QLYKMKPAGEKLVDSLVTFHVDPSSNLILRHDEEWDAKPNTTGEDGFFGKINELRKKF TASAVQAGVDTTPKDH I203_04037 MTNSNSLRHKRSQAKGLISIPFPTSSSTFMFDNTQQDQEARPRF SGESLTPNDGYSGLFTSGMFPNCVPTPSPTLSSFPSPPKSPPVHGHEPLKSAHLVPPS SYPNNNFSTPQRRRRSSIIHTTASSVSPKKLKSVGVDNGVERALEGVMRNLRVTITPK KHSYNGSGKLQSRWSSSTEGSSIDTHENNEDEGGLFKPRKSNETSRSKVTIKSTKSTK SKKDKGRKSEDTDRDRMDLDIPFPTKGVPEVPPVPSQIIIPTTPGRSRRMMNGLVKRL GLTPKKNKQSIPPTSIPPMPEFHNPLPSPLLLPLSLPLPPSIEDPERTIPRKSSFGTI RSALTKKSSNTTLRSMRSAAHPTHPFANIDNCTPPLPLPAGLPRPSHHDDLPDFFPST PKGGRRTPKSSIGQPKLQPNQNLSPSHFLKELPRRAPETPKRDGFDIPRGQDGEQDGV IRFEQEHLGDIIMSPASSRDIDNPPDLEKEVVVEDSLDFDKSQEIFTPISKPQPNARP TQAQIVIQQTLNSLTKTQSEMLSSPFQPSGISTPTSLALSQPQNPGGKGRPNGLGLNI GLTKNKSHIQLGSPLNLTNIPLNSMGTAKLRSKKSTEGLGLKSGGPLSMKNVNSMGLP APAPASSNENKIYSRMSRKDPLGIMKRFKPSMKPSDISDDMPYRGGAGDGWSTPIPFP EPRPSGERERKLNLGTVESYFDPNLGTFGTPRSIYPVKRGEIPDFTVPPPPDSNHSSY TTQNADDMEMEMEMENTNCRFEDADQQQGQSPEYYFQLERPSQDDIDIGDDVNDGNDD GKYGLGRGYGQDNRIKKSSDHTIHTIHTMTTGEGVEEWELERYLRDLEREEESRVSSR RGEVV I203_04038 MYTSTSTSSQEPPKPTGLVMQIIIRRDLLTVHKWPVGPLLAQSA HAATAVLHRYRDHPDVRRYLEGEDGRGWQGMRKVVLEIQDENSLKEITTKLDYLSSPI PYHLWVEQPENTPTALALIPNKRPKQLKKILDEHCKLFE I203_04039 MAVIRRRPITPSISDHSIPGPSAGQRRPQQKLWRRSRLLLFLFF SASLFWLYNIASSVFENLPSLASRDTQRFNEGFTKIRLSDLELDIPPGYVIGHADFGD GRSRTCKKEFVQPQSEGCNWVNVNLGNGGSEPNLSGGMIPSVAPPFGMTRWTPQTREN YVSMCPYNQTDTKIHGFIGTHQPAIWMGESGPVEIHASLGEVITDFEKRGLTFKREDE YASPNYYRNLLDVGKDIGDVEIEMSATSRVGHIRYTFDPSSESIKPHVVLQTSRKTWI LHGDQPDDKVPYYPNGYIEVDLEKQEVRGWNDERQDHVLVGDELPAKYFKSYFVARFS QPFSEGGISHAGKIQPNVLRGQGKVLAGYVQFPENTKEVEVRVGVSFISLEQASRNID LEIPSSQSLAQTSQSTRSQWADKLDLLSVEGAAPSNLTVLYTTFAHTLVYPYEMSEPT PQGSMYYSGYLDKVVSGISYSGYSIWDTFRAQSAWLILVAPERVGGMIQSIIQDYKEG GWLPMWKNVVETNIMVGSHADSLIAQAMKVGVKGFDYQEAWQAVRKNAFTPPDRDTEL RFADREEGTPQEVRAGLTEYKKLGYVADDLHSESGSRTLDYAYDDHAAAIVADIMGAT EDAALLRERSKNYKYLYNNQTGHMEARNSDGSWAGQEKGWTEGDHWAYTLDVMHDVPG LIDLMGGESNFVDFLDRHFERGHNLHTNEPSHHIPYMYILASAPHRTQEWVRRIGESD YNHTADGLSGNEDCGQMSAWYLFSAMGLYPVDPANATYVLGAPFFDKLTLTLPSTGKN VEIKAKGASSGMKYVKNLQIDGVDHEDVVVPHDVLEKGGVWEWEMRGDHQVWGM I203_04040 MLSRIRRRNAGPRPDPKSVNFTSEHLTVSKTIARRKKVLGVIWE KIPLIRAILATAGFLWLFAIPYEGLWKGTYVDEHALQPGQVTTYFDWGNVHKADLYLD KLERIVNGTFEERSAYLQDTFSSSGLSTSNASTSTYAHVKPPRSKGTESILVSANWVS RDGTPNLRGVATLLAMGDFLKDQNHWAFDFILVVGEGYMEGLEGFMREYSTLFNGVIW TGLNIDYPGHSFSHIGLFYEGVNGRLPNQDILNTISRVAQFTGGVPTRYHNIPDEVPS IGPEWLGKYLLASKHLLSHFGYMITGRASAAHGVLARHRIDSLTLYCTPSEGPHGFHT LGRTLESSLRSLNNLLERLHASYFFYLLPRPWNFIPIGHYLPSAILLSASITIGGFDI PNPLEGLVYVLFGFIGSFVFWIASCHPIAWCSFFIGPLILKLQRQLRGVKGNQIKAGE KLLRSIKGLLRLLYGSLIATLSMINFPQSIFLALISLLYLTDFPKSIKYLILVVSQPG LVLWLLKYSLDVDLDLAKDWLELGNLTYPLGVYGIWGSLWINSVLLLKDE I203_04041 MAPRKGPQQDWSWIHTVSSPDQITRQHRRRAAGLHDLTPCPFNF SLATPTKVEPEDDEDEVIEVDAKGKAKAKAKPSNNKVLGCTKQRCLKNPMCYNHIGAE KVVHPDAKKDYIDEHAGLIPVDREGPAGLRNLGATCYANAFLQLWFHNVAFRNGVYDC VTTESTPLFHLAMVFGMLQHSNRQVIDPMGLIEALRLEKGNQQDAAEFSKLFMSVLAS EFAKHPNPKIRSFLKDQFEGVMEYSTTCKCGHKSSTTSTFLELELNFKDKSTLEQCIE SMQIPEILEGDNLYNCPSCGRRREAIRRQVPIKYPPVLHMALMRFVFDYKTLSRKKSP ASITYPKNITLGDDEYHLRAIITHEGKSAHHGHFICEVWDETEQSWLLCNDEEVTNLS DRPTKKARKALKLDKLDEERYSSKDAYMLVYKRKDQGQVASKQPPPVIWDRVMAHNVT LLEEQNTIGVKRMQVEDEFDQLYNLKKHTDHIIPKDSLIKWLKTQHFVELYEPFDMSP IVCSHGGIDPGKTDESRLISEEAFDQIQLLNDCPQLAICSVCVENGYRREKAQSSLDG QVEAFDEANVGDGDYIISKSWLDQWRNGSLPHGKLPSDDDFSLVCEHGGRSIIPINKR TATFSIITAEALAILKSIIGEFEVIQEVQDECEECLSMTEVNLEIRKQRLEEVKADRQ IRRMVNKDKPPAYGLDYYALPSNFIREWDRYIRGDRDEPELDMGFCEHGLLDYDPQME KPDILDKKGWKMLTERYGEKKPIVIQFGPHTRSGKKHNVEMMDPGVCGECSTARRSDF DVISIPIVTIIASSPSHSKPVTPIPSGSGSDCGPRGNGFPPNNGFNRAFNNKRMSNGK SITIYGGRSTRSRSNKYQYEGTKDTFIKDIKIHIMDKTGGRLTPIQQKLSYHSRELGS DETIGSIGFLKGDELELEEMFEAVDIGDDDEVDVAEEGGGNAKKKKRRVNEGFGGTAL LARIACPDCTFENDGAAASCEMCMRPFQVDEIL I203_04042 MPSPSSDTDPDLRDVFKLLYADDEWAFTSDTSDTEEDQGDIQAH PPLNSLIPDIEVDLIDTSLIKEENTDEITLVKERYTRKRFLEALDSLISFPSSPSKKS RIYTPPPITHLSPSIILCTQPIAQLPSPEEYLPYSPLGLLSRLRSYQIHRYSPLLPNH LSPSKASLHGWTNSARNTLHCGVCGNILNLDGMDDIRNERVREEVSKRLSRGFESGHK KDCAWRIRRSPDELYDQLRNILHPLISSNLFPLAQNIHSSIPTLSTIQVKSPLSSTQE ECLIRSIRSHQSPSEQTDGDISRESILSSLFGWFPYYPNHLPSDHIKKEQDNTEIIHC RICQRRIGLWAFAAGEQGEKKVFDLVNEHQNWCPLNTQQNRKTWWEDCPLLKEKAPVE SGITRDWVKLSDKLEKKPWRR I203_04043 MSFDPFEARMQFLQLLRKLNASQPSIQKVVGYAIKYGSRCSEDL WECIVEQCGKGSLNTRINILYFLDTLLEASLPLGPVDAPYPQLVTQNLQDIVGKVVPD GRDGVLNLRSAKQILESWRLRRVIDHDVVEEALKFLEGRTHSDDSSNKRSHEQAFSKN EILRRMEEDRERHKRLRERIWILPIPPLHPQPSQLPTKLKSSPSTTSPFTPASPSNSK PSNASTPNQGKMAPPPVPVADKEKSQEGEGGGLETALEVEFEQAWEGVSDVDEEELQR MKE I203_04044 MPRTDLDTPSSLSSTSTMFNSEDIPSGDHSRASGDKDISSKSVD PSNVHDKPYQNQDVSVKSPKSTTILTKPLPTPSSIPPPSPPSSKLQRILQPFRPFHSP SQSDSTLKHTYPGSGTSSDPYLVDFAANDPTNPYNWSKKYRWMLTLLIGITALCPPFS SVSYSSTVIEIIPEYGMSRELSTAGISLFILGFALGPLNWAPISEIWGRNVAFYVSFP LFTMFNLGTALSHNTRTLLVMRFLAGFWGSSTLTNPGGQIGDMWAAHERAMATSIFSL APFLGPVLGPIVGGYVTERCGYRWVYWIQFIYALIVTEATIVIMPETYAPTILRRKAK QLNTSSRGEVYIAKFDKAKKSKSEIIKIGLLKPFELLFTEVIVRCLAIYGAIVYGILY LFFACFPLIYQEIRGWSVGQGGLAFLGMGFGLVLGNILNPLGDRWYKKATVPGRPTPP ETRLPLACIAAILLPIGLMWFAWTSAPPVHWIWSILSCIPFGLGFLWIFNSMVNYIID SYTLYAASALAALAVLRCLFGAAFPLFAVTMYRNLGLHIAGTIVAVLAIACAPMPFLF YKYGPYLRRKSRYAPSTPHTIEEESKGTPREREEERQDELRKVKTNRDEALEPQWDRE AGEDARIGGEGVDGRSRDLEKGI I203_04045 MGYLDPRELNDLIALAERGGIGKGVALTDCVAEAPDQLMYISGD ELILLRDLGKVLLASCEGVIGWVERENVEFDSLAGSSTPPGNIRELPKTILTVPSPPR PSSAQPTKPIYYTRADEDEEEGEGIDELQSHLATRSRREVKRISEPFELDSPQPSPAL SQDNRQQGFFDQQQQPQQIGERSSIVSNNSSEAFGGIGGFMMGGNESEDDHENGNGSI SIDSLEELKGLFHTITPLQAHLYANHTAILPYPDYQSPSTASLTAPHQPPTQSASASL HRRPSSTHSEDESEHGDERDLEEDEKDVEWDIYDDYARESMYGPAKRMSLAIQQKRLS RAAKAASKVPSPLSVSSASPGLSSPAWSMDNRLSPSPQTESFRLPSAKKILQANQSHH NVDPNGTIQVQEENQEGDQIQITPKLSDQLTPTTPNQSHFHKIDMPSAQGGRSVATEL RLRIMREREKEESENESTNGPFKPHQEYQAIAPSTDAKNDDSEMQSAGNDPHEHVTHG SSSISAHQSSPSDSTTSGQRTASTTHDSEESTNIATPQPHDAEPFHAPSSAGHETVAH EMTKSASGHTLLASPLPIDENEVKIDVTDNGIISAHSLVPPPPRTHSPNLSPHLHQSA PGSPSSPHSVSATRQAVDVARSTFDGKRPRGLTLVGRMEADLLASKGPIPITFLVNGP GMPVLPTPTPSSHGHEPIGLGLPSSKSRASSPHDAHRRATSPLASPILANEDTMNQAS PFPPHPHPVRSATSPVPSTPNTTLEADLAKPSPPFSVGRPRARSFSATVAKTLGVGAK KESTPPPPALSIKIDQTPPLPVPSINSPVPQSASSVKRSFFGGRKSSLNPATPPTGTH SPSSSSATVNHLPIPSTPASVHHHGISNGNGSGSTPSLPIPGSARSTSFSFTSSVNSK TPKKSSTTPSSITSRGGAISHKDFVEETVKAEGLDFELIQPKRQNSNGVLLSPIEDNS LISPGSFTVASQRPNLNRQSTMTSQNSINSLRALPETDEWGFLKDRSPVPEIFQSRSQ PGDHRAIEQKWLSIISTPLPPNTSPPKKVRKLVLDAGVPSSLRGKVWAWFMANTLSAR TPGLYQELIAHDNGLEDGRIDKDVAGAYPDHSIFASSKSAGQQDLRLILRAYSNFAPS GYRSEMALIAGALLIHCVAEDSFWLLSGLVNSALKDYYTNSKDRTGLRIDSSVFLGLL MKEEPKLGKLFNEIGLHPISFLDKWFSQLFIRCLPWPTTLRVIDAVVSEGPRFLLIAS FAILTLSKERLMGLQKNPTTILKYLQNLPQDSLLLPENFMKTCDQIRFEEKDYKKLRS SVEKEIMG I203_04046 MSSIGLNLTNNYSLYAVPVAWAVAVAPHFYAIALYNSEKAAGSP KWDNTEPKKNIANIKDAKLSPASQGKYLRAEAAQQNGLQNLPLFAAAILAGNFARLSP SILNTTAAVYLLSRVVYSFIYINNTSELSANLRSATFLTGVATWMSLFVRAGNKLF I203_04047 MSYAYLASTPGLKFNYSLFSVPAGWVVGMAPLWYAIGAANSAAP GTYQNANPGESWSNLDSALLSQQLRRRIKRAVAANNNTHVNLPLFAAGLAAANASHVD SASLHLYSAGFLISRVAYNLAYILIEDESYSWFRSIFYWSGVGSCFALYIKAALKYKS LPW I203_04048 MSYAYLASTPGLQYNYSLYAIPVGWVVAMVPLWWAAPIANKASR GTFDNANPKDSWANIDSKPIPQQLKGRIKRAIAAENNTHTNLPLFAAALVAANAAHVD SSSLHFHASLWVVSRIAYTLAYIFIEDRKKSFIRSTLFGIGIYSCFALIIKAAKKYSA VPW I203_04049 MSLGPRQLPSPAPTPGSSMVPHPSTTDAEPMDQASLRAELECQL LKLSQDLYELEICAGDVGQGMEDAVPNYLMKVNQGFINLERISGQLGESVPHQIVEHI DRYKNPHVFTKNTLTRAVGENQYALGRVLGLESFRRQLHDALKEELPEVPLPNRKHQP ILPSKTDVDENTTNQEPKGDQVNGDLNVKVEERIQ I203_04050 MKHALWSLLGLAAVAHARVVQDVYTPKDMLLTPRPQPAIASPDG THALSVVDQWNSEDDKIHRSVYLLSLNTTHTLPPLSLFNTSPAQASQFIWLDHANLAY VNGSSLYSYSIEYNVTRPPTTPAPSPKEVLAFPGGVNPTGFQFEPKSGTLAFSGQVWR DSGDFSGTAKYDAKWEERGDSAWVYDELFVRHWDEWRVPGKVWTLGSVELSNKGGAWI ANDHGKGGQFVNLLNDTGLYSQMDPISSFSLTSNTIAAAIKTPYLNFATHTREDIYLL SLSGHASSSSPKHLTPHSHGAISSLTFSPDGKKLAWLEMKKDGYESDKRVIVVHEEGK GIKRWTEDWDRSPSSLTWSLDSNSLYFTAEHHGRILPYYLTHPDHLPTPLYFNGSTTS ITPLTDRTILLSQQSLTSPSDDFILTLPDPKKKHGKTFGFAPDGGDKDEDKLPHDSLR RLTAWSARFIKDRLEGLEGEEFWFEGAEGKEVMGWAVKPRGWEEGQKRTWPLAFLIHG GPQGAWEDSWSTRWNPAVFASQGYFVIAINPTGSTGYGQDFTDAIQGDWGGKPFKDLL AGYQTALAKYPEIDPDRTAALGASYGGYMINWINGHNDHFGFKALVCHDGVFDTVTTY FSTEEVWFPTQDFAGTPLTHRANYERWSPVNHAIEWTTPELVIQGGKDYRLENSQGLG AFTALQTQGIPSRFVYFPDENHWVLKPRNSLKWHHEVFKWLHEWVGRAHDDIEVIELD DMGQKFVIQA I203_04051 MVKSQRSSTTLFHQWPNNHHNHNHNSQPPTTPSHQHQHQPSGGI SSISTPFQALTSRSIQLNQPDVNVNVSVTPGSRAVYAPGLQNNSPTPGSPSQIDPFGS QVTSPAPIQLQSSSRPPSTVLSSGGSPLPGSNHSVVTPKIILASPSTSTIHYLFPSSD TKNGIHLSTSTSASTNITQEHSGGLGQSDGKPLKRGQSLSRGNSVKYRKNSQNIDQHQ QSHREVPGLKNKRSLPTPNLKHQPEKENSSNPTKVNGPIGGVKKSDISKPYPSLSDEA RDYSKGYYDVGPGADMMGTLRRQPGMNDLIGKNRVGLVESHDNIVKGGTGMKRRESII LKEIENRRERRGWEIGSRPAHGHDPIEPTSPSTKTKTSTNVTRTQIRGANPKPYIPPI TVIQQRRRSQSLTSPRPAPSPPADPQKSPLLPAPDLGDPLSLSVVIPSPSYSHLEYSP AGSSASSMSRSQSKRGGWGKRSSAIFPLSVAMSRGETRKGLGTYDSAKLKAEQDFEQR TIWTNNGMGGKIASIKRSVSLRKKDSSDDTGRRIRPRSQSLTTTKSIRGLDEAPPLPS PSLMKGGYIPYGQEYTSEGYTGELSIDLGSRYHPHHPDGSSTTLEMDLASPRSAETSI FPASTPTSAEYEDHHISRNHTVMGDNVIRIVGRPLHPAFNESESSLSILAPSTVGLGF SPVGTPMSLPESLLEEAIDLTETGRRLSSPMIEAVITPPTPQNQGILPKQAFLEPHQQ HHPKGQHSYKHSPCASPSVGSNGSGSGSQKIPRRSLLLAQNRFYIPPSHAKPSPPMQV DPKDGVGVGGNPALRSSKSLRPGIMKRAYTSGNLKADANCQPGPSEPSCSTSFGGLLK SASIRSNTNRFSRISENNDQQSHQQQHYGTERISFTSSMRFKTRPLTTFSICSPSDAI EPLPQSNSPSPGLGMETKGQTPSQSKTLRSNRSSPFLSASNSLRIIFGKDGFVARSLS QAFDKDHNNLHHQSRDSVYSEREREKSSSPKSKEELKLRISSPLEASQNSGGVQSRYR ALSPEYEEEAREKGRDADKKWRESVLQEALSISVSNSSSLHKLANYDGTDGGAVPRMV SSGSKSRLAIPDQLLSAPNIRDSTMSNGTDGLRGIGESMLNSNNSLESSLNLRAVIMQ VCNEKEKEKEKETGKTRILEAVGKEESMISAPSFYSNNASTYFEKPSNSTRRLQGKGL PSSFSIAELTKGKRSISKSKSKHEAKSDRLNIRQISQPIPMSGPLSYNDRIKTFDLNT TNPEEEIMGQLVDPSFQDDTRRSTNNNGIGGSMKGRNISARSSIFGLRSNSGSKSPEP SSNSRSGFGLSSRLSLRNKSVGKNGISSNDYPTSTNTVTSSSMRTSSHQHHHPAVIDT LLARDDTVQAEHVHLTTGTTNDRDKLRKVLEWRDEVGDNEHLARLEQKIRGFVIDERE RVRDIGRKSIEG I203_04052 MSRKSKATSKRLHLTRHAQAEHNVASDYTIADAPLTSLGKKQSR ELNELTKDTFQKTAELLVSSPLRRPMETMLIGYPSLKERLDKEGKPVILLDTLQEVGP YACDTPTYPISKLKSSNDGIFSELDFSTLSEDYASKQGIYAPENGSERAKRVRHWLRD REESEIVVVAHGDILRYLADNQQSSRPWDNAEVKVFTFVSEDDENASLVEVDIHAEPR DATDEPTSREMRN I203_04053 MKCILIKDGTGPADNLYMGEEKTSEPGKGEVLVKAFGLNRMDLL QREGKYPLPPQASKTILGVEFSGTVDKLGEGAKAYKQGDEVYGLAYGGAYAEYIVNPE TMLLPKPKEFDWVEAAGIPEVWMTATQALMVETPLKEGQNVLIHAGASGVGIAAIQIA LHVIKAGKVFTTCGSDEKVEFLKQLGHSDRLHVFNYKTQDFAKEIKSHAPGVDLIIDF IGKQYWNQNISILNLDGQMLYLAFMSGAAFPEGANLAPILGKRLTIKGSTLRSRKAEY QHDLLEVFKGRVLPGILDGELKVKIYKVSSILGDEHLIEPE I203_04054 MSFRSVSVLRPTLPLPLITPTLTRTYAVKSSSSSSGNPALHVPT DSRSNLLRQVLYPLDSYSPTSSSPTGTYHPDHLNRLQAVIPSEEVHETIERAWQLHQR NLRQTRQKVLDAKFKAMVEACNELEAITDPSNGGVESTEGKGGQYHRRIYEIATSTTH QAERTAEERSQKGKKSVEQRWKETRIPGLFPRESWVPVESKGKGWEYDWVRPGY I203_04055 MPNSHSNSFNGSYPAKIEDQTRLKELDDEDQLQAKQKQNGVDKD KDTHILMGNTDFSLPNAHVQGTAPPLTARPYQLSKGYSHLVESPDRYREVIIEKLGKL QTTLSQLGSDDLRQAKADIIYLKSILDDEGADIAQIKAGANWASRE I203_04056 MNGYSPKSASSYGTPSPSTSYRRFSEEQSPSPLPQYSLSSDFAH LVEEPGMNVVIARKVAKLQDSLPNLSSDNLRQAKADMMYLLTILDGEGEEVAQCKAGA VYSAPV I203_04057 MKCTLTSTIPGPGDLEEGREEGSQRTARRRRWWWWWSQISEGPK GSCPADWSEGFKKKKAAGVPDMTLLSTITNEAINDNLKQRFNNQEIYTYIAHVLISVN PFRDLGIYTNEILNSYRGKNRLEMTPHVFAIAESAYYRMTTEKENQCVIISGESGAGK TEAAKRIMQYIAAVSGGEGSSGGIENVKEMVLATNPLLESFGCAKTLRNDNSSRHGKY LEIMFNSLGQPVGAQITNYLLEKNRVVGQIDDERDFHIFYQFTKGASAEQKEAFGLQG PEAYAYTSRSGCLDVKSINDVSDFQETIRAMQIIGLSADEQNSIFRVLATILWLGNVE FVEGDDGNAAIADTGVTDFAGYLMEVDPQQLQKVLMTRIMETQRGGRRGSVYEVPLNV AQASSGRDALAKALYNNLFEWIVSRVNVSMKPQQNPDYVIGVLDIYGFEIFQDNSFEQ LCINYVNEKLQQIFIELTLKAEQEEYVREQIKWTPIKFFDNAVVCSLIEDKRPAGIFA TLNDATATAHADPSAADNSFIQRSNMLASNPNFEARGNKFLIKHYAGDVLYNVSGMTD KNKDTLIKDILDLIEGSKDDFLHTLFPEKVDHSNKKRPPTAGDKIKASANALVDNLMK CQPHYIRTIKPNQHRSPTEYDDKAILHQIKYLGLQENIRVRRAGFAYRAEFSKMIQRF YLLSPATSYAGDYIWDGDDRSGCERILMDAKISKDEWQMGVTKAFIKNPETLFYLEGE RDRYWHTMASRIQRAWRAYVRRKVEAAIKIQRFWRSQKESLVYARKRDYGHEVLAGRK ERRRFSLLGMRKFMGDYLDVGGTSPQGEMLRNAAQIGPAETVHFSSRAELLVSKLGRS SKLSPRFLIITDKAVYFVVSAAKDGRVTTSLERKIPLVTIKTISMTNLRDDFVALNLP PCEEGDPIFTCAFKTEMMCVILTLTGGNMNVSIGPTIDYLKKKDKKAQIVAKKDDAVR GEAVYKSHAIAVGSGEPASSLSNPMPPRKPKAKKAPKAAPSSRPTNRPTAKTLPGATK PSAPAAMTSMPSAPTATKAPSAVKAPIATGAARAPPSIPGRGAPPPPPPPPPAPAAPA KEIYKALYAFAGQPGEMNLVKGEEVKVKEKDDNGWWMVVKNGQEGWAPSNYLKLIESA PPPPPPPPAARRPPPAAPAPAMNGSATSTPPTSRPSSTIGNKPALAPAIKPKPAIPAK PSVGAKPAGLGGGKPPIPSAPNIQPSGTGKKPGQVAQPQAQGGQLDLAAVFARRAQQA RGE I203_04058 MTTLRPSRGDPGIHEVWADNLETEFAALRAAVDQYPFISMDTEF PGIVARPIGNFKTGSDYHFQTMRCNVDMLKIIQLGITLCDEHGNSPEVSTWQFNFAFN LSDDMYAPDSIDLLKNSGIDFKRNEEEGIDVEYFGELLITSGLVLFDNIKWVSFHSGY DFGYLLKILTCEPLPANETDFFNLLFLWFPCIYDIKHVVRSVKTLRGGLQEIAESLGV TRIGPQHQAGSDSLLTAAVFFRIRSNYFDNNLNDDYYKNYLYGFSSGRHGKISPPVAP TGLIGNEKPY I203_04059 MEEEEKCSAQKPVCDQCAKRKDRCVYDAVRPASRVEQLEKKLAE MDAEDFREAMRRTAFKNYGRSDFENLAESLASLPSNNTIGQDNGIPSLSGFNLIGSSQ SRSKVPNGPLETPSPTSVDQMLNPDSEPLVDINDMPLSAWPWPQSGTSSSFNLNHLNH HEMFQPSQLHQAYLHDDTLPWPLMGSSNNASFLNPSDAPPINGLFQISVPSPSNGSTP SSRAQSHDSTASPTPPQFLKSNTSPPVSGIHNLNINLPTGHDSSLSVDCPSTFRPHGI LPSYDHNQSGLLFNHGLGISLPNGFGFNFGTFLPESQEITPASEVIRSVLQVKRDVTA ENKSIRAKELSDGARDYLLDLFFSTDPPRPLYGSEFFTEDEFKARLALPGKDRPHPSL VFSMCTIAASESYVPSIRKFAEPLFKIATSKLEIAIRKEGRLIDAIRASKNLSKWLFI QGRVFEGYQYSCKTISLCIACGLHRISSSIFTPAPASESQDVQAGKPRYLLEPPKSQG GLAERIHAFWSAWGNDKGGCLTHHRPSTIRDEEIITPLPRLREDFLSDALFKEPDMTL RDLYDLPYRDNASPPKSIFGYILAAEHLIYRAMNLLSQPPENMMSSYRTLGLFGSSQV WTPREHYPTAYKEIMETSLWLEEKIPEDWKMNFLITGKWGEPDIPIVALCLKTARMHL HPLESEFDRPIGLSLAFDSAQLIKTLQVYYKNVVTSLDPTSEPQPRTPHHMNIGHSTF SGINNRIPDNKDNSRNRGGISGPYGMSPCYGVVQKLVEGSIILEKIGQIEESRKCMQE VTNLLDGFRGLAIHCKIIAAYVDKLEKLVPK I203_04060 MTAQKGVDGKEVAKHNSRENGVWIVVHGKVYDVTDFLDEHPGGA EIILRYAGMDATEEYEPIHPPDAIIDNLDPSKHLGPLIPSTLPPPPVSASAPPPTSVS IPQKTNELLAGGEEEYAKPPLEEILSLHDFEAVARRTMGKRGWNYYSSGADDEITMRE NHNAYHRVWFRPRILRNVGTVDYSSTILGHKTSMPVYITATALGKLGHPEGEVTLTRA AGKHNVIQMIPTLASCSFDEMVDAAIPNQVQFLQLYVNADRSRTKKIIKHAAERGVKA LFITVDAPQLGRREKDMRTKFVGNASSQQTQGGDNFKRDQGAARAISSFIDPSLNWND LAELKEAVGEMKVILKGVQCWEDAVMAAEYGCDGVVLSNHGGRQLDFAPSPLTILPQV VSILKKRGYMTPSRPFEVFVDGGVRRATDVLKAIALGATAVGIGRPMIYAMSTYGEQG VSRAFEILKDEFEMNMRLIGAPTLSDVVPNMVDISSLNAASGGITMYEENCE I203_04061 MAPAGTQSPSSEKPDPLVPGGGKQMTQADQRPEASGQNPGPAPG EQSSGGVSAEALKTAQQSATAVEAESRKDVETA I203_04062 MSSNSANPAEDPSSSSNITQSPLRPFVPPINGTPTSIGKARALR ARPSESGSLPLALPSPKTPLPTPVSVRAPTPKEFNQISRRLGDENIREKREELLVEKE KQLKILLDGHDDAIREQFHLERFVTMITGWDPKAAKVDNSPVFLEWKEQRHNLLSLLP PSASLPSGSASAGPSRHPSSVPARTTRRQAHEQSEILTQVVTPAALPMVRQISPTKFV LKVNGASSSSATVSEKAKGKRRASDVLIEEVQVAEETPLKGKGGRKSRQSVSTAEMPP PPLPTQAQKGKSSRRTTMGGSAEAVAAEVEVKEEIQDPGGGGGKGKKRSRQSLPNLPA AKKTRQAQFSAEEQVASPSPVIEISTPREPSPPPAPTPAPLPSLAHLPFPPPPKRPIE RLRGPRAIQYTDPSQKPPSSPQHDGHISPILESYIHLEDSGPMLDFKTLESRAAKDGY FRARVMYLQSHGRLQRLLDEAEDDQVSLIGSKNTSSNRSQSSKLPPRKTDYQDSLMAH MVQVRNAMLNEAKMKPVVCKRIARMIQIYWERIEGREERERLAEEKEKKRLGKELIKG LRKRWALAVKIVRAKLLEIQKIEQDRLGKEHLQNILQRSTGLLEAQVTGTELDGDGDE DSDDDVSDATEDVSAAEDSDEGEGLTEGEEGLPIESSLPPETPLEDEGEELESEEDEN EDVSEDDGDGIQPDLRNLLGQDEEVDFNGQEEPTVNRDETISEKGEDSVNENDQVSPH SDKTSHLDINTISNGAHSSPSRIPSSSPDPLDLLTPHTPTLPEVNGHYSPQNSSTTIS RSRRERKIKPPIPLPSGDDPDANDTEFTAANTSDVDDQDAELDVEMEDQDEGQERDSE DDGLLQDADVPIEELLKRYGYPVPGEQDVEVDDNDDHLPNGQAMRIDRGITQNKTKED QEQSAKVNGNRADGEEQLGEPVATTTEVHVSTLANAHSSQEVATDKSLLDDRLPETPT SPQLIIEGKRQRRVRSVWSPDEKTPQHLSSNRRPKIEVVGDQEQESSPEPTSSEEEEE SSDDEEENEEEGGKSMEVDEEGPRVRQPFLLRGTLRPYQQAGLEWLASLWENGMNGIL ADEMGLGKTIQTISLLGHLACDKGIWGQHLIIVPTSVILNWEMEFKKFLPGFKVLTYY GNQKERKEKRVGWLSETSWQVCITSYQIVLADQHIFRRKNWSYLILDEAHNIKNFRSQ RWQTLLGFKASRRLLLTGTPLQNNLMELWSLLYFLMPGGIGADATAVVGFANHKEFME WFSNPMDKAIETGDAMDEETLATVSKLHTLLRPFILRRLKSEVETQLPGKFEHVVYCK LSKRQRFLYDEFMSRSSTKEALTSGGYLGVMNTLMQLRKVCNHPDLFEVRPVRTSFAM DSVARDFEPQEILVRQRLLAQEDDSKVDIVSLCLGITANEQESGWVCRSRQALDASNK LPHAIEPVITRRGRLPAGPKKDTRTVEGWLKYQEWAQEQASILRWRSLRDVNRRRCSP QPIYGSTFLNILGYTPNYLLPLTSTPRKDEMFAEYRPPASVLISSLPDRAKTLEPIID LFTVIPPNVVARNMSKYALPNLTPWSHPLLKEESFDTLHRSTVKLQIAFPDSSLLQYD CGKLQTLYTMLRDLKAGGHRVLIFTQMTRVLDILEIFLSYNGHRYLRLDGSTKIEDRQ VITERFNSDPRYFVFIASSRSGGVGINLTGADTVFFYDSDWNPSMDRQCMDRAHRIGQ TREVHIYRFVSSHTVEENMLKKAEQKRLLDKMVIQQGEFNNDWWGRVGWKDMFGDVNE QEVKEGKGGEDGVIDVDVEGTPTAEEITKLKPRAGQERELARVLAQVEDEEDVAAAKI AQNENELDFQEFDEMPSTGEGAKRHQPQKVSFDVEGSNTPITPQTPAFGQDGEEEGEV EGEYEDDGIGAIDEYMLKWVEEDWMTYFIGFRA I203_04063 MIAGPQVPSIELPERASPMAVQAARNAASNQHALVNLIRLVKSL EAKVNSDDVDLANEPYTAKKEWETVLYTRALLDALKDGNEQSSSTSSNLSVLDKELSS VESSFRIRLSSPLPTPTLNPALIALPMTPDPSYPPPAESIPISSFHSSPKLTPPTVTP AERVPPSDGVRKRRSRVDEYLAQRSKSDLTGSEEGLLPLKPIKPPKQNVNGQGERESL LAGSGPGNGLGSAQLHEELGGQLVDMSHRLKLNAVHFANSLENEKSIIENSQNTLENN LTVTKSTKKHLSSVSSKGRSTTCLTIGVVLLVMVMFIWTYMLIRFT I203_04064 MQNKAEQDRNQEATVYLGNLDEKCTDALIWELMLQAGPVSNVFL PKDRISMSHQGFGFCEFLTEADAEYAVKIMNQIKLYGKPIRVNKASYDKKQLDVGANL FVGSLDPQVDENTLADTFGTFGGLSEPPKIARDPTTGLSKGYAFISYNDFEAADMAIE NMNGQFFGGKQISVQYAFKKDGKGERHGGQAERLLAAQAKKHQLIPGSSTPAYQYQGQ FAGALANAPTATATSGYPPPPPAGMPVYQPQNQQPVYPNYPPQEYPPSGYPATTTGVA GAPNGYAPPPPPPTGFPVNYGMPPQQQGAAAPPPPPPIRMGFGQ I203_04065 MASIRLRPSRGSTSFLRRSILTSARLAESQLSSDQSPFPSSSSS SSSSDPQVRNSKTFEFLYGPESNWRKPKIPSKPPTPPKKRPKVDPEAYKNLPPLENAT AREKLIDFSLAEGQSNERRAYFANDIESELGEDWSNLEIVEGEMGLESGRVVECRRSG HTTIGLILASITLSGKPRLLLLRSSGEIWPVSSHDVQFVMPSSLISPSLASQCWSPEL LELLSQIDTKSGLAEENDSVQPTPEMLSARRKVAMILRKIHRETEKMCGRLMAGSFRP DDGHRSGGQVGGIESVWANWTTEESGNERKSITAVQAAEFILNPAKKHSQEENKKIEV RPNTLPAYAAHVLLMRRPDLFTADQGDMWQSGTFLVRSRKERSLLDGVQKDIDESMNS GNTRSTDEALLSFVEKAKVVVEKSRKLREDSEGGELVELSQVDLPEWTDKDKEYISIL LSPLTETRSTQMPSSLPLALSIIRLIFPSSNEIVDRGTLARLLLDLGVIVPWDSLELS KITESENRSMIMSSIPTGTNLGEGELMKGNELDSLREDFTSHKVFVIDDPTASELDDG ISLERIQDSDDVWVHVHIADPTRFIPPNHPLAKQASVRGSSTYLPEGNKPLFPLDVIM KELSLGAEVSDNNGAQGTLTFSAKMNRKGEVDETKVRLGWIKKPKVITYQAVDQALGL PIYKSTRPFGGPVKSPSDTRKMDIEQTDLDDIRTLYEIAKAHRVRRYARAGLEWNLPS ASINLLNKPFSPPTNLFDSSNIPSTPRLFSGSLDIDYKVSSSSTGGMNAAGLVAEYMI MAGRLSAAFCSSRNIPVIYRGSFAPRPLSSEVGTLEDLLNSRQEGTGQIDAFRLMDPA WYRTPGYVDTKPVPHWIMGFDDPSSPVGYIRATSPLRRFDDYLVHWQIKSHLAREANI GKRELEKGYSKEEVIGLIKRSDEGLKRAKRAGINANKYWQARVLQKYLQSQEKVSRGF RLPEGWEMNEDEKLPEDGIFTARIAGSTDSVPSLNAEATPIIIEQLGLQAYLNHRVLG NKEGWKIGEEVRCKIRDVQDWPNPMINFDLVD I203_04066 MSFFHIVIVAAVVAALGAVAWFVMPKGKNQTLLRTAVLLTLTCC YLMWAITYLAQLHPLIKPRRSDLRAEY I203_04067 MRIIRLSSAPSVPPPPITAPLKSAFSSSTLVYPRQNSTTLKEDR KSYLLTVISASLLPPLLYTSSFPDIVYHPPYGPTGVEKGPKAVAINLNDIADTNVSAS GTRDEVSDGLVIYVGILQICSKFNTEAEVNCTSSSQAEYHSSFLPEPLRPTLLSLPLS PPTPILLLVSAILLFLTTAVFIAGLIPWKCSVSFDCLHRKPPLPADPHWSCFRSPYQK TDGYTDSSENLVQSSKSRGNGRGTMIRRERPHLAFFTLVCIALGLTAGSLVQLHIVRR AQDVWGNQEGMEVGMVWEMGVLTYLLPILPICLILTLFLAGLPCIYTYLKNTFRNCPA DHDNDGDDYPVPDSTTDTEIKLGELGRRWDQTPIINPSPVYVSETYDLPQVRIESKRS TMESVGKAL I203_04068 MGRSGGSFSSGTKYIPSITYQTLFGGEPIHYHREPTDGSVEWRV IEVCKSPDCRALITNCHCGFVYRLDAIENWIKKEQPTLLQAGDNKLPTRNGDCKDCSN TCSRCHQKKKEDREKLHVDKGIERKLCPAHAKMGDPAKREAEVLDDYHKAVTTFEHLW KHTEHANLIRGQGGHDAFGIYQKQANRALDLSQPRPDGSAFIKDYLEKQRPVTQLKQA QIAKVEPHDHDSAEYVTPFDDLPPASDPDMREALDIFNDLFPQLDYSELQYISGPGGV NPSSDSVNNTSRATSLPPQQSTHAPTLPRFSSDPTEVHDLYAPAFSANYGDIRPLGSS HLQQPISDPVTRATSASLMGYRESLRSSDDDEEDVPMDCALAQRKSPVYDSSLNWANM DLETEREFRRHLGVSPPREMSGDSGIPSIDNINIVTPVTYPGTVASVSPPAIDSLLYE FEVKTGIQRLAGSFMSKYPKWQRNVYKPISMADPDSRTQLPDHLEVSDVEYALERLPK ILYKGFFDTVPTAYIYDVNAACIKLFANHTPALPKKDDPYNTPLPRAGSRRHYFHTVT LHFGQFWIERDGRLIEPERVHLRWVHEYMSWVVCEVLEGEGQPTRILNLLEARVNLLL DLKDSQGSRMIHRARIDCAHQGCPLHCEYTRIFTGVIAIVVVTAERACLQAYRSQQWE ELDKRRKVFYRAMEVAAKLMDCNSVNFYRILEQLDQPYIPPEERENMIIRCKIYDGII EKIDRLYFTFVDYGVIQKEHDDIEREFLMRGGRYFGWSTRYAQRELDFAKSWNEFNEY INDPNRPIVPCHELFTVPKDEMADSAALKNWEAHVGKDYLKDVDMPVKENYEDELALN VGTKR I203_04069 MADSTADDGPTAKEPQTAQIGLALLFNDHAYSEILELILGHLGL SDTAKLLRVNKHVNQVFTSSSRLQLSYRKSYHAIPTSSTNDDNGGSRHKPISAELQAL LEKEERLESLKPTSIKCIHISNSTMFGSDSGYLLFGERLNQLMINPTDEKAGYQLDSF SIWKISSTENGTEDKSRGGIKHQGYYRWRYNLGKAGCYNMITMCVEDNVVAVVREFNK RCCAILPPNSDVLSDFRIYLYQLLPPTDTLAPAKGTYEGATRHPEAAMGFIEVKLPAR YHLHQMKAQLAPGGRVGLMLRPTGDADFGFLGVWDWKNGVSLGKIAPSPKPNFPDDFR FFGNFVIISVFRTMDRPTPMEPKNSTSKSKLSHRKDRQNRSSDVAKKMSRGYKVRGRT GPIPSRHNRDVDDDEEEDNDSDEDENEAPKRHVDGFCSLEIYKILDPSKGMKPSGFAY RAHTEIGHDPSEPSTWDYQDIPICEPIVSFLSPPFNMMPAFPLSPIDMLLPPEPMISP SSCDLGEVHIDDALLKGERDGVMTFTILASTPGPGGDTWIQCQGVISLREIVHQVTLT LTARHRAEGGQINEEKDLATMWSQDLSVQKALNRLLELDDDVHSQYAEQHGWETDSGE EGISLKRIISQKRHQPKKGKSQYKGRNKKYDNSKYDTPSDDILSGRYLNGTRTGKDKV DNAKSVMKYIHHDKWAKSGSFRFSPFAKPPTTFGTKVFLAEPNYEKIKAETIHQVNSQ FIPKTLIMRDFNSNVVDNDTYSKFRFRVPLGLGGVSLKNFNLGSDDSQMELKDTIMGE CKLLKPIALPKFSKSLIRCKSIIGDKKISTHDMFEDEVLDSHLKFRESKVDLMWDTKR KLLELHFDGSRLIMYMQNGATIMAFD I203_04070 MAGETSQVRRYDVDFKKVPGTLSVTATHIAWVPKTQGAMDRQNQ SMDRAINMLASKAGSKSISLKVLFKDDIPLGGLTFVFSNVTTRENDRKEVQDILIPFV AANKNPQPNTATAASTSTATAPTGVPSTSIAQTVSSLSASSKRKLDDISSPGGTPGTP ITGGSPATLSPAVRAQRKKEYKLRQKVLEKNPTLRMLHRELVIGKQITEEEFWDGREA LIQAEEMLYSQKPGRASRLLDDRFDLDAGRKGKSTGGTGVGIKQADQNGPIVLKLSKE LTREIFEEFPVVQDAYAKYVPGISETEFWSRYFTSQLWERHRASVRKSAVDESARKKD DIFDQYLEEPDWNIQPRQTLPDDVERFLDLAATEEDHGEAITVRDVTMQAGRERSALP LIRRFNDHSKKLLRAAEANRDESALTDSLYDTGIDLYNEIDLEDLHGPAQENTIALDV QEAAGEADKQGQEGVVGVLTGKSDEELLSIATESTTNLTHFKPDFASVCLPNPGFHPV DSDGTTNLNETNPAYQAFAEQRNAQAAAHQVVKDLHVRSNAESAINSPFPEQLYEQMK SCHNAATEFLRQYWSAILPSQAGTLNTNVKAKEGKAIKMANYLRSTENKVKAIIETGV ILGFDPERVKKAMAPTVGAVRVALEREAKRVKKP I203_04071 MHDKQAKNISLRLVLVSTHSSSASHSSTSSRSTSTSTSTATSSD PETTTTSKSSPTTTAGQTTAVVTVTSSSSGTPPTTVSAAAAQTTSATSAPGLVSTSTS TVRASSSSSANTSSTGASSGAERGVSVMAASMGMVFVGLASALTL I203_04072 MRFSTVISALPFISIVIASPLSLVKRQDGEAPACAQDCFDSVDQ FDTCSQGDIQCYCTDEIITASIRSCLSASCTTANATEAISYGEAYCASHGATLGGGSS SSSSASASASSAAATSTAFVSSSSTSTISTNIQSSSVSSASASSASSSSYVSSSLLPA SASSTFASNASYTTNSSSYPIPTPSVFNTTTYNTTSCLNTSSISY I203_04073 MSRSPLLSNAASPGMSRISQSSLNSTTSMLPSSQNQYSQSSLGH SSSGGGGGRFGPTAQIGGVGGGGISSAYDSQSGVGSGEGLHQRFTHDELQDQDDEMDD HLHTFTAAEQKNVDHSASLNLTSWRGWANALTLGFIAMACIGIFALYPIFDFYMKDDN AMGANTAGYNLGGINSTGQYPSVPGLPKLIDDDTPEDAKTWTSLQGEEWQLVFSDEFN KDGRTFFPGDDPFFTAVDLHYWGTNDFEWLDPSAATTKDGHLVITMSQEPIHDLNLKS AQLQSWNQLCFNKNAYVSMSASLPGHSHIGGFWPGFWLMGNLGRPAYGASTDGEYTYD SCDIGTLANQTWPNGTAPIATLTTGENDGVLSYLPGQRLSACTCPGEDHPGPDVSVGR AAPEIDIVEAQIRIAERHGEVSQSNQVAPYDDYYQFNNRSGFVHVYDEDLTMFNTYLG GYYQQAVSGLTLLPDRIYSNQVVGGRSGEFTEFGVEWSAFPEEREKGYISWVSDGKRS WTMYADAVDKNPRTGVGRRIVSEEPMAIIINLHASNNFQFVDWANMIFPNYFRIDWVR VYQRPDGSGTIGCDPADHPTKDYIERHLEVYTNPNLTTWVEGAGKTWPKNRLIDQC I203_04074 MKDIWSTLPAALDPRDLLANLTVVEGARRALENRDFTVGESLVE QYGLQKHHPIVLIPGIVSTGLESWGTESVARPFFRKRLWGTSTMIRAVLTNKDRWIQA LSIDPETGLDPPGFKIRAAQGLDAARILKGYWIWQKIVENLATLGYDTNSMDMAAYDW RLAYYNLETRDAYFTRLKGRIETMRRHNGQKVVLCSHSLKWVESDPKENGFGGGGGAA WVEENIESWINVAGTMLGVSKAMTAFLSGEMRDTVELHPAGSWVLEKFFSRRERAKLF RRWPGSTSMWMKGGNRIWGNDSSAPDDPTNATDTHGRFFSFRHPGTSEEESSLNRWTV SPNLTLNEAGPYVLTHTPPSFQRMMESNYSVGFERDEEQLKRNDRDHTKWSNPLEVRL PEAPSMKIFCLYGHGKETERSYWYMQGEYEHDDTRSDAENEDAYCDTTDPSNGCDNST TLRAPLDFPLSRKHWIDSVVTVKGSNPEVRSGVKFGDGDGTIPVISLGSMCVKGWNGT TRWNPAGIEVITQEYLHSPESMDLRGGAQTADHVDILGATPLNTAILKIAAGRGDLVT QQIGSEIFEYVKKQDWD I203_04075 MPRKAKVHTEMDDDGDVEITVKQPTPPPTSPSTIIKGKSKSKSA SGTRTPPPTGLRQRIKNTVDEISKETEELHVRLRKKDDGHYKLLAPGTPFNEIDLSES TFFRHDGHKGKPTWSSWLFGRKFVFPA I203_04076 MPFHAEPLRANKEIDIDLEHSVAAQKFPDIRAVLEGNRWWSRKV TEEQPEVWAEQVKGQAPNFLWIGCADSRVPEVTIMARKPGEVFVQRNVANQFQPQDDA SQALLNYAIMNVGVCHVIVVGHTGCGGCIAAYDLPAPKQNEEFCDPSTPLTRFLDPII RLKHSLPEGSDVNDLIRENVKMSVRNVVDSPTIQNAWELARKGEFRKVYVHGWLYDLS TGLLIDLNITQGPEPVTLESDKPKVNGTA I203_04077 MSNRPVQQLTLRSNIFESSYYHLLSFFLSSKRQEEKTGGSPSMS SSNPSIVSQEIESISLHNPIPLHLRIYGLPILSFYPLLYYTYYDKYDEWVVSEEWTFI YCVLLFAGHALSFLITAWSSGFNARTSYTTANSLKDASKVRVIPNKGRGKGEIVPLDS KLVSSEPTYSFIYQRDTYYFIASTNAFTPIPYPCDSSPPLSTFQSSKGILTHPSTKSS APNLEILKSTYGANECHIPIPKFTELFAEHAVAPFFVFQIFCVALWCLDEYWYYSLFT AFMLVVFECTVVFQRVRTLTEFRTMSITPYHVQTFRDGKWVDVISSELVPGDLVSILR TKPDSGIPCDLLLLRGTCIVNEAMLSGESTPLLKESVELREGSDRLDMNGADRNSVLF SGTKALQVEKAGEGGLTTPDGGCLAVVLRTGFGTTQGQLVRTMIFSTERVSANTFEAF LFIGFLLIFAIAASAYVWVKGLERGMAKGKLLLDCVLIITSVVPPELPMELSLAVNAS LVALQKYAIFCTEPFRIPFAGRVDVCCFDKTGTITGENLVVEGIAGIESSDPKKLVPV TETGKNTTLTLAAAHALVLLEDGTIVGDPMEKTTLSALDWKLSKGDNISPNSKEAPHK HQINIRRRYQFSSALKRMSTISAISDAHGRKWIAAVKGAPETLKGMYVSVPEYYEETY RWYTRRGSRVLALGIKEMNVRPEQINTIQRDEVESKLTFAGFLVFHCPLKPDAVETLK MLNDSSHRCIMITGDNPLTAVHVAKDVEIVDRETMILDLKEGTTSDELVWKNVDETIV IPVKASEPFDQKLFDQYDICITGAALKQYENLPSWHQLCKHTWVYARVSPSQKEFILS TLRDLGYITLMAGDGTNDVGALKQAHIGVALLDGSPEDLKAIAEHQKMERMKKVYEQQ VKISARFNQPPPPPPPALRDAYPELVRTQQEVAEKHQGARKQNPMEKFDMATITAKLS ELDEDQDVPQIKLGDASCAAPFTSKLSNVSAITNIIRQGRCTLVATIQMYKILALNCL ITAYSLSVQYLDGIKFGDYQVTITGMLMSVCFLCISRAKPVEKLSKERPLGNIFNFYV LLSVLLQFAIHILALVYITGLSKSLEDRGEVDLEKKFEPTLLNTAIYLLGLSQQVSTF VLNFQGRPFREGIRENPPLYWGLLGVSAVAYSGATDFLPELNRWLQLVEMSSSFKFKL TTSMILDFVGCWAIEKSCKSLFASLEPAELVTRGRERREKRRVEEERLKAINDAEKKE Q I203_04078 MNIFRFLGDLSHLASIFILLHKIQTSRSCRGISFKTQALYLTVF LTRYVDLLTGPYVSLYNTIMKLFFIGSTGYTLFLMKVKFRPTHDASLDTFKLTYLLPP VAILALLFNYAYSPFELTWAFSIWLESVAILPQLFMLQRTGEAETITTHYLAALGLYR GLYIPNWMYRYFTEGAFDPIAVVAGLIQTGIYADFGYIYVTKVLRGQKFELPA I203_04079 MSSPIPQVSVAGHQVGRMGYGLMQLTWTPTPPPEEESFKAIKAA ADAGATCWSTATFYGPDFANIRLIANFFKKYPEYKEKIVLVVKGGADYTAIAPKGEDI EFLRSDLKKTQEILGDKKIDVYSLARLSHHASVEEQFTNLETLRKEGLFTAVGASEMG VDSLEKAQKITPIAIIEIEVSLFSFEKAIRDVVQWSSTNKVPIFAYSPLGRGFLTRTY KSPEDIPDGDFKKMIPRFQGEAFYENLKLVDKLDEIAGKKGVNTSQLALAWIVGLSDY TIPIPGSSKPQRAIENTQAANIKLTAEENKTISDILDSFEVVGTRYPGAGMAHLVSCV K I203_04080 MFLSTMMYLLSLFILFTSISALPSPDSDATPNAVADSSVAGVDQ DCVLACSHWAVTMGYCRGQFGDLSQQQNITYTNSFLSCLCAGTNSTGTLGSELMADSA SFCLGCGTTPKKIKDNLGAAFAWFAWFACSGIIFPWTATMNVKANSPCLVIMPQQFLG LCQVQSSNGTATNATEFMPIGYTTSNDRSKDAVTGSQSRLYHLDMGLLVGLVVGGIWS II I203_04081 MEVESSSNVIGERNRSNGHGHGHGHGHRYQHQDDDGKIEVEEDR WSKGSAKTSSTTPPSSAFQFTFPPIHSSTTTPDRRRQAPPTNIPNFPGPHEPRNSPPT VPFSPSPTQSPMASSPFRSPDPPPAGPSARTPGFNLPLSQPYVTPSASGVSSPWHSLA ATSGAPTPSLEGGPKGRSSPHLGYPFERLNIGGSWSGANLWGEGAQGRRGSADSTTGG EPGSSPGKAPMQLSSPPPMVLPPPGPARGLSNETLLRYKAMASSGSSLSPAMAKGPSQ GESGSSSRASSSDSTTPPSLPPALARRRGSIPKTSTSVPSLPLPPSRGGKSPSPLASS STTSSPGVGGKRLQPITAKSLLPLLSSPSTLVLDVRPPSSFQSSHVPSSYSLPIPSTL LRRPAFNLEKLVQMLPSDTTEAVLRWREKGDIVLIDADSGSVSDGSVLDGLSSKFERE GYTGHLWFVKGGHSALSSQRDLRLVAEDDAPGAEGQGSITASAADAGVKKGMIAGTLG TLAFRQESTEGRSRRAPPPTGLSIPPTPGFNFKSNPFGISLPGSQTSTATERPLMTGR DLTLSGMPSPKKAKLQPANPFFDNIRQNLELSHGGITERIPLNLPDQVMNRIEDLPDF LRDLATMPEKDSMDQLAKQFYDLELNEQKRLQAVMEWHSNASGMLLSDKAGKETWAEK RHHDAEEVQRLTNWNGEEATLQDDYFPFSITAGVERGTKNRYKNIWPYDFSRVRLERP PDEDSDYINASYVQPRGTSRRYIATQGPLDATYNDFWTLVWEQGVRVIVMITKQFEGG LIKCGNYWGDKTYGNLHLHMVSQTGGEDQVQQQTTGFDFGPAAVTPRSTSFPTGKERN IKRVFKLANHDEPDEPPRTIVQIQCIGWPDFDVPETPETLFNLIKDVDNAVEGSETVG RDDRRNQPPVLVHCSAGVGRTGSFIVVDAILDGLRRELRARRGTSVPSSGHQQDTERS PFDPSLANPVNFGSSDSGHKSQDSGKAVSFLSPPVSGTALSDSSQPILTSSPSPLTTT VTAPTPPFQPLTAAALAENSSNQAQEEKMEIDAPTEPRGPPRDEKFFQHRGSISTSTH FSSEAGGDTRRPSLASTKHSSDMLPPDAISRNNGKDANAPMPIIRSDIRHRTPTPISE IEDPVASVLEGMRVQRMSLVQSLRQYLFVHRAIIHNYLHILDEENETLGTQSKSPSAS VSGGMGKMGLTPAKSNSTSGSTSTIPSVGTVGTDEDMHSKRRASPTELEIESLSSKGG LDEHGKNLTRTLGEIDSTTSSGLSKRPSFKKMRPAVDSMISPTGTGITSTSTDSTSST ASGGSSTSTSSTSSPLSPTKKGNRLRSKSKLGLQLDMDSGSLPLSFGPTGEQGQGFDK EKNLGK I203_04082 MPNRLPRHVMDRILQLLEPLDILRCAAVCRYWNDMIHKSVYIQL KLRQSLHQSQLYDGERSFPPGERLRRLITRETNLDLLRPRIKQFNLPVDQVLHTVAGK YVITQPLEQMDRPDPSEDGDGKYTLCTIWLNNRPKKVKVSFKPFTDLFDLDVHQDVII VQEDEGHAFDRKTNLRMRVLHLFNESEDATPRDIDGFRIQEEGWDGDEPHNISLTVDG RVMIWCSGIVWIYDWKSGERLGRLPPSSPGTWESNLGMAWAGKNIVLGLDMPHDPTDE EMEHTEAYLAVFEVDTTYPGTSSLPLLLELPFCTDLLDDTVRDILDLPDDATIISRGQ EHIPFLQRDGPFGLILVATEFIINEDAVWRLIIVMPTSELQKFQLQKERKIPPPNVAW GNEWDPFAGLDCIPYSQWSGHAYTWAEPARSPNYYQGFYEAQNSLRLYHHNYTLMELH GLLHLTVLDFNQKRLRTIACDFETLGGLGRIGEIETQAHARDRRRPIRYKRDIIRPIE NDGDGNLGCAEISGEFVLSQRGPVNVLVFDGERLFLQQRSIGKCWILDFGVREIVGVA GEEPRTEGGESESSQSSLNEEDPSRAGGTNPLGDTENDIIQGGGGG I203_04083 MRIAIVGTGVSGISALWLLNEFSEHEVNIYEKDERPGGHTNTVE FKREGREPCQVDTGFIVCNPPTYPNFLRFLQHLNIPLLKTEMTFSVTRDRGKFEWAGE GLGGVFCQLSNLFNPRLYRMLFDIIRFNLFATDILHQEGDKEGISIGNYLDKEGYGEG FRDDYLMPMTGAIWSTPADQAALDFPASTLIRFFHNHHLLQITGKPKWLTVQGGSKKY LDAVLGKLPKENLHLNTEITAIESVDDGVNLVEASGQKHLYDHVILATHSDTTLKLLR NGGGLTDDEEKALGPWKWSKNEAILHWDERLMPIRRKAYSAWNYLTLTESDGNKPRTS DSEVETVALTYDMNILQHLPESKHGLVLVTLNPPFPVDESKVVRRFTYAHPMMTHESV ASQALLPSIQNKRGISFVGAWTKYGFHEDGFTSSMRLLLQPPFNVSSPFPLRPATRDL PTTTLGILAARILVNVLENLRRRVQPAWYWVRYVVIIGLVWLEQVFGAMRWRKGQEEV GRLKVYWVGNDGESKKRR I203_04084 MSSSCCGGKGGAGCCKDQPSSQNQNGAAVPLLRGNKKTSQQPNS YAAVAAGNTSDDNNSKSYGAVWALDNAIPQTNRTQQLQDVLGDHEPLGSKCSAHASGG QCCKELKGDDERHLISPEIVRDVIIGLSDGLTVPFALTAGLSSLGSSSLVVTGGLAEL CAGAISMGLGGYLASQAELDHFHYLRRQTQARVLRSCSGEMEREVHSILGPLGVKEPL SRLIAEDLRIVEDDLYGPQNGTTGNEGIMPASQVEPISQISIKKWGSIFNWGKKDVQE EEGGESKVDEDMGLTAFLLKFGEGLEEVPKSRLYISALTIGLSYFIGGLIPLIPYMIT DTAETGLIVSSIVTGVILFIFGGFKTYFTGATGGWGGYAYGAISTMIVGGVAAGAAFG LVKILGVQE I203_04085 MALNFPFPSPLNLPIPRRFVILILSGSILVLFLHTFAPSTLPPA LTPNLPHHEPDASYFSPSKWLPPILNPNTPSRPAEFDEDGQCLFLSPYDALSPNEKKR AEMLVLESVSPGIVKSHKPPSEGNDYDPDFDDEFSALSNETKSQPSGLTHPILGLLRE GEMKWNSMLARQSQSLEQAVKVYKDKWNRNPPKGFDEWWHFAENNNVLLPDEYDAIME SLLPFYGLPIKTLQERLEETEKIQETFTLIIHDGKVELQWNDDYSRDTWWASRPRADS QINLLEPFIKHIGAFRATFTIHDQPSILLDHARQEELINAAKSGKISNHPNENDRFEQ DWSKACAKDSPLNKGEQELPAADTFINAHGPAMDICQHPSYMENHGMLLEEHNSETHP KPHTKLYPILVPSKTMLNGDIPVTPIGRDGRRDDVGPDPEWSRKSGKLYWRGLATGLN HDKKKGSKWRQSHRERLHFLANDKSDSYTEVLAPVGSTGEAELSRLPLKELGEYYMDV KLAGGHWQCDWDDGTCDEMEKEIEFAGKDNAERSNDFKYVFDTDGNAWSSRFPRLMAS NNVVVKATVFPEWNTKSLPEWYAYVPSKMDYSDLFSIMSFFRGTPSGRGAHDEVARRI ALNGQCWVERTWRREDLQAYMFRLYLEYARLVSPDRDNGKMDYILPRQHSNTHPVVAD KGGEVHVPVAAKVVPPMVDE I203_04086 MPSLRFCGECNNLLYPKSDNNAKILLYQCRNCQYAENAQPDPGM APCVYKNDLLTIAREQAGETKDLETDPTLQRSDIECPKCSHHEAVFYQDQARRITTNM TLFYYCTNCKYSFRDPKVKHR I203_04087 MSTNDNATIQSRVQSALSQVGSYGQQSQDREKYRFTSKGPSAQA RFRDNDLTRLNDSTHLEKIVEYTWNDALKATVYGMIGSTVNPLSPGGSEMVEHVHLNM DKIRSLITVAETQDFKDTLKGNLEGQIVQLLASSVSVNYTDHLFSEFQVADCLAATVL GLLTSEGSYDDRREISKDLWKDNCQRRSISDVERLLNHSLNSLFTFDPEGKKTHKIVS SFSIEPSLSPDKFDSFIQERREEANKEKIKAESRVGNLDISDYYGSFLEGVQKRRDSL REEYLTRKRAELETVVSDQSHLTEGNWRENGDLTPMLYPVKMQNGELAVHKLDEDKAT EFISLNQPPVVHLWESIEGDKSHSDNTIFPDECTVRERLKAIGIDDQPSESQRSEWDV I203_04088 MSSTRTPSETETLLPRDPSSPSSYDDPDHNDYLEKDRPVCIGQF KKNVKICKALLAPGIFGSLALEVAHLFMAVQEHRKIVDGDEFIRQTKYTAMGLDIFGV IATSFLAALYTLTVFKPIIIRAILLSPIPEISNLLLHRALCVFNFILLLLLIIIHPLP GIWYTIQTHESSPTLSIPNSTLVYYLRTAFLCASFLVGGMMRRGPKLYFEPPRLGTGF GLNEVRLTQKTTRPKGDSGVRIKLNPPPEEEGGVHGHVPLSGITIGSSEEDIIGSVEE GEGEEQSNVLDYDNSSMLSFIFLGYIGNLAYTSMKVESLVQDDLPLLEERTRNSGITE NVFSTDDKNKAHLNIHKVTGWDLVKSMWRGKGTAVFITAALEIFRNLISFVQIAAMHE IIQSFKEPKGSDKSYAHLMCWGLLVGQAVEVLLSSYLYVRENYLLHIPIRMNLSSLLL SKILRTTDAKALEAHNVSPGDKADGNQGRSQVMNLFTIDTGIVASMATHIWGFGNGMI TLFIGVGMLYGMLGVSALVGIACIPLSMPLSYLVSKLIYRCDKEWARARDARTGALKE FLLGIKVIKLNAFEPYFMSRIRRLREEEVSWQRWRYTLGTSFNILAEQLPIIALLVMF GFHTKVLHRSLDPATAFVALNIFYRVKDGLGTFPMIIQVFLQNKVSSDRLSRYLSQPE IDKSQWENASTRIICDHATIGWPSAKQAVTDDETPRFKLQDIDVEIPEGKLTLLCGPL GSGKTLLLRAFLGEANIESGAVLAPRSLPDSTPVYPRNRQWTTEEWLSDSIAYAPQQS FIRHGSIRDNVLFGQPMWRERYREALRQAALMPDLELFSEGDLTEVGENGVTLSGGQK ARVNLARCLYSPAKTVYLDDILSAVDAHTAQYICNECLNGFLLRDRTVVLVSHHVSLV LPISNYIISLSKGGQVEQACPASEVSYSNLVDIAPAELSIDEPSESPGVAKTAPYRRQ SHHFEERDEFSGVARHLYREEHKSVGRVASNHYLMVFRSAGGFWYWSALALVYGIYRL VAVARTFWLEKWTSDPEQSHINYYLMVYAGISAGCIALGSFKWVWLYGIRNVGFYSAG SKKIHESLLSKVFQAPLQFFETTPHGRLLNIFGQDVYRLDSQSADGFGRLGLATAAGV VFVKTPIISLVALIWGIPFVWISNQLNKLRADIRRLTATASSPLYSLYNETIDGVVMV RAFGQNKLMMHSMKVINNRERVTWFAAWAVYNWVRAVIRSFASVVVAATAFALIRQDL SASQAGLILNFALTVSTVLRLINHHNSNLEETFVSAERINHYITMPDEESREGMIPER SWPSRGEVKVQNLQVRYAPDLPEVLKGVSFSIKPGMRVGLVGATGSGKSTLALSLFRA IEPHGGTITIDDIDISQVALPELRKRLNMVAQDGMLCSGTLRDALDVMGTRDDYEIYE ALRRVHLLSDSLSKDELDNNPFANLETFVAIEGGNFSQGQRQLLCLARALLKRSKILV MDEGEGYLTYRMDAKITATIKECFADTTMLVIAHRLATIMQYDRVLVLDQGQIVESGE PLKLMEDPTTIFYGLCMAQGEEEFNNLLTIARA I203_04089 MSNRDPPIILHSTPPLDPLPSSDPESVYLASYLQLACPGRWAIK TGWWGDNGGKLPHITHLNHHIRQNHLTSLPLIEDPDQWLSRQDKVDAECWKAYIEQNV IDLVNHTYYSLPPNYPQTIAKSQLKNLNFPENQYIPQRIKSILRSRLQYVGLWGLGGL NGGDAVDEDRKRLGEAFVVGPAGTLAPRAWSGWTAGRDAEERRKKWGEQELEKKIKSV FDPIVRRLGDELYFFGNRPTTLDLLLFARLTSILTPTLPNPLFPNLIRSDYPTLVFHH DRILSLLFQNQTWSSIPRLTTPTSTTQKTYVESFKSLFFDSPEQAEESGNKEDEKKKS EQEKKFQRGRWLWFAGASMAMIGYLLGSGIVSFEFASEDEEGQEEEQVIFDDEDEE I203_04090 MSKSQQTWEVEERKLQSVYDDISSHRLTSAQSTLTRYLKKSPKS QPALILKMYIQQKNGVSEDDLLGSYEQIKGLGEMSGRGVWWVGLIFRNMARTDLALQL YNDLSSKHPDSPQLLEQVFLHAAADNNTDVLVKSSRKIFNLTREERWARLSAWSEWVK KAPQPTPSRPFPSPCSDPTSLKIALLLLNTSKSPSTSEGLWLKLQIVLSAGQLEEALV FLQDQGQEGGLVRLWWRMEGAREVLKRMEEGGKDTIQYWEKEREWVGELLKADKDSQR NYSYYRHLLLCIGHLVSSGEQVRSTDDLLQKLEQELGTKERSPSLARLELQKILRKNQ PQSDRVWDNETWLKAVEKYWIHWGSKGSIVTEIEGVLDKDDEERKGLVERLMDKQASQ QHTDEQAFREQINSTIYIFRQKSKDWKPNLEEIKKYWDLYLSGILYGETLPKTDVRPA DQIGLVTVALLIELWSVDKVRVELLFRAILCLEKILGDSPACAHGRFLLIRLYRLIGA PSLIGPHLSSLKLSEIQIDNLLHIYTERGACESLLGANEELWNDHMKKSGDMYQRTLV DFPEYVKECLSNETYSKIPSIQYISSSLSRSITNHSRTIEQARLATYLSAPYGPKLLK KLDLAAEQEEVVDLRNWELISEIGGNRPLIKDLTSLEQPLEGKWVQVFGRSYRDLARF SNGEEIEEVDVEAEGLTSPEGSLVKNAQRSLNLAGKALRSSDEIPRSEVESIFEGKST ARPVTPLRSSVDTPDGVSESIRLFLSDASGWEQRQSLICLYELIKVFDLILNKLIEIN RPLKGKKKLPVLVQYINNLKNVREKLQKEGLKDVFGKIDEIVKEETRWDDVADGWIED KEFVVKWSESIDKSRIHALNKMKDLLSGK I203_04091 MPGRASTTTSLYSDDPTEVPPLPTTFGVREGKLIDYDEPHVEHQ EEEEKMVNPTDKLRELLNQMRKEVEINRPVNSLAYSEDEQEVRPKRQRDDAVASNNAG LSSPPRKRYGEESEDEEQSPPTPPPRIGNPYAARRGERRNSPNLPSSQPGRLPSRAAV LRNSTIRSPPSPEPIQPQPRVKSPPTRLEAFLASSTSSIHPIAVSSTSGQLQTNLMRK DSGSSTSSSRKGKDRAISPILSLPQETQSISSRRNRFRQTPPPEAVKSHRRPQTPRRI SVDLAPEDMSSFARNAVDLEVRGEVELDLDEGLSALGWEESSQSVIEDSVDIPQSQRR GHEDNLRRSTSRSPDRRDRSRNVQPRFGSISPIQSRQGVDVHSSIGKSQTRHSSISPE NNQRNSQPRYLSSSPPAGNALRRSHNQNRFPDGQTQPVDSPPRNSRHTSPRHGDSSPS PPLPALPEPDMSAQSEISEIDTYSSRRAALFRSTSRSASGSTSTSTARSGSQTPSQSF GTATGLRSRLSQRSSVERSEEVSEGDILPKRSPTKWKRSSLQKDTPESIHGRTSPVPL TRQSSNLPSPVRKEYTPPRGVEDIPIPIQDPIQVNIALAPPSLSTPTKSANPNVSTLP TPKPPGAWQPISKPKVRFSPSPLSQHSPIRPDTPSRDESEEGVSTHRLRVSPRRSPKA KTISKEQEQEQEVGNESFLGRLKGSLSSPLKKIKIQIPIPPKSNTFTLSTTSLQHAKS TTTAAEQNLLITQKQWLEALSAINTAANTSSTVVRKGWGWSTWVLWGLVEVLILWSVF RATLDYATSLTHISTLDPFHPLSLPFRQSTTSTSTWSNGPFGSISLELSIPSALQTLV GGNGGYGNVNFFDLMESWGIWSKFFATPVAGGGGRMLAGGVGGVPS I203_04092 MTAFSSRPLNKTTPTFLTQIRKHPFVLFGLPFVGIIVASSFALS SFTQTRYDYQQSKVQSVGAEEGLGMRSDRRKVDLKEEYYRLNAPSSQISSLSSPDSAL NADLAPSPSIPRKPKKSKFSMSPVSQDDYEPVRVPRPEGVPEWGGGRGGEEAPLKGQR KTDRWV I203_04093 MGRIGLREIRPPILSRIPGLLGLVIVAIALLSCIEARSPHPPPL RRLVHPQSSSLEILPRRPPSNNNLNPRYITHLPPHPSSLKHSDTVILKIALDDSTLSP FEQVSLLLRPTENLFHPDAKISYGDATKTEKLKEEDWRLFTGEVIHPTWIERIKSLES SGHALDLYRGHDSVIGQASIMIHEAHEENTVFEGTFTIYGVRYNVMTRENYQRIKTNE DIDVESLGSRMVVFRDIDMTHQHKLNSSTQYEHDKQFGSGSSCSHDNLPFNNELNNPI FRTPSSSDESFISPYFGFGGLFGKRDDYGGMTVSSNFIDSIGSNNGCPKQQQIVYMGV ALDCNYVAAYSSPDQARTQVLNDWNQISALYKSTFNISLGIIELQVRNMTCPSTPPSG EEWNVPCGKNLTLDERLSLFSQWRGDKGDDGAGLWHLMSACPTDSEVGVAWLGTLCQN TATQQSGQTVSGTGISTATKTEWSLVAHEIGHGFGAIHDCTSGCSLSGSCCPLSSSSC DAGGSYIMNPTTSSSEQTFSACTLGNICTNIGNRAISTNCITSPGSRTVISLQQCGNG IVEDGEDCDPGSNSTSACCDASTCKFRGGAVCDPTNAACCTSSCQLASAGTVCRSSID STCDYEETCTGSNSTCPDDRTAPDGTKCGDDGLACASGKCTSLNQQCKLAGSSLGLSQ ACGQKDDKTCTVSCRDPNVTNQCVVLQTSLVDGSPCGYGGHCYNQTCKAGSWQDTAAA WYTQNLQISIPVTIVVGLLVLGLLWAIIKCTFAACCGTKQRRPPNSRNNGTRDSAYVP APTGPPPPPNMSQLPPPPAHTLQRHSASITSGDPLMRTGVIDRDPSPIPPPQGQGGTY DNGYGGYNNPNPYGNSYGQGYAYQDGGNPNLRNSGSGWVDASSYNGPNYGYREAYGR I203_04094 MFTYSLLAILPILGLASASVVPRAFTGTIHPASNSKLCIAASSA TTGASVGFEACGSNPSLETFNVTSSSTWDRVEIALKSDLSLCLDGGNRAQSGGVLTLG TCGANSDGQLISKSTDGFLSFQNAYCFHKKSDSELDIQTCWTMDDPLKFTVS I203_04095 MFLKALFAVLLLLTSVSATAKPHRFAERQEGASKIQPANEDELC VTVMRGGAVVGAPIQISTCFDDSDPYAHLQRFTCSEEDQGGFLSIALECDPNLCIDRG SDDSDDGSGLQLQECTGGTTSAQNWKHVDQQIFSYFDGTQKCLDVQEGSEHYQGAGEP YASEKSLQTWPCFEGNTNQMWKLSQA I203_04096 MTTAISSHSTFLEDIRNRQTKRRRTIDPEYTFPHQPKIHFNIRR EPPPVGESTLGDLASKHNVTNYVKEEETIRNDYCDWFGRSEENEFPSNWILGARDEEI CEEYPALKKLMNLKSSLVSTHSHPPLYLQLPSDSPSAVQNGLANNKFDVILINPLVSS WEQTSNLPIRQISSDPSFVFLWVGKGDNEGLERGRECFAKWGFRRAEDIVWVKTNKQN SSSKTDAHPADEDQHCLMGIRGTVRRSTDMRFVHCNVDTDVMIWEGDDDRDSPVFPPF LYTLIENFCLGTRRLELFPTSLNPRRGWVTASTTPVSSVTTQEVVPFDHTTYPAMITE SDGRPVLPYHTEIDSLRPKSPQRRPRNLPGGNSTGNLTANTTGNRPSPNPNATSSSFR PQNRQRQQPQQQPNLGMSMQGYNPFNGQVNNPMMNPMGMMGMGMGMGMGMMPFANNPY GQMGIGMGMGVNNGQPGNFAQVPMGMQMPMQMPMGIGQGVGMIPFGGVGVGFDPMTMG GFNPQQGQGNQNQQNQGGTGWQGNWQ I203_04097 MPYMHQYDYIFAIAILFAALDTFNIGANDVANSFATSVSSRSLT LRQACLAAALMEFLGAVLVGAKTAGTIKNGIISLSAFQSNAGVEMLGFTCALVASATW LTIATRNSWPVSTTYSIVSALAGVGVAIQGKDAVQWGWNNSKGIAAIFAGFIIAPAIS AGFAGVVYLITKYAVLERKNSIRAGMMIAPVYFFTVAAVLTMTIVYKGAPSLKLDKLS ETTVALAIVLTGLVIAILSILFWLPYVHAKVIKKDYTIRWYHFFYGPLLWKRPAPTES LEHAHQHVPDYRVVGRDDEKKGFEEGAHPSTLPINGEHPHEEGSPNRAKNAELGGDLE SQSSPIRPHRPVPLEEVETDPKTEIEGLWILPRNLWVIIRYKIPKILLHGSSVDIHAM QAGNGSKQANRIHEMHERASQYPNETEHLYSFLQVLTACTNSFAHGSNDVANAIGPFA AVYYVWSNGQVTPKDTPTPTWILAFGGALIVIGLATYGYNIMAVLGNKLTMHSPSRGF SMEFGAAITVLLASQYGIPVSTTMCITGATMGVGVLSSGLKGVNWRALGWIFLGWVLT VPVAGVAAGCLMGIILNAPHF I203_04098 MPNDPRRQLSVPIQPTYRPTSPSPKTAPPVANQQRRPSSIDGNT TASSFQPRFLPPVRKRSLPLASRSANPLQVEIDRLTAVCEEHERVIRSSLSTIKHHVM TNMPDVFERVNKIDDDAEAITASLQKTLSARIPPFQQHLHKIVSDRSTDVELMKQRTT PWNDVVSSDHTSLSSLSRGINGNGNEHGMMRLQRVSELDGEGGDALTRIEMWADEVDM YLSSEIVRVKEKLATKTSKRQFLKRLVIFLGLLAMKGYDHFSNLT I203_04099 MPPTHINDDAQYEAMDHPKEDCDGAGSPIRGIQDHALIGNLRTA ALVSLDGSIESMCIPYFDSPSVFARLVDANKGGHFSITPTWPFKPKQAYAPNSNVLVT KFLSEEGVGVMTDLLVPKGANLTGSQSRSFLPWLIRKVESIRGKVPFRMECAPAFNYC RDKHTTELVDDDSVPSETEHTHKGLFTSPSLTLDLRYFTNSTDQCVSDPSIKLQVEEL KNRELLGPAITSNFELEEGQCVYFVLRECGDFSYTNAEHERIANPNPQRAQSVGIPLE TMLSAASKLRPKENPMLTLSLLKALIRDTNSYWQNWINRSKYKGRWREAVHRSALVLK MLVFEETGAIVAAPTFSLPEFLGGQRNWDYRFTWVRDTSFTLYALIRLGFTEEANAYV DFILARLRDRNSDGSLQIVYTIHGGKDLEEIELDHLEGHRGSKPVRIGNGAADHLQLD IYGELMDCIYLAQKYSKPLSWESWVAIRQVVDYVCTQVDVPDLSIWEVRGKTKHFLYS KVMLWVAIDRGLRLAEKRCLPCPNRYKWLEARDKIYEDIQSKGWNEEKGFFSQSYEDK DSLDSAVLIMPLVFFMSAADPRFTKTLDNILKTPEKGGLTANNSVYRYNAAISDDGVG GEEGAFSLCTLWCVEALTRAGVYHKPYLEKAVNMFMDFLGYGNHVELYSEEISPGGEG LGNTPQAFSHVTLISAAFNLDRALSGGTMAS I203_04100 MSSSHLTIQQFTYDPHTNFIQSINGHSELSSVVMYDGSPLSDSV RSVQSFGTSSENHHHHQDPVRGDDMKDLELELDNIYQKFSQPHLEKGNNPYRIYTTAR YYPSLKTLERFSITASPISDSDMSIVNMMNKDLNKGLNKNIDWFRDTVDDRHLGHFRR EERIIFNTSVLNYENKVKNMIDLHQVIPEDFKGITGIELPSKSPELSPYSSDSEIELD IEGSIPEEEGEAICDTCQLLSEGQQGQTEPSNISSTNTHREHQLTKKQKHANRLSRRL KYVWNTKLNCFNKLDVDWEEGQYGMVPRNSELLFD I203_04101 MPSSLPRMILSPILRILRLSVPVSGSIPNSTTPASFLTPIYLAL IASLQRLDLSRDPRKTLHKLSKHKFTIMNTLPQLFMLVCAIHSLWIMHSYILKLLIPL AYITAVILPMTGQFVWPATPVFCWLLLFFTARYISSASRPTIHVALLPALESVLYGAN ISDLQTRYTNPILDVIAWLPYGVIHFSIPFVVALILWMFGPRGAVQFWGLAFGWMNVL GVFTQLVFPAAAPWYEIIHGLTPADYSMPGSPGGLLRIDRVFHSSGYTNTFGNAPLVF GAVPSLHSGCAVMEALFLSHFFPRFKPFYWGYVGVLWWATMYLSHHYLIDVTAGACLS ILVFYLTMPLGFKDVDQINWTSNENVQGYEMINQGGPQRVKEELDLDEEIRKLEESID MDEDDIARNDEESKVRGVENDTTFPGRLTEEPAASGSGSGSGTKDNAGQEKPKIKKKR SVSWGETKVLGESAGGDISDQLGENAKTESV I203_04102 MVRPPLSIRLLHTSLAHRAPTGAGPSISARDSLASVLSSASADE ATRRLFDSMASRVRTEADEKRKSFRAGSYAPPHSLTSSSLYPEPRPYAKAPLLGPSKK IATKIDPFHLSQTSPLDYDLNPHFALQFVNPMGKIKSRAETGLTWKNQRKIGKLVRRS RAMGLISRWSNQPVQGGVATSDGRIIGRY I203_04103 MAKAPSAQAGPSRTNGGRPLKPIHKTVDLLRSNEGAKRKGKGKE KEVLGDGVMGLVDDVKRLPGMIQVEKFAETRALEIHAFQTAIKVAAAQGSTRAFQSLP RHLRRRAASHNPRRVPKRLRSKAAAEIDSGDTIAKKHRKIAQLRRKGTLRDHLSRTEQ FALRQKNKTWLPTHMWHAKRYHMTNLWGYRLPLTPTLKSFRPAYRAGRRKAIGFDTSY HGIIEFEGSREEIISVLGRMSGGRFAGSKYEDGSRVANILLYHFGSFPTNLIGPAEVI WQTPTPDIDLRPRIWLRLHPSIFNETWDALKVATAQLQQSGSSRIGDLQIRDLRGEIN SIDLIGPKSGKVLRRVLRLCRDEKGVKSKFFESLRDLDDPAQLTEGMIVGLNVHDPRL NFPPSRLSPKSEDMNEQAILRFNRVQPSPDLAQSTLWDSNVREDLSKAAYTKYQLDAR RHRLGLPGTKLRPLSTDDRLPIILLQRSISSPSNPSEGFYGFTILLPPGTWAQYFLSS LVYSGTLFGGLRERAVQHREAGVSSFPEHYGQTCKAGREWEVKKGDKEKETFDRKPPG KRPEFGLMGTQNPWIPDWNEVMSNQSSEESTLNDSGSGAASKPWLLPSPFTSHLTPNL NPASLLKLLNAFRNQRSLAPLPSEKGRQLFDSALVHVEVNILGRGSPGDMAILCTLTK EQRVKWIEAYEKGDQVDSGELSDLHKLGEVIPSQDSVIGYTTTGNFSLSRGQGYALGA ITLKSYIDLLKTAGQGDGHEYKDGWEQKVLVRVKNREGRLSRLAELKLILN I203_04104 MLNTMTIALLPILLGPVISASVIPISTRQFSDPDPGPAVKIQPI SYDNLCLTVIGPKLVPGAAVELATCFDSSDSNVNHQQWHGWSDDPNQQFTLSIQDGDN RYCLDKGGNPNGDGDSQEFNGTKLKLSQCDNGVPNSQLWGFDQGNNQLKFVDIFAPNT DSKKRCLDVVKDSHSYQPDGLEFAVQKNVQLWECVDGNTNQIWRSTDSSRTNRFVSFH MFMFII I203_04105 MNHNQFMQVVLIKMPDDSLTPPSGNSRSSSPSGQPQGRIVSGSL KDRIAKFNNPSAPPPVPRSQFPHSQPSVQRGGLIGNRIPSLDPKSAGMINSGGGGHPV GNRRISENRGLIGNRIPSMGSSGQIYLQNQSTGSSNSGSTPSSATIPKPSTGTGTGTG GARSASPSGSVDSSAATLESSNSPITSRSSSPPTSPGAGIPPSLLAASLPTLDDSGPG AATPSSTRAEAGDTVSELSLSIPSTPVATGTPPLPAPEYDLVAPNLKLATANAAGVAV NPMVRGLSQQSSNSKSFAPSVSSSLATASRGSEEETQMMQDVSGVSTPVGTPRAARRE LGEGSVVGEGSVLGENDVEELGNRLENLEVNKDGKDDQDVTPEPPKEKLPVEQSSDLP ESVIVSDETSSAADDASNVSEAGEPVEKGSRPEEQTKNENPNLAPDLADLKAGRLSPG PNTSSDNKADEISESQPDSYHAKDKPDPNQAPDLDDLKAGKLGAAGDETKVESGPEKQ PSQVVGMSVPANAMVGDTYTQNLAEHDIAPDEVKEQGGEAGQRMEDIETADQVIEPGE EESQDISNYTGGINEKEETVFVDPGEMEAKDGGAKKYQPLETNFDIQDDEVHKHKERG ASNEQYADPYANQNKPPKNDDPDLINPTYKGDDSKSAKRDPYKPEDKPPTLQEAARPI DEVEKNDKEKEVGDRQELEDGGDGVAEEKSTADESPKGDIRELNSEDKPTQDVDPVEV TSSVAEDIAEPTQPTGVEVPPTPVNVRSEESKVVSPEGEDEAKSPSDIVGESPTTPQQ VPSDVDAQDLASKTDQPPVTAIGAEVNKEEVEPKSPSEPKQLNFEPAPISQPASASVE EPFYTAKEDTSAERFPQAEEDTSAPAFPDPPTADPDVEDPISTPVELDIPGVSGGISG SGVTTPIDGNFLKSFPDVPDEDKPRVEVHVSSPVNTPQKQSFHAVPPAASGGDGASEQ PSRKDSGDSNASEKEIEVPGQYPTTPIAKIPGKSKSLKNQRLSVDQGSPLSGADNIEK EGGDDREEAEVEDLEATPQAIKPSSSLSKRLSARRSPKSPLLDDEDPGDFEAGEGWAV VTK I203_04106 MGLQRTPPTRSQPLPCVSPVPPPARPTGDVDTPIQPQGHGEADK TTISNISDSKAFAPRHPGVMRTPPPSSGFAEQQDQINRFEHVDERPIRPAPFPPPEEL LPKPMESEVPQIQLEADQTIEVEDDSHAELSVEQQMIIDTEQSPAKQISMQVDLNQID SGSAPMEIDIPVQQAETKRSTTPTYNLQQPSAQAGPSTIPALPPTTPSSQSTIPKTPR SRRKTLEPLPSPPRIPSVQQEGEYQFGRRYQLTMETLERAVKAGAQRWTTEHLKGCFP QLTKDLGKPMEDICVSASQSMRQNILASAAEHMKHYKVGPTLQAIDEVDKEAKDYRRF NPPESDIGKLGRADAWRPDVTPNALTISSILPIYDNSYSKLREEYLELHEYCSEKYKT LIEKQNQLTELENGASDGVIELEKTIEILDNLPMEDMMIWTESAESKLDTRAPEQIQ I203_04107 MSAIQQFILNPANQELLAVLKGARNGLVYGVKIRFPHALVMTLL FSDKPWPAKIRGIFTATRTHALNLCKFVTIYKTLLLLQKKLNGGKERDLDTFFAGGLG GWLIFGERTPINEQIVLYVMSRVLLSLLPRLYTNSSVHQPSTPISPLKHPLPSLTSPQ ANPKPIPPSQLPFAIVSALSWAGVMYMFRHRGERIQPGMGNSMRYLYHDSEAWTSLKT LLWHNK I203_04108 MSGPTIVLITGANTGIGYQTALQLPLFHNDTYKIFTKSELEPLV VDLEDDESIKRAHEEVDRKVEKLDVLVNNAGVLLDSQAKTQNLSTRQLFLQTFNTNVF GVQVLTETFIPLLLKSTSPRLLFLGTSMSSLTVSENPNPYFNHPPPAGWPKENSDFWA HKSSKLALMMIMRDWSKNLKNDNVKVWGINPGLVATNLGGSKEFLKSIGAGDPAVSGR LIRDVIEGERDGYVGKLIATEDDYFGSVVPW I203_04109 MSLRPNTELKTKILITGANTGIGYETCLALYDLKFHIRSSLVQD QGSMLRMQSRSFTSRSTSTNQGPAQTQSDESISACYEEVKSRTDKLDVLVNNAGAAFD GTGPKNGMSQREIFNRTFNINVTGTHVMTQTFIPLLFNSTNPIILFLTSGSASLTRTE DTSFSLNKSPGPGWPKEEDGEGGYLSYKSSKLALNMIMRDWIRLLRNDDKFKSLTGLG GDKEQLKKWNAKSPETSGIFIRNVIEGKRDDQVGKSISPPGAPSGDILPW I203_04110 MGIMDKVKDVMPGNQSGSGGQHSEYSKPGNDDYNNPSYNQGMMG DNSQRGTSFESSRGSDLGMGSPNDTFSGAGGTGAGNTGRFADQGSGTGMGTTGYGNTR DDESGENMGMGMGGRTGQSGYGDDMDRSGNRMGMGRDDDNLNNMSGRGDMTGDDFNAS STGGTQNLRGTGGGGGMGMGQTQGYGQSQSDY I203_04111 MDSPVPATDTPSYPPTPSSPDLATEIDQLSINPSPSPAPPSQVK VDVEDAELERFRNQWREEVKAKKGEPVHVGARRTGIGKGKEKEVEVGPVRWKSKQNDQ PPAAEPDLPSERSGGSEKSLSPVKDKNKLSSPVSPKKPLRFVPGEAVDLDKLDSYLTT TTFTSFKPTSVNRPTRFTGSIAPEGQERESAIQIYQRAIESEQSGKLNEALMLYRKAF KLDDDIDRTYAISLKNKSTAIAQDSKFQETELPPTPSSSDIISSKPPSIEPYSFARHI QTAPDYEKTHLPAPASTTTSLPALSRPAESVYPISPLTRMFNNLSIPSNELTFHPDEE ELPCPITKLPNELFEPILMNLDVTSIERFGSACWKSRWLTHVSLAWRDIAERIYKPPA MLPPAPQIAGDMQERLNLVKRHRNEWRTTVVEEERIRMDGCYIAVCHYIRPGAGEEWV TVITYHRFLRFYPDGNVISFLTTDHPSEIVPSLRPSIRGKGLHFGRWRLNRSDSDNNS ITNVNSQTTKDGKRFARVIITDLLEPGNESPKYEFEMELILKSTGRGRWNKLEILEYR SINLMTGEVLALALKHQKPFYFSKVRSYNPPF I203_04112 MNQIALLLSLIPLLAQIKAQDPTQGQNWTQGENGGPDLSQYPEW ITNDYQCVIGCLSGFNDTITTIPQPDLEGTAYQCAASQCAGDGTGNYYQTLYYIQLFY ATGSIYEWSDSAPDGYKHATFNSGQDAQASASAVQATASDPWSADAAEKTGGNNAVDG VSTAPGVTGTGSAASASGSAAAGSATGSVTGTAKTGSAAAAATSSASSANGTKSANGT EEGNSSSGALPAQIAGLAGMGLRPLVGLLVGVASIAIGGVFTGL I203_04113 MAPRKNKEPASWEVDADKPKPDGETRVRRSYCIKDLVTQPAPGI DTVHDVMLYAAKTHGPKNGFASRNIEKIISEEKEITKMVGGKETKQKKTWNYFKLTPY EWMTYEEALQRVKEIGAGLRELGVEDKRENKFFNIYAQTSRNWMLVAQSCAFNAVPIS TAYDSLGPEGLKHALNETEVNGMFTNADLLGTLVKIIEHCSTVKLIVYDGKSEDGAID KLKAIRDDIKVIHLDEVIELGKSKPVEALPAKAEDVYCCMYTSGSTGTPKGVLLTHRN VVAAIGSVWTLLYEYLTTKDSYLAFLPLAHILEFVVENSFIFAGLPIGYGRVKTLTDA SVRECKGDIAEFRPSILVGVPAVWELIRKGILSKVDTAGALKKSIFNFAVKAKQTANQ YSLPLVGGLTDKVVFDAVRAQTGGKLKIMFNGGGAVSKSTQAFLCTALVTMIQGYGLT ESTAMACILNPGFMQYGAVGGPVPAAEVKLVDAPEAGYFSTNTLPQGEILLRGPAIFK GYYKRPDLDKEAFTEDGWFRTGDVGQWNKDGTLAIIDRLKNLVKLSGGEYIAIEYLES IYKSCPLVANGAIIANGEHNNPAMVVVGHPTNLPAFAKKNGLGDGEDLEHLCKDERVV EAALKELNNVGKKAGLKGMELLEAIVLVADEWTPESGFLTAAQKLQRKVISDQYADRI KAVYP I203_04114 MSSHNPAAEPEHATLPSSSSVPPTPQPPSAYAQPQPQSSHSDHN LPSFPEKRKSPSPPSSSSSKLISTYLNDHPTHSAGKAIYSNFPQPNPLFRLIRRFKVK HSVINGLTDEEMKKWQAQGKDLRKKAGWRFDHEEGEGGEAVVGELFWKMYISLLPTLE RDPLSGLVPPDLLGSTTTMPLTIISLIPDIMQHYRDVIIRAEKEVFLATNYWQPSNSV NTISSALRDLSARTIKAGKPKVIVKIMYDRGSWEQLWNAHAPVNPKEWAPLDLPKKED VQGLDMEVINFHRVLLGTFHAKFLIVDRKVALINSNNIQDRPNLEMMTHLEGPIVDSF YEVALHSWWNKLSPPLPCMSTPYQPPLDPVTGKPHYLFQDHNPYFDDIEILKAAKAAR LLLRRQTRDIDEEKAHAHSLDGPGATERLREAVKRVIDEQKASFNEWKPGEELEARAH TAMKELRELRERWGLGSTSRAPSRGASRGPSRRANKNEDEKATITSTANGSEAPSSPT VTNDAPLKSKSYPLPHDPAVDEHWDSNDSSTPLPDRQNRISLDGTRTPVKNVNGTRGR ERDKKHVGFADANDDNGDGSNRVMGDVPITTSPNGSYTNLPQIQNDTPLVSSLMTSTI SLPQDNNTSNGTDHAPSSLGKEIPSLPTDTLGEPLPLTATHSEEVGHLYEKTKKHVEL SLPPSSSQRQDDGAGAGSEEVQPEGTGSKRMFALSKKFNAGALSDAWATVEDSDELDE FKPHVVHKPHDPFPIAMTCRKPHGFPGHHDIRNPQNAAWLAGFRYAQKKVFVQTPTLN ARPIVRAVKEACRRGVDVVLLLDLGFNDKGESIPFQGGTNEEVVDRLYKNLRKDKKEQ YLKVYWYTGKDQVRPLNAVKKQRNCHIKFAAYDDQVAIFGNGNQDSQSWFHSQEINVM IDSKQVVSEMMETLLSNQNTLKYGLVDSDGTWRDKEGHTLEHYGATAKGAFRGLSGFI AFAKTI I203_04115 MAQSVLRQSTRSVNALRSFLRPVLPIIARPFGTSTFKMSEFKQA DHKLLVIPGPIEFSDPVLLANATPGTAHTSPAFIPVFGESLKLLRKVLLSTEESGSQP ILIAGSGTLGWDAVGVNLVERGDEAVVLNTGYFGDSFAECLEIYGAKVNQVKAEVGNI PTDEAIISALSSEPKIITITHVDTSTGVLSPAAHIASLVKKHSPETLIVLDAVCSVAS EEIKFDEWGLDVVISATQKGLGVPPGLSVVLASKRAVEISENRKTPQQGYYISWKKWI PIMKNYEAGKPSYFATPPVQLIYALNTSLKSIVSKPISERVEAHKAASKYIKDELSAI GLDFVPKSRDIAANGMTAVRFPKGLQAPDVLPKLAERDIVVAAGLHKAIVTEYFRIGH MGVTAVDRERGDLEKVIKGVKEVLGKI I203_04116 MERGESSGTQHQGNGSTADTRNDDNQTAYAHDITPSQLEQGQGS TADTKHTGCRQVLRSMVNASKSLGPRQETEAEREERWARLTDARVDRAEEAGYDAD I203_04117 MLVNTVLLVASLTIFSIFGFAYSRPETDSGALSPIHSKRHAISG QRLSNAERIAKSATLRPRQSQPPQTQAFHIEASRLNSNPPQVGGWLTFDDDNGVVISP LNGSQASRVVFEVSSPLSAVGVTIKARNAMDDGDFPIVTRDWGNPEQTMSTRGNQ I203_04118 MISKFILLVTVLALCLMVVQGSPIKIPSAPTTRSTANDKATRND RLSNAQRIARGLPLRTPERLYDPTRVNPLKARAS I203_04119 MSSPRSFLTLFISLIYLIATCHAAPAFHPPTRANGFDNRPQRTL LEPTQSQQPTGNLTNAEKLARGLPLNKPERLYKPSFPRALQPRQSKR I203_04120 MEDLESAGTSEEATQQIEMLDNLSNAQRMARGLPLRKPGHLFNA RLGARAPAPSQLRRDLGF I203_04121 MSSEKTIVFITGANTGIGYQTVRYLLSSHLPYLVFLGTRSEEKS KTAIEKLLEECPNTRSTLAPALIDLESDESIEKAVKAVRSVTDKIDVLIHNAGVELYA NGLTQGLTTREIFDKTYTTNIAGPHILTTLLIPLLLRSDNPRLLFLTSGTASFELGTN PEFILNKSPAAGWPKPPQRELFSYKSSKVALNMIMRDWYRILKEDKVKVWTVNPGLVV TGLGGDTEILKELGAGNPAGSGQFVVNVIEGERDEDVGKTVQRQWLYGEILPF I203_04122 MPPTNTTASPGPSKIFPASLSHFVIFNPNIRPDIPKSDNKDDDD DLREAAQILFYTSREAVGVSRDKMLRQVGLAKGLMGFGNMIVESSTKYTSIHGNRSRL IIFSPEPDFYIYMCITLSHLDNEKKDPVNGSQGISDEMLVNGLAKGYADFRLLHGPFS SHTIPSASLSTVLDKYFTRFAFQFESTYLSSPSLTNWLEGYPPISLSADLLEGYRSDL EGLLIIVGPKGPLYKDEAEDNPALIRYLHNLVQLTLPPPTISQPVPTNGDRHTMSFGL NLGLGGLGRKTQNSRKSSWTTLGGWVPEIKRGSNSTSTSPSPTPDIATRQKEGVDVVP KGKWGFGLGGIGDAMGNMGNVFGLARPSTPTSVQVDSQDKEAPLTASASRDGQERLTE DSNHPHHVEPASVVVEELEAAVEPDEEIEWEGKNVWIKSVQDGVYEKRRACWVIRNDI LVSVILPKDAAPPYTLPNTKATTYLFKRLTGQTSPEPLSTSNNPCIALLGQDKMVTKG ELDGISDQALINLKSAIQNGPDIHEIFAKSTSNRFLVAKKSDQLELYMKVGGDDSSLT DADHAVRTFIRLNGGISA I203_04123 MNSHLLQPVQPTRSVLEAFINRHQYLLELERKAEEEQTRLLNSK CSPKLLEQRGLSIGGLGVSNISVGLGGKSLIELNRPSAYHVSPLLPPHTFRSGDPVRI EAHVSSTTSSAKSKAKKKDNGDEGNNAVEGIVYKVSQEKVVVAVDEKKEVDLPERLRL LKLANTVTFDRMDKTLLHLKRLILPEEGSPTPNAQNFPLINSLLGIQLPSWSENFPST LGNDEQDKVKEEDVKWYDDNLNDSQKDAIRFCLKADNVACIHGPPGTGKTHTLIELIF QYLSRPASSSTTQPPRILITTPSNLALDNLLLRLHALSQLPPYSSLLPPGSILRLGHP TRVHRDLVRETLDYRAANGEEGELLKDVGNEMQGYLSDLGKKRGERGAVKGKERGKKW EEVRELRKEYRSREGKVVTSVLSRAQVVLATCHSAGSRQLNNMTFDIAVVDEATQAIE AVCWVPILKAKKLILAGDPQQLPPTIMSKDDKSLKPVEGLSEELNGISIKSRTVLKPP KTLETTLFERLESIYGEGIKRVLKVQYRMNSLIASFPSGTLYESQLISHESVSKRTLL DLPSIEDKESEESKDYLAPVVVFFDTAGCEFYERTEGDDQDSKKSGIGEGSKSNENEA GVVTKWARQLIELGVNPAEIGIVTPYQAQVSLISSMLHEEYPEMTIGSVDGLQGQERE AIILSLVRSNPTGEVGFLGEYRRLNVAMTRAKRQLCVVGDSSTVGKGSKYLKKWMDWL ENEADVRWAGDESI I203_04124 MSEEHFLIVPPASSDEIRFEIYYGVSSPWALLGAPEAERIAEKY GVTIYLKPIVVMEENGGIRLKTRHPARQAYHALDLYRTAKHLNVPMKASPKYYPQPAG TIEIAGQAIIRIQQKFGIGSKETLKFSYEIQRCIWITEQGDHAKVETLQQIARQCGFD EQTIDECVVDKRGEEGDDGVKEWRRNHEEAVKLGIFGTPNYLVNGEIFWGQDRLNFVE MRIKELIAAGAKPVKY I203_04125 MAHLRESHAVVVHCDADYIRAVHGISELVNRPSVTLRACYAIPS TSTSFKPEEVSGDVHMDGEVPSQTPAPETSSRSGWLVGEDLSNAEKEDGFEDKYETRW PFRSSKSVDDWEGREYLLIHLYTLLGITITSNSSPLLFIPPPTPTLPLSTQAAYTQIA FETLNTPAFSLIPSPLASIYALGATSGIIVHVSSVETSIFIITDSVVRWECSTTVQVG ELDCRQFLEDLLSEDELLDNELKVASGKEDLSIQEKKKLVREVTQVVWNECTGDDLEV PFLKTGNRSIVVGNPSGVVGAEKEDDSFDVAKKLVGDSAPAPTNQSHKSKKQQAAAAA AAAKSAQAAADAAAAAAALPQPIDAIVINIPSLPGKEIQLGPVRHRLCEPLLLGKVKG GDTVWEGVGRAIDNASLSLGERLGLWESVGVVGELARIKSFSPALITYLSPYLLSSAD LTSDCQPSKIRLLNIPDYFANFKNSTTELAPFLGGSLVAKVAFLDSQGKHAISKVDYN AKGPAAIYNVSADGQ I203_04126 MSDIRAVKRYAAQHDLFNRLHSISADERFVKDVAENWFDGRFDV VPNQRCGNWYCDPSTSSKAYAYFKSTDGHMTQWDFNLRRSNLSLAKYAEDRGGLILVD STRRGKRMPDGLSKTVPIWCCVINLAIELRRNIPLDEEWDTELYLPPKVVSPSEKSQI EDRMDEWAETLEKSSLPLPNLIKPLRPFFVHPSTSTPPTIPLNPPYTPIICLSASRWV NNPDDQIPSVTRLGDTNRTVGFDYVPGAGDDDELWARGLTPTLFHSNKPDLLKAERDD LPSLVDELVLSHAMSKLPAPSASCLNSNSLSSIGESTIGIPDESSRIALIIGSPIMTT STQWKYSTSSGNTIIVRVVEYEKYPKDLPRALTLTPKEKTLAVANSKTEGKAYELTLS ELVEFVRSQGEDSGVVLVHGRKEDVELALKIYQESQAQPQDGQEKQEERVKLNEPPEP SVEGRKLILPLALALICSATNEKELQEEKKDVVLTKSDIAVNLHGLISLWPDGNPSRA SLKRVNEFLMSGDRGRK I203_04127 MILYSSTLAFALSATVSWASQVCSPEHCLDGISSSQILAYDSSS SKYLTPGTYSDSSLSPSSSSLNITRSSDTLTVSIPSTSLGFSKTIYRGSEDVWDNGDW SLDDWKSIYLPSDWYAILEGGKVVWGAIPDKGQLPIDVTRLKLVKAASSVCDPPCSSH GVCKPSNTSSTGTCQCAAGWAGSSCDQCATGFWGPSCSPGPSNCTIWDDGLSGTGKCL GTASLLPISACNCDHGTCTSSNQCICSAGWQTNSTVSLALCNTCAEGFFRDSEGNCLA CPLGCDTCTLQQGANSTATCTSCSYSLSLTTANPATCASTIGSCADGTYYDASSASCK SCSPACSTCTGPSTSDCLSCASPRMNLQGSCVYYDASTGICDSALSTLQGVYVVNLDK SECDACPSGCLECHIPSFSNIKGYDTLQCSSCQEGYLLEDGKCVRKCNDGWFLPEGSA AKNGTCQKCDSTCSTCVSRSTTCTSCPSPLYASGGTCLSTCPSSTTPLNGTCVPCPVD CTTCSTSTECSTCPSDRPVTRNGRCVDHCSKDEYHDSSRGCQACDWRCSSCSAGDSNS CTSCADGYILKKGECVAAGCNEGAFASGLGVCLSELVDKSSKSRLFWLFFLIILLLGG GIGGFWWYVRRKRQKTRKATKEFGDKLDERTVQDNLRVLRLERVLGLQRILTSDEPRQ QAKGYEEKKNKRFRELLLPSKRRRTDVEKDIELKSANFASDRMTYGYGVPPPPYVPSK SSSPAATITKGCTKRDLLDSIPTSILPSFVSPTKPTFDSSSSSSLGVRPKIERKESNG NTTIHSMSSPISPDYQTSLMPPPRPGMIRINTQEREREVNRSRRGDMQILHGEEDDDV EFERRLRDLWSNLKTREQEGWI I203_04128 MTSLFLTPSEDPPHVEPINGAAYLTKLHKYLQVNSSRLSPFGPS RSTPTILQQSYTLLTLGLDPSSAPLSRFLKVPLTLGFGQPSTSKRRIPPRSPKPLLLR LPPDRLLYLLLRWQSLPQSLNHVGRTDVPIEEGVPVAARGARIDDRKLEGDVKSVRSW VGSMRSVSMGSLMSSQSTRGWFGKKEEINEDQILLQLYSMFTILPALLIHPPFVSDPP ILELIEAGGYTQLGGIDVRVPLDVMRNLQILELESYDPRALLIPPGPGMRSLTVRDVQ DGDDWIEELLAVTSRNVASEDTTVKARFPNLQHLSLISTTLLNFPQLPLTSLTHLDLS NNLLDTLPASLSGLTSLTSLNLSNNVIVSLRSASSIIPQVVSLNLSGNRIDCLVGLDQ CDSLRRIDIRRNELMDVGEVGRLSVLPSIKEIWCVGNPFDVTGYEDEWRIELGIAFRE EGKMVVLDDKEFNWSENRKIDMALEKTGKLHQHDRSASLSRSRDHSHSHDRQTSSSSA ANASEDLPPSRYNSINSNYQYQQRLSTQAPAQTPNSMKSPSSSAAAIAKKKSKRRVIN LDADVDTDADGGDEGKRTLEDSLRVPGKVIEEDEDEVEDGKQDTDVNDKLIDENGHGI NNGNGDGGSATNKDRNIVEDQEQSRQSIKVVSSKRKNHRKGLKKDTFDPIP I203_04129 MTGQHQYKPNGQMATERSGSSHSSFLSHIYLDPNCVIPESPTIS ITPTHDLAAGATHIIESPITSDSTWEERELSSIQSNDSGEYEDLDDPQICIRSNNHST IGGGTKPLRVKKKKGVTAVKVGSKTKKRISLPHSPSPHYSRSFATQSTSPRQFGYTGI KPKRNPSSNSGKSNLSTTYANISSIFLNLPSDSPTEKTATMKGRIVNATRFSDSSLHL GLGKFTTYDPISPGPSPPSSPLPSPNKRTFVSASTAAAQTYMKKPLPHPPSPLTLSRA PRKAAALLGASVPIGFAQSRKGGGGKMHGLSTKHFRPLPHSTLTEIEKFFGDVPNRNK KPNTPINGSKTKSKSTSGVGGMDERGMGDRNVGSGETVKYKSEDGSMWLDVEEEQEFA WLLSEIFALIPQPLPDLTLAKVKSNETTGSQEEENNWEMENFTSILSLPKPKAHSKGK SKTGKKTKVRSENSFLDLGLDTPKLPRNNININPWTNAPSHKRSLSNPTSPSSTIPKL SISSPMPALIPPPRISSKAGPSSLPNSTTSYMDQVNWSVSAAEFGSGSGSGSGSGSDS EGSTSSSGFNGSPPRIKNRPPPLTLKRIKPSSKLPILTATTPSNNKIDQPQTETATSV VNRSKSQAIIPSDRERYNIPYTESQMAKKYEAPSTPFVRPRIAPRPNPSEVIPPLPIS ISQSQEQEQEPMSFFEPATPTEPKPKPIGNANLIGSGNKEKKSWLKRVVRRPLKV I203_04130 MSTQQFTSMFSSRLSSAYNQLRGDLGAPQTATETIDKLVERINT SAAVEDRRTAVLGLKGLSRDWKEDVGIRAMTPLIAVLEHDAPFDVEIAKAALESLMQL CETAEKPAKDDLGLKFTDAFLEQPKPLHSLLSLLSNSPSFYPRFYSLQFLSQLLTSRP AVAQSYIMSAPPPGVDGILSVLDPAPPPGSQPQAAQLGGGASEMLRNEALLLLPAMLA GNADLQKIVAFSGAFERLFQIIDMEGGVEGGIVVQDALTVIGGLLRFNVSNQNYFREL SLIPTIPRIIGFPSPLPADVPTPDEFALQYWPEQKIYNTGLVLGLIRMLVGGPGGGNQ TAMVTGGVTRCLVELSLASNAPNGIKSQALNTLTPILLSSPANQDLLSSLLISPLVAV HADDEHPNGGFVRIPNKPTAVALVTAVIEGDPSAGGRGLRGRAAGVNMFEAYVSGNDD ARIGILSSMVAPPSDNPNANFPDQPQSAGSLILSGLLDLPQSSTEPFDPYRPLFSCLL LAHLIRNSEHAKKLARDIAIPSGDSGDSAIADDEDKVSLVQLVVGNLMMASREQTECV NRAAKEGLTTGLPEEEDWTRVMVGYLVLLCTWLWDSPKTVKEFLSESANLQVLIQPIT QATGIDPLVQGLSAFLLGVCYEFNREPGEITRATLHPILHSRIGPDQFVSRMARLRED PRFRAVQPDAFDTEGSDATAAATAEGEADEVDEGLELWFDWAFVDFWKNHYYTIQRSI AIDPDAVRGSGPVDDGETAVIIMSLRQKLKAQTDEVVQLQSKLEALTKESKQEKDTLV HEVDSLSSQIATLSTQLQETTNARSTLEEELSILKNEYESLKDIASSAESTKSELEKV SAELATLKDTHEKASSELSLAKASSKSRETKLKDLEAKVKDLEEKAKSAPPPSNTDNA SSEEDKKALEVKSKELDELKKSLEESKSALESAQKELKEKIELEERLKSSEEKVSSGE NRSKELEEKTSTLEKKIEELENKLKTAESAAPAQGGGGGESGKAAKKRAAELDNKVKE LEKSLEEEKTKREEESKEHEDLLVLLDELTAKRNADKKKLKESGIEVSDDEDEEEE I203_04131 MPPSTPRPKFSIGDAPHHPRHNTPSTAIPQGELEEADITARPNP RLSPIIARDTILSSASPIQPQFAELLTPLKLRRKRNRPPVNQQPTSIPPVAGPSRLGY YTLEGDLYRGAIRDPTVPNQQLIDEDAVSLGTSSTSSASSTWSENLERARAVIERFGE ALGVRRGSHSSGSDTSDTTDIESHGGRSSASIKTRKRRKRRGSKLSRIETALSRQTSS SPHPPKRQHLPKRREFTLLLPPRLGEVDLGVRNSVSSSEREPSTLVEGDGSPISFGQK RYPPDRVVVTPSLPVVVEHIRSLRLLNGLFPIDTTPLPTPAPTPDVPMRKAGSAPGRS RTPKGPPSFPLPPRPLASRTQSRLHALRGDMVTDPIRPKSVSDLLGLSRPDSTASLTS LKAEYHPPSRLGTPAVAKDGFDFSKKGKANETWWLDVSCPGWEDLRDLGELLGLHPLT LEDVLQQDPREKLDHFDKLGYYFLVVRALDESYFKYTPGSASASGATLAEAPAIRSAD TAPEIYEMQETDNRESEKKEGRRRGWGMGRATGKNASKSGEKVEIVEDHPGKEGLEGV GVGAVNVYLVVFADGIVSFHFEDISKHTRRVLERALNFPNPEHNSDWIAHGLIDSIVD AFFPLIRYVDGEVDDIDSLTIDPTTDPKRTTAVLESEPIPSPESSWNEFELNEKHLST NEKPSLLPHIWKQQPSRLRQKSELNIRRTLRDKIKIPSMQIPLPRPFIYLRLFFLPTS SAIRRKHEQVSEAVFDRSTMLKRITDMRRLVTGLTRLLGAKGAVIARLRKRAREQGAT MEAYIGDVEDHILLLQTSLYHYEYILSHCQPAYLSHLNVSFSFARGGTDQAILALSTV TISILPMQFILSLFSMNVNVPHNGDPDMEAHEHDDGTPSPHGYFAGIVIAIFLVACVM VMIIRYWRWLARKKWSRLRGADVPDFWEGFWGWR I203_04132 MSGIIPLSPSPGSSKAERSITESVEKRECDRCSENIPIDKFKQR YEELMSVVDEIWESEQKGVLLPNNEEATNDEIRILSTMIPEFLRTFPNLIYPIPYLQI RYARLLSTLARTLSNTKEIIKNYTQAYSSFLSLNNNLSTPLTCSLAFGLINLHLDILR LSKQLIEKRSNRKIGYENLGKSNRLKTSKEEYLDDGEIESYLKEGQDWIESCKDHLND LAFTQHNHGYGSETKFNELELERWQRQFEELDIWFNYLY I203_04133 MVRTAQTHRSKPRKSKPMNDLIPIKGQRKVILGELTSPIEKGDI IFSTTPIFPTLISELLSSHCSGCLKTAQQISEDSNIDIDKVEERMLKCSRCQVHVFCS VKCYASTWCSLNDECRGLANNPGWIPNTIARLVAKVLSSRRFGREVGSKKSLMDRIAL KLITITQLYDPLPDYPGKLEYYSFSTRICI I203_04134 MSALAGPLVLETLLKHFIGPRPAADKGKAREDLMYDEAFVLMKT FLEIATKYPVAALQRFGQVRTPSPPWVGVHRVTIPRSSLNDAAEYMIQGFGGEEMAYK IAGGTKWWQVRAGQGVEGEWIVMKKDWKEVVAEEKREKKQRAEHGVREEEEEGEFRPE MDRLRCMLYIHGGAYYWGSINTHRYTIWRYARKMHGRCFAVNYRKTPQYPFPCAIQDC LAAYLYLTNPPPEAKHRPVDPKSIILAGDSAGGGLCLALLQILRDTEGLELPAGAVLI SPWSDLTHSFPSILQNTATDIVPPYGFIHKPSSLWPPPPPMLTDEVQRRLRSRVREVV SKLHNHPPKVEDAQEVSADTDKIGKGIPTIQHSTRIPDRLKTSEPSGQLDKSKEKSES DLHPLAEKSENQHPEPQTEPTSNPSRANPADLFPTLVEKREKETRPCTLAQCDTPLKL TVKGEEILIDTQIQLYATNAQLCHPWVSPVLGYLGGLPPLFIMCGDKEVLRDEIIFLA HKAANPDAYPIRDDVRPMLPSLAGIEEKYSPTNVHLQVYDGVCHDLPLLSMTRPSRGA FRAIASFARYVTPSAPGSRYISRSYHATPKDSGSNTPGRITAKGPPKDQHPPQTPQES QQSSTDGTATPVTTDQKIMKHVLTTTPAVMSPQESVTTTVHKGPKQSPTLDLDLGDEL SKIESPANTSLRHEHPRDTLDVTTPHTPNRNVSFASSTNSSTVSFEQRSGRRESILPT SPNPNNGSGTGFDNDGAKRENSQPSGDDSGPRFEEGRTFLNDWNAKEGEAGWAGIYKG DDPFTDHMIRERVSTTSILRPLEPPNELQAMQMPLDEVGYIKEGPAMRYINGQALWDK KYHRAAKNVKKRREKNLKIAHKEGGKFSAELIKKAHEDQSKGKESIKNLAHEHQQQET KNGRGVMETAESWNWALNGESPPPSAIISRRDFAEARQLALMADRLDSSHNTSLHGLS IWVGLASFFSSSMERNKASEVIKIAREAKKVQKDSTKQGNGESMDIWTDLDDGGDTPI HEDDGQHRSEGVINRHDDITRKIKSKEGKDRVKGKTASEGKKEVKKKGALASWFQSWK KRKDKGNKGVE I203_04135 MFKKPLAHQSNATPLRSSARRQLLNAIYEQYPSLRGESQAQDGS ADKELGRMILPEGVRIATFETSVGTEGTFWLTPDGDPLWMTFGRSSKEYIPTLYLLSL SLPSPPLATIQIHNPLPPPILTGAPLFIPAVRNLSKPWLLSDVQEGQLVTFVSSPSNG IEDVRYVGVGRVVAKGGMKGALERRIDNLQNEGGEKEEGKFADILCIIEDHLWELGSK PSLSPFSLPIPINPLDQPPDQASSPVLSETAIQQLSITDENPQPEYIPGPSSISSSSS EPLSSSEISTLLSISLLQALKSLQGSSFPLPASLLYSAHVLPNRPSYIPKERREEVVI AKSDWKKLTKWMKELGKDGLLKIKETKGEVIVQSFDSNHPSLQSHSEFTTIAQEEQKA AKKAAREAASNPDGEPSNKINAQTSGTSGGGVGKGKGKELEIEELWKPSGGAIGFWEA AGVDKSTLHHPSELKTALDSYLTKHSLIHPSDHRYVLLDDELGRAVGIKPPEPGDKMA RDEVMKKLKSGVSWSVSLGGVIKKGTLQPITMTVKTRQGRKTVTHVYGLETFNIDPDG FAEEMRKVCAGSASIQPLPGASPKLNLQEVQIQGSQVKLITEALVGRGIPKRWIKESE DSKKKK I203_04136 MTSVGTDSTSLENNNKRTVAVRSRRSTRTKSPLPVPASIPKSRD SKKRNTDSKSRAQSSISTSATTSTSSDVNTITLSNEYENDFEPTFEIIVLGSGGGPLE TDCSGYLVKASKSSWEDGVLALEGGSGLGALASILSNPTTSTSNLFPDLKFPRNYNTP LLQAAHVFSFLACYLITHAHLDHVGSLIMLSGSVPPKSAQHTQPPTDTNNVSPHKSTD QTEAATAAEATHPKPHVYGTRKTLEQLSQAYQGGLWPELGSWVPDREGDRPHHSGRKK RKIDDSGKNGLGLVNGPNGEVEGTEENYNSCLLFSPLNPERVHRPLHPTLPISLLTYP VAHGCTSKNTYESSAMFIRYDPSALTQTPASSSSRSPSSTTKGKGKGKGKEFLFFGDV ESSFRNPAEEDIDMERGKEAKELNEHIWKEASKSHKGGRLCGIFIECSYDSSRPAHLM FGHLSPPGLYEELKTLAGFVSKSKKRPLEGLKIFIMHIKDALVPHPTGKTAREIIMSE LNDLEIEGGLGVQFVETKRGDRIHIRWHPILDCVTVTLVHIFYLERGYQLHGRSKWVP IIVLPFLLADIGGAIGGTVMLFKIPDTYDLITIKPFFYTWIGGTLVVDLLITSIIFHK LIKSRTGWSDTDLMINKLITISVETQLPSLVVAIAFMVSYGLKANAGLNVFFELFHPK VHVVGLLTVLNSRNKLRNQLNGTSNVKENNYIGKEDKDRSSKFKPKSKSKSKSGDQDI ENDINYNPTQLTIIFEDELIVPHLGTRIESSSGSPSDDITTDPRKSQDETDTPSVDGG SDVKDQGDFSAGVQRV I203_04137 MSSQLRVARSRAVRSLPQRRCYATPPPPPPPGSGLPPNPPPSLK TVPIKPVTVPPTTPTPGSSTLASAQPLSTPPPRKPRHFFRRFVIYTSLGAITFYGLSG VASTHSEGYRDFFVSNLPGGEVVADFADDNGWQSFGFGTITKKAVQSYNTATGKLEET TTQKIERKAGEAKKEVVSAGEKVKAKTVEAKDTIVGKETTSQKIQHKAQDLKEKAITA THNAEDRLKHLAHDAKEKVDQVTKDVPFNFSDGVEGIVREAEKALGSSETKGQKALHQ AEDALKRGEKKVENAAHDAKEAIKPHATESEKSPSPYQQRTRELNPTGVTPQKPSYEG KKVYNGPELPLGFEPPPGYYIPPPAVKPVLTEEEKVKETLPLLGPKVKEFASEEPIIE QLASTIDSLTTSLSTASGTGPGTSPPSNEATSILSKAQDDLTALNKRLHDVKLAEKKK LEQTVNEKTKEFEALLKGKEADWTKSEQGLKESWAKEREGLVAKWRGELEGELESQRQ GIEQRLRDEVVSQGIELQRRWLRSIKSQVETERGGRLAKLDTLTTSLKQLERVTLDNS AQLDDNVRLHKIWSALRAVQNKVDSGDVSFDEELRALKSLSSSDKDESVIVTTLGQLE KSGIPTTGVKSFAALSSWFTQSIAPKVHSSSLVPPPEEAGVVSHLASMGLSKLLFRPS PGPVDGQNVGAVLARAEWCLAEKDLDGAAREVNTLKGWPAKLAGDWLNEARRKLEVQQ ALEIVATEATLSSLLLV I203_04138 MTNSDDIITTSNGLGYTSNSAAHPESLVKPPMAPTAGEDTIEIK IEFGGGLHLLFSSQPSHKINIPRNIPNSEDGQPQPVNMRYLVKWMKSNLLSEREEMFG DGDGVRPGILVLINDADWELEGELEYELRDRDEVVFISTLHGG I203_04139 MSNTYDPSWPWRSDLYGNTGTTNTSSNGVAFKRSPTRPGERSQH HYTSSQAQAQLTTNKPSSKYFHADYEPCATCTHQVNNDLPRVEHQYVPYKPGGTADTG NDIGNSWHSPVGGVGWGREDRHTAQD I203_04140 MTQPLPSHTSNIKIDTDPNLPIPYPEHDFHPLSPSQPYSNSTGW TNQYDTQFNLPDKLRHLTTFSGLPPKGYIMTYSGRGDPLKFQGYFAARVDISVRLNPN HPSIINLTNTISEKSDYKYSYDLDNPLVQNAYEGYILRTLFSESFRINASKKRTNTDE IYQPTRETITENTQSLKQASEQLLENRNSEFEFVWVNYDNQSEWSKDKKSLGPLKLSE SLKRQACSRANMMMNNGKGSFKGGERRIGGYLDLDVHNDNRESLGLRPRPA I203_04141 MSDRESLLSMGFDPARIDWALKATKNSGLQPAMDHLLENSEKPI PEAVEAEEDDEEAVKANIKKIESGAVDDSDLVAKSIKCSECGKVFRSTANAELHAEKS GHDQFEESTDEIKPLTEEEKKAKLAELREKLAIKRAAQAKVDAKDNKANEALRRKAGQ DSGKIKEEMQAKELQKQAEQKRREKLEDQRAKAAIKAQIEADKRERAEKAAREKALRA GTSTGTQTPPAPVAVAKPAAGVSSSDNPQTRLQVRLSVGGQPLTKTFPSDNTLIDVAE WVASENLAYNVDTVTFASTFPRKTYTRDDMKKTLKENGLTPSAVLMAS I203_04142 MSTPYQLAFTLHGHAADVRNLCAPSPEIPLLLSASRDGSAIVWG PSSKSKEWDVKLRVEGPEKRFVSCVGMTRWNGQAYLLLGSQSGILSSYILPSPDTAPP SNDAPLEEPQHTLVEHRQNLCCLDTSKAGLIATGSWDKSVIVWKDFKKALTIQSHDQA IWAVKFVGEDRLLTASADKKIILHSLDIASGRSTHLQTYTGHGEPVRGLSLKPDGTGF WSCANDGNINIYSFDQPSPKKTLSGHTSFVYSISAFPDGSGAISSGEDGTLRVWSETE LLQTIPHTSNSLWSSAIVFSGLSSPYIASSSSDSTIRFFTKEERLMASAEERAEWDKE VSGRQLDKSQVGDVKQSDLPGIEALGREGKKDGQVIMIKNNGVVEAYQWSQPTSTWQQ IGQVVDAIGQGRKQLYEGQEYDYVFDVDVSEGMPPLKLPYNVAENPWIAAQRFLNKHE LPATYCEQVVEFIQKNTAGVTLGQGGGGGNEYVDPFTGGSRYTGATSSNAGPSYGGGD PFTGSGAYSSSPAPPPQPQRSNGILPVKSYLSFKQMNINAAKAKIAQLNDEIRSSNPD LAITDNEEKTLNEIYALLSSPAVSLPSPETRDSKERYDPEVFLGLLSKWPEDKRFPLI DLSRCLAALSPHFGKIPSAPKALIQACGLDAPWSPGKSRDTNTLLSLRGLANFFVTAN GRQTISQPDTVESILSVLRGVEWSNWGTRKVSVATIALNYSILAVNNTFPSQLAEKLL DLIIHALNNEKEDLETIYRASVALGNLLVSPLSGSLKVGEISNGKSIITRLASEKGEK RLKDLAKEIEGLGV I203_04143 MSVQVTPEQLSTLKATLLNTSGSTPLHERFRSLFMLKAVGGDEV VDIIAEGLKDPSPLLKHELAYVLGQLSNLRALPVLNEVLINPTGQHCSMVRHEAAEAL GALSSLESLPVLERYLNDPSREVRETCEIAAEKIRFDNSEEGKKRQLNPDFPTIDPAP SATPSGDVSIPSLRTDLLNTSLPLFERYRAMFALRDFGSSSKEAVEALADGFGDGSAL FRHEIAYIFGQLSSPYSIPSLLSRLRDPKEDDMVRHEAAEALGGIASDGVEGDDQSSL PTDQQLPSGGVLAVLREWAVKQDAPVVVRESCQVAIDMWEYENSTDQFNPIDSLTTNK SNTTGMERSAHAAVSAMAVA I203_04144 MSSQKLPIHRLPLPSSTLQHTLPQLTLEPKPSSQRRATTFDTTG EGVWARVNPLWAAWPLRITKEEALGMGVDVEKGEQIDVEDVLRRWDPIKLDHTGIPHD TEDETNGLNTYSSDHRLKLAPILLGISASTLKDSLPHLTVGDAPTICNRGASPSPDAT PAALVRNAFIDVLSGRKVLRSKKEAEGEGREYGPWSTRYCGHQFGSWAGQLGDGRAIS ILETESEEGGRQELQLKGAGRTPFSRSADGLAVLRSGVREFLGCEAIAALQIPTTRSL ALLTTPFPDVPVVREHGPEPSSLLCRVSPSFIRIGHFQALNPSKADQGMRQFFLGGRG WLDDQNDLNPDKEEGNLEGLRKLTEWVKNDIMQMQGSSTKEWLEEVVQRNAETVAKWQ VYGWMHGVLNTDNISLTGATIDYGPYAFMDVYDERHICNHSDPSGLYNYRNQPSRVLF ALDKLVSTLAPILGYEAFHSSTPIQGYSEGLSKETKKEWEEKGLEVFKGFEERFWEIE REEEKRGWARRFGLKTSRESDSRDIFLDYLSLLSTHKIDFHTSFRKLSFFRPTLANDA EYLSKFVTELIEESTTNVSDDKLNLAEKDFEGWIKIYAERASNQEEKEAYGNSAGEGW EGIRSKEMKASNPRFVLRQWVLEETIEKMEKALTKPYVEAKEGQAPEEWEIDLGVREA RQVLAKILDMSTRPFEPYGEGEGKGSDFEEDKRLCGLGKKEMLGFQCSCSS I203_04145 MMGPEAMGIQPINVDWWSEKVCRNFLFGTCPHILFGNTKMDLGP CPKIHSDRILKQFQEHALAQPHDPRVAAFRQEHENKLYGFVDDIDRRIRASQRKLEKT PEENRKTIDLMREIGEIELSIQGGTEEIEALGEAGKVEESMEKLSAVDALKQLKADKE RELQHLNENAGASGHQKLRVCETCGAMLSVLDSDKRLADHFGGKLHLGYHELRRLLGI FAEARMTGRPWPVIPPKETPATGSNPDQPMGENGDSSAPAPPPPTESPAIPPSAPSGP RSSALAPPSHLQDDTPHTPVHSKVPPADEIPVVGHGDKVKREAGELEDDLRERRGSRD DSLRDRERNHRDRDDRDRER I203_04146 MASNSSRQTLVLEALKELGNQFQRHDENNMRARRRPAMIAIIQN DRRRFTDPDHRSSVRGACVDNAVDGMISNAVSCTVSDDNIYKSVVDVNKDHLDFLHAK YLQDNVGDDLKDQGSKVQPSNEFCNEFISAVRSSTQREFCSSTVSKTSVPITAARVAL LLNGTMMKMLGQSPKFVDSLQEVETDWRKSLDEREVSTEKLEAMYLSERGPKSLMPLY GQGFKPDPNTFDMVLSIPIETNLTFKTIKRMITQITSEADQAERNADARTGIMPRPMT SELQVKHQADLIRREESYWQKTQSALESTLSGQSQMSDEDWKENGNLHDVVYSPDQIP GTFTVRPSNGPVVDLHSDIEVRFWQSKNPSSTIEDPYMTSVENLNERLEIATTTAA I203_04147 MSIPTAAVKKSVLISGGSIAGPACAFWLNKYGFKTTIVERWPEL RPGGQSIDVAHYGMEAIKRMNLQSELEARFTGEKGTTITDLHNVPYMSLPVGHGPTNE TEILRGDFADMLYQQTKQTTDWRFGDYVTSIKEEEQEGDGKGKVKVGFKSGKEEEYDY LIIAEGARSWTRKLVMKEEAEVKYKPIGAYIAYFSIPFTPSTPYQDQWHMISLPRQRL IYFRPDFKNQTQRAGVMFMTPESKGYEKLSATEQKEIIKEIYKDGGENAKRMLEHIDQ SDDLYFEYLVQVHADRWSTKSGRVYVVGDSAWCGTPMIGMGCSLSVAGAYILSGELAK HINEPKKAAEEYERLWRPTVTEAQKLPPGIPRILFQESDWGVKVYLNIMTAIGWIFGS RVVQYITPYLSSGWTKMFPSEESKTLPEYGEYIVKGDDVNKVSLAQASKGT I203_04148 MDLVESQRNILRLTPRFNSLVIGKDERIYKVYQNMIVTIPTLTP SSSSRLGKEGIEEYKICTIYDNNRSHQVYTIYPPIYHTLTILHSEDIMIYIDIEGFIH RYKFHSYGTQTYEYANGGIEIPSEDWIQTETLDRVKLDLMEDDKMVVDLSVGRWLIYD YKTGELLQRFPPNNRIWSSCQGSLITKEGLMIGLDVPRLAWMGNDRLKDASLAIFSLS SPLTAPYLPQLLLELPTPYRLLKQSIKTLWDIPAKVKIIIPPSTPPRLHDATNPSFVR ISIPFKLVPGVSYELNLVIPIKQLPLIKIENRIKPWIKGRTWRYPTNPFDGVESIKWD NWKSRCLVYIERAQASISTQNPIRPLSLHSEECQIGPRSYRVDNNLLAKKGQLNLEIT DFDFHEIGQKGNEYGALKGIEMDMAPQDGQRPNKPRTAPRYYKISKQEGTANLPRTLN CSGYFFLGYPGGMEHFLGDENGTRLVIQQVSAIST I203_04149 MIDDLYRSSKVDTLDDLPLDMTEEEIVGLIESMSMDGSAEEGAG ETYEYEDLGNNLVTKDTNESLQPRMENYSEPEIIDPFAPSSPSSVTQHRSKSLAQPSM SIQHPPLPAPDPPPDHHTRFDWRTRALSQLRKIPFRGYWFWNVPRRKEVSWTKLQFGE QYRDELDRYANHFIPLLEAEQAEEERLFNSRIAEWSFDRLKREGYALDEMRGSKGYQP KSMIGLGTVYGFVRGKGDRELPFNRFTIGSNVILSRTDPSVDAVGATADSKNRLIGSV WNSSKGNIRIMFPQDIEDINDGHWRLDVACSDFAIRRQIEAIKSLNLDPYEQDKGDFP EDQPNSAALASPASPAPPSFIPDKKRKDQSILRGTAIRDLLLRAFQGLYSPLTRSNRP HHTLPTDHVVQDTNEMKPSDLDAVPEPIPQDTTATSVLVKNQLIQSWTERYRRPGLPT EIEGDPKVGLNESQMRAIAMMLSERLSLVQGPPGTGKTRVIIETIKLLKKHWQIPHPI LVTAHTNVAVDNLLSGLRAHDIKALRFGAINRIPEGYSEWTLDRMIGQHPSWWSLEMA RKEKDTLLEKKLTLKDAEDDARLTKVGQKIWVRRQAIMREVLLDADVICTTCLSATSR ALQGIDFPIVFLDEASMATEPLSLVPLTKGSSHVAIIGDHKQLPPVILSPEALAGGLA TSLFERLIHEGNIPSIMLDTQYRMHSTLSSFPSKTFYSNLLKNGTPASQRLPPDTEFL VRDSQTGRRRNITFLNHDHPESPISKSLANYGDAEYACDVIADLMYKNPTLKGSDIGI ITPYISQLRLLSNHLSDSQRLDAFRDLLGEDRARELEDIEIKTVDGFEGREKEVIIFS AVRCNDAGWIGFLGDWRRVNVAMTRGRKALIMIGSKRTLQKARIGKSGEETLPSGGAK VWRDLIGWLEEEGCILDVE I203_04150 MKITEKLQKAEKEGRTFWSFEFFPPRTAQGLQNLYDRIERMRNL GPEFIDITWGAGGKNADLTSSLVQVCQETIGIETCMHLCCTEMPKEKVEWALAQAKQH GCQNILALRGDPVAGTSKWEPTPGGFTNAVDLVKHIHEHYPGDFCVAVAGFPQGHPET PEGMDGARQEIQWLKEKVDAGAEFIFTQMFYDTSIFFDWVKRVREAGITVPIVPGIMP IQNWEKFEKWVQRESIVVPQHFYDALRPVKGDDERVRQVGTKLVAGMCKEILANKEAG IKGLHIYTLNLEKGARMLLQELGLEGRREQIAPLPWRPSLTPHRRSESIRPIFWANRV QSYLSRTDEWDEFPNGRWGDSRSPAYGDLDGYPVSININANDAYNLWGHPTTFSEICD LFARFCRGDLAKLPWSSQPPASETSVIDEQLAKMNELGYLTINSQPAVDGVPSEDKVH GWGPTGGYVYQKAYLEFFVSPELLNPLIRRIERDPRITYYAVNKQGDLRTNTHSEGPN AVTWGVFPGKEIVQPTIVEAVSFIAWKDEAFELGLQWANLYPPGSPSRELIESTMNSS YLVNIVANDFRDGMSIFEPFLLDEHSTSKLGKVVDGAQQTVNGVLDGLNGVVENVKSA AGVNGHSNGVAVNGH I203_04151 MAPSYPSPVNGTRRPTMDRSNSHTGASSPILASAHTTNANRRVS AAVNIEEDRGLTMREKERADQQGSMGKAGGVSSGVTRPKRRLSSLPTQPHPFFSVNRA MSTNSMNSPPSSPKITHPHSTYQAMQNHKHEHNDDHHQTPAYNRANPPRLDGKVGMVS YATQMAAASRDKEGPPMLWGIELKWISLITLALQNAFLTIIMHYSRISTAPGKTYSAA TAVLLNELLKGSISIIIALKRIDTDMSKSPIQPPAVYAEKLNTRDSDRRITSSSSSAF SLIHPTRLAALSKAVFSPDCYKLSVPAILYVIQNNLQYVAASNLDVATFQVTYQMKIL TTAFFSVLMLRKRLSKTKWASLVMLAIGVGIVQMQSTSAPATSHSTTTKINHGEHQLR SEIPGALHEEIRMMHPLKGFLAVTLACLTSGLAGVYFEFILKSSSGNAPPPDLWVRNT QLSLFSLVPALVPIIVAPAGPEGMGYVGRVMSAFSNFNGWAIGTVLTQTLGGLITALV IRYSDNIMKGFATSLSIVISFLASVALFSYPITLAFVTGSSIVLAATYIYNSPSSSPP TTRTTVAVAPGSPISTNAPILGEPEKPSRTSSVINLLGLSNSLAGSRKTSSTDLKNNL SSFTPTAGYSASAPGTPYLGNSGRASPNLSINTNVHSNQYLGGPAQIVGGPGTGFGRE KS I203_04152 MKPSVTPDLSEPTLSTLLSQSQSQQILFRVHTPTSHSPLIWTGE LSTSGFSSPNIHLGSLTPKSYTPFLTYSSSTGRRLISSTTYEINPGVYLRHTVVDHVS NKPKQSCIPTLPTIEETKEGVWPDEKTPWISSSDNLFWTIWDIARRLAVPQGGFGWVG GVQLAIVRHPKSTYHQMHMDHINQISKEDLIDGRSQNERNQDEKFISAPREVWLRPTN VLSPPMYPGEMSLALKESYKVSRKMASQSGEILFFGRIWADNVLRNLEWTCEETPFPL PAHLFLPTYDPTDRSKRWIDHLIWNPRNEDYLIAYEKVMNRRRQECGKRGEWAKGPL I203_04153 MGKQHDDTNVASYKAQNDGHELSSSHVPSNAQGRDVPAQAQHSG HVADHQHDLKKAQNALHEGLKPKDEEGNRVESGAQNANT I203_04154 MTRRSPPSKLTFLPSHIPTPPRGAPKYFLPTLPPSLPTVTRPSL SVPLPLPQPHHQALDPEFMEHPYRGYKVAEEGTKPPWVRSRGIDLAEKELGRGLERPR GVVLGR I203_04155 MAPTDPTYTAVPNPGTSSPTEPNFPSPDPNNSYQNPNPRDSSIL SPPTPDPDTANLLGRPGSEYMPAPSIMTRDSTYSSLPGTPPLRGDDRKSWGSGVGLAG AAEGISGVEQPRRPGSVRAPSNLAHSSLGWNNSTNDRLSVSDEDDEQGHITPAVAGIG AAEVQTEKPRWAEVKDFDSTPKKKNKKLLLAGACVGVLAIIALAVGLGIGLTRKSTKD GSSSSSEDGKTGDDGNKSDNSGTKTASTTASTPSATATSGTQGSLITLDDGSTVTYDN PFGGEWHWDESDPFNSSARANSWSPRLNEAWDFAKNRIYGVNLGGWLNTEPFIVPALY ETYHEVNGQTAIDEYTLSQNMGSNLTAVMTEHYETFITEKDFIEIASAGLNWVRLPIP FWAIETWEGEPFLERVSWTYVLKALKWARKYGIRVNLDLHTVPGSQNGWNHSGRQGTP NWLQGVMGVAHAQRSLDYIRTLAQFIAQPEYSDVVQMFGFINEPNGNALGKDPITSFY IEAHNIIRDITGIGAGNGPQISMHDGFLGIKSWYDFAPGADRVMLDQHNYMVFQDQPT GDLDELKIKPCQWWAASTNTTFQTYGPVNTGEWSAAWNDCGLWVNNVLSGTRYDGTFD GYEGKATGSCDYWNDYTQWNQTTKDALNHFVKGSMDAFQDYFFWTWKIGNSTGDIPQP NPFWNYQLGLQQGWIPKDPRTAIGTCEGDGIASNPFSSFSNAAVTGGAGAGMISGSDS SSNPWPPSSLTNIAAGQMQSIYQYTQTGEPITMPAPTFTSPGSSATIDAGDGWANPSA DNRKAYASFTDCSYPALYSAASITSVANACGAGLTQPTKRSELGSIQQVKKAAYPQPT TPPARR I203_04156 MSSSSSLAPNKIHSLPVRVSYYLPSTSQTFSTLFATPQQVYVHP NTAAASTQNGQEEAWGSIYLKTVVSGVLMASPELHPAYPNTPDLSLYVLDPRETYLRR SRAGSSSFNHEVWTGKGLVSWALDEPGQGKNLITGRLIRSVDFATLTKQQEMNPLEAL MMADMAGTSTHEEWGIEISVGLKSGISGFTSSSHPQVSSAMSIDGRPDAMRRSSTSSS TETHVSVNGSHFQRPQHPLPKRHEQPRRPQLPTPVHAHQPLTPSRVISASRSTSSRPG DIKHPKSSGSVSGSAAKKQRRGKTSTTSANSSRNSLGTIDPNSDPLKRRHQPMSQSSS QIKQSPAPSSDTVEPFPTDIPAGLFAKPESLTREQAQRLLASPAFLSMLEKLTGAPID AAAAAKRAREDEDHPSAESNNKKPRLSHGHSRKGSTDSSGEPSHNAFVCWNCGRTKSA VWRTKVMEDGKSVRVCNACGLYWNKMGSMRPPTLWGDVDDDPKDRPRKDKKGPASSAT RQSSQAPTSEPDIPALRIDKPTTRSNNEHGFKRTLSSVVEEDAKRIATHAQLRKSMPK SNLHHTHTTKPLPMSSPPRGSTSATKSLRNSKWNDQIAASSPIRWDNQNKNQVHKSDN FHTDPTESPATTLRKAHAQSTTNTQTLDMPLSDDGPGPSENKPLVNHQNQNQQQQINW GTDLSAFFDVEGFSMPPQPQSAHGHEIQRSISDQGKQMRKQVVQPSSSTGTEEDDVLS QLFNRTSSVGLSASASSPFDFSALPPSSPPISSSDSLPHSALLLSSPDNSPLNDCSPM ENKHSTTPGKSRLRHSVSAHQIQSQHQPMGEGVGGLDFEDIQRMLNNIGNGNGNNNNS PHEQQNDGQGNGYDMLQEIFGKLHDTQHQQHTTSSSVGQTEEIIGGFHAHGHTNGEDI FAMLEGNAFAST I203_04157 MAHRNHKERSQPAHRARLGLLEKHKDYVLRARDYKSKQDRIKKL REKAAFKNKDEFYWGMIKSKTQNGIETKDRGNVALNTDLVKILKSQDLGYVRVQIAKD EKKIRDLKTQLQITAPSGSLTTETSSSPEWDAIAELAEVEKLAEMGIVLKPSDESTTK RKGKGKAVTSGHVIFADDKDEFENYGESSRSNGDERIEEQNDEPIDLGWHEPPISQKR KNKQKVIEPVEEVDEELIAEEAKSHRIELLTLLSAYLNRLKLLRQAEHKLETTKSLMG KGSARKIRDTQWVDDESQPESKNGERKRLEGKMWKWKLERRR I203_04158 MAAREGLGLARSLVLTIPRIRIRPLPSSHASASGLSTSSSSLRF FSTHIGCNKEPRTQHSYSAFPHCSRPTFTQIRHNSRSNSSSSSKIDSSRSNSVEQSIE EDQDQEKDKAPSPIPIHPLAPIAPPSATADMTPTTASGGSSKDPKPDASSILKLLSLA KPQWPLLTVGVACLSVSTAVNLSIPWVIGRIIDFFTPGQENTLLLGLPLEQATGALAV VLLIGAAANSGRSIALRLAGQRTVASIRNQTYGKYLSLPPSHIETAGVGDALSRLGQD TSIVGQSLSENLGEGLKAILGAGAGIGAMYLISPTLTFVMLCIIPPIAVGTFFYGRFI RKLSLKTQEAMGGMSKLAEERLSAHRTVTASNTQLSERTLYSSKVDGVYKLQKKETFA NGIFQGANEVAGDIGMIGLLIYGGVLVKRGEITVGDMTSLFIYVNWIEWSLNTLAGFF TGLMKGVGASQRIIGLHALPPPIPLGEGEKIAKSRSGSIELRGVDFAYPSRPDAKVLN GLNLRIDKGERIALVGGSGSGKSSIQLLLLRFYDPTSGSVFFDGQDIKSFVPESWRSR IGIVPQDPILFGGTIEQNIAYGHPNATREEVKRAARVAHCDFIENLPQGYNTIINKNS LSGGQRQRIAIARALVGNPSVLLMDEATSALDSESERAVNAALNDLFANSDITVILIA HRLSSIASADRVVLLDGGSVAEDGTYHDLITRRHGKFRKMVEGQLAKIEIGEPTVIDP APPSEGEGLPPPQAAAISASPASAKSDVQAPAASASSKERASIKASSCPSQRRQNHTS ALQRPFFTAQPAPYSPPFKTVYGAANAPVPALPDLPIPHITSPAAPLSAYRPLTPLNL KRLMTVYSQLSKRNLTILMTLTATTGLALSPLPLSIPLLFNLTIGTLLTSAAANTFNQ ILEIPIDAQTPRTRVRPLCMRKITPFHAFMFGMTCTVLGGVILWYGCNPTTAALGIGN LILYAGIYTPMKRFSVSNTWIGAIVGAITPLMGWTATGGALWPTPEQPLQFNLPSFLG SGEFKFDGSIPNPLTPLCLFLLLFSWQFPHFNSLSHMIRPFYALSGYPMLSVLSPKLN ALVSLRHSILLIPFTMIFTPLSGSVDWSFALTAVIPNFIFTRDSWKFYKTPTEALAKK LFFTSLWYLPVVLGLMLVHKNIAGWLVSVNDKAKEEEKQRI I203_04159 MVASPVEATNGVPHSASSTSDLSTISSSDKDQDDKQVVVNGKGR KRARDSEVSINQSNKKKARQSEGAVSVDGKKERGTYCHICRRKCEPGHSLRCSNTKKK GSTGRPCHLSYCDRDLTVRYGISPEKINSIRRTNKHSSSSIDGPSGSSIDNGYEWECP CCRDDCQSSNCRKKKGLEPLGNLTKAAKASGHTSLTSFPKVSGHLTSTSSPHKATSTL HKAPDSDDESVLSELEAEAPKKKRGRPSKTNGVFPGKKGESRSPTKSSSASPKKNAKL SKVNGASKRDSVATSASTDLTDIDSDNAAEQLKKKGRPSKLNGESQSISGTPEIKKSK STSLVSTPKNKTPKGTKKDSESKSEPGPKPKNTPVVEIQKNKNKKQSKESGKSTVKQD AKGQRSTPKKKVKDEKKVKPKPVKKPEVIPDAPVFEKVATKFGREEAEQRIMLREYLF RFRAVLSFPERALFPIDDFDRPLTEASVRLFAGAMVDMIKDELQGSDNEELVDTLFNI REELRYYADLARFQSIYNMLSEPLNLRLPPPIVDERSEANNSALRAILDLGDDQAPPA WAAELTAGPSRRTAASRIPPPAEVVRMLLAFAERTLSTPKIRSDMEYFVPENDMRRKH ASAVKKEMSTIETKKKKLNEARLRCKTAADTKAHKEEYDKEMKDHLLRLALINVNLEA QLARRTLRHEPLGIDLDGRIYYALAPREIDDDVRPPLGWASGLLVWGIGVEGKTGGET DLPVSVERWSHFGKSDDVKLLIQWVEWRFKKHVESLKPAKSAKSPAKPKTPAKNPLST PSINNVNSTKKTPGSKMKQKTLLEVVIPTSAKRVGSAESSLSSLSTAAQALGDADASS TSSGLTPPPQSNKDELLALVDPEGYTPSIETIEENGNKLVSNLRQVHRWLEVLEWKGF GEIA I203_04160 MTSSKQRREGRWITTLPVILASFVAAAPLPNDPSTPAITSPTSY VAFTDVGTTRYTSAPPTIITATPTALVDSSDTGLPDSSWNSYPTAAPAQVEGYSEEPS VVPVIIQPGDTSNDAYALSPTQTASWVLPPMFSDMSPFQVSSYAAGKHNMAILQGSPA TVNTTDSSNPDGSTPAEPWDPSQNALQILYPSGSINPGNKPQGGAEFYAAPLDITRAT NASLEYSVYFPPDFDFVKGGKLPGLYGGHKGCSGGNAAEDCFSTRLMWRAGGQGELYL YAPKDKQTPSLCQTPPKSICDAAYGLSIGRGAWKFALGDWTTVRQDVWLNTPGQNDGG FNIWVNGQLILSANDVRYRENGDSCIQGEDDGVSTAMINTIGFGQGGIIDNSTVSAST LDEDWQVSEEMVNTSTSTITSTSTSTTMISTVTVTATMPPISTYYTPYTPSSTITSPA SASTAPPSRSALISASPSTGLRKRDDPSSQVDAPSMTEHAVVITIPITDAITTVTAAA TPTTAFITVPTTNTITSEVPVTITPSASVDTIFNTVYITQPNTITVASSSSSSSDGGP SPSPSVNLSDKSIVIHPEPVVAQQAYEAQSQGQTLQPVILKSPPGQVMKSPDEMKNHI QSQTPCGVGFIGLFFSTFFGGHTSDWASPKDQYTYYRDFKMWINS I203_04161 MRNMFFTKRGESSRQYWRPQPQQPPPPTSPERQQYAAAIAAEVG NRDEKWDIYYHSFLLHTCRHSQCYWYRNTWWFPEPGRNIHTLSMFSASRYPPIEDIKY YDMHLPNGTRLENVMFRYIGPDTPELGWLGDYVGYNLNLVTFDMKPI I203_04162 MRRRLVYLLVHSLHVVATQAQVDQNNSAIPTLSNSNGSSSTSAS SHPPTVSHVADTEITNLDPWYDFRKLTDSCSLEDAVTQIDQNTATDTVDPSVKTSTTT VTEVTETAEPFISFEDWKKIKQAEDEEREYEEEADMAGSSVTSKSSSTAEAAHPPTDV IPGQTTSNDSSRSSESPMVSSSKSKGKNDQNTSSSSQSQSQQPSASPPPAPHHNRYNY ASPDCSARIHSSSPQTQHASSLLHKSRDRYMLTPCKAKEHWVVVELCDEIRIEAVEVA IWEFFSGVVREVRVSVGGEDDEEFEDDPADDVTGRSVKWKEVGSFVGKNVRGVQTFTL SQPTSFHRFIRLDFPTFYGTEYYCPVSQLKVYGMNQMEAFKWEQKRLSAASKGKNEAK EKEAEERRVKEREEREQKEKNEKQKQQEREKELDALERLLHEQAGRVVPDILSETAIL SKLEETSVTKSQSTQAPSSSSAQTVSSGIDTSVATTTSDGVTSEAKLPANTSETPLTT QPASTVSSQLPSVSSSSSSPSSTYTRNPPPRSDSSESIYAFIIRRLNDLEGNSTLVAR YIEEQAKVMRHMLTRVEKGWDDWKGDWEGEDRGRWEQERMRQEDRLGKVISQLEQQRS AFEKERKTLQSQMRGLAEELGYERRRGLAQLFVMFIIIILGVISRSSTINAVLQPLLA EAKRRRSIYGRKSFSGPLTGLRIDMGAGRPPAVIGQGRPKSPSDINDARQDSHSPMSP TPTPTSGKIRLLGKNNSISKRPGTPNSSSSAVRHRRFPPGVITNFRSVSATDSHQLSS TSPVHSGSGGYSNSSFSNLTSPKPRGSLSSSVAKQNGNNHGSSRKLARSSHLHMIDSD RRKVKSPRSPGPGQSQVQSPISINDQGNFETPKKGRSSQVFHNGIVLSSSPSANEVSP FTVNSSSKMPDQPSVGAGMEDGPSDWGTDVETEGSVSEVEYEIETSNKIEGNTSSSDT VKTTVDEMVKELSDIWNPPLPNTKKTN I203_04163 MEGEPIPTPIPIPINSALTSSTSTTSPILLDTLVLLVLRIIYFF LSRRFLLAAVNPRLRNISQPEVLLPSTSASNASRRESRVDGGVGMGSIAESDLDTEDE ALLGSITPTSPYPGSPIRKDSSLPGGGKDYFPRAEPYVNPSGPSSPSPSTSMLPSIPR EDNIELQQLGLKLKEAGSGVSKKVLQLTHGSKNTSSISSLQQGTKATKKATRGLHRFS RMLFGVCFAESCNLLTLVIFHAVGILHSRSRRVNFSISLHVILAIILLVVPLVQCLLL TYRSRESSSASTKPSRSTSLSFTSRLLISLIPFTLYIFLFTRIPPYITAIPISPAPVS PTPVLEVDDPSSTASTIDEAIVQWSTSGPEGWEQGGWLAPSLGRVVVLGVLVLGSLSG FGAVRSAWNFFEHAIGAGSRSLTDNDILQAERSLYRVRHDLVTKREEIARVEATSGTN TPARGGWMGRMFGSQNQEAISLQAELRGLKTMEHQVSKSLKAMKLRKKHQDFGQTFRG QLYNLFGYVFAIYCAARLIMCLPSLFFAPLTSSQASSGSPQEGKGNTNGDWISFLLAL AISELPAGSIDIDVPSWSRSISIILTGVLILSSLAQVMRSLNKVLRLTSKTIGAGFLL LSLGQLFATYVISLLVQLRTSLPPAPLEETNLSTHLDPAQTDHSLLSTLPDFRVFGRL FDITFLFAALGTALYRYIAMKVNGADDVGEIYRL I203_04164 MKTLPQYILTLIGLTSILTLAKTYQSAITREITVKNSCKTTIWP GLYTGNDTTIPNQITGWQLQPKQSTSFQVPGNWTAGRIWARTGCTIDERGLFVCLTGS CGNGTATDAVCLTTNDPPATLAEFTVSFDKEDNYDISLVDGYNVPLNIYPSDQNCLSP VCEGNVNKYCPPLLRTGLDKNGVNLGCMAPCNAGFGDELYGNRACCTGAYGNDSMLCE SCGMDYYDMFKDNCEFSYAYAYDEKSDTALHTCPATSLAGYTIEFCPNDSDFIGEIEP DPKYLSSTASCSNIATSWTTTFPIRPSPTQVLTSGTLDAVATVATGVDGAAAIQVNIS SSTGTASRTASSAATSNGQMVSIPTGTGGVTPGLSVVQHMVSSPGDSVDGVNANEVAS TTSSGSGVGPSSASGESTGEENSASAQSTTSASSMTSFSMTSTMQTEGSSPSDSIASD TTSSLESSSTIPDINAIETSSSTASPQSTLTRSHLVTITTTLPGGSSTLLSYAPTQGP GGHTSAFTVIDGNTLYQVMNGGAGGAGSKTCRAPQATATATGPVGQWLRAVPKGHTDQ KKVSCCL I203_04165 MADATKYGNAIEFDVDLHSIYAAYLGLFESSNTDWWDKSWGGYF TTFNDFLGFGWEVMVVVDSGTGKPHIAVRREQIALFAKMIRTRFGESLPKDPPTTLPL DPVPTRNLSLRRLIIIRDLTTYRKLAQTLPAAELSYLRTRVRSGEVGVAEQLFYAGGN SGERDTGGVGVRDNGVGYTFACVKTTWWEKGGSPYGLVPGVGRTQGGKSAQSGKGLIL EIGVATLRCANLRAVNVWPPIPDENYRKSHYIVEEWVDKRANVNPPNHPRAYAFGTSR FVAEKDVEKILDANAAALASQEADSHPNTLVLLTLGDPQPLPLPASSTLPSNILHLDV FALELNLLRRAQSQGLPGIPDRHHPLTSLGALLQTLQIPIAPFAPLGNAGNEAYYTLL AFQKLMMGETRLPEMLFRQPKPYMNGMPYPSYSSFPSSGSMQFAPPYSPLPMPVMPAA GRSRRDSSTSGRRSEFAPPSFPSSPTGSYSRRASDQPRPRPASMGDALAGTGMASAPG TPGRPSPREFESGSGSAPNTVRAERRSMARSQTVFWDESSYAQSPPPDNRQRDGSTSS FKEPIRPNMTGNSSGSEGPRGRSTNHNNSNGQLPPSALCSGITPSGSSRSISWEGTAS GSNTNGKGSRASSVHGLPISNSNGNSRLSGMRVSGNSSTNLAKSGGGAKGGSEGTGSS TKLSTDSSGENKSRQQPPQTTRKGSKPPPGGGLSEDDTSNNHKKAKEKEKGSGGGNGK SKMKSEKSVKDLAGALARFWVG I203_04166 MSLHRYFVPPPLALAVHPAPPPKPKPTDKKTENKKEKDAKDDKD IDKPKDEVKEKVNKDEEQKRKEREGPATPVTPGSMVPEPATPTPGDGDGGADTPQADD KAVVENKGRAEKGEDPQPADEKKDSPDKNKSKSTQIKDLTPDPEPEPASPEESEKPLE PIRLLPPAPFRPIRTKLDINPLKPYPPIPSDPRGAYHAYAKAVGNEVIYVDVTKDGWL TEQWKERSEREALKRLTGEWQRDLKRELEKQRESNKVRELPKTAEGILLELWNVLVEA DNHEISVDEFWSKFDWTKESAKVQKNDQSTTETKDKSDSGDNSSVEKDKQEEEVEWTK EGVEEILATIGVQCVYNVEKPSRHWSHPAGGYLLLSHNYFLLRTDMHINFKPEEKAGK FEVLVTSGHDRVFGEMVKAQREKEYRERKEREKKDKLAKENENENAKHNDKDEKDDGE KGKKVEGDDKQKSDGKDRKDETPAPGTPVSNIKLLDGGVIVPAAGDAVPLGDKKDDKI IIAIPVDGKADPKDVRKDEKHEAEAAIVPGPKLAPEEERKGNEKRPLGMDDIAKAFKA LESSASKAVDLKDKEKEKEKVKLIWTWSERCEMWRWKNYEVGLHNVGPGGWEERDWKV FADGREVWDFDDDEAIIEVEEKDVHDWSL I203_04167 MFIVRRTRIPRQSSSIYLPTYLNVPTASFTSSPLSSPSASSSKQ PYTPRPYNSQSDVKGKGRAIDHDHQTPQVISSGIFSNNPRHSTSHSSTLTSIYTHRRC FHATHRRDAIPLLPASIAILKGTSILTAATAFSRIIISFFPIGTLAAFKMAHAGKWLE KDVVEPKVSEEATEFWKMWCEDEKWISLSKEDAEDFLDSDYDETLGGGMIGKKGQIAY PVPFPYSRFGRGGRHRSFSSSNMTSMSPKHMRECARNDDRKVINWIRKGNFFIPPLHP ASKVSWEELTASQQGEVEQLRRYWLGLKVFKHWYRISRWFIGIVFGLPFLVLISVYLA GLERVPLTGRWRLILLTPEEEDTISNSLSGSNWYKSVINLLTTAESPAPPIIPPNDWR WNWVQSTLSKLENAILVDCHTLTEDQKVVLRSQLNLQQANQGDQPIIAIPPPAYHPIK PRPRVSSRLHSVLPGEKSNSGQEHLEIGPPYNLMLMEKNEENAFSYGFGGKRAGGIVV FTGLLDMILRPKSNTSTQSQIQSQVDLQPISNTPSRGFFSSLFSSPKAAPSNRTPPQP TEEQTLQLACVLAHEMGHLLLSHHLETLSQQQVLWPSVLGLSMDLVRAFIWPFTWFLG PTVNDALANVGRTSTEELADKYGEIGFQYIHEYEADLAGLRILALAGYDPHQALSYFS TSVADLHEIQPIDKSKKDNSWTGSMFKLWTRATHPTPEKRLEAIRDELTRWEKEAEKM KMEEEQGKKGK I203_04168 MALKQHAHLLSLVRSMIPPLSPKLHKGQAGTIGVLGGSGDYSGA PYFSSMGAMRFGADLAHVICEPGAGAVIKTYSPDLIVHGVLDESKSMDQIKEELKGIL ARLHVLIVGPGLGRSEHMQNCAKVAFEIAKENDQMGVVVDADGLWLVQNEPQVVMDWP GVPRIVLTPNVMEFKRLCEKLQIDPNSSPETLCPKLASALGNVTIIQKGSVDIISNGL KIPPPLKAEGSSTQGKDDILESDTEGGLKRVGGQGDILSGSTGVLLAWGSEWVRGTYK DVGHPPPSDKGIAENIPLLAAYGASTFNRTVSKRGFEKKGRSMVTGDLVDLVGPVYEE LFGKPGEGEGKGKL I203_04169 MPRSPSPRRSRSRSPPPRHHPKKPKELSFYKKSSSSVGSFSQRR DPLDDEPTARERAERRERGEVPQRFGGTREQGVRNSMGNVSGGVQKSMGSLGRKEAPL DRKGVKGDNRRDRDRYRDRRDDRGDYRRDDRDMDRRDRHRDDDRRYRDRDREDRRDRD GHRDGRRDREQRREPPSGPSGGPPARPPAAAPSAPSAASMRFIEVIANDRMGRKVRVK CLPTDTVGDLKKLIAAQTGTTAQKIQLKKWYTNFKDHVSLQDYEINDGMVSEIFIR I203_04170 MEGLMSQGSSPSRASTSQAGPSSRPSKSSSSKRPREDAELVKQE EDDDPPLPEMDEHFVTFRTDVVGVQYYRGLVGRGEYVMLRRQPENQYDSNAVQVINAS GTQVGHIPRTVAARLAELMDMSAITVEGRMVGQNLDGAKHYKMGMDLSIYAKPSLKEV LKTELSWALHETAVQKPPPTASVSVSGFRGHGNSGVGLPAGDEPAMKKLLEGLKQVGE DQKQADNVMDSLTSDIDVSKLPVHLDPPGIANGQLNTDILPHQSQALKWMIARENPTL PKSPGDKQVQFWVKQKGDHKDYFLNVATKTPQYDEPTLGKGGIIADGMGLGKTLTMLS LVLATKKDKGPTLIACPLSVLSNWEKQIGDHVAHGQMTLYTYHGASKEITAKSLEQYD VTITTYNAIAAEAPIDPPKNGSPSKGKKVKTSAAGAGPLFKVKWKRIVADEGHVLRNP RAKMTQGFAALQAERRWICTGTPIVNSPSDLGSLLTCLKICKPLDQPDYFKTLLLRPL KRGDPTAARLLQALIGEVLLRRTKDSRDSNGKKLIELPGIEYFRVGVKLDEDTRKVYD EILEGSRQRFQEAMETGQGTANVLSMLTRMRQLCLSAQLVPQSFLDDLRRPVPKAPAG PAISISSLTPEKKEELIAKLRQCVEEDTECGVCYDEAEFAKRPCITDCGHAFCYPCIE RVLLSAPLCPMDRHPIGLPSILELPPDDTPDYVDPLNSSQADKSLLPVKSAKIDELVK YLKVFPGDEKTLVFSQFTSFLDQVALRLKEEGMSWTRFDGRMNASKRQQVISEFQRPI TPKNAKSNPRVMLISLKSGAVGLNLTAASNVFLCDPWWQSAIEAQAIDRVHRMGQKKE VRVFQLIAEDTVESAVLDIQKRKDALVAKAFEKSSKESKMTKKEARFEELKELLGVS I203_04171 MSLTRAPSQLPQAFQLVRQILSESTATQGLTTKELVKEALKIYQ SENPNHDVTPSQASSSTVTESSTKGKGKGKGKGNNVLSAGKKEKGVNVIPEGHPFVST SFLKSQILARLSSQSLLIKTPSHPSSSSSGSSGKSTFVWRLNNPKQSNLSIPSWDYPS HWDSLISGEKTPGETYYEYKQNQLERREEEKQRALDSGRVLRTERQIWEWDGRKDGLT TNMERSHLNKRRREKRPLKERRNLLAYERLIGVNGNEERMEKQVL I203_04172 MHLLGHNLPDHKPLKIALLTFYGLSHPLASRLLARLSIHSEALV SDLTEPQLTSLSAYLSSPSTTAKVRETQSNITLSPPGGKPLPIPGNKSIVTTTQNNKG KGKERDDPLDELRLETEARRGMQADIAHLRMVGTYRGKRHAAGYPVRGQRTQTNASTA KKHNRVERRGFATYSIRPSFGITEIPHPPSRILKEIAVSRFV I203_04173 MADPTAFYEPTEDELLSSLAVPVQSYNPESDADEYRRLQELEQH AYAQQQLMVAEQEQEQLQALEQVPEDVKRFLVLFHQAILENDLPTITNMYESGWNKLT QAHYSQNEWPEAELISPLVGNDQVFLTLYRELYFRHVYAKLQPTIDDRFQSYENIYSD GPVPLDLPIQWLWDMLDEFVYQFSNFSTWRANPKNKNEEELEALAEAQNIWSSYSVLN VLYSLVQKSQINEQLRAEKQGKTPEEVQELAGEYGSKPLYRNLGYFSLICLLRVHVLL GDPTLALQTMENVDLSGGAFLTRITACHVTTYYHVGCAYMALGRWPDAIKTFISVLIF FIRMKQYHTRSYQYGSITKQCERMYALLAICTTLSPGPSDESIMSIVKEHYADQLSVL QRGGDEALETFKDLFLSASPKYLNVNPPPYEDPSALESYLANPPIDATQRHLDLFLSD VVAVRGVSNIRNLLKLYTSIDASKLVTFSEGETEEEEILQQLMVLKAASRTYAKGQQQ DTLLDGERIVTNNLDFTIDGSMVHVEETTSHRRYAGFFIRNAEHAQRVFNTIKSSPLP IQRKPTTSVTQTTTDNKNEPKKSGAWQPKRARVAAQ I203_04174 MRVIRPVIRNLPNREIAPKINATRLGPAVGCSYWRYRTFATRPH PDKQKDEIDDGDLFGDSGDGTSNSVRDFDQEDRNADLLGLEESITEPSLCSFHMDHLT ESTSSKDQLLHRPKLPTSIKRLNRILSRQRAVEIPEHELDEKFVRGRGPGGQAINKTN SSVSLTHIPTGIRVQAQPTRSREENRKVARKILGERLEVLRTTGQLPGYDIAGVIVDK PQDEGLMRSKKETKKFEEKVLSGAYTKKEVKEEKLRIRKLNKQKKAKRKYGKKGEDEV EGEEESDFEIDNEGVVVDVVDNSTRV I203_04175 MSLLPPEDEGDGVEVAWEDQQRINTFSKLNNRLTDIQDLLKQKN EEKEYYDDLSMELELADSDSEPILYKLGDSFFHLSLRDARKQLKSDLKRYESEIEGLE SKKNDCESGMKDLKVQLYAKFGKQINLETGP I203_04176 MSRIPVPNGGLHHPLPHSTYEAASNPNYSPQPNHNQPRTSMNTH SPAADTRKKTNKRDETTLSSASSLAPAANKFTMSRPQSQSGYDVAPPTTTQIPLDTPP SSIRSTNSSTTMERPPIPHSSSVPFPAPSHSITAADIMAVPPSPVSKPVPPKPHRSAS NPFKHKSKSTPFPSSSVPTSGTATPTHLPPTPESETNDIPQRPRYHSTLSRSQSTGFA GKLQSLRKKIENELSRKRPGSGAPSAQSSGRRSTNKKFQKGTVAGLRPSPALTVPEGM SVADASQLCAAKRADCVLVVDDEEGLSGIFTAKDLAFRVTAEGLDPRLTTVAQIMTRN PMVTRDTTSATEALQLMVSKGFRHLPVCNEDGDVVGLLDITKVFHEALAKVERGSSAT SQLHAALAGVQSELGPGLSTNPQAAAMMAYVDALKERMALPDLTTVIDARTTPATVTP RTSVREAAKLMKERRTTAVCVMETNPGTSAISGVSGGNNIPKIAGIFTSKDIVLRVIA AGLDASRCSVVRVMTPHPDTAPPTMVVQDALKKMHNGHYLNLPVVETDGRLIGIVDVL KLTYATLEQIESMSEDRSNETGPMWGKFFEALPGAGGDDDSVSVVSASDRPDTPSRPS HGRGLSSMTSPISEVMPNDSASAVDDNASEFEKRGAASSVAPNAVPVDDGTYVFKFRT PSGRTHRFQARHDSYELLRDIVAGKLLTDPFFTAEGAKEGQEVHLPDPSNFALHYTDD EGDLVTMTADGDVADAVRIARGQKSDRVVLLVDGGKVWEEFARDLGGEKAVEELKEVE KDIKAVEESEKKMEAPSADPTSEPTYGQEGVVHSKSAPAPIPTKLTAPGSGELIAGVL PKELALPAAIGFLGVVILGVFVMGKSK I203_04177 MRSNTLFTLLSLLVSVQGSVIAPRQDRSGNGNGTETSISPSDTE TGQTTSARSTGISQSATTTYISSVTSSRSSAILSSTSTATKAESKSSQSRAPMTTATA GSDSGSDTSSTSVDVGSGTTDLGWIPTSAIPSPPPLATTDKNVINATKTTSTGGTPTP IAFDDYSDQTLEQFWDDYVGPVQEPPFRITPLPPQPYPLPEDPPTLFPDYLFTCPEKV LTQYQFPEGFLFGWATAAQQWEGAVKDGGKGPTIWDWASRFPGFIADNTTSDVGDLGY YLYKQDIARLSALGGNVYSFSLFWTRIYPFGSKDSPINEEGVQFYRDLINYCWDQGVE PVVTLFHWDTPLALQLKYGGFASEDIIDDYVNYAETVFRQYNGTVHKWITFNEPVVFC SQQTFSGGLNTTVYPYTCSYHLTLAHAKTVQRFRELNIQGQIAFKSDNFFGVPWRDGN QDDIRAVERHGAYQIGIFAEPIYNTGDWPELIKDDLPPEILPRFTDEQKALIKGTADF FAIDGYRVGYVTAPPAGFEACIANISDPLWPACNQVNFYVPTPYEWGPADFADQRVTW LQNTWKYLRSFLKGLLEQYPTSGGIYLTEFGFAEPVEDEQLYKYRVTTDTKRELYFLS YLGEVLKAIHEDGTDVRGTFAWSLVDNVSTFEWNSGFAVRFGVQHVDYNSPTLERTFK RSAIAMSKFWNSHRSG I203_04178 MAGPGRHIEGWSSEAPPQLSTLKIVSPALVQSKSGGLSNVPPIP NRLQVYNTPHFHPSRYQNMHHQPNHPHQGQVQIPRSIPQPHSNIQSPRPQKLPESFKE SLLIVDDDPNPPKGITADGNWKSRQVKIQDPSLKSINRGRAPPIPYVAPHTTSLLPKN AHSNMGLRTLPPRPHNFQPGNSSYHSSSSFIHHPRTGPVQALSLRNIPNFNRPSETRH FISFSAANKSPSINLNLDRPLFSRTYLSAPATPRHTAGPAFQTGSINLDYLQYLQLSR LAIDNQIVFRVHSQSSVSPLIWSGDMKTSGFFATASVFQRLTPKSYEALFRSYQPDGR KDDEGWLTRPLMREVMIGHILCRPSKRFITVRLNSISDISQMAKESGREGEREDYWIS TTRSVDWAIFEIARRLSMYLISGGREGNKEVRLAVLNKNSNLSSGNGDVKEDKVRERT VNPYLCLRWHESNILSPSKLEASIRARQRAKESYEILYWGRIFGENIQQDLVFSTDYL PFKLPSKFWKPPSAINPSLPGWLGRLRWNPIKDDWHSAVRCLRDKIYPTTKWDKQTVH DLGSTWPPTTTSPDATRHGSQKDAIGANSEPKLKVVSDTGADTDQFGSSRSGEVSLGR SQDKGSKEAVVDFMA I203_04179 MSQSKTDVVIVGCGIYGMSTALWMLQSGKYNVTMLDKCGILPAP DAASTDLNKIVRTADYADASYAELGLDAVENFWRKPEWEGTYHESGVIALAAETEKEG LEFVSSAYQNCKNLGINVTLLNNSKDIKSKFDPEIRTGDFGGRQGYLNPIGGWAEAGR ALEVGLKRVRQLGGHVRSGAEVTGLIKDGRNVKGVTLKSGEDVRGDLVVIAAGAWTPA LCALPGVSARLPDVVATGQSVAVIQLTPEEAKQYASVPVVFNLDDGWYIFPPNPEGLV KMAIHGAGVLNPQSNGVSIPRTKLTAGAEDGAIPLSSLKGLRDGLRQVYPELAKKDYL TTRLCWYCDTVTGDWLIDYHPDFDNLVIASGDSGHGFKFTPNIGREILKVIEKNPSPQ YAEKWSFGYTAKAIKEEGSKAAVMDVSKEEAEMAKAGADVRAGKRKVLKESDLVKPHD LKANNSKL I203_04180 MPTRPPAQPLPSSSSSSIQHPHYIAPELYQLFANISFHIIPAKL EEDLGRIYGLVDQLGGQCVRVGECKFVISAVKGKPRLLRVLGEMYDKVPILTPEYIYD AYISALEYAAQGDTALRPPKLPDRSKYVVSPGPKPIKSITTFPALMGIDDDEDIKPAS KRRRLSPDVDVKPKPEVDLVDMTLFEEDVRFEDIPSLCVHRGSPLKCVNQDIVDAIHP IIEEREFEEAQQKNSNVLSYRRSLSVAVPRRIKSGKEAMKLSGVGDKVAQRIDEYLQT GKIQESTSIISSSRFKALNLFASVYTIGHHKAKKLYDKYNCRNLQDVKNHFEAIEEDS PEVRLKDKLRRRKRGGMKQVEIVEEWIRLKDEIDQKWEVEEIAECVMEHLEAYIPGCE YTICGGYYHSYRRGKTESNDVDIVFRPPGINLDIGLLKDLYLRLSELGIITHVLHVTH RDLNTPIHASSTNFDNLDKAFVIFKLPGPGRLHRRVDLISAPFDRYASAILSWSGSMM FERDLKRGYKFRAGLLHVTTGQEVNLETERDIFNFLGLRYVAPELRNAD I203_04181 MSSSSSSSSSTNPYAGLISQNITTVPSFTLESGVRLADVPVAYK TWGKLNENGDNCLVICHALTGSADVEDWWGPLLGPDRAFDPTRFFIFCANVIGSPYGT ISSVTTNPETGKPFGPEMPGSSVKDDVRLHYIILKSLGVQSVAAVIGGSMGGMTCLEW PLNSPPGFVKAIVPLATSARHSAWCISWGEAQRQSIYSDPDYKDGYYFEQDNSTVDLT KQPTRGLAAARMAALLTYRSRDSFESRFGRRSGNNSTNKSKVPKGGVRIMGGKKTTDP SSPSDSDVKLHNEETPREIAWREHNDGHRSSSNLGSRRNSDSGKSSTSTSNGVALGSA AALKDGKVGGNGTGTGTGGDKQPKIFSAQSYLRYQGDKFTGRFDANCYIHITRKLDTH DLSQPSTDSSLESLSSKLPPHSSEGEPPSDIELEKLLSDALSLEPPALVIGIESDGLF TTSEQKEIAAYIPDAELVLIPSPDGHDGFLLEFEAINGWIDGFLKRKMPNFFQDRVIP LEEYGKDKNGDGFGVKKESVFGEAEADVTRW I203_04182 MNQTPQITSDLLSNPPPELQRILDDPRTTDEARQAVKEVSVVSP PPTHNGLVATQKEKEQTSDGVNNVGEGRLQIVNEHQEFTNELSPYLSKWGLLDKGFAY DVVSVFGSQSTGKSTLLNRLFGTSFDVMDESRRQQTTKGIWMCPSAYGNTLVMDVEGT DGRERGEDQDFERKSALFSLASTEVLIVNLWEHQIGLYNGANMGLLKTVFEVNLGLFG GGGDSSKPKPQEKTMILFVIRDHVGSTPVSNLTATLTQDMEKIWASLSKPQHLADATL SSYFDLSFATLPHKVLMPEKFEEEVLELRKRFTDRSRPDYVFQPSYHKRIPADGVGFY MEGIWQQVLTNKDLDLPTQQELLAQFRCDEISTSATETFLASSKVVRKPIEAGQVVEG LGVLMKDWLDTALGKFDRDASRYHAGVYQRKRLDLLSSLHSNLSSLFLGQLKNLHKIE LNNFTKNLSKGTKEVNYDFAKIVTQAQQQAKQNFLTSAKEVVVDGTDWEYTSELELLE DDLKNIADRYRKDETKKMVNTIERNVKRQLLEPVEVALSQASPKMWDTVLTTYKEVSE DAEGSYLSKAKSYNCSDEENEGALASLRARTWLSLRRKLEEQTSDATILATLRTTFEE RFRYDEAGVPRVWKPEDDIESAFTKAKEETLKLLPVFSQITPTTSSLLPSLPSPEVTF DIESDPIPFDPSTAFVLLSPTKLLSLETRFKREADAAYVEAKRSMVSSVAQVPLWMYG ILVVLGWNEAMAVLFNPLYFAMLLVLGASAYIILQLGLAGPLLQVTRTVLNEIKRIAT DKLREAFKEVPEAQRVLNSPYLANANNTSVDGLRSEEREKGELLKEKFVEK I203_04183 MAQSAEDEFSDIVKISPPSSSASLLSYDSVSVGENNDNHNDSEI KSKYMSSTKDETDVKPIIPVSPSKHVNDRKPPHVTFEPVPITPSKKEHKQPVSAVEEE GKHGVVEDTVRRVKQQSDKLHSIAQPYADKTRYFAESKPVLFTFIALWIGFSAIPMLI FLGFALASTVFILSTALIFSAVVILGAILMAAATIIGTILFGASILTPILFLTTFLSS CTLITLLCLFLIHRLYLHIQLSTTETSEGYSLSAIGSGIKSWMDETIQRIILSLPFTR SHSESDESAWFERNSKLGKVNVGRKWSPVELANHQPTSKHSHNQGDHKVKDGDAKVTT NRDRNQMDTLHDNESIISEAASSGSSSSSLDTPSTGSRSTFKDIKDVPAFDRHLTKE I203_04184 MSLPPPGGGASASSYGIPGRNASNTISRGSASYGNIGLGYSNNS NEYDPTLGGLVDEPGKIDNGGTGTVTNNGGYAYSTTLRRQASVTDGFPPFHHSPRIPT SSLRRDSSSHVHAASPYRSQHNFPLTNGGLDYREPIDQEEEGFIGRLIGVGKRIMGKK DYEQLKMEEEDKRIQTERRQRETPSAIFAHKTIDETIQQLSTHPTQGLSSSSLSALLA RYGPNEFELPPTDPLFLKFAKQVYENPLILLLLGSSVVSALMGNYDDAACVVVAVGIV LTVGFVQEQRSEKSLEALNKLVPHYCHLIRNGHPLTPLANALVPGDLVNFSVGDRIPA DIRLITAVSLEIDESALTGETRPARKNTDICERGEGEDTHGEGGGKALGERHCMAFMG TLVRSGHGSGIVVGTGKDTEFGVIFSMMQDVEEKRTPLQLDMDDLAKRLSLFSFGVIG VIFLIGVLQNRDWLEMFTIGVSLAVAAIPEGLPIVTTVTLALGVLRMSKRKAIVKKLP SVEALGSVSVICSDKTGTLTKNEMTVTHMYAVDDLVDLSPLLNVTSPFGPKRPDQPEL ARSQALKKTAVVGSICNNAFKNEQGINVGQATEVALLNVLPVIGADDQRRNFTRKSEI PFSSETKIMSVTGSLNAGSDMIYLKGAVEQVLSKCRYFYVTDSSTPSLDSATQRTILD RANEVSARGLRVIAMAYGFPKGEGNDLIFVGFQAMMDPPRKGVSHAVSALHNAGVQVV MITGDAEPTALAIAKQLGLKVNPSSSSGSPINPVAGASSCMLGTQVDQLSERELIERV PSISVYARTTPRHKMAIVKAWQMRGAVVAMTGDGVNDSPALKMADIGISMGKSGTDVA KEAADVILVDDDFSSILPAVEEGKSIFYNIQNFLSFQLSTAVAALSLITLSTFFKLAN PLNAMQILFINILMDGPPAQALGVDPVDKEIMRRPPRKKGDHILSKRLIGRVAFSATM IVLGTLYIYLREISDGSMSKRDQTMTFTGFVFLDLVSALQNRGLTCTIFKNKMLFLTI SISFFVQLLLIYLPILQHIFQTEALSLRDLFTLLGLGVTSASLHEVRRWYERKGVERG LIAEGGGGRLV I203_04185 MPPLTSISAKSNTKTDSSRAPSGLIQQGVNSLQALLFDWKWYWP FVILLLIGEALLGVLIIWKIPYTKIDWPAYMQQVEMFLDGERDYSKIEGETGPLVYPA LHLYIYTLFYKFLPSIESIRPAQYMFLGFYLITLLLVSTVYYLSGSNNGNTRNKHYPQ ILLIPLCLSKRLHSIFLLRLFNDPIAMMIFYGSVVAMMKGGKTGWRIGSTLYSLALGV KMNILLFLPGLLVVLFQYRGIYGTIESILAITIIQLLLPAPYFLSSTYLSKAYFTSAF DFSRQFLYEWTVNWRFIDEKMFLSRERATLLLAGHLGVVILFASFKWSPIPGGTLTVL KNGLNQWSRPAIGTGQLPSYHIPLTLFISNLIGILFARSLHYQFQSWYFHQLPFLLYS GGAWNSLPLGITIWLMIEYAWEITPATPLSSGLLVLGHVLILGGLFYRRSGVSNQGKA EKKSQ I203_04186 MSDRPLHPHESTPGHSPDIEMASPTDHPSGLPSHPFTPPHQNTT ALYGGQSPEIYTSPQPGGGSSAGSSSAPPQGTPSNLGKRNFSALTPRESEEHKRILTV PEAGLGLGLELGNPSSSGNNPSPSSTSDIDPPDRQGEDVASNLLNQQIHSTNPEIVED ERGSYAAPTTPNPTPARGSEQSGSMDMDVNVGVGQPPAELVEAMTRTKRLQRRIPTDP LPVNFKPEVVPSNLDLTPDEWKNVRFESIKADFRKTSLPKWREPSGTHRVMAPSGDLA WVDPFASPTLPRPLIPELRIPPDFGIDDFNAARIGLNFMEMLADDLKSGEMTLLDLDW QVRRHVFQCIMMQERGKARTLFPTSLDRTILPARQFEGVDLDETAAYTFRRPLQWARP LLHVAFELLAAQSSFKDTMRLYGFECGRVFHCDPALSLEPHIISLITTQPHTTEFGNL YITVSEMISAGGNSDVYRGTLHTYAHGEQKTNIDAEDRDVVIKVICPQAFQDDPRWVA KKATTREAKQSMINEITMYNNHAAALQGEVIPRYYGLWEWKGQLRKSDVGDREDMTEK SYRVFIEVLEDVGISMNQRFGWPENTNPEFIRIEHKMEILAAYAQLHKIKIHHGFIGP EVIRFNPRIGYPSIDSGIRLIDFTHSLVVRYSSHCRDELDHLQLLYRITHEELMEFFQ QMRENGESFTYMDGVGRPELDEDLDMA I203_04187 MAIVEEIPSSPNPISNQSSSTRQRKNKSKSKTNKEDPSLAIPLR KPSSSSSSANTKPLIDVDLPENSSIYTLNPGETISPDHLSNNTTEEQEQQEEDGDDEI FNTLILVVPFTFLYLLLDILVHLQYNHRPDKSDLMKGCIVALPTNRHASHWITNSFLI SASLISGCRLIYLVNTASWSVVTAEAPPMGTLWILTIVQLPLSRAVLALGLVGGWIWW KGMKLMP I203_04188 MSQFPNNFNQQAFFGQARPNMNANGNATGSPNPNINTPSPMMGS IRPTALQNFNSPTASPTPNRPHQFNPSQLASLTDFHQRQQALLAVPQAQAQARQQVQA SGQMQNLGQAQPRPNLQAMQQALQQRLQAQQQNALQQFLQSSNQNQSITPAQLQQNGI KSQNPPLPLGHQTATPSTIAPQLPAPPRPISQNPAFNPALLNAAKIAQNTISNSNMNL SVNPASISSPQISKPVVPLTQTFTPPPEGIPPRPPSPFKSDTKATIEKRDEGDKQDGK PEEKDKKKKAPKKKKDKKEDDQSKPDEGKDKDKTDSNAATSTEKPVKPKKPRTEEEKA KRAEARRRKVAADKEKAAAAAAAASSAQTGDGDAAVFSATSTSANKESTSANEVKAIQ KDDGAKEREKDKEGQKVVDSRAAEPMTISSVPATAPETRSRRQEGMRGSMRNEIARLM YGAGDVPEPDIDTVDYMEDMVVEFLADLCRPIPPLRPTGTSGSQPLPVPLSFDIIRHR LTNPIYSKYLERFDHMVYMSEVLKQHRRIANPNLNDLVETVGNDYLGLDDDQPNVVSN KRSNQGGEGDERGKKRGRPSTSANPRRPLKDKSEKRKPGPQKGWKLNRVLDPNAPPSS QSRKISGQGQKRKYQRKPGPAPGGGLKREGSMNI I203_04189 MGADGGSIPDRRDLVRTKAQAGQTDKSLLRELYILCALSKKPLS KPVVLDPLGKLYNKDAILEYFLDKSKYGDGEQICGHLKGIKDLITLNLTPNPDYSPPT ATAVSQYTKTPFICPLSLREMSGAIPFLAIKSCGCVFSDAALRGIIPNLTKGITTSTS AQELTPEQAKPVVPVDGKKEVTCPNCGKGFEPSLPTSIIPINPPKEVQDLLLENLLLI RASAKSSKKRKNTEKSTSSVTEVSESLKKVSKISSTTNGSASPIPRMNSPAISGNARS VQDKLAEQEKKRLKAQENMSEAVKSMFKPKDNGGKKSGADDFFGRTFTRVSCLPPHCF WVMK I203_04190 MPPSSPTPYRHSTSLIPSSPLSSTSNPFRRRQRLRSSSGDTIIK GLSNWRRQAKSTSQSTSTAATQHQIRSTHSNENDHVHTSSKKRKSKGSGLGMGMRGTP AKIVKRSSKASIGPTSTPVACTKKRSEGEDTTLDISTRSSTTSSHLLTAEEITSPSIS AFETSPILPLTQRRGNTLSNLSGHTPEDDSLFSPSQRGGSRRDDTLLPAQADLVIPLN DLTSTSSRSTSNVDETTPKAGHRASLSVEVTRSTIQGDGQTLDDNNDDGRWEFTNPLN DLSQFKERRLPRSSSGSSKIKERRSTPRKIPEMDKTPSASDTSTSSDSLVRRRHGATV KRPEEKHSMEVSVETVRGFEREGEAPIVDPQIQSNNDRDIGYPVGIDAGDLPEDESHA IEMTDAPPASPRRTTPAPSFSDGEDDFADTAAKYPSTTTKVQVHVDKTTSTGSTSTGS DSGCDDPYGFEWMEDIVREKTPQPYHLSSGANTPRPTPSPSPISFSTIVSTPPTSRNP SPEVEKGRSAGTVKGIWRGAQVMRRIEGGEIRHIDERDSQEETDEESDDETDSRQRKS KAREKSDIPESTEEAIQARQARIAHYVDLAENYELHVEYVLW I203_04191 MTMTTQAKAGSGSSSRMTHTHPHSATSPLERSASRISNALSRIQ STEAYPPQDRDIGGTSNDESIIIDNNQEGETEEDITASIDDSPSRDKGKAKALIIDIE HVPVEDDPRDWSNGKKWFALLVVTAGLLGPVMAASIYNPVINELTEQLNASEAETGLS LSMYILFQGWTPVVWAMISEPVYLTSFAIYIVALIVASRANSMPLLIVMRALQATGSG AVTALGAGSLADMYEMHERGTKMGLYYGLPMLGPGVAPLLGGALGQAFGWRSVFYFLA AYAGVMMVAFVFFPDSWRRERSRVYQKALSNATKRAEAQMVKKQLRIEKKQRKARLAK PSDQLEDIPDVHTPVESRRPSQEDTMLPTQTVEVDVEKQPGFTHQGEIKVKAKRTWYG KKILKEQNETVKLSFRDLNPLPTMISVVRKPANSVLLTASGILFAAQYTIVYTASITL GDAPYNYNSLIIGLVLLAFGIGNIMASILGGRYSDMVLRRLKKKNGGVMVAEMRLKAT FVAMPFLVLGVLAYAWTAEEKVHIAGIVVCLVISGFALLWIYSSTLAYLVDANPGISS SAVSLNSLFRGVMACIMSQIAVPIRNGIGDGGLYTLFAGLLALSCAGLILLAYKGEKW RSPDHKSSPKKDVGKS I203_04192 MLNFIRPTLMGTALSLPTASSRIAVRNFSGSMVALKKKVIDPTL PVPPKNPPSAYTLFFKQYVLDPSNHVRNSDGKLDMKQVATAAGQAWTNLPSSSKSPYD AEASSLRKEYESAYRKFWDGTTSETRREIESVTGKKLKVPGGKKAYQKSVSERSGNPG KPLTPYLAFTKELRDQNKLDIPSDLTPREAFLYASKEAGRLWKELGEEAQKTYKETYA AAKAKWEEWKVTQKDL I203_04193 MASVLRSFKAISPALRRPIVAQQPLRAFSVSVNRSAGHGPPQLL GPGAKAGEVPTDEAQSTGIERFELLGKLEGVDVFDMKPLEITRLGTIENPIPVYSLYP QRQVGCTGYPADSHDTIWLNLTTENKYARCPECGSVYTLNFQGDEALLDGGDDH I203_04194 MSRPTPRPTYAPDPNGWIDTAPSSSRRMTQRPLISYNHGIATPL SSGYRSARPGPGPTASANIRKRLYEQTPLGQVKDRQGTLNRYLNTPSTMSDKERKLPL SDKDTPVQSHNSVRSGLIFGSKKRNQTDQVAGRGVQGSKLKDRDDFWQDYDTEEDDLQ KTAKSMMASQKRGISQRKVVEKEDHVRLPLISQKRSLKKDEVPTAKISKKTIDRPGTP SLRKEKKFEIVGNVIEPSLPPPAQSTRSHDKSSRSQKYGMTGTPAQSTRSHHNNRSPS STPPRKSPSLPELTPSLSPELETEPTQPRAPSSSPGPFDPLSPPERTPLISKISSPPP PITPIPDWVMNNHRQVNGRNPSQFAAIPSPWRRRDEHPHPPDDEPPQASDGKVKKRKR EISKVEEVDRRVLLAAHEKRHKTPSPGNKEKRLVDLSSSDPPSSEPMLEDEEKKAPSE APSPLRKPSKASGREGRKALTPIKVNALRGTISSSNIGRKPSQRSPITPRRRPRKGPI PVSPLPRTSIMQMQRDQETERERSLSPSPIKTTSKVFPRLSGRSPSPAIGFTNRIDEV EEREQLHAKLNKAENVGRGSEPPHTPRKPSPKRYQLQITPPKARSVRPKLTETQETLF VLPDPPAQPRFATPRRGGRADDGPREWKAAEMVPETLLDWGLEPEYVDTKDGIEAEDN ALPEEEAVQVQDERDRVQNQSQTPEPPLFSPGLKDHSPEHGKDRRPSFGHRWSGKSIE PTALSQDFPRLLASSPTSSRDQEASPAHSTPSLAAGRDQDGTDKAIREDPQSDAMKPK PASSSKWDHLHRGILAAGTSQTPNASQKQNKKRKSRSTTDEKAQQSKLASFGFFNDRP NKRMIRDFERKWEDEEDLDIDIPEDDQVIEAGHEEEKGKGKKLDKVPLPPLHPSLRPA GIKELQRRERDRSRSESRGLLDPGITPNRKNTTTTAAAAMTLSSPEPPLFDMRTSSLT SGTGSSQTSWSKTPGSTREWWDKLGNRRTSEFGTME I203_04195 MSPVATNTPNAPAQPVGGKAKFSTQQIINLEHEYSAHNYHPLPV CFERGEGAHVWDPEGNEYLDFLAAYSAVNQGHCHPDILQTLITQASKLTLSSRAFYSS NLGPFAEKITKMFGFDMVLPMNTGAEAVETAIKLARKWGYEKKGIKEGKAKVLSVEGN FHGRTIGIISMSTDPESRNGFGPFLDNVGPQWDTGLIRYNHPEDLERTLEKYGDEVAA FLVEPIQGEAGIYVPDDGYLAKIHEICKKYNVLLICDEIQTGLARTGKMLCYEWDNIK PDMVILGKALSGGMYPVSCVMASKEIMLCIKPGEHGSTYGGNPLGCAVAMTALDVLVN ENLVERSQKLGEIFRSELAKLNSPFIKIIRGRGLFNGVVIDEKASKKGRTAWQLCLLM KSKGLLAKPTHVNIIRFAPPLVISEEDVHKATRIIAESLEEFDVIEKIPGDEGEEHDT KIELED I203_04196 MPGIPVFVAILVGLVSSFVQSLGLTIQRKSHLIDSSLPLPQRRK PLRRPLWLLGFGIYITSNIFSTIFQLDSLPIVILAPLGAISLIYNAILARVMLGDKFG KVWIIGTALIALGAVSIAIFGVVNEQHHSLDEVLLLFRRGPFVVFFTIMSLATAAVIV VSHIASFHIHRQLSRIRLPEDSADTGSSTPTSLVPSNYASPHNNSQAIPFRSRLATKP NGHNLRRWSSPTSPVYNSVPLPLPSDPNTAKAPPKHHLHLEIPDPPSHPYGTNQPNEK QPRTLTLCGLGFASASGTLSGMCLVLAKAAVELLVLSINYFRTGQGKNEFLRIQTWFL VLGLGVCAILQLVYLNYSLTFASPAIICPLAFCFFNLSSIFDGLVFYDQFSRLSTLQI TFVSLGVAILLLGVWVVSAIQPDSGVDIGTWVEEESDTESILDEVEVVQNPFSQNESP TQAQLNQVNPLSPTLRGDQDPLTRSYIQPSDQQGTPEALHRSVFSDPEHPSTPTSPMS PTTRRRHHHRMRYGSLIPDALPTGAPTGFSIGLAASSPGFALRLGSFSIDGNFHPHHA HPHGHGHSPLLGSEEGRRDLWGRNVHVRSRSEGQRGLTAIISGQDEGGYEARTEDDAN VGVEEVIGENRVEGELREWTERDEDGNVKRKAWWERLFGRELRGSASGGQQGKVRLGG DEHERI I203_04197 MSIPPAKRPRHSDDPSSSSSTTRQDPLITQLTDALNTLPPDQLR YIVASILPSHPYTLQDFLARYQAYLNSIEDQRRKAPPKDFDYLSKSCWKELNITHRKL RPSQQYGITGEVAGVIQSAVREITKGCRDSPRSETKLSALETLRKISKSIILCDEREI RKGVMNDDRTPDSLAHAMVEILDGMNEEEMVGLEETETPNGIRWLVEEYNKYAIDCFD QVLDSLDGDGEEDEED I203_04198 MQVNAPSGLRTFGNGSFDVVHIRQMVHATNDYPSIIREAHRLLR PGGILLVHEPQMQLHSAWEGFGPKDLAPCLAQMISYIEAACRYRGIDTELFGRIDQVL AEAGFDPDGIDVYYHYRHACPDDPQSGISSDDSRAGAVDEDEFDRLMPGVLDEVSGRS GGIAGPLGAQGLLSPWGYWWVIKGA I203_04199 MSFQGSSSPIRSHEDRYASHSNTRDDDDNDEIESRHSGQNTDFS EAAYFVEAERTYNNASWVYRLPAD I203_04200 MSCPKLRAGPKTKNAGRAFYCCPLPRDDPGRCKFFKWHDELFPF PSSAGPSTPSGSTRASALVQRTNQTLGQSPAARYGRPVGSNDNTHSVGNSSSRPQEAV LISDDDEDEDEMEEIDWDKVDAEEIEREAIASTPGSSQLTASQQTPSKGISFNDRLKN AADEGLGKRRRDEEGLQEMNRTPKRAANDPNPFLSSPTTPRSPPHSILSPTLSSLEQL SEHLHRQDRLLRAAEQMKKGMRSTIKSLQERNKELEDRVKELEERLW I203_04201 MYSLAKLPRGQSALKTALRRLVSSKASSAGGVSVIGFENKGPAA TSSLTVAIKAGSRFESTPGVAHVLKNFAFKATANGSSLKTVRETELYGGVLSSALSRE HIFLTAEFLRGDEEHFLSVLTSVLSSSQFYGHELKELVLPVVQSEAIAAQSSPITLAL DAAHKLAFRKGLGNALYASPHYPVTIDDVKSYAQGAFSKSNVAVIGSGISTESLSQVV SSAFGSGSSQPGSSGLNTSKTSYYGGEARIPLDIHAPPTALPTLVIAYGTSSPATPEL KVLKQLLGGESSLKWTPGTSPLAQAADKVPGGSAKAFLLPYSDAALFGVVVSAPTSPQ LAGLAKEVAQIIKSAGSAAKDEEIKRAVAKATFADATASETLEGLVAHVGPALFAGGE VKSESFSGVSASSVSKAASELLKAKPTVVAVGNVNVLPYA I203_04202 MNSTLPPFLQATSGALGSAVGNAIVYPLDLATTRMQNNARRPHH ERLNLRDTLSHLLSHNDYLSEIYSGIGADTLSTLLSNFIYFYTYTSLQKGLHVWHTRH PIIPPQNTHQHGSVSSSPAGIGGLASKASEILPKPSQPTALEELLIGILSGLISKGIT LPISTVCVRQQIENDTYTDTDEKDTKKDMTLLETLSTIYQESGIQGLFSGLKPTIPLT LLPSLTLYIHTVLVRLLLPARHRTHPPAGLTFLLGAVSNALATIPLYPLVLIKVLSQS GRDKGKNKHKLGMLETLVKIIKRGGIRTLYTGLEGQLVKGLVQQGVMMLVKQR I203_04203 MPPSPDKDKGKARPEVEMSEEEKAAIAAAEARQRSFERSLAGPS VGKAGLMRDQTDINRIIAEASKGSKYYLNQVRKDKELTEKIEWYQAKRDELMKMADVP RLESEAERILVEVEATRDLSQVIVHCDMDAFYASVEVQRDPSLKGKAFGVGRGVLTTA SYEARKYGCRSGMAGFIAKKLCPHITLTEMHFDLYIAASKAVRKVLLQYDENLMMASL DEGYLNITPYMNANNMTAAEVVSQMRAEVEAKTQLTMSAGIAPNRMLAKICSDKNKPN GQYVMEFERTVITRFMRDLPVRKIPGFGRVTERCLEGLGVETCGDIFTHRAELLAMDH WFGFRGLCKAYLGIADNNVAPGKREERKSVGVERTFRDKTDDEDILNELTSIAEELEK DLERLQYAGKTVTVKYKLHTYENKTRAKSVGKFISTSKDILPIALELMKRELPVRIRL LGIRLSTLKDMTVADKGIKGFFTSPSKKRANSTEAGRSRPTTPILIDVDDDNELARME REDEAEVFDMTAEDSDDVVDSPIPSDIGTPPPTSILGKRKSSESDKSAHLLGPVCPIC NKALGPGTSNSGLNEHIDWCLNKEAINEAGRSSPVKKAKLEKKGTGNNAAQKGKDKKG TMLDWLKKG I203_04204 MLRYRPVSEPNPIRLTSPTNHSFLLQPKYPFPPIRTLSGTSVRL DIPQWPNPPVPQPPVGPSDNLTDTPPRRNSDRKGFWEQWSSSASFQAALTTVVGLGMV FAAGVGYLEWYKAHVLHRIMRAFEPGYDPALELSTLHAPNSSHIHRREQSLIDRIVSG EEKGGYYLVIGSKGTGKGTMILDAMRKINADGVSFCEAHPDLEVFRLRLGKALDFDFY EDWQGSLFSRADPRNGGPALDVERALNKLEKVALRYARKNKRPLVLAFNNIHLFPNTD EGHGLLHQLQQRAEAWAEGGIMTMIFSTDDFWCLDMMKKNASRMRILSVYDLSASESL KALRHLRAQALTNRGLQRDVEEDDVLKRVYELVGGRTSYLARVARADDMIGDCSWLLL RHLAKMIPPLSAYPLVEPEVTSPTDNDLPIAEESAEGETSNSSVNVTPPAAEPSSADV HLPYVTYEAARRIMTRTDFLNELDHYHVVSIDLNHHVRPDSLLLLRAAQQVVSEDNFD EDLDTTRDRVDQIEGLHRQSELTVKEPFKVTFDKIDGKAVWEVVGLGDSFVPASENEK EERLV I203_04205 MSYPIPSLPSPEEGQDKENMKFTLPPIRDIHATPKTTTQLPPTP STIPPLHARQDPNLVTPVQPRMRQPLQSLSSQSNGSMMGHQIFTAPQPHMMMTPQTNG ASSHHQKNGQPLSSALAFEEKYHQNENGSHPPSRLHQTPCPRPSGSIALSSSGRKKIH PPHGTPLDTPINHTFNVGYSNASNITPMRTPVSTLPARTMGGSISTPTLPMNSLPKSG SYTISNRSATSTRYSPSVDSHRSSSLPRSGTRGRTHMSFSPSPTPSSPTPIDLSGIQP PAPFPGAYPTAYTRKDPVPSEEGEPESENKRSGRQGAPSWNPWDYVLGEVPGGEQGPN QTPVKDTDDGKGNKIRRRFTKRELEALEVLWSISKSPSKYERQRLGAWLGVKTKHITV WFQNRRQEEKRYLREGAPVEPPPPSRSNRGTFDPVTGKWRPVPLSCISGLQPPPEEKS AVVRSISVGDLTRDMFFAQHPELKAHLPTPTPTSSGRMYTTQDAITPLPKRANSRSLD EILQERESGFGDSRKRSTQRITGEGMIQGEGQERIREILALMPSDPPSMDLSELAGDD ESEEVDEDEEETPKRKPLGNSGGPMGLDRMLNAPTNTLGRATSLDILASVPRTKGKYW SSKPGNNGGPLQPRSIKGSSSGVLGSINPNIPSENRQSTPIGKTPLKHSLPLTSQTAS KRARLDGGRHRPATSFRHKDSFSRSQSAAVLETPSARKLTKDKEEERSEMKTPGFARS FSFSSTMSEGVAITPDNAVLARKQSGNDKKEEKDAEVLGAAEKLLELLGGTK I203_04206 MGFLSTIFTWIWFQLDLIVHSGFPVFFWVRPKWSIDDIPDQSGN VVLVTGGNSGTGYATALSFYNAGAKVYIACRNEGLAKEAIENIKKGDQFRKSKNGTVE FIKLDLSDLQSVEQCAQEFLKKEEKLDVLFANAGVMASPEGLYTKQGYTLQFGTNVLG HHRLINLLLPLLLSSPPAQPSRVILTSSAGHGGAPKGGVDFRSVVRDTSDPIIKDGHQ PRQGKHEKMRWVEYGQSKWGDIALAKYLHNTYGRQGRLISVAVHPGMVATNLARHLSL TPSIIKYAPWLAPVITRTPAIGAVNQVWAATIPEYDARWISGEYLVPYRKVGIARPDL QDDKKIEEVWNWCDEQAKKWA I203_04207 MTTDEKPRVAYIWSQELQDVSDDLPSNIGRSSMVHGLVKALNLL NDDTADNRLTNGDDNPNRATVFAPDEDLGSEQCLLKYHDQSYIDALMKYRATSPPSYD PTAPRDTPSTSSQPPPAKRARTKGPHPQEYRDMDVYNLSHDNPPFPNLPRYITLVAAA TSTACRLLVQDEADFVICWDGGRHHAKKSEAGGFCYVNDLVLGLLLLSREGKVPIPSN NGRKKTRPPRILYLDLDLHYSDGVSSAFHSTKEYPDPMPPKNPPKPPSVMTFSIHHSA TGFYPPATPWAELANPWLPTPFSLSVPLAAYPSSKTYKTLWEGCVEPIVKEWDPDYIV LQLGSDGLPGDRVGQFGNWSVEEEGGMKWCIEKVKKWGKKTCVTGGGGYNHPNTARAW AAVTASLLDRPTNGETPIPHHEHFEEYAHSFTMEVPQGHMEDQNKQKYLDHACEDFGY ISTRIKDIVASILR I203_04208 MHPDDKDLEISHIEGGLHTIDKKGGDAIVADTAAADYVDSSIII TEEENLRLRRKIYKHLLPVMCVAYITQSLDKGTLGSASIMGWQKDVGAVGQDYALTST LLWVGIICGEPIVNQFVRKLPVAKVLGISIVIWSALVMGLAFSLDVKPVFAIRFLLGF FESSFSPCLVAITVQWFTAHEQTLITTVWQAMFAAAGFASNLIAYGFYQLGGDSNAKT KGLYTWQWMTVVIALISFIAAVIVLVFLPDTPVQARWATKEEKVKYVERVRTNDQGIQ QKVWRSDQAREVLRDPLPWLLFGMMFIQSTVVGGLNTFNNLLIKNAFGFTTSQALLLA LPLAVFQVILYFLIGWLGTKTRQTVYCMVGYVMVNIAGSIVLITVAPSSKTKVGLLIT FYLMQCCQATNPSMYAMLSRNVAGQTKKSVVYAIFFVGWAGGNALGPQLFQAKWASRY INSLYIHIALYCAFIIDVLVMRWICVSRNKKRDALMQGQVNAHAHAFEDKTDLQNIEF RYSY I203_04209 MSSRKAADQEKNLGGPTEASVYPAGNGYPQDNSSPDYDHGYVDH DTGVGKSENSLHRGLKPRHVSLIAIGGLFIGSGTALANGGPLGLWLGFSFVGILVYFM MLGLGEMSAYLPITGGQLTYAGRFFDPALGFAMTWSTTIHWALTCASELSAVAVLVNY WLEPSRVNNAVWITIGYVVVVLLNLTTSGVYGETEFIFSSIKVLTIIGLIILGIAIDC GAGPAGHYLGFHYWKDPGALAQYEGIGGAKGRFLGFWAVMTQAAFSYGGVEFFGITAA ESANPRFSIPRAMKQIYFRIGVFYILGTFILGLIVPFDNDRLGTSSDAAASPWVIAIQ LSGIKALPHIINACLITSAWSAGNADLYISSRSLYNMAHKGLVPRIFLRTHRWGTPYV AVLTMALIPLLAYMTVSTGAAKVFGWFVNLVAVMGLVEWMGICLAYIGFRRAMKAQGF DRSTLPYKNPLALFGAWFALFSFGIIILFSAWTVFRDTDNFDRATFITNYLPAVFFLA LYFGYKIYGKTRIIPPTDVDLITNLAEIEATIEERPPAKGFKGRLQAMFL I203_04210 MSEIDKARPLTGEKVVDDIIVNEVIDVVPTPLHDDVSIWKYITL NRRAFGFSVYILMLMVGYGFDGLLTGSLVGVPSFKRHFGYYDAKSQVWVISALWQSLW TAMTSLMMVFGALTTGVLHPRFGTKILILVAMCFNAAAIITEQLSKTPADFLGAKIIA GFGFGIMTSTAIMTLPSYAPWRLRGPLGAALNTFILFGGWTAQGVLTGTGQAYPESTK AYQIPFALQYIWVIVPLCGVYWVPESASWHLRRGDETKARESLIRLHGPSRMAIVEAE WKQIMIAHRLEKTGNHQTKIAITEPFKGINLRRTLLASGLLGFQQLVGATFVTSYLTY FYQVAGTPDNLSLSLGEMSFTVQVLGNLFSWFAIDKLGRRYCLVGGLASMTLTLLIIG ITWAIRSSASLWCMVAFMTVWAFLYQASIGACGFSLAAEAPGPRLRSLTIGIAQGVAQ VCAWAMGFATPYMINPDEGNLGGYVGFVFAGICGAATVWSFFAVPETKGRTLAEMEVM WETQVPPRLWKRHEVNSTAAQLSTIPTLV I203_04211 MTLQFDPKAIASQLTIEEACSLLHGKDFWRLNGVPRLGVPAGLK LSDGPNGARGEDFVGGTSSACFPAGVCLAATFDIDAARKIGNAIAEECRSKSASAILG PTVNIHRSPLGGRNFESYSEDPVVAGNIASGYIQGVQEKGVAATIKHFICNDSELDRR VMNVQVEEKPLREIYLRPFEIVFRQAKPKAMMTAYNKVNGEYVSDSARLINGIVREEW RAKDVLVMSDWWATYSLKEAVMAGMDLEMPDHHFRGGKKLVKAAKEDPELADAVRERA ETVLGLIQYAGGYDLPPERPETAEDKPEHRELIQSVAASGAVLLKNKNDVLPLSTSTP IAAAGLYASEALIHGGGSASLYSHHRVSPIEGISQRFPKATLIRGPNAYNYCPLPENN VATRPDGEYGMMVEFFQPDGTLVETRPLSGTFLTALDRYPKGLQMGWTATMSFRLKAA TSGRHTLSIASCGPAELFVNGQSLCVIVPKEEDPNLFLMLSLHKIAKKVEYDFAAGQS YDVKINYTSNDALLYATSLPANGLHFGFAEAIDEDKIISDAVEAAEQIGTAVLCVGHG PDYETEGFDREDISLLGRQNEFIERVADSSAKVIVVVYAGSPIAMPWLDKVDAVIYAW FPGQELGHALADVISGEVNPSGRLPVTFPKRIEDNPSHGNFPGENQKIAYAEGCFVGY RHYASKNIPTLFPFGSGLSYTSFSVSDLQLAGQEAFGPGQNITVSVTVTNTGLKSGRH TVLVFVTPPEGSSRPVLSLEGFAKTQLLQPGTQEEVVISLAEDAFSHWAGGIDGSWEI EPGNYTIQILQDAGCTSGLSQTLNIPSGWERDYALA I203_04212 MSHLQWYAYEGVGSQNVEQHAYSQAVRVGDRIECSGQGGWDPKS GEFNREITAQIEQAFKNVDLNLRDAGGKGWEQVFKVVTYHVPVNNEAIEAVSAGFRKW CPNHKPLWTCIGVTRLGEDDMRIEIDVVAHDPK I203_04213 MTNVQMRTNAITTNGHSTTTAPTHSEEPSYYGRLIVCRRRAGLG HDEYVRHYREVHYPLAIQMPNLLHYVQLPIEAAEDPSPAWDSVSLYVFPTAEHYYQAM QTEFASNLEEDSAYLMDQVQMQTFIVTVTGKYVASLGGKRWSASSQVS I203_04214 MLALASHITAQADPVRSSDVTNAGTRGFTFLQRALSCLEVEIEN PKPATVTAIVILSSCLADLGKSSLSWVYDGIAARLTSALGCQVDSQSMVDQGLITQET YNLRANAFWTAYIEDKLAALYNGRMPSLGEEDYNLSLPKPSSAAQCGVPELTDLQAAL ISLGDICAEIIRSLSSNLPFATRLPWNGGPRQASPQVLAIHATFNTLSIIFHKSFLRR HCLDSAEGRICFEAALSTVKLAKTFDLTYTILKAPITMSQNLYIPGTVLTLIIADLFD QNDKWIEREQSQKALDDIMTLLFRLSSSWRCSLQAYQALKTMGENYVINRSTNPQPSG DFAGLELGQDFDIDSVLNFDWTTFDHMGGIANPNSG I203_04215 MRDETLTPDTKLAYETSHRLKMVLLAIFSLGLFMDIIGFSVFFI LVGPTATDLGIPLSEQTWVITSYGVTFAAFLLFWGRVSDLYSPKPVFVFGFVGLGFTN LVISFLMEPYSFYIIRAVSGICGACLIPSSYRLIVALFRKDELSFAFSVYGISGAIAN AAGLILGGAVLMIPGHGQMIGWRWHFRIIAALILPAALLAWYLIPNAAGSASSVHSKW KRMDLVGVLLILAATLLLILGLTLGASHGFDRPAFYVPTVIACLLYPVFIFWERRRPA AYALIPSHTWKYQNFSLWIVMALLNYAWWAMEYVPHAETYIQVHGEEPIIAALRVLPQ GLTSFASSFFLVMFPKFSLRARIPVSIGLIGGIVAYALFILGGARTGRYYWGLTFPGS IIGATAMNIVFNCTNVGAITAVPSSEAGVAGAITQTAMQVGSIIGLSVQAGLLTVFPD AYHDIRNTRISWYFVVGISSAIMISALTKIFLDQPVLRGRAAPFSCPDANPNLTDP I203_04216 MVLFGQHPTQGTLLKASQFLSEELPIRLSHRVVELESLPDGLSK MPSINKVKEWYAQSFEELVTFPRPRLKREIEEILRMQPSQPTQFPSATPNPSLDPLMH EGPVGSNLVTGSGNYNGYGNGNGVPVGAAMALPGTRLRIPIERRYFSPPPSNVVYPPE VHDYNDRFISLLQNIKKRHDPTVTTVAQGVLEWKKRQGHGRIGQNIQEWLDRFYMSRI GIRFLIGQHVALNTLQPHPDYVGIICTRANVHDICHEAIENARYVCEEHYSLFRGPPI QLLCPKDLTFAYVPGHLSHILFELLKNSLRAVVERYGVDNEDIFPPIKVVVVEGSEDI TIKISDEGGGIPRSAIPMIWTYLYTTMSDEGLEANIESSDFKAPMAGFGYGLPLSRLY ARFFGGDLRLISMDGYGTDVYISLNKLSSR I203_04217 MSNINDNTLGQTTSIISETEKHAEKNLDSADIKGVQGDSNFYET VTAAPLSPWSKTSLQLYAILLVAALNATASGFDGSIFSSINAMDQYKKYFHHVETGKS TGIIFMIYTVGNMIGSLFTGPICDHLGRRAGMGVGSIIIGAAAIVLTAAKNDSYLLGG RFMLGFGISIGTSSAPTYALELAPPQWRARIVGFYNTFFYTGSILSTGVAYASNKASG ELAFRLPLGLQLFPPFCILIGCFFIPESPRWLTARGKKERAQAILAKYHGGGDVNHPL VQLEIREFEEGIQVKKAQSVWNYYDLVNTRNQRWRMLMNAFMSFFAQLSGNSVLTYYL PSMYTKLGITSTDRRLLLTFANSIVSCTGAVAGSATNDMIGRRTKLWIGSIVLSCLFA AVTGFSSQFDLGKEHVSSAFSNGGVAFIFLFGCAYSFIYTPLTATYCAEVLANHTRAK GMGVHVIMSNCANLYNTFVTAIALDSIGYKYYLVFVALNLFYAVMWFTFGVETRGRTL EELDAVFDAKWPPKAALSKATMVKREDGQLEGL I203_04218 MTKPQFLIDLERDGYVVVPNVISKEQCKEFQQKAWEWLESFPYG FKRDDKSTWTNEHLPYSTTGGLYNRYSVNHEDFVWKIRTEPAIIKIFEQIWGTEDLIA SFDGMNASLPINPKTGRKDISTTKAWPHIDQNPRTVQNFELYQGIANLSPNGPEDGGL CVLKGSHKLHQEYFDHIGGFKLDQDAGVKENGYNYKIDEMDWFEGIGCEEVKVCAGEG DLILWDSRTIHWNASPTGEQTRFVTYVCYCPKTLMSSEELAVKAQIFKDRKGTTHFPY MNRVPAERPGYYNALPRRPDGQLDPANRTRPMNEPEETPLMRKLAGVVV I203_04219 MAVKMNLWSLTLLPGERYPLFVRRDFQITNVALGEELVSKDGRS VVKVTHNPIPSSALESDSEFDSDEYDSEFDDEELDDEEDDEEEDDEEEEEKPKAAKKA DGVKKGEVDAEMDEDEDSEDEDYSVDDSDLDGEIEETNVITSLTAGRIEQATVNLTFV EGDVVIFETTGENAVHLLGNYIDQFPGISSDDESDSEFSGDDDYSDIYGSDDDIELDT DEEEAVAKITEIPVEKPAKKALPAAEAKPKKAEAPAAESKPAQKRKADDIDSPAKAAP AAAADGEVLSKNQKKKLAKKAKVEGAAPAVEKKEEKKPSKKILPSGLIIEDVKVGDGP VAKTGKRLGMRYIGKLTTGKQFDANTSGKPFTFVLGKGEVIRGWDEGLAGMAVGGERR LTIPPQLAYGSQKLPGIPKNSTLKFDVKLVSIN I203_04220 MVTRSLDTVATTHPTISSSVLPCTLLNPSNTLRPYLNAVRATLT AALTLENFSSQVVERHNKPEVEVRTSPEVLLKPLIVSRNESERVLIEPSVNSIRLSIS IKQADEIEKILCHKFTRFMMMRAEGFVILRRKPLPGYDISFLITNFHSESMLKHKLVD FIIQFMEDVDKEISEMKLSLNARARIVAESYLSTFA I203_04221 MSSIKSLKRKASSSKLVSKKRVPVQQPSPSSSDLDEGFEDLKTG SRGNGIVEDGGIYDDPMIDRQGSDDDEDDSEQDEDEDEDEDEDEDEADGSTSKAGPSR STISSGKHLYKAPTLEELETLKAIEQSGGTTFSLQLSALLESTLLPLTPQANLKALLS TIHSTILTLPSLPPLPPTRAVKRLGKEVKVPFVGGPQWDPTKTEVKWQLGWDKPEEII VGGSWGVVGGYRQGKGEAGNIDLVVVMPLIMFSLKDRMDYRYFHKRSHYLAVIYAAIQ KLAKEDGPLRGLQVSWETAMGDARRPIVRISAGKEVGLKHHLHIRIHASILPTVFPIS TLSPIRSLLRSEQPTPLYSSSIINDTLHKPHLLHLHRLSQLLSPARTVDSFLAIWRIW CTRRGIRRERGASAWFASMLLGWVVDGGEAGGVGGVREKVKKVRGVGKGLGHWGALRA AWEFLAHTDFTQTPIFINTSSEDTIAHSELTRSFDHDVFVDPTGRVNIFAGWERGDVQ ILRHHARETLAMLEDENTDQFGETFLKDRKVGTEVFDEFIKVDTSSAQFDGDIQQKSG YPSPIDLSIYLLADILRRGLSDRAQIVHISPSLASPSTLEIGVIFNPEHANRVIDIGP SSDSSQAAAAQAFRELWGRKAELRRFKDGSISESVVWDITRPEEATLIPGKIVKYLLG QHFQIPEEDVDCLSSDSTWQEIIQVPASVRNSININGSEKQGFRPVLSAYEELYKILK DLDTELPLSILNVNPSSELLRYSSIYVPHPIDVNRITIAPGCITYTPPVDLVIQFESS PKWPDDLAAIQNVKLALFEKLARVLQAKLPKSQINILFDSVRRDSSKIEDSSSMEVLM NQGIAFRLKIHYEKERVLLERIIQEDRKPLAALAGTQLPKPSIKLAIPALEKHLSLFN HKTQHHQSVIPLHHKYPSYSTATRLLKKWFSSHMLLGIHVSIEVVELIMAKLYLDTNS LQRPRSATNGFVRAMCLLAEWDWKNDPLFVPIFSVKNTAATDAGGRIKFHIEKRQEAI KSFAILRSKDNSSTNSHQHGWVVYTEEDESGLRWTKGVNKVIASRISQLAKATLTAIK TGTIDGGLDVKSLFITPLDHYDFLLHLLPSTTTKYYQNMQANPEEWESKMKYRNLHSA SSDGAGIRIGFNPVESFVQDLIRIYGDSILWFYDYSGGDVIAGIWNPQKDSARALKAF LGHNSKPTESDSALVTINKDTILAEIERLGKGMIERVDRRV I203_04222 MASLLLGTPNSLPSDPGDLKGKKKKIPKVAGYVAGAAFAITTGL TLLVMPYVRQAAKQVNATQFLSHQSQAILNSRTRIPRIALPAATNAVESNSLSSSSSS STHLTASSSFSTIPTISSDPSLIAARSFNAREEKYFYGSSLIPMEGEEGFSNEPVSGL LGFQALGIATAFVFGSAGLGAFIVAKLMGVKNMTEFSTKMRETLNLSMPTLVESVNQP GRSIDGFDGEAIDQWVATLEKEDEEEEQRQNQH I203_04223 MPWQDIKLNDGREIPGISFGSSRHSGDTVSTVDEAIHAGFDSID TAQIYRSEAAVGQAIKQSGLSRDEIWITTKWSGLKTAKESIQDSLQALGVDYVDLYLI HFPAVTKGDIPGSWKHLEEFKKLGHAKSIGVSNFEISHLKELLSHAHIKPSVNQIPFH PDILAKQEALVQYLKEHNIAIEGYSPLAPLWNDDKKSPVLKVVKDIAEKRNVPEERVL LAWSRSKGVIPVTASSTKSRIESFIAASDLNLTEEEVEAIDKAGYKSARNAEIRRKVV NGIKWVTAAGLLTYLAVSRLT I203_04224 MMARTQAFSLLTFLVVASVVNAHGHVSSWTVGGETKPGFNPSNP PEYGATAERPTDNSDQGFADYTSPIVACGGLSAGSGLETWDVNAGDSVTAHWNTWPDS HKGPVTEYMAACPSSGCDGVDAASLQWFKIQEDSFDGTKWPSDTIVSTLEWTFTIPSD LAAGAYLVRHDIIAMHSTGAPQVYPVCFQANLISSGSVVPTDTVTFPEAYDINDEFKT WSLYGGDQSAFVPPGPAVYSGGGTGTTPPATSAAASSSTAASTSAAAPTSAPAETSAP AETSAAASATASDPPSAPVENEAPATSDAAIEPATSSTSAAGPSDTEVSSEPASSTSI AESSAAASTTSAAPSSSPTSGTPDNAEMGGPVPSVTQNGNQYETLGGSYFDEQSAVNA ACMEQMNRCKRWANGLANSEVSAALASCDTQNTTCQSSGASAKRVIVMSRYARRGLY I203_04225 MTTNSSTVVLITGANTGIGYATAQALLTSPSPSPSNPTTVIITS RTLDKAMQASRDLQTNQAFSKAFESGCRVVPKQLDIDDEESVKALHKEVAEEYGKLDV LVNNAGAHYDFQVASGKMSTRDAFLKSFTTNVVSTHLFTETFIDLLLASAAPRLLFLS SGISSLGDHSNPHVPVNLSPPAGWPKEPVFQVPTYRTSKTAMNMMILEWCRTLKNDNV KIHIIDPGFLSTTLGGAQPEVLREKGAKDPIEGGRFIRTVIEGKRDDDQGKLIGVDGI FPW I203_04226 MLSKSLSIIALATALMTLIPVISAKSSGAVSNNKRDDQDNKDDK GKSYPSYIYNFDYLFTITYAFGQPSPIIYQTGITENINSVVGGTLEGPAFNGHITKGV ITNKFAPYYDDSIVFEEATWYGYFDNGDKGNGTFIAETSALVDFEAYEQQRVKLTVTP GDYDWLQYSYILCGSIAFDLRAASAKQDCFRTYYGEKVALPPVFP I203_04227 MDNGRSVSTTETDRDSYALADRAEAGKVSDPEVAKPQLDPEQGQ GALVDAVWGTIEGDGPNYRSLSWIKATVLQLKTQVGLGILGLPTAFNILGLVPGIIVI VAIALIVGWSDYVVGTFKLNHPEVYTVADVGYMLFGFWGREILGFAFWLQIVAVTGAS FLSMSVAFNTITEHATCTVVWAIVAMVIIGALASIQTLSRISWLGWLGLASIMSSVIT LMISLGVSDKPSLAPAGDDWSIDTKIAATPSFIDAINAVCIIIFSYAGTPNYFSIVGE MREPKDFTKSVIVGQTLMTTVYLIVAAVVYHYAGQYIASPALGTAGHTIKKVCYGLAL PGLAAGGLILVHTAAKYVFVRILRKSAHLSKNTPTHYAVWYGCISITSLLAFVIAEAI PFFNDLISLIGALLGTLICIQTETYMWVWDHWRAPNRGSKKWYAMMTMNVIFHFIGWV ILISGTYAAVVTIHKDFQDGALSQPFSCADNSGSS I203_04228 MEFGAGPSSTGFPTSFDARSQSIASSSTSSYVPPPSPSPSETPR NGKSQRRKTGCLTCRLRKKKCDEGKPACGACIRLGLDCMGYDTKRPGWMNKKDKVKDM TAQIKNTVHETRAAKMRDHWAARAASVSGGDGDGHSVYSPEDQEEILVEKPVISTTKP ILHSTNDFTVPTAMSIPSQGGSYVGGGYAHDGGTSRNLFNSQQFNSTASSSTSYSHSH SQPKPPDLLAEPYIPQSAASSPLIDPDILNLLGLVPPNKPTTDDPYFPLYPQLPNTLW FPYPSALESRENLEDMRYFHHYLTVILPLQFRFDNQPISDLVAPLALQNPRVLQALSA IAALHIATKKRRQPILLDGFVGEDSVNSHPLPNPDDVFARTTIQAIIKDLKSVPSSEL GSDDSILAALSANSFNLFDGGENKDWVETAELCRRCLAAVLNGIAGIGSGFSSSKPQA DISPLMDRLGHLISPLMWVDILMSVTQNKASQHLPIYRLFLFDRYQKQGNVSKLLRET VMGCDNTTRLALAETVALSEWKDKAIRSGTLSHRTLVERADSIERLLLERKWREEHLF QPDDPSTVQRMAMSNVFHHGVRVLLATVLDGCYPNVPDVATAVQDTADALLALDKYDL QGATDKLMIFPIVIAGCHAERPVLQRVFRHRFAKLGDDGTSFGNTRSALRLMEEVWRR RAEATSEQSETHWRKVMFELYEGGLLLI I203_04229 MSQPCEGCTCGRAQEALAATSGSGPRQLRSFTSPAEADPNATEG IEPAVPLRSKKWFNDPTDLGMSALYTERYLNQGLTVPELSPKNRPIIGIAQTGSDLSP CNKYHVELAKRVRDGIIAAGGTPLEFPCHPIQETGKRPTASLDRNLSYLSLVEVLFGY PLDGVVLLTGCDKTTPALLMAAATVNIPAIAMNVGPMLNGYSGQKLIGSGGVVWESRA QLAAGEITEAEFLHHVALSAPSAGHCNTIGTASTMNAMAEALGMALPGSASIPAVYRE RGACAYATGLRIVQLVREDVKPSDIMTKEAFENAIACCAAIGGSTNAPIHLNAIAKHV GVQLDSDDWERVGYKLPLILNVQPAGQWLCEEYHRAGGLPAIVAELLEHDALPHPSAL TVSGKSIGANCRGDLSQDRRVIVPFDKPLMAEAGFLHLKGSLFNSGIMKTSVISKAFR EQYLSNPNDPMAFEGPVAVFDGPEDYHHRIEKSPNIGPGTILIMRGAGPVGYPGAAEV VNMIPPGELIKKGIELPCIGDGRQSGTSGSPSILNASPEAATGGMLGYLKDGDRVRID LLKRTADVLLSESEIEERKNEVGSYQFPKSQTPWQEIYRGSVSELSEGMVIKSAVKYQ RLAQTQGIPRQNH I203_04230 MTAIVATPPAALHDTFQALNIKPAHNDVYDAEEKKRRIFDTPYE PNLKWWTTNQAEYVKPSQDPLPEGYPDQVVSNSVWDGKVLINQPEKWLYQFTDDDIKL INKAYDHFQSLSIANNEIDRTTFPIPTETNLHDVLTGVTHELNHGLGFRVLRGLPVDP WTRSKQISVFAGISAYVSPRRIASPSGDNITHLRWVLVSCKCTKLTGIIAVFHNDGSL GIISLFTLGVAETGGLSQLASVGQTYNEFARDRRDIVRELAKTDWKNKYPPEADNYCI GRRLIHPVGDKQVITVYSRRPYFGFYGADEDVEPLPREKHLALDAIHFTAEKYSIDLD LETGDLEYVNNVTVYHARTASEDSEKNQRHLIRLWLDNEEQALPDNLVDEFRAPREGQ RWPQEAWN I203_04231 MSGPEPTPLSILTTPKLFFLAGKTIIISGGGRGLGLSVARGVLE AGANVAAVDLLPLFEKVFEDASPSAPVHDMFLAAGVPPSLTVLDSSIEYWRKVFDVNT AGSFLCCKAFANEWLSRNKTNGNPGVGGASIVLTASVAGHNANRQIPCSAYSASKTAV IHMAKSFAAEWGKLGIRVNAVSPGYIKTVMTAGIVAARPGYEEDMVNSTLLGRASTGD EYRGPAVFLLSEASSFVIGADIVVDGGHAA I203_04232 MSKENQVEEEKHYGSGVTELAIASEALTDNIKWFRSTLVQVLVV GITSFLAPGAYSSLASVGAGGLANVNIGNASVALAYALIVPSALCATACLSKIGPRYS LSLGAAGYVFYAAALYQNSATGNQPFLVVGAIICGATSGIFWVSEAVITLLYSEPKYK GRHLAIWQSLFQLATCIGGAINLGLNIDLNETGGLKPKTFLVFVGLNAIAPLAALLLT DPKQVQRKDRLPVPAFPAEPILKEVWLTIVELKDPRIIACCFLWSQSLFIPSWTSTYL AIHFSVRVRGLTSLITPLLTILTLALVLGLGSAIWLLVMQVRQSKEAVVPTWDWSTPG FGAAWVPYLFSFAASWTTYGFFYYMATYCFPREASGARTTRIIATLRPAESGSAAIAF GINSINVPLHHIGYINLVFIIVCLFCGYYVLQWIWK I203_04233 MAGYTTYRDLGSESMKEADANIRDAINRGLTPGPRLFVATRVIA STAAYEPRTENHLGGTCMPAGCDSADGPDELRKAVRRRIGHGADVIKFYADYYRRVMR FPPKQQHPYIPSMKFQPVDPNPDLVVFQDEEMDAIVSEANLARAPTVAHADSKEGILA AARAGVLSIEQASRAGEEG I203_04234 MAIFELILQERFGKVVENLQLAHKLGVRLACGGDTGTFPHGENA REMEIFIEAGISVGDTLGAGTVGGWEACGGDLCGRRFGWFEEGCAADIIALDTDPRED PKALRKVSFVMKDGRIWKQDNEPVDLFPP I203_04235 MSAQLSILVVGATGKQGGQAVSTLLEEKASNLSIKFLTRNPTSE KAKQLTAKGLEAVEGSLDDKNTLKSALVGVQRAFLVTDAGAGEEKETQQGINFIEAAK VAEVEHLVFTSVGSADDAKNVPHFRSKAKVEKALKASGLSYTILRPVAFMDNFPPTAG FARFMVVGMFYASLRWKKCQLIATEDIGVFAGKALLNPESDKFKNKVIELSGGNYDLE DVRQAIFKTQGYQPWLASYTPTPIRAILPYDFRQMMYYLEDTGYSNIDTVALRSIHPG LMSLEDWFRKNGS I203_04236 MEESYREIGRSKEFGVRREGPACDACKARKCRCDATLPTRQSSS IAARHCTQCKNLKIECTFNYQAKRRGPVPGFLRSQRAKDKAQAVRQSTTRTSPSVSSQ SPLAVPPLQPSYIGSEKSESSVLPTPSASLSSNTAVTPSLALSSLSTSISSMPGPSTS FTLNPLDAVLPRSLRFEIVSLFFRCVYPLLPVPHRITFMEDMRNRREERNGQEEWVTM VFSILAFTLVQIPHHMVSITKNEIRDLVERLSRQVKLSLMVDYHAVSLERLITVYCIG TVFNNLGRHIQSRALHGSNVVHCLQLGLNEESTYTTMDPISAESHRRLFWAVYCSDRS AACSENGNLLFNEDEINVALPKDLDDEFITRYGHQEPPAGHASLMRGFVDSCRLFSLG GNMLSKRMHDRSRPPTGNGLRGRINELDELLQNIEDLLVDCQPEMRLSFTDMGSMATE IEGGFVDALPDMLEQLIPASLADSTPFLVHSAHVRVTQQLFRFLTLQYREDLSRILYH EQLRCTTIRRIMAPSPPKSEEHNKRKVLEDLLQILHGIPLEIHAINSFPGISKIRSVS ASLLTSFSPTSLATPGSQASPPQISDVTAQDERSMELLCDFLRLMATIEKMYSLVVAE PSTASTDGT I203_04237 MSTFKLTKLAATLPRSSHSISVIQGKAYIYGGEINPREPVDGAV HLIDLSTGAYQKLAAFGQIPEPRVGHVAGVIDGRIYIFGGRGGPAMTPLEEAGSIHVY DPTTSSWTTVKATSKEFPCARSYHCATASGKQFIIHAGCGDASTGRLNDTWSFDITTL EWSRLPDAPGDPRGGSSITVLGDTLWRFGGFNGKTEVGGSIENIDYLAPTSEWKSIVF GDTAGLGRESSVLLDTSDSYPGARSVAALQAIKGRLIIIGGEGKPSTTGGHDSAGNFW DDIWSFDPIEERWSKLAPKEQMEARGWFASDVTNDGEIIIWGGINSTNERLGDGWIGR L I203_04238 MASTAINRSALACLLLQHISSTFGSAAYDFASFLFLIQVFPDTL IPASLAGLCSTACGLILSGYIGSLVDRVKRLPFVRTVICAQKVLQALSYSLFLILLGP FKSFAASAFHGDESISRVAATWGILLSINTSSALLGLSATGMSVAIERDWITEIAQSN SNVLTRLNTYMRRIDLLSKLTAPLLVSLLTSTGGYPLATTVLLGMSAASLATEYWWVQ VVYNHFPVLDRETAQSSHDEEELGLLEEDNDAGDSIAQDTESSNGTGGIWKRLEMEKQ SWLEFIGLPIFFSSTSMALIHLTTLSYDGTFISYIKAARGWDDTIIASMRGLCLVTGL IGTAVMPILERRIGLERAGAWSIWYEVACLAPVAVSFFIGTGKYGEHGPAWNSIVLFG GIALSRIGLWSFDLCQLKELQLALDTHPRRNQLTARQISLQNLFDLLKYVLTLSASTP ARFKWTALVSWLAIVVGAVSYAVYLRSVRGHLFHFCGTKEL I203_04239 MSQAGEREFTKLLSPATGYGVVVGLGAAFAVLMIGFTYLQRRFT RFDTNNASEFATASRSIKPGLICAGIVSAWTWSATLLQSSAATYQSGLSAAWWYGVGG TIQIGFFAAIAAKVKMNANGATTFLQICKARYGTGCHILFTFYALVCAHIVAGSLVLG ASATINALTGASIEACNFLLPIGIAIYVIAGGLRATFICDFTHTVILFVIIYLFMFSA YATSPALGSISSMYKLLVEAAQTTPVEGNEQGSYLTMKSNSGILFAGCTIASGFSGVL CDQGYWQRAIASRPESTTRAYMLGGLSWFAIPWAFGTTMGLSSRALQSTPAYPTYPYA LSASQQSAGLVAPAAAVALLGKGGAVAILLATFMAATSAASAELIAVSSIVVYDIFGT YWKPLSGKQVVFWSHLVIALAAIWFGAWSCILHKAKIDLGWLFYIQGVCLTPAVVPIG LTVSWGRMSRISAFYGTIFGTVCGMIGWMLGCWKVYGEINTVNLAKPYAAISGSAPGL VMSTLATLILAYFFPGSNDWTATRAIHQADDEASTDSKGEPVFAHIASAPMPEKNFTD EKHQDANSTTVQTVDVNAESPGEANETYEREIIEELDLGLLQKVFVRASIISSSMAMI ITFIVPIPMFAAHYVFSLGFFKFWIVASFIWVFLAGSFCIILPVWESRVELVSICKSL LGFARIKTKTGY I203_04240 MDPLANSATYPLKAALTQYIHDMTWQTTAAQYLEERDKSIPKEY LLTLPPADDVLDVKDYPTLPGVLSPLELEITQSLTVTQLLEAIKAGRYTALQVTEAFC HRATIAHQLTNCLTELFFDKALERARELDEYYEKTGKTIGPLHGLPISLKDQIEVEGT NFTMSYVGWVGNKAKHNAVIADILLAQGAVLYCRTNMSQGLWFGEGYNNVYGRTLNPF NRNVTCGGSSGGEGALIGLRGSLLGVGSDIGGSVRIPAAYQGLYGVRGSYARIPYCKA SNSLFNLLGQEMVRSVLGPLTVSVDGLKTFYKAVLDAKPWELDPWTPRMPWSDSAYNL VDHGNGEKLCFAIMWDDGVVKPCPPYERGMKEVKDALLAAGHEVIDWTPYKSAEARDL LMRFFTADGGYDLKKQLALSGEPQLGSILERGVPELSAHEVFDLCYRRSAFVKDSLDY WNATTSQTTTGRPVDAIIAPACGGPPQPHDGFMWLGYTGFCNLNDYTASILPATQVDP ARDPKPSRTDFIGEDDRKTYEQYDPVFMKGAPCSLQIIGKKYEEEAVIRMTEIADAAL KQYRGGRT I203_04241 MCELPQTLCPNGQLRSLRGRYEQTSEDIENLKKRNALLKEALEE ASRQGFEISGIAHGLDDISVMDARPAEMVSSATSTERCTQGLVERESSTEESTHCHLI IGDEPGSSSFFGNAGAHYHLPLIRKLLDEARKSTESLHNESSQRSEIEIIGRWGYSTV KTNLLTVPPYSVAVIVMWVFVISSDRHRERTLHVMGSNALVLIGCIVLGSISIKKIGV GYFCTFLIASGSFIPTVLFQRQVFVQNNTTKENHRAFRAAICTFGANAGGIVSANIFL SQFAPQYVTPLIVSAAMAGLGIVVLGILRTYMVLDNRRRNREQGVNWTSKDVPTAALK DGPAGPSWRHFY I203_04242 MLLISAALLSFVAASPLRRQAQVTETQQASSPVATIYPKSANGQ GITVHGTIRPNEDFDSYMGIPFGQPPVGDLRWTPSQLYDYNQTTSINGTDYRQACMQL SLLGADSATPDNPGAAGVSEDCLFVNVFTPKGASTLDDKLPVWVSIYGGGCLSGSAQA GDPVNLMPTANSFGLPVVYVTFNYRLGGFGFVSGPQFAKSGSGNLGVSDVTTVLQWVQ ENIGAFGADPQRPSVLQYLQPDQELFQYGIIMSGAPSISTLGPIAEVQPQAWNRFFEL LNCSDTSVEQVQCARKAIYTTDVENAYTQLFKEFNRGNLIGQTIDGRILPATPYSLLG QGRYAHRPFIVGDVTDEGTAIASATNESSFIANIRGSGLFTRSNETIQKLLELYPDEP SVGSPYNTGDNLFNKSSTYKRASSYSGDSMFQVARRNWLDVTFSQGFTQGWNYAYSHV PAGLKLDVSQGVFHRAELNFTRGRPTEGQPWWDEEDINVSKALLEYW I203_04243 MTFGPDESKGARITSLDEYKKHLDYFQSQGYNEIDTARVYVGGQ QEGWTAQAGYKERGLKLASKWYPSEAGAHKEAKVKEIVNKSLEELKAEKTDIYYLHAA DRTTPFHETLKAINDLHKEGKFDTFAISNFTAAEVAEVCTICQYEGWVRPTLYQGMYN AVCRGAEPELLPVLRRFKIDWVVYNPIAGGLLSGKYKSEDPPKDGRFGTESSTGANYR ARYFRPAAFDALEVIEKAIKPHNLTMVETALRWCVHHSQLKLASKGGNDGVIIGVSSF SQLESNLADLEKGPLPDDVVKALDEAWLICKASAVPYWHGENKYTYELTDDLYGKK I203_04244 MSAPTHPSTDRPVRPKPKVSSSEKVYACSHCSKAYARRDYLERH ALNHERPACVCSVCGKSFARPDVLRKHQSTTCNSGNDEGSREGDSLSSSPPLQHVKAK KRKRSSRTVSYVPDEMAKTVDDEGAIVQELASWQQDVKDGRSTSFHIHPTDHPTQMNL AHQWSIPNGSQDDNIVDNTLAPMTTSTEIHVDLPDSAQEDTTIIAGEEFNWNDAVPEQ GQTGDNMDDLLSWLFNPATDNDILSSSTIPLDNEPLFEDLQNKGHDDDLHPDETDLEV RSDLGYQSNINIIPTLSQRPPSRMRSTYPENYIPHVPPPVSSHNIYPDLSESWSSYRP WRVPPKMEIVNEEARASMFDLFGNTARQDLMSPSFSLQQMRLHLELYFMHFAPLYPIV HEASLPYRKLPPDLLLAMICVGTAFANDPEGLEMASKVHKHLRTRVFDMVEDQPTASV SSLQTILLLNHFARSFCSLKQHDVAQIFHSPIINLARQSGMLLPNFDRKLQNSLDDPM ACWLEWVAEEERKRLGWFSFMMDTENAALYRGFLLIHCYLIQIDVPCSDEVWQSSNPL EWNTALTQSVRPPSFRSLLRDLAGRGYIAPGLTKLVLWILLHGLHCVQWTLLWRDLGD LSMVHVSKITNWKDSCRKAFDTWRTYVDDNFGMSNPSLKATPLLSRPEHPMLSAGIPF SHLGAVLLLSDTEQIRIFAGTKKIAGRPISPGEWAAANVYVNSWAKSQDGAYCCFAAL RLLAHVFRWSSETKFQRTSMVPWCVYLAALVIWSYSSALEGHDPLLAPFILITLGSSN SNPLVRIEPSLAQRSAIDYLDDLFLYCLSGGDVEKGSHGGV I203_04245 MPSADSAIPFIDFSEFGDGTSEAAKRISTQLYTACRDVGFLYIV GHGIPQRDIDGIFDMSKKFFELPYDVKMTAPHPKEAWHHRGYSGIGVEQVSQMVFDDD KIEEMRGKGADFKESYDMGSEDSRKCPNVWLEDKYLPGLRSTCLDFQETCKKLENKVL KALALGMPGVPENFFEEYHSGYDNQLRFLHYPSAPRKVFDSGEKGRIAAHTDFGTCTF LFQDDCGGLEVESPHNPGTFLPAPPVHGAIVFNIGDFLMRWSNDELKSTLHRVRAPPP RADDGEMTRERYSIPYFCSADPERVIDALPGTYSETRPKKYAPITSREYITMRMNATY AKY I203_04246 MSSTTKLRKLARRLECPVDEDGGYTNDRWTNRDLIPIPPDRRTY KIWSFCIYWFVSGACISAYSTGSSLLAYGLTAQQSMACVVIGAVITGLLSVVSGFPGE IHHIGFTVVSRMSWGMKGSYFPVCLRVFTSVWWFGIQSYWGGQAVNLMLGAMSPSWKH QPNKFSASSNITHQDFLGVVLWYLAYIPLVLVPPERLQRPFVFSSAAFGATLIGLLAW AVPNAGGGGPLFKTVNTASSTPYSMMLGITSILGSWGSGTIGQSDWVRYSERRYYPML SQLFAAPLMITLCALVGVVVTSASSSILGEIIWSPIELLSAIQDHYNSTPGVRAAVFF AGLGCTCAQLSINVLLNSVSTGMDMAGLWPRYLNIRRGAYLLAAIGIASNPWQILASA ATFLSVISGLGVFIAPMTGIMLADYIVLRRCHVKIEDLYNGSPSSIYWFKNGFHWRAI VAFVMGAWPFCPGFIMILIDPTATNAWVKLFNISFLVGLAIGFFVYLCICLISPIPHQ KEGLNYLDDERFCKNVSGEPSTPAVDENESEDKDRDIKGIETNALVREV I203_04247 MPGLTTAQVTELSAVNVLPMGPTHSVIHPLVLLSVVDHAARVPL SKNKRVLGVLLGQDNGNTINVANSFAIPFEEDDKDAKTFFLDLDYVEEMWRMFRKVNA KERPIGFYHTGPRLRSSDLEITQLFKRFCTRPVMVIVDTRATGGRGDTGIPTDAYFAV EEIKDDGTATQRTFTHVSTSIEAEEAEEIGVEHLLRDISSSSSAPSSSLLTTQSLSTK VTSQLQSLKGLNSRLLDIKDYLTAVKNKKLPVNHQVIYQLQEIIGLLPQLSDDQQLGK AFKIGQNDSNLVVYLSAMIRTVLALHDLIENRIENAQQELEDSKSPQDRADEAAAQAA GVKAEDVARAKKEAEEEKEKEKKK I203_04248 MYRLTLAGSLKAPITPIRSFSSTARSLQKGPPTTQGHSTDKAHQ TGHSDGDVQSASVRAGQNAKDNASPTAQGEAQPFDAARQGSSGGTAKSSEAKAEVKDP GQAGSFKDQVGGQDESAPGVEFGKTEKAPGGSYTDSVKETAKSGFDGLKKLRSEGKNF HTSARQLYPGKGTSPSADNDGSRQPKELNLEGEQNQHLKHQAPGTADQGKGNAAETPH LPSRKGDVTTGGSGAPTAPQSGKKAFSTYNRQLMAAQPPKEYAKALTSEGEQAGYNAP SEGFPRRLDETYTSEATPSPEPGQTPSSKAEYSSTAVDPPNGALRAAAKDGTLAERNE QPHAEFGKLGNKEAWKHRK I203_04249 MLGLSTSIGSIGLNASSSILRNGLIRCSTETTSVMRRGINRRIP TTFIRRDSSSTPTDSSSIPKIQNATTPPESSAITQTDSKETSKRSILSRITSNLSLKP VAETHTSKEGGEDSGSSSVIKLLELAKPESKNLGIAVGLLLVSSSVSMLVPLTIGKLI DFFSSNSTQFLGLSFPVAAGLLAVTFCIGATANAGRAIIMRTSGQRIIARVRNQAYLS TLRQEPEFADRSAGDIVSRLSVDTNILGDSVTSNLSDGLRALISATVGVAAMFWISAK LTLVMLCVVPPVSLGAVFYGRYLRKLSNLTQEAVGEMSKTAEEKLNAFKTVAAYNSQP LEATLFSKKVDQVFQLAKKEALMTGIFWGASGLTGNLAMLCLLGYGGHLVSISEITVG DLTSLLMYSAYVGGSVSGLTGFFTGLMRGVGAGSRVFWLLDRTSHIPLDKGIKLDQTR NGPIRFENVVFRYPSRKEVVVLKGINMTVEPGTSVALVGSSGSGKSSIQQLISRFYDP EEGKITFDGTDIREFAPESWRERIGVVFQDPILFAGTVHENIAYGSPDATREDVEEAA RAANCDFIWDLPQGFDTLIGKASLSGGQRQRISIARALVRNPSILLLDEATSALDSTS ENAVNAAIDDIIRQKNITVILAAHRLSSIARAERVVLLEHGVVSEEGRYDVLSRKEGS RFRTLMAAQLLVEKSSKGVQEDQPQIEPNSEEEGKGRQTENVVAQGAR I203_04250 MAGPQPNVNQNFANVPAGDTPEPRWGDKLRLPAWEPTYQEQPIH WLQNAKWLNANYILVTGYLIRQEGNITREKPIPVFVDSVVYNPHYAHLANTPNLYSTN YVNIRNHNGIAGTEYLEFADLKPKDYDLQYAKVPINIPGSPSSYFIVIHPVQRTINVD RFVMFNSELMKSSVETVLNTVTWGNAGKLIEAWKSAGNRPLLRFNANQF I203_04251 MEIPSLPASFGVKLVPALSGEGTKARTFAFLPDDLLKISSSAMS ITLKQLKGKLPAKSRFCYSQGEEVDDTLTIESYVKYSNEDNVAPDEKNSKATLFVKLW YLLPSVSPNEGHLPRPPASEIIPPHNNGENNSQLNQSTIYQRGQLQTPEQRGKLNEQS IQDLLQKIGGDPSQMPIGDIQTNGLRNMTSSDWSKVERNLGYLHGYYPSSDKKRFLKA AYPGKFNAFRTYIKTDKKPAPVVPAPPSYDENGNLVPPSAPAQVEDLINGYIVERQVP LYHTAGLQVKIDVKSYRSSQDMQYAVSGFDHQNTQIALGVATPKVQAGISGSRDSTKA SATTDSSTEVINKIVATWQIPVVEIMFSAASTEIHPECQDRLIALKSGGTCEMAQKFI QDYGTSFSTRIVLGGKLHSSQDISSSSKDSTSEHETRIRTAVNVSISGGPASGKLDAK GTGGHSSEKGNQSSESSSKGEDSVHLAWTAFGGESIFAQSPIAWLPTVANFNNWQTIE HTEVRSILYAFDGYKGFEGTSAMLRALLSPVDLVRPFTLPWTAAMYDAIPGFDPSVGA IGYSFSSVDLSQHFKTPPFKVKASKVIHEGSLNQTDQGDLKLSWTLLKDTGGVKEYVI KELSSSETENGVRPLSDHLLYLDVSERSFTIVLQVRSPALVTSIDPREMDWTKEALEL VKLSESKEENRRLFNDKYGDRFITGWARQRIIHANFQISFNRLDDFDTAIRKFNEAKF SEKTVSSGLNWIKEMISRSGVQTNAVICKSDWKFKGFEVKHFDRVNNHQWNQVYEEIY NISSADPIPTKTFSHSFVESLPNLEFPKQFTFTKVDSENTEKKRKKLINFFAEISSQS SLPILRHGSSKKRELKDNLVKIIQKIESAEQSMKGIGYTESQVNEINAMIIKANQDLL VQLAACHWIRKSKQCEINLWKNGLNWIIRYATHMFTSDFCPNEADREKFGNDAVNAIN QLCRKPAETPRVDYEWSVFSVPDRFVNFTANPPFSNYKLVYWKMTSYNGGSMGGGKWW PYCPWKQVLNLLSCHWEEESDLFIWNRSPTMRVERQDLFSYHWGLETWWLSDDDFPFD EDMLWDFEISGYSHRKGKMAFTD I203_04252 MSTITQTITEPLRTLQLRSESPEKTEKPIFKGTKYDHDKDAGGA KNSEYPYAWALPTFNNTTYPPLTPYEHKDPGLEALKHENPREFLQNAQVSDLTPRFGA DVSGVQLTQLDARGRQQLANFVAERGIVVFRDQDFQDQSPEWMLQDWGSFFGRLHIHP TSAHPLNHPEIHLVYRDMSKTFNYEFTDRFTSSVWHSDVTYEEQPPGLTTLFLFDSPA SGGDTAYVSQVEAYKRLSPSFRAYLETLEVVHSGVEQANFSRAGNRGGTVRREPVENV HPLVRTHPVTGEKALFVNKQFSRRIVGLKQEESDAILNLLYDHLAKGVDFQVRVKWTP RAVVLWDNRITAHSAIVDFDSAQDGRRHGARITPQAERPFLKKD I203_04253 MSQIGFHSIPPFSTNPALLKISTVRPENSSPASTSSEDFTSSSY SDNEKIESAPERKDSNATLRIPPLNVSEKGQAAHFTSQPIQGPDGTTLDILEGIPPLA EAPAPASGSTFGKACLRIVGIGKKNAAHAGNAITTQPSVFDTPQRDHYTPKS I203_04254 MFLALDIDRYNLTNATADNFLKDLNLTQAGYSLGDTLFRVGFLI AELPSQLVSKRLGPDVWLPLQMIIFSIIACSQFWLSGRASFLAPRFLISFFQGGFIPD VILYLSFYYTKSELAIRLSFFWVSNYLANLITAFLAVGILQMRGIGGYAGWRFQFLLE GLLTLLVGVASFFLLPASASQSKTWFRPKGYFTDEQVKIIVNKVLRDDPTKSSMHNRQ ALPVKTIFRCMGDYQMYPLYLIGILFGIGAYPVQQYFQLSMKQLGFTTLQSNLLSIPN IVWSIINIVGITVISEVVNSRAMVCMAEDLWMLPNYIALLAIPNPGAWTYFAISTVLL SFPYVHAIQVSWFSRNAGSVENRTVSASLYNISVQLSAIIGANCESFLPYYTKQAINL DINMPTVLL I203_04255 MGIASLVPFLFAYTAQHTNTLEVTGVRNLNYGAGDNQVTNGYVH LQNGNLFFSLFEGKDDAKNNGLIVQSEGGPGATAFDYRFIGAGPCQLTPDGEPSLSGL TPALYPWTDHANLLVVDFPIGAGWSYNTSDQFPGSTSDTAAEEFDDFLQVILKQWPQF QRQPLILSTLSYGATTGSHIASMILARNNAVRDQWWSTRVIKQFDQILFGNPCADVMS EIYSQWDVMCNTEPKGYNETICSHFRDDLTQCLDKLRYIDEVENTKDLRLSAVESCVK SIEIRFEAPLYNRYNKKERKCYPTNLCFWWLGKLTELMNSQEMRVWLGVTRHVERWWY AGPAMIRFAKNADHMQSAYKLLTPVLEAGTRLLAYSGLEDTMVPYIGTFSWMNRLPNS HLRAFRASEAQQVSNDLFKGTVINPRSDFTLIGVKDAGHLVEQTHAALMQEMVKQAVR GKNYNPLLVVPNTTGNDD I203_04256 MTVMPLTPPAEPTLELHMKDGYMAHQDTSLPCPWIETPLIESAP LSKLAGCRIFLKMENFQPSGSFKSRGIGNLVLRAVQRSSASSSSPKHFYASSGGNAGL ACVTASTLLHQKSTVVVPLTTKPEMIQRIISAGATEVVQHGQTIADADSYLRAELLPK DPRGVYVPPFDHQDIWNGAETLIHEVHHSLGQNPDALVCSVGGGGLLVGLCQGLDKLT PLSKRESTKVIGVETIGCESFYEAIKAKSLVTLPSIKSIATSLGCTTVASKALEYGLR SNVNSTLVSDKEAIEACISFAEDHKVLVEPACGATLALVYSGRLGEVMDVKEDDKVVL VVCGGSNISVDMMYGWKKDFDL I203_04257 MTPKILIIGAGELDLSSIQSFTSHPSKPSVSVLLRPSSKTDLSS YPVNIIRGDIFGPISEVSPLLKGYDVVISATGFAGGPGSQIDLAKAVLEAGEKHYFPW QFGVDYDTIGRGSSQPLFDEQLDVRDLLRSQSKTKWTIVSTGLFTSFLFHPSFGVVNL TPNDKHATVNALGKYSNAVTITSAEDIGQVTSKIVLDDPEDIPQGVVFIAGDTSTFED VYEQVERVGWKVDKKVTTVEELEEKRKADPDDLGPKHGLIWARNVVVSWNFKDTWNAK KGVETESLGEWVDKNLPKP I203_04258 MATAKLLIPILKPILPYIPLLPTPVLSLFPSLLLHLTTHPAPSS TSFVSASTTHPLHAPLLFTILSIPVIYTLGLISGNVSWVDRLWPFYTPFCTGLLVIWV FVNDEGAVYGHNIPRVGLMWGLQLLWSMRLLSHALKRDFYNLKSEDYRYTVFRSLVPR PIFSLVHIFVIAIAQPILLFSLSLPIYAIMSLPPSELASISRYGISFGFISPYLPARY RSSAPGGTVVLNLADLIVALLAIGCLIMECKADSQMYDFQSTKHKLIKTLPKDKLVHP PQPQPQPSPTQSKSKLPQLTVYPPSHHPGFPTKGIWRYSRHPNFASEQLFWVTQALFV VAGSDSSGVTRRGDACVWAPCFALSILFCASTFLTEWITSRKFPVYRSYRRIVGQFLP QETFLIWLVGSITGYRKKHLEIVYGPMEQIDMQKRKNSQ I203_04259 MSKVATAQLVKILVPAGLAKPTPPVGPALGARGVKAMDFCKEFN ARTANYVQSVPIPTLITISPDRTFTFATRTPPVSHLIKKTLGLEKGSGEAMGKPTGSK LSLKHVYEIAKVKALDEDLAAVGLERIAKGVLGTARSLGVEVVP I203_04260 MSSLPSAERPESFSSPSLVPKLHLYTVGTPNGHKPSILLEELHE AYPENDQLVYDFIPIRFSETDQKKPEFLAINPNGRIPALVDDNVGGHNVWESASILLW LIERYDKDHKFSFKDPKLRSDVLSWIFFAHGGVGPMQGQANHFARYAPEKIPYGIKRY QDETARLYSVLEDQLKKPESKGFLVGGKYSVADINVFPWVRSHAWSGIDITPFPHVQK WLDTIEARPAVYKGLGVPTRAKKLTKEEEEEKAAQARKNFGFGK I203_04261 MSTDRLPKALQATEEDIQLLLAAQAHLGTKNCDKTMEPYVWKRR ADGIHVLNVGKTWEKLVLAARVLATIENPNDICVISARPYGHRAVLKFGKFTGAQAIA GRFTPGSFTNYITRSFKEPRVIIVTDPRVDHQAIREAAYVNIPVIAFCDTDASLKFVD IAIPGNNKSRHSVGLLWYLLCREVLRLKGQVPRGPTGPSGWETLPDLFFYRDPEEIER EAAEKAAAQADAEGADADAAASAAATGVAQEWDAGNAADAVLAAQPTDQALDWSAEPT SGDWTAEPAQDASGGW I203_04262 MSRAATATPPPQTYFPQRNATPTMRHCAAPTVTAAHFANRGYQH QPHKRKSKNLPHSGNTTNSSPLKKPSTPVENGEALGLSFEDLGATAVEYDQPPQPPTI SRQNSVASYSSSASMDSFQSSRPEVFTSEPSTYGQSSGSETGRSTPTNASISSSVSRA PTQLLTPVASEASFFIAHTPQEQQDVAAQQAARGSWMGKMAMAVVNTGMSMGIPFGQH AKKDSAVILPQSVEPIAQPVVEPAPTPAPIAVPSGYSIPKPSIEELSTLSPEQRLVRQ REWAEAENRKVTECARLCSQWPQSGYNMSKHGPNGLNCYYQPQSFANPQHVAGVMQRQ AELERYLAINSMMFFSCQRERDLHRDSSSDDDNDTDPSSYESSQPSPSTSMSLSVDDA MAKQEADIRAAMSSPIISVANLPNGTQVPAPLLLSPKSVKGARSLPELDALARSMILS KDDSAESAMDIDQSESGSLAGSTDLSESGSSFSRPSRAQSCGAKRPSTAEGFEEEKRR KVDEAMVVEEAVETGVIAPPPSVKSSPNNRMSSSVPDLNKAKAAAAAAQAPPAVFGVV VKTSDTHPIIISPFFPSDLLPILTKHMVMPPPTGPFSQTHLLLASKIDVPSLLLSYVP PTPNPAIIPSPVNNHFGQQQTDRKVVGNLLLSSCPGKRLRLDGPSRGRGPVCRDLATD LRRIKSEGVGCLVCCLDDPELALLGVPWETYREIANEIGLDVIRLPMPDGFTPVNIGL FDSQITLIATKYSLQGVNVLVHCRGGVGRAGLTACAWAIKMGFVQPHPSLLLVESAST QKNKNEPLPQELEHQIVMSVVERVIAMIRSRRGLKAIESFEQVQFLARYVGWLRKEVR GC I203_04263 MMANSIVTQPTALEALEHYKKKDASKVVVRFKAIGSAPIMKNNV FKATAGHKFQAVILFLRQQLGMKKEEALFTYINAAFAPAPDDTVGNLYKCFGTEGHLI VNYR I203_04264 MSENNNGSNNKQDDPTNNPLFGAPPPSSTPTTDSLASRPTVSQG GPSTNDSFQATTDPLFGASPPQDEQLTTSIPTPTQYHQPIRRELRLYHPPRPASPSRT LLFIRRLTYILSILLGLSSAVAGIWSIFILPLLHSSFSARKALVVQQTERVNNLLEGI RKLRALGLYPKSNHTEIDVEDGGNGHGEDKREEASLKEISSSISSLHSEPSTIQRAND EIDEIIPITPLTRLISKLKILSSSMDATSTTRLSVISTLEGYTSSIHHQLFLSRSTTG GMSSYGVNMNSLSNHLNQDKNQSRDVIGGTGEEWDNTRKEIRAIKGLLLNRRQFVASK I203_04265 MSDPPTQLSLPPTLPYPIAITRLLVAPGNSVKRGSPLLEYSFMS KEQREAISKRQKEGRRADISKGEIEGDDGSGTWDCLVDGEVAGWEGWIKVGVRIERRQ SSQPLLNVHQPCSHPVQLHGMCGVCGADLTEDDYLSVPAQASSSNQAGPSRGFEVTHD SMGVTVSKNEAHRLDNLTRDALLSSRKLSLIVDLDQTIIHTTVDPTVAEWMDEIDQYN RETESASPQQPQDQRSTTPPALPPDANDKINTTPPTSPHNANATLPQLPPSPPRERER NPNTDALKDVARFQIADDLPPGYVKPKIKPGQEKMIQMQGRWYFTKPRPGLQKFLDEM SELYEMHVYTMGTRSYADAICNVIDPDGKIFAGRILSRDESGSFSSKNLKRLFPTDTS MVVVIDDRSDVWADCPNLVKVVPYDFFLGIGDINSSFLPKNKSTPSPSSTATSPTAAS SPASSSDLSTPPPATPEDVPSIEDGLLLKAKLLDDLSESRPLAKMQEKLEHDIQPDGE RDKVDVFPIGAKSETDEVDGAPTGQTAPDVEVEQNEGASESTLSPTIPSTPPRAKKPL LNPNDYELLRVTDILTEIHHRFYRAFDSLESWDPSTSLPMSCDVEFIIPELKSKVLEG CNLVFSGLIPQAVNPETTEIWQTAETFGALCSLSVHPRITHCVTATLNTEKTYKSSRI PDVKIVWANWFWDSIALWNRQDENKYFAQKEKQRSDPITPVLVAEVLENTNRNGSNGE SSLVQNVDNIDQKEKKDSADDGLDGPTEEEGEYEDMDDDAQVGKGWDEGADAEWDAFM AEDDDDEGYGTDSGSVRSINTAPSTPSKKRVRYADEESLPLEDFKDPSPTDPDDQPAK RRKPLLLEAATENDQIPAENRFQYKDKGKDKGQGTGKTDDSHVPVVTRGEEEEKDVDE QSVAETEGTEGAEQDEFAMMLMDSLANGEEAEGEEEE I203_04266 MTPQQPFDLPQIGSPSFTPLLLRYVLAHPSLGGWPINPSLFSVL LLAMIVRKGGAVIDTGKRDVDNLVRVIQHMIKLIFDLRVYHLSLDEHSGLEHLENNLS HQVSKPNSPLLDRKYEDDERSHKQAQRHKETEDEIEVLVITGLENASSPVRVKLAEIM TKKRIRVSHGQKNEGDVTGDGSERKFNPLIIWVRVEGKEVPSWMIDHFMLGLNIDSEE IEPPPSDLNYPPSGEGILPKTYINQLASLLPFVHTHAPLKIHMSNLLSAVSSHPGLRT AMTGRSTRRFGEYIQAHRLLSGSFTVPYTFLENSSTQHRDGEDSMKGKGLGGGIGGVD TWAEMAGEEPSLTKFASETEGDVEDVYCTPANVEGVWKVFVGHRCRRREEREEVMWLI KGSAVDGDETSMRKSGNRRGSDKILDEILRTV I203_04267 MGFIYPLIALGWCMILLIIRIYQVIPSSPPTKSSKRNPSSKCSI AIFLGSGGHTSEMRSLLSTLPFDRYSPRKYIYCHNDEISLRAISELESKKGDVRTQNS YTLLPLPRARKVSEPLLSTLISASKTLIVSLWHVSLLPMLKDPREPYAEVLLMNGPGT CVVLVLVSYIRRIVGLKYTKIIYIESFARVRSLSLSGKLVRPFVDKFLVQWPEAGGRG GRVECKGWLV I203_04268 MAVILRSNTDLVYLPDHGFVGEEGALRILPQITRHIHRLDISHN LLGSSGTLTLFKGLSTLCLRHSSAELGLGMWGLKEVNLGNNNLDDIAFDGVLAYAKKD VWLKRVLVHGNDITLDEKNVNSIVNSLNGSHITSLSLVNNTSISPKGLVRLMGLLDAT ELKQLLLSACNLSSEDGLIEAIVNYLASSRSRNLESLELNGNHLGGIGVTKIVDCIES SNWTITTLGLLANHSLSDQLVPFDDVDPDHDHDPIGDRDHNHPGFGPDSDYRLLRAEE SRTMDHQIHRRLPEILDRNRILSKRIRLAALKTLVPARIILNAIPLSDEQTARRIIYD ISQDRSCDIGGFRLLELPEEVIHLIVRHTSDDPWAFSESQWTRLRKEVSSRDNLRKAS ELANSRLRGKLPDERRETMRELKNDWLRKGRWDKWER I203_04269 MSSAVASSTADPSSTRRKASKACSRCMRYRTKCVPLRSDAVGQP PCEACDTLGLAQECTFLPRGQSALDSIELDGFSIRVFYPIDLPTSGHAPILIWYHGGG VVLGGINAENPFCTRVANSAKCVVVTVDYRMAPEYEFPVGHEDAWTAFEWVYDSGKGR LGVDISRFGIGGSSSGGNLAAFVSQRAGVQGIPIQFMVLGVPVCDNTATADTYKSRNV NRHCPGLPDAKMLWYRDQYIPTAEDLSNPIASSLFGSDGAFKSSVNKVFIALAELDRL RSEGEAYSKKLKTFGKEVDCRTYPGVPHAVQAMNGFGRHPADVAMEDLYPDNVEVDVP QIEGGGPWLRLKTPMVLGEAPIYRAEDSTLHYVDCLKEPAELHILKLDPTTGELSASS QDGQPRILQLEESVTVQFFREKKPGYICAYFAGVAFLDEETGKLEIHKEIIPQDERSI RRFNDGGVDVMGRFWLAEIDRKALSLGMGRLPQDYGEPLGRLWRYDPDGSLHLMEKGL VCGNGLAWSPDNKTSEWCFAIQGWALEGQFSGRKRLFIDRRNLGGEPDAMVVSVEGDL YIAMWASSRVMVYSSEGKHLKDIKFTARNMACTSWGGPNHDTLYIASATDKRPNKNSE DDGGHLFKYNVGVKGVPKYKFKG I203_04270 MSSSSSPLQYIKINGADLAYREAGDVSNQLFITLHGGRGFGSHE SDFSAYLPLSTTHHIVSFDFRGHGQSSHTPPYTFSQLVDDIESFRQNLVAKYNKRETK AVICGGSFGGYLAQQYAITYPGGLSHLILRGTAPSYEHETEAMEVLEQRLSRAPLASL NFLKKVFSSFVDDDEMRLVMFAIGPLYSEAEYDADIGLERARSMRLSAKVHNDLYSEQ EKYFDYRPKLKDIKAKTLIVVGHEDWICPPSQSELIHQGIPGSQLLIVSNANHSVHQE KNEEVIAATRKFLS I203_04271 MGATSDSEDDHELLSYGQPSSSSTDNHSHSQPLLSDGESSSSSR SHRHSSFHRRYPTLHYVVKKWWKPLFAISLPFFLLFVYALIHPHVKGLPPLPKVHFSS GSSSELLPEKIYEEKIVEGCTCGVTDEGRRLCDVYNEEGLRNSRLVQGSGARMRRMLQ KAREGEALKIGVLGGSVSACHGVHPSADYPQGDPAGPGCYTTLLKQWFQNTFPDADHE FMNGAIGGMDSSYYAFCGTHHTATDTDLIVLEFDVNDQADLLYQAFFDQLLRALSEFT TQPAILILGAWSPQVAQDQGYGDPQIVHAPISLYYDVPYISMKRLMFNHYLRFPKSTA EAFFQPDLVHPKARGHRILADLLISYLESELCMLTHYGLPVIPSISETISTSEPFSDF IDIPFPLDTLHLIDPITPPPGWEATFDTEPLEKLKLENRKFVLPTTPYFVPPVGIFTP LREVVNPMKEDPSSGKHITGLIQPELFCADANDKKNPMKPTSSEGWKPFVWNGEKHYW VSDTPGARIRVEIKVTAGRVAVYYFRSQHYNLGDARCWVDDNEKGAVHLAGYWKKQYN VAIVAYIDEKVTPGDHYVTCEVAQNTSHPTNPDAHHFRLTAIMAT I203_04272 MPDNLPIIDPLPYLPPSSLLQHLTPLSSSDLISSREATAKKIHA ACRDIGFFYLKVDAYLTKEEMKEVLELGREFFHRPRDEKESIGLEKSDGVRGYQKLHQ NITKGKVDHHEGLDLYAPSPYTKSTQDNDDPKLNGIGERKFKHLDGPNQWPSQPESFK PKLEQWIEKMKVLGWAVMHAMADGLGMTSEEWDELGGMVNDSFWVMRVIGYPPLPNGA DGISCGEHKDYGCLTLLHADPIPSSLQVLSKSNQWINADPIEGCIIVNIGEMWEIWTG GMYPSTLHRVIHKSPTYRVSIPFFYEPNFNARVRLLGAAGRKAKEEGIEVEEKVEVVY GDFLLGKVSGNFKY I203_04273 MEGVSTLICFPRPQNNHDRGGPATAILLRERNKGIGLVMENEIN IDNARQYLNSGEGQGLKQQLFGGDQPTGDPQADAPSFDHQGNPGQPGQFGQEGQFGAV TGKGFGGGFTGDNQEGKRFGEVTGQGLGGALEEKKAEKKSDYEGMDVGDSTATGGYGK NAQGFSFSRQNQMGTDHDNHANPGGVYGNDDDHREGIKTGYSTPGYRKEGDDEEENAR RLNQNVYGQQADDRGAKGNDYNEAEI I203_04274 MPPKRITRSQAQASQASPASEESVTTISRHARHSRATDVDPNRT VKGGTPQPEVQALSSTARAPEARAVIRDCQKSTAASRR I203_04275 MSATATVAPKRKLRPARKQVAEGEVDKSEAIQPGKEYNIWYNKW AGGDKEDALANKTLSQTRCIIARDAGYTRADATGNKYCCLFFARGCCPYGHECNYLHR LPLPNHVLPDNSRDCFGREKHGDYRDDMGGVGSFNRVNRTLYVGKIHESPDKKQTEET LLRHFGEWGKILRWNILYNRGVAFVMYDSELNAQFAKEAMANQSMDGDEILNVRWATE DPNPGEKKAEARRIEDMGQKAIAGMLDEGLVEAAQTVRALEEGDEQDFYHIEHSKDNE EDEEELPEQPSDEDDKEQRRPIKKVKNTNGGFFDADALDNLKFYAELAKKQALEDQER VKERKVPAKPAGMASLLGGYGSGDDSD I203_04276 MPVEEDESLADAAFLELLHTAGHPSSSDALSSEELASALGIDGP LSRERALELLEKEVLAPVDNLQGDELWRWQVQPPVELPIPPLTLRPLYQTHTVSPSYK GIDGTFTHWREGLAPKPPAHPTLSSSTTRAPGSLQNFVRGKGSYAPFLPGGLEAAAKP EEEENEQEAEEEDEGWKTRAPGMRRGIKLEGADEFLAEMLGQQSIAPKAKRRRKEGEF EPQLTISRLGDDDADEQVEEISTNGHAGPSSKNVDDLLPIGRLPAPPPPRRQFKAAVH KEWAHVVDVNQRLVNFNELVPEMAREYPFELDNFQKEAVYRLEMGDSVFVAAHTSAGK TVVAEYAIALAAKHMTKAIYTSPIKALSNQKFRDFKTTFDPSTVGILTGDVQINAEGS CLIMTTEILRSMLYKGADLIRDVEFVIFDEVHYVNDAERGVVWEEVIIMLPEHVNIIL LSATVPNTKEFADWVGRTKKKDIYVISTPMRPVPLEHFLWAGKDIHKIVDSKSHFLGE GYKSAQEASRRKQDKEREANGLPPIQRTGGRGGAPTRAKDLPTGKNAPFTKIGAGRMH TNRAGGNGAPAPAVASRGGRVGGRGGFGGRASHQLDQNVWTHLINYLKKNSLLPVVNF VFSKKRCEEYAQTLGAMDLNSAKERSEVHLTWERALTRLKGSDKTLPQILRMRDLLSR GIGVHHGGLLPLVKEVVELLFSRGLVKVLFATETFAMGVNMPAKCVVFSGIRKHDGTS FRNLLPGEYTQMAGRAGRRGLDTTGTVILLSGEELPSVAELNEMMLGTPNRLSSQFRL TYNMILNLLRVEALKVEEMIKRSFSENATQKLAPEQQRQIAHAEKQLSRLPNVECDIC KTDIDAFYELSSEAVRLNQSIFKQASYAQNATKIFVPGRVVILRNGHLPGNLAILLRS ATSLITDGVKSDAKAWRMLVLVTPGQRSKKEDVKESEVPPRWPPVLPKGSFPNPQWEI GVYDTTSLSFVVNRILKVDHSGIVDKSSKDSRDKALHDLTILHEELSSLPELPEVDWS RIRAVEFVDAIRQRAILHDRLNKLGCQLCGDFQEHYSILHERKVVESGLVALKLSLSD QNLELLPDYNSRVEVLKKLQFIDENSTVLLKGRVACEINSAPELILTELILENILSEY EPEEVVALLSIFVFVEKSDSQPVIPPKIQQGLEVIYKIADQIEREQDKCSVQYGQFDE KYKVGLVEVVYEWAKGMPFNQITELTDIPEGTIVRVITRLDETCREVRDAARVIGDAE LFQKMEAAQALIKRDIVFAASLVSFPCH I203_04277 MSYLSPYHPDQPEPSIPPFDLSTIPDEDIDHPSGNDELLPKALK SPNDRRVIFPPLVLGCSTFGYGIYADDDNVKSSLPLRIVRLAMRCGINAFDTSPWYHP SEIVLGQIFKSLDYPRGSYHLITKVGKYGPNSRDHHFDPETITKSVKRSLKRMNTDYL DVVYLHDIEYILPPPSYSGNPLDSLSEIINSPSTPSKEEQILLTAIETLRSLQAEGKI LQVGIAGYPLPILLRIALLVYQTSGKGLDVLQTYSHQTIQNSSLSSGYLQAFTEQAKL SQVVSAAPLSMGLLTSSGGPTWHPARSYTKLYETTRKVSETCQKNNTSIIDVSLRFGY RPLKQTDGKKVPIVVGCTELDQLHSTLRKWKEVNPSFVFEEREKQQEEYEKQDREKVE FERELEKMFEDEGVKNWSWACPSDQQREG I203_04278 MPPTRTTTNKTPRKPAAHPIASSSKHTLDAIPSADVTESITADY VYKAVTERKGLNEEERSRLIHELVGFQPRKLCDDISEVARQQVYVTISAIESWAREKS NHNPKYETELITGLVALETLLESHVDKAFDKFTAWVLRNAFEFSPELEVVLPWQKGLD FQRGEYVANLPKGQDTLDENLDEMRLKVEQARLLAHKLDLAEEKLDRRINIAKQRKAE VGFIKQVIDSAGLNSLDTPAQQFLPTLLSLQESLKPLEPSSSTIGTGMKITPNQHTKA WELGRSAYLNWALGKVILNTTSSSTIQSGEGGGGDKLIDVESEIKQVIGDENSKEDVE KLLGNL I203_04279 MSQVRMEDSTNKVEPPSDWFISLNDLRSSQSTYFTLTQLLTSSE GKKDSKALVSGGVVLGRKSSTAAPKDGRFDSPIISRAHAQLTLTPNGHVYVTDLGSMH GSSISSATRLTVPLTTHSPVQVINGDTILLGKTVTSNGTNYDPLRLTVIFRHHEFGKG STTHGGRKSTGRIKPELAQSRFAGVHDESKMRKLIANLKPNKPNHAEIRIASAAIIAA MAQDRADLSTYLPSTSHESPLIQSPNGNDDNIDFNADDSVEFTFEIKGSQLRSGPGLS QESAIEVQSLRSQSPISVRSDTNDSDQENTVPQAIHRFNTYKIPPSILYISEEEDRDS LPRGSSDFDQHEADDDSMTDYEDHEDVAENQGEEADIFPEKISTLPGVPFEDDHNQSR YDDDSEIDNESENGEPEVLGVREDDVQHQTPLHSTPAKSPASRPVDDNESISPDYRSN SPINVPIIKSPLDRDDIIKEYDEDDVSRHSPPSSPNYRAWYSYSSDEEGDIQDPALLL MGGTLDIINTPFVQSQTASLPSPVSHALSPTNATRVVVIAPKTQEQMQGEDEEDRCSN SHEDQEEEHEEEDFNEDQSIVEDDENYSEEEEEDKGIQMEGYPDDGSSAAYDESNYDE DESDRMDYSEDGRSSVFDSDGGQSYDEENEFDDEEEEEDDGEEEEEGDDDDDEDGGSE GEEESERSEIEDEDGEEEEEEAMSPIVNIAGSPHHPQVEVSANVDTNNQLPQVGKMES PEIAQKSNQPAQGAEHHELAFDETDLSKEVKEEMLSQRNRQSSEAQDHLVPTNARDID ERIESNIGKDVDIETSSVDVVAGHEEGAAPAVSDGQLSIERPRTPSFSFSDASSEGPI TPESTKKRPLPEDFTIVDHDHISERGTTTSTDLLVPTQARDDTRPIKKIRRIGSAIGY MALGAALGGAGTVYGLMQFAP I203_04280 MDIDKPPSITPTSSSSSSSSSTPKEKEKPKKFKLDPMSLLLPHE RQAYLATNAAAPPPPPQQQQQIRPQGYMSMSRPQHASSPGQVQQQQQQQQQQQQQQQA GPSRLAGNEVGKNAQPHPSTQIPSQALPISPPASLMSTPPPAQWDASSLSSTPFSLDG SSSLASHYAHHTPYYPLRGSKVRLEDWEIVETLGTGTFGRVLLVRQRPSYRPTPYHPI FPHLFQSLDPLSPSPSSTQQSDNQLPHFAMKVLKKSEIVRLKQVEHINSERSILEKIR HPFIVELYSTYQDQLNVYMLLSYIPGGELFSHLRRAGRFSADVTRFYLASIILAIEYL HSKNIIYRDLKPENLLLDRYGYLRIADFGFAKIIEDRTFTLCGTPEYLAPEIVLSQGH GKAVDWWALGILAFEMLAGYPPFFDDHPLGIYEKILRGDIAFPSHIDPYAKDLIRGLL TADRSKRLGNLRNGARDVTSHAWFAGVDWRTLERKEIGAPIVPRVASMGDSQNFQRYP PPRPHELPGIFGQPYDVSTDQYGDLFRDFSFPHQGNPTNVGGSAGSESASPVISEGR I203_04281 MSFLPNLAGINYVPAPNTTTDLPGSATAPTAQTSGFVQAGDAPQ SQQAGTSGGPGLGSSPLVPKPGTSTDAQTGKLHSEGITLEELQAKRAAEAAAVTAQLS SSTSAELNDQVSLPSGGGGLGSSPLVPQPGTSINAQTGQLHSEGITKAELQARKAAEQ AALSANVSSATSAKPEEISQDELKQIQEKGKELLDPTPHHQQARRGSTSGPPASNLSG HSTALTADGRRLSDLSGREQAARQLAGNAIPSHPFNQRKDSGLSTPGHELPGGWGSTQ TVPLPGTAPNAPTSLYHDIAEGLDSVGRAAFNVIPTPIKDAFSASPTSPKSSSAQLGV STPQGRRSSVTALFDQAKDKASRLVEDAQGTLQNTQRRASASLNRDSELRTKISGFVD NFAAASPAFVAAGPGRQVPVAIVPRYSLPSEEPAGARPGEHTSGAGALPGSSNERGVA VLPDEKKAPLTLPSQENQGVLPGETSGGIGALPGQIGESGVAVLPDERATNAYQELKP ASSAAGPSGTSGLAGPSGTTSNDFPTASGSAGPSGTTTESTPRGASSGSSYAGLAPAL PSTSLGLGGDKGATDVPATLGTSSSNANSLTTAPEPTSTVESGSTPATSTLSPATATT APASIESSDGVSSALAVPETRHANERTSSAASVTAIRHGHEGSVSKISPLAGDGITVP EHEELDTTSTSAGGGQKSTTPGTGPSHTTSLTPGNEHEGIGHPSTRGSEGTERKPGSY PTVAGGDDKLQEEKKKAEAIVDAPAQESDLTKEKVNTETPANGPTQKAVNVATSTEKP VEHTTAATTPSKDSSVPHPTSVEEAKDIAGVNGASPATPTSKSTTTPSSPSTKTTPTT AAATGNGIGKTNGDGHSRKGSTSSEKKRGLFGKIKDKLKH I203_04282 MSKTNTIHSSIFIRPSPDLNPPNAPSHLTYTLITSLAYLVYLII IPIYVPYLTIIHFLTPRPFPSWTLDRRISTRLNKLRVTLTGWWIPPLPADWDDWEITP PGEPYIEAQKKGEIELKEIRLDPVGKDYIKGIAEVEQVKGEIRPGFWIDPLLPLSKGG VGQDKVILHIHGGGYIRGHPLWTPFPMGISKSTGVKCLSVNYRKALSPSSSFPAPLLD VLSAYIYLTRKIDIAPRNIILLGESAGAHLALMLTQYLRDLSLPQIGYLILSSPWSDF TLSYPSYKYNSGYCQLSPIRLGQAVKSAARYYYQEFLQSGYASPAKMMAGGWKYLKEE GTEVYVHYGGRELFKDEIEELGRGMNRDGVDVRMRLDPDGLHTSGMNGEAGEVFKKDV LEILDIQND I203_04283 MSQNYSTNNSQDESDNQIYIYVGVTVGVLVVLALPLLYIFYLRR KGDDRKFLCFKAKSNKRKKVGSGEA I203_04284 MPIRTQEDDDSSSSSTKTSISASATSTSGVTGDLTSQGKNYIGS MSSTSFTIMMIVLVIGVIAILFLLWWFTIGKNRRQKKRDLQQFSRQNGSTSATTAVKP GSNSVV I203_04285 MAATRPTRGDTLQSSLADLHPLLDDLSRITNPEVIPHDQLMGAL DSVRFQVDNYKKGKSSKERKASDDNDRERYKIDLRIQNEMDEIGVKIWNMTTELERMF GKKMKENERLIASLRHTSFRLLEVSTDPKQHLRLVIRLLRAASEAVSSLAQADQMATA EELALLGAEYHHTISSSSSNAPDEIEEAEKTHAILYFLLARVDLEISKKNDNLALSFM VKASNLDLDGTMDPLECQEMAIKCWSIAQELRSRQKATKAVSFTTSPIEWLQQGLVII EKVKERSAHAYVLNKIRTTILQTIAQAHLKNASDDQHALQDAIESLEAIIKMADPMDQ RTATPIRLLQIHLLSKRTITNDHATVRKAFEELLKSTKWSEETVSDPEIPVNLAQIIL HHALAQDEGRPHVSRIVYEGVFLARQCAVAQPQAGYNGVLSMLDSVVMNGSERLLDRT RIMAIQMASSNMAGKAYESKGRFEDAARWFLSASRPSGSSSGTPLGQSRDNDSLQKVG PTRDGQINAHLAHGRKAALCYIRSGDLTSAEKEIAQCPAGEASTQHLAFLIAEKRDDI DKAKAAIINMIACQDVQPSQILLITSLAEKKGSKKLLFAALQTLFNVLQRPDLKEGFK VESLTVIRSMIRITRLQFDGTAEKDVLGETVVGLLDSAIALFRQSPQEYRSQVKSISW LFRIAYNVAVEQLANLSAKVLSDLFDRSAQLIDWYRDFNPAGLDSDLEKIRASAMFSC FCGKTFQYRDLPESEEKLLLRTQLTQYFTIVKQALPRDEEGKSNKMRDLLEVYHIELL CDASNWELIGELIATFKSTNLADSDRSIRKLEMVVNLLLDHPGCPPRPLHTVIHDTLD TIIKTCVITNETEVVRFSRWIRGIMMILLPKNGRAEQITVKSYLSRVHKVLQTALGSQ NYPHDEMQWLIATTWNRGLDDHRNSRLNDARSWFGLCLKLADCMPEGVINMPSLIIGH AAGNSSPVETLRGETSSGVIRLKL I203_04286 MTGMIMPKIMYGTAWKKERTTELVTQAIKAGFRGVDTACQPKHY REDLVGKALREVIDQGVVKREDIFIQTKFTSLDGQDKSQPLPYDPNQPIPDQVKQSFG TSLKNLGVDYVDSVVLHSPLRSKEQTLSAYQTLETFVKEGKIRQLGISNIYNPILLSW LIERVEVKVGVVQNRWYEGNGWDWAVWEICQKNDIRYQSFWTLTGSPSLLQHPHLINL ASVYGLTPEQTVYKLCQLWNITPLCGSTTLSHISEALAVEGATGLKEDTPEVKQLWDA MHSVG I203_04287 MVRPITTLSILLPLLLSGVVCAADDGQDSIAGMIEKTTPLRTHS LAAPYVDSDLQNRWWDFGGNAIINTNKHVRLTQDRPSEKGWLWSRMPLSVSNWQIDVE FKVDGKAHNMFGDGFAMWITKDRAQEGPVFGSVDYFTGLGIFFDTYANSRHSYQWPRI TSMLGDGKTKYDHDHDNEANELAGCSENFRRQGDTPTKARLTYIKGKTLQLKLQTKYV NDWKLCFETPVDLPESPYIGFTAATGDVSDNHDIVAINTFSATLRPQYRTDQVPLAAD AKSQGRSGMGRPSPKSSSGGGAAGWFLFILKGIGILAFIAFAIAAFRTYNAQKKQKRH W I203_04288 MSTKSIELSYTLHPPSSIPLPTDSSSNPIPSSSTTNFPVPSEPK PSSEVILPPKITQTISPTAKYYESLTYQIRNVQQSLNDTLTKYKDAVGDLEKSKEDFG KVAHGMGRATVMSLAVNGDFKDQREGYQKQAGVDSESEEDSESD I203_04289 MAPLNYLRSTIRANIELNSAVSVSVSVSATTSQEVQYQRPYIDQ SQINDNSKRQITLDVSTPTRTSATNANTTRRTANPTLNDLSPEPTTTRAAAAATAPSI RTTRSANPTIVGNLEIGSSSDTRTANDDAATSTEGDANSSSTSTSFTRTTTIHSSSSS SDKSASSSSVSKSGTNSSKESESESSILSSAVATTNLSWWQLLALIICGILALSVGSW LFFRHQQRRRNERQQKKKEEMENEIKRKKEMKDDQRFKALAFGHNSRRGRGRGRYDSD SDAYEDYWTEEYSDEGTIRPSRRRRRRGDPPRRYRRDDRRRRRDEYSDDDETYSRSIE PKSAFSFRPSPSTPSGTGQGLKSALTKKKGFRDSVFSTYTSMKKAAIKHKYVEAKIKL DEQLKQEEELENQRKMKVLQANREIEEFNRAEMQRKATMELANEGSGNRAGIGAHNDI PRPLHGQQQQPQWGFANGTRNDNDWNGNGSANGNINGINGNDQKWSSRNGEPSRGKLL IPPVPRRPSKTHSTHEIPTAALLPYQSSPKRERSHSLDGEISNLLGKSSSSSSSIDRP KPSRQDASRDDKRKGVLKKPSTEVEIARPKPTYQPSISSSASSGFSSLREGSERPITK KGYTNPFQIDWLNQPSTAVSSPIEVEEAPSISPRYQPREDVSVGMRHPYERPVLPRQR QGADATAGAVSLRGKGSVGFGLGEDDMGMSTSDAKGDGKKWANRLRERR I203_04290 MQMFTKSSMPFQSHLPKFKSKHSNEKSQPLPKPFQRTLHITNLP PTIKPSHFNYILSHPVHSQSSGRRGKYKDTGVSLVQIYHLPSPSPSIKARPLNRLISV FKRIVCVSGGEEDDDTVTCDTSRNSIAKSLVSVQSPNANSASNSTSGVNRPISPGQTS TRNSRSGTSGTTASEDDGSRVEEREVIDQNTNPISPEQDHVLMNITQNVKDNEEEEKE FRTVAWIHFRDEDHLYRAKQVLRSITIDGRQIVVKTDRFDGGIIKRIWSEGSSNKKSE I203_04291 MDDQSLQTAQQQADNDALASAVAAASAHLGSLDDGGVGVGVVSL DDQHQPHQHHFHHALQQPDFSQHHHHVKEDPHHQHHHGDPTNDLNVDVDVHGLEIPVA VDDGSHMHHHDHNLGMEGEPELDLGLGNIGTPNEFDPRENDLSDFDNQRSNSFGRPPS IRKACDLCHAAKQKCSGDRPSCTRCAAGGWNCNYAPRQRRRTVPKDQKNSHHNLDPMQ QHGMTHSAPSHGGKKRKLGARESLSTFGSEAMDMKMAMGMAMDMGLTGEEEGEEMQTM SDDQMLESIAIDGYLADLPLASFVHNLPFTAPPPPEPQVHYNNDDFPSGGDNTFPNSD MDQHTTSALRDAIFSLNENNGGGQGEGAVEGEHGGDGQGDQHEMDPHLALLNLTHMQD DGDNNDPNNTNNDSTGLQIPDYSTPVHSGCNHRQLVPHILSLLTQHTLDPKPGSNTPL TLGVFAPLARSLRLFHSLHVCPSCSSSPQQTLPQLALLSRTTTILTFPYPPIISSTVG SSAQITIHGARLSGTGLSEAIEQHIVGVVWDSWRASIREIFAVLDKKAQDIIRPTTSL GSTNNTNNVTENGNGDGKDGSNSNGNGTPSQPGTPSQSQNQPAQPPQPVVSSLEKQRA GLIFQAVSRLSNAMDEVDP I203_04292 MQQVFRCLVSKIPLLKTNDNGFIGVAIQYRLGTFGFLAGDEVNR FGSLNAGLQDQQLALYWVQQYIHLFGGDPSRVTIGGESAGGGSVMLQSMAYGGSLGTQ LFNNVFASSPYLPQQYDYRAFVPEQAYYAFAQAAGCLTGPYGNTNQSVFACLQAGDSA TLINASASVSEEGVYGTWAFVPVTGPAGSFVQELPSQQLLQAKVNGKVVLSGNNANEG YPFVPKGVTTEDEAIAYLKLLFPFFSEDNLNTVLAAYKYDTGPNATLYATAGDSGDTA NDISSVASGPQQQIAQTYSETTFVCPSYWLAEAFIASGGAGYKYQFSVPPATHGADVA AYFGNGYTSDFSQAFQTALGTLIIDNKPSIPSQVANGLSTSNTSFNPASDWPVFSMQS ELMIDLNTTCTDFVTSAAGVPTCQGADNLNEIREVDAYSWEGGRGARCELWRSLYQVV PE I203_04293 MIPGSLTYSLFYLVAILLLAQAAMADSNPKSNPNSDTNLPGAPL FSPDGKTHKCIPHEQVLEILSSTAPVRTPEGQDAFYNSEGWYLLTETPENTQKILDSG EDHSITLKPGQGHK I203_04294 MASPLVQFKKGPSRRPVQSRKRSASPTADSSLPSSSTSVVRPEK KNISNPLVQGTKRRRDVNGENHDEGLGLDDLDYRADEGLTRRADELATRANDWDLEQD GGEVLKEKKLKLNEDGDLDVDDGLYRGSSNYLPTINKTRETLNAKMKTGPIKATSHVR TITLMDYQPDVCKDYKETGFCGYGDSCKFLHDRGDYLAGWQMDNLPEGQQQVIEEEDE EEEVPFACLICRQPFTNPVVTKCGHYFCMSCATKRFIKSPKCYACGAPTSGIFNTADK ILAKMEARNKAKREAKGIVDEEADDDGGIEIGGGSGSEEEQEED I203_04295 MSAPPSPTLSDSELLDSLEDAGFDLASDRERRIEALKREIKQVR DLKESDNGRVITFNDEKSLIERMSKERYCLLHFFHNDFSRCKIMDQKLSDLAPSHPHT LFLRASVSDVPFLVTKMAVQVLPCVICFVDGRAVDRLIGFEELGDSDHFTSKVLEFRL KQSGVLPSDLSLASNLSSTLVPTKKDDDDYSDDEDRRSGGRARKGKVGIRNGLFNGGD DDDY I203_04296 MPPYLRLARQDASSSTSTSTDTSAGATGNDQSFMDKYSKEIYIG LAVLGVLILSYFLWAGTSHRLSFPPFSQKRCMDCKKGISKDKTEDEDYFKNDQENKKG WVCKECQEKREEKMLDRELKDGEEGKRAKTKKDDHNKKKTNKNKAASSKKEVVDSDDS SDDSEEDDNVEKRVVRKSVVVDKRKSRPPPISKRREEESEVEYVTDSEDEDTSDEEIE RERRKEKDRRRR I203_04297 MEEIKPERTHSHDSIVKPADNNVEALRGEKDERRIAIEKKLVRK LDMRCSLFVLIYIMNYLDRNNIASAKAKGLTRDLNLNATQYSTCLSILYVGYILMQIP SNMIINKISRPSWYIGIAMLIWGAISTCSGVVTSFGGMLAIRFTLGFVEAAFLPGALL ILSKWYTRRELTLRNAILFGGNLISNAFAALIAAGVLSNMEGVLGHAAWRWMFWIEGA ATMLIALAAFFILPDLPTNTRGFTEEELEVAQLRLLEDVGEADQDSAEEGVFSGLIMA VKDVKIYVMMFALTAYVVGLSFNAFFPSLTGTLGFSYIPTLLMSSPPWVFSTIVSMIN AWHADRTQEKFWHIVVPMCFGLIGFIICMATHNTAARYIALFLQASSYAGFIVFYSWI SSSFPRPPAKRAVAIAMVNAFSQLGNIAGSYVWKLDSNGYRKAYGIVTAMFGVAIVLC FVFRLILINLNKKLEAGEQAWETRADVADKGNKLEHIEKGDEALDMKRGFRYLI I203_04298 MSSKQSSTGHTASSSDQTALAIKSEKNNIWARERRRREAAHIRE LKTKISKLSSQETALQSDNERLEAELASIEAMNDHLRASDSQESSAKEGSTDQV I203_04299 MRCTKPSWVEHSVGEKKGRCPIYSISVHPDGTRIATGGLVSPSV DNKVKIWSTLPILDEEAEKDEANHKLLCTMAAHTGPVLTVRWAHHGRFLATGSDDQVI MIWGIDPEGAGRLWGSEEVNHENWKALTRLVGHVADVVDLAWSRDDSMLASVGLDSKV WIWDGATFERLRKLDLHQGFVKGVCWDPVGNFLATQSDDKTVKVWNTEDWSLTHTVSE PFKTSPQSTFFRRLSWSPDGAFIAASNAMNGPVFVAAVIDREGWASDISFVGHENTIQ VAAFNPRLFFKKEDKPGRATASCMLALGANDFSISIWRNTMHKPLVVLKEVFGRDLLD MCWSNDGYNLYGCSADGSICAVSFSPSEFPELGQPEMTEKVLEEYDYKPKRQPARGAS IQPLSVSNSFGPSASTSSVVNVLQPRKKGQGSNRRVDLQNPASNSRSLQPPSRDPFSG PIQQFGDSSGHQASTAKMFEDAHTAFANGGQQVNGGSPRAGLKRKAALTSEEETRAVR GRLMATTPANTAPVEVLRAPLIAAQAGPSSSGRSLPVPQVQSVVRAALPHNEGSGYLE AHNAPSAEGVNKITFVKDGQGQWVDYLPSGVLALAVTAKLCAAAMEDGSVMIYSPSGR QLSYLKLDSLCHDLQGNKDKLLIITSDCQVRVIDTKNGRSVFPPTTLSPLLDSPSSTT TIDIKECTLRPNGIPIIVTSLPAAYAYDPSVSEWTLISSKHHIQSSPLASSSKGVDGE IERTVGSLWKGKKKDNSNQEWWNESMTMGHWETRIKACILLDSTDEYQDYIIQYITYL GNEGFIQRAEEVLKDLIGPVYRTSNGVNWDDKVVGLNKRDVAQVLVDCLGKTKNGGEL ARKYEILLGRLNGDGW I203_04300 MEMDTVNHIELTIHPSQVRPTLRALLYSIFFHRNLDAIEPEDFE LLDSHIAISSNHIIEREVNVKIEEFAREYLEDSRKTYGEIAVVFLQKKPKKGWFAITE ELIPWEEHLITLHFDRSSTSNQPLHNALLQILTFCAEKKGNVPPLVGSSENSNTSHQI LVSPPSPTELFSPSPPTYPARLTSPPPQPLTNTTPATATARDITPVNPSGGDVIIGST RRGVSPATGTFGYLEQAKDGLRAVGAGVGWGGRAIGGAFGRGGG I203_04301 MLKGPSLQHFIVRAEMIQAYRSAVRATRPLPDPNTRRETLDFLR ADLERLRGEYDLNKLKSNLSHFNRTLKQMLPSIGLNGLTSDEVGKGTRLTGQKRTVKD LLS I203_04302 MPPSGPRSRPAQGQGSTRGAPPTANQRNEVFENIFGRPAGGHHL GPSPQQPQSGLHPGPPSTTGGYQYSSYSNTPSSSSTYLNPAQPSYPPGTSYGAAPPPR QHFPPQHTYQPTQNDGGAGGGIYNRPSYDKNSLNGNYAGGTRKVSQGYAASAYGVPVG DLPDRRASLAPSTYSTQSSASYYSPNPDSVSPPPPRTNRLPSNPSPATFPAPQPTNRI PSGPGSLRQPQGLTPAQAYQASQSYSNGNGSMSSPASAVFPTRSTASPAPPVPPIPNP KTESVTSFMSSTSSGSRLPTSTSTTSFHKPTPPISSSHSRSPPPRGLAGSAIDENDRS GSTTPDYIGQALGKLAFETSPQKGLLDDFGLSSSAGSHTNQDYFPQNISQPPPIPPKA EQRQESFSSNSRVSTHQRMASDSSIASSVYTTTAGRTYMAPLSQIEPPSGIQDSLSSP TTPTGSDSGFAAHAQRRLSGRKSMESTVSLPLPATDSPLPPGAAPSATAFDGRATSFS GSSTTRKDVVKSMRSESIGHGSQRRSNPVGAPPSSFTFPTPLSSQRSLSGTLTRSTST ARAVILQSMPPIYPALLSQVAEAFKKLLTLSELVKDGITYKDSFDGRTAVGIIADIIK TPDRNLALLLGRALDAQKFFHDVTYDHRLRDNPHEVYQFKERLTAAPFMNTPNGGTAT AQDSPISEHAGLGRTSSVRSTNNNFRASGGMTRPPIGGQGNSDNGSMNTTTSEGGHIS NSHSHSTPATSTTTLASPKSHHYDPSHTSLGGQQAANGIVEEDDTEDDLPVGVFTLLT DCYSPTCSRERLCYSINCPRRLEQMKRLNMKPQPGLSRKLSQESIVDVKETGTLWIHS VSQEILDSVDDKEKKRQEAINEVIYTERDFVRDLEYLRDSWVKPLRTSDVIDPKRRDD FVRQVFWNVHDVLSVNHVLAEKLTKRQKKEPVVSGIGDLFLERVPLFEPFVVYGSHQL FGKYEFEKEKGSNPAFQKFVDETERKPESRKLELNGYLTKPTTRLGRYPLLLEAVLKY TPDDHPDKNVLPEVIKLIRGFLTKVNIESGKSENIFELAQIEQSLVFRPGEHIDLRLR DKSRELVHKGPLKRRGGNREEIADLIGFLFDHAFLLVKPKWVQKSEQYKVYRRPIPLE LLVLVTPDDSYNSSKLSASRAANKLMPRQSNASSSNHTNNSKAVLANPPKPESKHGFS LTVVHLGKKGYSMQLWVDTYMSRKKWLESIDKQQSILRERSCVFVSETITEGGPTFTG NGLRKVNCVSPYDMGHRMIYGTDDGVYFSNLRDDKLRDPVKVINLLDVTQVDVIEEFQ LLIVLHERCVTTFPLDCLDPNDANAALKRGKRISSHTSFIKSGICLGKTLIAIVKSST LSSTIKVMEPIDQSLRNKKAPGGFMKRLNGRDEALKLFKEFYIPTESSSVHFLKTKLC VGCTKGFEIVDLETLDMQGLLDPSDASLDFVLKRDNVRPIAIYRIEEDFLLCYDEFAF YVNKNGWRSRPKWAIVWEGLPTAFALQYPYVIAFEPTFIEVHHVETGHLVQIIPGSNI QCLFADTPPSRVNAPVPVQPNRQLMYPPGPGGGYGRPPPQSSNPYSQQQGYYPQQPQA QQSGYFPPQAQVGRPRPPPTGPYGMPPPPNHPMMVSRFARQQVIFTSDDSHVQFLKFP PPQSQQGQGGAQRMSGPQAGKAHSIRGSH I203_04303 MSDQAYSISRPLSPPMPSYEPLSANSANSTSTSTSTSTAASYPR QPVKRESWRPGQPSSSSASAQGVNIPTGPAASTGPTHTNRWQDISAGPSGGYSRNTEG SRSPVSKRDISPSSSRGYEHSSRARSDYNDDADRDGDRDNRGWKRDNNNSNRKRKDFD SNNGNFNNNNNNYGQGHRFGNNNGGSAGGGGGGGERSWAAWNQKVQNTTNRQDDLDRN NDRNRDRDRDRGWRRDMDRDRDRNRRRDDRDRDRDRRRSGGKGDSNSKNNDGSGDGRS WAAWKAKVGTQERERELVNTFDQRRNTNLDLETVKKEGFWTNSRYDNHQHQHQQRNTN LQRRDSPDYGNGSGSSSNRRNGRESPDHATGQRGRRDSPDYGTGGRAKSPDNTDPDSR PLASTSRNGRDSPDYGEPRVGGRASEPGGGRGARDSPDYGVGGKSQERSRQTSPEPNE RKRAPSSPRANEPKRPRDRSPSGSRRGSPSPPPVNRWGRHDRQSPERRARSPPSGPRG QSQITPVSRRQPLAPQGDMFVSGGNNRPSWGERHEGPPQRRWGRDERMDVDETPRGSA AQADPSNEYTRQGEGYSHDAYRPTHGQRPFLPSSQPPLQQSHYNAKPPPPPSETLNYG SPPVRSTNTLPPFLQPPSQEPLISQDPNPAIPAQPPAASGPIKIAFNASPKKGWKSIS PSKTIQNLFEAPTSPSHHSVSPSKGSTTSLSPTRRYSDNSSSAVAPYIQAAFSSWYDQ GMSPPIESFLIHYFGREPSDFELNQIEMLLRNKQNQNQLGAVRSQDDDVRGRGVSPGN SRYARGRGQDQAVWRAERNNNSRDDDRYTRQRDDEMDRSGDHRHAPFGRERETNRRLS NSNGGVNDIPVIQSRWTPNKPTTSTASAPTATHGPIDTVDKVQTSTLSIPSSLPQPQS DQPSPFIQAQPSESSAENIQSTSEASFVPTTSGDMYVLVSHVGEGTYGKVYKARNTDT GRMVALKKIRLEGEKDGFPVTAMREIKLLQGVRQDNVVRLLEIMVSKGNVHMVFEYME HDLTGLLSHPTLRFSQANIKSLNHQMLNGLSHLHSKSILHRDMKGSNILLNSKGELKL ADFGLARLYCKKHLDDYTNRVITLWYRSPELLMGETVYKSEVDMWSAGCIVLEIFTTK PIFQGSDEINQLEVIYSIMGTPKESQWPGVKELPWYELVKPKEVIESKFKSAFEKWLS PAALQLVEGLLNFDPSKRLTAKDAMNTPYFVAEQPPMEMPTQLDNIGEHHEMSAKQDR QRRRQMEGR I203_04304 MPRHILRPLPKAFKPTPSSSSRHQRHDENMWETLDRVQVLGQTD GHRGCVNALSWSDDGKTLLSGSDDKRICIWQPDPHPSSSSSSSSSYSPHPLKLSDTIS TGHRANIFSAKFLPNSSTPTIVSCAGDRDVRVFEVERLVRDTATGELKGERGDGVTIL KCHKDRTKRIATENSPYLFLTVSEDGTVRQHDLRRPHTCRSQCPEPLFYAPKGVDLYS LSVSTVTPHIFAVAGRTDSAFICDRRMLPRQNPSWGPNIRSSGQVHCVRKLGLPNDEW DTVAPNNGNRLFGEERHITCVKMSPQNADEVAVSFAKHSTSLFSIYDSPPSSSIRNGS PIVVPPNEGSKKGSKSPSSPTDEDQDEDDEDDALDEFDEDEDEDDDMDLDAEDEEEEE DDEVEIDQDFMDMDPDIDILGFGGSNASRLETKAFDNVDTIYPRKSFKGARNVETVKD CNFLGTRADKICSGSDDGNFFVWDKDSGRLEGIWEGDGSVVNVMEQHPTLPLVAVSGI DNTVKMFAPTHKPISPSFSRMHLTQNIIERNTRLPRFLPGGSFERATLLQFLASRGIR VRAEPASHMNVENEERNEDDEGVEGCATQ I203_04305 MLSDTGASNLPGDVSNPFLSKFTTSPKKGRMSPAEESISTLREG MGRMDISSRGNSMDYLHMERKDSKEIANRRELDRFVPSRPSSIAAHSHSSSTLPTLAL DGHSPDTSMDRSGSLSMDHTTSSLSATLGVPQNNRRILSFRSAPPPASHATSHLDAQR NYLLHSSSSANRGTGTNAAGKEGNKKRAPPYVPERVLDAPGFEDDYYLNLIDWSCSNR VAIGLGDTGYVWDAESGTVNALGGGDESEDRPKVTAVSWSNDGAYLAVGTDAGEVEVW DVEEGKKMRVMGGHSARIPSLSWHGHVLSSGCRDGSIHHHDVRVAKHKVMELIGHNAE ASTVCGLTWRSDGQFLASGGNDNVVNCWDGRIGASVLQTGEGVPRGVAKWTKRNHTAA VKALAWCPWQSSLLASGGGTADKHIHFWSTSTGARTSSLATTTQVTSLTFSPHSKEIL GTHGYPDNTITLWSYPSLEKIWEVPAHDSRIICSSLSPDGTTVCTGAGDENLKFWKIW EVKQSKKDKGDEGGMINDGGMGRSKNTVRIR I203_04306 MTALRPAAAAGPGPQTWLYRLSFGTNPTLHLRPPPLRPLHTTAP APAYQRSRKVKPKPNITRPPPSSKPRGPSTPEKAAKPVAHDTTSPLTSYDNVILLGVP PDYPIEANINRLISHLQNSQLDQSTAEWAQLWDLRCIHRLAPWHFEVISNSIRQILFG KNYPHLGKMALYEPAKYGHLKNMVIESASRGYALGLYALMLKLIGSGRPKDVIDAYER CKEHMREIQGKKKEDLFSWDRIKRLNARLEDPSASSMNNQNKVNVGLKHLLMANIAAH TLMDTLDDKVLFSMLDSHIDLRPSSTFDFKPIDRALKASKAQPQVHKRFRANVDKLVL SLMCYHPNALVARIITLGTRKSDSKLDRLYDRVLEASIGPDAYLKPRELDDFSLVFRN IPIPPVIWLQFMKTFEWKGDVDRIIKMIDVDLPERGLEPNGDFLSSAMLYMAILSQRV GQSSSVRSKARAWVDEYWRRLTTQNWHIEDQPFSRRIRTLSILSFDDPNLRNEITSLY KAAKEGHLGRIHSRTRAAFVEFFIKHGTLNQAWQIFQTFPMDTDRPEDNSDIAFSVFI RRLALGPWPVEDKLKMYKKVTKLFIDSGLPLRPHVLGSLLSVQLQVLNLPMKTIVDLT LDATTDAEAPDPGIQRWTKVLYGMITKWTHTATPTLLEIQAGLYILEKASERKLYGVT RSRLVQMWMSFLGPIAKSTHTSAEQRQEYIDLALDLFPDGGKGNVSISMWMEIITHSF NRHDSAGFGEGYRRWKELGQIRTIQAIWYSKMLDLLIKHDKIDWALDLVTDAWNKKYI KKADGFYLRAKAYGLISQLDLDPELEMEQNRLMDDENGQMKRWKEPIAFVEEYEDEMY LKEEEQSSIDEEDGLDEEDARFQDEEDLDTEEEINRL I203_04307 MSFYSRCIPSSPSRLFKIQASIATHFLALLNIVFLVLPGVSGPH VGFFWLRVEYNGQSQSQSQSIQTAKGVNVNGTVEEDGENWYLGGFGVCKLGEKCQPGL SPPDYYTPIQQVLQLHLATHALEIKEGVKEVEKIDVFWLGTVAFVFSILWCIFAELDG MYKRHDFAEFNKPIVEPEPERGLAEKAVHGVYSLWPWRREEKSRENGRKREDKHNHRS RSGSKGAKRKSHHRSQSMNKRSETA I203_04308 MPETTPQRPWIETPLLESAALSKINGCRVFLKLENLQPSKSFKS RGIGNFIVQSIQSHPNPSSQPHFYISSGGNAGLACVTACSTLGYKATVVVPLSTKQFM IEKLKIAGADVIQYGETWFHADQHLRNDILPKDPGGVYVPPFDHPNVWDGAATLVPEW EAQLSHIDGLKQVEADGVVCCVGGGGLFAGIMQGLLDQKKRKTKVIAVETKGAESLNA SLEKGENSNLGGITSIATSLGAIKVCQQAFNYAHENRDIVKSVVVSDQQAVQALLRFA SEENMIVEPACGATLSIAYEGKLKDHIKGLKEDSRVVLVVCGGSAVTLDLLDEWRKTY AN I203_04309 MPPKQEPVFEPWSLPPSMNASSTSGSTQATSTNTGGASSTQSGG SCVPSGASQTQSQQGPQSPASTYGWPRESGGQ I203_04310 MFFTLPIISSILLFLNLVQALPSAQISRAEYESLEKRQSDVHFT SSWPDQLVGGESISMSWEGSDSGKYTLAWVEEYNGGDDLLLNFVFQNEVYDHYDFYFA PARCWKPEASFRFIVWDSEGFPLPEQRAYGPKLNLVPGDDEPDTC I203_04311 MGNGDTEDINWVSNPWNHTRELKNIPLDTKAQPDRSTSSSDTGY VQELLQHNNGLILINDFLSPGDYEVLLNTIKDEFRIMEESKSKSLPTDETELQQPRDQ SKPKTKAKLRRLAIHYGPKYDYTTNHASPNPTPVPDYIQDLIEMIKPYINGLEINQAT LQYYPPGSGIPPHIDTHSCFEKEILSFSLGARVNMGFQKGDEATAMKMFAPRRCVGGS TSTSTPGTLPSEITINGNQSLTPTLTPKPLAQSTYHEIPLQPNSLCIMSNEVRYAWTH GIRSRATDDSVKREDRYSITFRKVDFQGVCKCEYGVWCDSQQDKNKE I203_04312 MANKLPQLPRTKAPWAEEADEGQEELQEEEEEDGLGDLHAALPP PTKYGSTDLTPLSASSFFQQALEVTPSSSSTTFRVYLTPPNASNGKGKGTYLVCHHGA GASGLSFAALAKHVRESSHGELGVLAFDCRNHGKTSTAGPSSSEQDLSLPTLQNDFLA LIQHIFPDAKESPSLILLGHSMGAAPILSSAPTLQKKGYTIPGVIVLDVVEGTAIEAL PLMKSILSQRPTQFRSVVDAIHWHLTSNTLRDTEAARVSVPSFLIPDPSNSNNGGGGG EKQIWRTDLLATEPYWEEWYIGLSKRFLEAKCARLLVLAGQERLDKELMVGQMQGKFQ LEVMPDTGHYLHEDNPSSLASTIVQFWRRNTRVLVLPPKIGQPGVPGMKPNNIVKRVG ED I203_04313 MSHPARLLLRAPPHLPFIQGHPGIPASPDRKAAGVHGSLELRVG SIPVKAKWVRVEIRKYESLPPGFPNTGGSSSEPVWEHIGEINTLWKPSDESKETEQIE TADFKFFLPLPENVPPSVELPRSTGIRYELVAALCYKQKSGLFKKESYPILKISEPLI VIKHDLHSSWPLYNIPDSKTIKASNDQLTLNVSRPNTAFSSGDRIQFSASLKSTKSQP FKLKGFECTIYELITSIPIPPDPQGTKGKKRKSLQNPITKSRPISTVKAAVDERIGLG GEKSAKIEMLVDRVLVTVKNARTLRVEYALEVKAVMEGIRDKIEINGIMYTVGVFDRN TAEQATGDIGRVDFLCPDIPQPSPPPRPIDSTPFAGPQPDLPPNARFNGNSLLPGPGY FPSQRPTSGSYNQQQQPQQPMQGFDSRQPYQRHASSNSASTFTTTDTQTNEFGMTPTP TQNRSYATMPTLPGQRRPEAMFPTAHPRPRSVTPTSPTHDSASGHERYVQSDAGHDTS SNRYSTATMATFGRWDKGLKNAMKPEQESDRTATSLASSDNAITPTTPTVPSPSTRQS SPMPVVVSRPTSARPPVPPSSFYLSSEQEKTLQRERYEAARNAAGLLTSSQTTKKDEE EIPEIPPPEYAPPVPAQPKRQYAAPSRPVSEYTSLNGSSVNSSPKTTSSPRLTSPSPA TRPSSGSNRMGSPPPPSIAEQTGLLSAAEEKEIQRKRYEEATSRVAETNTPPPKAGPS KIDVVKANSSSSPNRQSSIIQALKTSPPLCPRKSSQGSTSEGPMPYTAIYPPDRTSSK ADGSTPVGLGISANGLSEKEQMKRYYEAQEAVAQNQNQSNPNSPSRMINVGSSSVLTR KSGGGSVGSIVVNEQGQRTGQGGPIDEKEQMRRYYEAQDRVASASGSGQSPARPSKVT NLHNQNRDVSRPSSPSGSKPPTSASDEKEQMRRYYEAQDRVAAAARGENSAGPSTSTS QNAKQDTLPSGSAVDEKEQMRRYYEAQEKVAARTGSPPPVGPSGYTRQTTQTPSPTKP KTDAAVPAPIDEKEQMRRYYEAQDRVAAASRGESSGTGMQQSPPRSTHRGSHAASPTR PKTSAAASYVIDEKEQIRRYYEARDRVARASQSPGIGSSSNNRISDTPTASPTKPRAT STIPTALNEKEQMRRYYEAQDRVARAGSGSPQPGSSRSATGTGSVVPSSSSGGVSAID EKEQMKRYYEAMDRVNRASGTNGSPSRARQSTASPPPPPVLAPPVESPTFDEAPPSFA EGSSNNAGTASASGSGSGIGYPSAEQEKEMMKKRYEQATSAVQRYNSPSPPPPETSSL STAATNPFMRSLTNQPRTSLGSASEQIISNKNSAGSSQVPKGDDRGYFGSNDFSSPAT SPPSSPFLARDPTIKAGKARAIDGSTTSNAPPPPLPARPPKEYVELLSPV I203_04314 MVAQAPSGKKPLPDMMTSIRIDKSGQVEIVDQLLLPHSVVWIPI STPEEAFEAIKSMKIRGAPAIASLAALSLKSSLSSASLTNEFSSTTDAAQWVKEKCDY LQSSRPTAVNLSEAMNRIRQYLNTTITEKDTKESVIDNVREICQDVHEEDLERNMQMG KLGADWLFAKRGGKKDKLKVVTVCNTGSLATSGYGTAIGVITALYEHDQLDTAYYAQT TPYHQGSRLTSLELTTLEIPSCMICDTMLGSLFQHEDIDGVIVGADRVVKNGDTANKI GTYQAAVLAQRHNIPFMVIAPVTTIDLSLETGKEIHIEQRPSIEATQVRGLNTETGKL SVVRITPEGVGEGDKPWQRVYNPSFDVTPAELISCVVTEKGVAERKDGEKSIDVSSIC I203_04315 MPPQLLACGSNSASHLSINHPDDVFTLTPTVYHPSSPPIPDTST ILDLVSTSAHSLLLMSTPSSEGDVRPRNILLGAGTNTCGQLGPRCALWDDIKPEARWK PLNLLNSVGVGGDWEPVKIASTWTTSFVVYQRISDFTQDQSVSSSMSQVDTGYSHIKG NDVEQIVLSCGSNDFGELGSTTNVPLTLDAPAEIPISQASRKPTIVEVGLRRGEKVEI IQGGQRHVIVIISGRNGEQRVMGWGASRKGELDASTLSSNLTNGSKSSASNKAKGKGK GKAVSRSTTSPPTKIDLQIPPGERFIDVSLGASHTLALLSNGTVLGWGSNLKGQITDI HLLKDVKCIAATWNGSYFLTKSNRLLSQGSNTHSQLLRGPDAETVRGEVEKPDGWGVD RIVAGSEHLLVHMKSKDMEEGEELWTGGWNEHGNLALRDQEDRAHLTRVGINGKIRGL WGGCASTWIWVG I203_04316 MSAPRTVPPAPAHAPVPLPNPQNAPTEKKYSEGSMYEDLSPEDV EAWHRRYAEFKEWRKAIPNKALVELGAGTGSSMSLGKGVGVGSADWRWYEPSDNGTIP STIVERAWETARARSNMGPQAEPPAPSPPKSGISKRSSKRNMNLQARVDTLHGTETPP SQSASRATTIRPPLSQKPVPSHNIANNQKTDKSLKTPAIDIQPPSSKIPTQVPLPSSK SAQSQAQRSISSQKPASSAKPASSAKPTRPPPTPLLAQPSRKDKIINPSSEPKIMSRS SSTRGSLVPGEQIIPSPTPSTRYAEREAYIASALSLPLDMLAETDGPPRTDSSSQFTT GRLDSLLGKGKSASRTKGSQRSAGSSTTLPSIRSDNVVERKVSIQDLARSKTTSAVPT RTRVSERSLADHREHHYEGSDHLPVPGVPTNMSRTPSDGTIVRAANHPLPPSTSAQSR TSAKAPANLPATHASASRSAPPPTQPAASQATIIRASREPLPLSFPSAATHAETIKVP ASVKTVIALSGPATKAPPTEATVSRAGTIRLPPTTGRTGTVRSSASTVKPAVELQEVP LSPRNVPLPPSALTAASTAVSKKEPAPTHRQQMPLPRPALTPQPSFDGLTKATSLGRQ HRDPSLGDLTAHFEPSMYPLPPSGATLFSSPEQVGHLVSPSLHHSRTFCADQDSQHPK PAEEHAVAFSTVEYILPESLKSTTQASTPTVQLTAKSRSVRSAPQTPGSRAAAIPLPP STAALSARLTPKATPSAPAPGVASPAHLPPPPAPVTFPVKPAHAPATIGNSAAQADVS RRVSNRLSNPPIEPPHLTQTSTECRSPAHTHPHIHFSPGQVSQSLRSEDDHVSFEVPS GSRGRLRVTLKWFREGGRSDRGSPRTGRLSVVEEDAPPPVPPKTTSLMSRVMGKSSSS QRERPRSENLPPVSHRTSHIEDHRHPLPQDERPELLRSPPKRDDLKSSSSDDQYPPDQ QAQQQHHPAGPAPYYNPYYSGATLPAYAAAMPQVYNMLSPPMAYPQPGLPAWGGPAGQ YRPAQRGMMAQHPPPIDPASPARESVDPPSDMNPPPQPQIQPQPAQQPFQGYPPQMMG YPPQQGYWNGMTRPSIWQRMFRKPSHGQGQEEDNIGPDDSVTIRNWRKGIRPGGRAPT MLPNVTPQRGAPTFVPPRPTLADTAYPGTGMGMGMGIMGTPSGPGTTLYNARGGYSMV RSPRERGGGREGTPSVWEKLMYRRQTEEAIYRSPPRKNRRDLPDPAPSPSPLGPRKNV LSRSRSRTREVTKDRPRYEDDTTRGRRDKDNRYVIRQKEKDERRRQRSLRRDQRATAQ QTQRQGLSREQFLYADERDRPGDLGMGLARGRSGTLVGEWVGKFGRGRTGQQFPNSES QARNYQPRLWKDRLVFNNRRTPGQVERTQAQLQPQTQSNNRGQRTTLRRTLRTTERVN PATGTGGNALGLGGLGLGVGGSQRQVRSRGRVEGPGLGMRGMIGRLNLNRRDGAATNR GRRM I203_04317 MIAGIGIDILSLTRFKSLLLKRGPTKLAKRICTTKEYELFTNLA SANGSPSTGNQNNTTKEESDELLDEQLRFLSCRWALKEAAYKSLSPHLNPITWKDLQI THSSNGSLVLFPTQKEHRDRFDLLGSLSHDGGMVVGVVIAQFTNNQNT I203_04318 MDDEDNDYEIERQKTILQNRLLLDSLGLDPNGSSKIPFARSTAS VKPKPASKKRKAPPTSNVDEGPRRRSGRLAGLEADSETLKVKSEDEAKEREVLRVISR KEREKIMDVGKMIEDPEEGEIKGLEEYLPRITLFSNPRRYPKESDSTKDAYADFDPKT VSTEVARLRSAFKNMELKANAKVTEDRVFSMVVHPEKRKSLVFVGDKSGQLGIWDALG PPADIVKSEDDTSWVKQEQQEDESYEGRIWRIQAHARSAITAMKVNPVDGTGLFTSSY DCSLRHLDFMSLKSTELFAFQDENTLVTHFDLLPNGEEAWITDRYGGLTHCDFREGKN ERRRFVVQDEGRAAKLGGISVNPLMPHLIVTAGNDQHLRLWDVRSLSSINPTSIPTPP PSAKLDGESIDTHLTGETSYEEVSKYMHSKKGQGLLRAGWQHGKSCSSAYWDPWGRRV LTTSYDDKLRVWSLQPSSLLLPTPLSPSHFQPSKQIPHNCQTGRWLTILRAQWSLNMD YMPHFTVGNMKRSLDVVAANGEKIAQLWNDVVTAVPAVTASHPRIVDHVVGGNTSGRV QLWGAGSA I203_04319 MSLRHRSQPLSKWSTTSLYLLLIPLSQSILVNSQYIPPQALSYR RTYVNSTLVGRGDESNDKTKDNSDAQSQSQSQSKELRLMSHEDFCVYGLSEENKGKML SESVDNVISYCSKSGHGTRLIPDGTLKGVTYVRTPSWVQVSGSGDFSQIGISSDDSGA QFDSSSHNPQGSSLITSQGGDPAKDWVTMISGQTFCVRACFGDPAFCPTQYDSLGCYF LTSNGVGWDDVWQDCEGDEGDPPGVIDGQTYTPGNGPVPTQSIPAVTNCQPGSSIQNG QTAAANSGGSKNASASGTTSGGEGSTSWVPVQTCLPCTATAASGSASASNDEAGSSSE KSDGSSSAGSSSDNPFASEESEASDSAAPSSSASSPASGSGQSESSAAEGGTEQVGVT KLSSSTATSASGSVSGAAAITPAPSPAGDLSARELDQWWNLRRGDLEGEGEGEGETIT SGDQCCFTTWTPSVVGGAGGAKATGTSSTGSKGTGSNSTGTASGKGASAKNGTAASTG GGAVSSGTGKNGTTGVNGTNGNGTNSSGSFAPSSHLNLGNGGLDKLIGLGMAAGLGLL IGGMALV I203_04320 MATSFADAGPLDEYFRDEIDSSNEHRPVQGQNLDMEMELNSQNR RSSGLSDESGDITLVDRSSLSPNTLKGWTGSLPNSAGPSNTNNTFDVNVLVPGKFPSP SRISFSDETFAEKFRYLICSSGLLEKDYVPALSGGLESELGDDIPGNNEETWKVLEGW IQKGKERWDLVLAGLALLVGLMISLGLWTILGMLSVGILGIGWYTGLAPISKDISNNT TAASDHDTPQSAALTSLTNFVTQSQNLNNTLLSSLSLLEPHPYNLYTHNPLRVTLHRF TGNMTDHLATATSTLLELTDRRELAVLGEMYDIPVVGSFFYSRGRKHHSITDSSSEEE YDQPISNQRPGLPHRPSSHPSPQRHIHASHNSTSSLPVYASSSPLKKLHSAQRISFSS SPGGDDRFTQLPDKTPRLSKRASVERLRDLWSQSPRYERPRHERRITEADEEAENEDT TTSDQSMSSDDITPVKLDRRDCSTDNLIGSTVVSPKSPPAAGRAGLGVTIPRTPILTR ETSRGSSASPLRHIPSPLSRRLSNASERLQPLRTAAMATPSRSLPGSTTLLPSPFMSD QTSASDIVPPSSAPLRAVTSLDPLLSTSEAGASGNPKRRSLQNMVYYHSSDENDPHQS LSSGPLSAGLTRTRSMPLSDLQALRSASTAGGRGGRSRRSSLNPSSIRSNIGLGIGIG FPPDRKDKRASLTTLPPASPDPSLNLNLRRVESISPLTTPSLKASCLGIHLKRRRMTC CLLGLKFRENQDDGYWREVKGILDDLVYSMIEEKRILEEVLKDSEREERIIRLLNSDK DEDFKSNDNGLSDIWSPSPIESVFPEHKRDFAPKTPDEVLLNEHMDKLAQALIGSWKE LSKVRHSLGSNSKEGQGLGLMDNWIQVRSKLGDGIREWERGKEVILRMTSQNHGSDNV RVSSSTEDDQRQSVEQEKDVRQSISPLPEFMKAWTTTDDDENQDQESADKSLEDAEEP LPPVGKDLIFEATSSIPLNEDKVLLSKMRREDRIKLTKQAREKGISVVELLKDRNGLG DQKEKDVREMKIRSGQVVDELRGVIGSIRRMKVGDLPGEDGLSMMDGHAKGTGVKDFV ETTSLLPSLPIPLSDRQLNEKVIEQHISQGQDDLVRMLANPVNRNDYNDKKDERLNQD SQIRLTRTSEVTNPQDGQNIPDDFSDRFMLDLNELKRNIRPMNNNDSDEDMLE I203_04321 MTHNQLVTLAPPPPPPSDLIASALFLPLHAVNIEHRGRKLRFVG QVLAFHPPTSLLLLTSFPAASNPHSPSPTILVNISIPLMGQSPSLKDVSAAAGSSSHN HTAQSSSEGRSGRVAINREALTLNGGEWVNVVGWLEGDGERMVRKVKTSSSYLKPLPI ILEAIHLSNARPPPVDAMYRGNIAGWDGTRTDTKMKGGEDEPIIIDDDDEADDVLEST PKSKR I203_04322 MLSQLAISFTLALLPLTLAQGDLSAANNLTDLEGTWSSNSAVST GGTFCSPAEMKFDYPTNTGMSYSFTNDGKFEEAQYRYNSNGSNPACIQAVLIWQHGTY TLEDDGSIVLHPFGSDGRVQVQDPCAATTNIITYYDEVTTFKDWGIIVDPDTGNYQLR LNKFDGSKLPYMNLIAKPPNMLPTQTLTGVNASGQTNTRKRSLTSSPLDIFKRSSASN RASIGYNQVIAVGGVVGTVMVGLLALV I203_04323 MGSDVFTPIGTMTPSTGHIGMPLFESQANLPPTPERGEANVTLR FAATEGFAGGTTYEVRAVEVGDSGASFWHDRVSFDVIQRNLTDKAFAMYLEYPDGDKT DRWSLKLRSVENSRCRSGTSFMEGTEIHQEPLNFSIPRKMRNLIVNPSTGRNKKAMGN VTSIKRVQGRANYEQKQPGPFEWL I203_04324 MSLAPSDYKHTHDAQLTLGVSNAVRDAKSDIVTFSLDLIKPARS NQVLTDDARDLMDQLRTSASYRVVTDVGDGEEGFHSIEPTDRQQIKNWKSAKFTNVYG NADFMSQLVHLTRSMRPDGGIPQVITTNIFGASDGVMRDTILDDWGNLSATSGTGSRP VFTPMSNTVAPSIRSFEDDLSSGTLTPPSTPFGDREPPKTGHANLRLTFTPIQYSGDK DTVYRVDSIEEAEQLSVRYNQKRSATLLKQVLTGKTVDVVAEYSADKGTRTGKSALQV LNLEDTDLDNESYNHTVDHRAQTAWRGLKSPKQDSITMEISFEERCNDKDNYTAGTLY NPALGFYRR I203_04325 MSSRETPSATLLPDPIFARQEYVAEAADLSLAISIVKVRLRTPE WTTFVIDSVNSNATDNADYIVEDISKTASGRVTGIRHPRTEPVCEDLTGSKSVTKDVQ FSNAAGTLVESNAADLIRSSLRGPIDCRNTELTDENIIPRDYYYPK I203_04326 MTSTTSDSSKLPISTTNPNPASNINISTTSQSETQKENHNRNVE SSSVQPTILANSISPSPHSLTVTPASPTTTQSDKVDQQKELDTNPNPTTSGVETSMKE KGNHPTESETALLSQQPQSSTNMASTTGGEPSKSTNGGALSNLTRKLSKSNSNTNPSK AGLSKEKEKESSTSTPPNNTTKPAPTTTQASPSTKAAASTRTPSTQKKKKKRKGLAGF LLALGCLSADEFEEKPKPKTSQLQQQQTTQKADTSVTTPKPAETTRVKDEVPNKKESL DHQVEPTATTGTTLVDQNVEGDKAVKPGEEIVVAPAEPHTLPDEETAGVTSSAVQPPG GGSSLLGTPTRSHPQVQRRDSDIAAPSTSADQDRTETSGGYTDISNSEIQDESSGVGV QHEEGVDEYGLEDDYEDEEDRLIEQGGMGIPIDENGNPAPLLPPILKEHHGRKCLVLD LDETLLHSSFKSLPSADYIVPVEIESQIHNVYVIKRPGVDHFLKEMGKIYEIVVFTAS LSKYADPVLDMLDTHRVVTHRLFRESCYNHKGNYVKDLSQLGRSIETSIIIDNSPASY IFHPNNAVPVSTWFNDPHDTELNDLCPFLADLATVDDIRGVLDGRMN I203_04327 MSEMNSQLERESLEYLHIKLSDQLIRPHAIGQFESRESIQSKLQ AIERKLASLEPIAVTYQDRTFHLRSSLEANLHPDRLSEKIGKLAEEYNRSMLTIQNYQ TSKYLSQINGEDTKCWDERVEKEESRLWEIEEEILKVSLEGISEVELNKDAG I203_04328 MPGPQYRDPWAAREAWRKSPIFSNRAMFRSMFPGLGTAIVAFTA YVIYDDYFASKPAHEHGGHTISH I203_04329 MSLRSLRDTLYSLTGSVPQDSQVTMDTKPVWVAAGDGVWSDGNG HGNGLFGWRSLASGLGLGHHSHQYHHHGVTDWRNLFFWLAVFAILILFAVITNPTESS FRAHLTELSFRRHLADIRRSETDEVTPLTDEQAQLPSTSPPAHTATPDRRGSTPGTGT ETPTHTIAPFRFANHVAISLRTPTLLYRSFLICSITITSPLAPPAFLSDQTPHLLKGK HASHTVKERHVLWFGCMGHWSLVGLVPTSVEWAWKFLKRSEREKGRKKNLDKAGVLDM RAVQNKEDTAPNVKAAIGHAMSASKGMRRTDSSTNLTESLPLHSHPAQLSASPLAPES RRPSLVNLIASPPATATLDSPEIANSPVIIALKAELTAAQTVLTDLQAQLTSHEQSVS DAHAHLQKNLDEVRNRRKEDDAERQELKSRTKSLEEQKRQAEAARREAEKKLKSVEGI RDGLLSKISATESQIKELKGNMENSEKNVRVIQEEGGKHVVLTQLNVEERKKEMDLVE NEILEIENRNEDLLQLIADAEERLKKIIEDGENARKIKPEEEMMMMAAAYEAAAQEGY LHSGYQPSHHGPSPVPPPPGPPTGGRGAQQDQWQSQAAAYMAEAGMPHLGYEYTARPA HSGSTGFGHLSKHPNPNSASSRDLESMRRPDISGFEDFGPGTAFGSLASHAHTQGTQI NRSTTPQPPSDSESDIYGQDPGSPYGGFSSSNLLPQGLFRSLEGDQTPFVSGDEELPE TFEEPLSFDLDQYQHRHQQQQHRDPTESTSTDTTTSTSTTVSKLERQAEDLQVDSGSS DSDEGDNEDEDDWKSPLPEPKSLKETKESGYKRLSSSSASKLVPPAPTGSASPNMISA LPSLLPGSRRWFSGTGVGTLSNDNIPSTASAFGGFMHSTTSNDSLNLPGYENNPFAPT SSEKKALANKWGPFGTSGSDNKWASAFGRGNTNAKDVEDDPISNGWPSTSPANGNGNE NEGKKPFRFFSLRGKPTTGSTPP I203_04330 MLQVLTPSQLDQWRSDGYLLIPSFFSLEEVKEMLEEAKRLCDEF DIEGHPMTAFKTAADGYHVGDDYFLNSGDKIRYFLEPSSTTTATASQPARLLVPPSQS INKIGHALAVLNPVFNRYTLQNDKIKNVARDLGEQVDPRVLQSMIICKQPRIGGTVPC HNDSTFLYTDPPSAIGCWIALEDCTPTNGCLSFLPGSHQKARISSRFVRDPSGKGTTF IDIPEVKKNEENWDEMKGWKEADCKAGTLVLIHGDVMHKSPPNHSDKTRFIYTFHMIE GAQGTVYDEKNWLQPTKEMPFPSLLG I203_04331 MFGTDTKGQEQSSLPGFSRSSTIDPTIRSHILQGLRDREDDQYN GKGRMQDKVGVITGVGPLNGIGTATAKLFAKEGARHLYLLDIQDESLNKLKEWLEDNY PKTKTTTIVGDCTSSLVIEDLLNQVIDQEDKLDFFFANAGLRHIKPSLPSHLDEKTKS FLELNLFLRPVDQVGEEEFRELIRVNMMSAFLAIKYGSQAMLHTSPKKGKDVPAGSIV LTSSIAGLKAKAGTLGYSASKAAINSLAQTAAYDLMGKGVRVNAIAPGLIETDMTRPL FILAQAAGSLDQMGSLNPLQRQGLPSEVAQTALFLASDDSSYINGQVIPVDGGLSAGL PYNKSDIRSSSLILASG I203_04332 MTLKYRLQQSTDLDAFDDQSAAPGNAEGLTIGTARRGPAGSSGA AQFKEIRKEALEGVRRRAESTKGSGKLNGKVGVITGVGPESGIGTAAAKLFTREGAKH LYLIDYDDTALPNLKKWLESTYPSTKVTILKADAASPSTISNLISQVIEENGHLDFFF ANAGVSQIRPRNTTPDVNKAIGDLQSLARPVSKIDEKEFDEVMRINALGVFIAIKYAS EAMKIVSPEKGKKVPGGSIILTASIAGLKANAGPIPYSASKAAVVSMAQTSAYDLAGY NIRVNALCPGLIEVSLTKKLAALPHVPVTDMTRGMFTLAEAAGKSDKMGVLNPAHRQG LGSEVAQVALFLASDDSSYVNGQAIPIDGGLTAGVPYAKMKL I203_04333 MSSSATNTATYKFNHTMLRIKDPKVSIPWYENVLGMQKFKESPG GDFTNYFLAFPAGFGDKANASDDEKASVQLNREGVLELCHNWGTESDPNFKGYASGNE EPGRGFGHIAVTVDNLEAAVKRFDELGVKFKKRPEDGKMRVS I203_04334 MENAYLSSSFFRNNVLQQFTYTEWDLADIAKKLDQAENATLDVS HQLKKSYNFDDTGYFSISVLERALEVWDLSLVRWRGEAMREYQEHPEEQVAFILNLSS HWFPLRRFSTYPPHSSATKRWYNLNSFLPQPEWISPTYLRMVLTQAEQEGYSVFVVRK TVLGTREGEDAGEGQGWQDGGIGVLPECMADTMAIELGEPVGRSGASGSMFSQPPSSA TGGIAGPSHAGTSINPDTSSVDFPSSSGAGPSSPPVPRRRRRQEDLVPEDPIAIDSDE YSRPAVTRTRQSSNRSTPGPNPAVDIFDNQTFPLDHGDGDGGEDEEIHSEEAETEGRV GTARDDIFGYTGPTDFQFHSRSYDDEDEALQAALKASMNDLPPGWKPPVELPPKIETP ILRSPEPTTSTSVVPPAQPSEFESTKKEEQKDVEDEEEDADDGPAHEPSPEEIRRRRL AKFGGQ I203_04335 MAEENFSAALTTWKEINLSELQKSLDSTALELVENQKENLVGRK KLAEQTREFKKLPDDAEKFSAIKVLLKAYQGEIDSLTRRSKVSETSFLNVYKLLADAP DPYPLLDAAVDQTVKIAEARVLESELSRLREENNDLKKNLKEYKDSEDRRKKAENKVE QIEEKMEELIQERVNQKENELNAEYDERMRNYEEREKDLQRQVETVRNQLRDMHSSNE STQAKLMDASQRQEQDVAARLAELDMVAADLSRANERVATVERRNELLRSEIESVRSG SQQAEKVKALESQIEELEAEASRLLRALDQIKEQKAESEKAAKKKEDELAKEVASQAT EIQNLKNKVKQYGDYDEIKRELEIMKYVEFSGADSDVEDEEDFEESGLSLKLPDPNAT VANKSSNRSLENLLVSKNRKLLEDLTKLRVSYEELNSEHSKTDEMIENLQMDLNKQLS LVEKLENDLMNINNKDDNEKEGKGLQGLDIGGSKVVSDGRASPATQAQDNSILPIVTS QRDRFRQRNAELEEELRKQFEIISDLRTEIKSLQADNLKLYEKVRYMGSYGNSSNNNN NNPNGISGYNVPGSSTRGGLNGVGVGIGARRDDEIGKYKDKYDESLNPFEAFKGREAQ RAIQALNPLERGVFSLTRAIIGNKRARSLFILYAASLHILILFVLWNTMAASDSNAHP PVSIHP I203_04336 MQTSSSSSSTSMNDFTSRFANVDLDIVERIIARGRHATTFLQIY RPYAEVLQECGISETNDSLYYGFLLKLGNIKGPTWADKWKIWKTNGSFRTNHSNSRSS LEEEEDGDTEEHVVDDLHQDDHSFSQSQLPGLRARVPFLASASSDLDEGFAPRRERSR IHEKGGHSRNGSRSLVGYTPDQSFNGDNHTNDLLVFDPPIRTSTPIGRSPKYQQHSST NPPAYSDSISVSNINQLVDQATEDFSALGLGFVTPKSKDRPIYSPEIPNEPERSWVDR INEITEGERKYMEKKADDYYNLGLMGRCWDMWFKTSEFYRVTYKNIPIARDNLLLRQV IEKWTKAARYQLSLPGTADQHRQLHLKLYVMRKWAERIKEKRLSLLESNWTAEQRSKE IFELFQVWKVGAERRRMERWKVDMAQRESRFVNDRNARLLRDSFKFWQIESLGREFAR DRERPLLHGFFEEWYDQANRKKEFSTILGVIEQRRLRDAFVRWRRKSVLQPREEKIRQ VHEMDLVQRVWDDWRISSWQAKQSSIFDRRRLLLMVLDRWRISRLRQQRMERKALIYD KTRLLDRTFRRWRIESWGRLLVQAKEKRLQEKVWTRWKDRQNHLKRLDNVADQFEEQN RTNNLQRLFSRWRSAVASHQTDRLRATLVYEQKMQVNVLAKWHNSTAIIKSNQGLADR AHAFFLLRTAFKAWRSEDARKKGERWIEKNNNQKVYEVFTKWKVMTVKYKDLGRRQIV LKDQANRQTLKRSLERWTNRVIEVKDRELRIARARDDHVKSQLVQRWRDRLSIIQSHQ KKADDALEIRELENLRRVFRSWRGRAKKQKRLRLTAETSLIERDQKLVRSVFERWYEK KRERDLMEMEKEVAFLHENVILYGVMDRWKAGTEILPGITADSLRLKRKVLNAWLVAL ARKKRADDLQNERDRKLLAETFGLWRDATAHKAALNARRIRGRSRPSALSDRRTSLNL PFSSTSGSRRVTSTTTTFPSHVSQDEGCLSPGLGMRDNETVHSEPVYSRLRSELGLGT ARRRRSRGESEEPESGMRQNDWNDLYRPRSGSEMIRALRGNIPGR I203_04337 MSAQPDAAAAQPSAEGQPRIIDENTDLSTLTDQEIMKLMEGMDH QEDQMNKPLISTPVPLSVIRQEYEKGSTQILKKLDWLAENGWDQVWRARGDGDCFYRS FTLAYLVRILHSDDSQLEANLAYENIQRALPAMEQSGFDKVLYEEFLDPLLALIRSFA EEGESTSTEYGIVQSLQDPERSNCIVVALRLITSSYIRTHSDLFSPFLLSPTTFLPLS TDDFCRSEVEPCGKEADHAQIMALAEALNVGIRIAYLDRSDLGSDADSVINWVEFGKD TSEQGRPLTLLYRPGHYDVVTKDVLPQV I203_04338 MSTQTFTSTPTLGSRPQAIFQLRGPHPTPNTAAFPELDQKGYTV VKNVLSSERSSEYVNRAFDWLEGFNKGFKRDVRSTWKPENLPAFSRGGLFNRHGAHHE QWAWDVRSEQAIIDVFSRIWDTEELLVSFDAVNISLPFDKDANVQKGSWPHTDQSPLR RYKHCIQGIMNLVEVGPKDGSLLVLEGSFQLYNEFFETQEQDAPPEGWSWRDSFVPTD EQMQWFYDRGCKWKKIEAGYGDLILWDSRTIHYGGNAEGDSIRVATYVCYKPAKDIEP DALERRKKCWDELIGTSHDPLLFRETGSIALGPLTDDERLRPLERPILSDRAKLLAGI ESY I203_04339 MSTLNQLEITPAPAPAYQEEENIDRLLRKADWRLLPLLIAIYLC KNMDGNLVSYVKTMNAGEPTNILNSLNITANQFAYASTCFSVTYIVFEVPSNLIIKWS TPRLHFFRILVIWSVVCACTAACTNLAGFLTARAFLGMTEASLLPGIYWQLTCWYRPD EIALRMASLSVLGQFSGILDSLLTYGLSYIDGRGLASWRWAYIICGLIGIVESVWLFF YFPDFPDSPPSRRQFLTPEEGAFLVARLPPNAARSSDRIFDWPAIRRQLKSPLLWGFS FFALCMNSSLYGLSFWLPTIISSFGLTKGPKSQLLNIPSAVVSIISSLSLSWWLDHDT RTSRPLFMLSGATALIGTFLGMVFCKSSGGLYALILLAQAFAALMTCALLPLRSQSLR ESTSAAFITAFQNAWGQIPGLYTAQVFQTKYAPRYAVSYSVSIIFIVGLMSASLFIWY FQYDVEKQTREIARLRREEGKKNIVVGEDIKI I203_04341 MLVLALLPLLPFLALAFPTQQQPFSSTPEESMNNKINPLKHLSA ISPFYIPTEKPTSLPPKCELGRVSLLIRHSSIQGNDDEFEQTMKPFIDKIQNIPKEDL PKAGPWKFLRDWDTPIKEETLEVVSPQGKKDAKFLGKYIRDQYQLLFPPKKKTSTKKD KNKTPYKVWTASSTRDIDTAKSYIKGGFPSHQSGDDGEGDGEVVQLVKVPNKAKDWDR SLTPHKACDTFEKESSLEPANKWLAVYAPKVIERLRGIIPEIADQLVDQDILAMQMLC GYESIAVGHSHFCHLFTDEEWLDVEYYFDVRFHYMMGYGSSLSPYLGMPWAKTAKHLL AGKDTDEGLHPSFTHRESPAFVAVFLNLFNSSSHPHPASEVPPLDHRVDDRAWRTSHL VSFLGHVALERFHCKGDKEEYVRAVVNGRAEKMSGCEDGKEGSCKWKTFDKWVDERAQ RWGDWESVCEK I203_04342 MDPPQTPWVGLPPFQDEDESPLMNAQPIFEDSFSTTKGDGDGLD DWVENIPGNVRRTRRNMVGKSGDLEDYPPQEEKFTQQSIVVQKQTSTREDGEILGQEN TRAMTAINNRQTSHKRVGSQSLIPIARPSKKAKPPIPKEKIDSLYTRRLSDSIQRNPT NNIENLHACLTSTPFQSHIPQAQTSSLNLHKNKMQETSKSRSKASLHVPPVLNGKEEV RGFGADGQIQKHTSFRRIPQMQEKTSSRGLTESNDTQPSRQNTIETSSASGNIPRRDG SANQDGVQTLANQVATQHLLSVIDHEKFTAHREPSPFSLASTTSEISSSHTHTSEIQN SSSGLRRSSMSEKVVGFLSNLLTRSISSSLPRDELSSSISEKQVEGEEDDATVPLRYD IDQDEDIAVVFDNEHQEGQEAREHCLELNPEKRLPLNKAEISTNRVSAKHFYSIVPLT TPILCSRPLHIRPETNAEAQSISMIHPKFKPLQPSRSANTNISSSSSNSSKASTSIDE QPSVITSTGTRSTINRPITKSVFTSEALAKLPPPGKGHSTSLSTQPVIVKSTERVRDL KERKSVFERSSEPNPNPNSINKKQRAHPRPSRAGMNLTVPIRGHTPGKASDIRSKQRA KLDAIVHEKIKEKEKQQEELKKRKEQEEEEVYLRKRKETVIWAKPVPDMYKR I203_04343 MSAYKPQVSNSKYTFKHDLFKGKVLFCTGGRSGICYKIVETMMS HGVDAAIVGRDVKGLAESAEALEKSTGQRCLPASADVRDPKQMEKAVKDTVDKYGKID FVICGDTDCMISSSLAPITSLSSNAFKAVVDIDLLGTYNTIKATLPYVRESHGSYLHI SATLHYRGLPYQAHVSAAKAGVDALSNVLAVEEGPRGVRSNVIAPGPIGNTEGMSRLT PKGWQAQNDIPLGRMGDTSDIANAALFLFSPAANWITGTVLAVDGGENHIRQLSLPYP QSLLDPDSVKGLIKGKL I203_04344 MQDPKDATKFCIVERYEKESSQEYHLNNPYWKTFDPYVVPRLAK PMGLTRWEEM I203_04345 MSMSGSISRKRVGSVSQRNNESGLPPLDIQSIQMPSNKTNALAL KTAALSSTKSLYQTCSILRKRLRCVEDFQAFLEQPASAEPLDVVSHMCHLFRLGSPLC HLYNLLIPSFTDPSSPLHADLPAPPPIEYDLPPFIESPNGVRNWAKRPENAKMCQRYI ASFCMAMKKRHQEGRWHSEMWALHELWGKSNGDEIEAYDSTGLMKVLHTVEEILDHLP ESAISPLSPTTPHTASSSIHMSTAAMRNQRQSYDLPFTMGGNGTGSGAIANMAATMNG GVQLESIPQIPNGAANGNGSSSPTTMTGGEDMQRTKSTADANAFKSVEELVSSEKSYV QELEILVRCSQEMLEKQLVSTETNHQIFSNLAKILDFHRKFLIKLETEYEPIQEGRGP KAWAEGVWGRPFITSEAEFDCYGPYCANYLDAITIVNEQMPNLMRGQDLPPAERPCLD PQRELQAFMIKPIQRITKYGLLLDAILHATAKHEYPFRPELEEASAAVKRIAASINEV TDFKAKQATVRELMDRVEDWKGHELDRFGDLWLDDHFTVTKADQPREYHVFLFEKMML CCKEVMPERKKSSKNSSMLRKDKTISKSMEKKKLALKGRIFVSNINRAALLPPEPSPS DPYGVSRVCIAWTVPHRHPNGWHEDQEDSFIMIGRSEEQMKKWADKVTELATAERKKQ EANRNSRSAMSSGRYSGSEKAYQHSSFAPPTPSAEKPPFSFPPPLPGQGSQFPSAEDD DEDGLISGRTTPSLSNHGHAQGNTSPYTSVHPTTGRRVQSQQSMPAAMQAEFRARALT EDQYGPSMTQWRSQQQPMPPPLPRLTSAMSTLSTASEASFGPGVGSGSRYYPHPAMSR TMSGAGSRILQRADEAEEDSPTEQREAFNRYGPARGMARAPSHGVAPTVPYPPQLRNR SASSPNVYQQPQMASNSVLPPLPPNQYQQQQQQNWNTSMDPQQSMSSSSTLVGGTAYF TKRMSAGGKRSSVESHSTETSETTSDQQSPATPYGSVPGAPVSRQNSSDVVGTGSSSV LIKLRCGEDQFLIGVPSDINFPTLYQKIQKKIRLCSSSRNIGETLQIKWIDADEDEVT IKCDDDIQAMIGECVEVGASHVNLVAR I203_04346 MTPEEIAFEEATKASITLDQRLNLGTFILATATDTFLCGVMIIQ SIEYWTYSKDDRKFNKASVRSMSTINHNIRLINGRATIPPSILNAHAGGITRRSFHPS RPRQDVFFVAFPALKSGLLNITRFSLLFLPFVFRYKLWKKYKKTSYALIQIPIFAICV VLALGLDQSPRTGRWRLLLMTEHEEMAWSRRKQQEVLRNDGPLILPPEDDRSKQVARV TSKLVTALEEQDQHIIHGASWPPRSQELSRVISEREAEHGQDRRRVHFKPSATARSTF MPFRPITSNPLKKLESADWNLYVIDLPQMNAFALPSKDIFVYTGLLNTLPEGDDSLLA AVLSHEIAHVTQRHSVENMGFLNIAAVAFDVLRGITFALTISFPMITDSAGLFINWVN DVVAERAFSRKLEQEADAVGLEIMATAGYDPRAAQDLWELMAAVEADAIAAGQAIKVE NKFAMLRTHPTSEARQEALAKDMPNALKIWRDHLPKRPGPVPSLNAIPSQREQTKEGR LKEAQTLAS I203_04347 MSSQTVKNVVVFGATGQQGTAFIEALSSHNGQYKIYALSRNLSS SSSVKLSKLPGVEVVQVNKDYMDKPELAFTATGLKENEVYGVFNVQGYVSEKVELAQG KSIIVASKRWNVKHFIYSSVNFGGLDDTKAPGLEVKRDIEHYLISSGVPYTILRPTQF MDNLLPTSPFMFKISRTILLRQTFYNHPERKHQLISSRDIGRAGSEAIVNPDKWLNGI IELAGDELTVKEIEDVYTEVLGKAPELTYWPLAAFVKWVSPLGAMARFFDDHGCKVNI AQLKEDLPQVGFENLRSYLQRYKASQ I203_04348 MTTLLILPPHLIETIQELLKSDVDLPEELRDELDRATSNRNDEY DLAGVSEDDSKDEPADKDEGDLAALTVKVKTSQRTIPLSAIEELSRWAGSHQGLKQLK KNGLDPSRYNTISLLAGTEIHIPPNELERLKLAESGDKPNPYLPSYLSPSTSTSSSFG KEFRNLSKTISTVLNILFSIFGSSIAVYVVSTSSAGYSREISILLGILTGIIVGVADM VLVYLYTRKLDEGRRESKRVGLKMLRGSGKIGEKDEGKKDGSVDGEKEDETVVDLSQT NDTISTAVKKEVRLRRRGLNETT I203_04349 MSFIGAGELEHKVSQLQRQLDHKDHELNSIKNEQRKREEDLGNA RRAKEDAEYKLRDEADRAHQAEKSITAKATEISQLKLKLSNLESSLNQATDKLRKEEK DKERIQDALDEALNSGTDGASQQVKSLRSRTKQLEEALRSSEQEKEKLRSQGSSNDPW GSGEPLTRGERNRLMVLQNQVESLREENARLQASGPSKPSSSDMFASSSPSRPKTKGR SMSVSAPAPSELIEMEKQISSLKDQLAICKKDLDKAVNEKLAVEITSKKKMEKMQGDM DDIKEELDFYRRNQNGNGVSSKQEIEKIKKALTDENDKLQIQLKAKNDEVAKHVQQIQ HFENQIESIERPEADLEREKNLRQSLEASKGDSSVSPNRLEEAEETIQSLRAELAKAR STSATTSTESSSKGGDMEIRQVKRELQKALRDKEYLEGLVKENDELLAEKDEEIQRMK TAIPVPSSPVLRAKIDDTRLQELEETKMVLEEKIEEQKERYEEEIKGIESRLEMVTKE VDAVKISEKQLAERLAMAQEEVETHRHQQVSSETQLESLSEKLASKETEILSLNAQLP QLRADLDKAQDAYLIAQQDIESTRNRLQELEVSLDAKEKLLDDLSAQRDELESTLATR SRDDADFTLLENALKGAQAQLAEVEIALTANEGERAKLQESLAAARLENDLSSQQVSD LSANLVAVEKQLDEVEERARTLSQQKDETIQSLNDELDDLRMDVSSLQIKLDDKIAEL AVSTMSHDKLQDLFDEAMKSLGEVQAKLSEAEEAQADRSTATEARHKDEELFQLKEEK AQLSQMLQSATEEFQEELAAVTFKGEQDLLEAQGRVQKLEKQVEDLQSDLSSLHNSKS SITSDSETVHRLEQKISQLRSERDDLRHNLSFVQNERHFAIRAANTDKEAALEDVRKV KDEIKQSNMACERLRVELEEAKIRLAENASKVNEIDDEERQQLVERVSSLEVDLSNQT EKVKSLESQLKSREEALSDIQGQLQKAEKRAEGLQKELLEMVNHVGQTTKLSDPPRHS PTSEDTSDLPTDLIAAMNDGEPRSRRTSLGHMRSRSNMSANMLQNLNIERQLQAKIAR RDARIAELTHDLEKANLNLTLAKEAQEETLEEITELVEERDRLQAELRQSTQRIEQVQ IQVENPEALRAMVLALVMYRQSTKSAESRWNVASEMLSRSRNAATALRAHIDVAEHKS NEDAQRVQSLEWEKTALETQVAALQAEGTTSRFQLDEARKSLSDLPSRLSTYEAASLS AAESTAALSAMEVQVAEREERIRELKAQNMEYTARIEVLEGDLAELKSSRDEDLTTLN SKISELERELKDSQDKVKEFGTEKEGLAEDINAAERALEEGMNAASAEQEKMEKKCKE VEVRVAELEGQLREKVTQLEEMTRKCEEVERDLETAKNRMKDMTTASEEDQATVHKLR GELDVLKESSQGNSEVVTELREQLATLQTNSKTVEEERKALTMEIIQLKEFVSSANDQ RDLTGKQLDNTVIELEDARKAKEMVDELEHRNATESSLEEITNRMEQLSVELNVTKVD LVEKTQALQNALTASEEQQAELERLRLKNLDLKVQLEKAQSAASFVEVDEELVADLKE RIEQLESSLTQKTEEVDEADDRTREAFKTNAKLEKKLGKLQRQLEAAQVEKNTALNKL ATQAQAQPRQPAVTSSSSAPTIISAAVVAPAPAPAPTPTQSVAAAPPTTTKPRVVSAP SPIQRTPLSSVNIFQPSSNTHDSSPIPTSGHKRHREDDPVKPYPTVDAILQPPSMKSI SPHRPKSSFTPQRGISTHGHTQVQGNLNLDIAKQRPAFPLPPTRSVFQPR I203_04350 MSMNQESLTIEETLKLREQLGLPTAAPAEGTGEEAPVDKEALAE ANYAQRREDMRKEKEEKDLKERIERSRNRSALNAKLKGKTLGTSNEDDKLDTKSWIKQ QKKREKLRAAELARRAKEMEEADKAIYDERDLSGLKVGHDLDDFEEGEDVILTLKDNR ILEGDEDELQNVNMVDDAAIKAAKERKRKAAEQYTGYDDEEFDENRIGIKADILGKYD DEFSSGKAKSEGFRLGAPIEKKMKIVDEDSEMGMAPVTKVKLNLDYTKEFEVSDYAKE GEKGFKKPKKKKAKRSTRKAEADGEDENGMEVDGEPTFQRRVVDQGPDNLVDDDDLQA ALARSRRENAKKKPKIKPEDLAAQIAQQKQEEEAAQPLDGGDGDEDGRITFDDTSEFV RNVTLESLAAPVKKERAATPPSTSIANQQQQPVVVKIERSEEGEMDEDEDMSDEEDDA LAEMAAREGLSLDEYRLKIDSQMQEMENIKKEEAGVPTESEPVVGNGVAGILSMLRNQ GALKSATEEEKERERVQKEKDLWLADHRRRVAQREMERIMSRGGNKDQAQREYENRMR EQQEARDALESYKTYRPDINIVYTDEFGRKMTPKEAWKSLSHKFHGKTSGRMKTEKRL KKIAEERKQATMGATDTPLGMTDAFTRRQQKTGEAHMVLSVGNRQSVPQNNKKR I203_04351 MPPKGGSRKTELRACLICSVLQSTNDFLTQGCPNCEEILEMRGS AERVAECTSVTYDGMIAMMEPSESWVARWQRIDKKMRGIYAVRVTGRPPQDVIDAIEA RGGVYRPRDAVED I203_04352 MSVSEEVGIPQIILDTRKAASGVTGESVEPRQTLPTEDMEPNPA NSTPLPPNRQKLIDDVIALYSCQPTIERVARYAPACVYDDQFVYANDRYKMAGQWFGL PKLFPKSENVAYEIVKNEDTLIQFRNKQTWHFHLIPKTATINALVSLVLDPATKDSDF PLILYHKDQANEKDYSHEGFGFSFKKTQADMVSKLMSDKNVKLFKGDETAAKEPVKKY GSGTAEAPLAKDV I203_04353 MNDPLSPLTVPPPRYLLESDSSDEEGQGTYPGAAPGPSTKPKIR IHDGIQVNITGLGGEVDEVVVGLGQAGRYILKGVQGEKIGGIKIGIKNVGGVTKVGKN TVVSIEESELGGDECWEVVKSLVAKVKAKKWTTITSYVPSMYIPSPSERAERLDNPPI RILSSGSVNGTKGFDSPNYLTGIAGGLVSLASHPTSSIAQPNTILLPLPLSSLPTAQV NSTLKSFSPGISDTFSQSNKRWTEDDDEPYSAPGMGRVRGLRKGVGEVSSMYV I203_04354 MGAAQSSQNVQEQVITPSEPGTSVQFSPSLISRLSSPSDSSSSS SNSADDIVRRRLAAESANLRSQEAEILSKINAALEKENLDKEKPGMSSEVLGKDIEQI REKVERLKEKKLNTSKGVREAREQVEKCYLTNPEKPLDCWKQVEAFKTEVAKLEQAFV KSLQ I203_04355 MKKTLGPVVVIDTNPARFTHNNLPSSTNLNTDGNATKEPKGGFW SSEDDKNNQATYLIFPIFAAFVLILLSSLSTPIIDGLSIANVKVDGGGTVKVGTWGWC ISGFDGIENQCSADKGFNLDLWATLNTLPDPLKSLKSISKNIDIDYLIGSGVMHILAT LSVWLTLCWTLASSGSWTNKERHAYDWTKWAFNGAGFSTVFVLIAWSLDIGMLTRMQS VSSDVLVDDKYNNSLSVKPGHSDFHNPPDSAALPPNEDSPPTWESLNTSNDQLDINEK SPIPENSVDQRGFIV I203_04356 MSNLTAAEALEIAAKESVDYNHNLNLGTFIIASGTDMLLCGMMI VQLINYWTWSKGDRMFNKSIVIITSLSSFLATIFIIVLMFKLFVYHFGTYAPFASLNL LVYMSIIDIIPSVATQVCFTERAYKLCNRSKLLVGAITICLLVSIVGAVGMPPIRAAV QSGKVDAEQKKVFMYMWLCGSLSADLMITTSIMWSLMKSKTGWKDTDDTISRLIRVMV ETQLPPTLLVIFFFIVTFGFPLLYLDIFALWVQSKFYTCGLLASLNSRYSLRRTTKND SSNHGRTNKTGPVVHVLTETYVQNGVPAVSSTINHNSNQSHRPTYTHTPHRMVRKDPL DLDIDDDSIELEDKTPQNSNPHENEVHESHKLDYIDNASKTGLTDNIVYSGKRE I203_04357 MSSIRISKSVGRFNRLVHTRTRQFASSSRSTSSLPTVPLWINGE SLASSSAGEAVNKHPKTGRETCQTVVAGEEEVNDAIISSRTAFGEWRDVTGWERKGIL QNVGNLIKDRTKDLEEALRADSTFSDLVVKKNQESALHLLDGASHTAISFEGTIPQTV DGSFSMVLRQPYGPVLSIPAFNYPLTLALRSIAYPLACGNTVLLRASPLLPQFFQLLG PLFQDAGLPKGALQILNFSERDVAERIEQIIAHRDVRMVNFTGSVRLGKILAAKCGQY LKPSVMELGGKSVAIVLPSADLELAANNILFGAFFNSGQVCMSTEQVLVHSEIANEFE KVLKEVAEQAKWGEQGMEMVRPGSGDGARDLYDDAIKEGAKVLYSPSDTSSSTSSTSF PPTIFSSLPTSSKLYIEESFSPLLSLHRLSSTEDIIQHANSHSTGLTSSIFTNDYREA LDMAKELETGAVHVNGMTIHDQHNLPHGGWKDSGYGRFNSKGAIESFTQTKNVRFVKG GKLPLGLIYQGL I203_04358 MTIWTFLGRQWTVLPPAPKGDYLKGKVVLMTGIGLESLKHLVQA SPSKLILCVRSIEATEKILGELQSLHPDLKAEIVFLDLCDLNTIKVLPDELRKRGVEK IDVLINNTGANPGNANKPPDFTKDKYEKTFQINVLSPLLLSLILLPFLRESPTPKILF LGSGLHASADVKLIESSLKDNKSIVGAFNEKDGDWYNNKQIYGISKLLLQMITRSLIP SLPDINIITVSPGLAITNLGRDFNFNLAFYIFGAPFMLLNARSAEKGARNVSSAVAQA DQSYDYWAECGPSYSESSWLSSGNGIKAAKAFYQEMIGEVDKISPGATKDLIV I203_04359 MSSSLTLDAPTASSSTNSHSHSPSSVAFPIPHPNPHSPSIDSQK PSASTSAPAVLSPRVSSPSNIPTPTINGSTYHDPTNLKNGGGEHATTRTGDSLPNLGD LDAKCGGCHEVIDQESGGVVVAFGSSLWHVDCFKCAKCKNKVSADTNLLLLSDGSPVC GNCSYQCFVCKQAITEEAIMTGDESYHAHCFTCRTCKRRIEELVFAKTSQGIYCMACH NERVARSRRHAEHKRQKQAKKEAREQERREKGIDGSEKDKEKKKDDDYGMTSPIAQPS GNFLHSTPGIPSSMSLAQTANSPLASPSATPNSFNSGKFDTPSNGIGTPNAGEAFEDA SERESSREREREKQRDLSVDRPIQRSTSPADRRIIEAEDTPLPASPSDPARGVPHSRS MDSEHSPQGRAHPGHVPSPSLGSSRSLNTSSPVNVPVAGPSARQQPQNVGLGVGPVGL NVPTSKADRRRSINPAMTFNMDAHNSTFNAEPRMSPLPPSPLRASFTDLQAEQQQQQQ QQAQGLQPLRSPTTPSPSPGNDMFPFKERQLSAGGGGRSTPDQNVSGPPPRTSSLPDQ LGTSRSRPLATIEDEESSASRKSSIDNTASSGLPSKQPSSNQLSEGALSTPRLNAPNL PPMSFSLSDPDFAVILNNIDQSPKTDTITNNNKSGESVVTVKAEEGGSGPSSPLSINE GGNSPSLARSPHMDMLSSAADQQSQLAGTGTATTSGSLDAIISRSPSRSRLSPNDQVQ TPQMLRIRQPSADSTLSINSRYGTGDGSFATLVELVAGAKHREEDKIEVDLTVLSGVI QEIEELRDTITGLKNKYTGAKRTSQQYSEGLTIAGEEYDKELAHRRELEAEVSRLRAQ VHSQTARLSVISGDERRAENMRRRSNDLANSLTGLERDISRLRAQRDMTLAEVEELSA RRGSVESSNDDGASSLSRSLTNRLDTIKEQYREELEPLTAQREALQREIAELRETKES FLEESAALAAKNEELAELNASLSRQAESVQDHLSRIRQPTTIFNKSSVNGRTHPSGSP SLSSLATSATLQEVPEETARVVKVTKPEPIEAAPARRFKWYKSSKGPDSSSVPSTEFG LRDHNFNQHSTMRLTRCELCQEKMWGLQEVKCSSCGIVCHSKCAEKLPRSCTGSRNGT VENLDGPLPPSMFGRDLVEQVGADKTPIPGIVTKCISAVEAVGMEYEGIYRKTGGSSQ SKQITQLFERGDYDAFDLTDLETFNDISSVTSVLKTYFRSLPNPLLTHALHESFVAAA SIRDANNKHSALCALLKELPKEHYSTLKTLMLHLNRVTAQSSVNLMTSQNLGVVFGPT LMRSADPNREFGDMAGKALSVQWMVDNAPQVFVDRD I203_04360 MAGPPSSTGDVFLYFLAIFLPPVAVFFKRGCSADVLINCLLWIL GWIPGETIFLPEVSAKTEEEEGKARGEAMSLTT I203_04361 MSTEPGHSNSETHTKLDGSPDRRFKEHGGGSHGAQGQGYEEDGD GTSRLVTEGTGEDTGVYKPSEHGGQKEDGSSDARVSSEHGFGGDRERASAEGQKGGSA SYDNGGLTH I203_04362 MKQFIRICPLKATFTHTRFHLSLRTVDPPIIYHRLLLFRINKPE TNDVSASSSEAGPSWKAPELYCMEETCPHLGAPLSHAEIEDIEDTKAIVCPWHQYDFD LKDGSSSTGLQACAYEVQVKGVEEDAEVWVEAPHPSPSAPQRKWELVEMRGVSEEFLD PPLPSLSRLSLAEDIDHSSRTSFPESAATLPEDLPNSLLGFAHLILRTSNPELKCLLT REAVTRLRAGKLKSIRPTVGEIKREREKEGGLLDEPPREVEMIAPGKAPRRGKGGSEK SRIMMLHALANIEQYAIDLAWDIIARFADFTTNYGERLPVEYFLDWAKVAEDEAKHYT LLARRLVELGSYFGAHTVVHAGLWESATQTADSLSARIAIIHLVAEARGIDMNPLTLA KLQAAGDKESSRVLEIIHADEITHVTTGHRWFTWLCQKQGMDPITTFRAEVFANFRGK IKGPFNAADRLKAGLTPDFYEDLSGGLGSNPPTTVNGNGKAKEPEGDEHIHPRLT I203_04363 MAKKKSTEGAIAIQPSAGKKLTFDDVDDDTIDQVNGTTSSPRRQ VIHEDEKAGDWTDEDSDDDDAPEAVGMSRAMEEEKKIADREAALNATRKAAAKARSQA ISQAKAESSTKGKGKGKNVPNPPKSKSQKIRRPTPDSESEEEEEDEDEETKRLRSRME AAMAQASNDDSELDYDDDEDQDDDEEEASEDQDEEEEEEDQQSFHTDSEDEEGDPEEG DETDDSEDLSTVKIDESLRGKFAAMQAMMEAAESRAKGSTSTGGPSNAKKVEKKRKVN QADSESSDSASGSESSGEPQEEDDETQWDLIPGAPKPLSKAVLARAAELEKAKKENNQ LKVEELNKQIQDDGKRSKKRRKGIKEKTEKKISDQTTLQILPPTFNPSTTSLPPLIDP RRKVSARPAGKASKDKFMKRAMNNSGVVPGRGMIDGRRKIVG I203_04364 MSDNGRRPNAETPSKRRLSLRLGDSSSSVNSDKKARIDRPLSTR EQDAIDLTEESEQPQTATSARFTHSSYSSPSDSTEEERDRALRERVGHRSSDPSHRRH PSYPSAWVIGDNERGGLSAGSSSRQPNQSHPHHPPLSSTSAAASRNSSPPPSLNLSSG QPLVNPPQKTQAAFVGKLYSILEDEDIVKTGLIYWSAEGTTFTCPNPQEFAKVVLPRF FKHNNWQSFVRQLNMYSLVNDIYTTSVDPQAWEFRHNLFRRGEPHLLASIKRKSSRPS VHDGGQPISPTEETAELSRPVAGWMRDVPPPGVPNHHPQILRLSSPPQSRGNIVFPYS GPNEDNRPTTTAGIWESRQPSSHGTAPPQPAPPAPPSISLRMPPPLQDLKTQSHHQPQ LPRFHPDPNRPPLSAHGQRFLPSNFPDSPYYPQQPPRSPLDSLSSQVIMLEDRLQRIA EVLNNDRIEHVRYNLDFTSYLLQMVGWAAGDQPSLEMKALQDTLSRQNGEMRQKYEAL MASDALAIMASGAGITGRERAPERDRDRDREERRTRFSFETAIPNLTRSLGGTSPTPR LAPALNSSRGVTPMASPSVREFSDLSSTSGMINSRPPTGSTLTTSGDSHLADSNSNMN LPIPLNLTRSSNPNVSYFPTPAQSSASASGRVTPSATTAELSVTAATVSDPRKTPQEE PRSMTSHTTSNGLKDTPSGRGGDGEEIKPKTGLKNLLN I203_04365 MPAAVASKPNGDLANGHGSVKSSSVKSRGALKRLKAKQKAAAGV GKNGNTSGREDASASEPPTDTESDVESVTSTSTAATSVDVEIDTSDPAFSAFSSILAR FQGQEGGDVLEAGQNAGPAKGEVYYSDEEDDDEEDVRKAAQRAADQEGLTRRERRKAA KLTVAELKQLVDRPEVVEWFDCDARDPRTLVNLKSYRNTVPVPAHWNAKRDYLAGKRG IEKPPYLLPPWIAETGIGEQRDAIKAKEAEQTLRQKTRERVQPKMGKIDIDYQKLHDA FFKFQTKPSMSRFGEAYYEGKELETDLRTKKPGELSDELIEALSIPPLAPPPWLIAMQ RFGPPPSYPNLRIKGLNAPIPPGAQWGFHPGGWGKPPMDDFNRPLYGDVFGVMQGAEI ANQDQIDRTLWGEIEQLDEESEEEESDEEEEAEEDEDAAPVRSGNAPADGLETPSGLA TPSGYNSVVSTVPGGLETPDFVDLRKNTRAESEDVPSGPRELYHVIPERETSSRGFMG SSTAYDVSNVGRPGGPAVLGAEDPGRKRKAGDVEISISEDEDLTQEQLKAKYEASRSQ ASKVYVPGADADRSGFDDVMVGEMKKRARKDDKKGKDKAEKFKF I203_04366 MAAFQQALANFNNTQQDNAPSSSTSVSIRGSATGAPSTRSLSSA LRGAGITREQGMELDGTNNGGRVGRGGRRGARSAGPLDQTGRHHPSNNANNKPYQKPG SLASRMTPASSSSRDGRHPRNGPNNARNPAGTPPVIKQLQTLSGKAEREHSKSELTKK LHGEEMKEWIRKRVIGDGILDMSNLQNDPWLKENGILPPGHPNAPPNAGTVFWRIIES VVQKGAGITIRTLNLANNNLDHLAQLSKLPLTLPDIRALDLSGNPIKNIGELDNLRAA GEKKGKATAGAGSLKSLVEIKLNGCFFREKMLQQPDGPNIYKHDILRRFPGLRILDGI ELERIILPIDRKPKVRLTEEQKAAFVAKPFTFPCDVQSAFGEEGVKGPAMQFCAKYFT LFDNDRNALLPGYALNALISISANTLPSRSANQVEVMKTRANRPQPVSFEAWTNLPSR NFFRGTTSIKARMDSLHNPADAERLLRWWNKVVPRTKHPLSDPEKWCFDTWVLDGEGE STKLCLMIQGEFEEMPSGTYRSFSRTFILSPAPPGSPAANAGWPAIILSDTMTVHSYL GTFAFDERNRSLATHGVTIQPPPIIPSTSVPAAGNDALVAQLSQRTGMNAQFSAMCLE QNSWNFEAALKNFEEIRGTIPPEAFV I203_04367 MSHLSSASTSALGFGSVSSSSSSAPAPSRQRPTGSWQPLPYIHS GFCIYPYQPDGSPPPTPATAQTNDQKSTKNRNRFSWSGMRHGGDEEGEGRLNAYEVPL DIGDEFFAFEEYKCSLEEDGRGDLWYRGYVVQAVSLPSLAPSSSAAHSATYPRPEPSV LIGIFPAAAVHIRPGASNDNGELTEAYERAVRAAEEKARNANPSWVGEMDTVKEEEEG EGTDMSSPQREKDVEGDVVDVEAQNGGSSSTKLERRSSLGKRDGLRSNRPKSLILESK IAQMEEENKEQPPLPKLTAGDSTIAGQQWPLVDEIACAIREWYGRLPTYLANREYRLF STVMQHIDALFLGRRQLLSQTLSGDELVRIRRECVSRLVKCNVAQGLEVIVRSLEDGS VMVVDKERAYAGASWVGGIACYVYQVQLAYIDLIPLDNLFGKFPSLIDPRPTLPSAQP FSLIDATATGLSQPSTSGSYYHCFLDVRAFIANPCAPGETAELYFSLYNKAESRFVTE EFCLVLNHLGSPARDGEQRLGKLRTLFVDLKLDDLAHDIYLVCRIVRNGAMKMRQESG SMAIRPAAGQRTSLTPTIDTSYTTNGHSSSMEGKPTFRRPMGCAVLQLPPSTRLLADG TDKMGTGVEFHVPIYLPKDEATFATLHENIIHNRVKEYVTSSRAEAIALSLKIFQGAA PQVIREHPSLLLNIPLSARLGFPDVVYPGTIRNDLYVKLWSAIFTPAPTNPGGSIRVR KSVIPTYQGDVQVTIEVRRGDGSVVPDVMVAGGCGEPPVAQYHSLVFHRNDRPTFGEL VKISLPASAQAVGYHLFLTFRSRGKERHLNPDPSELEQPFAFAYLPLVDGTACIKDGD HDLMLYKNERNVPPTPNAYLEAPALAQPESGLSPTLTKSLVPLRDRVTLRTYLCSSLQ TQDNTLRALFVWHTSGGGNIDVLCSTLQLFSFVSEDEIAKFVPSVFDALFSILITDHG ARQDEVELLILKSLIKVLAMTSDRRFPNFKNVFNLYIDTQYHYPSSAFNLLRCMKKVM STPSTTEYRAFLKVWHLFFRFIIRSREHDRARGIGLDATSTHIESEFRSQTKSILEEI NSLMRSNEKQLIGTQTLAVQHYADILPDLSRIFQPLEIAEMVIQFADTLTFATGSIAI YKLLLLLQVVKNVFESSESRSILVPAIVRWVKPHLGSFDELRTIGNDDSQITKDGKRV RWLECNRLAVTVIAWTVNKLQEWLDSPLIKDDNTLRIQEEDNIEYCLTLLPTLYESYF ELLSAKTKVTLNRQRSSPTSTIWKSTPDVFPSTHPFALISELPPPSLLERQQNAGQDA LPSSETFNCGLAETAVVILTLILSSPRPNITRWLNEVLDIEGVCSLSDTLKSTFAFCS SVIGFSAFPKQWLTLSLMSFSSISKFLTTLTPLLESEHFIPSIENADSFDITLWTRLF ELLCEFCGSEELALEDMTQQRRRAEWIIAGDLRDEGAALLGRLWNAIGWPVDGPHGKV DGVELRYGGYQTRFTGLAERILGLCLSSHDVMCETAVEILFSMIYAEYVIEGKFDTIE TEIFAKLDKLFASKSTTSASDPTMRAYFVAQLRAVFESTPSIDDAFTEKVSIFLDEIE LFIDLLLSLRDIPDSVEWSDEKMSAMYRLMTFVEKIGRNDLYFKFIQQLVDISVSNRN WLSAGLSIRLHAQIYNWDLKSDLLDELKLGEQVKMRLPPQNHFQRKEALYYHAIGYFA EAEAYEHALELCQELTVQHQSMTFDVAKLTELLHHQAALWEKIGAGGRGKPEYFRVAH FGEFGQLNQDKDFVVKGEPWQRYSEFCDILQLKHPQATLHRSKIPPPSAARESSEPLI WVTPLSPEPDLSKPIFSDSVAENVQSHWRFNGIREFSSLRPYMRDQNESEMVLTWTEK TVVTTKEELPNILNRSEIVNIRYEQIPPVNMAIMEVEKATKNLVRLSKGKNGHLPESK LLGTAINGAVDSPVSGGVKTYRKVFLDGTYVQKHPEFTSEIDQLRLSILAYVKSIQDS LKVHKVVCKDIAFHEALRTQFYKTFSEEITLLPRQSESSSTEDHTPSFDHSKNNFEFT SPPNHPLPPLPLPTYNSPVISHYENSSRGSGDGSYKLPKLKLGPTGGGGVLSIHTANS NSNATSSPRESINTIPTNTHSYSHSRHGSQTPTLTPILPQGSLRKTPSTSDRDKRSSW NSSSNLSLSAMGMGLGQRAMSMVGLAQKGTPPSVSEVGTVDEDYANTYNQNQQQQQVG ERNMDGNENENGNGSGNGGKEKRMSMSMGGGLKRFGSLIRRDK I203_04368 MVCKKCEKKLSTVAAPDPFQPSSSTRKIGENKLLSARARASPYV KPGTGGAGGIKKGSINPYGNKCIDCKQSVQQNNASRCQKCAYKKGLCAICGNLVLDTS RYKQTTK I203_04369 MDPSVPLVVDNGTGFVKCGYAGSNFPEYVFPSVVGRPILRAEER LGTSQIKDIMVGDEASEFRSFLQVSQPMEHGIVKNWEDMGHLWDYTFQEKLQIDPRGR KVLLTEPPMNPKVNRHKMAEVMFEQYGFGGIYVAIQAVLTLYAQGLQTGVVVDSGDGV THIVPVYDGFALPHLTRRLDVAGRDVTRYLIKLLLMRGYAFERTADFETVRGIKEALC FTSYDLESDKKLGEETTVLVENYTLPDGRVIKVGSERYEAPECMFQPHLVDVEQPGVA ELLFQTIQQAAVDTRSELYKHIVLSGGSSMYPGFPSRLEKEMKQLYLTRVLGGDASRL SNFKIRIEDPPRRKHMVFLGGAVLADIMKDKEAFWVTKEEWDEQGVRALDKLGRGD I203_04370 MEDDPFSLPLFNHHPSAAGGSTLPPIRTNIDGPPQIPPPPPASA HRNNQASYTGGILSSPFSASPRVPNTGGGMPPIPNSQRPNQSAIPYGSIPFPQHHQPT SDELMPPPFSVPSNSHSHSYLGRSASLGGSRKKDPFAYRSDDVESGFGNMDVSDNINP GGLPTIPSAASSSSSWSGNYSNNAQAQRQIYHGYSGQPQQPQQSRDIVMSPSKTYSSN TSMDPPPVPAHALRQQQATNESSSAYQSTNPYIPRGSDPGPTSAASSDQSHWTDYRRP SINQRMSSTGSYQSKTSDQLSPFAKPTPLSGGGESPSLSSPLLNPYDVSTSTAAFSNS QSPSIPPPPQVANLPTSPRSWNNPPPPTASSSSNRPVASPVGGRPPPSTSRASMSNQL YPASQPVTPAGKYELAPSRGALPSRDDKRDTPSRSGLRDIHDWSDLKPVVNAQPNGRR ADPDAPGKYLSPLKCLTTALPQTYNLCNPSFRYETSDNPRRVLTKPSKPVHNDGADNE DWDYILYVNDVLGGEHGGDRYLILDVLGQGTFGQVVKCQNMRTHEIVAVKVVKNKPAY LQQSKMEVAILELLNNQHDPNDRHHILRMHDSFTHKNHLCLVFECLSSNLYELIKQNQ FKGLSTQLVKVFTGQMLDCLTVLKDARLIHCDLKPENILLKSLQSPQIKIIDFGSACH EMQTVYTYIQSRFYRSPEVLLGLPYSTAIDMWSLGCIVVELFLGLPLFPGTSEYNQLS RIVDMLGTPPTHLLEVGKQTHEFFNTSTDAYGRKVYKLKSMQQYASEHRTDEQPSKQY FKQTKLKDIIMEYSFSKRGAKQSDIDKEMAMRRAFVDFAEGLLNMDPIKRWSPQQAAK HPFITGEKFTGPFQPTAPTSRTSSQPSSSEKTAASPSSSKKYGGLVQNSTPTRAQRIY SDAASYNQQLAQHQSYTAQVQSAANAPRPGPFSPGYNMQQFQQQVYGQGHRIPSQQMP AQQSQRQPSGQWQMPPIQASYQQQRVPSLNASTSHTQLRQPGNTSNAMNLSTTNPPPN SYYPVTRNRANTINQMDAIPPALARLVQFSAQDPSGTRNSLTPVMNRDDFTREWEKRQ QGHQKQSSLHNASYPQLEYLQEQAELAGSSGGGNWLMPGNAYSTHHHQQSHSLGHGHG NHRTHPSLSGNQTYQMQPHIGVSPPTNSNSEYGSSTRREYDPSPHHHRTSYSGNTTGL PTYPPPAATSNANPNSASAAGSFDAFGDSRDNNGMGMMYTPLQPSYGGGGGHQARASY SGPYGSNNTNTGQSPFGNQGGNGSPRYGGARRNQYGA I203_04371 MSTCPVCGEVQNADQGAFAYHVNSHFEAGPSTPAPPISTTQEKP TIYEVGVNDISDTCPICDFPLSFLSPTEAQTHVNGCLDGPPLSSQASLKRRRSIASTG YDEEELDLDYDYTVNTTSSLQSTLQSRKDEQADDEGWDGPARPGGWMDWTGRKVQSGD QWWDPISGSMADIPSNFSPGVIPILAQTLRRAYHLGITRQAVLCRDTTHIKGIWKFDM GWGCGYRNAMMSISSLLSIPAYQPVFDRQNNGAEPGVRRVQGWIQEAWEEGYDPEGKK QLKGKILGTRKWIGPSDLYAMFTYKGIPCELYDFPKPKDTKDGSRTAHIALQRWVKAY FSDENEHPSNGDSKSAFDVLMRTQDDGAGKGEAVRISKKFPLILQHSGHSRTIIGYEE NSRGDINLLLFDPGRSVPKDIRSTAISSLSQTCHLPSSSRSPQTQTDHSTSSSFRPNI LKKKSSSISATHQPARPFSPPYTNGRAEIDYSTSQFNLTLSHEDQAAHPRGGVTLLPP GLELEDDEEINTSGWVRKKLPKVRSTTSKEGGAEGLDVVRTLNYFRVNLSNLSKHTEY QVLAFTGQGVLELGERERRKVVSSTALRA I203_04372 MSDTRAEAEKELETVEMSGNLEDSLGSALESSFNLPPPPPPPAK GSESAHEPTAIPDETVAATAEPIEGMAEWKDTLEGYTKEWQAESSIAREKALKTRQRI EKENADAEKKMKEELEKGKKSKLAEEKKKRDEQRLKEELEGEAESKKGSHGGDREKKV KEAWELVGDKKDNNTPTAETDVRGPTGKEQSKKEASKPLSYDPTRSTDPIPPIFQDPV PASQTTAPTESATLSRHSATSGAWEELSRGSPSGSSGEEVSRPQSNSEESDIVNIPSS SSKRGDDHQQPQPPSQPPSLTLSLFNPSQLTFRRVLAVIGINLVLPFVNGVFLGFGEI FAREVVRVGKLVWKGERTWFGLGRGAAGRGTSGVGLSGGF I203_04373 MGVTFSSLWSRLFSKRETKVLILGLDNAGKSTILYRITMGSVVA SAPTVGSNHEIYDYKGVRFGLIDIGGQTSLRSSWSQYFLGTEAIILVVDSSDSARLGM VKQELMKLIADEQLKTSLLLVLANKQDLPISQGRLTPAQVSEALGLTDLREREWQIMG CSALTGIGLFEGMDWLVGKLEARG I203_04374 MSGRGGFSGRGGGDRGGRGGFRGGGRGGRGGFGQRDFGPPETVQ EIGSFLHPVESEMLCSLATPTKIPYFNAPIYLQNKTQIGKVDEILGPINQVYFTVKMD QGMLASSFKKEDKVYISGEKLLPIERFLPKPKTAGGKVEKRGGARGGRGGAGGRGGRG GPPGRGGRGGFSARGGPGGRGGARGGAGGRGGFGGGRGGGAPRGRGGFGGGRGRGQ I203_04375 MDSLTYGLIFPFIVEYITSLDVPQDKTGLYAGISEGSLMLAEAA AAPFWAKAADKYGRKMCATLGFMVSVLASGCVGFGQSVGWIIFWRMCAGLNPTPVLSK ILITELTHPSNRGFIFSIYSPIFNTGYLLGHLTGGWLANPYGRLPNWMGGDSSFFKRW PYALPFRINLCHHWVFVLEETQSPVLESIRNLNEAIELGDDASPTRSSSSWELWKQTL KIPHFVLVLASFCAYQFAVYAFEGLFTVFTYTPIAQGGLGFPVETIGSIIAFTTLLYI IGSPIIIPRLKSRYGVGKALTITVITIPIEALLIPFAQFKARLGRDRTWLVLMIVQLP FKNFHQMGWPMNDHLNSACFDDYPKLIATGSAITLIAGVIQLVWCFVPLIRHQEEPSD PPSQVFLSLYIPEGLTKEYRQVEYETLENEDEDLTLVERSRFVDQVRSDEE I203_04377 MPTALSPLPLNAPQQWTKPTYSNTHGGKTYRPTHPDLFKVEFTP PQSGGEEYSSRLVAVRNFEPNERITRLTNISLAPEKAYSSVQFGKGQRDHLELNSDLL FMNHSCSPTAEIHLTSNNPDQWEVRTTSKGLKKGGAITFFYPSTEWDMAQGFQCACGS ENCLGEIKGAKHISIEDLESRSYVNEHIRQLKDSQV I203_04378 MPIPPRPIPVHHPRHPHHSRQTRPKPGSSVPRKSGITSSSLEWR AEIALLNELGPKSVPLPLHLSGNGNGNSKGVKMVNKKDNESEKDVKEGEMVGRREVGL RELIDDGRGKREKRATTATTLGFDFIPNPTILTLPDIDADSSFNKKKSSSLLTISKSS PIGPSSTPLENTPLTPGLLPQPSSSPEPEPGPELEDEDQEDDWEYIPSLSSQRNVVDN DDDHDIIILGELELEDDGDLKGCNSKMGKKDNGIKNLSYADILGN I203_04379 MSDYKSAKEAFVSDNPGSSIFTILAVSLVAWTSYVLYATLYPRS RPSFTFDYLTSALPLLLGVTIFATVPITFNISLITLSLIVCSLSPKSRRRSISNDSQK GKSKGSWLEESDSDEEIAEPTSTTTSTQNTPIKLPSQVALTSAHEYSTSPSPSLISSN PTSPSSTLSVEDPFSKLPNTSNGVNKRRLKIDSLSNPSGSSMYPTNAKSRIRNVSTPS TIQITDDNAKTKRKLPFLSVYRAHMMIMTVHCILAVDFKVFPRWLGKCEDFGTSLMDV GVGSFVFSLGLISLKSLTPSSNTNNKPPNSPGMKLIRKDDPKLSQDLFKAFKKSLPTV MLGFVRLIMVKGVEYPEHVTEYGVHWNFFFTIGLLPIFGVLVRSLRGWVRWSVLGIGI SLIHQVILTKFLLQSFLLSPSRPGLLGQNKEGLSSLPGYISIYLLGLSIGEHIQRLSA PPPSLTGVTDNVDDHIKRHYDKRRTELILELFGYAVVYWMSLGGWLWSDLAGDGGVSR RFANTPYVLFIASYNTLFLLGYLLLESTFPDIPTPTLLESINKNGLVVFLVANLGTGL VNICINSIYAGRFLSMLVLVGYSLGVCGVAWILSGKRIKI I203_04380 MSGITPANGATDSMAVVAPNLESTPSIIAAHAPQVKDDDESMSE DDKPLMKKQQRQQNNKRVGGGTSSSEDEKPLNKRPRASNGAANKKRRVVDSDSDESDA GPSVKKEAEPTPKTNGTSKPRSSTTRKPVIPSSDSELSEDEKPLAKRATANGNGTAKA KPKPNTKAAKKAPSSDEDESPSEDSESSEDEKPLAKKKAPAKPRQSTSSAKATPKSKV KAEPESEDDDDVPLAKGKAKGQAKPAAKDKKPVIKKEKKVKEEEDEEDKYKWWEQEAL GDGEVKWTTFEHNAVLFPPPYVPLPKNVKMKYDGVALTLPPESEEVAGFFGAMIETDH AQDAKFRENFFKDFKAMLEQYPPKENVKVKSLDKCDFKPMFEHFEREKEKKKAMTKEE KKAIKEAKDKMEAPYLFCVVDGRKEKVGNFRAEPPGLFRGRGEHPKKGTLKHRLRPED IIINIGKDAPVPVPNIPGKWKGIQHDNTVTWLAHWKENVNGNAKYVFLSAGSSWKGQS DRAKFEKARELIKHVDKIRKDYTEDLKSKVMADRQRATALYFIDRLALRAGNEKGEDE ADTVGCCSLRYEHVTLSPPNTVVFDFLGKDSMRFFQEVQVDAQVFKNIKLFKAEPKKK GDDLFDRLTTTLLNKHLNSMMPGLTAKVFRTYNASWTFQKQLKHTPKNGTVAEKIAAY NTANRDVAILCNHQKSVSKTWDVSKTKHEDKIRALKYQRMKLRLQLFSLDPKIKKKRP DLAEDESDMDEEFCERHEKELLEKALDTAQKKFDKDNVKLEEEGEKKKDKKDLEERLK EIKEEFKELKKERKSRKVEPRRAATEEKLLEQIQKMDERIATAKVQLEDRDKLKDVAL GTSKINYIDPRITVAWAKKYDVPLEKLFSKTLREKFPWAEAEADKGWIF I203_04381 MLSLSRTRLSRSVGKNLLKRNYVVASQTHRASEAPNFGQHKGYP VIDHEFDAVVVGAGGAGLRAAFGLAEGGLKTACITKLFPTRSHTVAAQGGVNAALGNM TEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPNTVIELEHYGVPFSRTKEGKIYQRA FGGQSLKYGKGGQAYRCAAAADRTGHAILHTLYGQSLRHNTNFFIEYFALDLLMQDGE CVGVIALNMEDGTLHRFRSHKTVLATGGYGRAYFSCTSAHTCSGDGNAMVVRAGLPLQ DLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSEGERFMERYAPTAKDLASRDVVSRS MTLEIREGRGVGPEKDHIFLQLSHLPAEILHERLPGISETAAIFAGVDVTKEPIPVLP TVHYNMGGIPTKYTGEVITVDKDGNDKVVPGLYAAGEAACVSVHGANRLGANSLLDIV VFGRACANHIKETLKPDTPHKPFKDDLGKESIANLDKIRNSTGPMSTAQVRLNMQKTM QTDAAVFRTQDSLDEGVKKMTEVYKQYDQVGIKDRSMIWNSDLIETLELRNIQQNAIQ TVVSAAARKESRGAHAREDFPDRDDETWMKHTLSFQHDPESPKVDLSYREVIATTLDE AECKPVPPFKRTY I203_04382 MSSRSINSDPETAPLISRPPSASVSVPWLQLTPLILLRILDALT YSIIFPYIVAYITSIPSVPPDKIGLYAGLAEGSLMVVEALMAPFWAYMADKIGRKKCL VGGFAVVVGAMGLMGFGESVGWIIFWRACYGLNPGPVISRTIFTELSHPSNRALIFSI WGPLFSVGICLGTFLGGLLAEPYGRLPWWIGGENGQWKKWPYALPGVVCVAL I203_04383 MPTQSTSNAPPKKSRKRSSFSEHTAAENTQDLNKVRAYAACRNC RTKKIRCLPGPSTSSGDNSNGQVPGTCQQCIQAGLECSYPPTRDRAAYSRQYVQNLET RVQDLEAMQARVIPLLEAFENTQSLPLPLPHSIHRKNENLHLNINAQAALVGEVPVNV IESEGDGEGESQEDDVMQGSDVEDGGQMTQDERGNYRYIGSSNTLSLLDSFSHRDRER DKDKEKDAENLIQGGPMSRSNSFALRSNTQLSDSNSRQSPAISDHTTRGPNPYFSHVA GSGVVKALPPVHEVQYPSPEEAVKFIDAFFEEVHPSLPVVIEHEFRKEFKDIMAARAR GALPTQGPFLAVVFAMFALGERVLVTSKAWQRERKRIQDEIEGDEDTVLPGEAEAGVI WFERAQILHYTSLKDININQVQCLTLMAAFQASVNAMPMSWLLAGQALRVAQDLGLHR STSRLPIPFAEKQLRSRCWWAIYGLERMMSISLGRPLGVDDLDIDVSYPAEIDDQGLE RMAQEGTPTSLVSGTDEPLGSTMTGFIALTKLCKIAGKVAHLLYRPANEKSVSDPSWA ASQQTAINKVDKLLREWLDHDVPSKYKDPSDNRAVSLVSAILSNSYFAVLITLHRNFL PSNPDYPRPKPPPSSQSLARCVEAARSVIHIASQSRTLVPPSHHLAVYCQYLWSSAII LLLCEVQARDQLVIETVGSHVESCRRTLQTLEPVWPGSRKLKELLNDVAGRAKEVAQV SKVNNPKSKKRKATSLDRIINTTNNGGQPQVPGQMRPPPSRNQSSSASPHRPNIPSAN NGDAQTIPGAYQSSFSSTHVVQPGSNPDKRQRTYETSDTRTLILSEPSQASQANLPQT QTHTPQQATYFNSGNHSSSNLPPPYPSSTPTPTSAHILPDQMDVGVYDSFDLGGVSFN PSELLQGFSDSASASNFWNQMTFIENSNSNNHNNTTYGHGQQQVFSGQNTPNSNSGAN TSGPSPGIIPQAPSQNNNGSVGYNTIPGQGQVDPFAEFWAQVAGNSFDWQADPSVPFN I I203_04384 MNYLKSITTSVLQSTGVTFPFSIGERIPGLDSSSSIWEIREGVK RDDGTPLTLFIYDSTLPPFQPGNKDRKTLFQLARNALKKLRTIRHPDVIKYIDSVETE THIYIATERVRPLEGVLRDWETGGALASKGKGKGKEEWIGWGVKSVSTALAFLNAPPL SQHHAYLLPSTIFVTPSLEWRLGGFDLLTGREDQAGVLWALGGVAPGDVGERSGPEVK KGGWGVLRDTDPALSDTYLLALLLFTLYNPLSPLPSLSSAPTPSSAGSIPKSLFPSWK KMLNPNPRTRLSTASFLDEAAQSGFWSSNALISLVDGLDNFELKSESDKLGLLRNIKE SSSSGTLPSPFVTNKILPSLLHSLSLPTAPSSAMLPLVLELGKLVSPAEYPKLVLEPV VKLYTSPDRGTRMALLDGLNEYADKLDNKMVQEKVWPNLITGFADTVPVIREATVKAV FPLSSKLSDRILNNDLLRLLAKMQMDTEPSIRTNTCILLGRLAPILGPNTKKKVLVPA FARSLKDPFVHARVAGLMALMATVDCFDRDDLAGKVVPNMAFTLVDKEKLVRDQAFKA MNMFMARITEMVISMPDTVLSEEKSSASYGPVTTTSSATTTNQAGLANSAAGAAGALA GWAISSLSKQLSTPDMNSSMSAATAFNVPSGLSPNPSPMHSPRIGTDSTAVSAFGSTS PSVPGPSSGKFATGVNGGRRGSAGGSGLKLGGSGNTRKTGGAGAGPGPSSLVDMVASE WDDNDNDGGNAWGNDDLIDVNADEDDWSAFESAPIPEIVVPPPQSYYVTSPKPPSSST NGVNTNTNKPIQKIVSSNSPKPSPVAAFASTTKSKPNSAVSPAMSSTTNDDWGEIPDN DNNSSSSPQKVASPTPQAQAQSLAGMSKEDKDKEMARRRAERAARIAAMKEQKKGKA I203_04385 MLVLPGSSAVTASRRNVLLKAFQAHIPAITSVDAVHLHLVNPTS DESAALLSDEKSNERAILNSLLAYGVYEQLETTKVFLESGMKGTIGGTTNALFILPRA GTISPWSSKATDIAKICRLKEHVARLERGALYIITSSEPISLPVIHHELHLIHDRMTQ LVHTSLPAAATVFPPVPPHPSPLVTVPIIGASDPTAVLGEANARLGLALSDTEIPYLV ESFLAAGRNPTDAELFMFAQVNSEHCRHKIFNAKWTIDGKDKENSLFGMIRNTEKVVN SAGTLSAYEDNAAVLEGYEATRFAVNGKDDWTYSSQVEKNPILIKVETHNHPTAVSPY PGAATGSGGEIRDEGATGQGSKPKAGLAGYTTSDLLIPAFTQPWESDIGKPAHIASAL DIMIEAPLGAAAFNNEFGRPALGGYFRTFLLETPTANGEKEWRGYHKPIMIAGGLGNV RPQYARKDRISPGSKVIVLGGPGMLIGLGGGAASSMASGSSSADLDFASVQRENPEME RRCQQVIDACIARGDGAGNPIESIHDVGAGGLSNALPELVHDSGLGAVFEIRDVLVDD PGMSPMEIWCNESQERYVLAVTPENLATFEAICKRERCPFSVVGTATEEERLVVTDRL LGDSPIDIPMPVLFGKPPRMHREASTVEPKQDAFDSSLFTYLPVYKGAPTTSLIAETV NRVLRLPSVGSKSFLITIGDRSITGLVGRDQMVGPWQVPVADVAVTQSSYGFDTVVGE AMAMGERTPLALLNAGASARMAIAESLTNLAASSIEDITKIKLSANWMSAASHEGEGA KLYEAVQAVGMDLCPKLGVGVPVGKDSMSMSMKWAGAKGEQNQVTAPLSLIVTAFAPV NRVDRTWTPQIRTDDGESVLVFVDLARGKQRLGGSALAQVFKQLGAEAPDVEDASDVR SFFAAVQALKSSDTVLAYHDRSDGGLFTTLVEMAFAGRSGIEVSLDAISTHGDAIASL FNEELGAVMQVRTADLTTFTDAFVKAGFPTQHIHVIGRVLGRKDQTVTLIHKSEAIYT STRGALQQLWAETSYRMQAIRDQPEGAKEEFDSILDNDDEGIQYSVPFQYLPEPQPDA KRPRVAILREQGVNGHIEMAWSFHAAGFEAVDVHMSDIISSKVSLSGFAGLAACGGFS YGDVLGAGNGWAQSVLLNEVAQKEFSEFFNRKDTFALGVCNGCQFFSQLKDIIPGTEN WPAFKANRSERFEGRVSTVQISSSSNSVFFKDMEGTVIPVAIAHGEGRASFDDSTATL EGLNKDGLVPVRYVDSRKQIARSYPKNPNGSPEGIAAVQSKDGRVLAIMPHPERVTQL TSNSWYPKDLAKAAGGKGPWFRLFQNAYQFAVEQRK I203_04386 MTTPLEQVQSIDQAIDKEETQHVEIENGEKPLPASLVGMSQDEI LKMEKKMVRKMDAVILPIIMVLYVLNYIDRQNFASAKLQGIMDDLHMTTQQFATAIAI LYAGYIPFQIPSNYLISLFSRPGLYICCAVVIWGTISACTAAVHSYPALLGIRVLLGA SEAVFFPGCLYFLSAWYTKKELGKRYAGLFIGQQLGNGFGGLIAAGVLKLDGAHGIRG WRWLFIIEGVATVGLGLIFATFMPEYPHNAKILTPEEREYAVWRIEQEAGAAEAHDSG SNIKAYVSALADPKVYTLIFCMIMSQAMGSVGNFFPTIIKSLGYDNIITLVLTAPPYI LVCFFFYGLSWYSDRNGKIYLPIVICLSIGILTYVIAVATLNIGARYFAIMLTPICNV VPQLFIYNTLSLHVARPYPKRAAGLALINAIGGTSNVWSAYVWYAPPHFYAGLGMVLA CDVVFLIIITGYRFYVRRENAKLDAGGEKARHAMRHGITQEQVDLGWRYVGY I203_04387 MSYQPIPQSDDDRLNTSSSFPPSHAQHETDPSHRPLRRSVQEEF NRPPPSWWKRLLLIVVLIFMAWFSVWLGRKGMQEQKPTVIYASRYSEEHKYRPAASPV ITEYLPGNRIRIRGASIGGVGIADEDIPLTPKQIEARDKKRREEAKNKAREKMGLRVK KRKSLKEKKEEEMVKVRELERRIKGEV I203_04388 MNTIREINRINERELQLGVKGSWHDEYKDSAYVFVGGLAYELSE GDVITIFSQWGEIIDVNLPRDKETGKTKGFGFLMYEDQRSTVLAVDNMNGAQVLGRTI RVDHTRNYRQPGKRNDEGEYEEPEEPTYNAMPPVLSDSESDDSSESEKDDLDEEDPMA AFIREEKKKAKKALTQGEKGKKRKHEGESKEERKKRKEEKKLKKEEKKRKKDVKVKVE DDVTSRRGIDDESRRKGGRPDDGDRDRDRRRERDDDRKVGRANRDDWRDGKFELQRER ERDDRPSSSSRRDDRDERYGSRDRGERDGMDRRNRYREDDERDRRHREEERRYRDDDM DRDRERQHRDDRDRDRDRGRDDRDYRR I203_04389 MFTQPLRSLLASSSRLFTPSSGPSSSRAASSFAHLGDLQPAKGS THVDIRYGRGPGSQRGGTSGRGHKGQKARNGKGVRLGFEGGQTPLHRKVPKRGFINFT SKTYAPLSLSTLQKFISEGRIDPNQPIGISAIVQSNAVHGLSGFAGIKLLGEPDPDLP LPQLELNLSRYSKSSAQSVIEAGGKVTAVYHNNLSLRKEVFPDKFIGRDVKDAKPTRK NDILYYTNPKKYGYLAESVSTSARKMTPAEWTEGSVNAESSVQAQI I203_04390 MSAPAPAEQANLHKDEVTGEMVSKSELKRRQKERALAAKKAEKA ASQPAAAQPKADKGPSKAAEAEMDATAFRELRIKEIQALRKSQSPNPYPHKFHVTQSV PSFVREWGVEGKVEKGAHVTDTKPISLAGRVHTIRESSSKLIFYDLKADGEKVQILAQ AQNAASVDEFISSHSLIRRGDIVGVTGIPSRTKMGELSLLISSIQLLSPCLHQLPGRE GVQDQETRYRKRYLDLIMNQPTRDIFITRSKVVNYIRKFLDNLGFLEVETPMMSMVAG GATAKPFVTHHNDLKLDLFMRIAPELYLKELVVGGLDRVFEIGRVFRNEQIDMTHNPE FSICEFYMAYADMYDLMDMTESMISGLVQYLYGTNKVTFHPQGKGEGKKSYEVDFTTP WKRFDMIGELEKQLNVKFPPGETLHDDNANKFLRELCEKHNVDCSEPKTNARLLDKLV GEFIENQCINPSFIVGHPQVMSPLAKYHRSRPGLCERFEAFMCTKEICNAYTELNDPF DQRDRFEEQVRQKEAGDDEAQGVDETFLDALEYGLPPTGGWGLGIDRLVMFLTDSANI KEVLLFPAMRPVVATSTEAVPPSITATEAAKEGGVVA I203_04391 MEDQSTHPHSPLNLAAANDIHSFDIDPSLEASSEFFAGVGIDEH DHEHEHDHNHNHQVTTHNDQVDDDEHGHPTQDDIDAVIKASLDHVQAQAQAQVAADAV AALTRIDTALPDSDDIPTQQEQGDAEDLNERPSKRAKTLLDDDQLGTEGNPIPNPTLH IAPFSKPDRQIGSTPLPLYLQFPNKGQFLRWLDAENSWCHFVQRRTTTPDKRSAERLQ SRIRAHNKSMEAMTPEERAAAPPLKTRRRKRVSPVFEKVTFTCHHAGTYESKHSTSLP KEKLRLNTKKSVKCACASRVILIEMQSGDCKVVYHWRHEGHDPFSDTDIEGGRLPKSI DIWLTKQIEVGKSLDDIRKVLSIPEEEKEAYLAKVAADPTTLEPDMPPPLALVLKVKY PDIYNRYRKLKGPVKDHKVLKGSTKRTSSGTAKSGSRSKTNDSTISQSASPSSIVIDE QPEQSQSPSIDQQMIMELNSKPLVDSLDGLLPTSSTSSVHGVEQGGRAGGMIIDEHSE VDNEFAQLASSHDLLGQNDSDKRDETELDLIHSHEGLARALLDLPSAGPGLRDEDGNE MSLEEAMRRMAEGVAAVAAAQAEGEAEGEDQLRTVGDVEQDGDR I203_04392 MSDLSSKLEPFLLLARSTKGAAAAKIIVDATAAPGVYAFSELLE LPNIQELSTDPSYQNHYRLLQLFAYGTLTDYESNPSSYPSLSPTHILKLKHLTVVSLA LQHRSLTYDQLLSALRLDTIRQLEDLIIDVIYAGLLGGKMHHHEKVLRIDWVSGRDLQ EKDLCKTAETLLTTLDTRILELKQSSAQELARSNEYKIYRDREYQMISLELKSSKARQ DPFGDRALGSGIGAGPAEFGPGGGGMSQDALLAAIAGGGGMGGASGRLWVCSNFLDRS MYKADRELVVD I203_04393 MVAPSTQSQAEKLTTQEKLLLSQAIYKLGAISWPAISKLLTSHP CCVNRPSELFSPEACEGIYMELMSGLEINVPAPDAMKPQAKTHLRLAQTYYLKRMEEL QNTITQYENRFKELMGEITSLKSGEADESIRREIRNIIARKYGKKLLDTWFPEVEVVR QAVEDGPTTTEEKNQTKASQPDAEDQPQLDEVTEKQEEENDKEADEEDIETPMAEDEK DTEDIEPKPEKNIRTMKTPRQRRFSPAVSIRSDLSPAPGSDLSPPPSDHPAPAQHGTR SNKRKASVQPKGAPASKRSGRRSTVNPQPSAGVEPEPEPEVETHTEVEVGKEEEQSEE EEQEVHNTRGRRNTRRESTRNSSIKKSPVSTKATTKDNERSPTTSRRAPSVTSTNRST PAPAVEERERRSSRRSLAASGTTKTRGMRDDVVSKSVREQSAAVESVKAETEAEEEGE DEEDNKPTRTSARRGRPAQEKEKEKEKEASTPAEKRGTRASARNARDQSETENTPRYT PSVADISGELETPPNSTRLKPTREQRSSQKLLYSLLDAIAQHRNGNVFQTPVKKSDAS DYYQIIKRPMDLKTIRARIKDGLITGIEEFERDVLLIFANAMMYNNPDTPTYEMAKEM LKAAEDQIAHFKNLQHHVSR I203_04394 MAAPTLASSSRTLLFLPSFSTLGSTRGVLHRARTPRIPVIQSPH TPKTPLPTSGTSHPVDPKIHGQPTPSSSSVLLEDTGLTFHHAPPPSLPSYTTGAVPPL LQWLGGKSITLTGEEQASKMVERRVYEGELEWSEDLVEKMVKLRNEGKSRKEIGDVLQ LPKDQYRLISRVAPSTSVQKASKLTELEEQKSTWGYRKKLSRAVREKRKEFW I203_04395 MKIQKNTSTSLLLLPLLASSTLALPGRKRHQPLFRRIYGNHKRQ DDSDASPSGSAGDVHEPSSVASSSASATGSAIASSSSSAEASSTYSGIEASASASSSA SNGLSPYEESSSNSTTGSQNTNSGYDTINPNPGNVTSEYLSSLLENSKGYETLTYSVY TPVTETVTNTQTQVQMATSTVTAEGSESTDNAYLDEIQKAAESYAKNNMGGYLPTITL EVILEPTQEPDGTWDYVVHIGADGIATASPTYYPANPTSTSTSGSSSGPGSSDEEAYS YSSSPITTSFDHEAPSPTTSSSSNSGSTGSRSINIASITSAAAAQATNPYLQTASNIY VPISTASSATTASASASAGSGSDTSSSDNSQTSSSTSGSGSDESSDNTGDDTSASASS SGSGTSTGSSSADNAQSTDYSVPGHSESNFDNGSDDSSSSAAASSSTSSEQATSTSAP GHETSFGGSSPDSDSDSDSGSSDSDTATTATSADPAATTAPYPVWYGGSGDDSSSESA SASATSVEESTQTSTPYPPWYGGSGDPGDSSNSTAPIVNGTDPNFNGNGTFPTNGTDP VGNVTVPIVNGTSPVDINATVPIDVNSTAPVTSTDLPINATASTSASISGVFSSVAPS VTSSVSAAPSAADSGSAGSPSPSASASVVSSAADSGTYGAPQPSMTSSESASSVASSA IDSGSAGQPSPTASTSSSSAAASISPSVEPSAISSSISESILPTESASGTSTSTSSAS ESVITSSPSSTVSVEENENGIATESLTSWTTVDITMTLTASSTAPTASASAIATATAT ASVNASIPGNVNLAEPVTESESVSGSETATFDPTSAASNFAYLPPEETASASATASST STSDEVTPTSSASSSEEIIPTSSATSSDPEITATASATITSSASDPTETDCDEDETVS DSDVVERGYDGEDEYEVVWVNEEDVQPEWEILA I203_04396 MTTSYLSLPPISYPNALDGADRSSLGEIVNEIKAVKDNKSLLVV KAKKGKGNLKKDHWTPVVGHEGGDKDDTRFGDSITFYAPASAEDTPIWHNHVIKFSSL RQEHPHFTVQLEGDSLPNRRTGALLSHYSELGTQIIFCLG I203_04397 MSNEIQAANIPTPVVETKYDAGEKGQIEHVNDASDDKAAANFKA DAMEAEKMEHQMTVIEAVKAYPMACFWAFVMSFCIVMESYDVFLIGNFLALPAFANRF GVFDEAAGEYVIATKWQSALQIAGQLGALIGVFLAGPLTSRVGYRWATLIGLMAMNAT IFIMFFADSLPVFFVAQLLEGLPWGIFIANAPAYCSEIVPLRLRAPATQVLQMFWAIG SIIVGAVTYKYNVRLDTSAYKIPTALQWMFPTPLAILMFFAPESPWWLVRKGRLDEAA RSVERLGRKAKLNAGETVAMMRRVIEHESEDKPNYIELFKGTDLRRTAIVCGIYAAQN LAGNLIANQAVYFFKQAGMSTDTAFALGLITSALQMIFVMLSWILTTYFGRRPIYIYG TLFNVVMLFALGIAASVGTSNAASQAQASFGLIVSVIFTFAAAPVSWAVIGETSSIRL RPLTTGIGRATYYIIEIPCIFLASYMLNPTGGNLGGKCGYVWGGTAFACLVTAYFCLP EMKGRSYREIDILFRRRVSARKFASTEIGPEEDD I203_04398 MPHEVIYDYVESIDPNLTCAICQSALVDPVTTLSCRHTFCRDCI TRAIAVNPQCPIDRSALNIRSLRDTEQLVKLMLDELKVKCAAEGCGMEMQRGLLLAHL RSCPKAIITCQDGDCGLSMARHRLPHHRAYECFQRKMECKKCGTMLVFKDRAAQLKPD CCEEIATTCAVCNETLGSDKHLHQWTCPQVRVACPHTARGCSAIIPRSDLQTHLNTCS FEASSGFFEQNDARFRLLEQKNETLQAEVDLLKSELVSIRRTGARSPGWGHEGLWGIR GNPGLNHSTSQPALNSPSAQHNHGTPMDQQNSPSTPVAQSQDTQIRPPSPDNTDTTPR LTIPVPQAGQLAYSSPISLSSSPQNNGLFHDQGITARAAADLAHHRSMIAPSFGSHQS HADWAFNRLSSNNMPSVEDAIHALRASVLQLAGGMDTMERRNEVRTMTESLRVLEEVG SLRAIVTTMRMQVMMAQPTRTPLARHPSTLFPPPNSHLPIPQLTIPINQTINSHTHHQ IDRSQILHHHNTSEDHSGEPVSEDTYLDPERPHSIRESHAFSTHTNNDAGSSTSSLIT AYNANSRHGTGRTIGSGAGLTGRTVAIPPPSMLNGNGEGSEGSQNMGRSGSRLSRANP MNLIRKQPSRLNNRPRL I203_04399 MEYISVIKDDVDLSKSHRYLRLPHPRTGQPQLYLPNGESSILEV IKLNGSQRRTWFIGDDTIDAGNMLIHYPIDPLFLVIPIITALSGSSHAQSFQPFSDLI STATSLPQFSLPEPFTQPVKSSQPSSSSSGFNRDIERLLKLKCVKRVFKACCEKKVIP TISSSPSSSTSTPQRYYRPSIPIVINHLKKKIEYFSQPEQFEKFDHLVRGLGKDGLLG DESQELRALARTQAAIEHLSQYLPSHITQQLSESYDFTPLSSHLKNRTAASIAASQIP STASGKENSTKGTKRKAPATSKGVEALKKVNTNNMAKLTNFFKPKEGKKK I203_04400 MPVLLEASCHCKAVKYTLESNTPVPYMLCQCSICRKVGGYMGSV NIMGNTKTLIFIRGEDKIKKYKAVKSYDKDDNPKDRYGSERAFCIECSSMLWCFDEQW ADWIYPFASCIDKPDPLPPIPEGGTIRAIKRDSCPSHVPIPEGAKAYDDYGPGKGIED WHKSTGAWVD I203_04401 MAFSGALTITDLDDFLTPSQACIIPVRNKPSTTAEEGSTEIQID SNNNYYEVSTYPTDNVNGGPGPSTMNGKKALEKAEINLNDCLACSGCITSTESLLITL QSQNEVLEFIQSNPTALDPNAPCHKPRLPILSISPQTLASLSAAYSSAQSRPTIPLLV LLRRVRAFLSRQEKGGWKVWDTTFARHMSLKETVVEYHERKDKKDKGKSTELPMLASA CPGWVCYAEKAQGDMLHLLSNARSSQGIIGALAKDWYGHKMNHKPNEIYHVTAMPCYD KKLEASRSDFYSSLYSTRDVDCVLTTGELDLLLQELGFDPYLPVPNEDIPFSGQSEES PFPELLNHEGSSSGSYLATIIRDIQLSHSNPTKISTREIRGSTDNVEYLIHDLVSGEV LFKGAKVYGFRNLQNLVRKVNKETGLGKGGRSGAGAGKLSLAVAARRRKAKTAPSGTS GTSTPGESGLSDVDSISSLSLSSGEDKKLDFVEVMACPGGCVNGGGQMKPVSPSTAVN GTEGKMEVDEEGYTRPLPDDGTDIDIDKASKAKDGSLINSGVEEGMRWSTKEWVEKVE AIYWNGLPTPPPSPPLEASNINFKLYISEPKGHVDGPAQTNGHVDRNQQADLIAEQIV RDVCDNDSAKRWEFLRTRFRKVESDILAQGGVTLEAVKW I203_04402 MHSSRSLMDTDLKDMELDSSPSSPTISPSREEPVDITADLIDFG GDVPTDVATTAVPDSTGATAESEVEDEEDERPDLSEDFSCNLVFSRNVGEIVNAHRVF KVVEVSPKSSSTVGLDDLAEQMKDKKFNLSTTSWGDQGLYEIQPHEPYAKDSKYTKIF FRTNLGNNVWNAQSTPGTTEMTAMGGIHGKRRILGKEFKEILHVPYSGYSQDGDGDKI TFVPSLAQNVFGPEVDADRTVFFDDNLRGRTCTLTPSPDDENTCIMTVCGTMSNGSTD HHDDFRTDQDFRQGLLQGNRGYPTGSTYGSVWPV I203_04403 MKFSTIFTAALLTLSFGAIAAPVEVIDLEARGGSSSKPPTVPPG YKLVTVGNIYILTDNNGNFAGAFSKGNYNGAQAGSQNTGAGSTNKGNTNSQNIGDTVI PSW I203_04404 MSLQQLASEILDPSNFIGPEARDSFLCSTDAHGRSRTCSGWKLT CRNKIGDHLHFEVLTGGSSGVLSIARCYRTPNVMGPSRADYWNGQSIYTEITLPSGII SDHDRGRPTSTVTEDVKSKLSTDLSELFGSDYDDSTVQAIPFKGKYLSQLHPCSIRFV GHSITTSPDRPGAYWLTVWEKVQNLDEVLF I203_04405 MDDPWAGPSWSTPSKPSSSISMTMPSAGRTTPPPRFDESDPWGV THATKTELQKTPEHDDDEEKEVAEPKGSTVETPGWGGEDNGPWGVDDTEEDKSTTPAE NESPPKPDKAKDQQPTWSSGESPVKDPSPLPTSPDLQDISVPSAIVSPDKLVPPHITS SPALAVSPPAVPLPTFDDEPFASTSTDLNPIPKSPSFGDDFGGFSAGPSFTSGGDDPW GNNAGPSTIAAKKDPGGWGGEDLSWGGGKNDSSWGDTSFGEEAQTSFKSPVIPQEEDE DDEADEGEGWGKARPAVRPVVEERPKGDDDWEEAQRKIRVKQERAPQDKIDGLTNAWT DLLGGLIHQELEKMTGAEELQFEEKVKKLEDDTLDRLRSLSTIPPDINIYPPILSSLM THERYVYALQRPNPAPSTSLLTTTTSRRPTRVDPLALVSSELEPSWTSRSMLGEPDAP SQDAISQQEEQNKSRWSFWGKRPVPERQLTTSGGGVLERKSISLASPDLNNEIHSTDI KPSSKPSSRAPSISIASSRPNSPAPPGPAGANVNSDIGHSAPSGTLPQTQTQPSQPVQ AAPSAVSRFFGRLSRNRSQTTTQENEENNNKDLELSADDFSFLSEVPSLKPPEKGVGD LLALEPGRNEQIASLESLLNSKPTQLPKPLAPPPRSASPAPRSSSGKFVARMKSPPPT DMDLLGDLNFDGSAQPRSSTSSIVQSPHQAVSSPSNAWDDFLSLDSNSGPSVPVRLSG KSPSTPFVAPPLVPSRSDTPTVSLSPPPPPSPSNPAISSLVNKPTPVTPAKSAVNDFG DFDDFGTPQHASTSTFDDFGDFSAFGSTTTTSAPIPASAHAFAELSPIPFNLQQTQTQ TLVRPSAISTPGSTKTLSTPANHARPGSLDHTPTLNLIAGASATKGKRWPAPPSPVAP AIAPPPKSTPGAAPAGFPFLSPPPPGRPNSRSNNLLDENATTENTRPALTGTAGVGIG MGIGNLDSPIFGGSLQPSRTTTPTSQPANPAVALATGPHQGNGKGGLSAQDLSFFDSL I203_04406 MSKTPITTPTTSNPTKKHRPRSSSLSLSIARSPSVLSSGLFFPS SPHPPQDEDALEDEIQHHPNVYGIHPYGNQMRRVISAGGKLDPIHDDDNIEDTVGVKA SSSVSHLPAIINKSPKIPISNTPTLFKGLNEELDDHDHEHEHVDIDDGSRPLLPTRKV SFQPLTSARDIESEMDNLSESDVRSQMTYEGPFQSPDSKELLSIVFSVVGVVILAVAA GCTTIFDWIL I203_04407 MSLPKPPPLPPRRPTPTPQPPHADTEPGPPISEPVAAEPPPLPS KSPSTSRTPDATKLAHDEADHPRPLASVMSDYIILPPPLPPRVPSVSKPIPEPILVNE GNSRVISNPVPIPDDPPTSIAIADGVLTSPSSSEVTSPVTVAITPATPPDSQPNSPTS PSSLSFGQSVEEMKTPRPPSPSDNADAGISQTPPRPVTDQREPHSSPVPPPLPLRKPN PPPLPLRNSRTTNVALSTVPTLGPMVWSISMVCLAYMRVSFVTLLIFGILGYMGMKKL EKTAEETLRKEEPEDPGASRSNRAAGKEAVEWLNHALYALFPLISTDVLTPFVDLMED ALAGQVPPVVTSVRLTSPALGSQPVLLTSLIPISDEEWFSSLSVPEEPVKNVLRKRER GKSENGKAKHNRNTSSVSCVSVEGSTEMNTSRSTTSSGGSTTYGADEQAKEAVRRRKR DKILQRVSRKGAPMDHQNKIDGSDDLRQHEQEQGVNGQRKHVGENNETFNMNEDDPNA GQYVNYQIGFEYRRSRDAEKKGRGLHCLAYFGWGIKGVAGSEIPVYIDVLSIKGIVNL RLLLSATPPFVRTGTFSFPKLPEYDVSAQPLKKGAFNAMELPGMKQYVKQSITEVASA FVSPQSYTLDLDRLLLGQESALRTTNIGVLRIIIHSAEDLPKVDTMGSCDPYVSIGMS KYHKPVFSTRTIRDSRDPKWEEEAFVLVSSDAIEAGERLRIKACDSDRFSADDALGVV EVDISDLVDTHSSKLFFKTDDLKEDTPGMKCSGRLKWSVQFHGLWQMSSEKIKKRSME TNALQDDINPPEIHQVPFWMEMLSKIIDKNDEKWYKLRQEKRKETSAWFNGEKERDRL EAEEKPDEGYRSGILQFHVHQCIDLEVEPLSGTYSSHTTSRNSPAGGKPALESLTDRT PVENPDPPSSYCEVHLNDKLIYRTRTKQVTPLPYFNAVSERFVRDWTESKVVFVVRDE RNREHDPILGIVVIPLKEAFKTRSQFTRWFPLVGGLGWGRIRISLLWKPLDMELPRGI SGYEVATFRLKGLAFSSLGIGPQSEKGLTVILSTDSDKYELSTSSDQVSSSTTNTPTS ARTSLERIQSPSKVSLDEEIEMEFDLSSKHVRLAMMYRHSCSLLVTLIQRSSVLKKKR IMGIGLVNLRKMLDGNGDCKIGIWGTENVEKVMRSQELLDQEDDDGTDEGEGRLMSPP LSVGVERKPSFRRRIAERRSWPDSPASIRERSKSISGSSINSTRTSRSGVNGVPLLGY AEVKFKIIPGVSRVHRKVAKRDMRFKRVYEVWESEREVEMGWDKMNEDSGSDVEEEDN EDEDGKRDLVDDSEDELEVEKKMSERRAHSHALHKRHKGIFQLKIARTGRYVKDKFSS KVYSAAHSGGNKDQSRSRGADLEVEREGISKM I203_04408 MTFGMNNQLHHSPAPDSNPTMTRDEIPSRSGKTDRQRPQGIIDV TSSASTPTPNALSPTTSSTQISIMNNSHIYSPSKPKPKRRSWFSLRRTKQLIDQSNQT TSIPEENHLITPLPPPSAWNGQPEERLRPIQFQPNRIQTLYVTKSERDLYLAAMSQLD QAPPPLPLWSDRAPELPKLNTQLTPLKAPSIPNGSIGRSGGHARSISEGGSTAFHPLT SRPLSPKLVTSPQMGRSGLMVRDEFRRTAPPQQSKHALMAFIPPSGLRLTGFPPSAIS AVDLVLQENWDQGIAVRSESAESLGERKEDDEFTWKVEMEGKVWKRKGSQELDSIRLL IALMSILGIHGWTLVENVQAGGSKKDAHNLLFSYSPETSINPPLFFALSLPLPDRLSL ICPPRKVTPAIISALREAIISSPAKMYKHAREGTAATGVTVTSDTNGSLNEGRSKSPK ISWKGYDPRGIKLEGWVHDGVYRFWIDGMRRWLGGTVKRKVVESLHPNLVIAIINNIT NLHFELAASILLLPIAKGRDVFIFSSLPSSGLSVVDSYVPKAPSIAGTESPVLVSPMA SVPVQDLPDDPREIDPDNRQLPWTSVVNNQARPPSPVKSSRPPPSSTGNMSNDNSRKR TASRESSKPLLAAPSASANSTPKHKNVLLKQNSLQRRRSSSANGNHSQPPSAIGHGNG NDRHSVDGRHSTQDTYQPSQDDSRQFKVMNPDDASERWSFIDPPPNVGKLGVTMFDTT GGHVHQYLPPQTAGRTSGEDDSRDKHNSSIYADAPTKPVRLIPIQNDQPTRHVNRGIL ESTSPESELGFGENDTQSDLNHANPITKPLALGQPIDRDYREDDHGGNDGMMIGKMPL KDSDSPERRGRQNIVDLGSAIQSVHGSVGYLQSMISGISGHHGKRLSVDLDNVQQQQQ QATQGSKASNAVPKPEIVIINPSSHNHSSGKSCQDHTPPPLPSRPHPDHIPESTLPIS TSPTRTQPTEEEVARQRNKYLEWERKGKVKNGMRRIWDEDENSWRDIPISHGEGVGSG RTKVTLG I203_04409 MASSQPLDYTHVLSDVSTYAEISNIDYDGQNDTYSFTINNIVGE RTNAADPDPTEFFERIQGQSAYLNFDRGSGSSKYTVHVPKANVPEWERTHWNMSCDGR LANTITWFCANPSEDPDAVTICLQTKLSNVPRGSTSPELARLLSDMRRLPQGRTVDVK LNYCFCHISQAGDTAISCEDLQPRRFQSPESEHFQAIQNSFVGSFMRFTQDPYDPELY LVTTYTEDDREDEHFWMKRDFVKRIKVDIPNRTREASGSIGMLHND I203_04410 MSTSASSSTLLSQASGASATALYNFRLLSALRSDNAAEVQPFLD ELRPSAKSVEGQEDLDKAGRLLGMAVRVASVPIINLILQSPAITNPNLPVNPGSSTTP LHVASEIGRTEVVEVLLSDPRINDTVRDEQGRTALECSATSEISHLIEDSRGQLQLRY LARLAGYISSPLSSVDESLNMVEFLEGPRTGILNLSALDERSGTSILHEAARRRDLRL VELAVKRGADVFVRDKRGRRVLDGEKNADERIKVFLRQFNNQDSLVEAKSDGRPPDLR GFLSKWVNYRSGWRTRWFVLENGVLSYYRNREDEQVACRGSIAMAVATLHPSTDGSRF EVHSKVSSSVPKFIVKSAHRAEIARWVQSIRLNIEYYQKKGNSEGQPKRSMSMNQDKQ SVQALPAADAFLSPKLQRSTTGLSGLSVPAPTAEGSRTRDLSSSRTNLTEDDATEILS VYEGADNGSITGSGDQHAGIPHETAFDLGAQSIKAQLEMTIQLVDSIVTPPASTNASP VRGGGADMARTSSRQQAVKNALRESLSGLSNMIAHQNIMTYDREKYLLNRIQREVEAR HLWEENMLTVAQQQAEADRQLTEAAKDNEKKRKALKQAKEVLANLAGSDNLPSLPTSP GVPEIRTAVVDQKLLNQATKDLEKTSVPVKPPITPRPSIPNIQEAHNAILAAESDEEE DDDDEFFDAIETGTIPNLKLYESIAHPEKERPGTPTALERQSFSLEVQKQPQKGTIKE YLARKSLEPYLHVRNRLPIDDDKRPSVSLWSILKSSVGKDLTKISFPVSFNECTSMLQ RMAEDMEYDACLTVAASEQDSLKRIAFVGAFAMSNYSSTIGRIAKPFNPLLSQSFEYA IPNRYRYISEQVSHHPPISACYSESPTWKYYGEVDAQNKFQGRSFEIRPTGVAHAELI IPKSWVKQGLDYPDADHEYGEGLVVEHYSWKKVTTNVSNFIMGTPLIDHYGDLIVTNH RTGETCTLTFKPRGWRGKDAFEIKGNVVDANGNTAWDIAGRWDTQLIARQSGTSAPLE VDTTFHPTQKEYLLLWRNSEKPKAPFNLTPFAVTLNDIPGDLKKYLAPTDCRLRTDQR AFENAEYDRAQELKTLNEEKQRTTRKLRAEGKLPPHEPRWFTSSVDADSGERLWLPKR SDDGEVAFWHERERANWDEIGVDHIFAEDER I203_04411 MGNTPTWLLQQLIPPLLLTYFYFAWRISTFELGPHLVNDPRTYR FISYFYLVFPTLSIFPITLLYIRLYFLPSSQSTPPFDPPPAIRNRHVIFQCLSPAEAK AVRLADNVLHDDDDPMSERCYRGKCGGRWKPARARHCTLCGRCRAGWDHHCVFFANCL SAPYMRTFLALLLYTPPTIFIISLPLYKSLYNRAKEAYFYSKSDDSIRHKWWDRGYSW VIAGGPIGRYAGGIVLGWRKLDKLDEDGGGLVRLNIGLMVGFGIILALITIGLATTTL SLILKGDLTIDRGRSSAHGQALSAIHRLKSKGKPIPPHLESNLSRFSDRRWFYVPLTK GLQTHDREGVILQTLEHERPYDHRWKKNLEIVLGSTISWIYPWNAARKAMGDAVFSWP ITENVEKRLMEEAERRAKESRLSERT I203_04412 MPADSHRPIRPISTEIHPLLSSPSSSTNTQSQIGQASSSLWTAL TSSIRNHSKAGDLQRLGVAVEWPEDRRLGKGKQRSLVVWVEKLGIEEDSNEKRLHVHP SLLPPFSITPLPALVYLHEPFELSLAILQSVLEYPDDLQINQDDIDLSSLYGNTSNQI NQNQGPTNNHHSYPPIIRENEFLPFSTKLRVILSEPVSQGVMTPSTRVILSNEPYILS QQDDVELDGYEVESSYSKTHLSLDNFDPDTFLSSDLSLSLSLPSRIDGVAIEDEMVHS ISSSTSGSLTPRPGDRPISPPTALDELVAEEVERGTKFSALMAQGGDDGVCWMGVGGL GRAGIFEGDWVYLKPTNEGESSSINSSKQGRLVKALAWERLDEYDEDLPFNPILLSPS LHRSLLPLSTSDGSITVQPTSFGARSPTLPTAKTLTLARIATAEGTDKRYERSWLKGL KSYFSTKNKRQSKEKSKDEADGILVKTGDIISIPVCISKPLGDNENIKEEEDDDEEND DNWNSRKPVASGVVYFTITGLSYDPLVPPEEDFRSTLSSKARAGELGCWFNESTKMVL TGVEKERVRNRDGDLSWHGLNPAPPPFSSLGFGKLRDLLKTPFVHPSLSALVQLSILV KGARGSGKRSLIKNVADELGYNIVNVECYDIIGDSPSVTSGSLLARLEKAKLCSPSLL VLNHIEALAKKSESNTLGRSPPIVKVLEEIIQSAKEGSTDWPVVVVGMTVDVDGIPNE VLGCFKQDVEIRAPNESERFKIISHILSSHSLSPDVDLKDLARQTAALLVGDIEALLR RSYDLSLKRIDTSKSLSIGPVKSATLAGLNISSKDLNEAINEARNSYSDSIGAPKIPN VTWDDVGGLASIKKDILDTVQLPLERGDLFDDGLKKRSGILLYGPPGTGKTLLAKAVA TSCSLNFLSVKGPELLNMYIGESEANVRRLFQKARDASPCIIFMDELDSIAPKRGNQG DSGGVMDRIVSQLLAELDGMSTASGSSDPNGSSNQVFVLGATNRPDLLDSALLRPGRF DKMLYLQIPQSHKEQLDIIKSLTRKFTLDPSLKLEEVADGLEYNLTGADLYALCSDAM LGAMTRTAEKVDQEVDRLNKIHNGNGIDQLDVDTKKPWKGELSVQYYLSKLANPSEIQ VKVGLEDFQGALKKLVPSVSKDEMDHYERVQRQFKSFNLLNTQEKEKEDVNEQGRQDG WDRNGFHLDESNDEDIYGDMRLEMNGGMEGQVKGKGKGKGKGKGKRS I203_04413 MAQPPPTDFSEGADFISFGLSPPPEAGPSTSKTGLASLPPKPAT TNTENSTSNSSRKGKRKVSDAGSTITQQQDTGKDKDKKSSKKQKKGKRDREKDKQKEK DETGPRNLKEERKANERHAPWCDLVDWEKCRDPSEMLNEEINAFYKYVSPTKEEFEVR LFMIELISRSILKLWPDAEVTPFGSWQTQLYLPQGDIDLVVTNKHFSESNKARLLADM ARAMRMARITDEVAIISRARVPIIKFITNEGKLNVDISLNQVNGISASKIINQYLDSL PGSRQLILVVKSFLSQRSMNEVYTGGLGSYAVICLVISFLQVHPKLRKSELEPEENLG TLLIEFFELYGRNFNYQDVGLSIRKGGYYYLKSSRGWLRPNQSFLLSIEDPQDRDNDI SGGSFGIRQVKNTLAGAYELLLMRLFERADEISGRTSGRRKDEIDPDKMSILSGVMGI TKETLKQRTALQQLHQSGKLQKLLSIPMGANPEQYVINYRPPPILFTPRSKDRSKASS RNTSVSVPKDRNTNGGVGAIIVDDDEVSEPDINDSSYEFEDSSFDEDEDEDGEIGPDD TFAMLKPDKQDNNNLRAKAAAVEARYGGSSDVSEDDIEILDSPPEESRYSISKNSKNK KRSTTSQTNKSKKNKKNNQDKDEFDSISSDSDSDSDTDSSVQYIEPPPPQPKKGYATG GKERRAFWASKGGLGRAGGGDDDFENDADYIGLD I203_04414 MSTPASYYSYSPSKYYLERGELIKQGAEAKVFALSSLFPTPRIY NPSSSPSSSSSSSTSSSSNKGVIVKYRFPKRYRHPSLDASLTASRLTFEARSLARAAR YGVTVPRVLWVDEKGGCIGLEKVQGWSVREVLGGGAEGEMEYQDEEEIELDIDTNDDE RDVDVQGEGEVEEGNEGWDKLKQLGVGRDHLMRSIGSALAKLHLTTIIHGDLTTSNMM IRLTPDNKSHPYEIVLIDFGLSSTAQFPENYAVDLYVLERAFASTHPRSEKLYAGVLE AYAKGLGEKKWKPIETKLKEVRKRGRKRDMTG I203_04415 MPRSPSTPRRACSISLDAPYPTGRNSSRRRRGIRPTTCQNCGCG EGGTNMWRSNPDPQRIAETRDNMLCNACGLWRKEHDVHRPPAWWGRRRSSASPSPSST SSATSFHPRLDVRRRRSRRGANQRPSSPSPAPNDKELGQDEMKEVAEMLLGLRMPKEE KQVHLAQHLRMPSPLYDRPAWLFNRPPPPVSAVPPPKGFLPPSVARSFVPIDELLNPS QAGRSGRLPTAKVDRHVRSKL I203_04416 MGYKAGMTHIVRDLDRPGSKMHKREVVEAVTVLETPPIVVVGVV GYVETPRGLRSLTTVWAEHLSDEVKRRFYKNWYRSKKKAFTRYTKKHTENNGQSVTRE LERIKKYCTVVRVLVHTQISKTGLSQKKAHLMEIQVNGGSVADKVDFAKSNFEKTVEV GSIFEQDEPIDIIGVTKGHGYEGVTARWGTSRLPRKTHRGLRKVACIGAWHPSKVMFS VARAGQRGYHSRTSINHKIYRIANGSSGSSGSTDFDLTKKDITPMGGFVRYGIVKNDF VMIKGTCAGPVKRILTLRKALRTHTSRAHTEKVQLKFIDTSSNFGHGRFQDKAEKNAF LGQLKIKSDA I203_04417 MSTPMSINKSQNSIVLLSLGLLLVTSKSLAQNVSSADAGSTSTG AATVGTDNDNDTGEVDCEYDGSVKNYLSCAKNKISTPMLIGAGIGITLGIFVLSFLCI CLTKKKRRKAAQQKDQERLGDQVSSHGEDGKNVDLDDLEKNQNQSTEFFYPDDKKDRK LSFISAVGEEVKPKNPSNDNKNKSFELLAPPAPKHNRTASNSSSISNRPTILENGPTS LKPKPSIRKGSRDEIYKSRPLKHAPSTRRPNPTFHKHMNQVSINGSIHGGEEREQHQS KSGEGSPVQLATKRELEITNPSQSQSRPNSVLEVQHPRPSQPPLRDTSSRRSVSHLPK PTSRSSISQPHPRPPAYAMGPQPPPPSASQNYHSYKPQMPLRGASQMTLALPPVLEDG KVSKSPSVSSRQSTLAPPAESEDAGRSGTFGPGLIGAEGVKKQVNKSSKVDADKVDIV EKEVSKDDKVFPIKYEKVGASRKVDEVKLPNITMSDSTTAPAHTLHDDQRPVESLTTT PAVPTLKDIEAPKEESDESKKPKKPKSRREELRQTNLIPSYYVKMNGLNFDDEEEEVV PTIVDGERDDNELNVDEKKQHAEVSKDQETAGKEKEKEREGEGEESLPNPFDAAEIHG TKGKKVRSEPKEKKEKKAKHKKSKKSEAVS I203_04418 MHPTSLVLRRSTWKGPFFTAFPNLSQHLKNNTPIFTKSRSCTIV PNFVGLKFMIYNGKDYLPITVTEEMVGHKLGEFASTRKAWSYR I203_04419 MAMPGGVPVMVMNTGPERQSGRKAQTANIVAAKTVADVIRTCLG PKAMLKMILDPMGGILLTNDGHAILREIDVAHPAAKSMIELSRTQDEEVGDGTTSVII LAGEILAYSLPLLERHIHPVVIIRAFKQALNDALETIQKISIPVDISSESEMMALIKT SIGTKFSSRWSDLMCSLALQAVRTVAVTAESENGLVGGSAEGKLESLNIKTVDIKRYA RVEKVPGGEIEESGVLSGVMINKDVTHPKMRRKIENPRIVLLDCPLEYKKGESQTNIE IQNEKDWNRILQIEEEQIKSMCEKIIEFKPDLVFTEKGVSDLAQHYLLKANITAIRRV RKSDNNRIARAVGATIVNRVEDLRDSDVGTQCGLFHIEKLGDEYFTFLDKCSNPKACT ILLRGPSKDILNEIDRNLADAMSVARNVVFNPILAPGGGATEMAISVALDEKAKLLPG VAGAPYKAIAEALEVIPRTLVQNCGGNAIRTLTELRAKHAEGHHMFGVDGDTGKVTDM KEYGLLESASVKIQTLKTAIESATLLLRVDDIVSAKRPGEEAGAGGGVQTMGGEEGPG GEMPEM I203_04420 MSTSQPTGLEPHHADGTPSITPNTFSSHASPLPRSYTTRPGTAS DAAKISKLVGNTWSELFGWSVSPQDLDTYINVTLSPSSFESELEQSDKHIFICASSSS SSDQQAEILGVSQLVNNPSPPMEIPNSIELQRLYAHRSTHGTGLGQLLITKSKEKARE LGKSKIWLGVWEGNERGKRFYEKMGFERVGEKVFYAGESKRRDLIMCIDV I203_04421 MSGFILGTGSGILASAAVYYTLSTSLRESTAGLRSELHNSSLLL SNSFEPINPPAPSSLIGPSSSSPYQPSFGETVKQRWNATLTNLVSGVRSTDWELVGKD IYQVGENAIKRLSEETQTSSSSSSILDNGSPVKETVRTHETVSVVPGTTGIIDHAGKV PEDKVGVNKVVGVDLRKDSYGYVQGNELKELIKRNAEKEGKRLV I203_04422 MFFSILFLLSIFLLQPFHSSAIPFLSKQEDNFAIYQNINHTVSN DGKLQSRPKRVAIVGAGASGSAAAFFLKRAARTVEARLGVEEGSRLGEIVVFEKEGYV GGRTTTIHPHSDPRLRAQELGGSIFVEANRNMMKGVEYFNLTLINPDMAESGIGIWDG EKFLFKTSASSWIDSAKALWRYGPLSPYRTKSAVAKLVNNFLKLYDPSYLSQRGPVGS ISDLAEDLGLGNEYTTRTGKDWAKNVVGVNQKWLGEIWEGSTRVNYASDMDHIHALGA GVSMAAGGASQVEGGNWQIFRGMLDDSKAKLHLGTEVSEIIPLDSKDSPQFIVRSNKT QLNDDEPFDAVFFAAPWHSSPISKKLAANFVSPIPHQPYVRLHVTYFTTTQPHPLPSF FGLSEDSYIPNAILTSGLSSRIQSIPPPRFQSISWHGEVLPGSGEYAVKIFSLTRLSD RFIHKLIGEESGWLVRKEWDSYPKLKTTSSYAPVEPIKGLHYLAAQEAWISTMETQTV SGREAVARLVNEWWGLGLGECENGDSWDWTCSN I203_04423 MLALLFLSLASPAVASIIHNRAYDSPSFRAPQLATPRDAVRRNH KRWEYYDGQVEFPYNVASGDPESDSVILWTHPIPTTNDSRPVCLEYQVSHSNDSWSEG DIITSDQVWTTPEVDYSYKVEAKGLQPKSTYYYRFANCADKNNVSPVGRFLTTPVEDD DEIDKLSFAVFSCSNYPFGFFNAYGNAAARDSIDYAVHVGDYIYEFRGDGCDGKACYG DGRDIGRVPEPNRELFLLEDYRTRYKQYRSDPDLQALHASHAWQLVWDDHEVADNSWK AGSADSNDTIAGTQYNTSFTQRKANAVKAYFEWLPIRTVETDDALRIWRSFKFGTLAD LYMLDTRQYNRDLTDIYYNTHDVKAIQNEEARSLMGGRQENWFYNGLKNSSQRGAQWK IVGQQIVFADLVTDNVDAWDGYKANRRRVLDTISQNNIDNVLILSGDSHANWVSDITY DDKDGYNTVTGDGSYAVEFAGTAVSSPSSFGYNHSELYQLNTSSRHLINATGNEELQW TEGATRGYFELHLTRQDATAVYYGIDDVRTHSSDERVLATFVVEDKANKLRRPVADGN VTGGVLAKRG I203_04424 MSTMASPPTAPSQQLLERASSRPSLTHSSSSTVEDSQDSQLQLN QVASSNEDTETLITPIDPPLLQVSIKNGAIPIKIESTIDEALEHPSPVKEVKKGRLNL SKSMQTLRKKKGGEKGRERASSVSEKTTPVPSLPTVSEKAAPPQTKTSAPAPVLARPP MPTKQSSGFSSFLRKLTGRSATTSPAPSDKAIKEDKSATLAKRKTMAFGGSNKPTLSK IDTSKNDKGSVSAISKISQARSEKQMVDSPLPITPVASAVRETDPLKIPLPPSPISEF VPTLPPGAAPPIDFTASPAPTPAKQSTATKKKAEGMLSLEGFEFDEEEDQPEPPNLAP VIDTTTASPKKENTESHDVVKPLAPIKILARTNTNGPVSANSVRSSVLSSSAETKIIT PVASSSSPPMPAPQVRKVSPTSSTNPPIGLSPEKIYEGIKFAGSSPPRKAPIGTGSMG DLGRKESKWRKSVMGLSDKSKAPASKRQSAFPPPTSHDAYQAQQARIAKNRQSCAPTL HSSASIAAAARGQMTHMKLSKDEQDMAETFFMS I203_04425 MLLFHIVAVVLTSLGVLASPIENHPQRDGQISYNVLTNAERLSR GLGPAMPNSLGKLIPSRAQVESGNAPIQRGWVDFDWYASIGANEPLPSDSLPFYSIAP YWSRPLYTDGFYKVTYQLDPGAVAIHWFVRTEFGFPDSSIDFTLYCETQTPGWWYVYY DRVIGIHSGNPATIGAQGTGSLQQPIPDQWTQYSYNKQGAVHNGLRLAFDTNCPGRYH IG I203_04426 MLCFQLIHLVYLTGVTATAFNPHVELHDRDVDSPSKGDFTTSSM TGLDKRGPTCPSALPIVFPPHPPPPANEVEQYFFPVLFFNDEYMLGTNTPLPTLDAPR ESILVYWDYMYTTPTTPLAYGISTMIPRDLGTLGHSATICAQSGGGSTAISETFVQYS YNHPGLAKLALPQTFDRESVSPLVDLFLYSYGFFPAKSLSDCVFTTGDTALIGAYGAG FSKPYNITCSQNIPGKIRAGMRLAFDTNYNASITVG I203_04427 MPSSSIRVLTSSDVDQVLTDLSPEIALASQSNVFKHFSSPSPSK EGEHAFIQTPHRITISTEQSTMLFMPSRAPTSDNSTTSSIKIVSVPTASDGGLPATTM VMDESTGRVKAVVNARKLTALRNACGSALFLRLFPSPTPPTHLVLFGSGAQCHLHTIL FLRLYSTIQSVTFVVRSSTARSQSLINDLSKRFPGISIKAEVRPSSSSEELAEVVGKG DIIVAATSSTVPLFTAQPKKDARVILIGSYRPTMHEVERSLVESAGVVVDSIEACMRE AGELIDSNLTNENLVEIGQLLDDSRAEEYKRKVQERANSIGGNGVVIFKSVGLGIQDV AITNIVLEQAEKMNLGHVVENYD I203_04428 MTGPVLYETSSHLHNHPHGHTHHPHHSTMHSAHSHGQELMTDWT PTLSSFGNGLRREKDNLSNAVASPTYSHLSAAESQSQPHSPAAQSSPYAHGQTLVVNG PPSYHLPYPTLSGSHLQPMSVQPSPRLSPLGQTSTSTYYPTSQDYEVFQTPSGTNQSG GQFSPEPYLDQSYTPQQPTGYDGTTPLLTTTTLTRTYDSTIPSYYQTTTDGRRAWSQA TPDKQPSATKRKRERQSTKDTPPSGKALKTPKRGKQQQQQDETSGGRASKRVRVDSAP ATTVQLPTPPSTGKEISTINLPPHLRLPIPTEEVMTPIEEEPEILDSLVRRGLVITRH QEEGRKLGLLGISQGELEDTKSPEEHHLSPRTTTIPALTTDESGLASLATNEVLESEV AWFENRTKTRASPPSSPDLTTLRTPTMSNDRLLPDPDDQEEEDGDSPEIQAERIARRL EAFSEHTIKDEQPLISTRIDLFGRVAVRKATAIKFLGLDRSAQLVEETRTEDEEGWTE KPIASSSKTVLKPLWPDEEAPWALAGGSRKARLKREETEKATLLRRYLETASDDSGSD EEGLMTMYTTHGKGKGKSVSRLMSFSSSSTTGSSSDRRRGFSPDGTDASARSALLISL RNRAIPVLPAGIVACVCGTNNTNSMGSMISCAACKTWHHIMCCGIEDESKIGSNWWCS NCENSSRSIIHTPSNRQDSHSTPRSRYSQLADPRSSAVKSHIGHIALAPSPMFTAGVS HGIPSTRTPLSRVVSSPKRPERARMLSYGSADMWSFTEDNNQPPSTPAPIIGGSSDRY STPRIDDAPFDVTSTPSRHLDFNFGQPSLFSLTPLGGRSRVPSSMLVDNTPIRGISVA RNISFSGAVGSAETMTTISRSDFFKDLNSKQPNTPGAGSENIPPLSPRWPVGLLGAHN LSPSPFSHTPGGHKRNLSANKLSSMRSSSRAGTSANQNLIAEEKEEE I203_04429 MPLRLRQAVAGRPNLLSTRNIGVISVGEKGFVVYPSGSNVVLLT AELELSDTLPFWSALPHRASTSNPAVVQGVCCSDIDHLIVAWTDVHVVFWQYLTTKKA GSWNVHSTIVASSSISSLDFRAGTLALGTKNGIEYWRMDPQAEVVVWDRLWERTYPTP TSISLSPSSGHIAWFKTGQRSAYILATDRNGQAVSMAQEIRHPREIAWIGWRKPTSGS SDSHLYTITTNSVLRIYSPVLDDPLWFQLLSSLDHRAFAKSINHPAGKGKEPAHNDFG LMWVWDAEVLREVVKAELARIKEKQIRLPQGTMTIMEGLESEESDIIAWTGSDGNLVL RSIINIERKPPTLLKTLPLTKCKLPPLSSPSSWSPDTQLLHIPGSVPSLLAVLAPSSE YPSITSYRLSLADVLSSRSLAITPTSRSMSADEAGPVQLQHLIRHFARTPNGRGLLAI GDNGELGTWYKQQLSLRPGKWHLGPRALIGKGHWQTPTAPSEAALFAKGRAIVFYTQP VDCPATITLQHLDSRSMKPREPVILPHFDPSADDDVEMLLAVSDIDDGYSGGSRRRTQ RAIITAATRNGEAWVWRVISKITPKEGDLENQPDVQLVSHYRLPLTSEHDDHECKPKM ILPVDPMGWHQSVIDWTTDTPLQDMVLTISTDGDLEFWIPKIGGHLAGERAANEVKHG DAACEHEGTGGVEKGDEAWIRTSTVRTERSNVIMARCSSRKKTVLVCELDDGRHEMTI WDSKVSEFSTGLELTHIFEQGDRIQDLDWTTTSDLQSVLAVGFAHKVVFVCEQRMSYV ETTPGWAPFLTIDMERYTPVPISDSIWLAGGSLAVGTGNQIYLFSRFLGRESPSPSPA GSMKSVAMDEEEPEDIFQLIAHRNGPLVDYHPIQLGQCLLWDKADLVKSILLELVKSL KQCEEDGKKSIPFHRLDPSEFYSRKKKAKIIKASTNKYDGLFDSFQPPPDDEEDEFTD KVVNELVERLNGRVAVPLSTSEKSFLATIAQATLEAEQQRRSLDLCGMRYLISLRMYV NWDRLSSSSNTPISASSTNFPEFIQATRDEQRKNHFSFRNIVWASHSESQDLLLSASK ETCKDGKMSWEDARRMGVFLWLKPSESYKSQLEDIARNRFMQDEDRDPTSCSLIFFAL GKKKVVHGLWRQAPGHKEQALMLKFLTNDFELDRWKTAAMKNAYALLSKQRYEYAAAF FMLGGSPKDAINVCLRHLKDWQLGVALARVVEPNEMEGKTIGPIMRWILEDTVLPIAF KGGHRWLASWAFWTLGRRDLSVRILISPMNIIATDFSPDKPLEVGNPDNDDPSLLLMF QHLKSKSLQTAKGTNEVSTKLEYDFVLHNARVFFRMGCHNLALDLLRSWSFERPFFPS LTKPKTQSIAPPTPLSATTTSTSPVRPTTSGLDTLTVGHFRKPTFMLTSEKRRESLFM DIDVLAVDRSNDTSAVPTPTTNTSRSPSLNGIATPSEAQDGVNKKSDHEDGEEVEKSS RPPKKIGNLMKELKQDVQQGAMEFNMDNFF I203_04430 MVDPTRAEAVFPRPSTQDIQSAWKALFSDPLISPSRLKATALTK NGLGEAAADGGIILRSVYWRFYHGLLPPPTSPNLFSTSVSTSREAYNSLRRRFLIAPD GRWASDCTGSEDQLSNSPSTSSSSSAFAPSSSSVKNNGWDPLSLDSSSPWKTWFSHVD LRSTISQDVERTFPDIPYFQLPRVKKSLTTSLFLYSILNPDVGYRQGMHELLACCFLA VDRDSLDQKDNTSNKLEKGEGEEAMWTTLDRRYVEHDSFQLFQAIMKSAKEFYEWRAE EGPIVSMMFSALSYDLIYAQRNRSVNAPQAPIITRCNNIHSSLIRRIDPQLWERLETE GVEAQIWAIRWIRLIFTREVPFPLAMRIWDGVFAEDPGLGLLNFICVAMLLLIRNELI EADYPTLLTQLLHYPSPSPSYPFEPSLILSQALYLKNNISPAAGVEVVLQNQDLLGVK INRGQQAQQATDGRPSVRVEPGRGSRGRAGMGGLAQGLFERAQAAGLDKTFMATVADL RRNLPDSATAYSYLPNLPFSPGTPSREVGSFSTIPSSTSALPRSFLTSPSSQPRPPSQ QQQLARPPVDSGPSVDSMATQQSLKDAELEMAELRLAMVGMGKAMSEWLSVLRPRAGT EDGDEIEKEKENAWRGLERIKDGLLDAAGKETEEIVREWGWHDGLESSSVSSRSTTPA PASVPFPPPAAATNGDNSSASQTQPIQSRDIHFEKDTLGFEDVTPTISQYPTMPNHPS LPSPPNQSVNPVSSHSKYGNSSMKPNLTLSSSSTNPSSGLPRIPVLVPSPVTAPLPPR PPPISSDTNNRRPNTAELPRESDPLAGLGVITRNKDKDKDRSNDVDVDPLLGVGVN I203_04431 MGEERRSVRSLPDITSPPDPASPSFLHHSGPHPYRDSGSRPRST YDLAFGRSTSAKPMQRSLPNPSHFNEPGPSSAGSRFRPPRSEYAQNVMNQVGPPPLAP YVTADRRYHAPKPSSQQWLGPDGRRSEAQDRPVFPPPPKPPPPHIVASTSSSSSSSTS RHNRPDLPSFQPRYYSHSPESSVIQHQSLHLDRPTTTTHSTRYPTISRPPEEFTAAPD RNSSYYSHRIPHTSPSSSSSLHNRPYQHGETLSRTHSHESQHSHHSYSMQREHSGGSS ISESSHTGTSSLQFGQPYPMGGYKKKRTRALMTHMQQSGLTRLWRKTKFPTGADREKL GQEIGLTPRQVQVWFQNQRQKGRKAIMVNGGIPEGEDPADYEDLQKSPRSRRLSVDGD DRERISAWAGSSNSSASTRLLLDPPSSAGSNFHEPMIHQRPPFASSYHDDLPPPRSAI SLRSPSPYERWEHEQKDRGVGEEKGKQADHNRPHHPHHHHRRSHSHSYAHSLSHPHSE PHSHSHHPTGLPRHPTYPSTTASSSRSYHNHFHHHHYHHPPTSGDPSGRLPPISPNWD VNSGETRQPFPSVLEPISQSSYLPAILSPLSTSSSSRPDTQQTQTQGEGQGWTPLPIK RRRSSPSLISESIIKPTYPGNIEPSQTHQEDIRFIRSRSYSGEDHPHPEPQVEAEQSF VPGSHLPPELARIAIAGPLERMHTAHQEGEGEGEERGLDLVLPRISQSLSPIGSGAGH IGDQGRGGVYVKGRSEEPVEKDVRSGLEKEEGDGDESARTKELRQPTSSNLRNLLD I203_04432 MSEPTPAPTPAPPASDPTSTAPEPTAASASTPIPQTNDATASPI KAPAAIPPQTSTSTPVNASTPLPPTTAPIAAPSPAPNATAPRPTVMLDEEKKLELVKG MDLAKLTMLRKRTAELQAAGHTRETSSELGKLMMVLEMYARARQIELEKQAKAAAASA QQDTNASPSTAPAPTPTPAHVSMNPAQIAQLRSQAQAYQSLSKGQPVPSFLLNAAQGI PPAGIAGQPLPQSPLNEIEAKIADKTVESIVQNTVDQSKDDGQPEKTTPPPKEAEKVD GEVNGSGASSDNEKVVTPPATEPAPVVPTGPPYAMELDQSSLIYPYNAYTHPSIYGNR KFDDEVANPLSKMQRLVAPSLMPKGLDPYLLMEERNRYVETRMAWRMKELEQISSTAG LGEQGAKDVPGIVDGEDKKPGSNLGIQARIELLSLRLLGKQRLLREDVVRAMHGATQV PADRSQFRRFRTHTLRDARATETAERRQRTEREQRGKQRHLAYINSICEHGQNLIGAG VGTSRGSGADKMKRLGRAMMKLHADTEKEEQRRIERLAKERLKALKNDDEDAYLALLG EAKDSRIGHLLKQTDQYLETLAAAVVEQQNDDVHRDQQKLELPFEQEEGMASEEMFGA RRQDGEEAGAERKAGKVDYYAIAHRVQEKVTKQASILTGGTLKDYQIKGLQWMISLYN NRLNGILADEMGLGKTIQTISLITYLIESKRQPGPFLVIVPLSTLTNWTLEFQKWAPA VRTLILKGSPAIRKELYPRLRAQDFQVCLTTYEYIIKERPMLSKIKWIHMIIDEGHRM KNVKSKLSQTLNEHYSSRYRLILTGTPLQNNLPELWALLNFALPKIFNSVKSFDEWFN APFANTGGEKMEMNEEEALLVIKRLHKVLRPFLLRRLKKDVESELPDKVEKVIYTKMS ALQWKLYESVQKYKQLPTDLTAAGKPQKRQNLQNALMQLRKICNHPYVFREVDEDFTV GNTTDEQIIRVSGKFELLDRILPKLFKTGHKVLMFFQMTEIMTIVSDFFEYRGWKYCR LDGSTKAEDRQQLLSTFNDPQSPYQIFILSTRAGGLGLNLQSADTVIIYDTDWNPHAD LQAQDRAHRIGQKKEVRVLRLISSGTVEELVLARAQQKLAIDGKVIQAGKFDDVTTGA EYEALLAKAFETNPDDDNEETNELDDDELNELLARGDEELGIFTEMDKERKANKIENW KSTGKAGELPPPLMQESELPPFYRRDIGQEMALQIANEEEQGRGRRNRNEVRYTDGLT DDQFLAALEDSDDDVEEAADRKRKRAANRAERKRMNELLAQAEAEGKPPPSQTPITPL PVSGLKKKRGRPSKSATPSLGGDDVIGGAAGGGAPISGPELALMKNLFDETNKLKSEV GEDLNQFFLAPVSKRDYPDYYAIIAQPIALSQIKTKIGKPGYTIQNLKSDMHLLWDNA RTYNVEGSWVYNAAEDMQEFFDNLWSTEYPKLAASISTGGEDSGNTSGTSTPMFKANP TERITAPKIRISMGKKKIEAKAEQEDEDDDQDEDDDEDEDMGDDDY I203_04433 MASFTFPPAFPIVGLPIVAAMALNGYQSHNVMKARKEAGVKYPT LYVTETEANADPKKLKFNCAQRAHGNTLENIPYVLALFGYLSLFHPKLASIFFTHWII GRFSYTAGYSGGNPSNRVSPSLPFPPTTA I203_04435 MFPHPYFTHPAQQRRGFATPSPSYSYPHPQSHQQFNFFPQPSPP TPTYDYSSIEEEERAALAHLRSIRRRREEAEAAAAREAAIAREAAARVEREAAIRTEL ARLERQRQIAQAIRAQQQAEEERRKRAYLEAIERKRAELLNAQLAAQARRQAAIAAGQ ARRDEAEARRRACTQARCQRRLVEPSPSPSCCCHNQVIAGQQNQNPNTDICCVDRSPP AAVPTTSRSSTPSAERNEWQELNNIFGPLFGLHLVPDAEFPPLTTAETTFQPRSAPEQ PKPEAQQSAPAPSAPASTEKKDKKEFPEDINKLLSQFLGLRVDPISESESSSSTNNAK AKDNGTPEGLNGLLGQFGLVFEPEASETEEKKDDTPTPAAPEKKKSTPVPSTSFNVAQ RSTVATEKEEETPAQKEVPPFTSLLDQFTDVNPFLRDLLGNFEHALTEELTKKNKTGS KDCQGTCENRCAQSCGEACATEPAKKYKGKARAEGERKEGSKPVPAPTPASVPVSTPA TVDSTDSTNSSSSITALDSIESQLESLRSSFTFPPRLSFAHSTSETVSPPLLFNKTNS AYHTQANSYLQLLLKADGISSNGDKEIRKRRKELVRKVEQEIEQLEKQKDEIWKSVKA KRDNGEESEPDEVDERSWSGSETTSVIGDNEHLQQDQNYEHEHEIEHVENKEEENEHK SYAEVAKPNAGNTSDNQNKEEAVPPVEGTASTIEQPFTPHGQSDVQPETSANDAQPES TPATDDQSKEEIEGYTISVTFPTEVEPAQEQSSAPEPEEKKDNVEVEEKKEVEAETET QSKRGVTEEDKRSDKSARVETEEEGYELV I203_04436 MTVYRLFREQQERARNAAHRAGPASISPQLLQQPPRPQQAQHNT LQGQSQLQQPEARPQAQPRPQPLARPLVTIDLTQDGSPRDESPIRLIPPGSPRTPSPM TYHTLSIQPAPSRKRSLVPIPAQESSDKMVKRIRPNSSSLEKPIKIDNNNLLNEPILK ASGSTSANASAAEPITMKTIRPYLTPDALKLPKPAQLFKHIRKRENKKGEILPPLFLP SPKEVLEIVSALRDHASPEYLRAMADDERYCDVWSSWLLKSIKDIEKWEVAIVPVLQV LAKTDMPIDEIEDTKIRIRARKLVNLANEKNLASRSAIQSAFQRYDNYVANVLIPQGR RSAPDDDEDDDSNNKKRKIDQVSKDDIKLKIEPGTSKPSSSKIPAISSVANGSKAPVK PSSTGNTSGSSPSGTKPVAKSAADMSFFGTSSSSSSKPKGKLPDIKKRPTQPQLPPSA QAGSTSAVSNILQQLKAGPGNPVAPPTRASSSIQAGPGVSTEVKKEEDVKPRYNSKGK LIRNVRFKDDVKPEDGGGDLEQIKEFRQEAKEFERFDWEEEADLHGRSAHDLDIDEGA ALASARGHSMIEWYEPSPYNEEIRLVETPESSAQASRERETLALFNPPGQPIPDPSEL DVRIVEGINPQREMEPVNASEEILKFQSRGNYRPSQPQAGSSVLPQQELGDLLKGLSN IIPPAQVQPQQQQQGYGGGFGYDPYSTHNHGHENGNNQPTWAGYGNGSSQNHNYSHTR NYDNYDTYNQSRSSRPIDRDPKVPICRFWSRGE I203_04437 MSYPPTSDREEFEQHELLSAENGHDHQRELSDTELRAEEAERED LMRRYEDFSTVDWIQDSLLERTVQSKGPTNPIVARLDRIDGIFGYLWRLIRKGLEEGE SWVVVTLVGVTIGISAALISIITAWLSDIKLGYCTTGWWLTQKYCCLEITEEFESCAE WRRWGGVWPLGWIAYILWAGIFSFSAAFLVKNFAPYAAGSGISEIKCILGGFIIKGFL SVETFLIKGLTLPLAIASGLSVGKEGPSVHVACSIGNVVARLFSRYDRSHLKMREIVT ASSAAGVAVAFGSPIGGVLFSIEEMNQTFSNRTMWRSFVCALVATFTLASMDPFRTGK LVLFQVSYDRDWHYFEIPAYIIIGIFGGLYGAFVIKFNLQVAAFRRKHLANHGIAEAV TLAVITAFVGYMNRFLRIDMTESLSLLFRECEGGGDHEGLCQTSSQWRMVNSLLIATV VRTALVVVSYGCKVPAGIFVPSMAVGATFGRMVGILVKAMHTSYPQAPWFAACAPDAP CITPGTYAFLGAAAALGGITRITVTVVVIMFELTGALTYILPTMIVALVTKAVSDQFG GGGIADQMIRLNGYPFLEKEDKEDTTDKAFIEPIANVMKKDIIVMAATGVPLQQIGEM VQSTSYQGFPVVKSESDRTIIGFVRKNELRYALDKARRTRNLSSNALCTFQHTPPLTT DKPSALEHLQRPDIVIPGRTGSIFRSPSMGAGGFARRESGVEVEEVDFGEYVDEIPLT VSPKMPLEIVLQLFRRMGPRVILVSDQGKLVGLVTVKDVLRHEVVEHHRHTRLTSANT AGISPITPRNPYTHARDDSSSTAGGGGWDLGFVHQQDSIEGNGNGLEIALEEGFAWLR VQGSRVYNVLYDQWRNTLGRGRGRDRPNMDFDLNEE I203_04438 MGLLSSTRPAQSEIIEMPSSSSTPAPPESRSKIIDSSEAEMGQE DPKKEKEQSQQGGSGKVIDAVWGEIDLSDGSGPNYRNLSWVKASVLEVKTQIGLGVLG LPAALNTLGFVPGILCILAIAIIITWSDYVVGTFKMNHPEVYTVADVGYIMFGPWGRE ILGFAYLLEVVATGGASFLSISVAFNAITDHATCSVVWAIIGAVAVGILSSIQTLGKI SWLGWVGLVSIMSAIITLMIGVGISSKPSLAPEGVQGVDWQITTQAVAHPSFVEGINA VCIIIFAYAGTPNFFNIVGEMKNPEDFTKSVLVGQTAITTIYLVVAAVVYHYVGQYIA SPALGSAGVVLKRVCYGLALPGLAVGGTLFMHVAAKYIFVRVLRNSRHLAKNTPTHYF AWYGCVILVCAVGFIIAEAIPFFNDLLSLIGALLATVICIQMEAFMWMWDNTRSPTRG TLSWNLLMAMNILFFVLGWFLMIAGTYGSVVTINDNFKSGDVGR I203_04439 MVALSNLPIRALSPITELTTPTSLRTLRLPLDEGDYQSERNPLS HHDPDEEENDASVYSQLSAETVRNHSQPHQLSTPTRRRTLSLPVTPTPPPRSPHQLNW TTSSSPINNSRPFQQPQPAPGGLPPPPRAQVSTKPKLIRRVTPPPASPLLKGSDNENA ARRVVIESNMAGVGAGGSLSQEGRARPIGVIDGGSPKRNSSNTHSHLDLHNVPLLDSP STSQQPPTPPRSRPNSLKKRPKSYHGSGPNSIRSRPNSFHGSPSKGNSTTSLVTPALG LDTTTTPMHVQAEVLVVNPLESGHNSKQSARPTLVTHQSESSLPSRAKSARSTTPTKR SPRRRRVSSIFSSIFGASEDKDKEHVARKLSRHSRKTSGSGISSSGPSPSLSSLFLSD SPVQEDPIGPIQSAIRTSGTFGIRDDGSELSASAPGHIGSPPRHTFDRTGSGNGSITT QSEGVKRILYVENVVSTPENEEDEHAIGTKSAESREASGDSGQGSHSTASDHRTPPYS KVTPIAMVGLLPPNGPHHLHPGSQLSPELSPHDPSLGRSLSLSLNGSAPSRSNSTSSD CHRANILLNQGRLVHTPTSTDVQHSSSSHLPLSGIPGNMVDESIQTSPQSSPAKSTRS RPLPRPPSTTTSPIATPRPTFIPPLPPSTAPATELPLLIASHLLSTHAAALLRHSSSM KEVSETMHKMARESLDWGGVLMGIAQRNESVDGLPRISENQPQHAGSHEGIALPKPAA AFDQPDIPRSGTYMDYGVTPIAPIPTQDPIQQAYNALNGDTPTPSSVPPRTHINSTQP PKPEVRRRKGESLPSDLLKEAQRLGDEGWTNLHKAEEAWSEAMRGLADIIRSQAAEQS NQVEGNGESAAYGAGIASTVPTAGDYSPSLSSDHVNSRYTMPTPLSPVSTALSYPLGS PTTAHRQTSMSFLPDDGDMRSPIPLTPSASSHSHSHLSFPNGIPQLQGHPFQNALNSV TPVLSPMMETDRDHSQSTLKIKIRPHSTQLIPTEPRDYTSEHLGFASSIPSPIPGSAP LSSSAGTDDGRNKMTPSRSTMNSSSNSPHSHGHGQNHASGGGSTIKGTGTGTGGRKLA KKQPPPPPSSSSKTLGTVGGSGSASAAGSFMASENGSMKRNKHWWNRRKDE I203_04440 MSIQSSSLSINTRYSLQPPCGLPSPSSHTRSSSTPPSTRILTVQ PNLRLEDGKYRESTTLDDEDDLESETLISCFGSLSVSSLPPKSDSGGPIITRFPKPPP PQPSSELGTREEQRRIYLGPKLSDRATGGPDAGPLIDRFSCLFQDQYDCVSNPKGIVS LGVAENFLMQDECMELMSTALKDNFTPLDLSYGDSLWGSRRINRALAGLFNEYFDPAE VVRPEQIITGVGCSAVLDQLFYTLMDEGEAILLAAPYYTGFDRDLIGRGRVRLVPVHV PIGEAAFQPDCLKLFEEKLREVESEGIRVRALILCNPQNPLGRTYPRETLLAYAKFCE EKDLHLVSDEIYALSVYDNPNFPQAHPFTSMLSLNVEKEMGIEFDKARLHVVYGMSKD FCSNGLRIGSLVSQHNPLLLRAMANTSMLMKISSPADVIWSTLLLDSTRLKAFILLNR KRLTEAHGFIRKWFVDRGVEVANSNAGNFIWVNLGKSLGFDDAQTEKKVFQKLLDGGV YIAPGSAYHYHIAGWYRITFSVPRGNLLMILLKLC I203_04441 MSEFHPTPSADLLALLQDLRLSVPRVIQSPPTQPRRASVALIIR LKPAPELIFEGHEPEGYIGGGALIKESDFGLGYTLDDFFRLPWVNHPNTIPEILFIRR APHHSPSSSSSWSSHIAFPGGRHEPDDQSAYFTALRETWEEIGVDLAEKEFLHVGRLD EREVTTSLGKRLLMILSPFVFLQTSPFSPTPELQATEVSSIHWIPLSSLAPPFEADQW SHVEIDIGSRLSPRNRFVRWCLRNLIGKMQFGCVILPDEPETVAEGFNPLDEFDDIPE GSGSWLNDGERHLRLWGLTLGMTLDLIAHYPSSTPAHLVLPPSKPSSPTKSEFDHDER DRQIDFIPDPKTPVTVQSSFEDQWEAARLALEESKKNGTTTANGHGNKNKRRRGVGPG MTAVFPRFSYPDVNFWIWVFGRRYRQVIQGWENSVRGPDRAADRRVNWSGQALATFYS AVRQALVVTIIMRALLSGVGIAGLTWLFVRGLSGGTGNEL I203_04442 MSSFSIHKAALEGQPGLIRSLLSEDPKLVNSKDEDGRTPLHWAS TTGNLNVLQLILSYHPELESRDSMGWTALIIASASNQVEVVRELLEAGAQVDAINEKG QTALHYAASKGNVSIGRLLISRGADANRASQFPLHRAATTGNHAFLSLLLNPPEGRPK TRLNGADRAGNTPLHLAFESGHGDAAVTLIEAGADRERSNSEGQVPEEIEGVGGQEQK NVRNYVISKVGPRRE I203_04443 MTSRKGKTPEEVSDPDIVDDIQDTERAGKENGHSPFIGFAAGIC SGWTKLVVGHPFDTIKTRLQCTPTGTFNGAWDCFTKTISKEGPRALYKGASVPAVSWG ITDSILMGSLHNYRAILLRHGFTERTPKKDGERLSLLGHSVAGLFAGWTNASIAHPTE VIKCKLQLQLVQPEHVPKQFSGPFDVVRQTVAEQGITGMWRGLGASFIYRSCFAAMFG GFEIFNRLFKSFDGTKYEMSTELANFLAGGMASNMYWFTALPLDNIKNRIMVDSIKNP RYKGVFDAYRQVWRETYNPSKGFSWNSVARVKNFYKGFVPVVLRAFPTNAAALAVWEG VMRWSNAT I203_04444 MLSTPFSQIRAAPLRRLLSRKQVFRQNQNQNRSTHSYSTTLTLD DALVDQDPYRRIRFEHPLYMLDRTLESGRLAVARSFWRDAHSIEPFLLPFWQVHLLCD QKSSIQWESPTGSVESGPDFRYYSDIGMAITAVPKDHWASGIYIPWSIRLSTEKMSML YKQSGGSLDFLPSESPSIYSHPLSQILDPFMHEIWNPHTAPKFAYGPPDLKGKEERII MLQGSEETQFYEAFVPYGIRVYAIPVYRLMYRVGLYRGFGVMDGQMPMMNNNTFRLDW KHGGFRTLIPYLHTRIGHHHRRWTAPDEMSEPEIVNAAFNPPSKIQELVKALFDGMWN RGSMTPSMWENERILPEFGHARDGNAQTLDEYNKAALKGLPLPPEPRFPIKQTQTASK TSLFNPRNSKPKTAESSTTTTTSTSTKEERERLTRQYSGNNTQRRRTLHYRDQAEIRL NNVKRSMKLTPEEEYMSKIKTFLPDPKGYYNVLGIRDPPKDFLKAEKREYIDALISSH RNDESFKAHPDYGGSVVKQRNLNEAFENLETLEKRRQYYAESRLGFRFNR I203_04445 MALVTISGFPCSGKTTRAKQLKEYFESRLSSPEYDGPELTVVIV DDDISHVPRSSYDTSALEKPARASLFSNVSRSLGSDTITIVDSANYIKGYRYQMYCAA REARVRVATIHVVAPPDKCGGWHEKRGECSYKPATFDNLIMRYEEPSSMVRWDSPLFT IPWDEQPPFEDIWNAILKGDKKPPPAAVLQRNKPPPNTLQTLTKTTQFIVTSLLSHIS SLPGTSTYPIPSPPAPQPNSKGPLVLHLPSRKLTLSEMQRLKRQFEGVQVKAQQSGGL AASGNWTEEEVAVGFVRFLEEIWDTA I203_04446 MPSSHDDHDKPLRQALDPISSPYMSSINGTLSIAKEVLMGSFQS EHRRSESTRILSDLAPSLMQPRFLNAASTYGPSRSLGKPPSRPSSLMRLPTSLPYGIS NVGVKPTSSSLAIIEAVDKLSSLSLTPPEEGEVGDQPSLIKGFKATIPSSELAKQRRR LIRGGIIDQDLGNEKLGLKKLGDRARGLLTNHEEEGPEGELDIGRKAKRRRRQRESRR ISEGRHLEGKLHLEDLVQQADEIQQDKENLHVRQSLIHAEILEVSAKIDALEDIRRRL ETSLLHLQEEDLELDDELEGVQELMASPAIKSAAGTRALPPSTAAAVTKKSSRRRKGP AFLPSEHDELPKGVAFMTLNGHTGPITALDFNEPYGMLVTAGQDDVVKVWDLCDGEEI GQLRGHTGTVKALQVEDTLCLTGGSDGAIRLWDVRMVEDYEERLQSQLEELARQDPLE RIANQNQKLHDEHDDDGEDLDKEKDEFDWEEGPSHITQATRVDIASPCVRTLEGHSKS VTAIYYEDGCLVTGSSDKTIRQWDVATGQCVLTMDILWAISNPPPAPAPTPAPAPKLR HRSSTSFGSIHYEDILPSPGASLVGMSGASLLSAVAGNQFAVPTPPYADGSWEMYQDF VGGVQFWGYALASGSGDGGVRMWDMRTGQAHRTLAGHTAPVTCLQFDEMNIVTGSLDK TIRIWDLRMGQASEVHRYEYPVTALQFDSRKVIACTGENGLENYNRTTHQHSRLVTNG HIKPVEKMRFIDKYLVSGGRDGAAKVWAM I203_04447 MSNTSDSHLSFNPPKPIINGNAKNIKGSTSSIRLTDPRSGSEEG TNEFLNLYQRDKIPKTLHANTPMNLYDINFVFPFHTLRTDHGKLEPFVPSKHLSGFLR LPPSSWMHFGELGPYTEETALEDIESFRIDPRCLLLAVIDLQVERKGKDGFAGVYGLI EVNDEFMHAIFGLSNVLPIYQNTHINTHSMYLILQYLFEDLNLIRVQYDAVTHNTPSI NSAKRFGFKEEGICRNFNGLVPQYQKINSKERERKSKSQDMWVASMTDHDWFSNVKDD LERKIERPAVDTCKMIYGEGTGYDEVNGHM I203_04448 MSANPAQVPRTDQSSSMDPNPLPFPAQTSSSTHADSRSELSPKV NSSQPSATLGQNSNIIDPTSNCNLGQQPYEGNRSSMPEAGPSSRPSALFTTNGKSSTS PRAGTKQKPIVVEEKEEGEISDDEVVEINAIPEPRRSVSQQPAPPPSTVFPRPVIPPS PPQRAIQPHPRRQDLQNRIAPPFTPPNTHNRPLSKTQRKKMERRAKAEMNAQRRQYSP YNQTPYRQYDQQSASSSPSSILPNIAPPAVQGKGKGKEKEREEEEAEVSLELNDTVAP AAANMSPEEVEQYIDIIRNLISEGVSPDTLVQRGASPEYVMKVCQEIVDGTKKRKALW LEMREQPRADSEAPSLPPPDPENKSPSPEVEVVTVNQSGIPGLERVKSDDSNDSNLHN PIERMNKTQNGNAPTATFKPTQPVRIESYKPGQPSRPPSQPFSYSSIPTEPTHRSKKK QRDGILAVGSDVILNYDDAATHPAQPSQPKLLPSAARLGTSNPFSVLDTIPLTPPFSP PPIVKAMVQADVPPEPTAPPPPAPPPLTAEQTLQNTLLESRRKAMESMRRRRAAVPRP ISSTQSITEVEVSAESTTVAEDQAIEFRRSIEEQMASIEKEVLEAAAAAIEDKPNESE AELGPEPDEDEEEQMDLDEPEEGEIIPPLIDSSISEPVIPPLIGSAPLPIRPPRGIKR MHAEDLNENKATSLPTRSLPPAKRKPFGANQRAQRLLLHLDDSDSDSSDDEDTPTSNV IFTPTGDVDIIERQRMLEEKEASIRKLKEQIAARMAARRKKGDDTPTGCTPMEKTSSQ DTASQVVRNALQPAEGENGSISPIPADVQQLTKELVQAEAEVEAMDIDPVPESIKVGE NREMASEDDDLVEYIGQVLLSQGGQYNDNVKIRKAFIEAQEELRRNGPVNLEPDQGMI ALMKKVGQILEHTI I203_04449 MIVEITLLLLLILVEAPLVGEDDRGRCECDCSCGKKYHLNSSYP EVLGDGGDGVSEDIRGNESSDRDVEHEQEPIYVGGSDGEGWNDRSKPYSERSLVTGNR KDYSNRPRPPHLDRSVPDRKPPYLVTNNSRKRKDGHWCAPCQRYFVDSLALQQHQVAL HSATTTIMSSSSRNQIPRNVRSLIPELNHSVVVCEVCSEGLPNVTALDMHKVNFHPWS IFCPECLIRFRHAQEAQFHYRQFHHARSPDPLHIDTMLGLIKGHHLIHPSLQTLPFTP QQNRPQHQVQTFPTHTIQNYYECEECNMVFGRPQELEAHKATPLVHGGRIYEADDFPP LGSTAKPSSSPSPSVTASSPDDLAVEGNLTVWGMPSFTLNEKRSDAMKEEVWTPQARL TPEPDSSHDTASTSSEETNSTDVVLDFQRVASESETNDMESIDPEDIDTPMEGLSAPK QISDIDAQHTDLKQDKKSQSYDNEITGTIKTIEPNSGPVEANPLGPAEDSTNSAKAIV TDDIIQVRETNKIFSQVVSSAQSLHTISPRPVIQPVPSQINLTPYAKAALASAHKISE YPPPEMDVGDNEKYEDDSPEVIGGIECHVPSFALTLSPQNKTTSLESPPLSLDSTPPL TLSGDSSSSLNESLVLEEEDDAWAASQEVYTIANSHDRQMFSLDLGATSNGNRPKPKS YSESSSSSKSTSSRHRNKRSERSCNTRRQTYLTPSQREVYGYAISRNERRDSSSSSSS RSKAPPQASLQPMFESEWSTITPMSSGNRSMGGRIIKESPWEASRREAEERNRLVSAG GAEEDLYGGW I203_04450 MTRTAHHPHPTPSFPVYCLDWADDDTLILGGGGGASRSGIQNKL KVCKVTKDGKSVKYLSEYTLSNEEDAPMTLAVDRNSKQLITGVNGSSSLVKEGKNEHC RVYSYSDEKVDFVKGQKTIQAEWSDDYPYQKLTSLSPSSKLLVIGTTDDKVTLLHYPS LNIAVPSFQTDSELVDLDWGGEDGDWLIVTTTKSILLYHVISQEEETEKPKLELKQTI YTPSLDITPVAFRSAKFSRNPLTPLTIHAVLNAIKSSKRGAPRKSFVVSFGLVSEPSK APLTKEEIKTSQEKPTPGGQEEKEASLGKWDVISRREVGGKPVTVFDVSDDGKLLAYG CSDLSIGILDSKTLAPLLKILHAHSFPPTALKFNSSASLLVSASADNTIRVIVVPPSF GGGE I203_04451 MRPTLPRLVNILVPVKRSIDYAVKIRVASDGKGVDTNVKHSMNP FDEIAVEEAIRLREKKSTKVDNITAISIGPAKAVDTIRTALAMGADSGIHITTPENAV VEPIAVANALKKIIDDKKFDLVIMGKQAIDDDSGSTGGMLAGLLGWSQGSFASKLEVE DGDKVHVTREIDGGLENIEAKLPMIVTTDLRLNEPRYASLPNIMKAKKKKIETFKPED LGLDLTPRFETISVSEPPKRQGGGKVESVDELVSKMKEAGVI I203_04452 MVADSKAFFEAVENRRSYYSLTKESPLSNDQLKELVEKAVKFAP TSFNGQQSRAVLVTGKKHEEVWDAVLKAYVGTLGGDKQQEAFWTDKINTQYKAGYGTV LFFEDQDVINAFSGKMPFLSQHFPIWSENSAGILQYIVWTALEAEGYGASLQHFGGFV PQVQTELTNLLDVSAQWKSTAILPFGKAAGPPGQPGKPAKEFEPLEKRTKFFFD I203_04453 MAPKKEVKEKQVKGDEAEEMVLNYMKETNRPYANADVSANLKNR VPKAAAVKVLATLAEKGQLTVKPYGKQLIYLYNQSLLDVLAPEELASLDEEIKETKSE LEEKRKELKNLQSGLASKEALPKTKDLAKEIEKVKADNEITLKALAPFRSTSDGEAAI NPLSAEETKKIDMEFTKWRKEWVDRRKIYKELLGMLTDGGQIDNVQSFEEDQGITPDD DDAKKVEQGEFVKIPLPVRSSNNKKPMSMGVQKVMNGSAKRTNSDVTTVGQEDTSGGK KKKAKKA I203_04454 MPPKAKAAKDDKTFGMKNKNKSSKVQKYIATVQKQQADAGKSKA DKAKEAEKQKKEEAKASALAKKKMESELFKTAQVQKVPFGTDPKTVLCVFFKNGHCEK GSKCKFSHDLNVGRKVEKLNIYEDARAQKNADTMDTWDEEKLRNVVTQNEKKQTNATD IVCKYFIQAIEDKKYGWFWECPNGGDKCMYRHALPPGFVLKADKKAAEDAAKKDKISL EEFLEVERHKLKAPLTPVTSESFAHWKKTRQEKKQAEQEALEKAKATQRAAGKMTGMT GKDMFEFGGELYEDDDEGDEEDWDISRMLARYREDDTRPDGDDGQPTKGQNEDDGDEV DGVTNGVGSVKVSG I203_04455 MSDIQSIKIISTEVVDVPKSHVVYVIQVSTPIRTWTVKRRYSDF ISLHSELKSSVGKEPPGSLPAKHWSLIKGVGDDKLIRERRILLEQYLILILTNKDPSF RQSYGFKDFLSVASNTNPSHPSSVNFTSQSWLLEHTSLQNLLRSARSALLKRDALASM GDSVASRSSSIEAKKILKEASQRIHTLEDALGLDSMASMGEGEKKRRYEMVEQLKIER ETLNRMAEVGIRTSTSAFSRNSEPSGSRSNGSSSFEPTGTTRSNGGSLNSLPGGFPQG QQISIGRTFGVKSPPQETSETRPLDDRGLLQLQVQKMDNQDDQLKELSKLLQRQKQMG EEIHQEIGEQNDLLDEIESGVDKTGRKLGKTKRELNRLG I203_04456 MPTGPSSAAQGSPLALVPNERWVPPIPSIRSPAVSEVPTAATHA INMPFLPPAPNAIGEGPSLVPSTIKPNQTWTGAVSEKPQIDHHRVSQVSAKPTTQNTA FSSTILPSPESSIMTKNKPIKIESFVPTPRHTQRPSTQTNLPDHTSESSSSAVLLSTL PGIPSSKALGKRKAHSELQEESPPRYREGSISTSVKRERERSSSLIDLKPHNPIPILP LPLPSKRFKKGPNAKALLIPVEQLPVFPLPPMPPINNPDLLKQVFTHQSLFERPKGRF EDPENDPIKHYEKLEHVGDSILGVIVTTWLHETKPNLTIGTATKLKAHLVSNATLSHL SGLYNLPQRLNGHPELLPVLRAQTDVRAALMEAYIAGLYFSFPLENRLGEGIKTIDNW LREMYEPLYDFFYNYMKKEFEQHHLTVGATSDGRSIYLENEDELKKVDEGAVGMSKLV ECYCSSAERELRWQEEKIYTNQGLLWKTKCLVDGIELSEALRPFRRIAKNVAGLTAAK KLGLTNEP I203_04457 MTFFNGSMAAATSSTRQGSSSRRVSPVKPQDVEDDLVSRSSSPR IIDRKGKGKERMVEFALEPTAVNDKLEGGSEDDEREFRDASDTEDEDEDEENYEAERQ RRIRENQLILAELGIEPSGSNSNIAGPSSSSSNIKQNANSSPQPHATSSRKRRNAGDI PIYDRSGYILSLPPKGQTHTMTCIEIPSDRKLKKRILDGEYTDCSHWMEGEARRWKFG FGKGGDNLPENEPEDLVGVTKEFRWRRWRGLERELRREMKQRGELVEMDARPVERVIP EGVSAYSLIPGESCHQCRRKSDKPKMKCRNVNPICRATFCETCCKRYSYFDFDEESRS FICPLCKDCCNCSNCIRKKNLAHLLGASKGKIQRKSLKYAMGADAGDQLSVQAWLEKA VKDVSKAPFDLIRIVDQDKDIISPETPLVEEEEIGETIVVEKPKAKRARMNQPFSSIE KKVKVHPPMVRQDDDGVDKPKAKRGRKKKVVDANEEEIINNLPERKQVKTNTKNLIVK LKIPRLVANDMSMRPVAHAERVKEVDSDGDTVGDWSDDEAIDGNDDSPLTSLSSLPSE SPRPPPRLPFPPRPIYAPIMNVNTDGYLSSLPGVVQDQPFAQPTANSSDVVISSPTRE NTAGLVSPLHSRSSPENGDEHSHPRKRKRPPPRANILRPPRHSSFSTSQSSPPGTHDE LDHAPPPILSIDNGGPRNLTGDGSMQSAAPQLLSATSSQSQRIDIGPPPLLSSMSTLT PSSNHQMGYWQSRSVQGFDQTLYPQQQLPSISPSSSFLPIDYSSSYNTYASLYNGSGS ESNYLGNMGLGGPPPYSHSPPSGSGRRSLVLSSNTERQYLRMNNHTSSSVNEPRTISP NSLTLPLPNIKGKPMNVGSNLSYLGVLSTAAEIKEDGNFDNSKNNSKQTE I203_04458 MTTPSTPGDSSLATSVYTLSSKSPTEAEQYKARAADWRFSTLCA SVDNKDQYGASSTPIYQTATFKGMDGQYDYTRSGNPTRGGLENHLARLYGATQAFALS TGMTCLDTILRLVKPGETVLAGDDLYGGTNRLLTYLGTHGGVKVIHADTTRIEALRPY LQPGNKVRMVLLESPTNPLLKIADLEGISKEVKQSSPDALIVVDNTMMSPYLQRPLEL GADIVYDSGTKYLSGHHDLMAGIIAVKRPEICKDIAFLINSVGSGLAPFDSFLLLRGV KTMSLRMDRQMATAQLVALYLDSLGFLVHYPGLKNHPKRDIHWKQATGAGAVLSFVTG DKALSERIVGGTRLWGISVSFGAVNSLISMPCLMSHASISAAVRAERGLPENLIRLCV GIEDPRDLMDDLEHSLLSAGAITPNLSHSPLSHSRSAELYSSDPEAWILERAKGFKRP STESSAIDKLVTGVKKGLGLTSTERKTTEEDIVVSAPGKVILFGEHAVVHGVTAIASS VNLRCFAVLSPRSDGKVALEVPNVGVEAEWEISKLPWGLLPVHSNTHRHVADKDLDPA LLQSIEKLVHEHKELGKTGLNSCIAYLYLYMVMAGAECEAPSVTFTATANLPISAGLG SSAAYSTCIASSLLIAHSHINKPTSDQSRLSEGETNIVDGWAFLSEKVLHGTPSGIDN AVSVRGGAVAFTRSVGGRKGGLDGLHGFSSIRLLLTNTLVPRDTKSLVAGVSAKRLAE PHVVDPILDAIQSISDEASTLLSGQTHVERKELIARLETLIRENHSHLVNLGVSHPSL EMVVSATAAEPFNLATKLTGAGGGGCAVTLIPDDFPQSSLDALITTLESQGFQPHLTS VGGPGLGIHSPSTQKEDKIRTPEEGEGMIVPKRVTLRETPIDGLQTWSERVGNWVHT I203_04459 MVQVYRKTHSYPDPPPTPLLAFFLRYPNPFARHVLSVDVLSRTV NPSTGQVHTTRLILKRGILPKWATRWLPNAGLSGGRGLDAWILEESIVDPPEWGLAGS STSTNTNIPNTAFSSASSSKRRISGESGRDEDNSELEYRRQPRLRVQQGNLNHKKLMH VIEGGEIRAGPNGTTLHHTTAEVRSSFGGAWSNLIRQRIEQYGVGKFETNSETSRKGM SLILTLLRTRHPLPETAEFEFYPPPPPGFNDSWSDIPEAASRDKAKEGSPRSFFLSPG SLGAWVRSRRDNGNGNGQSGDTKNPP I203_04460 MDMDMDVAWCLTCSKQTRDPRSPYCSEACRLQDTDPSANCDGPV ALTSPVPFGLIPSPTRPPQAGSSSAHSRDSPARRPSIGPLAPLPSSYRSKPMARDRRA FSFPATQSVAAIPIKINNSRRPTQTGETLQFARRTNPVAVNMTASPSVGGLSVPRVKG FDKLSKTTGANTPVFQDSVFCSNSESSDNEGMDIKDVSPMKIPKPLTPLETTVRPPNI KRTSVSNTFMVASPRKDTFIPSPQPSVRPPFISRKSPSPVAAMVASSASSKSREDIVS WLNEVKRLPTKDEDEHDSDHLDKSCEPRGRSRTRREVLANLPPPSQESLDEQYEGENG IYGTTPKGRIGSALAGLSNFGGFGVGPIVKALTGVTATNSSQQTSTAAPLTTGLGPQS VPAPAEVSRIAVTVAAPAETELGPFLQMGGTTPTLSTVSISEFVDPLTDNGDHIDFMT STDDQSAAGSSSFMRRRFSSVGQGKAPSSLLSIGGKQPPAKPVTSTASAIWNLSSYIR SFAPFSISSVIPPYAPIAAADAQAQNHQPSPRPTMSSQISDRPVPAPVPAARPVTPDE VEESPAQQMVRSLPMDIVMPFGGENTHVDRARQREEVREWLGTPTSSRSPSRSQVRER DASRNKARYRSGSGCHSRSASHSNSRSRHGRKVSYDADASAEEEGGDSHGINTERRGR SRREKGLRGTAAEDRSRRGSDVRMEDVEDERGRGRGRERDRTVRV I203_04461 MSTATTQSPRSRSVVKTVYANEVSEGVGAKVRRSIGSPELRNLS PFLMLDHFRATPGAGFPDHPHRGQTTVTYMIDGMSKHEIFLGDEGLLEPGDVQWMTAG KGIMHSEIPYFDPDPTKAVTPVGLQLWINLPREQKFIEPSYQEKKAKDIDVIIPSDGV EITMISGESHGIKGFVRPVGGCWYFNIRLTKSGAKVFQSIPEGWTTFIYIVSGKIQIG DDPTIHDKFNTSVLSSQNGQSGVHLQRPEGPTDDEEEETRFILVAGLPLDQPVVQYGP FVVNTNRQAMEAIRDFQSGKNGFENAVGWESKIGKEFRAH I203_04462 MRLISPSTTLPEDASISRTEPYETKPASSFYNFRQISQEMDNAP LNEAHLPPSEKVWSYYADEGRSRTLRHEYTEFIDSVHNTTGTQERSTVDILGSGQKGE CDTCDIDRPEVDSNEELEYPRTRLVLIRDATLSLPDYAGQGLDYRMTHHLPTCLAQAS SRDVFNKFRNHASTQTVSLTDVNRWLEESMVDHLPKIFQCDYKSLVECADLSGERTGV PMRWVILGDGIEGTTLIHPKAGDGKNPAMMPELQLRFSSAWSVFKREEEDE I203_04463 MQVTRTLQAITSSSLRAASSSTSITRCIRPRSAQADRYLALHDF FTRQASLTRHAPHVSIGPATGLFSHRQKLAFGRRWSSTDNSKAPCPNCQGSSATNAPL TPPPPSNTAYHQGHAQDYAPFIRRLIKNSTAIAPNSPHRPTKEELLNAASGWWQRLRI RIKWFTIRGWRRFNTDDMSAFASWFVVGNTLWILVGTTTFVSAIFAVLNSLSLQEYVA RWISDYMTYNTGVTVIFESAIVPKWASSTIVFRNVYVSRRPSTDNPEPEVHKTKQLKA ETAKPPSPIPFLSSAMSPETYLAPPLASETDNYTMFDVNIDEVEVSLSLKRWLDGKGL VKDAKVKGVRGVVDRRSVWWDMSKPLSPADYRHETHSGDFEFDSFQVEDALITIYQPG GQRPYNVSIFNAVVGPLRKRWLFYDMMCAEGMTGQFDNCLFSLHMPQKLGKTKEDGDM VKRMARFRIDGLPIEHAQYATGHTGPMSWITSGKLDAVLDIKFPFHPDDSVDIKAIFD EISRNVVTITQGVHPEDPTNKVIPGQARLARPPLRAPKEPGYELSKEERETRRQVVVD LDLRFRDLKAAVPIYTTDLSVTNNALIRPIVAFINANKTLVPIHCQVAADLDDFDGSW TLFETGLMTSLSDQIYAALAHHVSSEAANSKRIRQVGFWGIQRGAEVLVDTLRNVVDP AHAQLAVI I203_04464 MGNISSMARASTALDSYVAELGNDISYDKSLSSSRFLKTILARH AYGPIVLKIFIKPDPAMSLRVIQRRLKTERDNLSDLPNVNTYQAFVETDKAGYLIRQW VGSNLYDRVSTQPYLASIEKKWIAFQLLTCLKDARLRKASQPVAHGDVKSENILLTTD LTLLLTDFSSSFKPTYLPLDDPSDFSFFFDTSSRRTCYIAPERFYTSDSKLAEEKRKV PGEGEFEGWGKRDGRVTEEMDVFSAGCVLAEMWTDGRTVFNLSELFAYRQGTVGLEGL LENIDDDEVKEMISQMLSRDPASRPSFDRILSNFREIIFPEYFYTFLQDYIASLSETA ESQSNTNSEITFLQRSAGLCGIKIDRLLDEWESISVHLDKGPSPDGPALLLLNIVTSS IRNCIWPSSRLHGLQLFLNLLPYLFDEDKVDRIIPFVVELLSDDVPIVRAEACRTLVI VVESVNSITPQNSTFIPEYLLPQMRHLAVDIDIFVRATYAKGLVRLADAAVNMLEMSQ ATKPIGGPSSESSTIIEPDYDAMLQEIQSVVEEQATTLLVDTAPPVKRSILASISDLC LFFGRQKSNETVLSHIMTYLNDRDWQLRLAFFDGIVGVGAFIGVRAIEEYVLPLMLQA LADPEEAVVARVIGSMTSLTSLGLLARMKSWDVFAAVRGFLCHPNMWIRQGTAGFIAA AARNLPPTDVWCILYPGIRPLLHADIKEMNEISILSAILPPLPRSTLIAAKVAALQTP PKGYWNIPQSKGTTKSSLVKAAQGQVTASQQLRDKGITEKDERKIEVMKEFIVKQANA SKARESSELSSSEANLVTGKSVSLTDLGVTPQTIFISPRTIGVDAKADIKRLRPHLSD SPISRRTSFASRSNRGTVDNPLDEIRKKLATLEPLTIAKTDKTPAEPLLTSNTESNAT PSESGLSSSIDLAALSRSSRKKIDSKAAPAVAASHTNATGTTTIHDEPLSGRTTPLAT GGFAPATPRSLAPYSSSYEGQDPGVRAFLEQVDLDNYREPLLDFGPRVTASHRKRNPR VKNTSSIPQGVTMIAHLTQHEGAITAIVTSPDSLFFATASEDASIHIWDSARLERSVT TKPRLTYRMDARVASMCRIENTHCLAAAAEDGQLHVLRVHVGSSGGSTKYGKVECIRS WTASEQEGYVKLVAHLQDSSLFLVTSTSMIAILDIRNMEITKRFQHALELGVVTALCP SAHWLVIGTSTGTLSLWDLRFGLLLKSWKAGGEVTSLKLHPSKGKGRWIMASVMPPSS NGSPKTALGSENEDNSGPLVEAYDIETSKLMEIYEVRTSRPSKHPDPPKEVEDVISDK AAYISQLAQSAESVLGSLTKTITAEDFSNSSSPLATPKSVLDLIVGQSWSSLPKTDES VLMSVPELGTKNDHSRSGNPGWMVTAGEDRVVRYWDLVKVQDGFVVCGSQKEKDVSFK QMNGSGPTHIYTLPNVHRHPNVPTRERERQPLRPHYDAICKLGTVETPFSSCIISGDR SGVVKVWRMEGGPVSNR I203_04465 MSYKSVILSAFVCTALTVKADIPRYAQKIDPRSFAVLDNVPTGT QFNGTSHFIPPGTTSDSLKAKPFHIYDDAFYDIIGADPTLTLLVQTETDPIFHEAVVW HPKTNSVYFAQNAGPVAAGTGLNKSAIVQKIYLDEAEKIVAQGNGSGFVNVTTVNSGQ QVINPNGGTNFRGKIVFTGEGQGDNIAPAMYLVDPNNNDNTTVILNNFFGRQYDSLND VAVNPRNHELYFTDGPFGWVQNFRPNPVLPTQVYRFNYDTGVVRAVADGFHMTNGVTF SPDGMYAYVTDTGLSSGFWGYNYTNPSTIYRYDVNDDGNFSNRQLFAYNEIRVPDGIH CDKQGNVYVGEGDGINVYKPDGLLIGRIYLGTTSANFAFTGKGKMVVCAETKLWYVNW DAEGADTTSREYTG I203_04466 MAESSLVASYMPRPSMKSCRAGAKSAAQRYSTSPVWHPTPQPKC VLNLARAKQNDLKKRDMIREDRMPSGYSIHVKVDIDKIPLRYSQNAPQAVSISYPTTL LLPQDDAKF I203_04467 MRFSPLILLPLVTALPAKQQSSSIPELSVNQWNNIQSGFTDGLR SLSSWSWSKAEDVIDELEAISGIATDSKDDDDKSSLTIWQALKADPHSFSKLVKIIEF EGKAIDYLDDKDLQITFFAPNNDALTPPHHHHDDDDHDDDDSLVELLHSPSLITLSNA LESEPSLLAADEDDHHHHHHHDDDDDPEKRKRRKEIFRKIAGKVLQYHGLTKAYTAQE LAQNSTIATALKAEDGSYGGLHRRIRIDKHFVPPSLKINFYAKVLVSDIKARNGYFHT LNHPLIPPGSIIEELFLFPDVFSTLTSSVQKIHGRRYLDWSYDKEHSKPGHPEFHGKP LLTLFAPTNIAFAAIPPKLKFYLFTPFGEHALTKLLAYHYIPNTLLLSELLYTQKEKK SQIDSFVDTLGDYEYFNLGNDPSFHKEIQISPALPNSTLKIEIDKTKFLPVEGAVKTT IKVNGQEVKVIDVPARNGATHVIDHLLIPPHHHHDHHGKDIAHLDSWENWEDWFPAWV DQF I203_04468 MKKLRNDLYDDLRDELEDELRDTFVSDLIENVKADILEDIRDEM KEQLVNLEEEMKEKLREELKVDLTSEVEEEVTNELIARFKLDITQLKSRISKLGRKEG VGKASEASEEASIVTVKSPTSPKKRVVSEEKEKDIEGERTQQKKEREGSPVVDWRNTL I203_04469 MDFDTIRTRAATSVWDRPSASPSPETQSVNFSRIKRFVASFDLD EQEDSIRKELGIPPFTDYSHQQKVLQGCSVILVICRDYHGKYHKVWGNRLRKDLDRTK ISFKSDEAEAERRLCEEMIIWNFGSHYLKQFVLYDCLQDKEHSKPGHPEFHGKPLLTL FAPTNIAFAAIPPKLKFYLFSSFGEHAQPGGYIHYERADEANPIKRRPYYHHPYTSMQ YTISVPLLSSKLAYLLFGR I203_04470 MDHGDHSGHGGHSMPGMEHGTPACSMNMLWNNQIADTCVVFESW HISGPMTMAISCIAVIAISFGYSILLNTIKSMDRKIALSLYQTSAPNRRESVHPSGIR RESSIPPVAGGYNAIEGGALAKVGMTRLSLSTRLTRAFLYSLSVAISFWLMLVAMTYN TYLFASIIIGAFLGHVMYEGDMDVGSVLAGGNGKGLACH I203_04471 MADEKKSWLGKETWPTVWIWICLLFNLVGILALIILIVAEVFII VANFHNYVAQYGHHYPARGYQGGDGVGYVDWTNAPKHMGSAVWMILYQLSIIVLITLT IASDLAWRASSYNAWGGRWIESFYATGLMSGPNHPNMMYYVAANAFFKVFIVILYMSQ SFYGMEGTTSHKSPYAGKENSSPTYNYDDNNGGAGYNDDYHGGNDWRRNNDSNRPPWR IYGTEAFVYYMGWIVLFSILPSMIAFLYLTNWGKEKAEAAKNADAEKKGEEKK I203_04472 MNGLENSHASSSRPPSPSRTNIVYIPEGGYDAQPQPPFNYHSLD GQDPSICIDNGAHSWRAGFSSMSTPYIDRPNIIAKYRERKFGRNLLLFGRDTEVDANS RSNVRTMFDGDLLIHSDLLECALDMTFCSLGIDSPKIEQPIVMTERLANPLFSRAMTS ELLFELYNAPSVTFGIDSLFAFSRQKHQDGLSVSLGHQASTVIPVVNGKGIMSRAKRI PWGGAQASELMLKLAQLKYPAFPTKVTPAQATFMFRETCYFSTDYDEELNSLADPATL SGVTKIVQFPFTAPEVNEKTEAELAAAAERRKEQGKRLQEMQAKQRAQKLAAKVAELE EYKLLQSERPQYKKAEFLSRLQEMTPFETEAEMDSWIKKTEIEVRKKQRKDLGMEEEP EEEPVFPLVDRPDSELNEEEIKEKRRQRLMKAGWEARVKAREEKKRERERLEEEKRLE EEERTTNLTGWSSRLKEEQESVIARIQERKKRKAQLGDRKSAAAQNRMKNIASLAAEE KTSGSKKRKKGEDDDGFGMDDSDWHVYREIGGDDESEAEEDDQLLLQSLESKLLQYDP SFTEENTLIGRAEAKNKLINAFVRGGLPSGQKYDFDDIRLNHQLHLNVERIRVPETWF QPSMWGIDSAGLGEVAGWVLNGFEEEERRRLMQCIFVTGGGASIPNLIPRLRHTLTPI LPFRAPLKIVSSLDGGDPRLEAWKGMAEWSNTSEAKEARVTRADYEEYGGEWLKEHKW GNVAP I203_04473 MSLVRVRQCIRLGGNSKPILKSLICGKSFSTTDTIRKAASRSEK ITTSFNLSDLPTRALGDDGQPLPPLGKWREDVVSHAKVDNLQNALPHLDTAVLSPAEE QAEVDRAIAAGIIPSSDLSREVYTNWLRFPDCILLTRVGKFYESYFEPARQLSSILNI KLAQKSYKGKDIERKFPFAGFPVAALDKYLKILVQELGHTVVIVEEYDEEGSIAYVGK KLTAATGPKERRVYRVVTPGTMVDEGWLDGNESRYLLAISLGRDNIDLDGKKSTKMTL AYTDASTGEFFTKDTTLANMEDELARIAPREVVLDRSLLSLWQDNTIRPNPNIGIATT DLFNLLQVLGVHVSFADPYQSPPLWSSNILPSDIPSRPRNTEGIAIALLRHHLQYALR ESMPTLTQPSRQVSEAQVQIDAATLQALEIRHALRPGGLVAIGERQTISPVSSRGTLL SVISKTVTQSGHRLLIRTLTSPSTSLEIINSRLALVQAFHDREDLRAELRDVLRGIED VMRIVQRFRGQRGSNRDIWDVGVWIRTVEGILNRIKGELAYEIQNDTDRAGSEGVLRL QELIGEFQPLGDLAKKVEDSIDENAIMWSSAPEESEDGDEDPGEAMIANEGDRASSKE TKESKKDRIDREKQEKEDRQWWILSTFSKELQAVHEELATLKREKEKLQSELAKRYAT PNLKLLKGMRYGYHVQMTSSEASKVEKARSLERIGGTSGKIAYFAHGPWSALGAKTEI AMETLSIAQRRAERDLRNMITEQADKIQKNAELVDEIDLTMSFAQNAKEMGWVRPELD DSDDLDIIAGRHPSIESSLLQSSRTFTPNDTHMNSSSHLHIITGPNQGGKSTLLRQTA VIAILAQSGSFVPAEKARIGIVDKVFSRIGARDDLWRDRSTFMLEMVETAAILKNATR KSLVIMDEIGRGTTLQAGVSIAYSTLDYILKRIGCRTMFATHYHELGRMLAYGDDRNG REEMGRKGVEYWCTDVDEVDQAFSYSYKLKPGINHQSHAIKAARLAGMPESFLQTAED TLKHVQKTGRFDPPSTVSQLKNT I203_04474 MYHSTGHGRGAEQAPLLRPTIATIQSHPPPRHHQKRNGRHPIPV FSIIFTLILLLIVAFLAWDVSSYGHCYVTPLCRALSGRNGLEQTWWRNQGPYAPFRPL GPGGGKKGLPKGCEISQITILHRHAARYPTSSVGECLLSAMKKLENRDVQIPRRHPEL AFLARTDLKLKDWDFDGLTDQGRKQSWISGRQVKQMYGSFLRNAEGIFTRSSGGERVV ETSGYWLEGFRGERFKLRDVSKLPKVDLVIPEGEAYNNTLSVHSCPAFQNLSPKPSEL RFSDLSPLLEPTLNRLNAVLKPRPALEMDDLVCLADMCGYDSQSKGTEWEGWSKWCGT FTKDEWEIFGHGKDLKRWYDLGETSRYGPTMGAGYVNELLARLTDSDVIDNTTTNHTL DSDERTFPKGGKRLFVDFGHDNEMLETISALGLLTEHRPLPTTSVPPKRTYILSRIVP FGARMVFERVSCKMGNWEPDPEAGSPLRDDQGNDGKRDYIRILINDKIESGNHPSCEF SGLANFGLCELDSFVDSQQFARQDVDWSICYEEDDETDENQRG I203_04475 MSFLPTLRAAAPSSSTLQCLAKPLLSSQLAHSPYAQIRNLSSTS TQHAAKMSKPRSVQDVERELEEQKQMLARASRTNPGMDVGVQNLPVFDPQKPLWFFAP YTGDRTYKEERKERATLTRQSLNEVIKRGALPPYQNDMRRSAPDAWRQFMLWTRRQNY FKKSMIYFNKMYQDYMKVQAEGTIGQASAISKDNALAAALNVIRGRKDTMSWELIKEN RPPYLVSSRMTVVDPRDMKMAAQVVIRFDTQQALTTQKKGQQPIRREQRVIENIIFEI FPVDITCDWKVKGKLLEQQEVKKD I203_04476 MPRPRDIDLFLSSYAGQGSTSLGDRNYQFYSNQISCQPDGLKYE EWMKRYENDMIELEMNHGYVQWFFPIRERGVNPLAQPLTIDEIDKMKVDETIQDRLLR SYKMMLSFHGIDFNNGKLQISKDHRERFRNLRDHSHNLLRLTRILKHLSEFPQLQPHA ASLVLFFTAVHSEGLLNFEEGSMRGNSLDQWWSNCFRDESERKTIRSIVRNRGGFGEK NWGWNEFEKWYDARESNGKE I203_04477 MAPSYLPPPPPSSSSTSSTVNPQTSTNGTTSTDPAPSLQSLLNQ QLTITLLDRRTIIGYLICIDHDQNFILRDSEEYKPLYPKPIEQLSSEEIEKWDEVRQN RIDYWPRSDPEAIYATDTALGRGWGGRSVGLVCIKGKDIGTVEVDKGVWKGLGGSVKD I203_04478 MSSSAAASQNAATPQSSVAAASTSAAASSAAAATSSAAGSSASQ ASASASASASTAASSTAASASASGSSSAAASPTSASTSTSQSASSSSSSSATASTTVL SADVITTTGSSATSSSTKSLSGGAIGGIVAGAIVGIIVVFVFAWIWKRKRRSRGEKVP PPPPMRHAQSSMSRSRQSTMMGGGMNHQRRTSTYSASMAPPRPSSTFASHSRSPSSHI NYPITTMASMNHDASPPMPPSTSSTSSDSPPLSTGANIPAHPFPSPAAGHKPTLTPID TSLSRGGSASSDDLRGGHKPRRVASIDRLRGEGNQSGNGSERAPSPASSRHPSESGVD YSRGNSPHTSMIGLPSSGGPGRNSYPSPRSSIGYPRPGSMASLGSSRYLHVGPAGRAP HQGRPIQLTMPTLLGARPDENGDFFGQTGRLDGGYQLGLDEMGRMRRMSNRQYNEQDY QPSPIHTRAPSNTSLSNRSRQGSDEGNVPPIPELSSALGNSGPPSRNQTPTPVPRPPP KSDVADPHTVLQRE I203_04479 MQAKREHSSDSDQFVSDVDIKPNINDTPAKSKKTKSNTDTPTKS IEKKPKVPLNTSGKKMGSWSGEELKLLYSIMCPKKTGINWNEVASQIEGRDAKSCNNK WTRTQSKILQAIEDLGE I203_04480 MEAAANSFKYLSTFPEASDAMHADIGFPGRRLMPFFQEIAHPED EYVSRIQYGLIAHNIVTCSYFVFPPQKTYYGITAYQGRVWGRPIPEGLVCAVDNSFRE IFDSGIYTKAFTVQEKVISRHARELFPHALELNRMLFRSVQKTGRSLLSGEISLEELA NRKLTDVKTPFPIPSTRGGVSFEVETEGAGTILIQHVWRLPSADKTQDEIAIVTESTS AEGGCGSEAVPETITSDAGISKMLDGSVSILNS I203_04481 MRKGVGRDVRFRRQQGVRTEAHSASFSEIDMVFTPHLILPENHS CISREQDLIWFSKASHHAIPYLNRFLKSSTTLSEYEIGKFGSDIGSFCDHVNKELLLA IQKTGRGITEGKSSLTTPVDEVSDFKNTALSEALPPPFRIDPDEPLPHTQKAHSFDIR SNRKDHAPVRVNLYWRDLPHSPDTESAVTAT I203_04482 MSSSSLIVALDSQKKGLKHLYWANQVGYLDYLRSTFTESRPEKI SDEHLTKQILGYDRQGIIESRAICAAPLRALSPQSYQYYAPCEEYDSTAFFEYCNTIL RQRNISTSTVLGVSVHYRRSRPPKRS I203_04483 MSSAQKGSTDKSATESDFELGKLHAVIDTAKAALERRDPRAEYY VSEDDLKKVLLGGGRSDIVTKYNLCGGETNDFQSTYDCVYPDSPYHDDEDSQWAVHFA RACARASKSRKIAEGYIPDSLYYEPTKQQLIWKRPMNTEGRLSDIEAENAILKYLGSL PDADENMNCNIGFPDRFAIRYCEYAPEEEEYIYRISSVDVTTTPHIVVPQEHTTDKEE VNVAYNRPISQAATRAINAHTDTDFSNFKVDANVDSIYKVYPEISRRLFRGAQAVGRA LSAGTISLIDPAEGQSTFPATKSTVAMDNTADGITFHIKTDQGTTFDLNHSWLSLSEA AEEGKEEYSYSQGEFAKEDHELGLEKVKNAKL I203_04484 MSKALVSFTSPTENGTKIAMIPAVANRKAFTINLDYQAKDAEIM LISSDNVQFKVHGYMLKAHSVVLRDLLDDPQMISSPIPIDVSSRDLKRFLDLMYVAKP IIPFSWVETKTLLDLCDRYDCHLIHERIRTRLNPHAEQAPWETFCLASHFNDLELAKS SLVFMGRDSKRRWFSIRMISINDATQPTLPYLMGLLRTVDMVYRGQPPSPASREGPQW ELVASKFQLLS I203_04485 MVKRSASSEASSPPKRRRRSSTTSLKEVSTLSHAAREYGPQWND WPAPAPAMEDARSFIRDIVDNKRSVLIVPDKDADGLSAGTLLYRTLIHMKHPPSLISV HHLTKGNNVHSDYERDVMDSSRAEKVVVLDQGSRPGRSLVPPLPDQKGKRVLIIDHHL SDEWPQGSQVLTACRSSPIATAALLTYLLLRDLHEKVHEKEAWRAVVGVIGDLGTTVP KWGTPPWPSELGAVFKKLGSKAFSEAVSGVNAPRRTAEYNVPKAWDIMLDARSPSELA SNAFLKLCKLDVADETAKWARTPPRFAKDGRVAVCTVHTGFQIHPVIATRWSGTLGRK SSKLLMVMCANTGFHADGRVSFSCRIASNLRALPEGQQPNLIALLNEYADRIPGFKDR VGGDYARGHKEATGGIIPRAEYDLLLEEMGVPQPGSSTKSEPNVSVSSPSPKKLKSKV IDPKQAGGGLDKFFKVQTKPKVE I203_04486 MGYDSASDVTPGSPTGTFSTFSMTSTMNDRVFREDGGRRFQAQN DEQELERLECQHRVFKAVQAGVNYFAPVHGNLYDGARGLDIGCGTGIWTIEMAQEFPN VEWIGTDLAPVQRDSDLPDNLHFAQNDITQGLPFPDDSLDFVHSRLLVMGVRNWKAIV DEILRVLKPGGMVVMIECDFPWGLPGVPEDQWAERAKGHCKFSDYLKMAVENRGYDPQ AASKTISRLMRSSGKVRDVTQIGSCLPLWGWSNDPHLRRAGEIMRADAEDIPNSVKIV IQDACEVPESIYQEIKRGYLADLGRPGAHTAVPIFHNYGWKI I203_04487 MSLRTIVPLRRLAARRMALDRRSMASAARPATGPVSFALDDDQR GIQELAQSFTRDKIVPAAAEYDRSMAYPWPILKEAHSLGLLNTHIPEAYGGPELGLLE CALVSESLAYGCTGIQTAMEANGLAEAPLIVAASHETKMKYLGRMTEEPLMAAYCVTE PGAGSDVAGIKTKAEKKGDKWVLNGSKMCTNAGHANWFFVLAVTDPSAPPSKGMTGFV VDADTQGIVLGKKEINMGQRCSDTRQVTFQDVVVPEENVLGKPGEGFKIAMKAFDITR PLVAAAAVGLAQRALEEATKYAQERKTMGQPIINHQGVAFMLADMAIGAEAARGLVWR AAWAKDCGERNTFYASMAKAFASKTAVENSNLGVQVFGGAGFNTEMPMEKLYRDAKIY ELCTQLYSIGLFVS I203_04488 MVEPTNKKRKIEPINGHGHGQPALAKQESFSAVLEQLEAEEDAS GDSIETSAAWPRPAAPRLNTQTESIAFQQIELEEATDPKHGPTIRLFGVTQKGNSVLA HVYGFKPYFYVAAPQGFLNKDLDPLKDTINQMFASFGLTVTNCAIFNRRSLWAYRGDE TVPFIKITCADPKNISKVKDEGQIDFNGLFDTEVLTYESNIAYSLRFMIDTKVVGMNW VEVKGGKYELLEGKDKKSRCQYEVACDYKNLISHAPEGDWLKIAPLRVLSFDIECAGR KGIFPEAQIDSVIQIAAMVTRQGETKPFIRNVFTLNTCAHIVGSQVLEFKDERQLLLE WRKFVETVDPDMIIGYNIVNFDLPYLLDRAKALKVPDFAFLGRLLGVRSEVKETHFSS KAYGQRDSKAVNIDGRLQLDILQVMQRDYKLRSYTLNAVCAQFLGEQKEDVHHSIITE LQNGTADSRRRLAVYCLKDAYLPQRLMDKLMCFVNYTEMARVTGVPFNYLLARGQQIK VISQLYRNAGEAGYIIPALKSEGTDEQYEGATVIEPTKGFYDVPIATLDFASLYPSIM MAHNLCYTTLLDKNTIDRLKLEEGKDYVHTPNNDYFATTNRRKGLLPTILENLLGARK RAKQDLKVEKDPFKRAVLDGRQLALKISANSVYGFTGATVGKLPCLAISSSVTAYGRQ MIEATKKEVESQYCIEKGYDHDAKVIYGDTDSVMVKFGCPDLPTAMRLGAEAADLVSS KFIRPIKLEFEKVYFPYLLISKKRYAGLYWTKPEKYDKMDTKGIETVRRDNCRLVSTV IETCLFKMLIDRDVKGAEEYVKQTISDLLQNKVDMSQLVITKALAKADYAAKQAHVEL AERMRKRDAGSAPSLGDRVAYVIIKGVKGAAAYEKSEDPLYVLEHNVPIDTRYYLENQ LSKPLMRIFEPILGEKANSLLAGDHTRTIQIATPTIGGLMKFAVKTVTCLGCKTPLRS NKDGAVCVNCRPKLPELYQKQVAQTSALQIDFARLWTQCQRCQGSLHQDVICTSADCP IFYRRTARQKEVAAAVTQLDRFEKEAFW I203_04489 MNTPQRSSEAGPSRSKPSTPRKIIHANGSIPSTPAQNNDEGDNP RTDLSAINSTPGGAGGGDGEGEEDGDEERMDIKLIQSFADKIQHLPSTSETDGITSRS KIIIPRRGEKDFEPLSETVNLQEMMLQKSREALFNALVGVRGGNSKSIAHAIVTPSNP YPKMLIIHGHLFDTIGMSIRYTTSAKDQSKGKSKGYSQTELLPEEALYLLERGTLQIW MSKDLSDEEKENGWGEWSEEEYGVKGAIEMSVMDGFGTFIGRDGLSWERYQAYAYLKR LGYTVQRTRQFIPDHFLFDPSPFPTSSKESSVDSNELHPGMQPFHTWWLNIPRWITGL FGLIGRSVRNVIGRISAVGLFGDNRFKGTLLEGWNGNSYKSIFQHLRIIPQGHSQPLP IRASPPFNSLKSKYDILQHNPYLPFYHIWKPSAPFTKRGWDKGSEQGLKDYPPTYWAG VVESRTTPIPTIQQLDEIFQMVPDEPKGPVKKVGPQYIKPPRPPRPTNTNDNKKPNTS SSTDSYLHSILKSMGLGKKEDEKPPFVNIPAIRNGDRAFIVALNDSGNTGWVRFGRSG FAEFAPV I203_04490 MVLLPRLTRLAAQNRVSFIHASRQNGRFYATVSNPQTVIEKIVQ KYAVDLPQGTKVRAGDYVMIKPEHVMTHDNTGPVISKFLSLSCSKLDNPRQPVFTLDH DVQNKSETNQNKYKKIEAFAKQHNVDFYPAGRGIGHQIIVEEGYAWPGKMVVASDSHS NHYGGVGCLGTAIVRTDAAGIWATGKFWWQIPRVVSVSLDGKLSPGVTGKDVIVALAG LFNNDEVLNAAIEFTGSGIEHLSIDERLTIANMTTEWGAVAGVFPIDSKLEEWYQGIF RKNELRRFLSRPSTSSMAPIPEPSDPVNESKPHPRLNPERLQDAITNRPTADPGATYA ARLSLDLSTLVPYVSGPNSVKVATALPKLVEDKIKINKAYLVSCTNSRASDIASAADV LRGKKIANGVEFYIAAASSRVQEDAESSGDWQALIDAGAKTLPAGCGPCIGLGVGLLE KGEVGISATNRNYKGRMGSPEAIAYLASPAVVAASAAKGYICGPDSINWQELPKFEKP RISIIEENSESSAPQEVDEASLEPLLEGFPEYFEGPLLFAPQDNLTTDGMYPGKYTYQ DDITPERQAEVVMENYDPTFATTAKELRGTLPTSETSSATRPGAILLSGYNFGTGSSR EQAATAIKNAGIPLVICGSFGDIFKRNSINNGLILVESPTLIKDMTDRFAKDGVRGKG GKDGKLTVVPENWKIKVDTRRGKVTVSMGEEGEKVYNAAKVGRSVQELWVNGGLEGFI RASL I203_04491 MTSEPSFDDLPTFDATKFEPPSWAKTQFHHWGEKKGESSNSNTG SRSDAEVPKPTSKEEFDDQFSRDEHERDEDHEGDEDIREDAKEDQIIEPDEAKFTTDE LKGLLNLATKLKNEGNDLYKFNPPKYDQAILSYIKALDHLPFIPNPSVSDQEKEKNDE KKKEVGSGIEEVTDEEALKIQEEQEHDQSKNGISDEDQERLDVENDIKEMNKAVWGNL AACYIAIKDDKKAVEACSEALKIDPKYIKGLHRRATANERIGDLNALVAAKEDYTLLT TLLPPTSPLLPSIKRSLFTLPEQIKVEEKKQMDEMMAKLKDLGNSLLGNFGLSTDNFK FEKQENGGWGMQFQR I203_04492 MPSAEISPPQTYGHPPPILLTLTILPISLCLIVNPLLPLILHSI PSSKYSIHDVDGQQSFPALQASVGFALLAFVGVVWLVPKVSEAFIQKGLKGRDLLKRG GRISGPWIPESLGLPCASWYLALMMLFIPFPFSHLFKSQSPSGPESFPQRELTLYLSS LLSLLTATLLGFIDDLFDIRWRHKLPIPIVAAVPTLLVYYSVGGVTSVVLPKGFSGWL DTLSLARWLDGNVVDLGPIYYLYLILLPTFTTNSINILAGINGVEVLQALIIASSVLI NDLLFLPIWSPVLLDLLGFENPQNGRVLDWAIGEVVDRHLMSCYFMLPLVGVCTGFLW HNWYPAKAFPGDTFCYFTGMAFSAVAIQGHFSKTLILFFLPQIFNFILSCPQLFGLVE CPRHRLPSYDEKTNLLNPSLVVFESPPPLKTTIVLEILSFLRLVKLEYHQSPNPKNST DKRYIKSSTNLTIINFLLVHFGPMREDRLCMLVGFVQVVGSCIAFGIRYKVGSWFYGG ERR I203_04493 MSTRPAGSPEKAQDAKKQKTEDVQVKKKVCLIVHDGWGLSDNEK GNAIFHGDTTNMDAIRDKHNFVELEAHGLAVGLKEGLMGNSEVGHLNIGAGRIVWQDI VKIDQSIKKDEFQNQPAIVDAMKHAKSTSGRLHLLGLISDGGVHSHIQHLFALLRVAK KHEIPHVYIHFFGDGRDTAPKSATKYIGQLQDYIKEVGIGEISTVVGRYYAMDRDKRW DRVKIAIEGLVEGKGEKSSQEDLIKTVEQGYENGTTDEFIKPIISGSEDSRIKKGDTL FMFNYRSDRMREITSVLGLPEKPMEVNVPEDLNITTMSKYNAEFPFNIAFPPQGMTNV LAEWLGKQGVKQCHIAETEKYAHVTFFFNGGVEKQFENESREMIPSPKVATYDKKPEM SVQGVADKVAEVVKSDKFEFVMCNFAPPDMVGHTGDYEAAVKAITATDAAVKTVYDAC EEAGYVLCITADHGNAEQMLDPNTGNPHTAHTTNHVPFIVTGDKGSLEVSGEQGALAD VAPTILAILGLPQPEEMSGRSLLAKQ I203_04494 MADDSVPLPFPNLKVPTWHYQINHVDRLKEEASTSFWKAVEEDE MAPYLQSVSSDRTDLISSLEKKNKEKLEEFEKKLKDAEENQGDSEISETLREKAMYLT RIGDKERSIPALENALEKTAGLGARIDLVLAMVRIGLFASDTHLVTTNITRANDLIDS GGDWDRRNRLKVYRAIHHLSIRDFKEAADLLIDSLSTFTATELMEYEEFVALTVLAAG VGCDRKGIKSKVLSSSEIKGVQSTITELYTMVESLYKSNYSQFFVSLAEVEQKYLLVN PLLIKHSRYYIREMRIKAYSQLLESYKSLTLEKMSNLFGVSENYLDKDLNKFISNGRL NCKIDKVDGIIVTSKDMHDQNKSLVYDQVVKQGDILLSGESQCSLEKEKDGNIDFWIY DCRYPEITSSCRVEESFPYCN I203_04495 MSDSFKFGSFDYFCEHAALVVCPLLGSSQGTMATCYSRNVQLGS QIVFQPATCFVHIAALGMTAIMLFHVRSKYTAVGRKEIVTFFYMYMFVELLAIFLDSA IIPTAHAVYPWFTAVYAGAVGSLYWCILINGFVGFQLYEDGTPISLWFLRLSCLVIWG ICFFVAIATFKGFASFSFEKPVGLFVTYLVFPAVCAVIYFVSQLLLVVRTLDDRWASL VFMAGFYVCGILLLLAFSVTICDKVNHYVDGVFFFSMAMLLTVMMIYKYWDSITKEDL EFSVGSKAAVWEVKDPLMAGGSEYYPEDDTQSSYRGAGGSLVGGMGGNNYYGNYPAQT YGQQGYSHQGYGGGYGGNQQYGGGHY I203_04496 MSEQTTKVGWIGLGAMGSGMASSLVSQGYQVNAYDVWKPSLEAV VSKGAIGCETPAKAADGVNVLALMVVNAAQVEDVLFGSGKVADVLQQDAVIICFSTVP PSFLVTMAEKLDALGKNIGLCDCPVSGGSTRAATGELAIMSSGTPSSIQRATPVLSAL TRTPVGALSVVGDKVGTASDYKLINQVFCAVQIASQGEVISLAKNWGLNVRLVYNVVR GASGDSFMFGHKVPWSLNHDGIPKSAMTIISKDIGIVMDESRLLNFPAPLCSVTEQVF TAGLGAGLAREDDDCISKLWERFGGKPIAEQGTIEEEELKAKELEITSSTTLTDKKVL VVGLGAIGLPIAQTLHNAGVEVIGYDVNMEHLDKFAKLGGNVTSDVLKASEDVGAVLF ITNTAKQVEGVLFGSDGKSGIASTLPKDSTIVICSTISPTEATNLQTLLDGLSKNIQI IDAPVSGGPSRASQGDLSIFASGPTEALKTVHPILSTLSSYSSSSDSIKKNLHYIPGG LGNGSKVKLINNLLASIHLAVAAEGMAFAKYKGMDTEKVFEVVRGGAAYSYMMVDRVP RMFNPPSSPHSATTTLVKDLTLVLNEAKKYNTPLFLGQAALQQFTTAVSKGWGGEDDS CLGRLWEDMGVNLKL I203_04497 MPKRRATHLPSPAPSSSRINNFRHPHATTPLSQSRFKRPKLTHT SHSDVVSLPFSNPDSDDDQQLEDDEEEQDDILLTPREEIIPTITSSTSTMTPNTSTFV SPSPFKKRFKLNPRSMIHPDDIDLLIPTNYPTSTETDGIDLLTPPTRREIPYQPPTKV TPRKKMQNGRRVFTQDMTLDVLQEAMDFLCENFISERLSKKFNSYSTHPDALIQTNIR KKVMNMFGMDLAFLLPNLDHLDGIGLDRSKKKQKTEIDEGWEEMGREEILEDGRSLET TEVRDDSDERDRGDTEEEEESTYGNAYGSEDQDEDVSMGED I203_04498 MTEFLKSYVPALRSIIPQTSPTPSTLPEIDSKAPALPNTDIRLD DGQPTLIAFVRHCGCPFAEKEINLLSKEVKKNDQLRVIIVQHAEMNQVKSWFDEIGGP NLFPDTNRYILLPDPKREIYARWGIGQLGWMGMINSTVLDNLKQLKQSDGIDLRPTGK GSYRWQNSGGFAVDGKGVVKWRKVAEDSSDMCDYSEASRTIISQMVS I203_04499 MRSTTFKALFTLLLPLAGLPLSTARPINENAHGLIARYTPETSV AETTEEVSAPTSTTEEASVPSTSAEVTSAVSSESPSSSTEAPSTTEVAPEESFTSEAA TSTDSPSQTEVASTTEVPTSSESSAPSTITNTCEETATETLAPSESTTSAPAPVDSTS EAAPPTSTAPPEGEDDCEEEEDDSTPSATTSDAATPTESASPDDSEDCEDEDEGPSAT TSDASAPTFSEEGCVDENGKSCPCSQVWATMSTSAAAAPTTDEASVPTTTNSPPASED CEEGASSIYESFESSLTASPTGSGSAIISTAPVTGETSEAAVPTTSIAETSAPVTSEP APPSTSDAAIPTTSASSEAASAPTTSCESSLAESLAPSSTEETSTAPVPSQTSSEGST PDEGGDYDSK I203_04500 MDVKNRNTPDEEIVEPAATSSYNGSCPCLNATETGPSSDPIESL PTTVLLTTTAYSTAIVDAYSSDTTSTILSTTVVPAPASQYTSWETAPSITPEPSSGSS TSTSPSSSSTSSSSSPPKEEDFFGILTYELGQYVIMYDVTANETPYKGTSRDNNTPTN FPVTLITNKSNFSKRRFEIYKPVDNGSWGPEVKDSKCTKRIECDIEFQPDPGNQKNRP AFAVWNDEPWFKNIDPENKCQGSCKFVDY I203_04501 MFFRSQGSFYLLTLLLPLSVLALPAKQPRVSHTRYIVQRDAPPT STLPSSITTANSSSSTNDDTPTDPATTTTEDSNWIGKLASLAAEAASSSANAASSNGT KTSSATSSAPTSDVTSTWNKEKNGEYGAFIVELDPFTCAYNGQDTYCNDADPETLPIY SMNTDNKTVDMTWIFSAYDSGIASNKNDTKYWNNSVPMKVVKCAIVFTPGESSDESTI QVHTQRPFVKEVDEKNLVKLDCVGDADDIEKDDGEGEENENDD I203_04502 MAASYSPQEKQYYDQLFAIIDKDNTGILPGQDAYPFLTSSNLPT TSLGEVWALADPDNNGFLTKEGWYKAARAIGWLQKGGATTVDDTLANKAGPLPTFDKG PPPPAIQPQLTGHRPQPPLSAHTTGSGLPTLTPTDRAKFTRLFANAGPSNGLVSGDKA RDMFLKSGLSYDKLGQIWNLADTQERGSLDLTDFVIGMFLIQSCMANSSLNLPPTLPN GLYETASGGRAPPPKAPASPRQHTGGAVPSPVRPQYTGGMGGGILQPQRTGQSATGVS TPPRSGAPQKSFAGPPTSTSFSTIPSTSSFGGPQRQGSAFAPAQQQQPWDVTPQAKAT SDQFFSQLDTQNKGVIEGDVAVPFMLQSQLDENTLAAIWDLADIRKEGKLTRDEFAVA MHLINAKLAGQDVPSSLPNSLVPPSLRETYGNGAQEVLSSQGASGATKDLFDVFGDDE PAPTPKAASPQPQQQAQAQPVSASAFLPQPPPPPARRMTAQSTGQKTLSPAHTGQQGA GGFGMAPFAPAARGGDLLGDDSAEDKPSAVPDHSAEFGNKQNQLANTTKNLSELEKTH AELDSTAKSSAEQLEELEAKLSSARTRYETETKAVADLRIKVGEQKERHRKLESEVIS AESDLSAMRSEKDELEQALLRDKEEIRGLQKMMKEVEEEKTGMKLVLEKLRKEARQQK GMLSIAKKQLSTAEGGRDGVQKEIKDTEQEIEEDKTELGRASSPPQHASPPTQPTAVF SPSIASAVPLPATPQALSPAPTGTSTRSNNPFERLAGARSASSPPQPPAQTASPPPQA EEPTSPSFGTAALAGVTAAVGAAAGVAVAGAETLYDATKEAVSGESPEAKTESKDKEV DPFGAPSGFDEQKTPVPSNSGEEADPFGAPSTKDSTDPFGAPSTPKPAEQSGFDDFDS GFGDSFTATSTQPAEVPTSEPAGEAPNAGAPKDFDSAFADFDKPDDTTNELGVSDEQS GLEEGIPSGIPKSAIPVELAARPEAERTLSTQAVAPESFPGTPATEVPSSGFGSSPAS VKAPLPSSGLAQEVRAEDAESSDDEEEGPEDLEGPRKGYSSQPKGDEFNDFEPQQETQ GEQRPATAEPALAPPILSIEEPTPAKVRRHAPPPPSQKSTPAPAATPGADEFDPFGAP VISSTAAESHGNAPKTASFDEDEFDFSDLPPAQVDQSSSAPTQANNQAPTASSAFDDD FANFDDEFETVPSATQNNANVNVNNGGSDNSNGSNASTSKSYEMVSPQQRFDEWGYGS GSQPAPAAHGQNQGEQKGLSFDDAFGGDFEPSGSSSIPHDDAFAPPPGPPPSQQEPKL QPPKMPERRPSTAQPDDIEDVKKLCAMGFSRGLVVEALAANGYDFQKALNVLLSA I203_04503 MAAATAQPPSTSTMTGNSVFSAPGWVKSFYSTFPLVVLEQEDEV DWKVRAKQGGDHAVELWIHPPSPSSGHHPHSHYRSWTSSSPSSLRTQLLFLLRETSSN VPVSFKSWSNEISAPGGILPTLHILNQDRLLATDEIRGWLESTYPLKGKGKELQGLPS REAYDRALALSHLILGNLLPAYLASLPTQPSNFHLLFPIPPPLSAGLTTPLPASLTGD SRDIDTDEVIRKGVNALDTIEVILENTNSGWLFDARHPTSIDALVTSHLHVIYSLPPS TVLRSNMDSRPTLGEYVDRVLDYAEKRMKK I203_04504 MSKVDGKMKLRRRSKLELEQHRRKEKQKERGDGLLPCYKEFWRG ALSLGGNTGIENFDIDIDADLDIGPGQAEKGYRYAQGIRNHEHRHEQPRVSGSRNNGQ KKEAKQLLTSKPLLLSPSKKDGWTTSSKVSNTGSPDPMDSSAHYRRHTTTSISLRSPS GSSYTLETGFGLESSHSASSDAKDDEESPIPYRRIPTPQLPQHPKDIDMSVAGPSMEK YWQGR I203_04505 MSKGSILFIFTSADKVLDGKETGWYLPEAAHPYYVLSKDFNIEA ASTKGGAVPLDETSKKMFTDDESVKFLSDPKAQELVKNTKKISDVKADDYLAIFVVGG HGPLIDLAPSKEFAKLVEDFYASKKVVSAVCHGPAALIGAKKPNSTESIFNGIEATVF TNSEEAQTPYNDFVNTLPFSPEDKLKELGAKFVKTEDWGVKVVYDGGVLTGQNPASAG PLGEKLKEILLSA I203_04506 MQVSVLLSAILPFLLSSTTVFAQDATATSWPAASEGCDINVAQK LYSSHSAQLALGASTTVVVGFPTEGAAKPTDQCEDDGVNPQKRIIENGHAAYIAPTGG AYGMSK I203_04507 MSRPTSSSSSQKPSGSGSTNMMGGESMGRVHTFSPTPSASTSHR PIYYTHEQIVPDGYIQPYNSYGGEIQGQMITPYAGHQVAQGRIAVPMHGHPGNVMAIN GRGSNKTPMRSTPPPANGFDDLGPSPFLPEEETTPYLPAHFEAYGQMSVSPSMGQMQF AQQNHSVSPTDQMNMARMAPPLHRNNTMPLLGHGQQHHHQQFQQPQPLPVGRHRQTGQ QGRPAMIQRQQSMPGQIQRPTALTRHASLHGPSRSASPHIGGDIFDPVPPAANGSPLM RQQMHPLPPAPENMDISWELSSYDTAFNPTGQGISPARALGPAPSYPQRFSPHREAHT ITPQNNKLGYNNQIHSSAVSVASTATTSSSISSMSDISIRSAHHQIKQHDGDSSDDEH DSPTRGPSASRAMMTMHLEEKRNAGQMPRMIKQIPKSTATGGRTSAKFAKVAEDPGVE GVPAGPRPMERPSPSFACIIGQAILRCKAGGLSLEHIYRYVETAYPFFKNGDGAWRNS VRHNLSIHKMFETIPRTEMFPPGKGGIWIIHEDEKCHWPAEDKFIKNFPPSHPHHAVC RQTLHEKAKENEAMEKAAREGRVYVPKKGKKGRKLAAKDEDDDEGSTEMIRTSSMLTD LPMQRTESQQDLDLAGSSTPIAEAEDESTTPKVTFKLLEPPPLNKQESTEPAEFDDDE GEFLPIEAELPDPAPVDVTPVDPVRKRDEQMARNGMMMPPRFERKEKRRPLEVEDDNV FTSTKRVRVAEPLAPIHPIPQETSVSIKELDDSFITPERERPISNNKNIMSSAFKTPA LIQTSSSPGSSPMPSTITRSTHHPSALQQTWTHDDMAENHSRESSPARPMLDAAFDFK PKAPPRARTLAQEDDYPPSKQATHHHSPRAPPKTPVSRSSAATDKTPRLQHLRTPSIS KTPMFFGGSPALPPPSASALLSTPMWEVGGVLDRLKDHLTGSPTHSTNSGSIRSPMPS TDPTRYAMLLDSGGSPRKRRDVSL I203_04508 MSDDGSDGSNESSSRSSSSRSRPRSRSGSGRSSSGKSRRSASSS RGSDGGSGSSSKRSKSRSIDASSQLTKAETYLKTITDESIDATDFNTAVSAVQTLTDM STLCEGLKEKWMRHIKELREKEDQMKSEHEKTVESLMNPSTTDASSGLASDTASTASV ESAKKDDSAKSEGEKKEENQDGAKKEESKDGAKKDEGGEKKEDSGEKKEEEKKKDESG EKKAEEKK I203_04509 MLIKRELQMDDYLSNPQSEDTKPIISSLETPKPKKSKSNTSSTP SSSNGSATPSPTKRAKSKASPSTVDQDGLSAKGKFAIMIIEKDIEALKKDEVEAATGL TSGQQRDLVRKDAKGALRKSLMAVAEKL I203_04510 MPGRYLLRCAQSTFYLVHHSNESSKLKDKLEPSHPTRSLSDSCL GSTPKIECTTGPTVLRSVINPNWKPKKERNVNHLRCTTLSERRRLVEVEEEIHEDTEI PFELDENLPTSPSFASPAHSLLTTPTFPNSPTISDPFDFGSYGSGCEHEECIDPSLCS MSYGSSFNLNHASSSRSFMNKVQFANSPIYQYQEEEQCSWASSPILPSKLAYGRKGSN DSTCSQNTDSTSNSDLVSDTNAEDERPQDDLSNILSGCGSLIDDASDSFLQPTSSLSS PSLSHQRQYSSCLFLHDRAKQMKINQSYSLSSSTTSPIHSPRSDENTIKSVGFKPSPP QQVQRLLEQSRLSLSTSSSKSQRRLSMIRESE I203_04511 MPLTQRQPSPLPRPLTGEVSSTQIDTTLRTSSPAYQSPSTPTTP AHSKHRTPVPYLKLLPLLVQRCAEGLTYAIVFPYINQMILDMGVEEKSVGVWSAIAES AMMGTEAISAPFYGPLADKYGRRPVLISLMSMWGVFGVAFGFCKSVWSTIILRGALGL LAGCGVISRTMVGELCDKSNRIQGFAVFSPAITVGMTLAPVLGGFLANPSGRILPTSW RLFADYPYLLPSLITGLAAIASGVLAIILLPETLQRSKTATTLHRDSEKSSNNGLKGL LKYEPFQNVLLLYGMNNAVMFSWEAIYPLFGFTSKNLGGLGLSTQALGLVLGFSAGLS IFMTIFVFPLLHGSLSESTCLRLCLISYPAAIIFFPILWAMSYPHSGDDLPISVWIVM SIQMIIRRIGDFATTQLDTLVLDSIPGPEHLASANAITFSIAAVGRASGPFVISYFFS LSTRFASPFSPGRHLVWIIFVLICIPSLYFANRLLNESNTDNPTKVEGREEERYELIS HNHHEQQVRGSSSIEVQGEDAVRYRVV I203_04512 MPFNDAVDTAEFKAWLVTTIEPLCDADPIVMSDYIIALLKHDAV MTEDEWKFISRELEDFLEDNSKTFVDTLFQTLSNRSYLPAPAPVLAPTAPAIVSSYRP QLSAPAAESEAGPSTIRPSQNGQPVVQHNDVEMGDASKAPQGNSQAGPRRRGKCFDYH EKGYCMRGTACPYEHSDDMILPSPDMMFGGQFPPQMMGGPNNGNPFPQQQMPFPFPFP FPPMPGQPNQFPRGHHSKDIPSEYMGVNRPPSTRDITTLVITDIPQPNLTVQAIRDYF QQFGEVTNVAIEGKSKRALISFENNQQAFQAWKSDQAVFGSRHVKVLWHKPLPGKGSA GQDALEKSSELLANMKKLESGQGQEIQGNVKVKLSGPESRLKATLAELEMTEKRAKKE TLIAEQKVLFSKTQNASKEEKVQILGRLKEINKELDQINNPPPKEESMDVELTEKEKL DRELAKHGMETTEGKDQAELLKLSAQLSALRDKANTLGINSSARFSPYSRGGSRGRGY VSRGRGRGRGGPPRPMRLDNRSRTITISGEGVSSDDGRKAVQEWYESQGGVTEYVDGG MRVTYPARDMAEKVLALGTKDIAGLSAGININWYTAPVESHAPEVEMMAEDEPVRGER YDEE I203_04513 MRSTTTYSTLLGLFGTLSVLGAPAPIPQSASASSATSSVSGGGG GSSGFSYTCSGDKDWTDAAGNAYKCQGDTVCKEGAAGGPCVWPEGYGAGSVALVQTDA ALGGVSTSVSAGGSVSSAIPTLPSNSATLGDSVQSSAAGSAPTSTSATAGTALSAIGG SGASSVVGSATSAASASVPSSSTASGNTTTSSNSTTSSSSSASGGTRFVGYWENYSNL GGVEATQMDGITHVILSFIDMNSWSASSASFAESSNGNFDATTADTLRGMNSGVKVTA ALGGWGLDEPIKTAADGGEAAIKTFVQNAQSVVKLLNLDGLDLDWEFPTEAQQPAFVS MVKQLKAAIQEVKSDGILSVAIGSRTTQKSPTTGAMDVDAMTSDTFSELNDVVDMWNV MTYDFVNRYDTKTGHQSGGKVVEDTPKFYEGVGIDLQKVNIGFLNTAKYFTLTESCSA AEPIGCPMGGVDFFETGDKDNGKSGWLRYNAELDQGLGEYAAMNAEMRSESWAKKPDD SQTAFEAEKAHAWYDEDKKVFWTWTSADDNKAVCEEYKSKVGGMFVWSMNQDENGKAG GSHMKALAECVKG I203_04514 MSSSFKPPSSPVPPRDHDQEDQSEGITVTSHDHPISHSHSHTSS QTQQTSRRRASTLIVRDYTHQGSKLFPVDPSNNSEDAKPSTHPIRPPKSPLRPRFPSI RKRSHSTDSGAGAESGDRRRQNSELSIGGLEYLRGILSNFRQSLRNNLLEEKKQSSEE EKVKEEGSVIQEKESRDTLEKRKRSSSGTLSGFFNHQAHSRTRSRSRSLYERISNNTS DMSLSDQRSTQRLINEFMEDLTPRSPTGSFHSLSQPQRTTPPVPRHPHVDRVKRAGGH RRTLSSPNPIPRVSTDSTNNESQSERGRTLSGGTFGKPLGSDGLLSDIETLKSGRFIN DGTASAPISPALTTTSLPLPPVQLPFIMARRSNRSPEPSVHLQPPSSPKIFPALPLSS PPPLSSPENAILTPLMGSPPLTTPPTTNTALLPLPPLTSPLPALPSPPHTPSRQSSIR VVSPDTHPFAAVVAMMEAGDSPTKRRSRNQMAKESQEVLDSPRSTKKDKGKERDEGER PFGSQGRKQTPRRGFIGPGGIIPRMPSRTSLTKLKITPIPKASKDTISQPNPVIVSIP PSPPDTAKPFPTPTPSRPPKTVSVLAEPITPTSTTYLRPAPATPLTPFWSKPRFAVSS ASPSNGRNQSPEPSSISRQGTPIPIYAPRSGADGLPPGPGSELVEIPRFKKKELNLGI VRRRGWGQRLAWLGLWIIWLVNGLLSLFFDVNVIYILVHSKSWQFATAAYGVLWAIST MVIWVGWEVGYEFWRRWRLPRPAVEPIYSSLPACLHLSLISFNHFTFLLHIRTSPLGT PYSRDIIPETCHALIQLVPGLLPLLPRAAIAVVVLISFWAPAADVQAPYGGAVDETSL RDSNFFRSDSPGELTRYAKGILLTFTVWIALRLVVVIASGIGLWVNSGRPLGGLIGHR LSRRKKVSTGAPTTPRKPKSSLQPRDPSTTSSPQKSWVDQENEFDWAWRERTRSRIQD AFELCMIRRINDNNGLGRLNSFLYQSEIPWGRMIDREERRPEPKKKVKKPISTGEFIN RFVEGKDLPSVTTTPEKKPARPESTTLIDLHAHSHPQDKIHIHPSPSRVNTAASSSAT DLFYTPFEGNTPQTEKTSSVAEGIHKLPQISPSPGGRAPPPPSAYRPAPTVGELSEFG VKEREGRGSPDSGSGEGDDESTGLLTNSLANSTTASPRNSILSKHASTRNRSQSTTSS KSGSNDQSTSSRSSSFNRRTRAYTTNGSPSKDSLRRTRSSSITLLRESVANAANASGH LIRRARSGTVLSSESKYSRMDDERGSGEEGEEEEILDHGKVTPRSRRGTGLGLGLPFA INEKTAI I203_04515 MSSGMQNSQAGPSAFTNYASRFLSRKMGNQEVEGSQIFRAPSPP SPSHDPFLPSPSITSSHPHLAGGSRSSSPSLSDSVRRTPPFPGPGIEGIPDIDESGLG SSIGVGLLFSAPRDEEGSIPNPSSSLGSKEKKSKPKSSVPNPYAASSESSEEEEELDL DEVANVRRSLIKQTTRQQQQKNPLSERARKGWLAHQSVFPPSSSSSSEDEDSDESDKQ TESDSDSPRMTGNPRRKSSKSRSRSRDQNEPQGYMLSPSELYNVSTGLQDAYDEPSNL EEPLLGPDELDETRSGVQRRGRVPVRLQVYHGRFGHWEREGLRKYKDSGFLALWLTSL TGIIIGLGFVWGSTDPPSTAPGTPSRSAPSIVPLLPLLLILLIPTLVLPPAFLLLLRK TVRPVLLATAISIPFSLFLCGWWALGESFDTSGLTGIEQGERWWGTTGLRLGAVFLWL LAAWFGRLVWKRRKRLDRTASVVELSTNLLLTHPPLLLLTPLLLGVFAITSIPFLTLL IRLGTIGYWRHPRENTWVFHIRPYAGWLIFLVTLAWVWTWGVIRGVGRVAVAGVVGEW FFHREDQTHPAPLEITTAAVHRATGTSLGSICLGAGIIAIVRTVGRGAAELKRVTNPK SKILPTPLLFLTSLTPLFGIVAGVLDQLNGYALVYVGITGEAFWPSARRAVGLAGRRK GGRLLDYTLIKLLLTLSSTAMGLFTGTAGYLYMTHSLSNPAYAPLAGLLCGGLPFLAV RAGAAVLGDAADALFICYQIDRELGGDHCEKAKEAFAGELPRGEGAV I203_04516 MSTMRKLSTLSRWGGLILLSLIQVSGSSTIESYIHSSWPVDLPA LSYSTTVPWTHTISISASTLPSTSTTGWSIDIAGGSGISILTEVMTITSTAPTMLISE TVWEKSEVSQPPSSSSSSAEGLKPSSTSTTETPAQTSSQAEDEDKQKADELVEKAKND CNEYFGCFAIILGSDKVRLEVSQGEPITGPDYWSVKLDNSAQDYSWKSFKIFLDGDDN QGLMDKAICDFSIRPADKDKFVTLIATNVAPYFKLDGANEEYITFKGQSCRLA I203_04517 MRYLFALLPFISGALASPLPGEDTSAQPSWGFEIVTTTVPGSVG TVIATRTRWVSAEEASPTNEGTTATATSVVTSYSRQSQSTWATTPPSSESESEVTKAS TTAKSTSTTTSDEPKPTEDKSSSTESEQPEATEFAPPANDKKNSAKVEQAAKDVQEAG VFALFESNAPIKFGVGPEEEKDIKDHFKWILLDEKVSDKEYHFTSTHIDETQSSDYEV TCTLKLHPAPAGRYAYLHALGYNPWYNYGDSDCIMEIKCDDWERDKADGCTAQPD I203_04518 MQIYTLFAILPLTGTLTGAVALNPRNTPETFDSISTSTSESVPS TTIESSSYPTSVKSCKRPSGTTSQDAIPTTSSEMYTSSYQSESASRSYPSDHTDCGCS SFTSPGEYSAPEETNGTIEDE I203_04519 MGDHMADANHEDIYMLSPDISSINRPENRILAYAIDRNNPDTDE DEEEEEEEEWGGISPLNVGSPAHKVEEEELYPAEADESEHDSQQSSSEGGSLADDEAE SEEDEDGWNSEIRQSQDEGPGAGPQSEEEQEQAAKEASVKVDREEDKEEDKEGDEEGD EEGDEEEEEYYGGEEYYIDGAEDDNGEEEHYPNDQADDWYGEEHYLIDHQFDNEGLFR AEEAILEWNEGVEVQHVHPGVSHVRKRSPSPTDIRDQRDRSPVRKRMRIRSPSL I203_04520 MRFAILSTIALLPGLLALPTNVNQLKTRDDQTDNIASAVTITDT LGSDLQTIVNRIKADPLTSLSVYVDNSHTTTYNIPSELSKAFGTAISGIESLPDLPSS SDPTQELLDDYGPLVKAVTLSVFYALIDLKLLSQDTIGTSIPGYKEFTNDFDQQSFEY TKALNAQYTGFVDELATSVGEDTPLGVALQAIGGQTFYLIFDHINAS I203_04521 MPKRATRSDSDTEDTKPSIEGTSLPNTFSEPPKDKKPKLTPTKP KIKSTTTTPTKKSQNPGSPSKGIGAFPLEAKKVLLEKAMDIAYKGIPYSELAVELGIS ESRLKDQLKPDRSNLRKAVMDLYN I203_04522 MPLKQSRPTSVQLEIDQLIEDNLDIEIKPTIKSNLDITEEDLLK DIKPSLIDNSTPSPARKRSKSNTNSNTTKSTPKNKTKTNTSAPSSVKFDPHGTTSAKA RFAEIIIESGLKGYDRNQVEVDTGLTKNQQIEMLKKGRGSLWKALYGFASTL I203_04523 MDKTRYHKHPLPPGPSSSQLEPDDDLLAAPPASQPEPPQEPPQA TLEKPADCVNIHPTPHFSLLCIMMDRLRTEEASKRRDILNRFMDLWRIKVGNDLHPLI RLLLPDRDRERPVYNLKEAMLAKCYIEVLGLDRHSEAAMRMIKWKQPVDGKAESHSGD FARVCYYEIAARSTVEQGQLSIDAVNMLLDQLAQGRLKQQEYVPILRKINQQCTAAEQ EWIIRIILKADLRISIREKGVFGCFHPDAGDLFNVCSDLKRVCWTLYRPDKRLEKNQA NIELFRSFLPQLCYRSPSSSHEAIAKLIGGPTNEFIMEEKLDGERMQLHMRGSGAQWF YCSRKAKDYTYLYGAHVGEGSLTQHIAGAFQDGVRNIILDGEMLVWDPILEKYVAFGT LKTAAGDRVNDENAPRPCFKIFDILYLNDRCLTHKRLSERKRLMRSDRIFRNIDVYKG RLEYAEEQRGKNGKDIRAMLERILESRGEGLVVKKADAIYQTNSRGADWIKVKPEYSD QMGENLDLLVLGGWWGKGGRTGKISSLLCGLRVQQDDDGTGTKPEFSTFCSVGSGMSY EDYEWILNKHKNHWKNFDRTNPPSWLQLGQIGLDDKPDVYIEPENSFVVEVKASEIVP AAANYGCGYTLRFPRCRYIYFDKASRDHPTGDDSQDRDMWNSNGRTEFMALLNKPKKR YTDAEGGSFKKKRKVVTRKAIQLMASTRGQKLSSDQVESNIFGGLTFYIPKGTTQHPK QNLEALVHKHGGEFTQAQLSDISALVISPDEKNPLVRAQIRKGVTVIKPRWIFESIER RRPLPMLEELLVFASEAAKMDRYFGKTLEEIDKMTLVRDRGGSDGLDREEDEAEEVEN DYDQEDDMAQDGHEANKTVEQVKMEEEWGLRSSPSPVSSRPTSRATSRAASPDESDTD DERFNEYKEEEEENDSHESSPAAGEDFRLLPVRGVDVAEDAGMGADPSAMNYDEDSIF NHLVFYIDTPGNAIENDLSPSSPPGQATERLQQAGKLLRENGGKIVNNIDHRKLTHII MDDEDSGRYAEIVKKASLPKRKHIVLPSWVIECVDEETLMNEDGECVRRIF I203_04524 MFFLASLLSLLALSSASPIHKRYSSVKIQSNRNGQCLSPQGDAL ANGVRVGTLPCDQAKTWDIDPGSGSVILSGTNLALDAGTGNDNNEIVKLWTSYPGLYQ QTWYLTDDARIAITGGTQCLDQGSDQEVWNVLHEGGNTPTPEPEPQPNPAPGKGAIKA RGYDGLCVTVSGGTENNGSTVDLSNCFEDSWPFAHLQRWQPLTGKSDVDKLALTAHPH LCLSAGENPSNGGRLHLNACDGVTTGQWERTNGGQYKLFRTNLCIDVKLESQLFPQKP HAIFKELQIWECVEGNTNQVFDTVL I203_04525 MQPRPIPSTPSQGENSQMMVDNEMGSPSTGSSGGGVGGISSFLG PGLTFSKITENANRSLSPPVPIRFATTTNAFGLSPSTSTSNSNISISSFSSTNTIRDQ AGNGSGSGSGIPLGMRVDISPPSAGSITTRKLRRPSMLSLQQNFSFGSDASGGKADDE STSMGEVNPNSNELQMGMNTDMVQDPSSSKSATAPIHTSPFNTNTLQPTPRWAGHGGS FANALIRRTSSAPSIPLEDLKTSTPPITPTPHLPAEESNMMMMDMEEEGNGSSSSGAG PETSLRWQPSRLKSHSSSNLRKGKGKMEDQNQDQSRPSGLDLLSPTSILLQPVPFAGK PLPNALLKTLASEERPLDQEIESEARLQKFLLSHPTKLPLTPRNNIKMAKGSRGRFPD QVGGDDDDNEDDILFSTGPNRRSTSWTTSLSRRSRNWMDRARFDDDTDTDSDDDDEDE EILTNTSTNNNSHNNNKGKEEPVNTAFAAGMDMDRPGSSSSSSFNIWSGGGSISNDNS GKSTPPQVPIGIANPFPTPPSTNNNNTFPPNFNNSRSARLSFGQSQGMVPSPGYGLPS AFGGLGMGGNGVGTPLGSPTVERAELGASPSGSGTMGVGSPGLMLYRESQGGQATVRP GKRKAQAEDRFDPYKRPRGSSPSFMGSSPFPISPSRTNAIPIPQSPSHAPLYPSSLSS LSASHPARHTQHGGRPQHPYSRPMTSRSRAASPALSIGSTNGLSSSLGNKTFTGNGGL QLQQQQHQPRQQLGGLGLLSLQNSRVDEEDEAQEMKRVDSEEKMEED I203_04526 MPFQPPTRPHSANPGMNPSIFPRTVSSSSVYKHDQKQQKSSSMS SFGKHSASAEEWEDAWDSSSDKEDDPVVGGHTGGEQMIKSKTVPIPLSKPSSNGNGRV EESLVIGSWNSNSYHHLSPPSPIKPTSSGRPNLQTAKTFSEGTIPPIPGTSVPEGRHD AAGSGSRSKLPPGGAWEIVEQSEIKEQDEEDVPLPEKVGKEAVKDDVEDILKDPLQLL SNLSLDTPQTPSSAEPSSFPFLTPGSSYPQTPSTASSVLHSPEQPASYSALPTTPRTN TISSLKKEGGSSSLGRQRSVRTERKREKFVRVLKGRNEAVELAELRKLAWNGVPEEVR GVVWMLLLNYLPLPVQPRLTTLNRKRKEYTQLVDQYFGRGLSSLDQQIWHQIEIDVPR TRPGVALWSCVQTQRSLERILYVWAIRHPASGYVQGINDLVTPFFQVFLGAYIDTDPE LFDVAHLPPHVLQAIEADTFWCLTKLLDGIQDHYISQQPGIQRLVKRMGELVKRIDAP LAAHFEDQGIEFMQFAFRWMNCLLMREISVKCTIRMWDTYLAEGADAFSQFHLYVCSA LLVKYSERLREMDFQEMIMFLQCLPTQSWTDHEIELLLSEAYVLKMVWQGAENHFANL PNGNQGQFGMLGR I203_04527 MARGPPKQAFRPPAPVQKATTSQQNASQSQGTTQKGKEKQAQNQ DQTIVTKEDSFDILKVTLEANIGIICYLRNLLPEEDFEYFYICGSNAPPAKSPDELYR LTAEEARAWNAKQQAESQFQSQSQSQSQSQGVPHEFSDVRTFSWRKIKDDQSHQGKAI CQLLSGAEEAIRKGYLRSLMLIIFLDDEDPTNVIETYTFNFFYHESTGAPSMSVEHSV NRELDQSLKGFALLDKNRILGNPMTHLEVRRMVKNSPVSGLSLRCRRSSLMPLSSSEK RFVDIKLFYNDRAPENYVAPSFADSSEEKLVLGTNDVENPPLWMPFQGFQTGHHGLSL SAVSVTDNLPEIPRGKATGPEDYAARYAEEELVKADAEERDVLWNANADLFRKTAHDL PQDPSGGLKQPIGRRQEDGTIAPIGSSQAGNGIERGRRKRRACEGARYIAEETLQMSQ AMSELTPTRGSTRASSSVLSQVKHGKSIEDSLFQQNSQSFTGNHSQIYLDGINETEDD HVNDLSQNIQSVSQSPSLSTQRLADALHRKVQLNKRKGSDEDDTDETQTQPRKPRALK SIVSAAMKNNGYEVKDTGKSRPKPKPQSKPKPKAKRKTTAKPRTKAAAKPRSRQASAQ TAVKAQKTVPRKSVCLGNKKIQSKHNDVIECYCGLNDEEGHMILCDGCDKWFHATCLG FIDGSSASQLSVYCIMCEMRNDKKRHWPQEDIDKAVREMGALALLRQVMQELRTRGQL GKDELPELQRQFGCERTDVDLILRKLENEGLVEMLAENSDDSQSSNDWTLKWCKNTDA VNRFVAYFQYGRGIEDELFAVRKWHKRPRINDDASQTIPGSQNGSFLESQTQRSCVHN SNNVNADSDKDADDEEDIEMSNDDTTTTGSSKVSAPYELPFFRSSRAVRVVDCSDVWT EREDDMDEDGANDA I203_04528 MCGLTLTIRPLLPKNHDQSEPDTTSALLESFRSTNVQRGPDSQR TYTHIVDLDDGNRLEICLTATVLGLRGELTAQPMVGKRGVLGWNGQVFEGIDMGRGEN DTRKVFDRLEAGADIEEVLRGIEGPFAFVYLDLTTETLHYQLDPLSRRSLLIHPLEPS TGNTDLFILSSSRAPAAREQGVDMRALLGGEGGSISLKNVLLSESNQEASMNLTHAIV QRTTLDIPESSSSPWTRVSPINTALPLPDLPHQNPDIMAEVPEFIEQLKSSVRRRVEN IPAPDKGNSRVAVLFSGGIDCTFLAYLLHLCLPPDDPIDLVNVAFSPAPKLPNPHDKG KGKELAPPSYDVPDRLSGRDAVTELKEICSDREWRFLEINVPYDEARAHRQNVIDLMY PSSTEMDHSLALPLYFASRGIGTLQGSNEPYHVKAKVYLSGLGADEQLGGYARHRHAF QREGWEGLISETQMDIARLPTRNLSRDDRMLSSHARDARYPYLSLSFILYLSSLPIHI KCDPRLGEGQGDKILLRKAVESVGLKRASGRVKRAMQFGTRSSKLGGSGTGVKGPKAG ERQVE I203_04529 MSSVTSSIDWSSTPAETPRTDHTPIPTSLTHNSMSLVYVNTLGQ YGCPHPTHHWDSLPTRISCISPGTRVYKLNLNNGFSNFLGTGCGISSIKYGNSLIHHS GMGESGVTKLTHPCTVLNERSGEIADQEIPNGFRQMCMDIISVESGKINLRGDFEKDE FHGLETLNLNRNGTKEELNMTLDWWNYYTTYLSAERDGIDLGHSLFPPKYDLTGRRTG LTCEDDIPVIESQKGSSDYGPIFPKAVASDGKINYDWASMVELFDLDDQVQRQVQTRP SSTFTCSMRDDDRSQENALSQSSISLPHTPIREDI I203_04530 MAFGDRGGRGGGRGGGRGGFGGGRGGGGGGRGGFGGGGRGGGGG RGGPRGGGAARGGRGAPRGGAGRGGGRGGKPGMGKKGPGAVTLEPHKHAGVYIAKGKE HLLVTRNMTPGESVYGEKRVSIASTNAEGEEEKIEYRVWNPFRSKLAAGILGGLDNIF IKPGAKVLYLGAASGSSVSHVSDIVGPDGVVYAVEFSHRPGRELIGMAKKRTNVVPIV DDARHPQKYRMLVQMVDVIFADVAQPDQARIIALNAHHFLKNGGGIVISIKANCIDST APAAQVFASEVNNMRKEGIKPKEQLTLEPYERDHAIVVGVYERHSGN I203_04531 MASTSTLPPPSNSSQPPTPFLPQPDPSTSPSISNNPPPQPPPAM PAYASINSAPYSAYSQQQQQHVPHTPYVYQPPQPYYPPQPPRHSHSHNVPYNSSAPQQ YNRYQDPSQSYPHQHYPSMYHQHPYGTYPGMQMGGGGGGGPGPATIQNGYAGYPPETY QHIPYGVYPQQGHYAIPQESYQQQSYTNQPNGNAEEPESHPPPSFPSHDQSINGHIPP SQHHQSFHPTYPPNHPYAYGSGVGSGYSGYQPPQQQLPVNYGGYSGYQDGYIPPNPAP SGQRSFSKSLNPSAAGFSFTPSSASGSRANSQPSSTPVPNGDTPSSALDQSSQPPSAV PSIIDQNSAATTVPNRHSHDLAQKAEAQAGEPSVQNVESGKDHIENGLGLTTKSETPV SSSAQSTNPNISASASTTNATESTAATTVSSPVSAQTPKAPEGDLPTFTSQSAEPQSS WTFVGEGLAGVTSPNSGPASRRVSGPSISSASSSISGKKRNVTLPSTSFDPLRLSNSK PDHISEKSNVYSASLRNFIPDTVKVQESELKVEKKVSQSKKGKKEPSKKKTTFAFGDA KKSSNPQASKEAKKIVFGEVDPSVLYIPNPPIKIDKSEPEAQVEKSETKPTPPSSDIS TPQPKIKHSSWAALVRGPSATSSSVAPSKAPSPARSTTSLPHAESEAGPSRLPSHEPS AEHVTSPAPVENKKVPFNYAAAAAVGATMTPQEELAKLLSEGVKGKGRETAQATLPRG LINTGNMCFANTILQVLVYCSPFTELFEELGKRLKADLARKTPLLEAMIIFLREFNAP FPPPSAPLLNAPTVSGTSTPKGKGKDPRREAFIPENVYDAMKENKRFDSMRRGHQEDA EEYLGFFLNTLHEELLYVLSRTQPSVSRNVTKAVPNGDSDHREITRPVSPGAGDESGW LEVGKKQKTHVVRATESKESAISRIFGGTIRSLLRTPGSKDSVTLEPYQPLQLDIQSS NVLSIEDALKHLTEPEIVPGVWSQTKKAQVDATKQILIETFPQVWILHLKRFVYDPKE YNVVKKDKGIAYSQELIVPPEIISPGRRGAGAIKYRLFGVVYHHGASASGGHYTVAVS RQDGGGWIHFDDELVTNIPKEDVIVSKEEAESGKIGLIGGREKTAYLLFYQRVR I203_04532 MSKSATSSASHPRGRVYSLAEVSRHTTRSSTLVTYAGKVYDLTA FLPDHPGGDDIILEYGGRDIGQVMSDETQHVHSKAAYEMMEEFRVGELGGGEKIVSED WVADENFHPDETDLLSDFNTNKFIDLSKPLLMQVWTAPWTKEYYLSQVHEPRHLKESA RMFGSDLLEPFTRTQWYVVPLVWWPIAAFLGVLSVGQFNDPSLSAKQLLQFPPNFSVL PTATVFSLSSWFTCFALGVVIWTILEYVLHRFLFHLDYYLPDAPWAITLHFLLHGVHH YLPMDRLRLVMPPLLFFVLQTPFTRLAHLLFPKAIANGIISGSFAMYVMYDMGHYALH HTKLPAYLAEMKRYHLAHHYKNFELGFGVTSKVWDYVFGTMLVTNTK I203_04533 MSNPYQQSNGYNPAQLNPALFASLTSQNQQVQNPNPIQNPMQPQ QGNINMNPAQAQQKSANDLNGALQNIMLPMFNRLQGQNVARMEQQRLGINPQQQQQQQ QPNQQQQQQFQGMAQQMNMAGLPGMNMNMNTNMMNHQQPQQPQQPQQQQMYGQQPQQG YSIHSQQQGLALQPNPSQQQQPQQQPQQQQQQHPQQQMQNLPGMNMGMLGDSEQGRRL MLSNMLSQATNGTHPPVPNQQQPPQQQQMQMRPPPQQQQQQLQQQAQPQPQASQQAMQ LQQAANLNNINPQIAELFRNRPDLAQAVMKKHGGNAQRAMEDMQRTVDMMTNAQQQRA REAQAQLQQQQQQVQQQQQQQPSQQQPISVGINGQMGIGMGFPGQMPNQQSQQPQQQQ QRPPSQQMQQQQPQPPQQQVPQQQQPRVVSDNGQFNMAQGQQGNQYANTLSQLEALQQ MKERQQRSGLKTPQMQQPGLPMASPQQPVPNLNASAPQAGLGVNLNQPYQMLQQNLPQ PQVQQGQRQTPQMAQQQLVSQSQRPQPQPHQSQTAPTQSTPQMQTPNLASGSMAPPPA NTSSGPSRTQYFEQIPLWPNDKLTNATSLIAKKLFESLQSGSSGANEQMSRYHLLLLV AEIKKRGLIIPQDALTVATAFMQAPNATQALMAMDPNALRQIAQGNIDRIMGAQDQTR NQMQAQNQQQQGQQAQQAQMPIQPQHARQRSYQGQPPQQGSQNNPIELITPTMNQMAL PQQFSTPVQPNAAPQQQQAQQQQQFAPQQQPQWIPNTAQQAQSQSQAPAAPAPAPPLM GLESMNLPEENFWHTLKQIHPTVNVQNPIVEGRALNLYKLFQIVLKNGGSAKIDPSRW TIVAGQSGLAPEPLQANGPQPLISTPNAAQQARACYAQVLQPLENLFMARIQQSRQNV GQAQQQVQTQQQPLQQVQSQQQFQQPSAQQNPQQQIRPSPQIQQGHVSNSQSQVPQLS EQQKRFLEAAKNAGPGTGTLEGLAQQVTQQSQPAPTQQTPNVSAPDTAPQQAQSQSQG QSGNVKGNPLKIYEFIKTQETQVRAKLEKNPSIPSVDKEAYRNELAQLLPIIREAEQR VPMFLLMMKEMGAPEMSAGPQIVSMYTSALYASTAAESDRYILNVSDLHRIRLGLGQL MARAQSMFRALTDKPGGNMLLKEMNTALNKAKLQFIAQNQAQAQIQSQAQSQAQSQSH SQPPPQPQAPLTGNQNLAQQPVGSSPANIVEAIAARHKSLRVEDLKPPPAKRAKGAKG SPATPSGTGQTPEAKTPAMSNVDSPGSVKKSGGKRKRQSSNAVNSATALQNVVLSNLK TPKDLMAEVKESMSKISKPSLASDAANTAAGTSATLNALGIDLTPNEVINQVELDQNK TFFDNQTQLNLAAGILPYQSSSSSSTTIMSNQEENNLIAGLPLDTWQIFTQAYEAFQA SQSQANQVQSQVQSGNGSQSGMVPPTTINNSMLGVGVNGNGIGIVTRGGGKDDLFEQF IDVTQLTEELPTPELFRTNSNLLDGEGGGGGGEESDNSPESIKTVASTNVPLIPIYTS SGSTSNHNIEQPNGNDDKLNHNNNVNNNGVANFALGSDNIVNVGDDYLGGNVGMDLGT GLMMGSDESGMYNGGIWWGNVNVGGGGNEAY I203_04534 MPSSKSSIDHRDVYYRLGKSAGYRARSAYKLLHLDEEFDLFTGV QTAVDLCAAPGSWSQVLGQKLTDEGRKIVSVDLQPMARLPNITILQTDITLPSTVPLV LDALGGRKADLVVCDGAPDVTGVHDLDAYLHSQLLLAAITLSLTLLAPHSTLIFKIFL SPLDPQAALLSSQLRCFFPGPSSSEEEYEEFDLSEKDVIDQDLKDGKMEVDEIVSPNA GRDGYDLKGRRGGVWVRKPRSSRKGSGEAFIVCRNFDPIRVPLPNIFSDSALAELRQQ KTGTLTLDSLASLGTSEVKSSNEWEMIKAYVGGGDLNPITAFPTPSKAKPIAPPIKLQ PSTSPSDHHEQLSTSPKALFAHPTPLDGPPEYFSPKASPEPTSPTRRVKAAPSFLSPE PLHLDARRLAGPAARAAALAYGSSDLAEGSSRQSSLDSLTPNPSPIHLSDPSRPWASS SHVQPSSHHSAAAQLSVPAPQNRDRSSSNASVLSATSARDDYLSATTPLISPSLSSSS KTDLFFSSSTKDSLPIPPRALPNAAKLGRGLPSGVSKNEDRSVSMPSRRPSQTQREER TSAWAESSSGVGSIDLTSPFPSSQGYIPSNYSGLPVRDDLKFGFEEISTTSRLRSVSN PNSISIPGTPTSTNHSPATSRFLKSNQMNEPLATLPTLPDVNQYTTPTKNRMGHGRRE SQQLEREHSQAKDGVGCKMGDVVEPEPGDDEDNEGEDKKKSRKGWKLISKLGEGAFSA VWSAIPLSDTDNAKDNSNSEGRIAALKLMDRQLSLTDSRTRISFLREVEVLRHISHPS IVSYLDSFTTPTHHVLVLEQLKGGELFDLMSNEENRKRMLLPSPTPTPSSGDANGWDQ DGEGFIRRIFSELTRAVGWLHEVGVVHRDIKLENILFTINPFTLPSTSTNSIPLQLLP TNAPLIKLTDFGLSRFISTSSPLLQTRCGSESFAAPEIIMGKPYDGRETDSWAMGVVL YGLVVGELPFDRDDDPSGSVIGQGEVKLRGGRRKRMIKIAKCEYTYPRDLRCTNQVKE LIDKLLVRDPKKRLKVNSKEIWELDWMNHTKPGGLPRPPSSSLSTSNEGMTRDGKIVK RKVLDGFLVEEDGIEGVARAEH I203_04535 MVNPVSTGKMAANPAETVQPAVLYKLIIFAVLMAVAPIGTYFTV LTYFTKGNTIASAIAAIIAANLVLIGYIVVAWLEGPPGPIVPPSPAAGEVKKNK I203_04536 MASSEIRRIALADPHSSYPPRFVIGGQVSQNVSGDVKMYEWRRE KGEMGMVGLQTDVGQIRSMAWTPLPTHRNILATGLSTGKTLLLSLSPSTLSLPLSSSL SSPASTIATLNVKYSRPVTSIAFSSLDSNYLATGYEKHRSEYSLLIWDIADAISASGI PPDGEKEWERPIIESRLEVTNLTAKINITSEPRHIQHYCPSENVNSLSFLPNSINQLL ASVSGKTIRLYDLRSPSPPSQSQNQNQASSPGAQGSTVIGSSSQWTTRAVYGLTPNPS TQKEGLFASYEIIPAGANTHSIVRLWDVRKPGYEILNFDVAGSIVGLEWKKGNNDGLL GVGTRDKGVGVWEIIEGKRVEDEKIVEEWVTLGGMRQIVKPRQNLHSFTFAPSEQGQG DVMFVLKDGTIGIGPIGTAPVFSGGPQGDVAICAPSLRVLNPDEISESSTPNQPESPT TPLPPSRPEPEEPEPIHRANRFQLAPERVTQLIAERSRSSSPVPSPLLGSTTPGLDRT PFGSISGGLREWYEGTKKRDLVDDNEQLIGGWDGWRRTLGSDVGVVMRRRAMEGYGLD DLLLNAAIATRHPGKERLAGIWEFIDHLTHVMSPSLSSYRGYNLTHHGVYPLWFGISS EHNQPSSPSSIYSAATLTDTHPHYHPPLRQSSTSAAWTSLKSQSATPAHSRQTSSPMP GRGTTTPVTTHRERKASDRRGDTDLDREYIQAVESLNERRKEVGGIGRPGTVRAAVGG EKMELRKLILSVCGENGERAKDESDRLVTSGQRTKAAFRAYFAGDEAATISILMASED QHHRLLGSTIAGFMTQSASARGSEFFNSHWQGLVNRVDDPFVRAILSRIGGDDWESVL EEEGIPLLDRIGVGVQHLDDREFSIFLRGRLSRLTRSNSLHLLALTGLSGPGISLLSR YLARTGDIQTVSILSCLFPLNKLTKDERQIVKRWRECYRDMLDSWGMWGERCEFDVRS GELQRALSGGGDEGIGVGGIGERCPVCNNLLSKETESRLHRKHAIRGNVNVGWPSERV SEIWPLI I203_04537 MASRKKVLLKVIILGDSGVGKTSLMNQYVNKRFSNQYKATIGAD FLTRELVVDDRVVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNSSKSFEALDGW RDEFLVQASPHDPENFPFVVLGNKIDMEESKRMVSQKRAMTWCQAKGNIPYFETSAKE AINVEQAFQTIAKNALAQEAETELYNDYPDPIRIDSENSQNYGCNC I203_04538 MSSLVHGPPEWQNTIKERLMAKQVENELYKDIVDQYRRLAKTAR ELKVRNRALAKSGGGPSSAEGSSALLSHLDAQLTSLRSELSTLYRTQAASQNKQLSMA DALRDRDEEVKGLRDELRELRDQRDNAYRKDRDWEERWRVRNKDMETLHDELLSLNLE LSSLAQQNKLLKVDNANLLQRWIDKMNLTAEEMNIEFEKEQNQNQNQNKAIKEDDDDG DDGEGEVPISISVSSSMDNLKEDNTLIGNEKDKGKTKSKSPTKVNPSSSQTLKTPALN KPNSTTSIRTKLAGSTPTPSTSTGRTRNISSASAINIKEKEKKDFQ I203_04539 MPILETSIPPRANGCMNGISPSKRSPTQAHYNGFTTSHSMQSIA SCSTNAHENELNGRSEPGIDDERMYTDQEEEDENDDLSSEASEEEDDYPNVHVEVRLY PDTRISDHSRIVYWVKEHILSDVSELFLGSYLSGWDKHQELGAHVERVWIEEGDQRTV DTKQADLNIHVYQPKRHEYIEDFNNELEDYDDEDTVTAGSMRSLPSNELDGLWQTLIY SNNLKSRLLNFCYSTTFFSDQGVDSNIIAWNRVILLHGPPGTGKTSLCRALAQKIAIR MRPNYPQAKLIEINSHSLFSKWFSESGKLVQKLFDTITKEVDDDRQFVVVMIDEVESL TAARAAAMTGNEPSDSLRVVNALLTQLDRLRIRKNVLVMTTSNLLDAIDEAFLSRVDL SELVPLPPAEAVYSILKGCIEEMMLKSMIKKRKLLDYRRASDFSGMWEIRNDKVQKYS GGLAALADRCYELEISGRTLRKLPVLAHTNKLSSTSGKDRRRTLGDWIKAMLDIVERQ YRNGKSTSAKEGPIKDVDVHGHKHTHTHIKSSSVDKSQIGMKIAMEGGKDLR I203_04540 MSKDLASAPLEDDSDSTYTHFAHRAEFMELLGRFLEIDITTQSD SREDEDEEGLVNSMGATLDHYLPLPGLLDPSLDEIVPPLMDLLGANLHILVSKEEDDI KKTVNPKRLARLGRLLNWIVKVRGWQAVVPRLPSSIPNLPMLVTLLSPPTSASTSTTP STPHYPIISSSESWELRAILLLWLALLLTVPFNLTALSSFSSPVPSSTMYGIDLPSSL ILFSTNISPLAQQVILLSIPLLSRPGLEGAYSALVLARLYSREDTVQALPGFLEWASK EIQAGERELEAHFISSLLEFMALLPSLLEPDYLPALEGFLEDHLLPHLQGSRTAAGSG LIRKLAVKAKGRLWLAKIRKNGENDSDPDLPDGLEEWLDDLMGGLSDKDTIVRYSSAK YLARLASLLPLSFSSQIVEAVIDLFSGTEEEPAILTSFGTVIDPGGSSGTGGTMGFGG VETTRGEARWHGVCLALAEMARRGLIKEEDQIGNAVKWVVKALTFDLRRASHSIGANV RDAASYLLWSLSRACPPDMVKAHAEDMATSLICVALFDREVGVRRAASAAFQEGVGRL GLYPEGIDVLGKTDFYSVSVRRMAFTIAAPAVAIHKVYRDKMREHLHHITLRHWDTNM RIQGAQALHSILKLGNEVDVQDSLDREIKQLPSLDSVNVHGALSALTQIAETFHESDQ RRQTIFDALSKIRPASFVSSQAADIFSSVCSLLVVILNQSIITSPSTQSVLDKHLELS MKRRESEVHESVARVYGKLSELRSSEKDVSKLISDLKSSRIASRQAASLALGHIKYPP TPSPLTDKAIKTLLELLDKPEKIDIESRRAAVRSLGDIAIQRVDGVSSDTVTPTQLTS ILQSFLQGLGDYTTDQRGDVGSWVRVQSLISIGNVLSTLDSQLNLVSEKAFDDLIGGI IKQGVEKLESVRGEAARTLAKLREAGWEWEGMGGMKVDLRQLDNDGFRYVNQKEWFAS SMSILVAKYRKQLIAGLVLTVGSQVITLANAAFQPLLSYLTQHPNSIASVLGDLLELL EKNFTSNRIFIPTLQTLWKLFENGVLDVLYENGNDTEELLCNDILSIACKGIPNIKSI ERITTCMRVVTSCLSSTLPANLKRGSAEWLSLFLGHKFPRIRAITSEELYLKLSSTYD ELPDELEEILTGIEWTSEQYKEKLEEVIELIKSMMV I203_04541 MTAQPLEGLMQKNGESSTSHGVYLPTDPAPPRPCLTTDSAVAQW HTSPGFQAFWGWIKRRSERIKGKNILRGRYEGESQGIKILMDMLDQMMDWVEEVPIQP QSNQRFGNLAFRSYIKLVEERLPSLFSSPDMPSTLPPQILPLLLESHAFGHPVRIDYG TGHELAFVMALYTCVISGWIGGEGEKEEEEDELILRVFARYLDLTTLLQKTYRLEPAG SHGVWGLDDYCFLPYLFGSAQLLDGSLTPTQSLSLASTYQSPSEIKDFYTLSLYHLSL FKSGAAFSEHSPLLWSLSLMKDWVKIHGGLRKMFMAEVVGKKVVVQGLWIGGWLWGDD LPESTQRDDVVSTGIGGRACLDGSTKAPWAR I203_04542 MPQYILRISLEHKSFRIPSLLSISQVFNFPIRFISKDKYRGILI VELEKEEDVHHILERDTLVLSASELYAEGKTYSELHDQMRGNLRVLEPYEYASFKFTL EGANHRVVESRTTETVESFAYTDLKGKIQMKDPEVEFVIYEDYDFEIAHTSEARYKRD GKFQHVYFGRRVGFGKGRLLPTKHDVKARAYYGNTSMDSHMGFLMAGQALPAPGKIVY DPFVGTGSMLYASAHWGAYVMGSDIDGRQIRGKSKGKEVKPGILRAAAQYGTEDLFLD CLTYDVTKSPIRRGGWVDAIITDPPYGVRAGAKRLGRKEGGKPLRDEPYMFPDGRYSH QLPGYLPPSRPYELANLTLDLVQLARWLLVPGGRLVFFLPTVNEDYQEVDVPVVEGMK ELKVDEGSVQDFGKWGRRLITMEKTAQDDGPPPTFEDHEEFDLRNQPEHLPGHHGFRE RYATGFSSRKKSENPSPANTPPSLEPVVSVTPGSKVQYQHI I203_04543 MSKAPQMPSEVNILKTKAANKKGPGSLDVETVWPKPEFGPSTTI DNITKEGKTVTEFIVPAGSMFTLGAALPNHTVLKWGAYFPPGTMFPDGVLVPIHARMV SVQPLKTVVPPPAPSEPVCIIM I203_04544 MVRRLIKVYLETAEKLNLKSDEAQAKAFGNSIPAWPAFPDSAEA LKKLSDAGLKLVILSNVDNKSFDGSKKKLEKGFTFDAIYTAEKIGSYKPSLRNFEYAI ENIKKDFGLEKEDILIVANSKYHDVQPGHKKGIKSAWIDRERAFMGVSAYKDVIPDYQ YPSMMEFANAIIKDKS I203_04545 MTAIPIPLPFDKGRERERELPPHLNSFYNPSSSSSSSPAASTSS TPIPYPPSVQPSKLSDRQPLGGSEENDNDIGDPSAGQDEEENIFRDMSWEEILEDLNA RFLINLPREEMSLVRVYWQAEQAHWFYEDYLRPLNPLLPSLSQRNFTRIIIESSPLYA SLMAQAGVSYDQVWEEYCSDKRMVPCCGGILINKDGDKCLMVRGYKSSAGWSFPRGKI NLEESEEACAIREVEEETGFDLTGWIHPTDKIKTHINAQEVTMFIVKGIDENTVFKTQ TRNEIGAIEWVRLADLPTWISKKGPRKTGGSGQKKFYNVTPFVNPLKHWLKGHGIDPY IKPKKKSQTSAPYRDLQPYQFESPSPQPASSVPSRGSSALDHLFARFIHKQEEELYAP NQQAIVGSDNKAGLERLFGNLNVLKEEEESVPHRHDGISDQERQKKEDNELARLLGGV GIAQTPTPEPPRPTASTQKQSNLLAMLNTRPPASNPYAHTHQVQPPTSPAKPHQAKLL SMISHQPAAITNNQIAPKPMSLPTSPRPASPTEDAQRQAKARALLDMTIAGIGIDVPA NTAHQMNHVDTSHSEYLPPLPQPGSAGRSSETSGSSGTRITPPGQGYMPPLHQPPPST YTHNQGYRPNTQPPSAGHAPPRPPAGPMTHPSGGYGGSPNKSSQPPPPPPYEAASHPQ VRSATLDYPTYGGTVNPGYDQRSVPPPGMHMNMYQSGINPARPPGVTNLQNSQSFRPN SNPLPGPGNYGVNYGNGPHPPPHHLPPGGFVPSGGPPHANYPNHNQAYQPRPPPLGVG VNGPLNQLNQGGYQPPFPPQHSLPPQIPSVQYTNIPRGSPPKSQNLSNPNVFHPVPRP PQGQGAALLAMINNGNNPGRRL I203_04546 MLSTAFLTVLMSAFAVRQVVAQAGVTYVTSRDGNTEYPCSDIEE PETYYQDKCGATTKSGIALTKVEVRNGIGSTRDDWTIYQFC I203_04547 MLESLTRYGQGLGADCPEFANIAYRTPGGPAVPAPSTGVAANND GWTEPLYTCPSADGNLYRITIYKSPPGQTTANSLAYECEFDDFGSCYYTTSGTLYTQG STSCPLQVCTNHYDSTFTRRKRQDPEMDKRQVKAADLRRALATEAPVPKRSDRFTVEP I203_04548 MPRITPTIGLIGASGIVLSACYTIFLFNRPSPGAYSTKSIISNP VASRDDLIRLLSDLLHPLVEAQSEGGARVSLGHTGTHFDSVAAEMEGYARALWGLAPL MASDPDHPLLKDLGKKWREGLEAGTDPSREDEYWGDPTDMDQRFVEMAAIGISLAIAP DTFWNPLSPEAKKRVNAWLLRCNGRGFPTNNWRFFRVLVNLGLKSVDAEYDQKSIDDE LEFMESFYGEHGFPSDCPQNPSNGAYDYYATSFAIPFYSLLYAAITSRSPKLADPERA EKYRQRAKENIPNVLNLFAPDGAGIPFGRSMTYRFAISSFFAAVAYDGLELPQPYTWG VIKGIILRNIRWFTQKPQVFNRDGSLTIGWAYPSLFMSEDYNSPQSPYWALKSFFILA LPESHPFWSSEEEQYPSELLEKPWTAVKPWMQIFIHSAGHTYLLNGGTNVAFPLRQTA EKYNKFAYSSSFGFSVPTGALMFAQHSPDSVLALSDDGGLKWKVPYKVEHLGISDRGV LKARWFPWPEVKITTWLVPPSTTSTPYHTRVHHISISSSFNRDLRLADSGFAIHSHFG PEGSERRIPVLGKPAEGVAGRSSIETSAVAVSRKGVSGVINLLSVQGGKGRVEDVDGN TNLISPRTVLPTIFHELKAGEEEKWIATRVWALPFSESEGLKTKGWLEGWEDSQKGYG SIEELKKDLRIT I203_04549 MSNLTEAVPELYDPALSVKVVGVAKKYDTDPNGPPPSRTPEYTV PGGPNNYHCSKNVTAWTQGFFPGTLWLLADRADLDPSSIDKHYSKEALIKLARRWQAD FKYQARPSPNHDQGFRFQLSYGRDYAMTGDEEAKKVLIDAAESLVDRYSPSAGCIRSW DSMTHVKDGYKYTEEKKDEHYLVIIDNMMNLDLLYEATKLTGDERYVNVANHQAEKSL ISHVRKDYTTYHVANFDQKTGLPIELRTAQGYADDSVWSRGQAWAIYGYGQSLRTGRQ DFIDTARKLTDVFISFLGPTCVPEWDFKAPKPCPYDASAATITAVGMIWLYKLLLPTD KAAAEAYLNRAIKLVQDTVRECLTPTATLKENGEVDFGKDGWETILQVGSKA I203_04550 MSEQTTVSPCCITGHIHQGEPLGSFEVLHGLRTYVSNPKPPAEG KQNVVVLISDIFGVDLINTKLVADEYAGNGWKVLLPDFLEGDAIDHDLLNAIVPNLRV QAEATVASKAVDSAKMAAAMGPWITKHREAVSKPIIENFFKALRADPSTGKIATIGYC WGGRYALVLAQDDSPARVDVAVATHPSFLVNDDVKPITKVPVAILKGTADAMMTDEAL DEVEGILRGNLGDKLVVKKYPDAVHGFSVRGDLENGQEKAQKEEV I203_04551 MALSDFFTQDSGAGGSWADDMDFPSAPAARENTGPKKGDPGYLD SMPDRAARSTSNFPGAPPQREELPLPTVPPFTAFVGNLSYEPDLEEAVRDFFTDLSPS SVRILKDRDGKPKGYGYVEFPSQDTLKEALGRTGAQLQGRSIRVSVAEAPTNRRDALP PSAAEESNQWRRATPLPARTDAPTPARRTSSFSPAEPGPDRDWSAARGARFTPAAPAP SNEFRRDSSGAGRVREPPVPTAADEVDQWRSNKPLVEAKVGSRDLPPHQRGGPESGQS SPGLADTENTWSRGTKLRTPAAEVPPARSSTQSPNEEKDWRSPRATPAASQPGSLDGE SPRQPPAPLERRRIQLAPRSVPATPSTASVESNSPSGKSSIFGSAKPVDSAAREAIAD AKLAQKEEERKKAREAEIAKKKEEDEKAKAFAEERLNSIKAAQEKAQAQVGGGKPQVQ QQQQQQRYPPRERENSGNKRPSHPHPHPNRKGSTEPKKDNDGFEAVTSRKGSANAPTA TSATQAPKRDATTRPAFSFAAAARAEGSFVEGSDDVEEAAKGVEEVKI I203_04553 MAASFVGRGMFPKLWILICKLWVYIVSIGCLIMPLAVWVMIFIQ NTKTFADTMDHYLGNDQKVYDRFDGDPNAGYVGNTNIPKHLGGPVFAAIFDVAMWIFI VIAMCADLFWKFPICKQFLESVWTDGLYEDLKFIDVEFFFLVANAFLKLFIVAATGAQ TYTGLKPNQDDPKIDTPLFCLYATLCLAVSIPFTLIALFYIIHGMKMREYKDELKAAE EAAKAKK I203_04554 MSSEPTIVPSSAPNGSVPVSQGGTSTPLLGSRGGPAGSGGAGGS FGVKSGLAQMLKGGVIMDVMNVEQAKIAEEAGACAVMALERIPANIRRDGGVARMSDP GMIKEIMEAVSIPVMAKVRIGHFVEAQILQSIGVDYIDESEVLTMADDQHHIGKHSFK VPFVCGCKNLGEALRRISEGAAMIRTKGEAGTGDVVEAVKHQRAVMADIRKAASMSDE ELYAFAKELSAPYHLLKETARLKRLPVVSFAAGGVATPADAAMMMQLGCDGVFVGSGI FLSGDPAKRARAIVQAVTHYNNPAVLAEVSTDLGDAMVGIST I203_04555 MSESTYIQEINISSDTTHYRVAVHWNKSKDVEDWKVLSIVRYKP FWIHAQLHQTLASHPHLASHLLLLKSRDGPSTLVVYPISTLTVNHVLLVEDGKIKSNV NRVLPTSKEEKVWVVCYEAIGPIEERRSVSKVVDIARGLVGSTASDDDEGKGKEESDD LWDGLGICTWESFFDKDGKRIRPTKDLLLSLIPSDLPIKTFLIDDGWQDTLSTSTHRR LMSFKPYEDFGGTLKEVVDAIKDSGVEKVGVWLTLQGYWEGIHPSSTLIETYDCVEYQ KGKPNGGLEDEGQPDGNSIWLPPPHEARRFWDDWFGQLKEAGINFIKCDNQADSEMAI DPRGFHAQQSLWSTMLDSAQSHFGIRGVIMCMAQNERMLNGPGGLNFDRPKGDLVFRN SDDFNMNYSNTHPDHTHFNTYNTILTSHLCLIPDFDMFASSPSKLLPIYHALLRALGP GPILLSDTPQNPSDTRLISKLLATTKDGKKAVIKSPRPVQVLSNRWFLDNLKGNTDGP ALVAGTRFDEGVGGLIGVWNVHDHSPSAIAKDKITWRDIEDLLDLEENDASEYCLTTP MRLSAIADGITTGRIVTSSNGGSFEVGLKKGECELVCVSKLYSFEGFKVGLVGLKDKF ACMSGLMDIKVDQKKNTLEFTSKYISEVITLIVIRHQPIQIEGTSVHLYVDDVPAHST REVASDDGRNGAIHLVDFDIPEKVSSGTVSVNDEGGLWKIQVVGLT I203_04556 MSPVLKESKFKEHGRITPEEFIVAGDFLAYKFPVWQWEQGTIQR DFLPKEKQYLICRNVPSLRRAAALDYTDQDEDAEKLLSFMDEDKPKGDGDDWVATHIG RAPQAPPKDMDEIPDISDSPVLSGSNPQPPTSSLAGLSLDSKEREKEKEGPLEVEEIP DIDDIPDMEMIDEGEGLEDDIVDEANVRIVHPSEADIQSTAKQNLLQVRTYDIAIAYD KRYSTPRLWLRGWDENKKPLTPAQIFQDVPSDHAFKTVTMEAFPHSGEQMASVHPCKH ASVMKKFIDRMESKQEDYPAAVASSSGDSKKKWGISGMVRRVTGTGSGSGAKDEKKND TSDGADEEDEVPRGVQVDMYNVIFLKLMSSILPSIEIDSTTSTAL I203_04557 MADEELPPSKLGTKGHWDEVYEREVRVFNDVGDEGEVWFGESSV TKMRKWAQRHLPSSSESNPLRILECGSGNGTLLLSFLTSSSLDPDVPPAKQNFHLTGI DYCESARLLAQSIEQSRRENIQEEIEQALEDDEEELVENEVQCEWRTEDLLRKDFNGE QWDLVLDKGTYDALCLSSEGIEEEKGRLPSQVYPEKIALLVKKGGYFLITSCNFTEEE IKVRYTKEGLGDSSVPHPSFSFGGKSGTTVCTVAFKKVT I203_04558 MSTSTSSPSSRLRLAPHSSPISASEVARNSIISSSAKGWSPLQI NKRDSINQSPLKPSLSPDPNAPRRSSSSFKHVSKNSLVSNSPFKSPTTVQGAQAAGLD LGKSTDQIIHERRTAARAFGELPSSAKGVGTTSKAAIGLGIGISPSKQRSTSRSTSGS NSNGSAINGQRKVSIEKPKVAFPGIERRSSTERRVSASKENESPDVRASKRVPRSSMG FKDLAKGTLVTKSPFKRVPSGGGLSSTSSSKANESSHTDNEIGPSPKSIPIEKDDVFS SPSPRRTSASKQQQQRRASPSASLGVGSRRSVSPTPSPPRSVAQPLLTTTTGSAGPSP LSRSLSIPSLEPTPTPTPHKSAMTPSKRLRGPRDLSAGLESPTRKTVTFQSVPDVKEF ETMSAEPSMDGSFEVNNHDDEEEDGWVDESVNMSLKLSMARENSLDELLSDAQPIFES SADASPVFTEENDGQMPEDSTTANFMDTLIEEGLFSPPTMDTPAFEDQAAFELPLEPV DGDSDRPFLATPSLGGSVHASPLLASGVFGETDSAGIPYGRTHHAERAAIAHSLSPVK PASPIEQPDIPRDEDHNMLLNANAAQPAVPIPQSPVHYPEYNDPFASASIPHAHQVGA IPDPFITIQTATNVLPIQHKGREEDGIPLGRTSHAERLQARRMLATQSLGLGMPRSPA ISQGLTRQYSEEEPSGEELQGEQSDIMEPIQAPVLAPPVVRAASQESEEGAKRRLPKI PAPAPMPVDIPSPVTSPNKNEDPDKLTVAEKRTSKFDISGFSLPFIGSTSPFFNSAPA TFEKQPSIDGHSTSSNEPERPLTPPPVIRDTAEDKQESPHRIPDMNFHFEEIQLQRTT SDELKRTATVKSNPVGPIEVSNEFKKDSPIKEVSRPSLSTSSSLGKLPTSGSGTSIKN DFGSPSSNKSQDSTTTRVRQRISREMIRETINQRIADGSLSRRPASSGDLSKSLPVSF AVPDMPIRQRRTSGVDKDLPPPPQNESPAMTSSSSQAEELAPRMTKAHTTDNAASNSS EMRPAMRPRSQTQSAHEVLKQNEKDGILNEPKSALDKLASSLPSPTKSGTTLQREVSG SIAAEMPPPPGRPISILRNPYPNPNKQEGLLPPVDVGVFSSKERSSSPSATSEGRKGR EDAIIAKRKEKQQERNVSGVSTGSRRSRRSLSMGDVDKEAEQAKEFRRKNTRGNPRLT LGIDDDQQSILDAFREEITQMDRGYKVREKAVVRASYQDKVRHSKAGDIDAGKAWKQL RRPSDMNEHAAEIRAMRARESTSGKASGTIFVKVLGVEGLQIPLPDQPTYFCITLDNG IDYIRTPYSVLGEGAKVNQEFSLVEHPNFEFSLSLDIRRDPHILKMLHQKPEVPAPVP VIKRPQTPSSPALKSGGFRSLFASPRKPKASKDPRSTTPQPILPTPANSNTASDKPVD NIVKYLASPSGSTIAKTHIAFKPIAKNCESRVLEIRYPMFAMFKAGSSGSNGQSRKQL AKITLQIFRLPPIPGLKGEELPQCIDEALRGIRHHAWHEHEYHEGVLTQEGGDCNMPK RRLFKLIGGNLVAINEVTKKEVTNIDLRQAISVIDLNAQDNGTPKSRMTMRPRSSDEG LSVRPRSFMVEFKDGEGITFSADNDKSKEVWMQTLEGLIGKIPSNPLWAELLATRQRE RAARRTASSTSLARDAKRQASSSGQGRPVSMPLGAPSKIR I203_04559 MSTPSHSDNRVTYADVALRSQVVVDFHLATKEISQLREIPTSDQ SGNTKPREGSLTEAKDFQDDSRPQTEWGGKIKWTNLVDGAFIRGKQGSEALKDFRTAT TKAHRNFLGKHVNEARRDWTALDANPTIGTWFDTYSSTYFGRYPREENTENKAECHRL NSDYVTQAELTNQTWGEWLVEDLCHSSEEANYSSFGVLNPNTHNWPLIKSIKPDGTD I203_04560 MPSHHRYNYIFANTPSFTHPYLHKIVYNPAGLLSPPGIVPELRN APWMGNFKIPNLTPSNYKSHHTHDGNQQVLISPAILMLHIFSTSTSDSRRRRNLIRQL DLMDAVPEEYRHLIEIKFVMGNKVESEQEEEKIRKEIKMYRDVIRLKLLEGKENMNDG KSWEWLRYVGRQGGRKAWWVMKCDDDTLPILPNLIPTLLSLNPNEPGYVGSASGRWTG YQYYFQGMMYGFSWGVVKTLAVADVPASTRNSQWDEDAMMGSLMFSLPLSPTANPNSK SCSPPPGPNIIYSLPPPVPDPCTGLMRHDMGSKIGQWRGRLIDDATSALAWHELKSDE AYINAYAQAKEQIERSRREYKWVVPETFESVAEV I203_04561 MGRELISLQAGQAGNQIGAQFWQKLCAEHGITPQGNLEEWAADG SQGDRKDVFFYQADDEHYIPRAILIDLEPRVINNILTSPFKGLYNPENIYVSKDGGGA GNNWAQGYSAGERLYDDLIEMIDREADGSDSLEGFMLLHSIAGGTGSGLGSYLLERLS DRFPKKLIQTYSVFPESSDVVVQPYNSLLAMKRLVNNADSVVVLDNAALTRIAADRLH IQDPSFVQTNQLVSTVMAASTTTLRYPSYMNNDLVGIISSLIPAPRCHFLMTSYTPFT GDEIDHAKSTRKTTTLDVMRRLLQPKNRMVSTISTKSSAYISCLNIISGDVDPTDVHK SLLRIRERQLANFIPWGPASIQVALTRRRGGPAGASNRVSGVMMANHTSINSLFKRMI HQYDMLRKRNAFLEQYKKEEIFANGLDEFDDARRVVAELQEEYVAAESPDYIDYGGE I203_04562 MVNVRLGDIAPNFQTTVSENGQAKEIDFHEWLGGSWAILFSHPD DYTPVCTTELSAVALSYADFKSRGVKLIGLSANNADSHKGWIKDIDALSPNGPNLEFP IIADEDRKVAELYGMLDHLDATNVDKKGIPFTVRTVFIIDPEKKVRLTLAYPASTGRN FPEILRVIDSLQLGDKYKITTPANWKKGDDVIVHPSVQGEKVKELFGDDVKTVYPYLR FTADPSSKHTV I203_04563 MYTTEHRPISREVRFASIVDDRPKTPTPGDYLNFEEDVKSSTEV DLSSLLSQAQKYNDQEKSSLNTDSDSVYNENRYRKKWELDVAVPATAFGGIIRFDDDT VDYRSTITKRRSSPLSLGLGMDGATQPPKTAPLPPSRNTLNFQPFDEPLTPQHGQTSI LQPPPTSTNHGAVYLHPPPTATAAKIDKLSLDPVDNVQKVKNHLEGLSIHLSGLFDQV NQIEELKKEVKYWKDVCLGLEIGKKDLEVVLTQTQNQQVESKFTAVLIDGDNYIVSDE LEALAIIEQPNYALSYMGQFQEKYLQAGYEGGQEAAQVLLRTIKERNSSEKVMVQVFL NKSTLGATLIKNGIIQTWAKYDRFWQGFSSISGLIAGEVSPAIQGKQIAEGYVLVCDL GSGGETAQNKIDEYSRLFIDSPQCDQIVVGTSPKTCPRSSNTYQGYASEKVSVSSLDA PLHPSEHRMIDTPRLFQTTELVPLTAKPDHAHTHLLEHIEEYHWSSFDPSESRFGRPG KIHVPPVPALSSDEFSPKRKFKKTNKYNKAAAEQVRRLDPRPCHTHYLSEWGCRDVDA CSYGHGYHLSNAQLNELARMAKSVICPYMKDGRCRYDDEDCVYG I203_04564 MSYQERVAVDTLLYKEDSGLCIERSTNTGLKDEQGNHVMGEEER HIRWKPFSGHCKFKFPLKPPHALRDQPDDSPQEKRKKFGKRLAYWGALSSGGSSSG I203_04565 MPSPSSTHSRETGSGSTSKGSKWRDPKFLKQIRGGSSNTRYSSQ NDTSHSTLNQSHNRTSPVREESITITRTTSYAKDDKNHSTQRLNGTGTTVPHRPSVSR RVSSTEYAGQGHPMSRQVSNSGSSSPPGQGHGLHPYYSGVPLSRQASISSQQPSANGY GNGNPESRPSISRRNSCSKHPNCKIDDSSGKYTLHVFSAPRGEAREPSIDRHSRTRSR ARDHEDISTPSRRSHDPRGRSSSRVSARYSPPDREYEYGGGREERNSRRRGDSRGPNY QLPNGSYDSPPSHTPPSRPSIDHRQSSSQAPNANANGYDRGYTQRFSIESFNPRTMDL IRFRRDGGGIETNIRERFDVRPKWVYGSTTDILRTSPNHYPIRTPDDNLVWRSKCPHT DGSNRPEECKILHTNLDPSSISITPIADTDHTNYSMCLMSPHHPSIRGAELNYDTSSN TLEAKVRAVENIQQDMANRFIEWSNTPGGRQRLDLENVFMAGCDSWMNRKDRLNRYKL EINGTTKNTITNGNGHVTSATTSISVVNPIIKRFKGLSTGLENLSKGLEQITSNVTTR TTTTNPSNGITILDRLDRVTAGFENEVNKNFEDMKTLAVDNMTELLREKNTTSTQPTQ ISSHPQNTIKGNGSKVRFNLADEKHLKDTLGNSLPSTASVTNTSAQPPGSGSGSGSAA GRAAALATRTDQSSRFFKDHFKTIRQSITDLIQSNQANAKSNGDSGMSALGKDLDKIN HQMVENQITVDSLKESVQKSQNGWIDKIDRELEDIQREEERLKVFRNILLS I203_04566 MIPLSPVLNPTSPSISFSPSPSPRPSISSLSGPPLTPIYEMDKI SSDLIVSTTDEIEDGDGVVIPIDNSTKNVKNARASLPTLTVPPPSFSNYSFGDPSPSA SSSESSIGRTPSPLSSVEDIALPSEGFKFGTCPSTSFVGTPTAEQGPFEYPSSSSSTL SISPPVGSPTLARRGSLALGMTHRRGSIIPPHSNNTSNSSALSPPPTRRSSTCSTITT LPAAGRRPSIVHSATVEVALPQTTAPVDESHQPTTSASSSRRPSVLMFPSKPLPAPIP PSLLARRGSLPVDRLFGIPLSSEQLNNGRTRASYSSGSATISTASLYLRRQSVVSESG FSNGSGATVMNDDGNGYPNGVRRPSMRTRMPSADYTPQTASSVRRGSVSFFPSQPSQL NTDSPVRSSSLSSRSSVSSQRSSISSSRIPINFSPRHPNYAYLSSRQSSTTSLTPSTR SSSSTSASVPCSPIAGYNLRRNRNSTVNSNPGLSSSGEEDEHEEDNQLLPTPNPSQFA NSAAQAGIPTFVDPWSSSSSIDSKLSEMSMEEKSFSSGGSSSSMIPLETPPLETVVER PPLESIDSGATERP I203_04567 MPQPLRPTDSFNSSTATIVPDKRRADSNSSRFYPQGVPSSRTLV STSSLERHINSALRVNAGGDDGMIGLLHTQTQDMGGNGLSGLSFMREFIQLPPEDNPQ TQASSSQTSSIPSLPQQTNFIPNTHTFEPIPSPHSAPSSSTFDPIPLPHANTFAILTS PVEPSPNGPSDYFRPSSVNSHHSHAASSVDASEYYSTTDMDTDDDDLASIHDVPPLAV KSNIGDLGMGNIHLENNNHKADILNWMNYQTHTQDQNGSISPNEIKTQSQVVSPSEMN HPPPARRVSSSASKTGRSAGGNAAGPSKGRRSTTKSTIGMTDEEKIRLDKLEHRRDIN RRSAQKHRLQRKKDMEILTQQLAERDARIHQLQRDLEVEKARNDQLRILMNNRLATSG GQGANSG I203_04568 MTRPKGSKNRPKTPSSTPVTQRDSTPLVSITDAVEPSQTPSMPP STQPISTQSAKKSRLPDFDRDGPPSSQELILRYFEEDPNNIKHFYHGTLGKSTVVMAG VISRYIADNGGAYERTADSIHLKVNTWKRQWNDAKDFLNQTGAGGGARRLADAEEIGE DEYNKALREVEGDVLVKCPLWDRLDPIFHESQGGDPSLYGDSAQDTNPTLNALENVLE SANNTQNHRLNTPTASVPATSTPQGGSTRVRSGSRAVLKATSWNNFGDDSQSDISSIS SGRRQQGAIERMLEDEEEFRELKKTRLEKDDQYQKELNEATKAEKIFNMADTTKRNNP ELSWDECIERAESYMDRRVGRNRREG I203_04569 MYNPIPSSDIGRWRNAPQDPKNSYSPYSSRPLAKNLGSGLTIPA PCTASSSHPRLLPTLPLSPQNDNTAYERSSHPTILVAPTPSPPAREDRLPLDENDSIV KCPLKRRVRASSKDTGPSKVPRIVTPSRDPCKLTSSSLGTKEESSLNLDHHPSAQLPR SSGVESTSRNADKPVRETTSHRTRLSIQLANIEYELSHIMDTVFPNTDETFTSTCYSC KSLLLKCTGRDCAGCKSRQELCIPCPRQLDQCTLSEQSQINEYIRLYGININLNRAPS KGDEAGSYYSRKGRYGLNVLAACNHRKLFTFIHWGYSARSSDMRLQRSMRPQVEPRSY FGPNEYLLADSGFKVTPTIIPMFKKRAREAEPSPRKMFFNNHVKGARVTIEHCFGILK GRWQFLRNASMSMRTSLDEARTHGMIQAAFILHNLTVKTWQQHMSEEELLEECRNNKL VRKWCIEEEGRYQDVTEDGQRREILVDEILELQDDIDHELLLM I203_04570 MRNTSKSLSSPFNLSLVVEGLNLLWPINEVPGKLPFTEDEIIKV MDFSPDMPALEVVPASIKKYSALIENVKWKPKESFQGRVCEERAKRCEELALMANVTI PRHLILYNLPFISTAHPHYAWCGKPGKYPVNPFFQFPSSIPVSPSTEQVEKLIEDYLE QGWGFESDQISYSLRAGNVNNVGIRHVVYYLVGQKYPWMMYTFEFVQKMLDRSKWRYA ALSTKKIDVMKLQAEKILASKFAERESRDEEEEHQYRLSIARDCLKTWCKLEAVDEKT FFDYRLFMLMMSDRDSSFQNIQHPHLYPFLYSFAIRVGDISADEMYTPVPYTTREWIW RWIHFIIDPTLDSSLAVDKLIKEDVNLFQSRDLEVPGNILSLGKIIEGSKGQQIEGRL YWYFINILKDLTKCFP I203_04571 MSTQMIYTEQEKQLAVYRRLVVHETFDQFVTWTQTQLGNLPNLT SEATVKSWIEDYQRSHKRDRYKLFWKDVDNKFAELTRDMERRYPTLD I203_04572 MNENDILVPASSDDEQPENPEKNTTTGQTSSTTSGDHVGEADTS SKSKKKGPGKGKQAKDPGKRRRKFDDKAGRHELMQEAAESTAVKGQEDDKVGKEKGKG KEVDKKEEKGKKAEKEEEEWELDIPWIHPDNRSRATGMSGALDDQTWYEVRRSQVFGT NVERGGCMRCRMRGLACDMASPCGNCVHTLHPEGCFPDVTECLPEDDEVRSASRRYAL AFAGYQKHVEKKRAEPAKPNTISDFFKPKGR I203_04573 MPTIARNAISLIEAELDLGEYDEYDDIEPSLAPDESELDHDDDD VSHLQSVAEGGMHPRFDDATTGFFGPSNLETPIT I203_04574 MIGEGPQVHPVIDRVKELEIMDRTVLEDELRAWLKRTYPDTCER AKSVAAATIGRLRELIDEGSGCLSLEDGFLRKVIPGTTAFADALLEEYDTSIEGALKG DQMLLAIGLDKNPQLCDCFHDMSRSTRQAPIIDAPGVRSASKTLELLNAQGKKLHMRV LLGWPRGKCPKLATLAWETYMICALQTYKGDNKMQMHSIGGLLGPGIAIKHFRPAYLR YVKAARAAAATHDLPPIRCFKIMNPYPDELDISLKFYTELFEDLIQDSGIDPMRFIQL VVGTYQGDRNRMLTREMQKEGYVPPRLAHPQARALIFGKKYEWEPGMKGMDRRALALT KEFGVAKPARTLRLATLPPLPPDHLEAMIAKYRKKAELLKMIYPPPEMEDAGKMTEK I203_04575 MGLASKLAAAQAGGNANPYGGGAPAQQQSYGAPQQQPQQGQYGQ QPQQGQYGQQPQQQQYGAPQGPPPTPGQRPTSGQYAPPSGPPPGQSQYGQQGQGQGQY GQNQGQYGQNQYAPPSGPPPGQYGQQQGQYGQQPQYGQQQGQYGQQQQGLPSQQYGNQ PPQYRPPQGAPAPGGASVGGGPNAQAILQALQHCVQEQKIQAFYPPGTGQLEAIAQRV AQSGALPKIAQEWRMPLELASDLVKLSLFDVILYVDDSGSMAFEQGGERIDDLKLILS RVAYATSLFDDDGNGIKTEQDALNLVNQVKFSVGLTPMGTSLDQKILQPLLLGPARAN ALQKPILIIAITDGTPAGEATDKVIQVIVNASRELQRTRYGPDAVSYQFAQVGDDMKA MKFLESLDNHNTVGGMIDVTSNFEAEQAEMMRKNNIDLSPEAWLIKLLL I203_04576 MNQTSPPLPNLHTLSISPPPGSYSATNNNYRYNGVFGPPSQGST VSPDWATSPKRSSRSGIPQGWYDSNAPPLRESPNLNAYEAFRRDAPSPPALSPPSSIT SVPTTASSHPYQTYQQPNYPPVDNFAPLAVTPSPPPVMSYGLNTAASAPNLAGYNAGG LPQYGSQSLASFGNNHNRSQANGWRNGYGMPSIPSSDEDVIPTAIVIKNIPFAVTRET LLGVMESLGAPLPYAFNYHHDNGVFRGLAFANFRAPDEAASVVAALNGYDVQGRKLRV EYKKVLQPGEKDKIEREKALKRMRSIQFDNTNINKAELSIPIPPQPISRPSNGYENNS PPQSATSATSDSLPLTLDMNDPAVLDIYSRVLVFKEDRMRDELAFSKNLTPTERRIVH LVAQKLGLSSSTRGEGDLKSVVILREPPQPQHRPTLTTSSSATMSSSSYLSPYSTTPT NELSPNLRIKKSMPDLRGFNGPVVARDPARSLNPQRSSGNLRADAGGRDYVSMGAAQG RRTIGQGQASGSFNGLFGDIIPPVPPLPSGLGLHSKGHSISSFGNSNGASDPMGEMLS SQPLRNPRGPAGESRGFGGVGGFRPTSNASNRSNIMMGRRVSEEDEEVDNSSLGGGSG SVGSNSNSAVGSERQAAANANAAQTNQNQGYMEGMRTRESLEL I203_04577 MSSSGSFTSTISSHPAGSIPVRGVGPFDESQKVTLLFYACATDG SRIELGRSGVISLKSQDEAESATQQQIGSFVYPSLNLCHRETWDPSVEVSKYSQQSAR LFVDETASKYRIYHEVDASALCEASAAHTLSFFKPGDKVTDALYLRNEDALNSLSDEG KWLKAQYNSFRAKDDFHLEFTLNRGQFLEAPPPYPSEEAKDVPPEFDRARYRRNLEAT WGDSTVGDFSKVQQPEDWTVLIPIIRHRSAHNATLPELLDDKLSDVDGQKD I203_04578 MSTSQTVNVTYDDFDPLVVYADLGQWSTPNPQDNPTWYKAPESE TGLPWHQATLHWTTEKGAELSLNFTTTQIWLYGALNVSSPSFTITLDGQTTTQTPASV SSGRALLYSATDLSPSAHSLTLTNQGDGLGLDSVVLGYDLGSDLKNQTIDDALDQIHY DGEWTRQGGDFFNGTNIYTKGPGNSFNFSFAGSALFIYGDSVQDHGDFSIYFNDSQTP YGTWNARTPCGGTADYGKKCEKLGSLKAFIGNLPEGTHQVKLVNDGPEGDNATFFDFD YVEYTTPSTYPSFTINATCANGVCGDSASSTNSTTSPSGSSSSASGGSSSASSNSPFA SSTGGATSAALRDSGIGSMVLFGVLGMWALRRFGLNTL I203_04579 MPPRHKSRYDSEDDSDRRSRSRWARTPRRSRDTSSSRYGVEDRS MYPPDPGPLNEPRSYSQYSRSSGESQRDIVVEVDNDVQSGQRVYHQTGTQPIQHRTGN NVASTRAYTQPELGELAYRSRPVPSVDVPTSRLQRYPVNSTHYERRAQTLSLVELTGH QPGPPRNLPQYSYPAEFRSPSLSSRPLDTDAQQSSLNPLFSSSIHSYPSSVHNSRDIG LVMFQRDMGTAADPRDQIVIFSRRRFHYPDEDALLFTSPVHFPIVDDRGNVEHYKVCE HVTDNGIPSQCKVFHTPVHTSTVSIRGIHPEDTHFYSAAIIPRDHRMFRDHPSTSRMI TVDHSVTFEEVANQYNSQQVTFARRYKDWINSDSGKLRLSQERYITDIKDNIRLRENV LKERKRELENNISSKDVIKTLQDGLKQYDIDGHQATSRFSPATANSKLCRFAEDALRQ LEDMVQPLSWLREDEERLKKEKGDLRGYYRSLDWMN I203_04580 MPNPRTFFDFSVGDKPLGRVVFELFSDVVPKTVENFRSLCTGEK GISPSSNVPLHYKGCPVHRVIDGFMIQGGDFTKKNGSGGESIYGGPFEDERLEGEGTE VDKKGLLVMANRGPNTNGSQFFITLAPSPHLTGKHVVFGKVIFGMEHIETIGKVSTDD RDRPLSPVVISHCGELELKRAPPKPKARSPSVSVSSSVSRSASPDDRKRSRKSKSKSR RDAPSSDEGDSDDSRDRHRSRRKEDRKSKSRKDKSSGRDKDRKPREETEEELDARLER EEKERLEKERLERLESMKKQLEEERQRVKDEGGVVYKGRGAMRYLDPETTHRSMPRNF DSRAPDIRPRRGPPPHLADRIERSRDGNRDRDRERNGRGGELNQRDRLDRDMDRWQHD RSQAQAVTERERAKFTRGRSRSRSRSPVKNRSISPKLRSESPLRGGTRTRSRSRSMGA RSDGSDMVLDLDD I203_04581 MSVDLSDPQIGLTRSKIQDPSDPTTWFLLHYVTSPTSPTSIPSL SQSITVLSSGPEPLLPSWQEHLTDTNEDVLFGYGEIAGKGLVLLFLRDSVGGVKRARA VVHSRSIASLFPDYTALITIAHPSQLTEELITERLALNQPSSLPSTVPKPKYTVPGSD HPNPLSPLAPGGPMPFLPSGGIAGSSRSVSASATPYNENNHIHHPSPKKYGDLTSPVR QAHNNSPSNRRVISLNDPPATSSSPGPPLNAPISLGSPVKVDVASTQRGISSADYFGK EDGTGAGSNPGSPRSRKTSFGVRLKNTFSSNSNNHRSTSSNYEETPSSPPPSHHHQQQ NGNGVSSTAKDSPKESRFKNSSLVKAFHRRRSSTQSHTPPDSPNMNPNSNADDQTIEY APPVPPKDKPLTPPTTTVEPPNPLQSEIPTPTSGVTLGHGQTLPLPAENHSDNSKKLL SPSPSAKQILYDARQKSLEAENEIQQRFRRDQEDRLNGNGNGLVRDKEDDEESVRLAY DQSEDEDDRPQSVSQTLEGEIDKQNIPTKVGQAEKISQAAEEHAQRQALIRAEEEKAR LLTLEAEQAAAEEKERLEKERVEVERKAEEDRRIEEERIRLERIRLEEEARKEEEERV RLEAEAEAERVRVVAEEERLRAEAEERARIEAEERARVEAEQAAERARLEAEEKARLE AEELARKQAEEAEIARIKQLEEEELARKRAEERAKLEKEEVERRKLEEEQEKKRGIQE GLEKGKREGGVMLRGWVTVQTYKSMTWRRRYFHLLPKEMQLYKAEGDAKPIQTIYIGP SSSVSEKYEESQVKDSFKVISNGSKGEEEFFLFTDSGEDKEIVLEGLRLCMI I203_04582 MTPSRPFKPEDTYESIYSETEVDEEINNLLSSSSYPSSSGPFKP FTKRSSPNVLIIKFKELLSLPYELFFAITGLMGIANYVRHIVQIIPSKPATISLREIG GAKPEVRVDRWIKDNVESLKGVFKPAWWAPNGHLQTFFTVLGDFTKVDKVHYVRTYLR LPDGGTIGIDTTPVNHQPLSADTPTIVVCHGLTGGSHESYVRNVLSWVARPKDQGGMG ARAAVVNFRGCAGVPVTSPQMYSAGTTMDLAIALHHLRHKYPSSPLFSIGFSLGASVL SRYLGEAGESSLLSAGIILGCPWDLTLMSHKLDHDWFHSRVYSSALGQNVLKLFFKAY DANPPIFDADDSPVKEFMDELKVQKNNMGSHTRLRKVDDLMVCKIGGPRNIGVWPFKD AEEYYQWASPKRLISRVRVPLLAINAFDDPVVDCTALPLEELKSSTHVVTAITGSGGH LGWFDGPFPLWSPTKSKHRWVLKPVSEFLTATARDLDVVGGNIDVVQEEGWEWVEDDQ GHAIPGLHRRGWKVLKEGEEILGEGDEGETGVIQGL I203_04583 MSPTGSPFKFPPVVDREGDHQMIDPTSLRLPSSTPASSTTSCGT PEFSHDSNITPQPTTNIDHSAGASAFWTNADTNNSAQPSTNLPMTPLWSFDHLNNPSS TGTTTPSIENSSNSEGMDSTHTTPASASTKFQYPFSTTTSGMRSANPNMLFRNNDLVQ TPSTANTQWSGATNPASAMDHAFMPPPPPNTSASHGMHHTSHMSLTGLSPMLAGFTTS SPTFDQNLQSQISAHGAGAGSAAPSPINPQLNRPGGPIRTFSASAAIPQTNRKRSNTL MTLASSSPSSSSSATPNSYPYPSPRFPNSLMNNHPHPHVHPSSLSQPTRLSRQPSVPI MTGEPTKRVFHPSPATAISPAIGVDLTHLPMDNDGFGAHRMSMGMNMGMPMGYNSMPI GMGMGMGMGMGMRPTPPMEAKPPRFKPTKEQLEILIKSYEENKNPDGPAREALAKRLG PDVRPKTLQIWFQNRRSKSRAKERDANMSKSLHTRGGNSSHGHRSSASTSTSKGGSNK GVDIDALRGLIHDDDPNLVILPITVLSIANWTRFLMPGTGISHPDLAASMRFTPSAQP SLYLYVVHQTDTFRIEIPISTTSISNLQSVQNPSLNTEAVAISFELSMNTAKYAAWNG DDSPTPQGVWKQVGDFTGEETNGGGRCELTGDKEVLLSAFSRVQEHLSSQIFPNNMGG TNPTRSSSGSSWRFPSMSASTSFSSTAGGGVQTPPLELPILGHLQSTAHYQHQRQRSF SQPDMKFSSSGASSDSSSLSEFEYTSKAMDFAQNQNQPQITSGQVPLPLSSATSTSTN PSTATTTFDHVDTFSNPNQPFSSTWISPEINLSMNTGGHGGGSSSNWGFTSSADTNSL SSTTLNPSTLSMGPVTMSTAGEGNFNQFATLNSGINNPLSHLQKNQYMGENQSEGSSE MDLGTPPFEFEDKSRATTVNLDDLGGGGKKGKDRFLGGLESGKL I203_04584 MSATNPKVESEKNLSSVEVTAVPQFSDNAHHVALEDVDEAAAFV AGFKGEITKEMSDRVRRKCDRHMLPLMMVLYFVQFTDKTTLGSSAILGIKTDNHLTQG QYNWLGTIFYLAYLVFEWPQSVALQKFPPGKWMACNILVWAVVLCCHAACSSFAGLFV CRMFLGICEGSITAGFLILTSMFYTHAEGTQRVGYWFLMNGTAQIFNGFVSFGVYHIN PEIIHPWKIYMIITGGITLVVGICFWFFIPDNPMKARFLTHEEKIIAIERLRGESTGI ENKTWKKDQFIEALLDWKSWAFAIYAGSNNVANSLTNMTQLIINSFGFTVGQTALLGC VSGVIEILTIYSSVLVVKKFPNARAYVGACYSIPNIVSGALLIGLPWSAKAGLLVAMY LGGVGTPGFVLSLSWCAASTAGHTKKTTTNAMLLIGYCLGNLLSPQMWEAKYAPRYYI PWGIILGTYVINPIILLGIRYFLNKENKRRDKLFETGQAENEKFVDEQGREIDSTFLD ITDVSINDPTYTLLCSTCD I203_04585 MPIAINNTPPPHPHLPIPLGDLSVWQKSTRDHPLLNAGKDEPLP ESADIVIIGSGLCGAVTAHSLLTDANRPASVVVLEAREICSGASGRNAGHCRPDESRG FTAFSALHGKEEAKHILKSERETFERVDEFIQKTGIDCEWTPRDTYDVSLSEDFRDYA SKALNDLLECGGKPEVEELGQDQAVKETRVKIAQGAVKWWAGTVNPAKLTLGIHSLNQ SLGGYSLFSHAPAHAVTQADDGESWNAETPRGKVKTKKVVYATNAYSDALLGELGGLI VPTRAQAIKLSPAPVGSEQFPRIEGSYSLRYLPQHFYSVCCRPDNSITLGTSRKWHGM SAATEASIHNTVDDSSYSTEVTQNAVEQFVKLFPEGGWTSDGIEEGKAKGYEYSWTGI IGMTPDSVPFIGSVPGRPGQYVGAGYNGHGMARIFICGPALAKHILTGEWDPIMPASF RITEERLIRLRKGLDKGKLSKDGGLPSQLDV I203_04586 MVFSSMLGFRAKGHPKDEAEVAAVVDPSAHIDPQTGAVIEKKTK KERLFVARLDAVLLVYCCISQTIKYLDQQNISAAYVSGMKEELSLYGNEYNYFTTWFN VGYAIFLIPSQIVITHVRPSLWLPTLEAFWGVLTVSMYKVHNYKQVYVLRAFIGALEA TCYPGAIMLLMSWYTPVELAFRIGFYHSCQTGKPRVLKLAGALQAAIYTNLDGINGIS GWRWMMIIDGILTLVISLAGFFLIPDFPSKPNPMSFWLRPKHIAIAVERTKKFKRADN KKFTLNSVKKALTGPLFYIFVILYFSSVISQQSYTYFNLWLKSLKNADGTARWTVAQV NLLPLIGGAITIVTIWFWGFVSDYYQTRWIPVVVQACIGIIPGIIMSIWHVSDAAKYF SYFIVYLTLATAPPIWAWLSDLNPFDAEQRAFTLGFAIAFYYACGAWSGPLIWPAKTA PHYKYGWQVTIALWILVIIMACSLRYIEVKHIRPKNRRIAQEKEEAARAAEREAELQA EQDADKKDVQVTRITSIRSRDSV I203_04587 MSALHNNAALSAGALPPPPVALNGIPFHNQKSRQAEMSPLKELV RMDLELQGWTIDEKLKWSIASIDPTKYLRGYVPTVGTGLKDTCNAVIIGLDKPFKIAY SVINSRTYGDLDLQITSRVYVVGSNVQKPNLQIRPAGTRYVFRSYKEYTPVEAVLHMS FDASSPIFQRYLVHPEGKSLNSRYTTIPKCLELQLTTLPGHSRTTPLFGMRAPGAPCS WVLIETTNLAAMDLQFEAVDCPSLPKDALPPFPSFRDHPVGEGPEEAMTYITSTLVYL PSQSRSISGFANTQHFNALQAGPRRVMGPFLCR I203_04588 MNSLNTTSAPPPLGEQPITPMKPFVASPSPKTLTSRIISSLRYT FNFCPYRDKVFSSCQRFDHQELHAHQSEYGAVATTPAHNFPFSNSKPALNHVLGPSLR STAA I203_04589 MDPSAAIQPIDAKYFMEFRADEDVWQRYTRIPDHISETHWDTYQ PDGYNPFRVPTLPGHTDECTRIDIRSTGTTYAWAMWCLTLKPLNLQVEAMDLPLGKRI PRQRMVHLDLPAAIKGAGQPVNCPEYAKGSRIRNASDIVESWAMIPPSALPALPGPLI PSSYDNHLTEDAHIRRIYKETRGSWLSWIGNCSNPDSRYVSALEQMSGVTHHKGVSHI DPLLQVYRDKLGVWWIALPVKVHPGWKDQAIPAFNKTRHDLHVPADLSSALPY I203_04590 MPSKKIKFINKPKDTRSPYPGQDNIDPRVIQTSSPTPQTNQRPK RARVQSIRYNEAEYFTSLDIEDPIPSKRPRNSSPSSSSISSGYESEYKPPSSSCPDPA SDVGLSAPTSPSLPMELDSPPPSSPPRRFDRIGKTISGRAPTSTSQRGKEETVTPSLR PDIELIDWIFLAEDSSSESEGWEDSEADEVEEFFGVTTNFPSTPRKMVKTRTGRKAHP SPHKDISPIKLFKPQATYTRCFSEETTESLIRLHGSSFGALDVIEVYRRVIRAWETYL DYRLEDGSEAEALRLLGPLTPSRYWGYSKSFMSLERGGGGRR I203_04591 MQTPGFVQFWTDPNEFFRKHQIGFEKRRAMIRETMEHVFGEMDV FHPDFYKRGNADLNSTSAAECAHRKIVAWLRSSSRSKPQLVMEVLRRSIPQKLPQTIE ESYFNGSPETDEAIANVLFDPDSQDLEEYTQECAAVLHRKKIEKAKEAHAPSDRIPFS TVTFNPPIPVPSHATTKEELPKAIKQRIMEGIRNCRTLPMNEIIRQVDEFAELYLVNK SLVQLKIIDQIPQDSLTLDCVQVVSKSSSRLNSVIYLKYVYLSIRDIRKIAASQNLAS TLYGRRCLNYLESLGAADDQSKVYLTHHVGRCCTGENKRRHGEFGSVNNYDEVIGARP WIEALPEYGITAPEVEYLPLAEHSNLEGEEWPKRMVSTTSTFMTIMSHSEF I203_04592 MTSTSGGGTGIPGGLASPSGSSQSHASKRLTNRMTNRYSVNAMY SLAAEQDVELEDELARAQKRLRDLKSRISSQSKKNFVLERDVRYLDSRIALLIQNRMA ADEKREVAETLEEIDEESGLWPDERKMNQYANLFFLLQSEPRHVASLCRLVSLAEIDT LLQTVMFTLYGNQYEQREEHLLLTMFQSVLSAQFETTTEFGSLLRANTPVSRMMTTYT RRGPGQSYLKSVLADRINSLIEHKDLNLEINPLKVYEQMIQQIEEDTGTLPPSLPRGV PPEVAAANADVQAIIVPRLTMLMEIANTFLATIIESMDTVPYGIRWICKQIRSLTRRK YPDASDASICSLIGGFFFLRFINPAIVTPQAYMLVDGVPAKHPRRTLTLIAKMLQNLA NKPSYAKEQYMMSLNPFVENNKVRMNQFLNALCEVGDFYESLELDQYMALSKKDLQIN ITLNELYNTHSLLMQHMEVLSPNEKHHLRILLDDLRAAPGQVPRKENRSIELPLYSRW ETPIQDLSTALMSDSVTQNDINYMEAKSIFVQLLRSMPQLADKRPIDLPGLAERAASS KDPVLVRRGIKVQALLSELESAGVVDVSDNYKIIQDEVAAEMVHLGNTKEKVVLETRS LEAVYKTICDHNNYLRSQLEQYKAYLQNVRLTSSKEKGASSGGVGVVTVNGKEKKQIK NQVLGPYRFTHTQFEKEGIIMESNVPENRRVNIYFNITSPTPGTFIIALHFKGREKPI LEMDLKIDDLLEKQKDQQAMLDLEYVQLNVPKVLALFNKLFSKRR I203_04593 MAGRQGGKAKPLKAPKKVAKELDDDDIAFKERQKKEAAEMKAAA AKAGQKGPMGGAGIKK I203_04594 MIDKETTPTANTKPDLAAKYRGACVEDLQLPPAFCLSEDAPISH ALEAAYEREFDQLPILNDRRRPIGYLYVPSLKQRFEAGTIKEDDPVYKCITHFPLSSR SHPYTVIHPLTPLEELERFFHSSGTDFALVTDVERKWVLAVATKDDLEVGQSAKLRII ERSMRS I203_04595 MTVTVPTTIPSLPKSPLSNLSARRRQALIVVLISALLARSVPLN ATSTLRGWKEDRAARKAERKRRETETPLNTPALEKKLVDLYIPDPSGSRTLLVPHLGR ISKVRITPTSSELYASHISSFPPLDPGEKLGVNKQFWKMLIAVLRVAFPSKTGKEAFL LVLHTFFLLSRTVLSVMVARLDGRIVRDLVSANGAGFVRGLGWWFALAIPSTYTNSMI RYLERKLALAFRTNLTRYIHDLYLNDNLNYYKFGLGLAAAGTADQFITTDVARFCDSL AALYGNIGKPALDLLIFTSQLAASLGPMGTIGLFANYGFTAWVLKKATPAFGRMAATE ARLEGEYRAGLGRIGRDGEEVAFYNGGKREKGILLAAYEKLTKHVHTVFKARIPYGMT EDFVIKYFWSACGYCLMSLPILFPAATKALKASPLHARQELHHEVAERTESYVSNRRL LLSLADAGGRLMYSGKDLAELSGYTSRVYALLSSLHSLNNNIYPENPRPASLEEDQPF YDLSNVHGRVIIGPKHLLIRGVPIVAPAGGAAGAERGGEELIHSLDMRVEQGEHTLIT GPNGVGKTSIARIVAQLWPTWSGLLERPIHGEGGIFFLPQRPYLSIGSLRDHPHTYAE MKSRGRTDAELMSILKHVHLEYLPSREGGWETRKEWKDVLSGGEKQRMGMARLFYHRP TYAILDECTSAVSSDVEGLMYEHAKSLGITLVTISHRPSLLKYHNRHLRLGDPTSHHT SSTPLTRTPVASTTNLTGLTAPQTPSTPLAAHGWQLTTLNSTSAEEKKELDHEIEELE RQLGEVGGWERRLEVIGRELRGEKVHG I203_04596 MPSILSRFKRSPSTSSTTSEGELIADKLSGSEDDQHPTSSRNRS ISNSGSPGAGASGSLFVENFDNTSTSGGSPIKRHITPSPRNKPSPLAVPPSSNSKNRQ PLGTPKLVLTEEGSNSPRSFSSSPVVTSPTSIHSRNRPNPGLGLSDTFSQDISEDDLE TPTAYDSSFTGFSKMSVPSIAPPVDSSPRARSESIISSNGGGGRSRTGSILSKNKDMI SPPLSPNALQPVDSRQTSASKKSITSKKKKRTKSITSNSGIAAALAKGGLHLAHPGSG TALTPEESGTKKSKRGSLLHKRSPFLTTKRDDGDMDDDASNGNTDDYDGEEGGFDVDE FDDDDDSDSDLDDDLPVTGFAVASNRRNADFHTLFPSIDEGDYLIEDYGCALSKDILL QGRLYVSENHLCFHANILGWVTDVVVAFADIRTIEKKMTALVIPNAIQVSTGNAKYTF ASLIARDSTYDVMMNIWRLCNPNAVMSSVSLANTNNLGSRPGSVVGDEDGPATGGSGA GGGTTSKGHAPTQCACGKEGKHYNETALETTFPSTPEKIYELMFNSAWFKNFLSDNQK LRDIECSEWRPISPSNSLLTRSASYIKPLNGSIGPKQTKCHITDEHEHLDFDDYISLI TTTKTPDVPSGGVFSVKTRTCLMWAGRNSTKVVVTTTVEWTGKSWVKGIIEKSAIEGQ KTYHDDLETGMRQYIKENSSEFAVEGGAGDEEIEETNAEGQESKENQKETEASAYANE NKRKRKEEDMGMLQSGFDSLISGIKSIFSGIKGIGESLEDLLSDTPFKVQNLMGMLIV LLVISNIWTYLSIDRSAIKERRYKKLGGSGSGSGAGDKDRDIEDVVRRVLEGRREIGS PKEEVKELIRVLDDVEIRVNKLRENVENVKLDDVD I203_04597 MAGIYNGHLLEFPTIRVDCFLPSSPSTLLPSLPSCSTHPYPLKP APNAQLFLLTHVHSDHLMGLSDSFTGKIICSPDTKRMLLALEAEVDRGLLEEGKREVR RLKYPGLRRRVEGKGKDEKMVDRIEAVPYGFPKEYKIGYEDGKPQTVTITLLDANHCP GSTMFLITSPTKAVLHTGDIRADKLFIQTLGREPAIQPFLAPLSTHAGSRRGVRGGRR ILDRIYLDTGAILGTGDMPDREPILQDLVEQMSLFPENTIFFLDTWCFGWEYVVKEVA RYLNEPVHVDRYKRSIYTAIETDPYLLMCTTTDAHSTRFHACERTHKCMACRRFESGN RKPVYNLDKRIVSVNMVEVKEASWAMEHQDFLDRLGKAALGEGPWPYNINVPLARHSP LPELQNLVKLFEPKSVSPNTVTAGLKGMDYYLLPEFLSDCVDEGGSEAIIRERNLYFE QKYGKGFLEGLERMKVIGLGGSSGDQRAILSTSLIPPTKFANNHEGKSSSSRSSMSKE QIFRMSGLPPMRPDELLHMVGIGISGGMPQMGNTAARHMEEYETEEESPRKRKRVDYM KEESDDFGPEPSPPIVIKREESPVNIVKTSSKMSSAASLIAYNPSFSGQKPSNRNTSN TYKHKKSSRFSLGQDDKERIRKMMERDRLAFEGVGDDAEER I203_04598 MPVPKGIASRNRVLALAGLLFSLLFLLHFLFPGSNKLLAHTQRW TTASSSVIRSKFLRAKAQAPRPPIHHPIPKLMADAEKEYDAKIRRQSKSLHEAVEEYK RRYGMNPPKGFDEWYEFAKSHNSIIIDEYDQLIRDLKPFWLFSGEELRRRCIQVGFLP SVDLVRIEKGQTRTIDVSKGFDDSEVGARAKGFRVMLEKFQAKLPDMDFPINEKAEGR ILVPWEENLFSNLTADSSLGIEHVLGGEFIPDWRGDGNVWEAYRRTCEPSSQARRLFG SLRSHLKEGQAPISRLANAGITSDAVSEDFYFPAGVDDKYDFCAHPWAHYNQGHFFSD WRTIHALYPMFSPAKGVGYSDILIPSHYYFSSTKRYTYGWDPVNMVIKDVDDMETAWE EKSDDIFWRGATTGGGSSPPGFLAQYQRHRFIKMTSDSSDVNKTVVFADPPGTNNFVS ASVPIGQLNQDMMDVAFTKAVGCTQYPGGCDGMRKDHRFADAVPLGENWRHKYLIDID GMGYSARLFALLKSESAVLKSTVYTEFMSEWLQPWLHYIPISQMYQEIYNVHAYFSGP SEAMLEASNATRGTFQAPGLTTRKLDTDAELRKIAKAGRDWMFTVGRKIDMEIYVYRL CLEWGRLTADDREAMTYKG I203_04600 MITLTIVRHGESTGTKYIPQTDNLKAVWAGWADAPLSVHGMNQA KALGESFKTTKIHHIFASDLLRANWTAQQIHRHQPEPKCQMITSELLREQHFGDAERK PFGEKGGWQRKSGRSFKFENGESLNDVRDRANEAIKRFIEPILSECHGQPPLSRHVVV VAHGIFNAEFLGALLARRRDHKPLEWGYKGMTNTGWYRAELGYIDESSRKPTPANITT NPSGPVSPSSSPASPPPLEEDDERLPGLTMRILCTDVTKHLEGVHRQKGGIGSTGYDQ KQGDIRKFFGGGGA I203_04601 MVLAPRSRSILRLPRRAIFGVPAVAYPKVNISCPCGCSQFKPSI SSSHLQNRSYTSTTVATGHRNVPEVILSIRQRRRYTTEAAKDQTPDQWCTEIEERQGK LIESAKARVELYKKTIEQEMEVTDVQGQIARAKIQRELGPLAQLWDKYVTVRKSIIDL QPELDDPDPTLRDLFLTEHTDLCTELDTLLTDQLPKLLLPTPSTALLPCMISLNAGVG GLESALCTEDLARMYVRFAEKKGWKIEELSKVEGSGGKGGGGIRELTLKFDHSPYASQ DEEEIFGLMQWEKGVHRIQRIPVNETMGRIHTSTVAVVVLPIYPDTEESPLVDPKDVK IDVMRARGAGGQHVNRTESAVRLTHIPTGITVSMQDSRSQHQNRAWAWDILRARLSEK KHNEEVEARRASRRDQVKGADRSDKIRTYNFNQDRLTDHRFGFTITGLQNVLDGDGLE DVITMMKRDLQERRLETLLQGEEDIDY I203_04602 MLKSTLLFSALLSLSVQALPADNATAYFSGLESSLINNGLSGLW NAIVVANHTDIGPDLINKLYSDDQFTIYAPNNAAWDSSGLSQPPANGDLVSLLSYHIV QATLNSSTDIAPIRHHTIAFTELRSPTVDLPGDQTQVIVLETAVNATTGQDVNDGTVL VRGGNWNATSAGEQFVYSNLHIQPIDKILAVPSPLLKILSQSGLAIAANLGATSAISA ISDADLNDTLSSECHGCTFFIPVNAAIDGATNFTGLGNDEKRNVLLNHVLNGSTVYSP DLNPGNAYITSAGMPLIYLADDQGKKFVSVGQYRASILRSDIPVSNGVVHLIDTLMIE SQNNHERADSAASSAASEAESRTTTTNVIGVGNTQAPSTTSATQTSSAATASSTQAES FALRSMRGPGDIESALKVSIIALVLGRLWI I203_04603 MAPKSRSKQTTLFSHFDRNPSQAGPSRTRTTRNAKPLFDRASDS EGSEGLDHIKLNKDKKPKSEDLPMTQKRKLKKTVQDSESDFDDQDEDEEDFEVAETST PKRKGRGGGKRKHRDEASDTDPDEEFISYPLQVYMHPTYRGTHSQKVQRRNDRLEAVE IARKKKREENLTDDEIEVFPSPPRRGTGKTRERSTINYDESRKGKGKARDIEEEDEDD DDVIPISPPLKRGRGRPPKSATTAKPPSASVRPVGIPKLDLKRTRSEVIVEIPRMSQE ERRSYTPLSISQSSQSKTGSNGKGQGNGKAGEVPESSSSRERGQSRSNMPIVEIRSRR ASPDHGSVSNDSLPPILEVELSDKDLDILTDNGKEDGSKRGSTRSKSPIKRSSPIKRP SPSKPSSASRNMPPVASRRPSPSRSPSSDDPVAVVLPSRKLDKGKGKQKAVSSSDIGS EDEIIKKKKSKKPASLSLKTSNKHNKASTAVKKGRAKHKIVDPDDDDTGAATEEEENM VDDLQMDEPERFKSATRLRERPKETAAQRNIRKLKNRRLGIVESTTEDDSDSDESLPT PTGARNNGRYNEDDFIVVDDSMTVQVQLPHEFSVDSAQTPEFKFKVVFHYLVMLIMRG KKAFPLSSESSNYFVPQLAHFRDRMTGYRQLRVRSQIWRSNFVKALEKYPIFDVEELL YAEPGCYACHMGGRMSRSRVTLEGEPYNKETHQPLDSSSESESEESEDSDVSETTKRN RKTLPNSLLMGRFCKQRAEVFHQMTHWEDELYHRIRGYYRDLLRAKYKPVASDSEAST PESEQSSDEDQGEVKRRREDRKQRKIRTEARCEKLRKKGLPEKYKDVDAVTEWMDKMG YQNKDFRWIERLIETSGQLEHDKRKDE I203_04604 MANQTRPFPRDGRLISLILASKGIEDTDERVIHQLLDFAHRYTA DVLQSAQSLSDHASRSGPSSNKIQKEDVELAIQLRKRYEFFEAPPRDYLATLAHELNS QPLPILPESFDLIKLPPAHQRLGEVNFDIVPEPDLNLTENEEEEEDEDASGSEEEDQD QDQDQDQRSDGGDKPNGVAEEDGDEDEDMEEVGLTDQTQQQQQQQREVDEDYDI I203_04605 MGPKVSPSPSPSSSPKKTEADDTTPVKSKSTPGVKGKGSSKVKA AAAMFETKSVSPTFRSIPVNTSISPVKKLTPSPKRSPSTSPSPSPSPISKNLLKSPES KLNSPFVNRSASPSSLSVNTPSPSSANIEKFQEVSLSNDTPQAESQSDEIATTPTSLT RQRSNPPVPVNIADTLPEPVTTPPAEEPPSTHNNNNKTSFFGSSLNFGFPSSSTSSTE PEAGPSSSQQPSRSGSTSSQEGTFGGWKSTMTSFLTSTRSNSSNNPTHDHAHTVEEDP KTPVRPTILERNRSEGNSSAFLLHRVDSSTASRDRRISAQLGGGQAIREGFERVKNEM ESAAREMRRERESKALTESEHEDGTEEEEEEEMVDWNFWGSVVQDFENVARERPKDLS KAIQMGIPPVIRGAIWQLMSSSKSTPLEETYKALLKIPSTHEKAIKKDLNRTFPNHKY FQDNAGIGQEGLFMVVKAYSLYDPEVGYTQGLAFIVAALLLNMPDEEAFCVLVRLMDS YNLRSHYTAEMRGLQLRLFQFDRLVEEILPLLHTHFVRQGVKSSIYAAQWFMTLFSYR FPLSLVYRVLDIVFAEGIEAIFRFSLALLKKSEDKLLELEFEQILNFLQSDLFEVYRV HGNSSPIASASRSEEEEEWKANEFVRDAYEIRITPFMLDSYASEWDEQQKNQNRHALE IDTLRNANRNLSAQVKQLESSLATMNQEHVELVRQLVMSKIEKEETENELVRYKMLYA ELAHAQQDALSTHRRLSGGSIQSQNMGSRPSS I203_04606 MAKASSSSQPPKVKSSKKQKKDKAPQAISPEEVPSDVDSDNIDQ DINVDNGNDDDNGSSSSSSDSESEVDSEENLENITPNKKSSKVANTAAEKRGKSLRKY EPPIGMTELKVSSAFTSSPFEWDNLANKPGVELWAIRVPKDLKPSRLSSLQLALPSNT SGPITGSLKTKSHSYTLSTAGTNIHTKTKVDEQGRQPTSGPGAIDSMRMDVDESTPRE MKVEGGEEMDGLRLLVPKVKEGGKLFVASKPITRKLIITPSIDQPSTSTSSANEPALP SFLSNPTTDQAVTQEPANTRTKRSQPTHLLKFRNQAYGFTTPGPEHTVVKSMDVDGQG QDDTAMEPSSQVDTVDKVKDKKEKKEKEKKRKNETGDSPKKKSKKSKD I203_04607 MQGDIVIISDTEELSDEEIAFVGITQTQANTRIGQSSSSRSAIV PIFIDSDPEETQDQEDDQDICAFLSSTISTSTSIAGPSSSRLLKKSKDPVTRIDRKGK RRAEEDVILDISESSALMDKFERFENSILSKIDSLTDIHITSETPDTLIGDTPAPVEA EKEPTTKKKAKKRKSSAADDAFTTSEKRQKSTEKDTGAKSTATAAKPKLTKEEKEALK SKEKAEKQLQKDTLKAAKEAEKSYQRKLAEVNRLRVSKNDTVREIYLYLSSDLSKPTS PIAGALPEITKRITDNYSEIKFLDEEESTINGLIKFKRHLKARWDTEKKQFIPLDQPV WIWEGIYVLVINAEEIVDKIASAFESPDEEERLDELKLWVSDIKLTLSLTINDQLIIM IKGLQKYYSKMKSLANKEFTAAARAGLNGTTSANRNQGGRNSGRIGPDKEIIEMALVR LQVKQRCFLVHAVEKTEDIEDWLYNIAADVAIRPYKLISKSHLAFCPTDGIKKGSKPT ETFELMLQEVQGITPSASMGIASEYSTFKELMEAFEKAERRGGVERAEGMLQDCEIKT LRNGTANGRKLNKALAKRVYNVFRGDDSLALAERKRQSYNEFSWLME I203_04608 MPATPLLEVEDLTVLRDNSSPLFSNLSFTLSPGEVLVLRGVSGS GKSTLLKCIAKLNLYSKGIVRLHGKESKEWDIPEWRIKVAYVPQRPSLLPGTPLDFLS TIRSLSARKKALQASESEVDPMDLAQDWGIDKPLWRRDWGTLSGGEAQRIALAVAVGI GGAEVLLLDEPTSALDADSSDKVEKSLLSMLPPLEGSSRQNEHVQRKGNGPKALIWIT HSAEQADRVGTRSLDLSQH I203_04612 MALPLISPTSPGQPISPCTPLPSSRYMIKDCDEKTSSIAFEDSC VMVDSNNGTEEEMIEESLNGHEKRGNDMIFTARSESGTTSVDPKNSGTNTKKERPGLN RRLSLSGLINLPLPSPPIKLRALARRLSNSSPPSQIVTSRKSTPASPIITSTSPPIAK RTSATMPTVPPIVPPSPSCADCNPHIKYFWTGESDEQDGVHQAHEKEISNSSKIALSS SYSSSTLTALDEDTVYNSSIPTSSTLQNQPANTRRTPLMSRNSSFADDLTALSEVDES QHHDLSFITPTCEPITPTSPNSRSCSGIWLCSPPTVPFIENTCPTTPRLTSRPMTKTK TRPLVVRSMSTGRLASEFDGDGRVICLSGHSERVLRPSVSPPPSFRSIQPTITTYQST PNTIPGLSRSKSYQASRTSLKSKSNPSSPIDRPSSTAIPPLPIRSLSEFGKQSSTDRL VSFPPVETPSLTRTGSMGSWRKKNWQVGRSVRV I203_04613 MKGFTFTFLASLLILSDPSIARSAVHEYNAPAIEARQVSTSLAA VPTDCANEKTGRSLIDGRQNGGGGRFGGGGRGGGGGGRNGGGNRGGNGGGNNGANGGN NNNNDAAAAADAAAVADAANAANGANNNNDNNGGDDAAAADAANNNNNNNNGGGNRAN NNANNGGNNGDNSAAQADQGKDNAAAPVAANENGAPGPAVPVLTFNGGTRLNAAVIQD TEANPNATANQAASATSNNNFLDFCVGQTITNGLQNKAGSCNPVPIGQIPSTQNLIST TFVSPRNMENIQAGQTFTIGLQTTGMTMGSFVNAQKNYYAAPQQIDGSGQIVGHAHVV LQPMKAIDDTSVLDPNTFTFFKGMDLGDVNGLSTIDVQGGVPAGAYRLCTIMSASNHQ SVILPIAQRGAENTCSYFTAA I203_04614 MASRFGQPSYSTSPPRTTASSIGYSQYGQSAFGGAPSRQPSTSS SGYQPQARVGNGTTSRSMTGGSASGGLRPPGGGGGGGSSDRKAESREIARVHWKALKE FLATWIEKESPTSRASAREKLTRLTKLQFQELSTDVYDELMRRIALMMDFLTAVPFLP VREDFHPKRNQARQKLATLPKNRFKDLASDVFYELKRRYPEFEEDVMFVQERRYDEPP PAPGPTRPPFSHQHSSSQSLRDRTNPTPPPGALNARLVSSGSQHQRQGSRGMSQGSHR SRPTRDQDTEILDEGRSRGPQANPMGTTSDVVVPNKSRLREEEIEVPYARDSQMTDQR RSRTSSRPASRSSAGRESRTSFNDDNNDREREREKTPRQENELMSPASADDRVYYDRL SFSSNVTNKSKLVAAQNGWDEEREQKLRAEYEFRIAGLDRKVVNAERERDEARRAETS ERERRLEWEEEVRGLKERAATHASSLRSLQHELDLAKDAVEAAKQRADQASRTAEEEI AQWRDRYDSLEDEYRRLEDEKANMEGNTGGPEAVVELKMELHTLVDELNALSMKNDEL MSEREQDAMGVHEMEAKVAEYKRKYDAVRIELRNLKATSTMFVSKPLTDDHLPASADG NIADTSVSAFQTAIDGLLQAARSSQPSGVLPAMKAIVEAITEIGEDVKAFEAHPNLDV DVSRLESLKYESTNRLNALMQAARNHAMASGLSPVSLLDAAAGHLSTNVVEIIKLLKI RRSGQNREMSRRRSSMSIKDMVNRDQREKELKAVNGSWDREYGSQDRLSEQARSSPVQ NPPVMVRRPSSDQASLRTGTPTDQRLNGNHPAPSSLSAGTDRPAALRINSFQSTSSMA RSDSFDLERKSSMMSDRNGNPAGQGHGQRTLVEARSGSGFGMNPKTGGGRESMNSQQT QSSGGQQGGFAREQSVEEINEVEEPQQYHDDGVDDAREWEDLKPYLNTQSSALVNAIQ NLLAAIRTNSSPSALNEHLSEVIAIASSIVAVSTNALPNSLRGEGDSLLKDLVSNTNK LSEAQESASATSTTGNGGAGGFEKTVRQQIASASFGVAKSLKALMKLGGAE I203_04615 MSTSSLLHTALQNLHVSQQIHQQSHTPKPPSSPGSTHHELDSSR GGSPVPFSDGETDDEELVEVGKGTRPSTPTTGKGLQLGQRLPSSLGGKNTRDPLRTLP THITVRIFIQLDVRALARCDRVCKRWHKSSTLNYVWFLQNRALVLPKLLLPDSPGGKT RKIDNEIEFFDPYDKTPRLSSLPKPPIPTSSQPVWTKIESKKDWRNTFKVTFKRTDPT AEPEIDHRRVDIASLHTSGYTTPTGGHAYKGLGSGNASKWQNDDSDGGTLSSSERKAQ AREGYKALGGRKSKTKRKMGGELGGKDKGGANDDGRFEAPW I203_04616 MAIASPSASPARASLRRSASQKKIVDMTLDSDDEDESQSVKSKQ VNGTKRKRIELSVTPSETAEASASASDNDNDESDDEEYEVEYIVDSRYIKFRGKRTLE YLIHWTGYSVKDRSWTVASQFENDDPPVLQFYKKKPNKPGAEAVLGKPSSSAKTEKAS SSTKLEASPSLRENERNKQKTQSQEKENEKEKEKEKERSKPNPALGIKGFFKVLDPKL TSPSISTPKDRSRNKDKENIIPTPEPTSSDVDMDTPSKTKPRSRPSKGVVTKRVIQKK DTKEPPAKRRKAAPPTPTPADDDDEPQSSSDEDEGSDFVMGEEAGDKEDDGASDDDDG DFESDAESEAPEEEQEEDEPEEEDVKKSTSTARKVGGWGVKFNAPKKAPVNLKGYKTG VKSLGQKLDIRNAIKNMSEDLPPMNDIEVMFDHLVSRMPDIVQLVKRLNGRKLRVATM CSGTESPLLALNMIAKAIKAQHDLTLSFDHVFSCEIEPFKQAYIERNFAPPVLFRDVT ELGKKKAHTAYGSLVEVPGNVDILIAGTSCVDYSNLNNVQQDIDANGESGRTFRGMLQ WVKKHQPPVVILENVCNAPWDKVVAYFAQIDYDAQYTRLDTKEFYIPHTRTRVYLFAT PCKKNDSSHLAEKWATTVKDLRRPWSSPFEAFLLHTDDPNIHRARLDLASAKEQKDGT QRKATDWGRCESRHHRARQDEALGILRPLTSWQEAGVCKGLDWTWNDWLLAQTERVLD LLEISTLRMAKEGTDSGFKACIWNVSQNVDRQAGSSKTALASCLTPNMIPWVTTRGGP VTGREALALQGIPVRELLLTSENEDQLADLAGNAMTTTVVGASILAALKVAVDKVTAG QNAIADAAKIEEEAALNDDLVSKRIVGEDQLEKHDLDLAKVAKADLMEILDLAERSSR HCQCEGQSGTASPIEECTACGYRACKACGGRPDHHYAPCQNIRVEPTEFEKRFKELLP MRVKIAGLSESSLSTVREKAKKLGKGTVEEKDWKLWTKAVIEGIEDAEFRFRYLKRQY IWTAVYEAPNATLDLFLQGQTPEWRLTIKAPATEPNNSRLRALLLHPVARLRFDIKGQ DVLCGPWELCVPSQQSFQIEVNGKGELVPTWQASLGLQAGLEKTRRWSQVEFVLPEEA EAALDRKLSGTYTLLPKCGQAMSSLHKKDSDPADKGLAPLYFFLDPTRCGESEDDPYV FSTSTERLDFGTERAVIAVLEPKWRENSKPQQKVRLDVRGGWVVCDEAHLTAVGGSDI AVVQDQQSADHIKRDTATFAIPPSATSVSVNLANDGCTHASALLSCRVPLDPAHSESM WRPNTWGEIDLLHQGNATFSNLAWITERLPPLDELSKWTALADVDAAGTACERCAPRP PKIHWMKKAGKLNKNGGKTKATIIAFEDKLEAGRYEHALKNRPAPFVVQLRLDKDIGS FRVGLNIVSLAHRALSRLPVNEKKGQIKLSWRLTPGQVADVPEPPRVFILPSNKRDPE HEQPKGFKLPLRVEQLRSLWWMKEQEAATDKTHTFVEEEISEAALPSLGWRAEGKAER PVMIRGGVIADQVGYGKTIISLALIAESRHIPAPEPAPAGLIDLKATLIVVPGHLSKQ WPSEITRFTGKMFNIIVIQNMKDLQQKSISELSKADIIVMASEIFEADVYWERFEYLS SQPEEWLNDKQGGRFFSDRLETAMESLKEQVAILSEKGSETLQSKMKERQKKLKEESE KKKEAHKTSEFGKRMKGKAYRDKYDDVSSSKKKTNKSVEDIEKWEASEDELSDEDELA AIPVPTFRNAKGTESFSSSSVQDNYKRLSCPVMHMFRFRRVIADEFTYLDKKSLAALL RLSSCYKWVLSGTPPVSDFPAIRSIAAFMGIHLGVPDDAEGNAQAQKARAKEQTQAEK FHAFREVHSQAWHKRRDDLAQEFLNIFVRQNIAEIDDIPTVEHIHHVKLPASEGAVYL ELEHHLQALEMQARKETKFKNVTQGDRNARLEEALIDSKTAEEALLKRCCHFTLDLTD KKRDAKSAQEACEHITGARSQQLIGCEEDLYGSINEAVALHCWIRKKGSFGKSDPEKQ HFQEWVDDSSNTKKHQGDSEAAERLIKVLAECGIKNGLIPSEPVNGKPAKIEKGGKVE DVKWQLREHSHLLRKLSKELVARVRSLRFFEVVRKLQRNGPEAKAVLEASECGHKPST HPDKEMAVLSCCGHVSCLECMTRSADQQRCVKGEDCHSAVRHTNIVKVKSLGIEGELS SGRFGAKLQKLVDLIHSIPKDQRVLVFLQWEDLAGKVSEALSSGKISHVTLSGSVKAR ANTLDRFQQSDPEDSSEDRVLLLKVNDASAAGSNLTVANHVIFLGPLFTNSLLNYRAV ETQAIGRIRRYGQNKKVHIHRLLALDTIDMTIFESRRNELMAKPDYEQVPIEEYKGKN I203_04617 MSFNHSPPSTPAPISISIPPAVSVEAQLRGRDIRRLVRTTFDQA WRDYYAWKPPATLPIINGEMMRDAEKVEDGLARRHRELGEELRRITEKDRMGFDDEDG DGKEKGKRKREKERFLGSQKSTLNSNYTTTSTSMIFTRPSSPSTSKTHFSKNNNGKSS KSGKSIANTNPNASKITIISKHVEPVQGSYTYTMQRGSAETSLKELIQAPTTRIRKVT NQDEDEDGHDRYKVETIPPYYFCSYGHQNIYVEDEGAMPFIPVFRDDQDFNEEKWFDQ FGRRMAWVGAWRDPEVDIIQVEALSRIRTRSKNLITSEQIDQTRILPLEVTRIENLDL SRDLPPFPLDPSAPSSYSSNSMNPRKRKWNEPLKVKVENQDGIDMDDMGDLLCSRQGC TIYGCLRHSSLEDNHLTIHSISSNQHPLHLKYIPRKRAILPEIEQDHKPCSDQCYSLC SLSELREQASKCQIWPEIETEPIIEIMSSDCEITSGDLCMLSLRSNGYTCKEVAIQIM ALAALDSILPTPLSSEFDQDPVTTRISTISNTRHQPPRFVSVNQAPALQECNHPGPCV SELCPCFTAGWMCGRNCGCSFDCKRRNKGCNCHKTVPSNGNKSTKGACTTIDTCPCAR SGRECDPELCGPCGSEDEISAARSYLQQHHGDGKGKDDIQSVSMTEIERKTYCGNVNL QKGIFPKLRVGISEVSGYGVIADEPISKGRVIGEYIGEVISKAEGGRRDLNGDSDIDA GSYGNITRFINSGKGQSKNVTAKTMIINDEARIAFFAIRNIRKNEEIRFDYGDDFDSN GISKKK I203_04618 MSSHSSSHSSLLQLNRPESLLPQLPTSSQVAQHQAQAQWLEQHE QTQQQLCRSKGSASDLSSQDANRLSTSAHSQTSSSSQCQSNRLQPVASSSSGLNYLNM EPVASPSIDYAVPTVSSPLSDSDCSDNRQICTELLATAQTGVMGEVMDRSKWQPDADS ALCTYPLCTANFAQPTYFFLGPRRHHCRMCGQLFCSTHSSQRGSLVSLDATGNRRIVK ERVCDLCCSTPNNNEAAIEVSSSQPKSTQHSRRNSTCTESDGHHSNLVTPYDEDGHSN GSNESNASNGLLSASGLLRAQSRLTLNTQDVEPELAPIQDWMDKSGVLSLYPLAVNPS HSTKSKHSPLPPSAGPLFSPSISMRREAKEKQLERLTLRQRRMGNGPAVIGHDDFWLP GKWGYRREDFDPTFGMNVQDDDEDQIEKYVGGVVEDGPIRFRTGVKRVITPLTTPNGG PIMSRN I203_04619 MQSSDPGHLFQTTSQLAQSANRERKLKASEKIGEPINVSSKVLD LVVRGQEVWTAESGFICRRLDLRTGKTIKIYKGHQGPVTSIALHEIRNSDDGNKWLAL FTGSWDKTIKIWNADTGELLHTLESHVDFIKSLLILPSTNHLTLLSTSSDRTIKLWDI SSLLSSSTPRCIQNIKEHTRPVECSVYKSDIDVNGKPTGGITVYTGDSLGLIKVWSWN GSERRLESKDKEELKGHETSVNQLAITDEGLWSVSSDKIAIFHPFSLSTNPKPKIIHP SYIRSILPIPEDFPLSQPLLLTGSEDEDIRIFNIDSLLDIGQGQTAKVKGIIQGHCGD VTVLRAWYRDEEGKKGWYVVSGGLDCTLRRWSVQDLLNPPVLNYELEEEKEEIGLTEE EERELAELMSDED I203_04620 MIAPPTSQSELHLLCVSAVVRDLIQAYNDASTSSKPIQQPNLTA LRQKYAGKYGLRAVPRLTDVLAAVPEEWKDRLRGWLKAKPVRTASGVAVVAVMCKPHR CPHVAMTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYDPYEQARGRVNQLK DLGHSVDKVEIIIMGGTFMSMPEDYRHKFAAGLHNALSGHVTDDIDEAVKFSEQSKIK CVGITIETRPDYCLKPHLSQMLRYGCTRLEIGVQSVYEDVARDTNRGHTVRAVSESFH MSKDAGYKIVAHMMPDLPNCGTERDIWQFQEFFENPAFRSDGLKLYPTLVIRGTGLYE LWRTGKYKNYPPNALVDIVARIMALVPPWTRVYRVQRDIPMPLVSSGVENGNLRELAL ARMKDFGAECRDVRYREVGLHEIHNRIRPQDLELLRRDYSANGGWETFLSYEDPAQDI LIGLLRLRKCSEDGTFRKELVGMEGGCSLVRELHVYGTAAPVHSRDPKKFQHQGIGTL LMEEAERIARDEHGSGRIAVISGVGTRDYYRRLGYFLDGPYMVKDLLY I203_04621 MSNNPPLSPSRELYSPIKYPLPPSPSPWRRALSLVAVPSIHRTT SAFGSRRDKDRRRQSFLNDSGKNNHDGNLTDGEDIRIRGPTTPIPGPPQTVNPFPEDH SISYDRPLPQLQHKMTRSMSLPFSSGETDISMINHYPNSSITSLDQSVDTSVPRTEFF STFEETPTTGISQSQTESESESQSPFPNYSPSVDEKSHIGRQRDDHFDGHISMGQPTS NLDTPPIPVPQDTIQEGVTPTPTPSLSALKIEYPFFHIDARLSREPVPISDVPYRSPL TGPPIGADTRPRGMTIAPLLPLPPSPSPRSSSSVPFPPFLPFPYVRSPPLGVNPNSMH PPSPTDYLTQARSAEADALFSSTAPPEIFTENTSIPPAPPIDTSSKIHPPPGVPIHIS PSPPSLPNIPHIVWLPISPNSAIPPPIIPGFREGRPLSSPLPTPLPSHRPPVSPTSQS HVGGAAVPPTPQVQHPSEVRSSQPRNVVLPDGRELIAMTAPNGETAYMVDPLPAILRT LDRDTAEGTGNAEGEGEFRCDNTIAASAPVPSPTRQGAEEPLGLPHDVPTAFSTVLEP PRDLSQIERELYELSFPPPPAPASVDNPISRDDVGHVRRPRVLSEGRASLNSPRRGVP KFDWRRKFKIPSSASASDPSEIDIPRPQPIRRDEVSTLAVQDSATTTHTDTWSVSEEI LTSTVEQQQRQRQREEEKDIWWVGVGEKHHDPSGDLIIAERECRWRISMQRFKRDSQL ISHLSECPTKGMTLTLQAPSLPCQASRPSSSSSGSAFDIISVFLNYLLTHDMKDLKEV ENVDQFESLFILCDFFGCPAVYQNLLRYIDESGVNGITPWQLFVIASRRDDTTLAKIA LRLSSRTRITARGHRDKWVTLNVHQLNSKDVKDVRSTWVLELFKERYEKMLDGRFREV PWEIVAERFNPC I203_04622 MSELLPEDTWVNNFLPTPPRPLLSSLQRRLHTSTTHLNALSEVY KQRAQIEATYAESLSKLAKTAEQGGLSGKTGNEWDKASGEGRLWDSVISELSETSASH STLSAMIRTDFEQPLRDLPSKVIAWRRISEQDASLDKTLKDYEKVAGKLEKAQQKSKS SKADQLHQELNQLTSQLSSLSPMVYTTYQRLDEERLRTLKEVIVRWGTVKGDMATRDG QRAEGIIANLLSWETGEEVLSVGRKLGNIGGNGAAAAPRPSVPNSTVSTPQSNRRMST VTASSQAHDFSPRPTPRQNGSTTSFGKEQSSGGGLTGGLKSMLGRKKTLAVNRTRSGS NATSTRSGRDENARDGGFDLLSDDVPRIQGSSSSAPPVDEEGFSVAPADRHRNLWEEP NEQVSTPVATPHNQTHFGTTFTSSPAASQENLSSSASSQHQTQPRLNLSLAAAPIQES EEERQAALQKMQQTLQMPPSQPSRRNTVARGRRDVRNTMFVGGLTEEQSLGLGALSLG KVSEPEEQLSASPISATSPTQTTNGDRPGQVARQVSMSSVTSNNPFDSPSLSGSAMAQ PNLPVPSTEAGLRANLNETINVIIKNKEVSRIQINGEIHLSLRPSYQQPTTGPIHIRL TSFERLEKIAPNPAYLAQVPDKPGEYFLNSEVLTSATANSKNPNNDKGTLLFKYVVHV QPGKEGSNAPLILDPAFLCKEGETRMILHYRLNDLSPIQQLSNVSFSALFAPGPSVSN VQAKPAGGVWSPSQRRMTWKLDNITSNQESKIIAKFVTEPGNGESLSPQNVQVTFNSE GSLVSGLGVEIVDGELEGHDTWKFEEVKKGVLSGKYLAEAHVNVNQ I203_04623 MKVYSLSLLSVTPTTPAQATLLGTSQDLSSFSFYQRSSVGEFMT FFTKTVAERTPANQPSSVEENNYKAHVFRTSGRQAGTVMITDLEYPYRPAFSLLTKLL DEHTALLNNLPSASAAPSFGSASANAFAGYLSKYQDPKQADTIMKVQKELDETKIVLH KTIESVLERGEKLDNLVERSNALSAQSKMFYKTAKKVS I203_04624 MSQPTTNAQASSSKHHKSRKDKSHKKDKSHKHASNNHQGTSKND KSPFEHRLSRMRLAIPPKFSFNMMEGVKEKLDGMIMRYVAQMGGVLLAHWDHEFVDDT SKIINECPSGVIEVEFHSILWAPKIGQKLYGTHSLSSPSHLSLLFNKTFNVSIPLQHI PTDLYEFEHTDETADADSDSEDEEEEGFILGMGNGVVEDVGRWKVKETGKSLGEGGKG IKFTVIGMQVTNQMLSLTGSLLSDPSNPPPAPEPTLHLPTRVSPSPSLSPEPTHRSAK QARLAPTNRSRQPAVQEEADEVPDQTGWTPREIKAYRKQLEKKKKDARKARKEEGQVL EHVQMEAAGDLVHTQGQGEFEENVDVGSKRKAGQGDSENKKRKK I203_04625 MSFIRPVFPYPARIPSWFAGHMSRSLRELPTLLENIDLVIEARD SRLPLTSINSTFNQMLSRVKKGKGKEKEKLVVYTKRDLAERRYEEPLKKAFLQHAGQK VMFADTRSDQDVREVLRHAVRIARDNQEIIPDLRVLVIGMPNVGKSSLLNALRRVGLR KGKAFRTGAEAGITRKLTGTVKIYDDPSVYVYDTPGVMVPYLGRGEDGAEKGLKLALT AGIKESLFEQDAMVDYLLWKMNKRLIAEKDDPNKHQTYLSLLPLPSDFPPTDDITILL DALSERLGTLKKGGEKDHEAASGFMIRAFREGKLGEFTLDDLTLAKTGKTNDQDEMDD HTNTVTITNDDENVNSDETQRTIKDEYENVNDNDQSQEDSSTNRIPISTSTTSDLDYQ VSQIVKQYLSLTSPSSSSTDSTSSSFSGISMNQQKKADKRLRLEERDNKLRAKGVNVP IRQWGNKKKKGLGLGRGEAGKMARSRR I203_04626 MITPAVVEPEPEPDIEGFTPSSLHTHTNNKQPTTVHSQQQINNV TPSGEEQEIYNNQDAFTKSIGPNQDDELHTTKHGGKAGGGILKQPGSRSSSFARTILK PRSNRGHSRMPSITITDTGNTNEKAQDQRQGQVRQSVDDDRTDFSPPARSSSAPNLGG KSRLAPLKSLSVSIPLPPKRGPPEGEVEHFKKTFIEPTWKESFRNTIKSQPFLVAMPV LLPISWALHFTHQDPVAVFVTSLIAIVPLAGGLGFATEELAHRVGEAWGGLLNASFGN AVELLIAILALVKGDIDIVQASMVGSILSNVLLVLGMSYFAGGLRFHEQLYTVIGAQM HISLLGLSLLAIVLPAAYHVAYPNVRNVVSDTRSGLQPEGEELQNLLKMSRGLSFILL AVYGMFLTFQLYTHAYLFRIPKEKVAHPLPGPAPHHEHVFPRPHWVSSIADSSSSSSS SSSSGSSIRSGRSGHSPFKRFRRFSVSSKKDRKQARDGAEADTEDNTVIGSVPTSHAG EKTLSPTVTRQSPSVTAASPVATRSNPDEDYLQPPPINDDRDIEAASVSSSHNVIIDD DGTVHVQPKVKFWFALGMLTIVTGLAGFTAECLVDSIDGLTETGNVSREFVGLILLPV IGNSVEHITAVTVSVKDKLNLSMSIAVGSSIQVSLCLLPILVLIGWAIGQPMLLFFDN FETITLVVSLLLVNFAIADGRTNYLEGFVMMMAYLSIALVCWFYDPAV I203_04627 MTTAGPSSFSRQSPHPHPTQSPYHQHQYRHHPYAPPAPPANGVT KNNNTPSSSTSQHYARSSPNGGELPPSPPRSRRDASETPSIGLGVAFGGSAGGKWWDE ELPPPPASLSSILDSFRKSGEGDRELLLSILGAKKAEEERLTALIQTRLTVLQARLSL HSAAAALPPQMTVPPPSGSPAPPPPSQLDSDGLVAVHVERTPSLTSSRGAGSTSSGMP SPPLPQQTGYVQPPPPAVGLGEKEPSQTTGGRGYWQLPSLHSSLRVPSSRSPNSLSPG GLPLAPIREVRIDNSDRSRRNGGSLSPKSTGSEGKRSSSGLEMLLDAGRVVNESAERD Q I203_04628 MTTFYPVGDFKPESFGNATLILPAVSLGNVPQLTSDLLVTSLGL RRIGYVGLGDTVVPFSGKGDDGEIVTGGLEVYGNQGSELYVIQQRSPTLKTKKDEHVD LIRQFIESNSFGFVLILTSLDAANQDDAQLLTPHQRILPPSSSSSSHKTLQTLENTLP TLQLNIDSDFPTTGSSSSSVYPPFLPAAGLTRRLLSTLEDSSIPHGAITAWCVEGDNS GDAMSLAQVVLQILGIDDHVQLQQPKSWEGLFGTVNGWSGGAGQDSELYG I203_04629 MKTTSVPIIRAIVPKYKICKRCHSTTTSSEISTPTSPRLRFAPS PTGHLHLGGLRTALFNHLLARKWKGKWLLRIEDTDRTRFTEGAVDSLRGSLEWAGLDY DEGVGIGGKYGPYTQSERLNIYHHYTKELLSRNEAYECFCSPNELEAIKVSLKQQGMR HSYDGRCRHITDEEKVRKKKAGEKYVVRYKSSSESLNIPPDLIFGDNQPSAPTAGFDD FVLMKSDGWPTYHLASVVDDHLMEISHVLRGEEWLPSIPKHHSLYKAFGWTPPKFAHL PLLCNPDGTKLSKRKGDTFVEHYMKQGYESSALLNFLALMGWDYHSALSSKTTLDPHI RNDGHSLYELFTMDQLIEAFDITHITHRKAAVNQSRLDFLSKMTLRRMAGRLGNDGVM VNSGKISHDNENEGEREGLIRRFQQGLRDEKVLKGCELVEDVEYVEKVFDAELPRTTI LTEMPMHSIFYFLPPTYTCHESQSMLKDLIPRIYCQYVSLFADTLQQSASSSSSTTLN EDLVWDVIHKLLHQLGIDRKPKLLVPIRHALTERKKGPSIPELITILGLDESLSRLRR GVEYVRELDQSNKRKQDQLE I203_04630 MNDKGFKVCAYNRTTSKVDHFLANEAKGTNIIGAHSIQELCSKL QRPRRIILLVKAGQAVDDFIAQLEPYLEKGDIIIDGGNSHYPDSIRRTHELEAKGLLF VGSGVSGGEEGARNGPSLMPGGSDAAWPHIKEIFQKTAAQAQGEPCCDWVGETGSGHY VKMVHNGIEYGDMQLIAEAYDILKRGLDLDEAEIAEIFEKWNTGVLDSFLIEITKDIL KFNDTDGVPMVRKILDKAGQKGTGKWTAIDALDNGMPVTLIGEAVFARCLSAVKDERV RASKIIAAPERQPFQGDKQQFIDDLEQALYASKIISYAQGFMLMREAAKVNSWHLNNA GIAAMWRGGCIIKSVFLSDITAAYRENPELENLLVSPFFLNALAKAQPGWRRVIAQST LWGIPIPAFTTALSFFDGYRTETLPANLIQAQRDFFGAHTFRVVPGYGNDHLKEGEDV HVKWTATSGNVSSSTYNA I203_04631 MSRLDYHSILDPNNSVDVDVDAEFDNPTILNHHDSENQNVEDGV DVHSHTHGIAVGIMDDEHDDTPFVIMEEHAVDPDSELAQGTGQETGQLQEEEHDDIQI PILSEEIVAGPDEPKGSGRGQGHGPMSLKGMSEENKKQRQRLQNKLAAERSRNKRKGD QMNLEKQVDSLRNENAQLRARLTQLSSNSKSPEFNIPTIISDPTPSQYSPIPTTSSMT TTTVLNGTGIDYNYISKLTNELNQSKMTLLERKLKLLNIQDGTYSSPTSSSEQKDKDK EGDVGEGTVNEIDPIKESRKELINKYSKLQSIKAEEKSLNTLIAHIKNEIENLIKQRN VVEEKLNEKRSKGSGDNDINMGNGDQDQDQVAVTQEQQQQQQSVEDPSTSIGNHTILV EDSQQPQQQDQIAEEQNQNRNQEAEDQDQDQDQMHENGNLDDIRGWIDAAVKDWDQVG VLFMSFGRLPLNHVAAADI I203_04632 MGVTETDKSVIINHESGSSAEIYLYGATIVSWKSAGKERLFVSG KAALDGSKAIRGGIPICFPIFGPPPSSPPEYASLSQHGFARNQIWKFEKTVMDRPEGV SLRFTAPLPPTEFQHKYKLAYVVTLSEHQLSTDLHIVNEEESKEFTFQALLHTYLAIP DSSKIKISNVPKGTTYVDKILGGKKVESDGEDIVIDRPIDRVYHKVASQEVHVDDGFG GGYKVRFRGFEDCTIWNPTEETGKKIVDMEDKGWEKYICIEPGYAREFKSLAPGEEFI GQQVITAL I203_04633 MAPIHPEITYAERCNATEAEKNIIYFTINAPDIQGEPKLEIKPT EISFAAKAGDAAKGVPEKEYAFDLQLYDEIIPEETKKVVTSRAIVLVLLKKTPKSEYW PRLTKEKPNRNWVKTDFSKWVDEDEQEGAEDPTAGMEDQMAGMGGMGGMGGGMPGMGG MGGMDFSKMMEQMGGMGGMGGMGGMGGMGGMPDFGAGGDDEGDSDDDHVPEEEEAIEE ISTAGASADKGKAKVSSLDDVE I203_04634 MPVRIRLARHGYKKSPLYHIVAINSKRPREGKPLETLGIYDPIP RLRKGVVVPPQANVFGSGPEDTGLIKKEKEIKWNVDRINYWLGVGAEPTRSVVKLLER GGVLTTPHKWQHPWSPAPPPSSTPPSQPQQTISQ I203_04635 MSSKPNGQLNIQLSGLLEKMRSTDSDHRVMALIDLNKELSRVLS PVPGSSSDPRRSSSISYTDEGTELTLTDNVLKLLSDSNAEVKSSAVSCIALMVRKPRQ QVLTNIVNSLLDGVSSNDEERRDISCLALKSVVLEMPVDGQQAEANVEKIVSRVFALF KSDINPQLASELLQTLTDLFIRFSYILRSSEKIQNTSITSLSNILSSARPAIRKRAIP TVSALVSTNPGLFDNSLKKQIVDGLSQGPEAVRVWLGVVATLARGQSAHKIGALLAEG KVGETVLEETENPEDTETVEAALVALEVLVLRCPTEIAPYIQTVVERALSLVKYDPNY VDLDDDDVDMDGEDDEEDEDDEFEDDAYSDDGDDSWKVRRSAAKLLLALIGTRNDILA DFYSHAAPVLISRFNEREESVRLEVLAAFEVLLKQTASARAAEVVSGGRNKRKRSEGM DEDYAPDDSIISYLRTSLPQLSRAILKQISSKSVPTRQQCFLLLREISKALSGGLDDS ANAICAAATSVIRSVDSSTSASLAIAALSFLTTFFADHPARVYADHLGQLVPAIVRCM NDKLQRISFEAFNAAASLARAARPKGSTPPLPSSFVQPIQQLFDATTAILADNSTDAD VREKALDTLGYLLVHEGDTFTSSYSTCLPLITSRLANENTASTAVLVIGKIAESPLLK GKDFESWLLSVLPEVVVALRRSKRSTTKNSEFACLSNILTRVGTGLPAQTAIDLVGEL LPFIETPTALQVVSLILSQQPNCRESVDSQLSPKIMQIIKTPSSNQHLVDALSTFFAA YVDGDQDCATRLVPALVENLGKSHHLPDATKGGTSIYTTTAKIIGTVVAHSQRNAAGV LALFQKVIKSSKATEPDVYLSLLCIGEIGRITDLSTIPDLFDKILNFFNNESEEVRSA AAFAAGNLAVGAPKVFLPAIIKHIETVTSEATRLLLLHSLKEVILHSSAAQLELLADS LWKPLFADDVSTKEGEIGDDGIRNVKAACIGKLTTTAPGKFLPQLQELLRSSPKNRAI VAAAVRYTFIDTSSSYDEIIAPIIVEFLSLMKDSNLIVRRLSLASLNSAIQHKPHLIV DKLNTLQPLLYQETYVKKELQREVQMGPFKVIEDDGLENRKTAYETMYTLLGTCFSKI DLPTFTERVMASLSDVNEVKVLGLMLLLRLGQMSPTSVIPRLDDVVDSMKVMMKDVEV KDDTVKQDLERKEEMQRSTLRTAVPLYKLSNPQQAPQFHSFVSGLLATDKWRDYKDYQ A I203_04636 MSTSAAAGPGPKGVHYNTSLTAALLRGAWAESNPGHAPNKTELS WGELVRKWGKHTGGNASLIHHLRDISLLYLSSTSHATTSSSGYLTVSPTQPGSSAINE APGSSNSSNDSAGTANTGSHSSFVHIPHPHLRHRKKASLDIPDASSSSITASYLSSGD LDGDETDDPRGWAEGNLWWNGVSPEGVEEVKEGIKSLEGLITSGKLSSAETTSAKFIL AYHLHALGSHEDALKIYEGIDWNSENRFGAVQGDSAVLERIRARCLQGLSYELAQNPD YHKSIQSYLLTIPLLQSLSSYNLPTPTYLSNQTSPKATFDPQREVYRWGSTALTRAAV LSARRPLSNSQDSQNTLRILRTYHAFSSSWPPSFRPIQRQRMLSLYLRALESYYPSPR QSPSESPLLYSSIVPSITSARALWAKEVIEAIHQGRTLLQSTTSFPRAGSINRPVTEF SELAVSLYSKSAELGKEVISVLWWSMTLTFQSQTILRHLTHLLADIGDSLDAKRVFEL YVQLVLKARETQQPEVSLQLKRRPTNEDAASPEQINKQLEDSDTSEEQKGQMGAESEI DSDKQFIETLLAGARLLARDLGEIEDAWRYVVLAGDVLNTTGGIEIGITDELKARIEE AKGIVRMGLAVHTADTIDRPTYQAQAINHLTAAIDLFPSSSGYYHLAHCQSEARSIDA ATQSIRSSLEMDPTNVQAWHLLALLLTARRDWEGALKACEAGISVWEADEEISSSEED LVGENEVESRDFAVQPPTPTSPHSSTGRGDGTTASSFINKDGSFPHLSISPPKVTPLS KSARLEHVIRLRMTLNVIIEKIQGPELAMLKQQELFAFFSARSGKNRKKLGYSTGFGK SNGMKSVASSSSLTALPGTEGEKVEDLGGSFVSVGVNDGGLVSVQPPTPMTEKTQLNA ISLAPPIAEKPPITVQSPSPSPSPTPTPQPSNTGALVSEDEDKKSRRRSLSVRSKKHL HVPGQGQAQGHGSTQPSSAPVSRSSSIRRLSSTSPTIQQQNVRERSASAAQSIAPTAI HSHFRNYAPSSRTILPPPPPIQQPTTEEHGRTPAESRILSNLWLMSAATFRRWGKLEQ CLVAIEEAEVLDPENPDVWVQLGMYHQVINKQNPSSSTTNNNNNEGDGESKSTDNQAE SSFVKSLLIKPDHSSAIIGLSKIYLESHQVDLAETLLNSLTQEKGWDLVQAWYLLGKV CESQGRDTRARECWEFALGLERSGPVREWNQVGRWL I203_04637 MVTTNGLAIPTKTNGDLPSSSSHSFSKSPPSYMEYTSSAPFPPT SAKHDDNEDIPSHLYEKLPDHYLKVNGKGQKVPDYLKMILMSKVYSAPLNLKETPLTY AVNLSAKLGNEIWLKREDLHPVFSFKIRGAYNMMASLTEEEKKKGVVTCSAGNHAQGV ALSGHSLNIPATVVMPVSTPSIKWRNVQRLGAQVVLHGRDFDEAKAECSRLEKEGGLT FIPPFDDPYVVAGQGTIAMEICRQISDADKISGIFGAVGGGGMIAGISSYIKRVAAPS VAIYGVETVDGDAMERSLRKGKRVLLDEVGPFADGTAVRIVGEEPFRVCKENLDGVVL VNNDEICAAIKDVFEETRSIPEPSGALALAGLKAHISRNNLVGANKRFVAVVSGGNMN FGRLRFVAERAEIGERREVLISIKVPEKPGSFLKFHSLLEGRAVTEFTYRYSSPSTGY IICSFILSCASSSATGPTPEARQKEINELFEKFKQEGIEATDLSEDEFSKSHVRHLVG GRSGVEDERLFRFEFPERPGALGNFLKGMKSDWNISMFHYRNHGADVGKVLIGIQVPK NSYDAFGEFLNDLGYVYVEETQNPAYTSLLRTQA I203_04638 MPKPITITYTLYPSITSNTGNNNYLQQIHNLAIEGIKRHLPLRN LHWKSSTRTSLRTIQEVDIQLCELSEVSSSLVGAGGAGGSSVLDSPLVNLCLVVCEDA EVYKNQTRSFIRDWLSLLAARRAPHAPLIVLINPPNPSGQSASSSGKSVWGKDKGVLG KLKTDFNVGKRDRCVQLNLPPPGTHDPAAWPELINKIKESLVSAFDSAIIEREEEVKR GESQRLNVGWNFCTWFLLKESLANSFESVNLPEDSLIIYEELEASFFQVLKEQNLNWY GLSKLGGTHPGDDSLPILDTTSKPYRELIRNSQISIFDFRIYLFARQGQLLGKLGRIT EVAKRGQWFVASLTRRLREAEDSLAEHFIESWTYTACMDIVSKCDTWSRIDRPNGDYS GLVAYESARSELLDIARIQVERIGVSSGHLPPIYPFKPGSSPYGNLAEEESVLFESSS NGISDDEELQHTPITSTHPTSEERPVLSNEQLIDAQRDKDNCRSLYLGLTNKTIKAYQ SCGKVNSVIRLKADLAALALYTEQWVEAYDLSRELAKYCAEILTWDPISKFALECALQ AHRELGREKDEDWENLALAYLRVCALVDTTSLREEGEKDGNYAELGDAVIGLKESENE QEVEGHKAFMVRLMSDEANHEEGDDQSSLKAEVTSVLPIPVQVDSSSFDLTDTHGEVI TFSSDGQTLQPGSNIIKAFCSTSTQGLYTLQTSTIVIGSISFIYNKYEEGSLLKVKRN AQVKLDEDPRVVVEVKAGMVEMKNVRVGLRSLIGEVTYLLHHAEYGGKDLSLDGTGLI EIGDISAEEDVEITIPYAGIPQGEYAKAQIIIQYDTSSGSREWIDNQVVFMGLPLTVN VQDFFRPDCLLSHFTIASDGREYLRIASVKLTPPEEAGYEVQAGREDWKESVTITSNQ PLSCLFKVKQKEKHDDGAVLRLKIRYRSLEEEVRQDIENAFAKLPSNSRDTLRVLTSE WANDRGKWLKPYLLGTSLAETLAEMLKSRQVEGLEEFLEVNSNSLLVWRTLEIPVDVP QHRLLTTVRMTPSKSLNQIYEGRAINIKLSLSTSFTWSGYHDKDDKRLVFDIQNNEDW LVVGKKKGYYLADPDTPEEQEIILIPMRSGKLFLPTVTIQLLSNPTNAPYQGQAKSQM DNDELLCETYFENAAEYMMVLPAKKEMNCFVPIPINGEAWEGERV I203_04639 MSSRGSFRGRGRGGGGSNTRFTGKKRSGRGGGVAYGIDRPAPKR EDDGTAAAEKFEEVKIQDEIDEKLGFWRFESSRADGEKKIGWLVNMHQTLIQSSTHSG GLAAVDFYFIQDDGGMFKATIPYEPYFYVTCRAGTGTIVEEWLLKRFEGILIRVEREK KWDLSLPNHLLSAPPIFLKLFFHNTADLQSIRREILPLAEANSARFTAVDAYADVVGA ENAMNGNGDDQEGKAWGAEDEGRKRRDKEPAECIIDIREHDINYYLRVAIDLDVRVGL WYTVTSHTGMISLERITSLVKRAEPVVMAYDIETTKQPLKFPDQQTDQIMMISYMIDG QGYLITNREIVAEDIEDFEYTPKEEYPGDFTIFNEPDEPAVIRRWFEHIRDSKPTVMV TYNGDSFDFPFVDVRAKIHGISMYDEIGFRPDIENEYKSRATMHMDCFRWVKRDSYLP QGSQGLKAVTKAKLGYNPTELDPELMTPYAIEQPHSLAQYSVSDAVATYYLYMKYVHP FIFSLCNIIPLNPDEVLRKGSGTLCETLLMVEAYQAHIIMPNRHEDPHGSTYEGHLLA SETYVGGHVEALEAGVFRSDIPTHFKMEPSACQQLIDDLDAALQFSLVEEGSLKLEDV DNYDEVKGQIQSALELMRDNPNRMDKPLIYHLDVAAMYPNIMLSNRLQPDSMKDEAAC AVCDYNRPDKTCDRRLEWAWRGEYFPAKRDEVNMVRYALEQEMFPPKHPNGPRRRFID LPQGDQSALIHKRLGDYSRKVYKKTHETKIVTKTSIICQRENSFYIDTVRAFRDRRYE YKGLHKTWKKNLDKAFEEGGAVSAVDEAKKMIVLYDSLQLAHKCILNSFYGYVMRKGA RWYSMEMAGITCLTGASIIQMARQLVEQIGRPLELDTDGIWCMLPGVFPEDFKFKLKN GKTFGVSYPCTMLNHLVHAKFTNHQYHELVDKETGKYEVRKENSIFFELDGPYKAMIL PSSKEEDKLLKKRYAVFNPDGSLAELKGFEVKRRGELQLIKIFQSQIFDKFLLGSTTE ECYAAVAEVADQWLDILQSKASSLHDDELVDLIAENRSMSKTLAEYGTQKSTSISTAR RLAEFLGEQMVKDKGLSCRFIISAKPNGAPVTERAIPVAIFTAEEPVKRHFLKKWLKD NSLTDFDLRTILDWAYYTERLGSVIQKLITIPAALQKVANPVPRIRHPDWLFKRVAAK EDKFQQHKLTDMFAKMKTTAVANGDIEDMGKNKTGPKMAVVRKKKVVREKTPEPAPDP TEDYSGYIRVMKDQWRKQRIERARLRKQGTRQDGTVSSMLRTKSINLASRQWDIIQIA STNRPGEFRLWLAIDGTFQSVRLRIPREFYLNFKTLPVDGTFSDRYEATSVARVLPRG QAARHLFKLLVDEALFVEGESHFSSMINNPNVDGAYELQVPLVVRALLQFGTSCTLKK TSLGGLNRGLDRGFDLSELEKPGMSVIRHKYLDEGKGIKYHFLYHAIFNSRHLIALFS PGSAGRVYIVDSSRTPERLPNPARWYTDRVDKATKGIFAYPETIEFITNYYKNELSAL RQLSKDLQGIRHGLNVITLCSPFEHSYYQVASPVFSEFPFITFKGNDEKPSLGWLVQT SRRMINQYLKLSGWIKDQIEIAAHYDVPVGNLGQDAPIFLADIEFARRLKQQDMVLWW SASSRPDLGGSEEDANLSEELVTPRMSTKGCYSSVVLEMEIADLAINAVLQSALVNEM EGSGAGSLAFDSASHNLDEYAKGTANTSVMLGDAVLSTQTFGVLKSMVRSWFLDKARA HVKGIYSTPADLVVDQFWRWISSAASNMFEPALQRFLHGLMRKTFLQLLAEFKRLGTS VVYADFNRIFLLTTKPDAGSAYAFAKYLVTAANSQELFRHLVIDVTQFWNYLAWMDIA NFGGVKVPPEIASSRDPPPSKFEISMDWNIQSFLPGILQPIFERNVAQFIYQLYTAKR TSYDERAPLKVIHNLNIDLPGENTSTINPAKEKEKSAGSKSITQILTRKLLADVAAVK KRQAMAHVDEEKAESLLFPLLPGSRISTSEGESLNPTLELIKSITEVYSLASSEHLIE IQILRKNLLDLVGVKEFSQNAQFKNPFCDNLQINLIICKKCNSLRDIDLCRDPDRLPS FDASMGEMLDPPRKNWVCHKCDSEYDKFQIEQPLIEMISKMITSYQTQDVICMKCSSS KSDNLAATCHCGGSFKSSLNKNEMKNKLKMIKSVAQYHDLALVGSYVEEVLSRW I203_04640 MPLQTRRPNLPSLTLPGTKSSLLMVEDVHTPHTPNPFTPLPFEQ GPMVMTPDTPSFDMKPLEIVLSEKQVDQEEWTEEEDGLLQSYLARPPRALRTAYPPGT LPPPSTLDEITTHLMNSETRKSPSIDNNGFTWKHTWHSTRQRLFNLARKESMESIGGH RRQESDSIIPLPSQEERGDAVMKPQRPRLAVLCATGSGGQKMNRQQHSMDNLYGDEKP QTFQEALRLSSNLQVNAQGENEILHSGSGLSSPLTFSFNLPTTIRKPFPYSAPSSFLP RPASLLQRGRSFTSEDFAREHSKAAGNGDVDDFALDDIDSESRPESYSPTRIVRPEMH RSVSSPASTLHSSPPSSISSQSEEVETNGAGLLEVDMLEENPITPVNQTFSGLLQPGP LGSQCSTPTVSSTPMNPPPIVQPPTRGEAPSITLTVDSPEHPTFPHRSALPRDRSTSP SEAFSNLSLGSLPLKLPFTGSSPMNTRSVSLNHNNGISLPGGGLKRSLRPIPLNRSLS DSGPGISSGRPGPAFGSSLSMTCLDASTDRDRENKRQKAIAGMKFGGNGLSVVVPKRN GQLEELRSPFEIKKAF I203_04641 MTSLVQSPTPINHHPSSPPDELLQTPSEEVPQHPPQAGDEISSS IGVIRRTTIPFLARNRFSRFITSPTPSPSPECGDVPFPRQPNTPSPAPSISLSHLEGH LDGKHTSSDESYALSNDPALMDFFKGSLEVTPLPQFEDDESQSYFVGWDQTIESPQRP CQGQGTCQGCVSETEAGWSRSGARGWSFAGKAWSDMVDERRDNKEHRGGWGWLTI I203_04642 MASSTGIETGSPSMASTSTPTPYPSSASLASASASASGPSSITD PSSTSSWSSSLDPLSPSRNPLASSALESLAKSLPTYLAVGGSVLGVLVVIALVSWWYR RRKRRNRFGKDEEYEEEWRVVRSKREEGDLEKGRNSSNRTNENGNEDMSATTTTTHEH GNEEKSSEIYSITHATPSKSSPNLSVHVDQYDLSPASSPMSSPMSSRTGSHENLVQKS DEL I203_04643 MPSSTPKKSSKKEDSEDYSPKKSQEKKKPVKKDEKAAGRDIRGF GAQKSSGSSSTAGNGTGKKPITIDDSDEEEEIVEKKPAPKANTSKHFASASSSKKPIV LDSSDSDTPAPRPKAKPPTPKAKAPTPKAKPTSVKRKILIDSEEESEEEVEDVKPAKK RQSLGAGLSSRSNGKKPSYVDSDSESEEKDEKPKSKAKSKVPVKGKKEKSASPMDVDE SEDSDSEDFIDDEEDEKPKKGKGKPKPKASPAKKSTPAKKAPAPAPKAGKKDVDEEKQ VKAEEKKPANNNWRAAAAARAAGPKAPGSRDIPQGEPDSLAGLTFVFTGEMESLGRED AQELVKRLSGKVTSAPSGKTSFVVVGENAGASKLKTIKEKKIPTMTEDEFFEFIRSRK GGQLDEKQIKARQAEEKKIQQQALEMEKREKEEETDRKRKERALEGTASAQLWTTKYA PQNLREICGNKTVVERLGTWLKDWQKNYDSGFKKPGKDGTGIYRAILISGPPGIGKTT SAHLMAKEAGYNPIELNASDARSKKLVENSTNIDNTSLDGYFQGKGIQSTSVADVRVD SRTCLIMDEVDGMSAGDRGGVGALNALIKKTRIPMILICNDRSLQKMKPLQSTTFNMT FRRPQPAEIRSRIMSILFKEKLKIPANVVDELVKGVNSDIRQVLNMLSTFKLSKDQMD FDEGKELVKVNEKNTIMTPFTITDKLTGPYAFSKTSKETLNDRMELYFHDFSFVPLFI QEHYLKTQPALTNTLSGAEQNLKHLELVSKAADAISDGDLIDRMIHGSEQHWSLLPFH AVQSTVKPASLIYGPGRSQGGPGGWGPAFPQWLGQNSKQGKLGRQLTDIQIRMRLKVS GGRNEIREQYMPLLASKIVLPLVQRGTDAVEETIEYMDEYFLGKDDWDALVELGVDSM AESLISKKIPSATKSAFTRQYNKSDHPIAFHKGDMFAASKKKIADMGPAPDNEDVFEE DEAVPDEPSDKGENDDSEDEVNDVSKDKLIKAVKPKGATAAKGKAKPKAKK I203_04644 MPPRATKRARPSSPSPSPDPIVAEQIDDEPSASHLVSFLTGYAD HHSSASSSGDEGFDAPSDDNDDDDVDVDEEDDLDGTPTKRAKTGLIGTSTPTKKGTPR KRTGSKTPGGGNTPKKTPNKRKLDPLEILEKTEEEGIIRVSKSDRYLAYQSRTSRTSG NSYSSLVKPLSQKQYDTYTASSSAAREEIRPPEQFEERYDQWEMELEEGFNLIFYGFG SKRNTINRFVEKRLSKRGEVVVVNGHFPQMGIREILNSIEDNLSVPQNIKLRSSIINN SSTIERSAHRIYSYFLPPNSIPQAKKKDYPISKNDLYLIIHNLDSTSLRKPISLSVLS LLASSPRIHLIASFDHLRTPLLFSPSLTNTPPHVYGDGSWTGEIPIQRGFNWLYHNLT TYAPYTTELSYLKLSASTHLSLSSSSSTSGISEEGALQILKSVPPMAARLLKLLLTKQ LSNLPPEPTHHIAYPPNQVSPIFAVDNDILQSLAKEKFIAREEERYDALIGEYRDHGL VVEASLDGEGRTGRWVWVPLGKAAIERVLETMKEVEV I203_04645 MTIQPIVLPETIVIGVLALQGAFVEHIHYLQKLRPQGHTIKAIP IRTLSELEQCQALVIPGGESTVISSIASHTPGLLEALIEFARDPAKAVWGTCAGMILM ADVDGIGGGRKKMVKGWEGIGGMKVWRNLYGTQLESFEASLTIPSFSNPSKPFNAIFI RAPAVHSLSPESQVEVVAQLPEQFLPPPPPSDSPLGEPNLEDLGKVWLKKGKKMVTSF HPELSGDVRVHEFWVEKCVLGR I203_04646 MFKKFNPKEDVSSSTSLKSSVQRNIRSSLLTQIPFLTQPAYRDP STVPTAAPTENEAPPAATPEVEEESAGGKKGGKKGGKGKGKGGKGKDKEDKESKEDKE DGEGEANLTVIDEIWPKKEALGLTKCHDRISIFTVQTVPLFFQHFDGPFVPTLKLLHK YPEMLPHVQIDRGAIKFLLAGANMMAPGLLSAGGKLPDGLPKDEIVAIHAEGKEHACG IGKLVASSEEIKKAGKGVAVEVICWIG I203_04647 MIPDISTAYRNFISPSVSSVFRAMLEIPQSTSEVDSATIPSYAE ATSMDQKPIDMKCSPGALEGFLSILVTPIPMLPSSSLEDTYEVLRLCHRYGCKKQYTD KVRQRISEAADGSLQLWDVLEVASSLDDRSLGKMVLSQFSRADFMTSYVDGISRVNSA WKGKILVSCVSPPEELKIQVYNYNGLPFGIRKSWGWLLKTGEWKGLFDDFDTDNW I203_04648 MTTSLFKSTIHKHSSVGDIWFRSEDGILFGICQHRIAQRSTVIS DMLEPPPLQASPIDIELSTGLLEILLDYVTSLHPKELETNFNDTKALFLACEKWGIEH TILAKFRQRMYDLSIDDPWDLLVWASERDDRHMARAALEKMTPETFARGKRTYWEKSS FWMSLDELPPPWQWRLLRAALDDPTEGVVTRYEKYEWTSRKKMPWKDGSKMFEQRKGE HPG I203_04649 MLDLPKPICDVISDGIANSEVRRKENIINMEVDSKLTGYFLAFL GADIPLLPPTSFADTCKLLRLCDQFEATNEHVNLVRERLVGESKGKLWELLAFASKMD DRNLGLMALKEMNLESFSHGREPEGKYDGLFVNRISDLSLAWQGKLYSSPLKDETVTV NRFCLCTRVSKKGRDYTTRDWEPTQVPGLTFVDSWERNCNGFVTDK I203_04650 MFIHPYHNLGHISLLSVDGTILMADSWRLARSSTFFAGLFDLPP PTPGQTSYPKDLLSLVEPIEMEFFAEVIDLFLNLINVSKPSIPSSAFPQTMDLLELCD KYDVNENIQGLVRDRLLMQIGDRQWQLLIWSGKRNDIHMAGEALPRMTPHSFIASTTY ESPRKGLFVPLWYALGKLPQSWQLEIIRLAFIPINLDTRPGVKSYQFEVTGDWDSVSQ KFTPK I203_04651 MTIHSVIYEWQRKYLPQHTLTVRQRSFRPLSKPQLRRLFYSCLT LLVLYYLVWQIPSRYRKYVQQQDRWVDYPFSSPRKVQVFMPIGIQRAYKNEDFCRSLW SAVVNGYRVTLYNWNVDAEDEFDTHKPKVTSLSSILSSSRLLQSLGIFPQDLVLLVDA IDVILQLSPSVLISRYSLIPKGQQGKPITAGSFNCYPNEANSSACLDIPSSPMPIDLF YSDRDILRDSQSRLPIHANSGLVVGSVPEMRSLFGKLNDTLTGGEYPYQPDQVSQAGV FNIHLSKGDLKVDNTLSMFWCAEHLTNSLTLLSSTDTGIAGNMISPCDKFQYPFTISP SDLPISRLLAKDKRTSNIPVALHFNGIGAEPGYKEIWEKMWPSIGSEEYRTIWGEEKV RIVVDDKVEKKSVRDLCGSQLGYI I203_04652 MLQVPQPGVKSEEKAISLDVTSRVLDIFLTHVSPNHFMLATTLE ETHSLLSLCDKFDCSERLTDLLKGRLMDQSETIPWRTLVIASNTDDRKLGAEALRSMD RDTFLRGEGNDIYYSSNLKDSMNRLRPDWRGKIWDLVLEDNTTNATVTRMVPRKWKSR RRIWHTDYHAEVTKERVLPFRDDWNDIANRFQQDDW I203_04653 MPPKMPVIAPPSPSPPPPTANDAQDILIIQDIMDTLDQIPPELT RVYSDLNELGAVLYSTLLSLEKKLYTLINWIQDPNIKPEQRFELLQEIAEEAARYKLG GDDKIRVAGGACDGIMAHQKHLSNLLAASTLLNPSPASPYTQTLTLPFAQPVVNSRRL QRAANSPFRGAGKDAGPSNEGRIGDTPTKKKKGRIHQLGNKDDDETSSVGGDKEKKKP AKKRKPVNRAQSPTDSVASTSAFTGKPSEPRTARQLAAAASRARKAEQDDGSDDDSRD EHIPSSRKGGVGMHITQSSDSLMERKDGGLGLDMGSGSKSGNATPSNNNSANGNRGGS KTKVSAGIKRSHDEQDDSDDALEEEYNQQPNSLSRVSSGLKSNTSGGGTKKKTSTNIS NGNIPNYDAAGVDDHDNIVVNDEVDSRTYCTCGQVSYGEMIGCDDDDCEIEWYHLACL NLDKTPEGNWICPRCVERRKRQPKGKKASKAKKSK I203_04654 MNPYSKVRTKADIREYSIKKHLSDHYNYIAHGPPSHTHDPYTIP YIAVQSQNGGMGMGGMGMGMMNPMMGGMGGMGMMNPMMGGMGMGGGMGMMDPMMMGGM GMGGMGMGGMGGMGGMGMMNPMMGGMGMMNPMMMGGMGSYGMLGGGLGSGAYGMPRYP PGGLFGDYGMGMGAMPGGMMGGMGTGMGGYGMGMGMPWGY I203_04655 MCVDYQTSFPSSSRLTLSSTPPTLHFDQSSINDGSISEQETILE SPSDSLFHGLPPLPHQRFVNVNNEQYRHRPPSNKKLGKRPVSPTLVTPPLSPTQLPLK KKNKMDMHMAKAGTIAAQSAFQTPLATYVAQMVVWLWYGDFASSAQQPPSSPSSHSPI PNDPFEVTHSSSSRISSLMVHPLKMKNKFFSTPGSEQRPFIAGLMLGNKYLDDNTYTN ATWAELAGMSLPEINKMETEFLIGLNYQLGVPVEEYTRWKNLLDGFMTSRAPSSAIGR HIRQLPSGKAQYPATTLTTPAIEPTPVSMYRARSASPRALPPAQTGNYVFPPGHEHAR KRSAVEAYNHDPTSSAAIYESLRMPTRKAAFTQPLQSTQHLQPTLSAVRARPSPTQQN LGSSTIRSSSLSRQNGRIVSENQGYGRRGSVGHTLPAPLGHIPTQVSPVAMDVPMFSA GPAEWDGGRALLAPYDCQPQPHLVPPEHLMFYSLAAEPHPGVDGAPRKAILRYQEPNQ FTYPVQHTSYMSSSYPVHSALATPYAFDDVTMYDANNSPQAPYPSSAYQYPTPGAVPQ IQGQGMYQHTAYPTQMGWSPTHVIPEPAQFANAGPPGFTYFPNDIDITNDPSRWKFPP VALASASTAPTNHNQMIGLGLNTNQNSIGINVDGQMVYTPQGMVYSTTTPVDGLINQW SSRSEWSSPLIPRYG I203_04656 MSIKSQTRPSKRKTDQSTDNTSVKKLKHHPFHHGPEHSITIISD DNIGFKASRSRLVQTSQFFKDLLDIPAPEHENQEPNVRQTIPLDFRSSIISTFLDLIS VSQKYPPQLDFVDAEAFLNFVEYTMSDDLVPFARTALKEVGAKKPLELLVIASNKNDI DLARFALGKITPEQIHNLYGKKSSVQLLKPASVDKLQEYLKRLRTSFHLEILSRMMLP GEVRGARQDSLRPGLYLADDWTSIAESFDPTRFEESLSEDSTLDDSDHLHLNTDEDED DGSLNERSLE I203_04657 MSDVSWISDLDEIAVDIDLETHPVHNDPQAEIIIISNDYVKFRA SRYHLVRTSQLFEDLLDTARTVDINLNDIEEPIHLNFPSSTISLFLDLAGVCDPYFAD VPITKARSLLDVVEYTMCDRLIDIARSTLMHAAKDEPFELLVIASERDDLDLAKHALR NISTSAFEDEYKEGRSFRVGTVYNIDSIKKYLLRLTPSYHLAILHSIVYLGEMQSIDH DGRWLNSRPAILSTDNWSSIADTFNPAQFWKA I203_04658 MPRQIINAFHPASKPYKRPTTPDLDTKPKTEPTTPKTESSPRHK TKRTRVSTPNKKWTSEELLTLFNHVFKNGQKDWDRAVEGRTANQCCQTWTKTLLPYIR QSIESKGV I203_04659 MPRIVDTVHHARPYDRPVSPTTETNPILNTKSPNTTPKKEKAKR DVSTFRKWTSEELTQLFEHLSRHGTAMGKNGWENAVEGRTANQSYQTWLQSLSPFIKK SIAGKDGSTRK I203_04660 MIPQKRKSASITYHVFYNHPEDTVAIRSNDNILFKTNRGRLVRA STFFSDMFDVCGSSTFPNNDDHPIDLQFSAKVITAYLDIISVSGSHQLEVDYYLLKQV YELFSFTISTKALNTIRKMLVISAGYKEVNERWDLFDFASDFNDVKLAQITFSLLTPS AVSEVLRGEHRVLTGIDPKDERGNLLMWSKRLRPSWQIALFHALIQSDRAVTTRMSMV RNGRSSRDVACESTKDQIISIQKDWTKMGDRFNPREFE I203_04661 MPRTPKKSLLPFTPYKPRTPSQSPIPKCEIEEKSDSSTSPSPNL SAKRPWTSDELMQLYDHVKKNGSKTWEGAVQGRTSNQCYKVWNQTIDPYLKNAIISKG KAERGGF I203_04662 MGNDDTPIEYHSFYNDPRDEVIIRSSDDINFRASRHKLIRVSTF FKYILDIRPVDRSEAIPLDFSSEVVLTFLDAISTSTVDIPPLEKEKANSLLNLCNFLM SDSIHSSVHQAVIASYKSRPFDLLEIASSVNDIKMAKDAPEKVNEWTISQLKESENGE YPSHVRARMISRNSLKNYDPLINWPSYILVMNKEC I203_04663 MPKTPRTPTSSFHAPYDQPSSPLEDCKPTLDTAKSPKKTKSTSG ELSSRRPWTNDEFLQLFEHVIQTLAPFLRSAIETRRGR I203_04664 MAAVKPNTNWAEDDIEADELPQPTETTDPNTGITTIISWKLDEQ DRKVKVTRRIRRRVQTQVVSHSVAERKGWAKFGLDKGKPSGPDRKTTIIGENLHFRIA PVSKAEPEQADPVASKPTAGKAVVCRLCQGGHFTAKCPYKEQLAVIDNMNTEGMDEEE DAGGAGGLAPKGAGGAGGKYVPPGQRAGGGAGESMFRSRDDLPTLRITSLSVDAEEDD VRELFAKFGHIARVSVVRDRETRQSKGLAFVSFESKASAEEAIKKMNGRGYDSLILNV AWSQPRGDRA I203_04665 MTGSSSESISRPKPEKKSVHPFHRYGDIQLISKDGIILMADSRR LSNLSSRMRVEVSRALKKEKEVDMRRWSSQTTSLFLDVIDVSKPKEPIVDLPELFELT SIYAQYVSPVDDDFLESLAERMLDLAQTDNLQWSLLLFADEVLPEDQGVRLAKLTLLA MTKEAFLHPVLYDFDKKEFEKVIFWEAVGRLHYPWKGQLLRLTLIVPYDRTGDSNEIK VTTNWEQVANEFDPE I203_04666 MTSTASQTPSKKPTGKEDEVHWFHCHGDVSLRTTNKIIFKFYSY RLECVSTVFKDMFEIGGQNTSTGNKRKSPPDADVIDVGFNSKVLGIFLDMINVPTPAP PATNFSSSLALYEFCEKFDIHPRLRDIIKNRLLQCARYNFAWDLLIWSAGRDNIEMAR KALERMTSQVFLCPQMYDENLFRVIIPFWVAMSKLPRDWQYDLLRLTLLSPPTMWVYG SHSDHKALMEVTEDWVDVASKFDPSN I203_04667 MSDTFTQDDQRLRPVPLDRYPWTSLPISDSKEDIVKVSVIPTST LTAPVKEFSAFAKGDEREDSPCWSFLIEKGDQAILWDMGLREDPQNAPKPIVDGPLKE FIPHPGPGPVSRLKEHGFDFRKLKLVVFSHQHFDHTLPSPAPFILLGPGSLESMQPGF PKDVNSAWPASWLKKYHFVELPDKDTTGLWTSEVGSLPPTSTSGIQRKWEKVGCFDRG VDWFGDGSLWFLDAPGHCPGHIMALCRVTAQPDTYMLLGGDASHHQSLYLPVPTKEED LRSPIPVIDGKPQLTLDPELATYTIGQLTRMSKEDKVMVILAHEGQVDGVVDKYPGDL SMWKYRGWKEKKEEEVIKLAKARKTGQ I203_04668 MAKVLADMLEISSPVASETVVPSKRRLDNSSTPINIDYTSKVIS HFLDLPNQANPAPSPFTYEDTKLLNELCDQFNVDHHFKEMVKDRLEGVLLPTMTARIF SWKVGSYGLVLVQKETMVNPTFDIESRRFTSEVTEAWDRSAREFDPDHESPY I203_04669 MAPAEHVEIHPFHRAENGPLVNLVSVDGSSFSVNHETLAKSSSV FKDMLELPSPQQDRTESLHPTQSVPIEEVDRWDLEHFVNILKVSQPHTPNTNLRETMT LFLLCKKYDITAAKTSKIREYLLTLGKKQPWEFLQVASHANEQELCEIALGYLTWNQF LLGDQGDKTSFWGRIESLSPEWRTYVLENTLGDPKDHSLSFDSDLPGFDRKLRDRYWQ KIMDSRLSKILVEERIWRAWWSFLKWGALSAPLLISAMFFRANMKRAFRAMIQGWRKY GFGTMMTYMAIRWYLRASKS I203_04670 MSVNPPSNAISTKRKPMDHPNSDRPEKTHKANTAPPVPLDSRPP SDLQESPRWHPYHSDGNVILRADDGTLFGADSWRLAKASTVFKDMFDIPQPISFGYPK ASRWVNQDQPIDKQVTSFILETFLNLINVSIPSLSNGLSFAQMTALSGLCDKFIVTKN IVAQVSRGLLLAGANDPWDLLVWASKKDFLSMGRKALSYMGPEGFTKVYPSPPVLNGR SSDDTTLKYKPFWDRFHLLSPSWQIALISTAFPQPTFRPAENSNTASKTKKISKNSPK GKTQGVPSYDTGPAKSGTFEMVMASWDEMVKGFNPQS I203_04671 MVPHSSFDHEESTSPPPLVRTPLSASSVHPQASSSTYPNPPIYP MNPSPQRSSLPAPPLSDRQVPSKSRSSHHHNGGNKLMKSLRKGKERERNHQTVSTGDI EEDWTLEGIPPPSSYHGINGAGRRASSETTQTMGSDTRSFRESQETLEVERKEKKTKG RGLVKKTSRLFARDKDKEKEKSPEYDHHHQQLSTTPSSLNPIPASRQTSYSSVHSGDS QHTTGTTHSSRNANHFPTLTCPVSNQSRSRAAREKQSHSRRASQDSTASSWRGRSIRS GSSPHELTPPNDIGVPIPSRQGSNLSASVPGLSRNSLPQPGLASTSSAQPPSSRSPEN FPSRMSTWFSHLLPSASNPTIQEGSSSPSPIDSPPPLPPSPLRKPPSAAASFLNAARQ RAVDGVRHLLDTEAQPDKCQDTIWVMGVGHSGWRPSTPSRSPNSVNLPELHDTAAGIE ERRGSDDSVNKPSPPSKNDSGALRPSAWSRKQKDQPYNSTGNISSTPPQSKGFSNLFT ASTLSLALPASMTSGSPSKEGGPSATDSPGKAKKDKKEKEVLRWPDQFYDDFKSVVWC TYRSQYAPILSLPNNLLIPSPEAYYSAFGPPADLSAEPSTSGLASSTAGGLSTRPSGT GWSWSRSEGGLTSDAGWGCMLRTGQSMLANALIHLHLGREWRLPPKPSLPPTTSSDLK DLSQYAEYVKILSWFLDDPSPLCPFSVHRMALIGKELGKEVGEWFGPSTAAGALKTLT NSFAPCGLAVSTATDSIIYKSEVYQASNLPSTGWDISSSNTTSVTDTPTQRRSSASTA KSANVWGDKAVLILVGIRLGLDGVNPIYYESIKTLFTFPQSVGIAGGRPSSSYYFVGS QANSLFYLDPHFTRPAIPLEIAPAPTSISTSTSITNLSKPEEEEPVVVDPLEGIPTTE EVKYKLDVVNVDDISSGDESSDSDVSSSPSARVRKAKRTSKQAKRLSAKGTTPPRPKS THPQSQNNDGPSEVPVSLPPQDQVPSQHPLDEFPVDPETQWWVNAYPEQALRTFHCEK VKKMPLSGLDPSMLLGFLVRNESDWEDFVDRASKLPQKIFTVQDEPPSWDVDSDAGLE SVSEPDEPSLDDEEIENVPISSHRQPISSPHMGDEEVVLSRDTTSKAVDIINDRTKNI NIDAEEEDEEDWTLGGTPSSQKPILVERPTPTSATSRQGSWPRTRQQELEQEGIPEEQ ILFPRKKEEPSSIKPSLSESDNNDDDNVDITIKSRRPLLQEQRMRTESWVDPSPVRGE APNGDNLL I203_04672 MAGSQTIEDQKYPGKAGISWPIQERTSDPIAKFFEPGSKLTWHW NWSKHWKGPLVPETSPDLEIDVEFLSMVWSPDLVNDGNSLQPGWKFLLGFNEPDHYDP AVARKISPRDALPAWIEMSKWITEPDQKLVSPAVAGSVDWLKEFFSLIPPLTKPSYLA VHVYTTTFDSFVKTIENYWNTFQLPIIVTEFAMQSFDPNVPGPQSQQQVHDFMGQTTK WLDETPYIFKYSWFLACRDSFHLHGVHEYNRLMDSNAELTPLGRQYVNGGHD I203_04673 MRLSSELIITLLPILALTPSSAAHRAKRGCKASQSSTNDMGANN LFAEVPVSSADTDVTGLAVVQTSNVPSLTDKVVGLTDLPVSTTYHDVPTSTMTGLSDD AMTSDGATAMASAVNSTDVASPSITVTSSETTNGTSITGNNSGKAGVGWPVQEVDAAP VAQFFTSESTVSWWFNWNKNWNQGVMTSDGVSIDGEFVPMIFGPTYLDNEDTFQEGFT ELMGYNEPDLKSTTGVSVYLEPAQAAETWKT I203_04674 MASNKTWLLEFFESICPDDSASDGWGNCQYKPDYVSAHLYTTDV EYFKGTLAGFQKDFGLPLVLSEFACYKFGEEPHPSLEEVSIFMQSTMEWLDQQDWVVK YAWFGNARNSEYLFGVYETNRLMDTSGVLTDLGKQYMNGGRPIS I203_04675 MRPPRRLPHLTSDDINPDTRPNPADCTSSSIIDTTENTKRDLED ISSEPLDLDMESELLDAFLNLINSSHPYVHQLSFQHSSELPGQCDKLICNLDICNAVK QRLYEWGVTMPWELLVLASERDDVNMGRIALKSMDSSIFINEMSWYNFRATPQEMIPL RSRPFWERMGMLSPSWQIELIKLTFEGPIRKHVSPNPDKTLYLGHTEPQTVMLLRKDW DCDRDKFAQSFNP I203_04676 MYPPPPPPPGRSGGTSFSSQRPKPTSSGSESSSSRLTTTTVLGT RSHPAATSPLTSTVFSHDYITGVSSSSAVPVETGHTSDDMDWVFTPPVLVKHGMFAGR SLRFALAVAQEPVLGRRKTEKDRRPLGPAPIIRFRAMERKRRRRSRGSSEEEVDPSSI EPSHLICAAELGPPADEQDIQSNTPSTSARTFHPRPISRTEPEYGSQIPTGTEYDPDG TEDQDVPMIDTMGSGTPHQGEDNMVVDEPSSDDELDEDEDQPIPMMKSEFPTKKSSSR RSSARSTPKAGGSAAFSTGVRNLYGNLHVAGVRVPAPEGGMGTWFLFTDLSVRHEGTF SLRFRCFDLTAIASDEGIPAPCLVEAQSQPFRVYSPRQVPPLPKPTELAEHFAKQGFK LNTRKNERTASSPPPPAISPPPTFSDKSNKKPATVKTRERPGVKPLQESDSGRSTSGG QGGLAGGSSTGSSLTTMHDLSGESGSIVLSGSGGSSSIGLASGSAGSAGSILLNRIEE DK I203_04677 MAAVASRHQDPSGSSSASASASVSKQATVSRKRTNGSSSKDDAK MIGQWRIGRTIGKGSSGRVKIAKHSVTGKYAAIKIVPKGLILNSRMSMSEAGARADKV LLGIEREIVIMKLIDHPNVLNLYDVWETSSELYLIMEYVPGGELFDYLVKRGRLPVSE ALHYFQQIIHAVDYCHRFNICHRDLKPENLLLDKDKNIKVADFGMAAWEAGERMLETS CGSPHYASPEIVAGKAYHGSSSDIWSCGIILFALLTGRLPFDDDNIRSLLQKVKIGVF EMPDEIKDPARNLLSRMLEKDPEERITMPEILQHPFFVSRPPRPIPGRALVSPPSLDE VERPVNSPEEIDADIMGNLKTLWSGATDEEIITALMSKEKTWEKAIYHLLIKYRNRHL ENYNMDEDEDAEARARRQARKAQQGSPAKRKDGPPAPQVSNKPKLAVLGENESIENTP VVRPQAPTPKKALGQPHVTGSEDSPVVSKIINGKLTPAKSPVGPRPPMSNRNSSSEAS TPMPGTPTPAIVLQGATPTKDMPPPDFIPSPPGSASNSNSSRPRSEVLSSLPETPQLP PINAPVVPNQTLQHFFNEVAAQLNTMNIRSSVASGSSTTSSAILGTDYQAYLAYAAGA TTTPTPGNGPSTVVEEEGAEQFADADDDETEADVASIHSTFTASIAGHGQTSPLVGLG LGGPPPPSHGGRPGLYPTNNANTNRWSYASSTGSSHRGTSNGSYGAPLESPQIYSPQN VWEAQLPHPQHPSHPMVSPQLPAVPVFQADRPAPLPPPRANRPAPPPVSRITVPQSQA NTNTTESLLPRDTSYVIIDSSDMASDPSISSWSTKSSGFRAHRGSDGFGMLKKKVKNV SIDPVPFGSGGNDLLGPPSATPSFASGSSSINGISPKRSWFNNLFSFKAPSFTLLAQD NISSTRDRVRRVLNEQQVRVALVEIDGVRGLKCRLDDVRDLSASNGVQRGIRFKVEFS RANQSAGQNYNTLVTLTLEKGAQSTFRMLFGNLKSRIETSNPPRPQSIMLRSPVQPTS ATIPSHPQPLLSAPSHPHPVSHTSSAPTTPIVPSSPRFGDHRSHLPPTPGSVQIPSSI RF I203_04678 MAMTSRPPPPPSSMTYPHPQNRQGSLRRMPSAKEVASSGSFVSP LTRLEAEGRSARSRANSASISNNPASTSGSGTSSYPISNSHQPNPSQQPEPPVPTLEF GNLFRDSRYSVDSLSSVGSKSSTSSFDSYGTISQNESEYDDGYESPSTPKASTFKQGQ QSYFEGQQQQRHQQSRTPSPSKHQRGEEGGLQMRNLSNNRHRIPSSNSNSTLNASTSA SSSMTKACGSLTPKRSFSVTSRRVPSDDNPLLHNPPITTSYLPQQQQSFHLGPIPQWD RRNRERLGSDAYAKEIEGIRKPEETEVHADWASVHGEQGSDWGDDESQFEWLDTEGAP EAVNGKENQKGSGGSPPKRLSRFKAAVTGVGVGSDGQKKLKKPLIIHRRAPPPPPDTA PAPAPAVILSEPTSPMRNNTNIPRTATATISHPTIMKSNPRPLQAHAETQIPKRAETI RSASSNSDRSPVVGNRMISDEHHHHQHQHHHGTHSNPDIFHTPQPPFGGHGHGHGKRP SPLMVPMKMDDGPCSPAGAAGDNESRQSHMSFQSVAYSFYDLDGDHSPSTTPKPTGSN EDLVFPHGKYVKVSASTLERQKERERTISESTVGSGDGGKTPEDLVHLGIEARGKGDL AKSAWYFMRAAEGGSATGRMYWGLALRHGWGVSKDDRKAFIELKQACEDTLAEGGLDF HKSPGHVRLTPQQKKLMQKELALGMFEVGNCFLEGIGVKKSPEVALAYLKFAAGMGDV ASQEQLGFLLSKGSNGVKKDMKEAARWYRMAIANGSSNTFGLAWIWKDKYMN I203_04679 MSIRYILVILSTITCLVHAQLTLPIISDPSFNLLSGEILVSQQL ISWGIRLGPISLGLGIDLSALGLKKREDYRRSRARSTINARLLGLDLGLLNLGLNLGG SKSSQQTQTKSKALINLDLDADLSSLFSWGDADNVARGERGDTEWFTYISIGTPPQSL PILPDTGSSDLFVFGPSCSSCNLYNHTSFNPHQSATYKNVSDDWVYYYADGSGALGYT SSDIISFGQYHDQRIIKAEMDFAIATQVGGSDFKSSFPSGVMGLGLDSMSTIKSHNVV EDEGKTLFSKLVKTNQLSENVLSIRLQKGRQSQGVVYEEGQGQYTFGGIEENYVRGGR EGLVWMDVMSQNYWGITMEDISIGSSSILSQDRTTPRRAIIDTGTTLIITSDSASSMI HSNIYGSWQDPKSSIWYIPCSTSYRSTGNVFFTVSGKRFGVPIEDLAWKRSNSFDGMC ISGVQGGMEGFTVLGDMFIKNHYVVLSYGSEENGNNIQVGLGDRTDISPIL I203_04680 MKSLRRSLNNNNNNGSSSSSPAPSPPLPNQHNPQQFPLGRPSQK VAPPQKVIKALQSHRSTNPQELSYNKGDFWYVTGERNEWFEALNPLTGSRGLVPKADF EEFVKGGRHPSGQKSIDQGNQSRPYTPSQGHLSHTSDPRSPPGTNISPPLSAESAKSK PRQPVYAIVQYDFHAERPDELDAKKGEPIVVIAQSNHEWFVAKPIGRLGGPGLIPVTF VEIRDPATGKPVEMNPNMVPAVEEWKKATADYKAAAIPLGRFDIAPEQAVTNSPYAPA QTSSAAGSQSSLARTGSTSSVNQLTTANGRVSQPDPQPRAPSQPVYRPEQDPMFPPGE LTSLGVPSFHNESGNYWFRLHVNFVPDETTAPGYTLTLYRTYEDFYDFQISLLDTFPY EAGRSTRPGEEDMTPPERILPYMPAPVDDEIDDELTEYRREELDAYVRALVDLRSRQA GYILRHELIRTFFAAKYGDYCEEIPRNDIIGELEERLNEVSISQQQSQQPQQNHQRGL SADIHNNNLRSQSASSRHSQNQTQDRYSPLPGGHHQQNNRSISSRGPSPLPPIDTQNT SHSRPPSNRQSASGGQTASSFGMSNASAGPPLSASSAGGAGGGGGQPPYIKIKIYDRA TDDLIAIRVHPSVTHSELFEKVRARLGPNVNMLRYRSSMDGGSGSAGGSQGYRELRDD RELRDWLRTEDQKLVLYAEQ I203_04681 MPMSYDANALLQLISSLDFGPINIELGRHAPTNVELSHLPLDAI PSIFHLSRALLRSKLRPQLLNYLTDGVDTELLGPQGKVGCLVDSVKDQVVQNMPVDGE VGMTEFMSIGIFGAVNVLLLENSARNTSRDGPRRYRARGTGGSGRPDVEMLIDNARKS VYVEIKPPIIASSAVLDAIPEIIARNAVSFNNDRSVSVNNTMSAVMGLTSADIIPVNF LPKFEPNSPQAPLQSAGIEVSPTVSNSTQPSPTHLAPDVPGTFALFLSLAVLDDTDYV CTGGGTGYSAAQQAAPEQIQSSSGLRSLRSALHVSPRGTLGFLCRSGRSHRNRGASSS SASQSEIRVNLVADTGTMGSSISPLVTRMLVNDIAWKQTRSIMLSKAETSRHRSLINL YERFANGIVLKTTPTRFEILLRDCIGKGHVWHTFKAEIKRFEGDAITYTQKIIVKVSC PDAFSANQEDNWVSAQTIRKYVEREINAFDHLIGHGLDKRGIVPELYGVLKGSNMNGE DIQLIMLEDAGVAVDWETITQEEGHWVRNPITGSIKIIDFDRANLESEVILGFEIGYV EGYIAEALKKQADNSNGMKPNALEES I203_04682 MVSEQLVSVRIIIKGGVWRNTEDEILKAAISKYGKNQWARISSL LVRKTPKQCKARWYEWLDPSIKKVEWSKTEDEKLLHLAKLMPTQWRTIAPIVGRTATQ CLERYQKLSDDAEAKDNEELGLGAGENVEARPAADVRGLKPGEIDTDPETRAARPDPI DMDDDEKEMLSEARARLANTQGKKAKRKARERQLEEARRLAFLQKKRELKAAGINLRP KTKKKGMDYNADIPFEKQPAPGFYDVAEENAKVYAAPVGQSLRALEGKRKQELEELEE KKKRQKGNDGKSNQTAQFVQAREAQIKKLKEQEQIIRRRKLNLPMPQVGEQELEDIVK IGQAGELARELVGGEGSGSKATEGLLGEYESLGQARMARTPRTGPQQDNVMAEARNLR NMLNAQTPLLGDENTPFHGGDTGGTGFEGATPRHGVAPTPNPLATPARGGVLATPRTV GGVGATPSRTPRDNLSINDGESYYGETPRDEKRRIADARRALKAGFAALPKPENNFEL AETEEDEEEEDQAVPLSEEDAAERDRRLKAARELEEKLELERRSTVVKQNLPRPVNVN TYKILEELNSVEADADSAMVAAFRMVNLEVAMLMKHDSIAHPLPGTSTPGGTASDYDM PEDDFVAAAKQAIHSELAGQLGLPGASDEQLKLAISSNFSEDQDQNANDFSASWSSQD TQENLIYSPTLRKYVEKSSLSEDELRECFLANIELTKEKVISEATKASKAEKKLAKQL GGYQMINSKHKSKISELMEEIQQSKRDYETFQMLRTIEESGTPARLEKIKDEVDILQR KERDLQARYAELIDERRERLSKIEQLEEDKMVLQAQAALDAQGCEIEVNGDDVEINGN I203_04683 MSNSFPSLAHTPRAFPLPTISEDTLHYVLHLPPSYDPTSTALLL TQYVESLLIQPWLWNKDSWELKVSVNGDKLEGTMRVGDAVDDEWLVVWLLLKISEKWQ DVVIGVRDTDGEFLLIEAANELPSWVSPENAENRLWLQGGHLHLIPLSIRSTPSSSKP RQIPDDEDMEKQFDPESYISEEDAIKAVRTGRYRVDEKMEQAVWDRISCYPDALNTHQ HRTKAYLPVPIAKALKKNLELIQKAVEGFYVRDPSQLRAAARMTHFAPSTSILTPITM TRAAYAQLQGQAFHPPRIFGPEWHVPDDPNNEGEKRWRDLGIKIATGFEIMYKEGGNK HRSGPNNQIEDGSLVSDEGYKVFLYNLREAGWFGDELEGSEKWKVREKEAKKGYQSIK SSDTASQRPSFAYLVDSAISSASDLVPGTLKVDSSYEEDSESWLEVSPDELDGMMMRA SGRTAKPGENTDGKAELGEEHGQALQDLAKKVEDFVGGQGDLTGARFEDELSDEDMDD SDDDDSEEERKTLEAQKENRLKNLIAPLPDDQWGKKTQTRQEGSSASKNDSMSSKDKV KKEVKFDHKDIFSSSSSSAIRPPRFAKQEYDGVVSESSDEDEAEDELPPAGTIGRKIA QMKWSEGDRRAPRSATIEEITEEDEEMERQSQLKLDGDIDLDEEMQRRVWGDPDEGEG DDDDDDADDGMEVDVDMAEEQEEFLKFAKEALGINDDLWKGIIGDREARGAFVPQNGS GSGSTSKFKPSDLPQPSNKSINKSKVNNGKKVQFDTGSEDSPQLTTQQGNSSLSSFDE VMKAMDEELSKNKPQLSTNKEKLKSKSKSKSKSSSQASLNKSQNPKPSSSNPLPPLPT EEDLENMEEDDLLAMDRELKAALKTAGISDDEDEDDEEMREDLGQLGEDDKREYEMMK HFLESYRSQSGSSGVVGNLFGRLGNDNKQ I203_04684 MFSSIFGDQNGTQRGPQVSKVKVSVVQKPKPTSTSTPSSSKFYS SSSTITGHLKVPSSSTSTSANKGRINGVTSPSKNGTSSTSPRPTLLKKVSSAIIDSKS SSPKPAKSRSSPAHSRSPGQKRKANRIERVESESESESDNGGSESESSEDALDPKPKL KRQKPSPSGNSTPTSLLGRDDDLLGRKDDLFCLDQVDMRGEYSRGWVGFVECEEVLKG KMKGWASAGHGWGETSKGLEKYQAYFPQPGFENGDVPPSVELLYPAEGCKEKFILLTP TSAGEYNPISELRNALRMILEHYIPSSHTHIFGRLSDSLSDPLDTPSSMPSRLTSPFA GSLATPPPDSLPTETIGDALRKALAPNRRDGPGFLRAMERFNNAMSELQQEGSMKVYM KGKQMKKREWSQLVDLVHESAYSRVVGPYAYTLAHHPKHPTEVAEAISAKEDAYGELR HNFMSRVIEQTKLGPNSVFVDLGSGVGNCVLQAAVQAGSRSYGFELLPVPAHCARLQL KEVQRRWAMWGLKGNLDVEVHEGDFRVHPMVSTRLREADVVLVNNEVFPSSLNNDLTN MFLDLNDGAKIVSLKPFVQEGFRMNENNCDSFGAIVKLTKHRYFPDWVTWKGDQGDYY IQTIDRSMRAKFEEEMMSGRRSRR I203_04685 MSESASTPVPPPAEGSTAPEGSPRPVPSSTELTPAPAESNTNTP SHEPVIDPELMDLPAPPHHNNNNTNSNSTGNNANGTQQEGEVGSKEYDIHGTSSGMGI RESIASAPNPRPADFPPPDQLNENLSLDMDAIAQIAAENEDMPGSPTAKMMRAIAPPT AIMTNPEWPPPPPNASVNLFIGRALLSNGNDNWPLKPNEIVNWIRKHYPSEWDGDEGR CSAHRVRTYLARKGADMYYEKLNQGCINGWRIRANHLWRFDNGGFQGRGMKQEEAAAN AQKEQEALANAARKEAAAAALAAGHPNVKVSMSSPGITTLSQDALSTLHQQAASQPNK KPRLSATSTHAKTGGLTGQSRRRNTKKNSLPAQQPQQQQPQSDLGFSQPQQQDQSQQQ QHQSYYQDGTQLYGVGQLDNNQIQPDQSTSTNVLDPSLNQQTGTGDGVDGNDVDINMV QQAMQAAAGQMDDLDMGIQLPIEMQMHSNGHENDDVDDTRFNFEVVDVSPADGHGHAQ YGGNGNYGYTG I203_04686 MNPRTIAAPLRSLRSSIPLRPPMATSAGRIIPLPATRLGARFYS ESTDAKKEESFHAEEDKRITELENAKMESDKRAKELEEELKELKKEVQYARADYQTAI RRAEEERKKASEFAIASFARALLGTVDVLQKALRSVPQPIPVDNQHLKQLFDGVGLTE KALVQTFERHGMKKLDNLKGEVFDPNLHEAEFMIPKEVAPPKKDGSPHSPGEIMEVNS EGWMIGNRVLRPAKVGVVQPE I203_04687 MHLSTALLGLLPLVSAIQLPHIPTPQEALNLADTFVQQHPSTSL GYDNAIRLESVGDEFTVLTHKKFPDHRVRIKSTSGWCDPDVRSYSGYLDVGYGKDLFF YFFESRSKPKEDPVIMWINGGPGCSSSLGMLMELGPCSVADGPKSANDTKVNPYAWNE KANIFFLDEPIGVGFSHSEHGQKVGTTEAAAIDVQAFVSIFFETFKEFEGRPFHMAGE SYGGRYLPVFASAVVDGNRKLIKDGKKPINLQSVMIGNGVTDHFSTMESYFPFQCTLN GDLTEPVQNIANCVYMAENLPRCNQMAHRNCIQSHDYTACSIAMNYCEEVLETSFWSA GVNPYDVSMSCSPKELSDSLCYPVTKKIKTYLDLPDVRDILGVHQHRGNWSSCDDGVF SRFGQSLDSTGQTWLYVAGLLERGVRVLNYVGMLDFICNHIANEVWMERLEWTGAEEY QLAEWSDWTVDDKVAGTFKTYGNLSMLKIRGAGHMVPYDKPKEALTMLNSWLEAGKIS DD I203_04688 MSSTAAATSAEEIHSLYDTILILDFGSQYSHLITRRCRELNVYC EMLPCTQKINELSWKPAGVILSGSPYSVYAPDAPHVDPAVFELGVPILGICYGLQEIA RTHGGNVDAHTHREYGYAKIKVEKTGNKQQDALFEGIEMEEDGGLQVWMSHGDQLTSL PPDFSVVASTPTSPWTAIAHNSKPVYGVQFHPEVSHSPKGKEVIGAFVKNVCGIKGGW SMDSFIPKEIARIRQICGEKGQVIGAVSGGVDSTVAAKLMHEAIGDRFHAIMVDNGVL RKDEAAKVHKMLTVDLGVNLTVVDASELFLSRLKGVEDPERKRKIIGNTFIEVFEEEA AKIEAAAEKEVEEKGGDAKGKIEWLLQGTLYPDVIESISFKGPSATIKTHHNVGGLLE DMKLKLIEPLRELFKDEVRALGRLLNIPAHLVGRHPFPGPGLAIRILGEVTREQIKIL QHADDIYIEEVRAAGLYDQISQAFVALLPVKAVGVAGDARTYDQVVALRAVSTEDFMT ADWFVFPPQVLKKISSRITNEVSGHL I203_04689 MSSANNKILNVAVIGCGEVAQIAHIPNLIVASDMYKITALCDIS VQSLELCSKRFGIPDTFTSVTDLLASSVPIDLVFILTADQFHAEHIIQCANAGKHIMI EKPMAQTLREYDLVEEARVKNNVVIFVGYMRRYATALERLKEEIKGKEIKYVRVRDII GNNKYITSQSGQYQQYFTDHPSASTAEMIKRIKANLHENLGDKAQEDKRNAHSWSLLH SLASHDLSAMRDVIGMPEKCLFASRSDNGDKSWWWNVVWGYKGFKCYYEMAIDEVAVF DAQIEVYTNDSRVKIQYDTPYVKGLPIKLIIQKQLPSGDFSEQVIRPTYVDPYTLELP LIYDAIVNGKDYKTKPLDAKNDTILAKMVMDALID I203_04690 MSGQHSRQPSSDDTRQHIISSLFAKRDEDGTPEETLISYLKVYE EDPGDSHGGPKSRYLMLAVTKLGKVVIHKAKRNNNLSFSKGKTWHLEDVRILEVIGPT DFALTMTIRRYHWTTERSKDQSHFLNSIVKVYRTYTKGELPELINFTPPAQPQPTAPN YSGSDYIPTPPPPMDRQGSASSPLGRSMELAPPNIMKQTRSGSSSSMASGASSHYHHS NDGRSRPSLEEERRPSQSGSAFSRSPLGEPSVRRPSIPDQSNGRTPSPRNVNVPGPSN SNVAIGNGYPRKGSEDRLTPSGLRNASAASEYDGMPSTNSRPMRKASSEGLGPTNEGD RTTVPNPNVPSVSGRSREQSAPASSTAPGTGSNTPSTLRPTPHLQPEPKSRQQPSQQM LTPTITTTEASPLIPSDPTFSNSSNDNIPAPEKKLTRRASFHPPPLNTTFSREILLKS KTGILPGAIGLSVDDGQENDQAIMNSVEEMLEGFDWTAGLNNAGSLGERKKGGADAIE GRLLDELSALDSANIHAFLESDDRIAQVLGHIDEALLELDDIDLQITGYKMQLNAVSD DISFIESQNRGLQVQTSNQQALLNEIRQLLQIVEVPPEDIRTLTQESPSTPRGVQTLE RAAASLYKALQAGMDSANAEVAATIARMQEYRENSSQFCKRVADYLDVTFKYQSDSTL SEYRKTVKKTMTLAPHRSMGEYLMTYEGLILYVKEMDEERYQKLCSNYMSTASQLHQS EMKDLLMNFMGSLNSALGDSSNDASFSTAVGPTANTGKPSALMKSKTVIGLGGSGSNN QQKKDKKGGDDYSLRASDLYRQALTEMINQIVSEEDFISAFLHLTDTESTFADHMELD SYFRRQAARHASKGMSVGMMQLVRSMMDLVFGFVEGELRQWTEAAIEKAPVAIVGIIA VTERLAKEAEEENTSIFFTQLFDKQLARQRQMLDYFVNEQIRVIEASKTTIKKRKGVA FFVKHFPVFIEKMEAQLDGSDDLPIRTKVNDIYEKITNTVFTSLQQLAKMDRADSQAA EDKGQLNYHVIMIENMHQLVEDLEKLHSPVLGVFLQRAKALYEENMGIYVKLLLRRSF GRFMDFFDGIERLLVTTPASEVPLHSAYNRSSLKKILKDHGSLKDLRKSIETVSKRVD KHFILDDDESNQPTSNINGTSANVVLVQIVWKEVTGGLVKEIQRSQGIMSKSYADSGM GLEFTVNDVEGVCKRMK I203_04691 MVAMDYEPDAAGQESYILCADCGTVISSANGAGLCVGCLRNTVD ITEGIPKEATLNFCRGCERFLSPPQTWVSAQPESRELLAICLKKIARPLMKVRLIDAS FIWTEPHSRRIKIKVTIQKEVLANTVLQQTFELLLVVHTGQCPQCTRLAAKNTWKASV QVRQKVTHKRTFLWLEQLILKHNAHKDTININEKRDGLDFFYSERNNAIKMVEFLAGV VPVRTKASEQLISSDTHSNTSNYKFTYSVEIVPVCKDDLVCLPKNQAKAWGNISPLTI CSRVGNTIHLLDPMTLQQTDVTAPVYWRQPFDSLATVTDLVEFIVLDVEPSGPVRGKY VLADAQVTRSSSSSGNNMDEDGMGDDGIYHTRTHLGGILQPGDTVLGYHLTNANYNND AFESFDQDRLPDVILVKKTYPNRRKKSKPRNWKLRSIAKEAEDVNENSNTVGRGALGR KGGVDQRNVERDYELFLRDLEEDKEMRAAINLYKADQQQQGDQDDDEDGDVGMGETGK KSGSGMKGGKRRTGNGNGNATSGSGMQVDEDMGGDEGEGTDADDEEEEDFPEIDINEL LEHFEEMDMDDGEEVQ I203_04692 MPITSSNSFPSLPSYTPSGSFQSLSDLPSPIMSPTSIMPSSTPK SLPVSTTTSHPTTVSKSTSNSSLTSPTKTPNSSSKNYHPYLIHSSASSLLTRTNSSPA QPPSSPSHHRSSRSMSSLNYILDNPNDPKEDRRKSMESPSSQRIRPGVKRSGTLPEFL NRGGKDKVDKKERDIDLPMNPKLWTPSELAQYLGYTLRTGGPEGTGHILPAPLVEDIK SWVLRQRVSGRDFLKGSSDGWGNTTRPPPFLPLLQTIARRLRRHSLSGRIESVPSNPD DSFGKGSILLEENEDEEQEDELTGVKRMANAFDAKSSTSSVSDSGTSGDEEDNGMGKL KAQLTGESVTERWKAWEDKLDINRSRKVSDVSSMGSISEQEGYTSSVSAKLTDHLPEE EQDEEEEQGGGTIKAPPPQAAVSNFTEGLTPPPPYTSSFPDPEPMKSRAMPTLVECLT PERTREREEGRFSVTPTPERPSGRGNAGLGIMTPSPSPDSTPCRSTRRDEGSSFSSSS PSEHLKKISNHHDVNPYAALRRRSSSGPKYPSVRNLNMQLVNGQADTQGEEAEGEGEE EEEGYLRPAQKSPAAANFAGEELKGSRWTTARRVTLRPSKVQSIFDETNSATSTSSSM IMDRQSHDQGEGDKRKMEEQMEVLMNRIKELEDRLEYVTSTTDDRSPRKRKNRDMVNN DDHTRNILDLLGLGSSARREDEDDKLPRTVRELPVYLFLVGFGVGAVMVRVLFGRSR I203_04693 MSKVQLYVYDLSRGLAKQMSLMLTGKQIDGIWHTSVVAWGREIF YGQGILTSKPGSTHHGPPLHIIDVGETHIDEETFNEYLQSLGEMYTPSKYHLIEFNCN HFTADVIGFLTGQEIPKWISGLPAEFLSTPFGQAMKPQIDAMFRQTAPSERPIPGPSP NQPGVNAQATASPPSSSGTSTPALASALLHSVAARAHSQQTANGMTPANPTPPNPETS PLTLVSSVTHFHSILKQHPAVIVNFTNTPTCPPCRTIKPIYETLSSIHSSTYGVKGAR FVEVELSIGEGRQLAQEYGVHATPTFIFFKDGKKKDEMKGASKKELEGKVEGFLEDCF PTHIHRKMFLPSIESLSHNPISASNAPNYTALVGKLEGFVSGKGREDDLKLVKDRVIP MLENKSTLGDVDLRNLVNDWIDSTDRLLDTLKPEETFPVIDLWRVGLTNNRLSALLAL KLRPSSSPTLTLSNNPISTVLSLTVRILSSVGPTNTPKPFLLTVLRLITNLLASDQLD NLILSPEGHVEIQEKVISILVESLLHPDQSVRSSAAGIAVNAGAWRQRNKDDELADVD WEMELVSAIVEAIGRESDEDVSHRLLAGLAMVIYLSPRYESDTKSLLEVLGAKDTIYK KSRGWKKKEVKKLGEEIAAKLC I203_04694 MPSYSRTIILPRTSYFHPLRLGLKATLVANLLVLGHVLLKTSAS APIPIHLIPLLPKALSTLSALIFLTLLWITFTLIENCDIHTKTCPKEAEKGMVFGKTW VEGGCQIDGKANVRFGLIGYWKDAEVIMESFSHQLAREFVLLPLTFFSTILSLILLNL HLLTSIVLYTKREGVRSLNSELWCGKTGWWVGKVQLDQVVIPDVEQGLGEKQ I203_04695 MTIDEDTQTSLKASTGAAIFDDPDADITLLSSDGHEIKVHSYLL RSESTVFRHMFNDKNFFDDHSPLLFEAEVSDIRSLIYLMDAKDPNLGSLEQSSRLLEL CDKYGLYKVEERIRKRIANLVPQKPWEGFVLASRLNDVTLAKSALRSMATQSNTQSFN LSLALIKKEVAIQPTVPYLIGLLRPAGEPQYSGLDTRDWCKIADRFKPL I203_04696 MAPEPSATVFARAYTDKDADINLISRDKVHFKVHFYLLKTHSSV FRDMLSETTFKLTPIPIDEIFCMASQFDDVSLAKKALKSMKSDEEHRALTVETFPLKE ACKPSLAHLLGLIVQLKQQQGTRQYSTYDDYVYVTNWEHIGKAFKPAT I203_04697 MCSPAKAVKADLTLISTDNQEFQVHSYHCKAHSTVLRDMLESPG LNESAIPIDATGRELRLFLNLMTRWEVLNPSDSATWLRLLELCDKYDFYLVRRRLKQR LRVYSYKSPWDAFCITSHLDELDIAKKAIKRFGSLTGQKDIELGRMPFQMATQPTLPY LLGLLHGRNLVAHSDDPSWAAVSEIFYPAT I203_04698 MVKKDKKLWKYGKYSDRTKDTLLASSDHPKILFCVDGYMLQSVS NLLNTVTVYYRGYQITPLNYGEEAIAFFLDQLHDPEPEPPKTWAVAKHALNLCREYRS GIIEEMIRGQLDSVVDQAPWEIFCLANGHDDIRLAKKSLRVMSKDQQFRTMSLNNLGL EEAAKPSLSYLLGLLKVMGPSNHDWPRIAQDFAPLS I203_04699 MSDGQTPECDSIYNDPSASTTLVSSDEVHFRVDPYPLKKGSTVL RDMLSDPDLTPSPIPLDLPSDELRTLLSFLDLGKPNDPTSIAGWKRLLETGDKFDCQG LKMRIQEHAQLRAFDKPWETFSLASHLNDTELAKHSLKLMAEDVDASVIRLEYMPPSL AQQASMPYLLGLFKALMLADALRDGTCTTVQDERSDQLE I203_04701 MSKIEANKTLGSGGVTNVSSPTYQNTVAQEEKGTLHHSEVPVID GRGSLNFADILKGSAANPLSTFEKKAALINAELDQFGFGRYQLCIWLLCGFGYFLDLA WAQGVGLAASAIYQEMGVPAGSQGVIFSCANAGLAVGALGFGLLVDIIGRKWAFNLTC LITSIFGLLLAAPKYNYAAICGIYFLASIGLGGNIPIDATIALEFVPQRKRMLVCLLS LWQPVGVVFASGIAYATAAKYRCASGLPSCHDVASGAACCSVSSNMGWRYNSIVLGGV TLVIFFLRFFVFRFHESPKFLLARGREAEAIEVLHKIAKFNKAPPPTLTVQHFAEIDA SSSTYTNDSNAQNGNMTSIGSVTKRFLRNFRGLKGLFLNKLQFFIFCLLAVAYMGDYW SFNLAGSFLPLILLRNNVSSGQDTVTDTYRQYVYIYLPGILGAVLALFSVQLPIVGRK WSLVFSAICQGLAMAMYTQVKTTAGYVGLNAFEYIMQTYFNAVLYASAPELFDTAYRG SASGMLSCLGRLAGIVAPFAGQKYLASNSSGILWLGAGGIWLSAFTMIFLPVEMRNRQ MF I203_04702 MEDHTFDLEHDHLHAQVGHDDATQAVLASLRAAIIDPPHAAGDE VGGQVIVVEGEEELANADANAGLHQDDEDTMERYVHDQDEDEIVQDVNNQNPTQDTHT EHISQILVSIIEKGLQSLFQQIKNDQSILDELNSPNAIQNLAKNLKILNENNKRNVNL IKNLSEQLKSYSHNDSIDTGDGDDHQNLISAFTSTSAEQSPFVLRSEYDALKSRYEAL VSGKKERKSRSSNKQSHYQQDNADPRLQSVKEVTQDGTGYASPGAEGRKKRSIKLEHL VHQKANRRLGVEYQVANFEAKGSRELPAPNSDAPKAEDSISGVDEFRPDFKAELSSPS VKPFIDQVVQDCIEAWQSGVLGNEPDIDIDRITNSVHIYWTRLGKRYDEQLHRERGEV HRDEISRRKQNTYRRQQSLLARRLAAFDSSPLNVCKLRALYRTLLTIDFAAPTQDSPD PKREYTEEEWNAYRKLACGSRAAEAHEVVDQFWLSSMARQLLIILDVYSADMNARARK KGRPKQPNPTFHLPSRLWDKSTLPTIRPKDASGLPITGTPGIVLFKFHVDEQVQKDNP EWAKGLYDNPPIPDEDSSLPSLPEVMSMGIYTSFKSQLKEAKEKSNPKLMSAEEVEEM NNRIINPDDAIEIDQSMLDSTTLQNENGTPPTTGGDYATLIALNKLNNLANSGSETAE NPSTSAFTTSQHNPLGGLSGIGEHAIGPSPGSSMRARKLGKRSVSEVPGGAATPVPKR RRKTPQSQLMMEHSPLGLVDGNDFIHDKDASIELGQPHEEENAEEVEGEEAVEGEVLG DKAFLDGL I203_04703 MSQIPINPQVLTRPLVCCGPSGTGKSTLLNKLFSDYPGQFGFSV SHTTRNPRAGEEDGREYHFVSREEFMRRVENGEFLEWAEFGGNCYGTTFAALTALHPK RCILDIELQGVLQLRAKAPQQTPPLNPVYLFLAPPTIAELKKRLSGRGTETDSSIRKR LDAARKEIEYAQEGKHDIYIVNTDLKVAGDKLEKVAMGFEGWEGCGDKLPDFDVKELE I203_04704 MTEPTQPTPAASQAESSTATQTEAGGSKKQPVVIICIGMAGSGK TTFMQRLNSHLHSRNTPPYILNLDPAVSHMPYSANIDIRDTVDYKEVMKQYNLGPNGG ILTALNLFTTKFDQVLGYVEKRAEDVDYILVDTPGQIEIFTWSASGAIITDAIASSLP TVVAYVVDTPRTTAPATFMSNMLYACSILYKTRLPFIIVFNKTDIQPHDFAIDWMKDF EAYQAALNDSGRNEHGETSYMNSLMSSMCLVLEEFYNNLRAVGVSAMTGEGMRGFFDA VEEARKEYESDYKPELDRLASERAAKTEADKKAQLERLMKDMNVSDSSAGSSRRRGGA GGDNPFGPYPVNEREDRYYDEEGDEEVDEEEQDAIRRQEEEEEEAAHAEELGALDVDE PEIGGMPRSGPNGGRGAAWPRPV I203_04705 MQTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTV MIGDDPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVKEKWFPEVH HHCPGVPCLIVGTQVDLREDSNHLEKLQRQKQRPITTEQGERLARELGAVKYVECSAL TQRGLKNVFDEAIVAALEPPVTKKNKKCVIL I203_04706 MKIPVRLFLSLSFRPSPIRPIFLRDFSSSSITTKPLLVLGIESS ADDSSASIVSSNRQILSLVTISQHSENSLYGGIHPLVAQSSHNKNIPLAIEGCLRQSG KSISDIDAIAYTRGPGMRGCLTIGEMAAKGLAAGLGKRLVGVHHMQAHALTPLLTEPN PPKFPFLILLVSGGHTQLVLAESQDKFKILLDTLDSKIGDVFEKAARQIQLPTSPTKS PGAILESYASSSPLPPYDLYPLAPLPIPLSTNDTRDKAAFSFAGLLSSLQRRIPPDTV LSENDKKEYSRVFQVAAVGHLVFKLKQTIVGLSHVGVGGLGGLVISGGVASNLYLRQK LQKMLSEIQGEREDDRMELHYPPISLCTDNAAMIAWTAILRIQNEKGFISDPYDLPIR PKWSLEDLYDDVESKPQL I203_04708 MSQNSQAYTIGLAVAEKYRADPGTLGTYMSNEGEVTLSRNNGGP LTWEFYGPCGTANGTFPEAHGDKSENMPLGPLSSLGPEESP I203_04709 MSTTSEQYQYGESLAKDAITQGIFDQQHYATNDTDWSRPVTVSQ YNPSTYQNSDCTAHSWNPRICTVCSAVRPPREVEVVELRFDFEDA I203_04710 MTESECQLAISMTSNCEEKQLGHEVGDAFEIICLLPTTYLLSTA KAMPRTILITGATGQQGGATLRALAESIRLSEGLSIRIRILALTRDASSEKANTSLSI PGVQVVQGDLNDKSSIDKIFELYAIDSVFSVQDVFQGNEVEQGTTLVDVAVKHGVKHF VYTSVGMSGLSPSPVPHFETKRQIEGHLKSRSSQSQILSMGTKWNPNQRFKHIATSDI GQAAAEILIAGSQEKKFRKRIIYLTGDAHTPDEIRKIFKEGRNVSWEDSEQDVEVGTE LTNALNTINVHPFEGTPEETRKLFPWVKDLPIWLDTEFHAGLIIG I203_04711 MPELPEVERARKLIHETCKGYKIKSVDSVEDKIVFTGGDDHKSF VQEITGRTITGCERKGKTFWMTLSGKGRFPVMHFGMTGMIQLKGQEPTWYRRKPRESS KVWPPRFYKFVLNLEPQPDSVGDEPVELAFLDGRRLGRLRLLPDPVTSHPPVSALGFD PVLNHPTLDEFQNLIAKKKGTVKGMIMDQSFSAGVGNWVADEVLYQARIHPSCPVNHL SPQNIKDLHYQIRAVPLKAVEVNAESRQFPEDWLFRWRWSKGKKQFKGKNKAEEIDEE GEEAEEIKPAGKDYLALPNGKPATITFIEVGGRTTAVVEELQKMPEGVEIKPKISKGG KGSQSKKRSKGNDSDDGSSGLTSDDENVIEITTPVKATTARQRARSDKKIKLEKDGIV QNVKIEIDEKPSRKPRKSTSKSETEPPTSTRGKSTNGKPRKSKLTARSIKSRGKGSQI NLEDGEGSSDLSDLPSDPS I203_04712 MPIPHPQTMAKIEARTEPYTRRFNTFARIAVIYWLLRYVLLDSF RHVRARGVVGTANEIKSKIQGLVVRLMLSLPSSKAKLQLELGKAQSEIREKLVSSKYP DGVTLTSVRKLPEQGRSKDWLEGEWTNLKKLERGDVDAGRVSGAVYHGGEELNQVINE AMAKFVVSNPLHPDVFPGVRKMESEVVSMVLNLFNGPDGAGTTTSGGTESILMAVKTY RDWARDTKGITTPEMIIPSSAHAAFWKASQYFKIKLHVVPVNEDTRRADVNAMKRAIN PNTIMLVGSAPNYPDGAIDPIPSLAAIARKYNIGLHVDCCLGSFILPFMDKAGFGSDI EPFDFRVEGVTSISCDTHKYAFCPKGSSVIMYHSPKLRRYQYYIMTDWEGGVYASPSM AGSRPGSILAGAWAVLNYMGEDGYTQSCREIITASRTLIQGLKERFADDLYILGDPKA CVVAFSSETLNIYAVGDEMSKRGWHLSALGGKKGGLHMAFTRLSARKVDKLLDDLDEV VKHVKSSPNDQKGDLVALYGVGQTSVGPHVVGQIAETFMDTLYA I203_04713 MPQNTNDPPVVVKEAEMATGLLTIEQHMSSETVRRWLNARPDLV LAIAKRHTTNPLLEPLPSSTATSLPPTLSLLVPIDGLRIDKLRIKVSTKARNVELDIP LPFSSTDSQKGLGKGGFGKKMEELGEDALKHFDIPKYPKIEYFEPPTSISVLPVYPLL FLIFLVAAPADHGVANLFRGLIKRYLGKWMIPGAAWFAAACHLVIEPLILIPKLIQHQ VPLLQSFFYLFVVFCTGYGGIDALNRAVIQERIRLIHSHSPDKKKSQ I203_04714 MGGGAPAGGDFDALLTETQNAGWRGLFKNGRALSLALFASLGGV LYGYNQGVFGQVQVMFTFKQRYLASDNSDIRGLLTSILELGAFFGSLMAGPLADKYSR KYSISGWCLVFMIGTAVQTGANDSVACIYVGRFIGGLGVGALSMLVPMFNAELAPPGI RGSLVALQQLAITFGILISYWIGYGTNYIGGTGAGQKDAAWRVPLGIQLVPAAVLLVG AIFLPFSPRWLMLKGREEECLTNLAMLRHAPAESPEVQYEFRALQAERLVEQEAAKER YGANDVTFRVTLAEYKRLLTTKPLLHRLMLGAGAQALQQWTGINAIIYYAPTIFESIG LTGNTIGLLATGVVGIVNFVFTIPAVLFVDNFGRKPILAWGSANMAISHATVAAIFAV YGPDYANKRAGNAAVFMIYWYIANFAVTWGPLAWVVSAEVFPLEMRAKGMSISSAINW IMNFTVATVTPHMLSSISWKTYIVFMCFCIVGFLYAVFVLPELKGLSLEEVDRIFHDD TGAEDRARRERVAKQIGLDKVAQDIQHKEKSQPGPDMA I203_04715 MEPTTSSITSDDNSVKYSSIAPADPKLDPEPIPFEWSFDNLSSG YSTTLRKQYISVEMTPTHTSTSAPYVIPFEPQAADEKERQNQNMKSLLDSIRTSNEEG WESVLDLPIEEQEWMNRNHPGRYDAERSQVQRSIQSIEDIYGDTVPTGGYIQFQQDGL TTEIKAREGKENTWVMSMMTARGAPFSGKAFSPDLDDKYEILSIQASSGISPSLQFGY GPRPRPSPSSSGNSIGAFISDLHENTMNHPQWSKILNKAFKAQRTCFSMADCDGLDGL AYVADGFEVKVSKANPDEREDYGIEYKVEFTPCERESQA I203_04716 MSLGATINWRSIKPNRLIRPTVVSRSISSFPRPKVQPTSVKQYR MASVLQATAKAAHSAVASLASAAPVKVGDAVPDVDIRINNLEEKINFSKLPGKNVLVL VPGAFSPTCSSQVPGYLEQYSTFQSKGVKDIYVVAVNDMFVVNAWKDKLAGDKNTVKF AADDTSKLASSLGLVLDGQAIFGGPRLKRGAIVIEDGKVVHVAVEPSPAEVTVSHADE VLKTL I203_04717 MTYLTTHLPFPSSSTSLPPPRSQLIISDTLSSPGNFAVYHLVSS ALGKEKRKVIWVDFKGEGRSSWEAILKKIGTPLPPLTSHSFIHISPSSLPSSIKIPNK NTPSLFDIDEKPILRETYDYITSHLTDGSLLILDGLSELDWLGFSPLELSKFVRAVFA KVKLSNSILVSTIHADHLPLSSTIIPSKEAGESDLLYRLLRLGQGCWWRVSHLPSGRS GDVMGEISSHPLTNPIGLKDSQKSPYIPRSNPLQYRLEPNTVRVFPKGTGRGFL I203_04718 MNMNNIQQVIDSCSDENWKDILNDPRSKSSLDKSIRSIEITLDM SGSYFRGVNGEQISLEYFNEGFKCRFNPSSEGSAYGDGMARFTLRINFPGKLGTKGSE KSDFQ I203_04719 MVVLKRKRDFGDQNEPSAPVIIRPKAGTKAFASGKAIKDALDTA SSQAFVSFRQQIVTPHSSLPLAINHPTVIILQHYLDTSPTCEEIFRAWQIGDQTKTEI QAQAAVELLSEIISILTPIPFFRSSVVGLINKLVSNTEPYSEYLNRLCQSGRRDDVYH GYLLAAAAVAVDPPTSTTLGSSTSGRLGLKVWGVLVEGGIVRGLGKQMGMRRRNKEGM VGYGEVDPLDKPDIRHLILRTILPLLSTPTFQAHARSILPPLYSGLSADPPITVYRVL TALWNAISGPSIGLNRRTSLVLFHEKSLEHLWQLLSRQDVESSTGKTVSEIVEAFLEG ITTVPGQGICFADEGWYPRRTTDGNANANEGNNGTAVNGTNDDRHDSWKRGLHNRILG NVVRKVGNKAVDDDGVVGNWMTKVFEACPELIAGYWAHSALAVDPRLNARWVGTMAYI GRIISLPTPALNTFRQPVPAGADPSTAPFRSHPPATSVIIESILPAPLTKNHIMKGLQ LTDGLVQHVTAITLARGLQKLSVVQELFSQIEKMIEDEPSTSEENAWRRGRRELEMEA RKRIPELSVIIAFAQKAATMGPPEPETEAELALASKSAMLTEIALRIFGLCNKTMPSM ASEVKFDVGRLLVSASSASAERRARREAREGNVEGFEALSQLHVLQLLGEVRDWNWMN KAGTSEYTYLYHILLLYLSTRQSVTQLKTVSLLQHLLIPTLLYDHDPSELSIWLDALP RASDSASGPMLLAQQIHLLSFLDDCFRRAIKTPYRYIEDAFAVVPDHFEYSRPTEMVS PVLMTIVEQLNAKILGQLISTEAAGVVLLYIRRIVLGLVGKQKDLQFLLAIVGKFDDL LEKAKEAGQARVGLQDVVQGMKDDLALVQGAKQNKKSTDRDPEAHRLRDEEDWSARSF EQTCLTMTVDQWGEVGALTSLASTFIKSADDATIIRRASFLLQLLPSKKGILSSLEAK STALHLLDQCLASLANPPSLAPLKASIFENDFVKQLFLSEKGDDCRIPLNSLVARLQS SISNDAGLAEVFVLELVGALKSDKKGSNMERTLTLLQPWIPFLGAEPAIKVLKPIIKR SETAIPLLKTTLAPTIASMVLATNDPSYAVDHIKELVKLKSISPVVQLIRKASVDQEK RKSLNALSIKKGVVRDLLAIEEDSAFELVTLLAQISKDAAATIFAVLSKDSTQLKDDR VLPIVDILLDAPSDISDRKLDVVSLAIKVLVSSNVSSTQSESAIRIVTRLSQQQNEDV FQEIRKLKLTDYGLPLARLTETLADRGSQVFDPPIKHLLDLGLQYAVRVCSKVGESNT DEQAVLSHLDNAITTAEDIKPEVQLSEPVITAIIQDRLDNEEAIILAVILARRVDMKA SFIRQQLQALLASSAYNRCIDSSCPASTRLNYIRLLHSLFAASTYVSCQPNFIEPLVP LYRGTLSESDRLILNMFQLFEGYRKLSVSSILRFWSASGIIGSGNRSFDALTSLDPQK VFATCQAYPLRRPLRGYGKASRQPEDGEGMYDPVFVMNLLTSTMSEGTVTGLDWVEIL RSNVLGLTICGLSSRDKEIRTVAGYVLAKAYHLINKKPFYERNQLLYTLRLLRHSIET PSARLPILTTLFFAHALRSLANPSHFLYPLSSRFLLQRHVFDPTDTPMLYSMLYASGD GWKRDRGWIIRFLKDGVRSDADWRVIRRRKVWSLLATMFSSSLDPAFRRTVLQAMESM VTIPAAARSLVLRDGLIVWLSMQWTNVTRRQIDSHLKGTKAQTWEKDEKAMILNLVEK VCVNMIPTEIERVKEGKEIGNWVGHVEIFFKTVLDAGMFLLNLNARQWYENILMTQTD VDAERLVVLTRILYRLSTIPRLRLTTAIVPLLVDQLKKLEDSLAPTKADLTSFLFHIG LNTDPENLRHDIRGKEAIEEVGWRAERGDAGDELADWVKKERRLVEWEN I203_04720 MPHTISPNPSSQGLGISFSTLSPPPAEPQLPRFTGIVPKPSQSS PSRLPLASQLGSRPQPSPTRGAPAPAFQLRPTHTRQGSSSNKGFTSFSAIPPSPARPM GPRSVGVHSPRIGGPSIRSESENSHNVTLDSAIDPQYADEEEAESEPEEAESQHGMED ETPKQSLRPLPTPTRSASIASHLTTASRQISEDMRRKFSKSSGADTFGGGGGGSGKYL PLSGEDDLVHENEQEEVSNYVHGRNMANEPSSTNVEASPMDLPVPHPRRPSTSISNGS APSGSRSESIAASPSKLSSQSHQSSGSHSTYQTRQFPYPPTNIHPPRSATTYSDPTNG NNHAYHQYQAYSQEYLPPQNRQQMSRSKSQPQYLYGVPEVDDMTDRPQHRKDPPMMAK RTSDISSGIHSKNAVLLSAENGGMMLAFSPTGDGTFRPGETICGSGTGLLPSAQSPAS IVNQHRDRASSEEDLRARPWSESSMSHENGLARKPTMLGNRGRSLSDGAQLLARQGTL LHPSSSKQRSSAELNVLLGGPKSRRLSTNKLLPPPPTEQDDRETSWQKDNKVRLEASK KGKARVEVDVVLERECVVEGGEVRGRMEVKITGGKRGEGLRVGGGKVRVVGFEELSAT TRHIFYHQPHPLPVFDPDIQHNLSSSLFASGPDSDGYRLAAEGTHNIPFRMRLPLGGG AKGTYTSQNGKGPCVRYVVVGSVKIHIPSTGKRSIAHFYRSIVVLPYLNPSLVLAPST EPVEGYTERGLGWNLTGEKGRVELRVSLGRRIWVSGQRLWCEVAIRNDSNKKIKTLNL ALLQTIQVFNTQPLLDTSDLTTKLIKKRKSLPNCVGEGVPGTPDLDACQTTTQRRKIS EEIIEADFISHGAGRVTGKGWWTGVDSGESGHWDLSLQVPPGMLSIRRTRLIEVQYTL RVTVNGSIYVDVPVQLINFLSIDPPPMPRDGPGLLNPPVSNKQPVPNGQSRDLQVTIG GTGGVYQQPKPSNSRPGAIRSSSEFSADSVVGAARASSTTLHIDALLQAGRLRAEAEA MGNLPPALSQTHSVIGKPRPLSLGSSYSISNRTDLHNLTHIRTKSNPSLKPKGARVMS CNSSSHHNDSQSIFSGETHDDENDHDQDVNAIESQEDKMRVAAKRALARQRSLAVIHR DIDKEKRELYGGEEPLSPGREPDTPLQGEFRPCHTPSEEVYEMVGNTPEEDTTEENQD PLPIESPKEDFQRRQLRVVNTDENDDLDNEDEADEAITQENDGVYGGLENVQDTTQET EDAKEEGLGLELDAGNETVLANMVPEERQELEQVMSEQGHEDNVYDYGEDAEIEDDED DQEYLLSPAKNVEQVPSSISSQRLPFGSEPYQEEPDRDRESHIAATRSVYGSFAAGSA VSEQESEIGQVYEVVKRNISIKVPSKLIPLSSSSDEKEERGISSSPTESLKDIQINPS SAPLTKSSSISHSLLADARRASSSQFRKDSMSSINFSPGTTARRGSSILAQPQGNTGA MSRRESSTMGTAGHGQLSPMRREGSSGTIATSPIAVARVLQKKNSFTFAAPGSPLRIK TSSSVLSTSPEKESRIRSSPSMSPKSLSPVHRSMDMMKLPSMNSQLRNQILPSESDTN SRSSADKRSPDLNGDSGDEGGFGLPGLAPSVASDSASSSEGHQSDSPISLSSLPQPHI QSHSPNPTSPTKRLLPLVPGVYQPFSMDQDVYQPQQKEMLGNPDWRPEGCTRNSQVLH VRTQVYPQSERQNSLPIPMEEEMAYSEENENIPSSPRSTSSCHSILPSVKNKILQLEN RDEALRKFSVSGSVPSSAVPSRQASIVSPELMMSSRHDSLVPMSSRQNSLIPNTVLSS RQGSIVNPNIMSSRQNNLIPGMSTIKETTTTMTSPTVKRKSYTTALAPKPSPQRKTSN ESYHSAVPASPESSVNTPIGYRYDQPSYQVQVQNIKGLGHTTYVNRRSYGSPTQTHKE GDYFNYKYRMNGQSHAHGRSDSINSNTRSATSSKVSPLNESQPVLDPFGLNDNQTEPL GEVEVKEMTVKTKVSGFWQIGDDNGDGENSKSDLKVFIRHDRVSVSEGETSESEGLL I203_04721 MPKSNDGSDTNDSVKSLPTFEGLYGRQSITLLRPFSRFSISSLF RSSPAPSNLPATTLLDPLILISFTVPSDPLPALDHITKSLEGLKDFATQLKETIHSQN LDIEHGTVGERIEKLLQAYLAGIYVLSRLLWDNISDCDAQKNEDHKAALKQCIQGFFL LFPQVQTGDDIHKHILGSIFPSTQTRYYQLSPLLQHPRQLISSLQSQPTRPIAHSKLK DPIQSPVRSSFLDHVPESEVLYAISLLDTFLEGMQGVECLPGPMVAKTKRQKEDDKRR PLRVEMEARVMKCDLLMSMTTKRIGEVKSRAMRKIRSDVEQEGEGAEHNEGDDGGQQV KDIKPTFSSEDDPENPHGTTGSSDDTRWEDLSEECLVECLDMAKKLIEGGRAEVLSNH IEAVDWLGQDGIIQPDKQEATRRSKSPSRDVTGGSDSEDEDESDLGSESEISEESAFG TTHPCPLRSIFRLHDRYEEQRLAVWLHLPSAKRGKMGRFMRGLEGRVGSSWDNFGLAA MVEYDSETLMSYGLGSDKLDKWVELASMRNAKKIKRKGRKTV I203_04722 MTSSAQTNTGSFQSLHSIKPSEPPPPPPSSSSTIQQFYPTPPPS PDIADTATLPTTSSTPPLQGSTPHLPKLSVPIPLLLDTRHHLPHASGLRLESPSSLLA TLGGNRVLALDPSSSVWDRMPYSASTATVSTPNAMEEDSVESLVGGQRPQPSMHGMHW AMRKQKSSEHISSIFVSPPTPERMASPTSEDPPKTSVQFSRPVSVSSPNKTVESRSSA SSSPSGSREASPTASPLLSATKVLPALSSSNGTTIPLQVLPEVRSPPKKTIAMTTDSL HLTAKRGRRPRLFGFTEMMDGHSASASASSSAASSAANSPDRTPPTHSKHFDFHHSNN ASLSSNTSSPANFMPRRHPVRARSEAALMSTIHHIPPRRRENGLKLNFDGIVPLPQPR EIQSATIPSPYTSRLIRKKSGEILKSALKYGGPLLPNGTPIHARESSPSPLFESKSCP STPSCPKYVHFDAQLERVKLFLHDQKPQVVSRDGSPTADTTSEGDEFPFPSTDEEREV KKVLTVSLPNFPTTHPPDSELYLESLFLEDDRKALKGVVVCANIAFQKWVAVRFTFDW WQTTSEVTATYKESIKGGKFDKFIFSIKLNDLLAKIEEKTLFMAIRYTAEGREIWDSN GGQNYQVLFQKVAPQPAGLRAARNSISLQPGMGKAVGGRTSQWSVAGASNDDRLADLR AKLDRLTADEPDRVPVSPNSSRHFSFDFGRRGSTTNSSGSGSSRRGSENSPGGSPSRP FSPMDTKASDLPAAGTALAARYDFSTSLKTTARRGSSSPVGKPGELPDVKTGLLNYGT NGQNGQKANNNPHAATEFYSPRFSQATLITKTNDYFFSPTSTSASTMPMPAPVPDVKV QGPSPPPMEDRESTPTPTTVNAQIHTGQSTYTVPTSKPQQPAVKAPSSKRPTASFSRH ATYPSKFTIGDDDSVQVSPNVSPPQLIKSSSTESTATDTPSESPRSPADLSLAKWSPT AKSADSSDDANSLSSYSSFLEQFCWGGNSMTPERRSHSTSSLDNYFQPVDIESSGLST PRALADTPQAKTNLNLVETPSSTTSSSYYSTYSNTPTTKEDLEHVERALGGAGGSERV HVNGHMSPPVMA I203_04723 MARIRTERPYEDEANPIPRALQKHNPRRAVSYSILSPPPTYEQV EKLDALHGPQNTSATPPPPQTSIVYNPETAVGGTLVNHISQINCTDPSGHTPKRQFGK IGIIAGVVFFPWGLFW I203_04724 MPPKFTSPNSNSNFTTILISLSTLSIYLITYPLHATPYRIAPPI FLIVSLGLIVAAYNLTLLTALISIGSVVFVNLLLAIDYIHGECGYDVMIGGESGHKAR LGQIGWYRGCVQPTQAWWIMVIIGSIWLVIAATELLKTRHTSMNGQYLRLSTDAEEES QST I203_04725 MDKRTSSPFSQALYAVEVLTDRIKSLGIRVSESGRDRAETQNEE DGYRSLSWRNSIHETTSPANIELPDGVEIEEEENESPPPYDIDSPISPTSPSFPTSSP TSQISRFHLDYLLITIILLAVGSTITLFIIFPFPTTIPAFIGLFTILLGWTLTTWFKV IYHVSSIFKSREKISNRTKNFFNRFSTTKRTILATSTLFVLFLSCLGFCPPEEQDVPV RPVQGEKYFVAANLHNSQDILPGWSSELIKLINYLGSDNVFVSIYESNSQDSTKSLLS SLNDTLLGMGVGRSIVTEQDDKHWWPYNTSPERIGYLANARNKALKPIQSSDPEIRLK GYNVFTKIIFLNDVYWTWESMVRLINTKIEGRDEYDQVCAMDFGTSGLYDTWASRDIC GTPLRPFWPYVKDETTIDRLRKEEPFEVSSCWNGAVVFKAGPFLYKPQEEDRVDEAEM IDLEQSHGGRHLAKRGWKMVDNPSYPNSVFSPSLTLPIQFRTSNISACDHSECFLIGY DLHRLYDTVARPPRIYMNPTVKLAYERNWFVWHNTVLRIPIIQWWLENWSRGYPFYFV DWIWEKAGRRRDYCTWSALAVHLPERCPALPGAIDKNWDQ I203_04726 MSEEHAPSQTADPSSSSSSSWRRLSHPPLPTFRPNIPNLRAALL GYLGEVEVALRSKLGELPPAEEERLRASSSSPSISGEDDEYEYDYGEDDFGGEAIGYA SSSKLGNSSTTSTSGSGLRNRFETTNGAGGSRGSEFSHHLRLLNHLSTLREEALNYLP SLSVSVPSVAVPIIPSYPSREWLRSLPSRLSSIDPALVYRSSSVQEGENKDHLGAVES ARKRVLEVVHTFLPSEEWAGWEKLGWEEQEDDLAFHNDQLHPLKSRASTRGRAASLER YPLGDEEEEDEEPEYLFPNRTPASLQANRRRAVRSKSLGASSLPTKSTIQAIGRLSPV KKGKLQRSKTDPYGKASLVDEKDHEDIDVDTLLGEDVEGQEKEDEEDEEYVLKHPEIT DTKLTALVAEHDDTMGPTVQESLRRSDDGKKLIRYEDLPALWRNNEHVHTGYRFIPLH LKTGPVPLIKSAFIMHNETINIHSHFIPTILISLFIPYMILNPPLPNAHLLDTLILAL YLIAAISCLFSSASWHVLSGCASKRWFEWGACVDYIGISWLIAASFGTVVYNGFYCQP KLVLLYCTTNACCGALGSYLPFQKWFNERRNKHLRITFFLVLCVAMFAPMLQLFFQHG FHKALSFVAPFGWSIAAYLIGLWFYAMHYPECNWPGKFDRWGMSHQWWHAGIVLAIWL HYRAVFVAHGMKDEYSCMAPGAGRSVAQVVESWFIGM I203_04727 MGESSRRDRSRSRSPSRKHRERDRKDKNRESRDRSPSSKHHYHH RSSKHHEDEDRHRKHKKDRRDRDETEEERNERKRLKKKKSKVDEKGLEIVDDDYDESM WVEKGIDGTEATSNIPTSDSLALQSNPSNPPPKVPLPPSTSSGSQARERESWMLEPLD GTSATVPAPTRDIPRSAGSRGGMTEGYGDETVSNRNLGGGMDFFEGLGTVHERKDPKA DLPDPSKLVVDKRFELNTQLLEGKNIDDYETIEKKITPGGPGYQWRMMKLKRLYEQAE EQNREVEDVALERYGNLEDFQAALEERRILDEKEARRRSRRGQPGSDGFSTPGGGSSG FRTPDTTNRRFMFTNPSGGEELGSSRPSSRSGFRRPQGQGEENDFQTPSGSGGGRIDE LRRRESGTNVNPKVSTPIPSVFTPTSLTRSASGYPFNSTAEGGGGAVENPDPTSSKPP LTTEQLNKLQARVIRAKLMDEPNAVSLEEEYERERTKSERAKENGGAGLWQGSTDPIE VQMLPTLDGRGKLYDVGLGASDEGELRPGNRRKKVEKFETRDREGNVLRYNADDDTQS LGELVRQEKFGAGSSDQKNMDAEMAAAITRDAKFESDVDYMDDNVDKLARRKMKSDAM KRAFAINDYARTKKALDSCSFCYQDDRNPLAAIVALGTRTYMCCTQFEELVPGHCLIV PIQHHLSMLEMDDDDWEEVRNFMKCLMRMHAQTNHGVIFFETVLSFKYQKHTYIEAIP VPYETFQDLPAYFRESIMASEGEWTQHKKIIDFSTRPGGFRRMMVPNLPYFMCQWDYK GEKGYGHVIEGVSDSGTGAGKAEGEDKEGDVGGAGGKEDEFPRYFAAEVIGNVLGLEP RKWRKPRKIDYNLNKDRARKLGEKFQPFNWTVGQGV I203_04728 MEARNLDHRRNNFKGKSSFSAQELRRRREEQQVEIRRQKREESI AKRRNLQPISADDGIESDDETGVDVGSLADTLPGMLQAVYSDDQEAQLDATTKFRKLL SKEKNPPIDRVIQCGVVPRFVEFLSSNNTMIQFEAAWALTNIASGTSEHTQVVIQAGA VPYFIRLLSSSVLDVREQAVWALGNIAGDSPKCRDHVLGQGALQPLLVLLNENHKLSM LRNATWTLSNFCRGKNPQPEWELISPALTVLTKLIYSLDDEVLIDACWAISYLSDGTN DKIQAVIESGVCRRLVDLLMHPSTAVQTPALRSVGNIVTGDDLQTQVVISSGALPALL SLLSSPKEGIRKEACWTISNITAGSPMQIQAIIDANLVPPLVNILANADFKTKKEACW AISNATSGGLQEPNQIRYLVSQGCIKPMCDLLTSMDNKIIQVALDGLENILKVGDVDK EAQGPGGVNKYAQYIEEAGGMVNIHNLQHHENLEIYKKCFYIMDKFFPDEDEEEADAA APAVDASGQYAFQSDVAAPQGGFNFGQ I203_04729 MFNNHGNVTTSEKQSKALAPTSDHIDTPNLIRSKSKANIQLYDE LGINQETRQILQDNHAYIWKKDAKGNLLIKSLSSDPSSPRNWMNWKRYALVGLASLLN NLVCLCVSGYSTGVEQMQEELGFGSELGTLGLSLYILGFAFGPMFLAPLSEYWGRRPV YLVSWTIFTIFQIPLALAKNLATVLVCRFIQGFAGSTPLANTGGVVHDLFGIDEGGMA VAIYALSSADGPPLGNALSGYLAQEKGWRWLFWTYLIIFGAFIFIIFFFLPETRDTII LSRKTRVLRQQTGLEMYGEHELVKKDPKHLYTVTIFRPFKFLFTEPITYLCAGINGFV FGMIFLSNEAFPLVFGSGNGGHGWTHSGTVNLTFLAYVLGSVMGFALTPIQNRKYLQA SSIKGFSDPESRWWSALWCTPFCPIGLMIAAWTSYSYLPWIAPLIGFTLFGFGFYVIL AAILNYVVDGYGRYSASALGGVVFVRNIVGAIFPLFARQMFVGMGNQWALFLLAMLSI GMVPIPFYLYKKGKTVRQKSPYCATHFGEEE I203_04730 MSSTNLSPDKITGFRNREGRTPPPAPSAAYARRAREIIEDEAGV SGLRPVAAITSEEEQVAAVDNGVASGYRRARAGTMPSNLQEAAQRYTGPSDEPTPTTA TSTASSSAATYTRTSALSPAYPRTTARPSLRHAASSAANLESSSASNRLRSGSLTLPG TGLGDAFGHGPFSNAWLANPGLTASSTPARSPLGHPLQANEEELSSYTSTTDSAASYP TDDLNSTLDYLGLADVCDAMHLAPASMVELRNQAQRAIHNSGPASRLRASTVSNFARP FRPSVTNGNLFNGNNGYEGRNDDEEALARAIDNLGMYDQNGYPVSNSQLGNLYTSSGL YTSKELARPRATTIGALDHPVRKPMTRGNSYLASIPQSPIHAEHLSSPYGYPNQINSK SRDSSRGPRLSISSHTSRTGTPDVDKGTSTPQVPTRSLWIGNLDVNATSDALLHVFAP YGAIESVRMLPEKTCAFVNFMEKTDAIRARDDVLNRMGGHVSALSETAPVRIGFGKID SAPNGPSVNTTATTAAPPPPNLVTTVSADSPDTKDGDQSALPTRALWIGSIPGTTSPS TLLQIFSPFGPVESARVLMNKCCGFVNFERLDSAVSARNALNGRDILGSDVGAIRIGF ARVPTKSPTLGGPEDDISSPGKLGDALNTVQGAASVSTEQQMSAEGGGLENYRSQLIL DLVKQGVHEQVLEKGLDHDGVVSDQQMIMQVFSKEAEEDRDVKAAAESRPPVTYYTAI PLVGDRASRRPDNSRLKDIRKLLDVGQCTQEEVDSIVHELMEDCAELASDYIGNTIVQ KLFERSQQPLRLAMLERIAPHLATIGIHKNGTWAAQKIIECAVTHEERTMIIDHLRPF APPLICDSLGNYVCAGTLKFGPPWNDYVFDAMIDRLWDIAQNRFGARCMRTCLESNST SLYQKKRISTGIILNSIPLATNPNGALLLTWLVDSSNLPGRYGLLANRFVSHIAHLCT HKLASLTVLRVITQTTEPAAANNLLQAIFTSNKDETLSEILGDANNGSQVIGKILAIN TIPQEQKTQMVETVRRVLPNIKASNTPPYRLLLEAVGLPVPAGYINNNSSSSFGRSHH HHHQHQQQQVQIPQGWGMQPQQSFGQAFYGGAGGADGYQQPPYGMNNLSPLLIPQNMP LGQSMRNPSSPNSTPNANKSPRTPMARFSNGPMGMGMAGRMSPGSMMSPGSDPFNPFA SPSIDLPGAQHASMRLGSALSQPPVTFGSQPDIGGLGILGQQGSDGGNGVYYGQNVGR PMYGQAAGYQ I203_04731 MTSPPKTTSPPPVVPATASLAEQHEPPPGPPPPASVATQPVHAD PKVVELHQMFPTVEISVIELILETSQGSTDRAIEQLLGMTDPEFKSDELGGTREDAQV DLDAQFARSLQMADEESYRQQQAEFHSRVNSGNNPPPAGGLPYQPRIRRGQRQPAQAQ PYSEQDLFYQNRDQGLDDRYGQQQQRDGNEGQNPPGMLAFEEKVEKFAEAGKQTLNSL FSRAKAKYSEFQAQQAQNRTNQGSSGSGYVPENLSPSGDRYTRPPGIGGNRGGEQNRN LAGGGGGGGLWDSRSPSLRSESISSQSTFDAPPQSQAQVPLRQSSNRWQPSDAYDDPL PPARTMSGNRIEITSGRSTNSGVGSPEKQIGKIDPAKLGILPKKRVDLLSTSPSSSTN TGTNVLSKKDNDDEDDPNPSLPNAPESLVSKIPPTPPAGDDRYRLEDSDDELEYTKNP FDEK I203_04732 MLSIISSSKYILLSLPLINTALGATYTLSKSWQGNDFFDGFTWW EWDDPTQGRVNYVNRETAISRNLSYVNGGNFIMRADSTNVVNSSARGRDSVRIHSKDT YTDGILIMDVKHMPTGCGAWPAFWTCTRAGTWPAGGEVDIIEGIYGINQQYSNNLFTL HSDAGCKMPATNRGSGTPLLSDCTGGVGCGVRDKSSKSFGEEFNKNEGGIFVMRRSKA RGFSFWFWPHNSPQAPTDITSGSQTIMESLWSTPIANFPADQCDINRHFDDHEIIINL TFAGVWAGGDAQWAASGCAGTAGWTPDDYVKSNPQAFTDAYWEIRSLKWYAPVCTAGA KKRRLTEDQR I203_04733 MRPYSLLLALILSLVSLAAARSASGDKVLVVLEPSLKRDDYSKF WKSLQDRGFELTFKEPKDRDAELVKYGELKYDHLVMFAPGAKTYSPTLSPKSILHAQF AGLNTLYVLSPDLTESNKEFLREYDLEFIDSSQTLIDPFNHPVDSSSSTVSLSPTSSL VQDNGEVVSPSTLSGGPIIYPSGTVHTTGDNPYLIDVLHASKYAYVGQDKLLSSDEAE VDKSLNAKGTKEPILNGRKATLVSALQTRDNVRVGFVGSTEMIKDIWWDKEVKTKDGK SIKTGNAAFISDFSKWIFQETGVVKIVDSTHYRKGETEPRELYTKKDDITYSLTLAKH YTTSNGTSAWEPFEVDDIQLEFTMLDPHIRTALKQDKSAVVEQGTNFKARFDAPDRHG VFKFVVEYWRPGWSYIRSSQTASVVPLRHDEYPRFIVGAWPYYIAACSTSLTFLAFCA LWVSLGEGDKDRKGKKKAE I203_04734 MSAQPQQPEAIILFARGTLALLDLWPALTIAVAEQWGGTESAAK KTWLASILIDEFETRAPLLPIANAGDLPVVDPKSANDPPLDVDEVADLLHQIMSDEFD ANLEDGSIDSVSSDLIKLWKDILGPNPLVLVEALERKAGEMKKMGVNAARGAGGAESQ SEGEDSDDHEDEDGDIEMDGQDAPQLVDNQSQQSRERQEPVVDDDGFTLVQKRGGRR I203_04735 MPIGEEEYHGPPSSSTWDHAGVSDLLDLDTIVRLNSELAQNTRL TSATQSDFGRSPPFSDTIDQVSETANRDTVMDDSEDQYGNQEVVQFRSGYKIISETPM KPQSTSNEEHRFDRRLTEINRDSRTRPLTSAPGNTSTIEEDNDKTRRRYRPGDLCDKS THTFDRPKGILIGKNLSAKCHYCKATVVHTELPAIVRVPCQGTDLFNDSEKSRFAHRL KQSSNSDMIVCMKVGCELSFQAENVVWRTPKERTLRRKAKEEE I203_04736 MLQRNPDPDWWRQAIIYQIYPRSFCDSNGDGIGDLKGITFKVPY LASLGIDAVWLSPFYPSGMKDGGYDVSDYRDVDPRIGTLEDFDELVSALAEKDIKIMV DIVPNHSSDEHVWFQDALKAGKGSKERERYIFRDGLGPNKDIPPTDWQCAFGGPSWSP SGCNDGQWYYHMFDSSQPDWNWDNPEVHEDFLTTLRFWADRGVSGFRIDVAHALVKDF NGDLPNWEEMNRIREKKLRNGNKHITHPYMDRDEVQEVYKDWRKVFEEYNPPLFAVAE CWVAPDRKPLYASSEGLGQAFSFDIMLCNYNAKEYRDCIVQSLKDSEEAGSSTTWVLS NHDELRHVTRYGLPDVPNAGYPEFDDAFNEYKRSRFTNPPVNVATGLRRARAATLMIL GLPGSTYVYQGEELGLPEVIEIPDNQRQDPHFHRTKGECLGRDGCRAPLPWKSSAKNL GFGSGKSPHLPQPAWMKDYSANLQEHESDSTLNFYRKALDIRKELQCAEELEWVDNEG YDENVLHFSRPGGWEIIMNAGKKAVSLPKGRQIIVSSGEIKEGMLDGETTVWLLVE I203_04737 MSVETFPPISVGLMGTGEYTTGITPSGQSKSDKKIGVVGVTMFD LRRRGKVSDIVMAGTNGGKFPEIKQHFQKNIGDVYKGLDLSFKGFPEGNLRNAEAYKE ALRALPKGSAVIIFTPDSTHFPIASEALNLGHHVLVTKPATQKLEDHQKLIDLAEEKG LVCFVEHHKRFDPAYNDARARAQKLGDFNFYSSYMSQPKMQLETFKSWAGIDSDISYY LNSHHIDIHCWMVEGRYKPVKVTASATTGIATSMGCDPKTEDTITLLVDWENVETPSQ RGTAVYTASWAAPLKAGVHSEQRFHYMAAKGEVRVDQAHRGYSIVEDDIGKLDYNPFY VKYSPDENGYFDGQKGYGYVSLEKFIDAAQRVTAGKAKASDFDGKGLPTIKATVLTTA IIHAGRVSLDEKRSVGLVEEGGKLKLV I203_04738 MSDSEKLILLGTHATSIHSAIFDPKARTLKRGVSTNDLPAQPSW LIKHPKHSDLIFSNGWVDNKLIIYRLKSSDGKLEKVAEANTGGEGPTHFAILPDGSEI AVAHYRSGSVTVLPLGDDGLFAAASPTQDRIYKGNYSPKKHWRQEAAHMHQVVLLNGE ILIPDLGSNKVYRFKWDSETKNLNLLDEIQDGFQDGDGPRHLVVHPSGSHLYVLNEVA GALTVHTLPASGPSKLVKRYTMLPPNDDGRRRETGGAEIVLLPPTKPDGRMLLIASNR DSPNDEDTLALFSVSQTDGGDVIRTNEGWLGGVGKHLRAVEQDPSGRYVLVAARDTGR IVVFERSGENGLQLSEVARLEGVESVVVPLWI I203_04739 MLDNLTSYPALQGPHKGDLLLPSDDGYKQSVKRFAATAQKPAGL VAFVKDDGDIQAVLSFAKEENIEIAVKCGGHSVSGASSTSGGIVIDHSRYLNEVTVDV EQKIAHVGGGATWRDVDLATSPHGMASVAGTVSHTGVGGLTLFDILFWAILGGGGNFG IVPSFSLRLHPQRSEVFTADLHFPGEKVVQFFEALGGWLKMQTEKDLLFIFFQDMGNG PVIMAHCFHNGPQEDGKKHYKAFYGLGPIVDTTEMIPYLSLNQAIDDDRPYGTGLYWH GTIFPSPITHPEAGHKLWESYHDVCVKEPEFSKSTFTILEFHHPSKLMERSNGEMAFN NRGEQINMLIGIGWDGILGNQPFEKAKKYAKGIANAASDKGENEDGYANWESNTPTGY NRSIRAFGDKYPRLQALKARYDPNNMFNKWHPIEPKAD I203_04740 MIPIELEPSPSIPLHSLEALQSDALLPICRACGTQYPLTSSLKR NCAICEDPRQFVPSSGQEWTTLAEMGEGGRKHIMLKDEEDSRISMISCEPAFAINQTP TLIETAEGSYIWDCSSFISLPLIGHLSKLDKPLKAIAISHPHFFSTSLTWSRALKVPL YLCEDDKDWYQRLGDIRPDDQVVWWIGEKEMGRGIMLVQCGGHFPGSSILYWDRLSEP PPPKDNLPTKPTPVSGIIFTADTIMVQPTQKSFSFIWSVPNMIPLRPQSILSIQDCLK HLSFAQATSSWPNRWIRQDAKKALEESVTTFLAAEGWRIDEGELVKLIT I203_04741 MSTTNNANANAYAAGTASDTRHPVVPGTQADGSAGANAGQSLGD KVKGGWNVFHGAGEAIRGNANSFMDNVGEQIAGRDPATRPESHSAGGQRPAGVAASGA DEIQRGVAELKK I203_04742 MASRLALKSLRTAALARPVPRVAAQSVRFLATQPTPDEKAEQII NSVPSSSLFTKTGGILLGTGLTAAAVSSELYVANEETVLAVGFLVIFGAIASSIGAPY SGWANGHIERIKGILNSARSEHTKAVTDRISSVSQLQDVVPLTESLYAVAKETNVLEH ENFKLAQENAVKAELKSVLDSWVRYEQQQREAEQAALVKTVQANVESELAKPAFKKQL LEEALSQIERESLHTWMNYFILIFFDARKEE I203_04743 MSDEERGDDLFGDSDNEAGLSRQPSPVASGSRSPAPPAAQAQSP VKTESGDEGGDLFGDDDEEEERVKRGRTSTGTPASPRRSRSRSGSANPLEYEEEDLAE EVKNEEKWATLPIPQWNKMKATDDKVWHMKLPAYVNIESKPYEPDLYREALNEEPIDG KTNPVAAKSKMIGVRNTIRWKWVTGGDGKPTRQSNARMLRWSDGSVSLQLGSDLFDVA PSHGATLARPQDPKATPAKGLEEKVVNTVSSTTFLAVIAREETVLVTERSVAGQLSLL PTSMDSKTHLELVKHVGQQHVKHSRMKMLEETAKDEESLQQLLLKSAPNREFIKTDKD RAASSGKRRGGRGAGSGLGRTTSSNSLGGTKRRSRRSYYSDESDEGSEDDNEPRRVRD RSIGGGAGGDYDEDDGFVVADSDEDEYGTSSRKNKKSKKRKTSKRYSDDEDEDDVDED EDDLDEMEQAERRIEAKERERKRAKTSNSKSSGKSKKSRDYVDTDEDEDDAEEAGGEQ DAEGEEEEMEMDIESEEDQ I203_04744 MKTDAHRRVAPGGRGRDSVRIESKDTFDNGIYILDVLHMPTGCG TWPAFWTSTIGHWPEGGEIDIIEGVNGKGTNQGTLHTTPGCTMPQNMDATGQLLKTDC AVHGNDNQGCGVKDKRSDSFGPAFNKDQGGWRVQP I203_04745 MQRSITGIKMWFWPRDGQVPPDVKTGPASVDPSKWGKPFANFPS THCNMRQHFGKHRIILNISLCGDWAGAVYNQQGCPGTCATHVDNDPAAFREAYWKIRG LRVYADNARELDYEGDGFELEYEGENDYSVNDEVVYFDEY I203_04746 MVGLILPSGWDDKPQIIGFKQQRPTVAKGSALNSAQRSGLVVSS ESKGAGQNHGPADHQRIAKLDRDDAPKPPEKIGVDVGKAVATARMAIKNQDGKSMTQK ELATAVNAQPSAITDLEAGRAIPDQQLLAKLERKLNIKLRGAKATIGAPLHPPKKK I203_04747 MSWNQYPGGGHHQQGNGNGGYGYAPPPPQQGGYGYAPPPPLGGG YGGPPGGGWGGPPPQMGYAPPQQEYNNYNRPPPPQQHYSGGQGGWIPPTGAPPEHNYH QTGAGYMPPSGPPQSGAYNNAYGNNGNRAPVRPPTQTQHYGPQLHGQNGQNAQPYFQY SQCTGRRKALCIGINYIGTSQALAGCINDAHNVQKFLIERYNYKAEDIVMLTDDARNS RQIPTRNNIIQACQWLVQGAQPNDALFFHYSGHGGQTKDLDGDEDDGYDEVIYPLDHQ QAGHIVDDEREHHLLVRPLPAGCRLTAIFDSCHSGSCLDLPYIYSTEGTIKEPNLLAE AGQGLLGAGMSYLKGDTGGMLKGLMGIGKNLVNQNSDARKKTQETKTSPADVIMWSGC KDSQTSADTQEAGKATGAMSYAFIASLTKYPQQSYVQLLNTIRDELKGRYSQKPQLSA SHPMDTNLLFIA I203_04748 MAKIASDIRKTFPKTDEVVVSYIAGLVDDEDEEVEDIVGMTRGM LDNIGEGSKDAKVLDDFMARLLAYLESQSSKRIRKSNVATKLDKSVHMRSQAMSATIA MSGKVDLESNTKGQASRVDLNKLAKAEAKLKAKIEKRSKRDLYQGSKLIEQLNKNKQS YEEMYMKVNPLDLSGAAKGKSKDIHLNNIDVSFASNRILAGATLTMAHGRRYGLIGRN GIGKSTLLRHLALREVPIPTHISVLYVEQEISGDATTALESVLQADVWRHKYITEEKE LNEKLNQLEKLTPAEEEKEQIEREKEDILTRIGEVQKTLVDMEAETGPARAGLLLAGL GFSEEDQKKATNSFSGGWRMRLALARALFVKPDLLMLDEPSNMLDLNAIAWLEEYLQT WQSTLLVVSHDRAFLDHVATDIIHQHSQRLDYYKGNFSQFYATKIDRAKNQKKEYETQ LAYRQHLQAYIDRWRYNANRAAQAQSKIKILEKLPELEPPEQDDSESFKFPDPEKISP PLLQLDEATFGYTPEKIILKNVNIDVQMDSRIAVIGPNGAGKSTMIKLLTGDLQPITG RANHNSRCRISYFTQHFVNQLDMNVSPVAFLQSKFPGKIEQEYRSHLGSFGITGLTGL QKIDTLSGGQKARVAFAVLSMQKPHILLLDEPSNHLDIEGIDALIEAIKVFKGGVISI SHDERFITSTSNQLWVCADGKVTKFLGDVESYKKIVTEELQAKLRP I203_04749 MLSTQSSTVLGMLTIFSLAVSAMKVQQLSDTKITFSDGTGRTLS SGDTIKAPTSTSNSCTKEGIDYQDPAKFDYVIFGDDGDSISLKLSCQAKCKALSDDQA EYTFIKDQPFLRGTHPEIGRVWCDKVLERDESIWKKVEDVPLPDSSDRPPWT I203_04750 MSGQLSDLQPDSTGSFVVQSASFPEPVAVSVGGKYNPREDRTKF EGKYDRWAVGFLPESRMSELQSTYSDTVNSIRKDEDQLKGPISVIGALSDVVQSQTAL GSLGDRPSISFVQGDKLVKVGYPYGRSETDGRGSVALIGTTITRLDDTSQFFQEGSNR FDAAQFRRILSNYKPTDKDFGGSTASKLPFLREVLNSTRVHRPQGLISLRDRLSQPSS AGAWGYRRAPYGEYEEFLIEYLPNDPVDTTQDPLVRMIDGRRPIEVPQTGLPGAVNTA HNLLTTLLQNGCVAAKIDLPTQDGYTVDVEQISQDGRYRISATDYRTSGSQISSAVSH LLPG I203_04751 MDMSTSINRSSRPPRFRPTPIQSILSDTSKCTSSSEPLTVSIGG KYIALEDKYKNEGPSDRWAVGFLPESQMTNDHPVYSATIKTIAGDESELETPVSVISA LSRIMQAQFNHKCCYIMPSISFVQGDKLIKIGYPYSPADGVAQSSIALIGATITRMQH SGQTIAEIRDRFDAPQFERIWRKYPGAQEGFDGSNSKQISSLQDALRSDDADPQNTPA LKDCLDAWSLATVSYDRKSRDGKHDEYSIEYLPSNTGAFIDDWKLNRFDRRKTTEDTE TRLMRATKTATSLLATLLHNECTDAKIDLYTEEEFGVRVIQSSNPESCRISLTDYNSS RQ I203_04752 MPTRKAREVKSAAPSPSPAPSAGRTRATRSKSSKAPSEVSLPET ETEVETDVAESAEEGDSTEAEVEVEGQDVEAVADAQVEEASEAESKKLTLEERMAKMK ELRMRMNQSTQQNRKDLIADHQKSKVTAKELARLEKQKKLAQTLRLKAEAEENGEDLE RKKNWEYSIEDNERWEKKLEEQRVKQDTHFHNAEDDAHKRYNRNIRSTKVDLASYERQ KEAALGLAPGTLVSAGGSSNAVAGSSKSGALTAAEDLYRGSDTLAYGDHKPSEDALDR VAEKINKDIGKYGKRKNKKDDEDDEVTYINERNKVFNKKVARYFDKYTKEIRANFERG TAL I203_04753 MAHPYFTAGPIAPPTLPATAQSSAASLALPPRVAARASQASAAV QAQQQAQAQAQAAQQQNAQIMAAQQQQQQQQQQQQQQTQYNAMLAEQAARQGYYDQAT AAQMQAQAQAAHAQQMQIAHAQAQAQAQAQAQAQAQAQAQQGRGMDPNGYYMNPEGRY I203_04754 MNVTDESQQYRNYVQLEKLGEGTYATVYKGRSRTTSEVVALKEI HLDAEEGTPSTAIREISLMKELKHVNIVRLHDVIHTESKLVLIFEYCEQDLKKYMDTH GDRGALDLNTVKNFTHQLLNGIAFCHDNRVLHRDLKPQNLLINRRGELKIGDFGLARA FGVPVNTFSNEVVTLWYRAPDVLLGSRTYSTSIDIWSVGCIFAEMITGYPLFRGRDNA DQLVQIMKIVGTPSDATIAQIKLNSPEIQIKAPLAKHPKQPFHAIVPRAPRDGDPEPP RTPTSIRAIASI I203_04755 MARPIRPAPAPPTASTGSSTPIPRTSSRAHRPSATPSTPFTPSS ATPSDIGSSSKGRPEAKTHGLRSVGGASERTPCPFPAQWGGRDKCGISEEDEADEVLM SILGSIAYYDNRALSAEEIASTCFQQGWLRPPSAAIEPTTLINNSIRSYLKRCERTQR HCLLAKHQLAGSVVEQVLQPALHPHAFDDSVRPKGTVWYLFGGNGKSKWKNPFEGIEV PKIPPRKPVPKKIQPQKENKAVKVEEKKPGGVQKGKAKVQAPVKIRLVLNGPITGDEE TQSEAGSSSRSRSVSVGIPQDTIGLGLAQPISLPQSKPTKNKSRPRRPRDILDSSSES DTSESDMDFDMPGPSRLIRRTTSLRKVPPPLSLGSSPRVHSSSRLPQHSPFMDIFYPS PIIPTSPFQPHASPFPSHSLDNTTWTARHDRLYQFESSSSSSDDEMRETTWGMDSEIL VKAVDGDEEDRPSWSMDEDETKVREATDALRVLFPLSSPDEEADLESKIKLNQLDNRP SSLFESPKANLSANMNSQLKAVDAGGIPLNAWIANSSPSASPNLRTYKSLAPPVDVSP TQHLSKLRSSFDPEEMEVDEETPWLDESGELPVKAEDTFSDVDINSTIGDAPTPEHDR HLHTALWAQEAAAIRIKQEPEDYPSPAETDPDDASALGYRGSRASSTPSSGSSELPPF EIEVDNGRMGVDEVILGPESVTIEELDGWLPASGKAEKTPHRGRGSKNRNHPLRCSGN WGGIGVCSTLVNIVKPPARNRSVRSNATARRRKSSPQPPIVDESEPLTPDTGIDIDAE VDDAIGTADLEQARKEADAREEQHRKACKEKVEQQKALMEAYRQTIRAEAMDHHQDPS PTPWSENNNHAPWGTSSTDSINIATPGALSPMSLFGMSNLSLKTPTDGMCMAVDPKAL VSPPLQAGFGFPQMAVPQGPTRPPSHLPPGDIGMGMLDTALSEQEVKAIMAANATSAP TPASATNVSKLAPPLHLAPASSVSPVLLPSRPVTPASAPASTVSTPSSNRHAHKIQPI APAPAVVPVKPTPIPIAPASASTEKSTAMVALPTTVPAQVAPQPTASTVATPSPVPSI SSANNKVTPSPTSTSTSTSSSSMPPPANGNGNGRSGGKIATITKPLCPGVDACVVDNI PVYAHIFDGKPGQGRQVLLRRLDTDFVNANALLAALGVPENKFPEYFDHPISPVRLAA RHVIPPSNPSAEYSQGVSGIWVHISEAREFARKAKLKEGSLLASLLREDLFQLFATLA GLKPDHPTSETFGLPFVPRRPPVSTPAPATSGPSNLSSVNSKSVPNLTALSTSAPGPC QNTTKPPGTASSGTPTTKGPLVRSAPPTPPEGCPGPKRRRATISSPLAKKPQPPINPL TPTTSGLAGPAGAKTQAIAPAPSPGSVPAAGTRMSVAAQKRATRASISGSVPRPLAGK I203_04756 MSGLKGGHKDPSKGKNNDNSSSTHLPENQGMASNPRTAEDLRAL IQTLAIGPNRDAIFINTNLPPFTLSTTTNGLHAANNEDSPNSKRPHPFGTPFTPQKPA NSKGQSQLPSSDAAKKENAQMPLPIGTEKKLGLIERIQPQPQYGYPSTPKSPSSFWPQ YHGGWVETQEIVSPMSPSSRVDYRPDHPYYGQYQDGIQGHEEQWNRYQLQRGRKEDLN NNHNCHSSQSGNLPRRTITFNPFADDMYNTTSMNINSSSAKSGSSQPRNGQPISGIED HNFSDLISTPGFGGFGVTFGASSYFPAYNGNHQQYQDPASTASNTRPNAAQLTQALSN AGKRSSAYGNEWYAQNNQGQAHQDLHRQRRPSMSHSTRYPPFEAGLVRAHTGTSAQTV PTDQFATPGPERRPNADNSALVLRKTPWAVTQYTNTGLLPPNWGPGTGFDSGPPPPTP FAPGDWLLLSDPLATGDAIADTSIGEDELFARAATLIILLIEIDPLSLCLIARPAIST HTNRPIAIRAQEPSMTSVLLTTEALVAPKNVRHGRSSTGHHNNSKASNELNRSNDQIV TIFSGEVSLSSFSGNCETSA I203_04757 MTIKTVQTFSGSETNVPPWIKTIKECSMDDDDIDRYAENMTNRQ FIANVNKDDEELTEVLQWSNSLINSLPFRKYDEKEGEEDDERVHRLSEFELICETKPK PGSRNYTNGSYSFEVRINQDQSSSNKTDDSEGYWRSNRIYIKDNRLL I203_04758 MVIPSIYPSSSCQSIHSQTRQLHTSHQITHFFQPHVVVAADSLI KRDILRLPDPFAIVSVDSEQIHTTSVIKRTLNPYWNENFDITVKDSSIVAVQIFDQRK FKRKDQGFLGVINIKVSDVIDLELGGQEMLTKELKKGSDGQAVQGKLIVYLSTQTGGP ANAAPAASTSNTMLNAPANGSALSLNTAAAVNTAGSRPGSTLRAANATPEPESPSPAA AADSPNVAQPSAAVPQPISTNAAAAAASGAPTVNPTAQAASTGQTGTSTGPGNEFDSH SDQYGALPAGWERRIDHLGRQYYVDHNTRTTTWNRPSDNQLSNNASQATSTGEARARH NQRNLADDMLDVQQSNTGGASTPTSGGTGAAGAVASNNVTTAGQGPLPAGWEQRFTPE GRPYFVDHNTRTTTWVDPRRQQLLRVIAPGQGNLTVQPQTVSQLGPLPSGWEMRLTST ARVYFVDHNTKTTTWDDPRLPSSLDQNVPQYKRDFRRKLIYFRSQPALRSNTGQCHIK VSRDNIFEGSYTEIMRQTPNDLKKRLMIKFEGEDGLDYGGLSREFFFLLSHEMFNPFY CLFEYSAHDNYTLQINPNSGVNPEHLNYFKFIGRVVGLGIFHRRFLDAYFIVSFYKMI LKKKITLQDLESVDSGLHRGLTWMLENDITDVIEDTFSITEEHFGEVVTVDLKEDGRN IEVTEENKKDYVDLVTEYRISRRVSEQFDAFMSGFNELIPQELINVFDERELELLIGG MSEIDVDDWMKHTDYRGYNPSDEVVEWFWKIVRGWPAERKSRLLQFTTGTSRIPVNGF KDLQGSDGPRRFTIEKAGEPTQLPKSHTCFNRIDLPAYKTYEALEQKLTIAVEETLGF GQE I203_04759 MSLRTPGRARRGEEAHLAYNADPKTVGTRTNAPMPTGVTRLSDG FEDPAAFFSSPNNTEYASGSGSRSIASVFSKGIGTPKTPAGRSDHTAQQTPMTGDTSM GTLRRTRSRMSDIDPEDRDKADEEMLEDDLLNDEDDLQAATPKYFATESNPPSVTLPS RSRLPFSSPAVDRTFDALPSPRARSSLRKSNLSAHSGLRNGGRVSDMTGNSPDASGIT NQDEDEDEESQRRGKRKSTRLSISPTVKNKKRRQSSIVPGSLPEELSYTSNSGSSRRK STKGNGHIDREASISDNEMDMDIGGPSFDDDIGGGSGGGDDFDDTVQDLGNDDNNDFD HDDDNDNDNDNNISGDLPEPDGEEDDIALEQEAMDQENEPEEDDDDDEEEQQSTPRVE KERKKKSVVRKRTTQKKSRTDENAPPAKTRPRQGSVAPKPKRTRISQIGVSGTNSDEE TEDGYHGNFQCRRSSRTHFKPLDWWRGEKFEYRRGPGLPVIAEVITIPEETPQPFSAR HKKTTKRADSTTRSTKKRLRSRHDSGSEQEVEEEEEVGWDDETEPTGLVKTFPEGMES HRKIACPKALLNPEHKANQNFSYQKVFGEGDFMAAGVVMIPVGKSKTTKPSKDNAYVF YVIQGAVQVQIYRTSFIMAPGGQFIVPRGNEYSIENISNKEVQLFFAQARKVKATEQE LEAAGASTPKASSVGVPNGKAKKQKVVKQTRLGSEDEDEDDY I203_04760 MTSKTKATPLTIVIKLGTSSIVSPEYPFLPHLQLLSSIVETVVS LRAQGHRVVLVSSGAIGVGLRRMDLRGRGKGLSQKQALAAIGQGRLIALWDNLFSQLD QPIAQILLTRMDISDRTRYLNAQNTFSELLQMGVVPIVNENDTVSVTEIKFGDNDTLS AISSAIVHADYLFLLTDVECLYTDNPRNNPDAKPVRVVRDIEKVKQQVSTATLGTSLG TGGMSTKLIAAELATAAGTTTVIMHSGNVKDIFHVIERGAGPSRDISETPALEEGPLC TRFLRRDRALKDRKWWIAHGLHSAGTITIDEGAYRAIQRKESGGRLLPAGVIKVEGPF ASHQAVRLVVRRKKRDPSSSLKGKTGDSSTIITNPVSRNSIDESTNSSPTPATTSKFP QENNPTSYPSTLASPALKHLTNAQPDTPLIQPILSLSSSVASLDPLSKSGPSSPSPSS AINAIAEKLNNVTLTQMQTQAQNRDTEDEEEEGWEEVEIGKGLAQYNSVEIDRIKGIK SAHIENVLGYSESEHVVDSITFL I203_04761 MPPKRSTRSTRSIKTASTSVEPTTPNSASSLSQRITRSTAHTQE VSPGPSTPISSNSGSPDTDEVESEMLHRESHTLRGRNLEDLNELEEKGLLMRREMSAE SIEDHVNSPRRRNPPKGKEKEKDKDRYERVELLDRDRYQEEEEGEGLTSPRDKKAFAL LVLLYVERVGSTTVLITCPIRLQGIPLGLTFGTLPFLLKSHLSYSQLAIFALSTWPYS LKLLWSPIVDAWFVKSWGRRKSWIVPVQGIVGLGMWWIGGRVEGWLNAETIDIRFITG VFGSLILAAATQDIAVDGWALTLLSQSNLSYASTAQTIGIGIGNALSFTVFLAFNSVD FSNKYFRSTPLDHPLVSLGGYLRFWAVVFVLVTVLLAIYKTEDPPAEDDPDMDVKKVY KVMWSIVRLKNIQSFLLVHLVCKIGFMVNDSVTGLKLLEKGLSKEDLAIAVLLDFPAQ MAVGWLAAKWSRPTPASASTTWLYAFWARLAMAAISTLVVKGFPNEKIGNGYFGLVIA TTLLSSLTSTVQFVGICAFHTQIADPLIGGTYMTLLNTVSNLGGTWPKPLILRSVDLL TIATCSVPISTTLEKSSLASTSSNECISEAGKHACSAAGGECVVVRDGYYIMSMVCVT LGAMILIGFVLPTVKRLSALPMSAWRVKIPN I203_04762 MSVPLPTTNTFPAGVLPFEQRKFPKTICMFDVDGTLSLARQEAT PEMFATLKKLREYTAVAFVGGSDLSKILEQVRSLSLFDYGFAENGLVAYKLEQPLAAA SFIKHVGEEEYKKMVNWILRYLSDVDIPIKRGTFIEFRNGMINVSPIGRNASIQERID FEKYDKEHGIRAEMVKKMEQEFVHLGLTFAIGGQISFDIFPQGWDKTYSLKHVEPEGF DTIHFFGDKTFKGGNDYEIFSDPRVTGHTVTSPEDTMRQLDELFLTVA I203_04763 MAPTTRSHQSTPAPESESTSTAFSPSPSLGGDIKQRPREGSRSR TREEVWDPKYAVDLAIDENVFLFVPNLIGYVRVLTAAASLVFMPYHPKWCTTLYFVSC MLDAFDGMAARALGQTSKFGAVLDMVTDSRCATACLLTFLTSVYPNWSLLFMFLITLD FSSHYIHMYSSLVTGSSSHKTVTSDVSRILWYYYNDSRTLFFFCFANEVFFVCLYLNA FWLTPITSSFPIPTFLINTEYALIQQNPKVFGYIVYHLKNLTWPQVVGLLTFPICAGK QIINVVQFWKASKILVGVDLAERQTARREKALKAHQQK I203_04764 MSTFSPPPPTASPSRSLSLSLSAPTSIPSSRNNPISLRIYKTIG TSFDDQSSRDALELASSFYSSSSSTTADKGKGKADLSVSEQDEDLEGLPRRRTLRGQS AATARKWLKRDVESTLAGGSQKFLEAFAEVDQKLEVLREHMKEMQLRCDQVQSELDQA NSGTKYLLERADGLRSQRASAQLRSSLINLFLSKFTLSEAELAALGSREVAVGPSLFD ALDRVEKIRKDCEVLLGGEEGKMQAGLDIMRATSDQMESGYKKIHRWCQFEFRQFTRE TQLEVSPVMREGIKRLRDRPVLLKDALQGLTSTRSSSILQSFLDALTRGGPNGLPRPI ELHAHDPTRYVGDMLAWVHQATASEHEFLDGLFGVREKKRMVGAERDSINPGEEEEMM VAQAMDKHLEGLGRPLKLRIQQTIKSQEGIIMAYKIANLLQFYLITMKKTIGEEALLC KTLQEIHDQAYIAFFENLDAQGRSLLRFLHPPDATLSPPLALRDFCLILRELLSVYST SLVNPSERESDSDLAKLLDKSIDPCVEMCERMAEMRKSTVGGGAAGGDWEKDLFMVNC LGYLQHTLEVYDFTSRRMAMLEENIRDHVESMTFEHHGKLLEQCGLAPVMRTIRTRPA DTPLSRLPTTSPKSLTTALSTFSNWLTTIDPSNSPRLALLSSARLADIIHRKALRKIY EAYGEVSERVLDPKEAYEFGETMLRRGREEVAIALGVNEDDEWDEDEEDTFRLGDDIT KLDMNDKVEGEIDENPSASVMRRDSGVGLGLNLDGQGNGNGE I203_04765 MGNLDLENPDDLVEFSQRSQRQQLDTDLSLLFDSRLTSKNARGT EKQEPRVGIKQELTNATDFLHIDKKNNIPRRYHLSIIQSLLSSEAEERRQWIKDNIKH SASSPINRYTHQDEQISYVKGMTNDEFLMNISTNKNAMDVVKNCNELINVLPFHDEFE QSWMTLTCSYMGEGKPWIRIRQLENNNETEPYSRLNGVEIVYND I203_04766 MSDKPQSSAAAARPSWNKDEWEAKAKAKDEENAEYAKSAEAALL SGKAPPRRRLGDDMPKPTKNLEARKDDLDLTKNLNKTMLVQTTTSGKGPRGAGFYCEM CNRTFKDTLSYLDHVNGRGHLRMLGQTTQVERSTLTQVRAKIAALREATKSAVTAKNF DFQARLKAVREAESIEKEKRREDRKRKREKKREEEELQRMGIFRNNGDRDQEQGEKSR GKEAKKRRKLENREDREVEAAIKEREDMASMMGFGGFGGTKKR I203_04767 MFSSPSTRATPRKAASRASLARSRHQTPSIFAESASLSQAPTPS VRSSRLAVVKRGASPASSAGDTVRTTRQDEGYERDRVSWSRDERHLVSSLGPLPKEVA SLVKSSDLAANPIAGHVDSKSGFAMVASPSVCIAWNYTKRTHSAPTTYTFPAPSVSYS TTPTQPPTLAALYTGSASSEPGMILVSTSGEIRFWESMSLALANVERFQEIYVELGED DFIDRLWKIDGNNFLLTTTSSFVFRLTISSSGGRVVPTLTNLTRPGGMFGRASAQIFI AREDKVGISSVASTSGDVYVMARRMLQKWAFSPDGQKVRILEMCHWSSMILFRLGTSP VDDGSLTSADSSSSSDELAVLISYVEQQTGTSEYPRLHNSHAIVMFSIQPRSQSLMIS RVVNVSYLAHSDPRMLDVPRLLVPPGSSMAFIRFAEVVLMVSLDEGSPYEEAITLKDS GRNAFVGAGSIISSSAKKGSSLPSIVAIPAFGGLMSIEALEGSERSETFTQTSSATAR LKSKMEQAVFFGERSDNPLSFDLPAGFQGDLAEAAETVSAEIVSSNSPYMPGIFEIRP HLSDRLLRLKELMNFIRHNGLLNILPQSTRRRLSGDAEKIKGAIELWDYQNKHMEHMH TQSPQSLLSDSIFTYMRQADVVEEEDFVRLFFRTQVQNLDKLLEIVSATARAALDAVD RNELSSWVTEANRIFIIVERAAAQYREEELYTYEIDRERPAIEIWTAQESLIESLDFL YTTTEALIKERTRDLGSVIDEPPSDTAEPALRREQHLQSRLKGQMAILAAALCTNMED KCRTTSRREIDEGADPQEGIRLREKWAEMKPRVIRPLVSIDRLSEAYELAENHTDYLT LVILCHEQANSDTARLQRYIERFGDEFAFVLYQWYIDQGQAYELLNQDEVYGVLVTRF FAENDYPELAWMHHLAFQRYDQAAGALRDVMRDDNTRALNQQQLVGSIAKLAAIADVR SKGISHDRKRLLIELDDELDLVNVQSGLISYFSPPTRRAAPKLDEQVTLLADRPAFKR IFFDLTQQLLDGLALDLEGLVDVLTLKDNFERTGDAAVALERLVGDMTLPEGRKQVAL LSIWRRVYIRDDWATISNTTGRSEQAQRSKVRSTLAYQTIRAVNDIKDFPPNFILSPF TSSQPPLPAELAARFPNFSAEDIASLMEDHEKEIEILNRYLGENGLEERIREVAELVK NDLEEEKREEGDVDIVM I203_04768 MSSQGASSTSLSKACDRCKSYNEADECVFLKGSTICNSCKDCGA NCTGSSNNPSQAQASRAATLASLSATHQAAWAQASRTTSWEDWWAKNKTS I203_04769 MSSPIAPPSFGEIFDTVQGTPQILSSPVQSAFPHFDPTSPFNTI RKTPNDRGLRRMRTEGFLISPMTSIHAQQGMHSLQSGSSFNIPDRTRTPTLGFRPVSV APTPVRIANANWDGMDFTGLNAEGIDLTAFEEGIRFGSPSKIPQSQVSTPLMVAMPDP TPVQSPTAPRRAKKTRNLSGLGTPSGAHRPTKRIRQATYDANTLPVSPSASRRRTAEP STSLTTSVPLSRAVSSSSIHMGHAQLYQQDRNVSASSAMTASTSFEVMSPTLQSFPEM YPPVPASASLPELMPSRSQLPSPTLPGGGSPICTPQQPAGLFYTSPEQDQGAFGPNEV QGDMTLMSQVTSVMPPSTSMMSIASFPSNQGYRQNTLTTIVETPMLAQEGMTFSAPSS SQLPTQPILPPQPQFHHMEYPSVPGMSMNYQPGLPTMTQPMDIQAWSAQTYSAQPQIF NFQSGPPPAPGPHMSHPHPHPHPHPPPGPQRHASASFLNYEMRPNEIPVFPSAPRSVS APFVHTMANVPTPPPIPMAHSLSQGGLFGPLAVAGPSEPMSDGQQQGWTGSTPVSPET PRKRQQYPPIGKRLKPGPKPKPKTPKKGKGSNSSPEEGAINPSLLAGPSEPVPKIESS QSQPMIPREPSPKPTLVFGPDLTSVSLMQRTLSGTDLGSAPGAGAGNALLAQLPGQPQ LVIQPPRSSFSNGSNGPEGSSNGNGPAGLPRAFLEKLYTTFLTLDGSVTGQPVKRFKC LIEGCERHFPRKSAIHSHIQTHLEDKPYVCNTDDCHAAFVRQHDLRRHMRIHSGTKPF PCPCGKGFARGDALMRHRQRGICSGSLVPRRDEL I203_04770 MSTSAEIPIDPSLRDSSSATSPTRLAGTKRKTRGSGPSTTSASS PRVTRRSTAHNEGNSIESAEPARGEEENLNGYWGPGNPKRAKRSSPTKRSKPTTSSTP SSNQVENVAGPSGIGEDSGSGVGPSISVIGELAGLANRKSSSSGIFPYFPLYPVGLTP FRYPCLTPSEPNPPPGDPNNPNFKSFNPHESNITTETTGASTLPSQNQLIDPQLAGLS GSTHTTSQQQIQPVQQSQQQSQIHLETNSNGNNNNNNSTMMDQNTAASADAAYESISA LLSASQGIYPTLDSIDYNQGRGQGQGQ I203_04771 MAQIKRKLNASTKMKSKGKSKSSHSLGSPSSTKPRPRARLAPNE LKWKAVNTSPFSGIDGGGGMMMLEELDDVDIEWDEGEGGSRVARFVATEGKKKKEKGK GKGKEKAVEDVTEINDDIDEEQEQENVADEEEEVTDEADEEEEESPDFAALAQEDMND EDDEDHEDDGDEGEVEEEPLFDDALLPEWKDITLHTLLKKAFLATGYVKPTDIQKRAI PAGLSGRDVVGVAETGSGKTLAYSLPILSHLLRKPVPASKKRKLSGLILCPTRELALQ VVDHLNALLKHTVSTTEDDEEEKEGTSSKSKGPPRISVGSVVGGLSAQKQKRILDRGC DILVATPGRLWDLIKADDDLASGIRTLRFLVIDEADRMIENGHFAELENIVRLTQRSE AATQGPDDDDPVFAQMSTLLEDSKARDDMQTFVFSATLSKDLQQNLKRRHQSGGRKKG KRSSTLEDLVDKLDFRDPKPEVIDLSPEGGVVSTLRESMIECVVSDKDLYLYYFLLRY PGRSLVFVGSIDGIRRLIPLFTLLQLPIFPLHSQLQQKQRLKNLDRFKSTKNGILIAT DVAARGLDIPQVDHVIHFNLPRTADAYIHRSGRTARAKNEGFALQLCSPEDKGVQRAL MKSLGRTHELPELPIESGFLPSLRERIRIAREIEKAEHTQQKSTHDKNWLIETAELMD LDIDPDLLSDQDDQDPDLPYTKKQKTKKGAKRVDVRGLKDELRGLLSEKLLARGVSAR YPTSGSKIIVDDLLRETGHATLLGAGTKKAYDEVQSSKGKRKLGQKRGGAAAGLVNKK KKI I203_04772 MTSTKPLFPRLTSLFPLRHPFTKSKPSIYSTDSSDTLISSASSG DSSPSADSSPKQSSGDHKWTSEDLESYFHRALNANSPRSPSPSLTISYEEAMISVGWQ MDNPYILTGYRQALGSVRGCVYSIYGYLHNETFNILTHLVGSIMFSIILSIHILLEPL SSTTTISNTSSRTGAKYNSGDILALSVYLVACTVCLGMSASFHTLNCHSARISHRAHR CDYAGIVVLGVGSILPVIHHAFYDEMFWQLVYSGIIIITGMISAFIVLSKEYRRRRIL RTSTFLFLGCSATTPIVHIIVHEGYHHAKQSVAVDWIFKAGFTYILGAAIYATRYPER LHPGKFDIFFSSHQIFHSLVVVLFLQVSRTRGGDQRERDRAKKLKEEAAKGKKLSGSP QARREADAKAVAEKQAAKAKARAEAEARGEVASFDKKVEQRKVNAQQKK I203_04773 MAATHYAFRAQKTAGIADGPSWEVSSRIPTDTTAARCYAHSLDG EWFAYAEGSNVHVLPSSSTSTTSTITINQPNVLALTFSPLSTNLFTFERPVKQDNGEM HRNVKAWDVKTGEEVNGWHSKNMDGWEPIITSDESHLIRPQASDISIFSPPLSSKPIV RARVEGVTSSKPIHPYQEPAVAIWIGEKKGAPASVSLYPLSSLLGKATETRDLPNTMA RKAFYKADKLQVKWNNAGTQALFLAQSDVDATGKSYYGETNLYLISLDGSFDGLVDLD KEGPIYDFTWSPNSREFVVCYGYMPARVQLFDMKAKPVHSFGSQHRNFLLYQPQGRLL LSAGFGNLAGGVDIWDVSTRNKVAEFKASNSSHCEFSPCGQYILTATLSPRLRVDNGV KIWWCGGQLLHIQPVEELYQASFSPRLVKDIQPFPPVVPKAPEPNESVAKYAESKPAG AYRPPGARGTLASDIYSRRDDDKPSGSGASTPTPMFRGGKPAGRYIPGAPIPGSVPKE APAEDKKKRTRKRGKGENGATNEEKEKVEKVTEELAKVEVKDKDNKEDGGDDSNSKKI RNLTKKLKAIEELKARLANGEVLERTQVKKIESENQVRDEIASLGGSA I203_04774 MHQTGNSIKLLTGNSHPRLAEAVASRLGIPLTPCHVSKFLSLET SVQIHSSVRDEDVFILQSPSPPDINDHLMELLIMISACKTASSKRITAVIPCYPYARQ DKKDKSRAPITAKLVANLLAVAGADHVITMDLHASQIQGFFDIPVDNLFSEPSMVQYI KQEVEGWRNAIIVSPDAGGAKRATALADHLNLDFALINRKQDNDSYFVEKMELLVGNV RGKVAILLDDMIDTGHTVRLAADVLRENGAKEVYALISHGLLSDTTMENLRDLPVKKL IVTNSIDQTDRIKATNDKIDIIDIAPVIAESIRRTHNGESISALFRPNELFI I203_04775 MSRRGLSIEEKKTKMLEIFHETAQFYSLKELEKIAPKSKGIVVQ SVKEVLDDIVSDGLVQMDKIGTGNYFWSLPSAAGATKQAVLSKNQKELESLNAKIEEV NVGIEEAEKGREDTPERRKLLSTLSSLQETSSSLKNELSAFRAADPIKYEKKSQAIQV CKNAAVQWTGM I203_04776 MVDATITKRLHVGGLTPAITTQHIKERFSSFGVVSEIEELGVDA LGHPRPFTFLTLSTTPLQLKKCLNILSGSFWRGTQLRLAEAKPKYAQRYAIPTPTVEE KRKVLEKKRKRVESKRGEDVGRLSQDFRLVNSTIAKKKKFWLVDEDEGNGRMVRPLTM RPSHPIGKALVDNKARKTQEGKKRVGGPPGRLRRRVINPIAWGSIYIKGDKLLSITET QNEDEASIQKGEWEFEEVDDSVVDEDGLDEEGRMVVGIWKKRDMNGEIIEESLVRSKK RRVSIGEVDISEYGSQDFGALESGEVDSPLFGNRDLPAKGSESPLFPSRQMDDVHADE EERGDDEISEDGNAVERASSPLFPSRVPDENKSTASSSSSSSSGIVDQLEQQPSSPLF PTRSIETPNDEDEAQQQPPSPLFPVRNDASTAQQASSPLFPTRALKSRSPSPPPQRKP VLTLPSQILASARAERSSALGVLGSLLGDVSPPAKKEKVVWEVYPESDEDDEVEVGRG GSKSAVSEISSVAQKKVREEVLMDKEPELNLDVTVDGDSSSGSTSSSGSGSSSGDSEE GEGMDVDPPAGNEDADASSSRSGSGSGSSSSGSGSGDDDDDEDESSGSSSDSGSDSEE DSDSESDSEDEDEDKATDKPSVPTKPSGLKDMFAPTTSTSTLNFGSTSASAGFSLLAN LGEDIELDEEMDIPLPPAQTDLPTGHEQEEDELQPLPLNVSSGRGKIKFDPSSSDLPL FFTLPTEAGPIGGERKGESRNLYNELHIGIPPIAQPEYDQQQEEEEGEEIPNVPLPGF SRQPGENDESMKAKWTNEKLELTQGWKKRFREAKKSKRRKGGEEVE I203_04777 MSQNRHVRPTNLHRLFIQSMLSRRAIKEDVALEMYKRAVGACQA NDDTFRPLHETTIRGFRTFITDVSDILHDLGMEVVLGQEQTGKGKSWVVLRNTDPTEV ALQATDYTPLEIDYYRRVVKEIIESYPANSISHGQATTIVGELEGTMARHVAETLLDS LCSRGWLSKSKRGRYTLGVRAISELETYLKQQFKDYMQNCKHCKRVILDGVCCSKQGC DAHYHSYCYSAILKLPRPSCPECKSKFSEYEPSPIGEKAVSRAEDEFTGLRNKRKRPR GSTNRNGKGKSRADGDEEEDDTDEDEDELEEEEGRDGEGAGSGFIERAGPSGWRVDSS SRRRSIVPETQYLDDEAEADDDDEEEPPTSRRKSRR I203_04778 MDEEYQPYPGMWTCFPCVVLWKWGKSRMIRNDPEREPLYPSPHT ILTAPSKKQRPNLSNLHHSSHSSSFHGLFTSEPVSPTKSTVNGYFSEGKRNASTSKLS EEGRERLGSISRAYGGRMQFLAQPPSIPSTPSLPSTPHLNDNATNGNSRPRPLSALTP MTTSQSQDNLPYRPSVPNLGRSSSEPRNLNDLGASGDFAPHQIPNFGSGSVTVGRGGS RGRKRSATTSAARLSSPLALNVDNANITKRERGRSPGPAILTRAQDQFDNLDNSDPIQ DGEGDREVEERYIPMGRSLSHPELTLTFTSNANTNTNAQGNEHEGEDEVVVKRELGLR GLNSVRGGKRGRGRGGKRIRSD I203_04779 MSSGSEYPAVYPSSVMTGPTAIEYQGRRHACSSCNQLKRKCQVD PGSYIADSCLTCDARDPRLPCTFRNSRTKRADRRAQHGWLNREPTTLDDYGLRAYIDA PDGVQTLNAIFQEKLGLEYTVSTSQSQNLAPIHHDSGFVWELDNVDDPQHELGAAELV REGAMQGLDFSFGATPSINVSHWPSSDATYDSNE I203_04780 MALPHPPPPNLHPGQNPQQIPFGHMQGGQGGQPMQGQPTHAQQM QMQMAAAAAGQQPAGQPFPNGPPNPHQYSVRPPQANMNAAAMSSQQAAAQQQQQQQQM QQQQQQQRQQLAQQAAAVQAQQQQQQNQQPRAVVPPSPHHPSPSPHLAHQGFPGGIHP QHYPHPQPQQGMQPGQSPVSGQMRQPPPPPAHPHPQAVRPPPQQPGLNPQQQHPGYQQ HPGLQPQPGGQPQQPPQHLAQQSGQAQPGLDGLAAGQHMQPPQHAGMERQDAYAMSRA GSSVQSQMGQRSWDSESMLKLYIHDYLIKHNFPAAAAQFNAEAGLAEQGVPINVREGV LHEWWCVFWDVFSGGKGAQGAMNPAIYNESMTRLRNIRNQQLGIGPSGYMQQQQQQQQ QPQQPQPGPYPAGPQQGQPISSQQILNMRQQAQAAAQANGMTQQQAAQMVQQQIQQQQ QQQQQQAQQAAQQHAMMQQQAAQRFAQPGPSAASPAHRPPQAGHPTYQGQQPQPQAQQ QQQQPGQPHHMGGLQPPTAPPGPSHSPVAPSHHMMQQPHPGIMPSPHQKNMRPPPPGM NGQQPNPMMRALTPQQQHAMAQAQAQQGQGQTQGQMQLGPGGQPQQGFAHPQNGASPV NGGQPPPPGGQQHMTAAQQQQMLQTQAHVQAAQQRAQHSLAEVQAIQAQQQQQHQQHQ QQILAQQQAQREQQAQRDQVFFNQQQQIQATAAQMWGHLGIGPINTQIMSQSAHQVGL GGKNPQEMDDSEKLRINVER I203_04781 MSDVGLSEWDWSESKLLSRAGTRYITKISHVETYNPHDTVEAIN TFLASHEREREDDDGSYLKGYIITGLVVPLTGEDPTRDDERYRKFLVFDSNCGAVKHR RNTSDYAQDGCEVVDCIGWHVHTDNNTLYDDEHTEIFTRAMRDPTILRYKCNEGEFIP GDYVLTSDEITELFRIEQDDYRRDRTGRTPTIRSIFFYRPDLTGVPRFKEHRYRSDHP LSTEEDAQSTCPELATQAENARIERWRVGR I203_04782 MDLSSASAPSSVITGYNTRWSKPRGVSVPRFLQYITRIAKSSTL YEDRMEAGFHKFPGTRSHLRIGEGATTQSTTYQPEMMFYGFTIPIYTRPGLSDEERYL EGSIKGFLLDEMIAMADNHNHPNMKESLQSYKQKDRDQNLRYAHFLVYHKVASKLQHD HRCEKTNCLGHMHFDPEDEEVIDCATTLRSGKYKCNSDANLWDEDPVNSEVINEILSQ EPAIGNYRIFDPDYGRSLIERSVHLYRPDLDPEGQEHYDDESDSVREEDPNEMEVSKR WFANYKKIVYPFDAHACCEHTHQA I203_04783 MARGSQPFSASTSSGTKKSKPINGSKAKRRQDPSNAYTYIPALP KRHRTSAAQLSVSKDELELAGPSRRGNDDSDDEDEDMQSRIKKVAMMIADDENTGEIE SDESDIDSDEAWQSDGSDEERWGDVFRELDKGKGKKGKSKAKEVVRKPAKPLTVNLDE SDEESVSKSKGKGKKAVKAPSSVEDDEEDEEISDGDGDGDGDGELDEDDDDEEMSEGS EDNEEEEEEDEDEDSEAELPSDLSEDEEDPENLDGLDAFVNSLVSADKKRKANGEEKL EEVKKRRVLPVVSGPGLKDNGDLALKNNQKLDLSSLISSHPSLSGASALLPTKSDNKK STSILKQGLVSAPLPTVVQERLDREAAYEKTREEGQKWAGVMKRVKEAEHLSFPLQPE QRGGVKGTNEILSGFKPSNKLESAVTALLNKANLTEDSLTKREDLALEAQEMTLEEIK ERRDALRYQRELMFRAEAKAKRVAKIKSKTFRKLARKRAAKENPGMDLEDLERLDPEA AAEEREKLERDRARERATLRHGAKTGRWARDIGGDNAELEDRRRAKEEMLNMKEKLQR KIMGIDEGSDSSSDEDEESEEDEEMIKSRAFDQLAALDAKNVADQEARGKAGKGLMQM AFMKKAEEREMKKVAETEAELRRDIEMFGEERGTEDEDDEEEEDERPSMLRVGGNEGR MVFSGPQRTSTVQDDETPQPAQSKRPSVFSKPREPTPPIEESLPDHNPWLSSTSSGGP SRKRNALVGSANAKAEDKTTRSLKKAVKGKEAELDDEKVEISLEANTASTSSSNKKGK NKKATQPTNGDVSDSEDENEELLPISGKGIKAFQQRDLVAQAFAGDNVVEEFAREKEK QVEMDAPKVEDTSLPGWGAWGGKGAKKKKHVNPKFLVKTAGIEPTQRKDFSRSNVIIT EKKDKKASQFLLQDLPYPYTSKEQYERSFNNPVGSEWNSRSAFQKGTLPRVVKKPGAI IEPVRRMF I203_04784 MFASRLTSSLRALPRQASAVRMARRGYAEAAGADGKLQLSLVLP HQKFINLSSTIIWYSQSTLIFNLSPNSTMYIHLNRQSLYSSAGVIQVNIPAATGDMGV LANHVASVEALRAGVVEVIEENGQAGKKWFVSSGFATVHGNNTLTINAVEAYPLDNFS PENIRSGLADANRVLSSSAPESEKAEARIEVEVFEGLQAALAK I203_04785 MSNPVDSEHRPHTNWLEVLSRALASAPDPNTTYPTERCKFPPTN WCDPTIYRRTVYRDGTADQARLSGISQEEFLNVRQGLKIPGYQRIKLDDDGSESEISY IIQERSLITPISSLPPEHGPSNLDRAEGMVRMDSEYSWDGHFALHTLKPNQCQQLDCL GWTEKDIEDPTSEHDSSKTKGILVTDGMFGSGWAMPWKCNRIKTPSRFTNNDLINNAN HYDHSGLDDLSQELNSYDFRSTLYIYRPLRKPDLPVKPLTNKYNDRIHTWLAHDQSSG YGQPIDVNTKVSSCGSRLESSPDDQEDDDMTIKSDHTVTLSTIEENRRAEHDKRQSKY NKRFSRDQDEEMSEFSSSQTPSYSSSSYFDQTDENNGAI I203_04786 MPVSIFLSDPSNWTTPHTNSQGELVSISQHRERTDDSQSEEIIK RGIEALGILSRRPDDFHNDFEEIGLGKIAFILEEEIFQLTTTTEKEGESEVIRVLKWD KKWVYHSSAPPCDNPHCAGYTSDRLPRQESWGRFIQEQNYDNQSCNTECDLSKKMEQD RYIPKEPHIRWTCGI I203_04787 MSSKVYSTQIILCDDAGNEIIVRQKEIPEADSHEMANTTRQQLV DFWASGQPNGEDEDEIMQYPTSHTVHEVTMKGTSPTTDDSTGTKSKLIDVTRIYYMTD QGGYHLASCNRYDCIGHPDRVSAQTQGDPEGPSCNPSIGSKWYDLPADPLVEKFSGSP LYDLMSKVERSPRDKSKSSPRKTNRQPVENRIEYNSIMIRPDLTSDYIPFYPYSVLSR YHLPSCTDSLCPGSSDPANATRKGVEGMSRCRPDLKYHFDHAISPRDQDMTQDQDTET SLWELKENLKRLAICDEDGTLVLPEYPIDQNRQPLERSIRLNEQGSVRGFSFGDRMNE NGYSIPFPSHRKEEQQWYLADLDDDERTPSSPVAHESVRREVCPQEIDGTERKGTYSR GKFRNTHGAVSRSVPRTPTSGLGRDEDGLFTTSPFERESASQSKSYNHTIQNSGYTYV NPADYLTNTKNVDAQSGEPRIRRSGDSLPSSPNSFQDSIGTSLPQAQTESTPGTYYSP EMGSGRGKSADNRSGARYDSRQSPRAGKGLRRVRKKEQMGLR I203_04788 MLKAIDVARLTRPSQIRCRPNLFPCVRSISRSTRIQDPEDDLAL SEYGGRGRSDYNDFLEAQEMQKRKKLEAETAKPFRNSNLPSLLNRKSFGPRPKDTTTT VVEASSSKVRESDRPKPALPSRKGKEKAKDQDEAGSNQADAPSPSNRLRRNPVGVQML SPSLHSQIFPGKSLTKPPPSLLDISLSHLKANGLSPDGAAVLPEINFDMPPIQGHNIR DHFFNLGQHTAEPYLSMAKDFMSSEIPEMPKKWEMSKAGWTKYHPDGRMEAVEDLGEE TMVSFDVETLYKLSPFPVMATAVTPNAWYSWLSPTIFEDPPQTTPDPPEPWETRVPQH HPHDLIPLFSGSKARVVIGHNVGYDRARVKEEYSLERTSTRWLDTLSLHVATRGITSV QRPAWMAHRKNKKAKMLRDQESKDALMEIAEENGDPEFLQGVQELTDTSDGESMESSQ NIWQDVTSVNSLAEVANLHCEIPVDKSVRNRFADESIKHASQLRSELEDLLTYCGGDV KITHDVYKKVLPLFLESCPHPASFSGVLSMGNSFLPVDENWKEYLKNAESTYKKMDEG VKKALRVLAEKLRKEGKKEGDPWSEQLDWSPKTARWEDGIEETNDQATAVTTDASNST ETVIESTSTSLLAEIPSKPKWSSDLSKDSPYIISTHTQRYFLPLFLRMSYKGHPVAYL ADHYWCFMVPHASSSEYIDDHGFPVALHPKKDKRLEELEEEYSFFKIGKPEEPRKVKL VGPGIRSLLKKGELTSSYGDLLPRLAQTSLEVVKEDLWKCAEEFERMGKQGPWAQQLD WKSVPQDTSKTATTSPETISPPSPASFVASTSSTPTKKAKSSKANLGTWPKWYWDLSG PPSRIPAGELDLTFKKTVAPLLLRLQWQGYPLIHSRTHRWLYRVPRTIIETGSADALQ ARGGRVKFDKTGPDAVYAEDKDHYYFRLPHKDGEGKNVGNPLSKHFVKSIESGELASA AAESGDDVAARAATDATNMNAFCSYWISSRERIMDQMVVYREPNLGMILPQVITMGTV TRRAVEGTWLTASNAKKNRVGSELKAMVRAPPGYAIVGADVDSEELWISSVMGDSQFG IHGSTAIGWMTLEGTKSAGTDLHSKTASILGISRDAAKVFNYSRIYGAGKKHAVQLLL QGDSKLTKESAGKLADNLYKATKGSKTIRSKNLAPAAIPSIWHGGSESYLFNTLEAIA LSDRPTTPALGCGITKALRKSYLEEGSSYLPSRVNWVVQSSGVDYLHLLIVSMEYLTA KYNIQARYLISVHDEVRYLAKEEDKYRLSMALQIANVWTRALFCYNLGLDDMPQGIAF FSAVDVDHVLRKEVFLTCETPSHPQVISPGESLDIVQLLEKTNNGDLSKPKFEDLSNR SVERQVDLPVDLFGDITSDRHRLFLDAQARRKGEQAKKYLDSLPSVVGSVAEAEERRL SQSTKKRMMAYEKRS I203_04789 MGLFSIFRKSSPPDYETLLARLATDINEAKTHLSEIRLRERRFS LLINLYGIALWAVWVGLWWVHGLPLGLIGLSHHETEGKIIGAGGIALGPIFIWGLNRL IHIWFTRQRKREETQLRSLLTKQRSHLEEIKKATNYDSTRKLIERYDDPSGAGGSSPQ TPQRRMPDSPSPVGHGQSQPGSIGKDRVDGNSPNGTPRAPGHLVGVGGTPRAGVNSSP IPIPQGLTPDQAAALSMQMQAIQPVLPVPEKRWYDRIADTILGEDPSHAAQSKYALVC GECFRHNGLVGSQYEWERMQWICPRCNHLNPPPISRNPPTSVVPPESMTNITPSKPIS SVPQHRSSPRRNRLNGNGEKVTPRSSRLGKEVFSASSSSDEDVPRDKAKVVEEEGMDV DES I203_04790 MTYPTTSPASSWLADTSDILSHIFSFLPPSTLFNVLQVSKTFFH SSVPHLYHNLTIAPGGRNIFVGSRRLDNLTLDQKPTFNQTDPSNEINKNSLLKYIKRV DVYIHEVNDCPFVKQYIEPLPNLEILHLAKGKRPTNITTSDEDRERICSNEKCQFITK VCVNAHKVIFRELDFRSIMKFDKLERVVLKLRPCELPFYRGEGMSKYRKSIYQDDPNG DGGSQREEEYDYSNIWFRSFHHLPPSVKQLDLIWWDESHKYRIDSYEASFRGFGHWFG RGGDGGGVRIMKNCNYCDQMGCVRYSPHVGIQLPIMFKVLGRQTNIRQINVWNFERVG GGEWQWKDYEVGYEDLKDQLKEGFKIGREEKDSAEDTIDIMDHDQEEGMVKFHSGLEY YSTHSQDTDEIDREEMEYWKVRFDPSDKLRELRKRVIEEMEKKGWNTADVKIDFWSKD SCVDWLIERHNAQRVEDERKTKIEMIEEEDESDIEGE I203_04791 MERLEEVIVRIRPCQIPDLRPSIPLEEARSREEYYYYFPTGPEQ DQKNYNVDKVVLPPTVKRLKVIWWDEKHNFRIDWKYMEEYSGHCRRGPPHKRLCKDCV GCRPLEVDSKLGYRSRRFSERLIGSVYGRIPAQSTDRDMEEERRQSKILREKLVDLIS LLSKQGGLKHIEFVNFDKTAQMAIPVESRNELEIEKVKEEMKKAFLAGRKEQSTDSSN LHPLQTHEDIERSNADDIGIRYRSIHDYYPSGFTGIECDPIEEEYWQTRFNPGSELSE LRAELGPLISDRLSNFREEELEFHSQKELKEELKRAKRRQGEQRILDDGGKICGPQYR GSFVVTCRR I203_04792 MSYPINPYRITLASQKVAFTSDILQRIISFLPRESLFATLLTNR FFYGITAKILYGRIIISPPDQHDKIQPKEKAGDSDSNSSTDEDDMDMETDQERSTKIT NPKSRSNPFIEMSPFTQHLSEEYTKSFLLSLTDRIDLYIHKEEECPNRRKTLTALPNL RVLHLAGGQQKNEYPEWEGGTWKNKFC I203_04793 MALAIRKRPIEDVDMVDLKTKMTKAFIDGESDEGKMDILITDPN NIDIHTRPVDRSYTSTNDGLDLDFTNQQEEFKVQYISASDYYFDPSNRWERDEEEEEY WKCRLLPSPRLVNYRYELAQDKYNSIEPDDLEFLSVSDLQGEMDECHRRREVEANSRS RW I203_04794 MSTSATTSNATSPSNNTAGAGQDQEALFSLDFLALAGLDSGMSN NHDITSPTNSNQQNHPIHGNSQSQKDVPEHNHSQYLQQQLHQWENNPAGPSSTSQNSS TTVTRNPSADAENDIRSKHGMRSDTENAMDLDQPDIDRMAQQEGYNLVGDKHDYDAAQ AALLQQQSPLGFDINSPQFPLAQMFLASPASQVTQLQQYIQNENGNQDGQKLRHRGSV DKAWANGLGGMPTPEPSGELIPQNRQEAMSPIHLEMLACAQSEFGGDPNMLPLLSPAL SQSTSNSFNSPSTQIAFNSQPPAGQSHSNGANRSPLEQLQEQQRQFQEQLAMLQQRQL EMQATAAAVVAASNSSPFIGSNPPSAGPSRGVSTSGAGVTPNSGFFSPLTSPALEAPH RASQQHMHRQHFSPAFNAQQSRTPHPLSALSSPALNPVGSSGGAQQTLSPALGPQTGA DLSDPDYLRALVGMLDGDPHSIGQPAQPNYQSPSMGSSSSAGHSTILASPALIPINSG AGPHRHSLPAKSRPSPMLKPTNHRSHQRVPSGTTMNGNGTYSVPTSPAVQKFHPNPPP NMINMGYLPPSAIEHRNLAPSGASMSSASTPSPVDLSHMMPPPPVPNNGSTKSRKGVA PMTPASLMNLASAPHVTNHDISLDEHTGHSAVAPPPPPPKRGPSGTSSRIARKQNGTN GNTVGGSGNKKSAAKSIPVGGGKRALAIRPQSAASSKAAAKAPPPPSEPENRKTSHKA AEQKRRDSLKAGFDELRMLLPPINVEALDPESGEPIPGSSAPRLLPKSSLVPDDNPNR GVSKVALLRFGNEYIEKLKERVERRDDYIERLREEVRRLRIASDDLGGEDEEGEGDIL EFDWREGEEDEFDPPPNPLDEDILLDENGELLEEGDDDAMRHGDGEDDEMLEDKKKIN KQIGNRRSKSFSISGGTGTNNPTKSPALRAINSKRPSITRGSSSTATVTVKK I203_04795 MSAKGALSIVPAGVLSGDDTRKLFTYARDNKFAIPAINVTSSST VNATLEAAKAINSPIIIQISQGGAAFFAGKGLPNGNQEASIAGAVAAAHFVRAIAPAY GIPVVLHTDHCAKKLLPWFDGMLDADEAYFKEHGVPLFSSHMLDLSEESKEDNIKDCV FYFKRMAKMNQWLEMEIGITGGEEDGVDNSSVDNNSLYTQPEDIWDVYSALAAISPNF SIAAGFGNVHGVYKPGNVVLRPELLGKHQAYAAEQLKGEKGDKPLYLVFHGGSGSTKE EIKTAVVNGVVKMNVDTDIQFAYLSGVRDFVLKKADYLKTQVGNPEGAEKPNKKQYDP RVWVREGEKTMVDRVKEACVDLGNENRA I203_04796 MKAFTALLAALATIDITSAATGYLHKERSTYTPSLGRRWSSNNP YVTVDGSVCTVKPMGGGQDDGPNLLYAFNLCGSTALINLPGYYTVNTVLQTYLNNVEV RLTGAISYVPDIEYWSPASIYLTYQNATTYWFFRQAITIYHESTKLTILYSGSGITLH GGGTIDANGQTWWDYYAQNKNAGVAGGSSRTFARPIPLTVGNASNVVVDDISVINSPF WHNLVYQSTDVTYSNIQIRSISSNESAEAANSDGWDIYRSSYVTIKDSNVQNGDDCVS FKPNSTYMTVENMICNGSHGISVGSLGQYAGETDIVANVYVRNISMSNAQNGARIKVF GGSNDTKSISGGGVGYVKNVTFQDFVNYNVDNPIYLTQCYSSSAQQCQDHPSTLSISD VYFINISGSASGLVPNNTVATLECSAECVDITASGTQLSPKNGTVGSGKYLCANLQDE STLDFQCTDVPITKG I203_04797 MADRKPPTYSYGTAVSSARTPGGTASSTTSAWLGNRYAALRGST DQSGYIVVTQDGLRRDDTLDYKLEQSSTAFQGTKQTKTTDTRAVSGFGPSTRRTNTFE LWGSDDESE I203_04798 MSESDKKSTKRARSFEIDSDEQDHPNPNEPSSSKIKLEEEDDNE HAGAMHKKKKKSKTLTPGIVYISRLPPGMTPQKVRHLMGRWGEIGKVYAQRRDAPTGY NPSATQQKKQKHASANFSEAWVEFLDKSVAKTVASMLNAQVIGGKKGDKWRDDIWTMK YLSGFKWEMLGEQIAYERQAHQARLRTEITRSKAEQAEYLKNVELARVLDKRKAKKAQ SGKQDELQKDGTGGEEGKGSSYRQRPVIEKSKTLSGKGMDDVLGNIFG I203_04799 MSPIRPTKITIDPIATNQDVSEQGNTVFGLSTATNTPWNEDQRF FPSGPSTAVNTPAAGEDKPFLFPSAAPLSRMTTRVSEQSEREKEEKGEKKDELASGQQ TPAAEPENLVGALESYPTPEKTRLSKSKQIILGAVMMSTTFVASATTSSTLLVIPSIA KDLGVTELQAQWVSSAYALANGCGLLLAGRIADLYGKKWIFLIGMGLFVVFSIISGVI RNYVAICVVRAMAGLAISISLPAAFGIIGVTFTEEPGRTMAFSALALGYPVGSGPGMI FAGVVAGASTRAWQYVFFILAGLALIPIVAGTFVIPHDPPKMVTATTNRRVDWLGAFL ITAALSLFSFAITQSGLVENGWGQPYIGVCLGISVVLFVVWAFWEKWVVKNTTIPPLV NMSIFSRHEWKVTSILSLSFCGYLAIAGWLYLTTIWYQNLKKDSPIMNAVHVIPAPIV GMVACIVVPLLAPRIRAAYLLIIGGLCTALAQVMFAVAPLDLTYWGCELLSCIFTPFG ADFTVGVGSILISNLVLEDEQALAGALFQTALQIASTVGVCIASLVQTNITAQSGSLH TGLKDAFWLMAGFSWLSAIIAAATLRKVGLAKDIGKLVNGQMNEKR I203_04800 MESKPITREASNDEGKDTKGGIEESPSTSTSETTAKEDVVAIPR ESVIVPSLLLSRMQKIMLSGTISVTYFMMSLSVGSGLLIIPVMADYFDVSVLAVQWIT SAYQLAYGCGLLVSGRLADLYGRKKLYLLGMLVSTIANVISGVIPDRIALTVFRAIAG LGLSISTPAGFGIIGITFREEPSRTIAFAALGVGTPLGAVVGEVGRGWIDSCLMTGRH DLLVHGKGWQYLYFLIAGFGILPIITGIFYIPSDEKTTPPRGQLTDIPDDPAGKMEKS RKVDWTGAGLITVGLSLLLFSFTQAGLVDEGWKTPYVPPVFSISVILIIVFGIWEYHL EHRVATSNVPPIVRLSVFTRHKWRITAILGIASFDWMGGLYLTSVYYQDLLGYSPLKN AVHLPPAPITGIICSYLVTLAAPRITAPVLLALGGISTGLANALFAFQPPHSMYWVHK FFGAILHPFGGDLTIPIGSVMISNLVDDDEQNIAGALFQVALQIAGTLDLCLSSIILT RIESMHDLLEGLRISLWFNAACCWLVLVIIFLAFRKIGLAKDVAKAIRMVKE I203_04801 MLLRRLYSSLPSTRVGGTSSALSSIPSATGSKPSIRGRIKPRSA NTSALCKPKQPISVSTIPKSEGNGRIRRRIRSISNPNHEHNVVSDSNRRSPSSVETGS YSIFDEEDYSNPSTAPTSTSPSSPRLLFTHPVPSKDVVEGFKPIYLYPEQFKLHYTFT PSHHPLPLNMGTAIYTHPRHPTSTKSAELEDIFSKPSGPDPTKSLRRTTKSGLGDHHR VLSNLSHPELLHHLGGMVDPWTAHQIQSDTGSGLEAILSSKLNELKESSKKEQEQLER IFSASEATDSSRGSIQSKGEVEVNTKDANLDEVVGGLNDVLAKMGLSGSTKETVQEDG VMLDSVKRKRKKKISKHKYKKRRKVSQTPIFISL I203_04802 MSKRTHDQVEATEMEAGPSTGISLTPSTNGVNTPDHANVGLLQI PQKRFYRQRAHANVFVDHELDYPKSPSAMDWSFHYPQYFSYPDQAEPLPGQNIKKVEW ADVGCGFGGLLMALAPMFPDTLMLGMEIRTSVTKYVTDRIAATRQSQSLLPSESSEKK PGEYQNVSVIRANAMKHITNFFDKGQLSKIFFLFPDPHFKNRKQKARIITPGLLAEYA YVLRPGGILYTVTDVKDLHDWMAHHLNNHPLFIPIPNLDLEDDPILEAARTSTEEGKK VERNKGDKWVACFRRAENPE I203_04803 MPAPRPPHSIELTIPHVLTQDQFDELEDLTFLHFEENDDAWPIS LKITSELSETIPEEDPEGDMVKVETTTISIATSVEWNVENEEKMRSIVRGLLVEIMGG G I203_04804 MSQEVMLPQGWEARWDPQSNAYVYVEQSTGRSQWEAPTQPSYGS SNPSVSPAPQPSSTHHRPGRRQYPTAQIQQVYGGGGYDTQPQQPIAAPGYPDQQQQQQ QPQYFTPGFGGADPAQQQQPAYGASPAPAYGGPAPVPAYGQQQPGYPGQQPVDQMANQ FQQMNVGYGGGKQLHQIQTVNLIGMQPDVTGLDAPPPPYLLPPNAAVTASPHIQPDPS YQRCTLTSMPTTQSLLNKSKLPLALVMAPYRSYRGEVDGDDPVPVVEDSVIARCRRCR AYINPFVTFIEGGNRWKCCMCGLSNEVPQLFDWNQAENKPADRWSRKELNHSVVEFIA PTEYMVRPPQPPVYAFVIDVSQQAIQSGMVAVAARTILESLDNIPNADNRTKVAIIAV STSLHFFSLPADATEASMLVVSDLSDVFLPKPVDLLVNLTESRPAIESLLGKLSDMFQ DSHTVGNALGSGLQAAHQLIGKIGGKIIALTATLPTLGEGALKARDDPKLLGTSKESS LLNAASSFYKTFAIECSKQQVAVDMFLFSTNYTDVATLSCLPRYTAGQTYLYPGFNAS RSEDAIKFATEFGKVLAMPMGLEAVIRVRATRGIRMSAFHGNFFIRSTDLLALPVVPT DQNYVIELQLEDDIKGSFVVLQTAILHTTCYGERRIRVITQAMPTTDSISELYGSADQ VAIATYLTNKAVERSMSHSLDDARNTITKNLSDMLAVYKNQVTSSSGGASAQLAVPEN LKLLPLLCCGLVKHVGLREGASIPPDLRAYAQCLLTTLPCQSLVPYIHPRFYSLHNMP NEAGNINSETGQMVLPPALNLTSERLERHGLFLIEDSQNMFIWVGHEAVPRLIQDVFG LNDYGELQGGKSTLPLLDNPFSQRVNNIIAKTRELRRGVYRPHVYVVKSDAEPALRSW ALSLLIEDRMDHMSSYAQYLTTVKNKVSHLIRLFELMR I203_04805 MLQTNLLAPGHADLVTHVTYDFYGERLATCSADQKIKLFRKSHE GTWDQETEWKAHDAPILHLSFAHPVHGSLLASSSHDRTVRIWEEPTGREREGRWIERA VLTGAKGSVKQVEFGTADAGSEPRATISTDSYLRIYSSADPSLSDWSLLQPIHIPTLP PPGSSSSDEPPIDGVGTNELALGGWGLSWCKERWWGSIIAVFTGISPIVKILSIPVDT SSSANSIMFLNPPSSSSTAPLTSIAWAPSCGRSYHLIATGSRDGTIRIWRLEPPVEKL RVDYNDDGYHDGVAGSTQWKGDCVADFGKGGARIGMVDWNATGTTLTTTDDEGVVRIY KPTYARSWKLLGEMSAEEPPHEDGNGQ I203_04806 MSNRGSNSHYYTSGATGSSLGSIPEGEAVRDQQTNRSGDGTSVE EITAFLEQFKMNSSNAPFSAEHRRGDSGSGYDGSSVPHSSTGPRSVYDMQVGDRDYSG WTSGGGHQAGTADPGDEGDLQTHAGDHRLSDYARLRLQQNQRDEITAAASTAAANSRS KRRKGC I203_04807 MAEDSRQSSSVQSSGSGASTSGRTAMWFKMDDGRFISSTIPANW DSHLQKTYAEKGQTVRYFDTQDLERLEAMENEGSTGD I203_04808 MSTHLDNPSLTLVRFSSFQVTSLGIPLYLSSHRQSQITLFPSSD QWDPLNLLKHNHYIKRSLAHHRFHALQVGPTWSKSVIPTLEITFNNLVIGVGSKISVH PLRPTSTSDRHGGKVIDRAVEYDIRTKKDQGGKSDIIGIVPIDGGSSGEYIVAQFDGT IQRIHLPFSSSSSSSDIDIYGYTNPTLSIKARYSSFTQPKESINTLTGTADGRQFVTT SVSGRVNIYSTNSPWTEPSFIQLKSPRAWSSLLSNSHDNSIGPMAILGIQGGMDIYNL LPTGISSRPNRKLIGPEEPLLSSPYCLQLPPTNPDGTPQTSHNPNLLLSGWYDSHLRI HDLRLPFKLPVGQFSDQYTWSDGSAYYSTCWVGEYHIAGGTSKHGTISFFDIRNSKNK NKNKNDRTGWSCFSPNGKGSPVYSMKYDNGKIYGVTERRSFVLSFDHSGEYRNGILSE DLRKSMILQSNRDRDKGRYAPNGYKARGGKWTWSMRNEQDDKNGGIAVGYEHTSKGGV ELFDSLVSA I203_04809 MGKKNVAPGGEAGPNTIFKATYSGVPVYEMLCRDVAVMRRRSDA YLNATQILKVAGFDKPQRTRVLEREVQKGEHEKVQGGYGKYQGTWIPIERGLALAKQY GVEDLLRPIIDYVPTAVSPPPAPKHSVAPPTKSRKERERKSNKEQGTPSKTGPTSAAA LQAQAQLAAAASASASRTTHRNQESTPDMDTTMRSGEVEETPSGSPEDADSSSQTPSP VASEVELGESSLHSHHHHHPSMDVDGMHMGLPLGVQMNLLPQMETLDSVSRKRNAATM MMDEDQQQEQDQYSQLRRIRGNSAVHTPQGSPRNLAMGLLPASSSGSGGLHHQHHQDD LTAPSIGPKEYTEMVLNYFLSDTPQIPQVLISPPHDYDPNSRIDDHDHTALHWACAMG RLRVVKLLLTAGASIFIGNNADQTPLMRSVMFANNYDIRKFPELYELLHRSTLNIDRQ NRTVFHHIANLALSKGKTHAAKYYMETILSRLSDYPQELADVINFQDEDGETALTIAA RARSRRLVKALLDHGADPKIKNRDFKSAEDYILEDERFRSSPVQQANGNGTGGGSARQ LSGETKLGDNKEKEKDKVVFAPQLYSSEAARLTGGSALQDITSNIQSLAKSFDNELKS KERDILQAKAMLTSIHTEVTETNKLISTLNEKTLSIEDKKNELNTLKKNLNNKIQKQL KKGFEVWLKDELNRENSWKNGQSPAADLDELHNLPVGGQEVIQAEEERLRWEIEEKRK RKNELIEKFVKAQTEAGTGEQIAKYRRLIAAGCGGSKVEDVDELMSQLLEVGQ I203_04810 MKISNTAPLHLSNQEVLTHFLSLKEDNDALVEAINLKKARNRAY AKKKYPLERDNPEDMDDASLLEGISEQEEKRLDIAERRGCSDELVWVQSEVIKYLCQP YNPTSRQTADGVARLADELQDHQLTKAEVLQITNLAPTEVVELYAIIEEPDTRYYPDA SVKLEEIANQISSTLMTHPPPELAQWTGVGSTGEDQGEGYEHGFVDQDEMEMAAMGMD DQEYVFEGGRDGEGGVDDEKDESMD I203_04811 MTKSSDSISNPDIDSDLIDNPNSPPHLPNPLDNDDQKTVHRVFS IQDVDRASIFSKDEGVDRQSEESKINVGAKDVKGLISLLGTSSKFSTTRDIVRSDNSQ IVLNAVKDSSIKLHERSSEGVKGNIEFASRAVGTDKKFDEASDDKPNDE I203_04812 MFSLANKLTLLGLLFSIAYKGGHALPNKRESNSESGPLYGSSGL PMAEDVEYHHYDQGYSAAVIAATKFPQFLKSLIQYDGDNFTSISKVNATVFKTDSVES STHVITHGEISERNNTRGDVWWPGALYRASLRSGYVIDPDGKMGMPNLQPKDAMILLS GYSPDFVRTFDKESLWNHLTIDNKLNSTFYNPMVCRASDDAETLVGGMTYVINEPLDK QRRSMSKIPLTNIIVTLQKNFLQDLLHCWEWNLERFVKPWLAVGDDNENTFKDTSSTT STASDPSNISADTSSATSTQGSSSKPTSSSSASSSDASSFPSDFSSEQSTTASSTSSG ESSTTSTSSQS I203_04813 MITYLSPHHQYRTFSNKENTPSASPTPSVFELTDIDAISTSLQT SLSGVTPSSKSKKPLGLGIGRAPKFTYRKHASKPYGRERISSIQRAKSKINRLPSSSS TGINSKSKKGLKARPTPLKLKSQEQERVKREEEESKKLSVMDRVRLERWRKSIWKPEN KVQGQVRVPLMLPYPKYPPVPSIDTDELRDIPIGYILDRLTPILPSISTITLAYRPYA TIPHPDPSVQSTSTLPLAIPEVLGGSKPHWADKAKGREPDMVLGIMKKGAESEKGKMI VPVMSLVFATQCAYWPKLTTPPTTSSSSSRTINYRTRSEAAVSTALPAIVESSETSDE EEDGSSNTSWSSESDEDDTPSVPSPVVDTKGFLHLPIVPLPLPSPETFGLIHRHLHHP HRPFIPDLLSLPDNCTTREKVVEELGDVPVQQLMTKLEVLQGVWQNLCCLGIGRLNTW RQLGEAWACVVGIIAGNGMLLRDIQEENGKDGRQKSAAEEVAWEWVRKERPKINNQ I203_04814 MPSKDQITGYGTNSQGNSYDTRVDSNGNQGYHYSNSNGSYYYSN TNGSTYYNSGDGYAQYTSPSGDKSTSYSGNDKK I203_04815 MLAHLSPPSRSDKAPSSGGPPSPRRKRSAADLRRFVTTFLPSMG SNAQVNHNPHPSLNLLVLLPSAAHLHQLPSPPTRPSGLLSRKSSSTVPSLQSIRAGEA VSSTSSSPAYKSRNADDAQGPSDSVMASRRLSLAPGLGAGAPLSTSNMDLDLVSPTST PIPGGAGSSTMTPSSSIDKHTVPPPFGSKSITPPGSGSAASSTQTSPNPSSIPAFGAR RSTGTGSSRLSRRRPVTATTLSGIASSIAPALMGIPGGRASLAVPPGLGGVSHSNRTR PGWEADEVIGTLRGSGMEVTVIRHASHIPAVLDPSSHSSFQAPPIVRSNDPNEPLTQV VLVPLTDSPAFPSLSLLLQQGTTPTAVCFQQDLLDRAKRSEDEWLPGAVEQIRSINNM PHTPSHAFSTSSTTAEPPVIIAYSANPALSQAAINACINAGAAGVIKPPYELDTADLI MRIVTAYREGKPLPTNSSPPLGRTPSPLSQSRSPLGTPRSEETAVVLPPTALDMGAEH EGERVLGAAVSGGPNGHREQSSGSWNTEPVKRSSISGPGRRSSLTPNDSGIPSPPPLT SDTLASKLSFPPLPLDFSLPQPYPYAMECNPRRRSVDIGGLSLAIKRASLAFEDTSNP IGTTLSQIKEGYSFPPSTPAKKKSSNEPVQVDESQGQGSELAELLSAMFCHSMTTIEV QMSDYEALSAPLTQEHREKLVRELSTWNFKPHHLPEGDLYRVACLMFESVLSIEGLKE MDISRDQINRLLFAIRAIYHAPNPYHNYVHAIDVLQATYMFLAQIGVAPPFSCMRNWT PEKPAWQRSDPSDREISVGTRRAREVMRPQDVLGVLVAAMGHDVGHPGLSNAFMKNAK VPLSQVYDDKSVLENMHCMLIVQLLRKHGFGFLIEGAPHSPSQTIDQKGFRRVLYSTV LATDMSLHFAWIQRLKDFDEGLREGEIGEDEYDRVMICQALIKCADISNPTRPIDVSQ HWSSVLLEEWAKQASLESDLELPVSVVASADAALQAKGQIGFIDLFTLPLFEAVSEAL PELQVYADSCADNRDIWQRRLDTLTAPPPEDQVDVQVEEQMIQPLVEGASHDERFKTL FPLLLPTTLIAGLDEWASSTNTTTTDLTPPTPTSLNAEKDSQHPQSPVAKTIRLVYKA KLAAQAPRGRVTSSSWARSLTEWNEGRRMSTPEVVVSRDQFSS I203_04816 MTSETKEQSTGGDTTTSTVLREIPVPMSNRIVREHPNNIWSVTE KNTKETTYYKRTDDLPPWSTSEATDRKTRLAICQFWGTTNYEDWFGSPVSDPSQL I203_04817 MAAQDFNGTHVTRTRGSGSEVHTLPDESTARAVNDRIWSFTEND GVTTYREVINTLPDYITDPAGSAPATQMFWNYEPGSGVYFGSPCTDLDQLQSTLVGSS NPN I203_04818 MAYNQYNNRHSMYSPPPANTPHSTQRPYPTVYPPSSNDFIQPPP PLRPSSAYIAPFPGQSHNDHPTYQPPYPPVPNETPPQRYSSLNPTMGQPLYQPQPVSL VDPPNILPPRTSSLSGLESENCNEGNYWDNASEHYTTSLIPPPTSSQIPYQAPFDPNS QTFSQPPPEPGAQGFSPAHHHNPSITSTLSSLTLDTGDMQHQAFPSSSSSSLSSASAA TEPPRTVSLPTIEGLQSSAATLDTAEDMDQVLWAQDVLRLVDRQLTPSGGGGPTDFTH SDSSPANISKLSPSLKDLLENAVPIIIVVSTSPNTKASALALYLKAKLQSSGICQEML PRNQRLAFKDFENAARNGETRGWFRLGRDYEGVNDLSRAKDCYERGMKRGDCECTYRM GMAHLLGQLNLPTNPSIALSLLRQASDNSTVDFPQPSYVYGMLLAGELSVPTEIPAHL VLSPTSVPSEALYSQWTLARDAIERAAYFSYPPAQYKAGHLYEHAALGTPYDPLVSVN WYTYASKNGEKEADMALSKWFLCGAEGHFPKNESLARTFAEKSARKNHPNGCFALGYY FELGVGGRKDLDQAKKWYQKAANLGNTDAPLRLSALSAPVPTSISMAEHETRLNDTLV RRRTQAKNRSDRQSISRPTRRHNQQPPQQVTPMPMPQAYTSPRPPEWDQRQTTPVGMP MPMPMPTSSPSPISPAIRVGEIMSPNIKITGYIPSSNSFPSRPPIPHQSFNQGPNLDN QNQTFPNSRRPQQGRIDENGRRRPPSGVSTSTSLSDLPIPDERNKPPKKEAQTFAEMG FQSKPVEEDGCVVM I203_04819 MSTQIPKTIKAIQVDKVGGPEVNVLREIPTPVPKENEVLIKVQW RRDITVLTHFVSLDFRSGLYPVQHPYTVGQDAVGTLVQAPEGYPIKVGSRVFTTAGSA FAEYLVASRDRVAALPEDIDPKDGVSMATQGLTALYLLKESYPVKKGDWILNRAAAGG VGLILTQIAKYLGVNVIGTVSSQSKVETVKQSGADHVLLSSDSSESNVKKILELTGGQ GVHAVYDGVGKDTWEEDFDVVRRKGTIVTYGNASGAVPPFPATKLSPKALKVTRPTLF AVVKTQEEWDEYTSELIDITKKAKIHYAVHKEYGYSAEDVIQAQKDIQGRGTTGKLLI KISE I203_04820 MFKSLRSLLATPSEESRVNAGEYEPLSVGDDASSHRERDGLKDI RHEPKVYLSFWVLGAGVLMSWNALICTIPLFITFFPADSSSSVKSNLASYLSSSYCFG NLFFLGLAQRNVGNTSPSKRIHWSLLLLLVTAIILTFPLLPLVLPSLSPQLLFPTIIL ATVVLSISTAYLQSGVFALSALWGSKEILAVMSGQGGIAVLVSLVQLILAIIATRNKQ EEKGSKASTMAGVGLWAAGSIGTMACLVAHRYLMGHPEYSSIINSTSTRLPEDNPKEE KKGLTRKVFKKNSLLMVAVAWVFIVTLSIFPPITTTIVSTHQPTPQLLQPDVFIPLHF LLFNIGDYCGRTYLPSIPLLFTTSPPRILSLSLLRTLFIPLIFLCNTTPRTSTPILDS DLIYFLIIVLLGLTNGYIGSLCMIVASSPELNKRIEEDERDVAGTLASFCLVTGLAIG SAASFVVASLVKG I203_04821 MSDPSVSSLKIPNEDSDIVIDPTLSTPPPPPTLEPAASSSVLNP SAPIESSSAMSQSIPTSTTADTMSSAPVEMPTFTPYIPNTGVNGRRASSSGKGANGQH RSVGPELSTPSKLSQASGGSFVPTGEPPYEPPHDIVYPPDRIPNPHWGDMTPQPIIEN FPANSLYRPKYLPADIDDRLDKKSVWIGVEKESARAVYFLPPTCQCCKNPAVAQHCDR GWPNCARCIGRGVTCIPGKAWGMMRPKGKRRNLKAEMGRTKLPNEGTSTPIKNGASSS SQPKSTPSSSAKGKGKAVQPDNSHPDLPHEPMNLDQSMSTNGQQEKPRKKRRLSGGAG GEIGVKRIRRKSHRDSTSGLPHTSKHPLSPADRQYFSRLEANAKKPPLTDMHGPCPVW AKTRGSLHAACEYLRNPKTTAGASVEIGVGGIARGVILEGDIPDAQGAYWGIGKDTGT IVTAIGPSRKQTSDYHQGLNGSDPPAPIPQEPLGEPRIRSFTPPLPILPPSQSKVLGI TQNQIKQETPTVGEDVKEAPEVEALLMAHRARTPVALAVAQDYTAVPFKVPRPFIVLG WFWITDAWPEPVMPEVQFFHIQQNRPIGPPESVIWKFRFEWCTGGSQGVPWWSSVTQP TRPTALPPTHTEEAEWQISGSGCGSTTVQSPSSGSSTDVNRVYQYICPQCNHISTKVY RDGDICLNERCPWFFGDASSLANHIGPFTNIPFPLLPRTRVLPETLGLQLRPPEPSGI SHDTTQSHAGREFWRGWVCHKCGSAQERYKWAGWSCEACGNSVKPPRRIYTAEALRPP SRPVCTSTRQDDGYASIPFEVNRSWSLFDNHIKVIKHSLEPLIFGPNCEVHHILAHDG QGVNSIAGDVLKKLQMQGEDEIPMRRYTAVTTQRPAELTMSLFYTYLCGSESYPINAF PTYRSAHWSNVPPVCLEIVDLINDRSGKCIPGEREFDSLLIAANPPGLATTLYPKIEI EPSSYLSVLFLGSDATVCIKQYGTKQGSITVQHGDILGFKSGTEVMEISLRMENFGFF CIARHGKLNEVVPPQTATSESRLSIDSSLPHVHSDFNPSSSVEPLSATFPRIESDNIP VEDGSVSKSQDKKSTSARPKARAVSPPVVKPAELDLKNWYLGAYPLDPLQPLRMLPPY RPAEGDLDSSKVVILDDKKDWIQLEPRALSPLPGYDDLQPDPPPVQQKSTAGGGGKKG KNSTPVSLTKKKGRGPGPRASVSGTPASTVTADQEEDTKSIGGTPSARGGKRGRGRGR KSIN I203_04822 MADKQDITAQGGSNEATDSTKSGKDTIIVASWKNQTRQGEVATY VEGLIDASDFMVRTGSRLAKISHNDFIQVGDSWGVPLSIQTDAVKTFGQAWEEYSSAP DNYALTKTNKARFLAQAGATEESQAD I203_04823 MPEDRNRASNISTYDASSGTSLESSSLQYLSASGRTPDIGNATP QLLTTLRSMKESGPYTANDIQWAFNKMKEHKSKTTSTAPGSDSGASEGQSCLD I203_04824 MPPAKAKPAASTPKAAPAAPRKTRATRTATKQDTDADDLVEGLG KLQLGQPSQPVRRTVKTTAQPSSTTSTSSKPTITARKTTTTSTTTKKPTASTTTTSAV GSNAKGKSKATSLEDTLPWARLSSPTDSKPMSVTDRIKGAMQAVNETSKSLSAASTSG FRYSTSMMTGSEKAGKGEWSNEKVDTLIAICKSAMRVLREFDDKGQLQGKGLDVERSS SGIVVKCIAIGMHSQAMELVIASRPALLRLYNPRRISTQTPVASTSAPPRGQSSSSRS TGIATSSATSSRSHNTGKDSAPIPSESIPKEWLDMTRLPSPKEVPELAESLRNVLFMN LISTWTCLVALAKDIDQLIPILVQPKSDDEYLHPLTLALSLPTPSISFYLHTFYRQVS SLTIPPTSSAYLHLRHLALLAMSITISSSVDSKTTPTQYWDTVHRTILNFIKGDEHKE KLNEAAEAIDGIVEFVEGMVRRRGDTGWFEGKGWLGLVEMWIGIGRRLGDSAIIDKPL ALLASSTSITKSPLSPPNITKTPSSRNDARKIKNPDAEIARICGDLAKANLAIDKALS SKSASPSTIECLDSEELLTLAHSINSLLQDEDLPAMAGKACRAFERVRRGCLKVLEAT NDPKTGDRLKGVTEGIRGWLISSIEFCEILVYASIVVSGTIEDTIIPSYMDTIVQYFR PPQSYTAFYPYMSRTREMMDKTSQIVNDTNKAEYFRYLSTLAYNAAGQVYRSNQNEQA AELCKMSCKWTAKALRLVENTSKKDDKSYTMISDVFSRRWELLAGCYQRLGKKDEMFS AYSNVFASQSISTRSQISSSSSTKSLNEVFGSLTESNNSLNRLASVILWEPATYTSHG QELIKLMQENSCPPAAIGATGERILALLEEGEWKDEVAKISLDLGDSILSVYGEDHPI RRTRVLAKMMGTILTSGHQMDRFPSLVEEVTKASSQSELGEDTGLLPFKAEYLSYTLI LRAMQTYHASSHPTLEVITASKEAIEKLQCIIIPPTSPDQVEESKKKVPLGRRSLAAL LGLLGHPFQQIEALKLLRAFQRNRDGLVDDYVLRSAQLATEYQKLGKTSRAGLVFAQA QKVIEHSKILVSLKVRVELGLRFACYLAFKGEVTRAQEVYAEAAALELERAELDKNAS FSRRVIDRCEQLERAAWARRAVAAIYAAQDNAAAAIMHLSASFRIFSRAADAICRITS SSPASPKSTTNTDDPFGVPLPPSAKPKIDGADEPSKDSQIAPPSQTTHFTGKHLHSFQ WHIASSLLSTTLDLSNAFAYRGTVRDCEYFLRIAGGVAGAVKSDVILARVGAKEAELN FRMKKWEVVGEKLEGAASALNAIEGPDMIDLNVLKGNLYSRTEMIEEAEQVFQSTSKE IEGLDRVFVANEAVLPTVRASLTAGRPTQQGKEPLLPSALSHVLRQYAWLLKEAGSKE ECEELLSQIKTLPASTENKAEELLLEGRIALHEAFNTFKTDLFMSSLTESAVAMPMGA PLKRVVDRQSTRLSMQHVLARAEDAFLSALSLVSGSGKIEGIRQACLALALLRTFQTS LGQGSDEVTASAAGMLASSSSITLQRELLEAIECKFTDIVNDDTKWPSLPTDTMDVDE RPQMNSSSENESEDLDDHNGQLREYWDKIKIKYLTNSILTLTSEPSKELNNLPPEWAV ITINVTDDHNTMFISRHQRDCKPIVFCLPLDRQGRREGEDDSLTFDSALAELQDIIDC SNNGARTAKNVVSREEKLAWWEERYRLDKKMKDLCESIEFVWLGAFKTIFSPRLPNSS AIITDLKERLEKIFNSALSSTSGGGTAKKGSSKPPNRVELDDALLQCFMNLSSKCKDE EVEDLVYFILDVYQFHGVPVALSELDIDQIAIDVKGALEKIESRIALSSLRPPKDEHL FLALDKNSQPFPWESIPILRGRPISRIPSLSFLLDQVAMGNHLRPSLTQPTFNLASEC SSNGAVDTRRAINSRRTFYIVNPSGDLDRTQQHFQPFIDEMVKTAGWKGIIGRPPTEL EMAAALKDYDLVLYFGHGGAEQYIRSHKIRHLPQCATTMLWGCSSGHLKEQGDLDRTG TAWNYMVGGCPSLTANLWDVTDKDIDRLSSHVLKSLHLDSAHQPESKSRSNTLLPLSE LSTVAAVNAARDECKLKYLTGAAPVVYGLPVWLH I203_04825 MTYLELLAAGVDEGDALDRLQLKRYCCRRMVLTHVDLIEKLLMY NPLSRDR I203_04826 MSEIDIENLAYVDIQHDALAVFDDIEQGVVLSEDIWVLGYKAGE SSVHGKAKITFREGGGSDITSREGVKVERSTSSKTNFNVSIPKLNIDTRTVKYPKHII HPPYKKSSNLDAPLHINSLSLNPKSPHVVIGGPDGYCVILPTSLNSTEKEVQLKGHVG DVRDVKWFPSGEVILTASSDLSIRIYGREGINPRILCGHTRAITSTCILGVGKQILSA SKDGTIRLWDIGKGEEVKRWLIGLESRMTIEGMIVIEDPQSLQSLGLGPEEGGERVMI LNVQEGIWIQPFSSTEKGSGWFIRNDLNSQLISMDQREGVVVLGYMNGVIEIIDLVRL RKPSTTKEEGKVGVGRIKRIKRNESPIYSLYLTSTSTGGNHEVYLYVGTSSGLPCLLG ITIREDRFEVETKEELAGWEATGIECWGKVGDNVWCAGGEGGLRRY I203_04827 MDEEADLALLDQHLLKTNLLSQRMTNILGQLDNRLSRLDKTIAP LGLQPLTRKDANIEAILSHLEGGNKPSTSNSISNGKTSSNGPIRPARSIPIPSPSSIT TSNIVTPTSANGPAPISTKTSSAASSPIAGYSLGNPIITNLKSSAKQLSPIQSAAPSA TASPADETALLTRGPDIMALGEYFTAMDGVILDLDRMYKGLMEGRGGAREAGVKDLSN LVEIGFSGMTQLFMKISKDGMGKVVDAEALLSNGPPTPPNYFSPLSTLHPLINKITST LYPPSNASTPKTLSIIQPIWDQTINTFAEMRGEWMCRCLSGLITKVEEADEGGIWADG RGREKVKGLTGLWEGLLSLVEAETLLITTLFPTHPPSTLLLRTLSSPIEILLRILQPT INSIKKSLSSQTFIALDLYTSLSSIQQSWENILTKCLNMTQTSLSIMEVKEVLTVLNQ PVSTLRSLCLRSFPEFLVDIRTTRIDSTLTSSIADITYSTLSYLEQLQLPEFEKTVEG LLGKSHSERSWLMGQKDAPSPARNAGEEGGTVNLFVADVLGTLLIHLDARSRAMRKPI GQAFLLNNLSHIRNTTSSFHSDIIGPGAEDMLNKAFRDAKSQYLSEFHSLVNLLTTTH STPRFGVPVPQGERHHLKESATQFFDRLSELESVILQYPLNRQDPDMRDRIAREVENI VRGGYEVFWGRCAGKGIEKYLRGSPDDITRRIQAMFR I203_04828 MAQPPPPEPKRSESSTSLSRLYHGTGSNTNNGINEEELNDPKMD YCNSFWGQGDRGYEVIMARLRGAGRTVEELRGFWRERASIEEDYAKRLHKLSRYTLGK DEIGDLADSLQHLLTETASQGAYHASLGNEIRQTVEQPTAELLSRLSNLKKGLQASIE KAHKTKGLQEQHVQKARERYEQDCLKLNSYTAQSSLTQGKELEKLHTKLDRVRQTIGA NEQDFRQFVRILEQTQARWESEWKGFCDHVQDIEEDRLAVTKDLVWVYANAVSQVCVE DDSSCERIREKLEQFEPQNDMLNFVKGWGTGDMIPDPPRFINYNAGESYPTQPTFHVS HFQRISARPPMQPSMASQQASAQLEPEPEQPQELEPEIPQANGHDVKDINGVTDSLQR TTLNDAPPSSARSAPAPDTQKKTPFGGIALPGLASATSPPPQEETSKFSSMPPPPTPP TMSMPEPRIPSRQSNHSPAPRNVNNEEDPMAKALADLRREPPPPGSVRRNTSHRRPEM SYQQHMSPAQSRGSVDMTLSPPQPGHTAAALAKSMEEFRQQSSRGPDPKRQSVNYSNF ADDIVGAHPTSRPSSPSVAPRAPSPAMMQPPIQPATHIADEVLSQYHQAFPGERQERS RSRAGSVISSHSRAGSFVEQQQQQPPPVSPGREAFAGIGAGGGRSPSPQPPTFRSPSP SPMMSQGSLGPQNLGISLDAKGGVAQDTMAEAYRRQFEQQQQQQQRQGPPTQQMSSYP GQRNSQYGAPQQQQQQPYGQQGGFNAQAQDQRGSMYGAPKSPVATGQPPVAGNRPTSG YGQNQSSQYGGPSPSGPGYSQSQQPPQPAYNAYPSQQQQSQQQYPGQAYGQQQPQQPP QPAYNQHPSPTPQSQYPVANGYQSQSYGAQGYQSSPSVNQYARSASPAPAQQQQQYGY NRGPSPQPQYAGRAGQSPSPQPNQPPSNAAPTGQWSTTGLPVLFYVKALYDYAAQSSA EFDFQAGDIIAVTSTPEDGWWSGELLDEARRIPGRTDFPSNL I203_04829 MPLLFPNFRIHQVFGANTDVGKTLLTTALVRATASKYAASAKGK EKSVFYLKPVSTGPDEESDVSYLQRHTKPYAHLIDTHNLYQYREPMSPHLAAKLAPDL PFPKTNDELVRGIENYATSCAKQLNGRQGALFVETAGGVHSPALHPPHTQSTFLRSLR LPSILIASPRLGGISTTLSSYESLIMRGYSISAVLCLYDSYYRNDDFLEGYFRDRGIG YWTVKPPPEKYGTVEEDAARLSQWYGDVEQSGRAVEGGGGVREVSDWLDHQHVNRIKE LDSMPGRTLKSVWWPFTQHGLINKKEDVMVVDSAFGDNFDSYYTKPLPTSPTGSESQA IPKEEGNLLNSYFDGSASWFTQSHGHANEELTIAAATVAGRYGHVLFPSGTHEPALKL AEKLKSTVGKGWAERVFYSDNGSTGIEVALKMALRAAGRRYGYDGEMGGDYGVIGLRG GYHGDTIGSMDASEASTYNKAVDWYKGRGHWFSPPMVQYIDGRPSVLTTGPDEWSLLP EALSSEGKTTSEGWSLGFSDIQSIYSVESRLDSPLADYYREHIRKNLEKAVKVDGKKF GAMIMEPTCLGAGGMIFVDPLFQTCLVEVVRASSDLFGGKSWKGWREKRKWRGVPIIY DEVVFSGLHRFGYLSASSILKETPDISVYAKILTGGLLPLSATLASTSIFNTFLSDRK VDALLHGHSYTANPIGCSVALKAIEILERQNWEVEKKIWNVNLKDESKRWSFWSEGFV STLSEAKVVKGAMAMGTVMALELDAGEGGYSSHAALDFLTALRQKIITSPEGQFAPFQ IHSRPLGNVVYIMTSSFTKPEVVRAMERTIWGELAKI I203_04831 MSLIRPTASSSTFRALGLGLGGRGLHTSRALAIRASSPRRDATV AADETGGFKYIPGGPILKGTVNDATPQIEASRSHGSYHWAFERVLSAALVPVTVGAAV STGSAYPILDGILAVSLIVHSHIGFDSCRVDYLHPRKFPVIGPIVSWVLKIATGLSVW GVYEFNTNDVGE I203_04832 MSLSARLIESYEDRKPFIGDISTSFSRPAHLAIPRSSTPLARPP ARTVNMDPNESWMSHHGQQSFTAGPSTQGTSSWSEERIQMLQARLARKLGPEYVTQRP GPGGGPKLSYIEGWKVINLANEVFGFNGWSSSIVSLKTDYIDEKEGGRVSVNVTAIIR ITLLDGCYHEDVGCGQGENIRGKSAALDKAQKEAVTDATKRALRTFGNVLGNCLYDKE YTKEVVKIKVPPAKFNQNDLERRPEFMPAGAPGPSTAPQQAKPAVPIQQPQPIRPQAR GEPPIIAPGTPLKSINDHEGDVFMDEHFDAEFLDDSFLNQIENSNVPDQNENLRINSS SMRQNAQAGPSRSPDRPTYQHRHRPDALQQSPQENSSVSYNQPGQVGSKPSSGNSAAS GSGESGKTLPEASNVKPRPVGGFAAQGGNGVANNTNSNAAAASARRLAMASAMHTSSS PPIRAESPKIPSGGINDVAARAITKLRNEREDFRMNGDGVSDDGENVTLGGFASARGV KRNGNEEGQTGYNRQGPRTALGELSTGIGGDDWTTKRSRIG I203_04833 MAEPLPESAGGRPMRPHPSYSTLQELTKPFILDSNHRSYKHQYA NIYFVRLVELRPVVEEKANERWKSVRGKPPLLPRILNLTRSQLCYIVGTVYLDMPLKP NVLEDMARDQWLAPPAPRTKFFSAQDAVHLEDESGRVRLVGEKIRRERDRQGVIMAAL GMETSSGDFEVIDLCFAGLPDKLDVQAGPSSKANGKGKEKATGDEGVDMTGNGADVDG SDEKTWVALVSGLSVGAQEAPADLKCELLVEWLMGEGGGISDQLDGGRIARLILAGNS LTTPVRGEDDKEIKRFNNSNKPLFPNHPTKTLSTLLEDLLSSSLPINIIPGPSDPAGA TLPQQALPKVMFGTKDKTVGLESGTNPSWLEIGGRSFLNTGGQTLDDISKYLPSDSRL AMARRTLEWRHIAPTAPDTLWIYPFPDADPFIIKHRPDVYVIGNQPEFETALVGDSDT PTRIILLPSFAQTGVLALVCLETLECKTINFEVPTWIGDEVKNEEDVKME I203_04834 MPRASLRRSGTSESGDNDTGHIVRDWTVSRGPNATLKITLRPSE GESDLIDAIVTAFKLPEDAGDYHYLEQTVYGWMSDG I203_04835 MVVKLIAIVPDGCEATQTHECNGTDDLATRGHTTCTSQEKADGD DSVWIYHNKYVTDLWNEEKTFEVRPNPTNTDKNFQEHLRTGIIGAFKYGGQGLNPTIA SHDEGDWRFVTPSTG I203_04837 MFTDPDSRWDTIQDVFYRKEEIYTMSWNVPDLSEYIISVGKNGG PIAMIRDDRLPLPSSRHSGKPKIHIYTSSGILISSIPWELNTPTLMHFTITSLVVLSD QGIYRIYDLSNITNYKQYSLGDEIRDSGVVDARAYEDGMVVLTREGEFVEVKGWKGGR GVPMISSALNEPPTSWTLLSPDISPTGHIQLLLSTQSTILTLDTLEKIDQRINRGPFS HISISPNGRFLALLNPTNGKLWVVSSDFSRNLSEVDVTPFGDGLPERVEWCGDNAITL GYRNGKVVLVGPKGESLFWEYPPSAYILGEIDGLRIISSGTCEFVQKVPDCTLAVFSP GSSHPAAILYDALDHFERKSPKADESIRSIRPDLANAVDTCVEAAGREIEVTWQRRLL KAAQFGRAFMDLYNPSDFVTMAQTLKVLNAVRYYEIGVPITYEQFIATSPATLILHLV SRNLHLLALRISQHLGLRPDPVLSHWASAKIIRSSQKGVDPSDRGLGDDESVCRAIVE KFEKEGEKGVSYAEIARKAWENGRARLATMAKLLDHEPRAAEQVPLLLQMKEEKIALE KAVDSGDTDLVYQVLLHLRSTLSPGDFFHILDDSITPKLKPAVNLLQVYARQADRDLL RDFYYQDDRRTENGCLEMEEAGQQEYAEERLEHLKRAAKSFGESKERLFESKMADDAH RLLTLQQTYERELEHKFNFSGLSVNQFIYKLILEGFNKRAERVRADWKVPDKRFWWIK LKALAERKDWEGLEAFAKSKKSPIGYEPFVTHLLSLTPPQPTHAASFVSRCEPRQRAD LYILCGDWGKAAESAKERNDRGKLDELKRKAPNGIAQREVDEVIRRVGK I203_04838 MNRMETESKSATCVDISTDFTTVQMKNSTSLAGPEKDGFTFDRV FDTNTKQHDIFDWGVKGIVEDVMTGFNGTLFCYGQTGSGKTYSELYGADIENPALKGL IPRIVEQIFASILSADSVIEYTVKVSYMEIYMERIKDLLAPQNDNLSIHEDKARGVYV KNLTDVYVGSEAEVYKVMKAGGSSRAVSSTNMNAESSRSHSIFVIGIHQRNTETGSQK SGNLYLVDLAGSEKVGKTGATGQTLEEAKKINKSLSALGMVINALTDGKSSHVPYRDS KLTRILQESLGGNSRTTLIINCSPATFNEAETLSTLRFGMRAKSIKNKARVNVEMSPA ELKALLKKTVAELASVREHASALEEEVKIWRNGGKVEQANWAAPMAAATSSSGAAAAA AKRVTSPLPVTPSSGNTSGTPSRSNTPGGLLPSALTDGSRPDTPTVYNMEFSKDEREE FLKRENELSDQLAEKESALAAQEKLMADIKDEVAYLKEQEASVSKENKTMSTELNDLR ILSARLESESKDASITLDNYKEKVAELQKDIEEQKTQIEELKKVQSKEKEEEKEKRKR EMLNEMMSRIDMGGAILDSSSEKLRQVLQTLESRDNTNSAEEIQSQTRELVRSALAEN QDIVRDLQERLRLAQEEADLQNKRRSEVEKMLGKRDAAYEELLEKTSSSQNVAIDDIK AQFESKFTASEELLKAEIQNLNEHAESRAAEIRRLQSTVESYKLSNEELNRALSVAAA GNEESENFAQSAKELEKIRKSHEIQYAEFEIVKKSLMKDLQNRCEKVVELEMQLDEVR EQYKTIARSANSRAQQRKLEFLEHNLEQLNVVQKQLVEQNTSLKREVGETQRKLINRN DRIQLLEAALNNSEERLAKKTMKSEKQLQELKVKLADIEAKYSNPYNHGRIAKPLRGG GGGGGHTMTTSSSSPAIPPGTPVYQSIASGMHGNSPLARIQDESASGKRQSWFFSPSS HSPR I203_04839 MSRASKIFLASSFLVSGVTVWGVHYIQKRESDTMYQGVIKDEAR IAAKAAASAAAAVLKPITPSALTSTPSKDVTSTAHSSGTPLNTPSSPVQQPAPVIDEE CTTCVISPPPQLLESQSKEQRAKERELRMKEYNEQKSLDSRLRNEQGVSEQPTGTRLV I203_04840 MSYQNGFNPAQMMGFGNPYAAAFGSPGGYGGYGSPGRGGGRGRG RDDRRGGRGGGRGGGGPRDSRPPIPENSNARMRKMVLKLGDDDEYHPVDDPHRLSRVL KRAWREGSAGVCEGFRISVTQQPHKHSYLVVLLLSLSRCNSPTTEINEGDEAQVGDKR KAHDINDEDVEYGREVLEDLSRALRGWVEGREWQNVRLGLQFFSLLVPAGLVTSSSLL GVYRSLLAVLEEVGGGGDRAERAVRAVGEGLIRSGKVLYENHPEEVENLVSAIEGYII GRRNEVKSLGDPFSPVSLDGQEHTPTPDTLDNFLSALHALRADSFAPPHSLPRYWESA TLSEGTIQSDPYELSPISMPPEMYAVDSNELDKGEGRIGNIKLFGDDVVPPPETLEGW LLRSLVLDIINIYEVNRKECATLLLSLRKFLPPNTFKPVNPPTEEDEDKVLSTWSSES LTISTLLNSLLTLPRSTYKSIYYGSVITELCKISPNTVAPPVGRAVRKIFSYLGAGGS EGTLDIECQNRVAVWFSTHLSNFGFQWMWKEWIPDLDLPLSHPKRAFMRRVTELEVRL AYYDRILDTLPEPMKAEGAGVISSEPPEPFWPYEKPDHPLHTEAKELLQLFRSKTSPS DIRTHIENLPNSSSGNGESISEDIRKMVFETLLHLGSRSFSHFLNATERYLDLLRYLT SESSSRKLLLESVWTYWKYSHQMKLISIDKYLQYGILEGLDIVEYLFESADSDEVEEG DGWTDGWKFEILKMTIEKHVGRTQSIKNRLKMIEREDEMARARRAAELLEKGGDVGEG TGEEDMEEDTRAEGSKAFNDAQTSLDIQSTRLEKILIATIKQFVSSLLPTNSASSNQG LKGVLTLLKSGEEGLWSVRARLGWYKEFVRLWSAHLIPLAEPIENSVFSLLSSPDEND EIDRRAVGLVKGIWNSALEL I203_04841 MSSAAHPVHSSSEPAKPAEGLEPVTPGASATQVNKKQGGNDKPN KKEKKEKKGGGGGPLELNPPPEFFAERIQIYDKYKAEYEEFVAKQERVAITVTLPDGK EIEGKAWETTPLEIARGISPGLADKVIIAKVNNQELWDLTRPLESSCDLKLLDFDSPD NNYEARQVFWHSSAHVLGEACERRFDGCCLGYGPPLEEGGFFYDMSLAGGRTVSQEDY KGIEDVCKIAVKEKQPFERLELPKETLLEMFKYNKYKQHYINDKVPDGTRTTVYKCGP LIDLCLGPHVPHTGRIKSLAVTKNSSSYFLGDAKNDTFQRVYGMSFPDNDRMKEYKKY LEEAAKRDHRKIGKDQELFVFNDLSPGSAFFLPHGMRIYNTLMNFIKAEYFKRGFSEV GSPNIFNSKLWETSGHWQNYSEDMFKLKVDEDTFAMKPMNCPGHCVIFDSRERSYKEL PLRYAEFGVLHRNEASGALSGLTRVRRFVQDDAHIFCTPDQVESELYGAFEFLDAVYK PFGFTYKVGLSTRNPKKWMGDLALWDKAEATLREVLEKKVPGKWHVNEEDAAFYGPKL DFQLTDALKRNWQCGTIQLDFNLPERFNLKYHSAEQASDSTPGAQFARPVMIHRAILG SLERFIAIITESSGGKWPFWLSPRQVVVIPVAKAYVEYAQQVSKRFTEAGLYAEADLS DNTLNKKIRNAQTAQWNFIMVVGQDELDSQSVNIRNRDDEVQGREETVKLDVAVEKLT ALKESKAAISKL I203_04842 MAEGEMQTDRTIVIYGQGGIQTDLDLNSLAEDEIAAVIPDMLVD YSAECRDWTLIASEHWKQGRWARTEELLKRGITFFAGGSGRHPDPVALVNLHSMLAHL NLHLAKSAPKVILPTTKYDKIPEGTKTKDYYHKEAAANLNAASEALRASGAAQDDEPV SLAMGRVTHYFATGQPGLAHPLVERLLQRQPNNLIALTAQARLQFARRSHEAALITYQ RLLSLNPEMSPDPRIGLGLCLWQLGDKAKARTAWERAFSREPTSWVCLLLLGLASLNL AREPALKRDERLRLETEGVEFVKRAFKLNVKSPASALALATISGQGGQLPVASKLAER AIQYSDNKRHSVLANAERGRLGFIAGDVADAGRYIAAAKADDPKTVNILAELTLGQIA IKSGNLREALNFIEQTAKRLNGQGPLEYTVLHACLLAYPHPGMSNEELSKNRITARNM LSELHNLVATAESDEDWAKLRGIGSDADIFVDLARLWQDESLERSISAYQTALSIKSE SELDEIPETQDLRPPDLKTVRMSDNLGVLFQLQGNVETAERMYQEALQKISSTDGKEA EILKTILAYNLGRAYEEGGDTVKAAQWYRDVLRQHPEHMESKVRLALIAASAGRNYDA HTLLKEALKADETNLTLRSVYSNFLISLGSYKEALAFTSQTLKYDRSDAYTFCALGWL HFTLGREAKASQEVADRPKQYLRSAEAYERALHIDPACAHAAQGLAIALVEDSLTPPK SNLNGVDEGKYRARLAGQALSIFSRIKDSLADGPVNVNMGHCYFIRGEEEKAIESYGT ASNAFKGRNVSVLLYLARAWYALANREGNFSAMNKALGYCQQAMHIQPSDRAILYNIA MIQQKAAEMLFSLDVSKRTLEELEIALKQAQQAVNTFRALADDRSGPLPYDADLADQR AKYGDILLRRVPGEMDKQKAYENEFQARVEEARKMRAAEQERIQAAEAARRAEIEAKA AALAEERRRAREEALAWQEELNARAAEEEARKTNNIEKRKKRKEQGTIDSADEGGEDG QPKEKKQRRRSTKKSEGKKGRKNRSKSEVLSDEDDNDVVPDEGEEEEEEEGEGEDEEV VKARKARNTLAMLKSKRKSRRNVEDPDEDEDDEINVGAAKKGKQFKSKAYIEDSDEDE NETPAAPADEQTKDENEGSPAAPSEDGEDDNDKMDVDKDEDEDED I203_04843 MASVVTSHRAGLVRGARPEAILIVLFLLIALFVFLGGGKKKGKR KGSERREGGDEARDRKGRSRTSGPDSDSESGRSDTDRKNRKERSSDRGRTPDSSSDEE RDKKEREGAERRKKRKDEEERDKKKDSQEQAARSQAQVQDDAVPRLDAPSLLDEIIDP LSDKLWERDPTKRPERPSGRSPPILLKRDENNQWTRPPLSGKKGVSFDDGGIQGKELS SVAAYNHEDPSNRIYDQSHMDIKRNHISTERGRDGDVLQGMIDGSQSPLIDLIKSGKL SEKLVGMMKRLEWACLIDHLDLLNQIPQNVLKESNDIINKETFIPKIGPVPNSIIDII QQMLIEKAVDLPLVLSSQSNPNMEHVNPNIPYDDDPDISPLDKKTVQDNFINKHLYQF GVAAEDIRDNLGYGHWKDLGVDAIREVVYKWTERKMKEASQPQSGTKGEEQYDIFRLL LGYFLPYHRAITSFRPKHFKERDKVPGFVEKVGPVNAGLVQWLRYIGKCLLISRIFSE NGSELSLDLSLKVQVSKRSRQVGFIVDYTLFLPKPTTSDQDEGQKRLIGMIYQSREIL ERVKHTLILWVNHLNEKVYPPRESGNYLFNDLYPFQINRLTFRPGLPLQTINFQSLQR SLTSPSPPDTSDGREKMIINVSSSHIEEMFEKTKQRGEGPSFFGELYRTITCQMIRFI NKRQYYWYKPSTDAEGRGGVYEKFDEKQFEFLLMLIGPGLSKVQLTEEYKSKTTGEKM KDKESMLEYNYGDTVKYSQLQAIDFGGLIPIEKPPPGYASLREKKIVKMDLFKGLKWI LLPVQFNTGDSQEGGGVGIYSANLVVNDNLGLTNYLYGNSQNSLSVTFHGRSLEDKNR LSVELAQTIVEQINRWIKDISENTNMMDEQQIRRRVMEKVDMTKRMIRNKVKFVIISS DSQQQQQQAEIENFDDTNNFNDFNIPQEMLPPVLVV I203_04844 MSGVGLVNGVRVTPDDPSRVVTVQAQSGKTGKEMSISYTNCKVV GNGSFGVVFAAKMLPVKHDDGLEEPESEIAIKKVLQDKRFKNRELQIMRLVSHPNVVD LKAFFYSNGDKKDEVYLNLVLEFVPETVYRASRHYAKLKQAMPMLQIKLYMYQLLRSL AYIHSVGICHRDIKPQNLLLNPATGILKLCDFGSAKILIAGEPNVSYICSRYYRAPEL IFGATNYTTNIDIWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIKTMN PNYMEHKFPQIKPHPFTKVFRPRTPQDAIALISNLLEYTPTARLTAPEALVHPFFDEL RVEGSRLPNGKEMPALFDFTREELSTRPDLIRQLVPPHAESALSERGIDIEGFVPIPL EQLRISLDVSYHKHLNIFIYT I203_04845 MFSLPAFLTTLPFTLPSLPSISLPANIQRRFLSYVLKRSLGRFV KDEALDAERIQAQLSEGRVEIEGLEIDTNEINSLIPPAIPLLLTSGILSKVTARVPVS NLWSDPLCLTLDTLTLSFVLSRPSPSSSNKGKQPSPSSPTARYELHRDLAESVTSAAD DFLHQELDAYEEAELDRSIRQSLVLNATDPFSNDEVPGSFPFGGPTSPGLDGQPLPAT VESTTVLAGLVERILARLEFKIKNVKIQIRFDDDHHGGIFEVRVAEIKYADENQTQAE STENDNSRTTIRSIRLSGVTVHMLPIPTVDSSQTSSIRPTFTSSSSRSSSISSTSTSS SGGEYQDMMMSQAVLDLRESTMSNLNSDASVYLSAISERPAASIPSTPEKAISEEESS RSATPTPATPVKPTDQHDRLLLTFGSEDILLRMKTTRPLSSIPSDLSAIPHRLPSVDI EVSVGTLASVILPDQAATILAALQYATKPLPDGNSSTTPASQSSATQAGAQPQFTAHV HMKALYSAIIYDMSASSDPHFIDSLSSFWAKPSTTFIPNGHLRLRLDTLEAHYATKGS MARPQAMSRPSRPSHPNTTRLPRRSSTITRPDPSPPTLTVTLQDASIFEYLASDTTTG DPSDVPPGGAFPVLLFDTNLPRQYEVAPGRITTPMGSPPVFPEFDSVDWRNSGLQRKS GGSEKQWKVKQKGKGILKGTHPPTAQTDEGPVMSLKKELVPNSTATISFNPIHVFLDL SLVERLLPMLRSIAPAIRSTAESVVEIPPFGIRSYHRPSEPLRQRTTESIIDDLDAQA SSYSTIQACSPNKPIVDIKCPSIRLDIRCPAPINRRGTWGDGGHLRSGIVTLDMHHLR ARISAGKEQVDKSSRRAESGEDGTKVMWEKMVLFFSRAPERKSSAFIVIGPLAPDPTD IDVSPLLPSINLRSSTSPTESKTTFITCRIPSVQAKIKQQTIEGLQFFADDMTHWLDG AFGDGSAPKPRDDLKMIGSRFFGGPGSTRGSSSASSSTDDEDEEDLSATILKLEVSET DISLYVPRPEGGERVLALKASDMDIGIESNVAGRHEMVFNLSLMDAEFSDKTDPSTPT KILGRTTPYTLTSQQHPLIRLRFSSLTNIVTSTKETGISVTLTCLTFYLKSIDWAMDL KRFAKTPEGVFEDVVPSEVTRIKLFLQDCSVHATTPTLGGAVVLVLGSLVVGTDIRSD GDDNEIKASAGRLMILVVDDLRAVGELGLGVRESAEAWRKAGYAQLIEIISVDVHCFH RVIVADVDDRTQLEILQIQVKVTACADSLASFGNLAGDFTKLIPPKPTPLRPVRSPTS LDRSINVFDSIDEQAFNLIPDIVSGADMIEDDLPTNLDYLDRASRLRTSQPTMDRTTG ESLRTWQTDEEEGELGGETIKILLNEGFDMDEDYWENLPVLNKGYDDELQLGKTRIRV NDCNIKILLHDGYDWQRTRKAIEDEIRAVRRRLEKIRQLLASGQKADESIDFERSTQS VLFNSIYIGLEGKNSSEMNNEALIAAIDEELDDLNEAEVESTASSWQTLPSTGVPGGG VRQSTRKSKMRLKGKKLTRSKKPQIEINLTSLRSSIDFFSSTPSIQEMISSKIEVKVK EMEILDHIKTSTWKKFLMSMKSDNRGNVRETDSDMIRIELKGIMLEEGGEEELRLRAK ILPLRLHVDQDALDFLKRFFSFKSPSASASTTPVSPIKSNEAFLQHVEIFPIELKLDY KPKRVDFAALREGKTIELMNFFHFDGAEMTLRHITLSGITGWDRLGTTLQDLWTPDVK ANQLADVISGVSPIRSIVNVGSGVADLILLPIEQYRKDGRLAKGVQRGTNSFVKSTAM EMMKLGARLATGTQIILEKAENVLGGQSQNLNNLVVQPIPNSSIDSGMEHWERDAGGS SSSEDELDHERDVGPVSRYADQPEGVKQGVQAAYKSLSKNVNAAAQTILAVPMEVYER SGDDGPLKAVVRAVPIAVLKPMIGTTEAVSKTLLGMRNSLDPSARKELGDKYK I203_04846 MADKDTEAKWVKTELPKRTQSQHSYDARSYISATSDPNNDERLL GNTSEEATRLGNFPGKDWEGERVSRGYVKDLYSTGSNATYSRGGGNGLSSWTVSRHHS TDVNRPSLGTFERAHESQTEAGDTQGSKAIEGDEEITKGLGKMSLG I203_04847 MIFFTLFNLVAFLTSILAHFSDDYNLRAHNTIPNNTVSILQNNP NYTYHGPATLSWTWNTSDFSSLPEEEKWLKQPITWLIYDERGNGTLLHEMTCYYQVSK SAKAGDVYQVEQLLQAPYLKPAGGTDEFDMVQYGNVVCPYGVCVAPNCSNLTIPTYDV VDLISSFTSTTRSSSTHDYYNNVESSG I203_04848 MSDNKSIQASADTASSCKDQWKPQLRLDDGSVVDLHNIDEGLLS ALQSRKKDGPITMKELVTLSMSMGDPTCLDCGEELTSDGIVVVTIPKGTSQDGQSR I203_04849 MSSTPPSVGRPQLSRTPHSPPSPDLQGRTNKRIKLHHSTSKLES ILSSLSDSTNISRHQVDIAQRQLDSLLTSEKSLERENQSLRSSLKEERSSHQVTKKDW EMAQWSVRRLRKENDILLRKYSKVDVELAKEKEKYERAREKLEKEVKDHLTTTKELSR VKEEITLLKAMRRPEVSDPTDEVQQVETDSESMEKENKYLIRKNMDLEIEFENYKQDR SAKTLELINELGRMLGDGGGKDIL I203_04850 MSNNGIIPIEKLSNMNPYQPRYINANSPLLDPSSPLYAGPSGWY PDESVFTSSSPRWSPNSPLTTPPSPRWAPSSPPAYSTSTLLNHENEWRPSPKEYSIIR KYNESSNKPMFKYYVPQSMHTKEFITLPPLRSMINHKYQYPAERIHKLQDRNKRLRKK LAESEDSYAFLLGEVMQLRKECKDMVKGKRNDDQVIKDMRYRLVELNVILARNGSKKR LKDVYEDEDEDGPKMVDREEVDRDRE I203_04851 MSQAEMRYFSTRGGSETLSFEEAVLTGLAPNGGLYIPTHIPSLP SDWQTSWSNLSFPDLSFEILSLFIPRSVIPAEDLKSIINTSYSTFRSEKTTPLRQTGE KEWVLELWHGPTWAFKDVALQFLGELFRYFLERRNGVLEKEGKEEREELTVVGATSGD TGSAAIYGLRSKPSITIFILYPDGRISPIQEAQMATVPDENVHCVAVENSDFDTCQSI VKTLFSDKEFNSTHRLGAINSINWARILAQIVYYFSAYFQLPQKARQSGDIQFTVPTG NFGDILAGWFAKKLGLPMNHLVVATNENDILERFFRTGRYEAEEDTAGQAPETAAVNG SSDGQQATSAGGSSVKSTHSPAMDILLSSNFERLLYYLALETLETPSKDEVEDRSRAQ EKLNGWMNELKKNGKVDLGEKIKEAAGKDFWSERVSDDQTLEEIRKYYKRQDHGPYVV DPHTAVGLAATERSQKKSPNSYWITLSTAHPAKFSSAVELALNSQQFPEFNFRETVLP DELKKLETLEKRVHKVSGEQGVRELIERVKKGEKVVPGEGKGSI I203_04852 MFGGTSSWGQNNQQQNQQQQQSGGGLFGGGGSGGFGQQQQNTGG FGQTNTGGFGQPAQQNTGGGLFGGASTGATFGGFGASAQNQQQSNAFGASRPTFGASG STFGQPQPQQQNSKCSSPISPKPMLRPYTVADGGLFGSTNTGGGFGSTQQNTSGGLFG AKPATTSTFGSGATSTLFGAKPTTTFGASAPSSDVLKGPNELQTYRADAPPPPPAQGT ASPAYFPTWQGDPSTTAMGKDGPPHLFHSITGMPPYRGVSWEELRALDYQQNRKEASQ QPTNTFGASTGGFGQPASGGFGQPQQQQQTSTFGAKPAGGLFGSTGNTFGSTNNTFGS TPANNTSGGLFGQSQPQQTQSPFGGQSTGTTGGLFGQPAQQNQTNPSGGLFGSTSNVF GQNNQQQQPAAGTSTFGGFGQAKPAFGSGTTGGFGATGNTAGTGTFGQTGNTGTTGFG GFGQTQQNQQQQQQPASGGLFGGGFGASSSQPQQNTGGLFGQTNQQQPAAGGFGAPKP AGGLFGSTTTPAAPTNTFGGFGQSSTTQPASGGLFGNTNNSAAPSTGLFGQPAQQQNA QQPASGGLFGSSSTGGGLFGAKPASTTPATGGLFGQTQPAQQPAQSGGLFGNTGTTGS GLFGNTNNALGQQNQQQNNSLAKPAGGLFGGSSLFGQQNQQQPAQTSSTGGLFGNLGQ SQPASTGLFGSTTAQPTQQSNLGGSIFGGLGQSTAQQPQQQQPSLTASIDQNPYGNNQ LFQYNGQKLEYGSQSKKPALPPLTASSYRLTPSTKGKVNKLRGFASPITGSQSPARSG TPLGGLSSSGRSSVLGSPAAPDRYKGLTDTALTPNAFVPRPSIKKLTVTPKVLSANGS GDQLESVLGKSALKSSTGSLAQPTPERGAIAPGSPATLFFNPPTANGNGRSDNSDSPL RRAAPLAESSLRVSGSERAPKKGEYWCKPKLEKLQQLSRQELSELHNFTAGRRGFGEV TFLEPVDLTLAPLEDLLGTIIIFDQSELSVYPDDYPAKAPQGQGLNVPARITLENVFA HDKATKEPIRDSSDPRYVRFLKRVKNIPNTEFVSYTDDGTWSFKVEHFSRYGIDESDE DEDVEPQQGKGKHVRGKSDLTDRSPSRTPESDGDEDEEMLPPTKGLRDFSEGEHDSGL EEESLDEEEEEDETFEIDEDEEEIPSKSLPTWDQPIKSKVGVEGMKKLREMQSSFFSS RPQTKSKTIIGKRDVRQRNKDSFFGEAGEEIESLEQRAVKRTSFGESQVNPPKLRQPR KYARVAGSGSIAKSDEGIKVDAGLALGRSFRCSWGPNGELVHSGRICAPNSTITPDLD ALIHIEKVETLANDDKTENNKAQRLLSLHLEHTLVETLDGVPYAAIDSAIRFRDFAAR FDAGDRSHEASIFRLGVALFDELDLKLPPGSPEELIERIASIRRKSALSKWLEDAVSS SVDSDLIKNGEDRPAKVFTYLSGHQVERAVQSALDGGDMRLATLISQAGGEEIFREEL MKQLEDWSKYKANPLIAKGYRKLYALLAGITDISPGDASKGSDGCPDVLIAEGLDWKR SLGLHMWYGNRFEDTISDVVSSYSSALTSSCPPAKPLPTYLENPTGGKINRWNSTYEP TDILYNLIRLYSDVTISLDQVLRSRDTSPSPFDFRLSWHLYLLLSRVLEKRDFEDRDD EGYSASADLLTQGYASQLEDSGEWTKAAFVLLHLETPEGREKSLRELIFRHPTPTDEE EQFLTSRLKIPIEWIHESRAASLSSSGDAWSEYHALLKAKLSNKAHKVLIEKLAPEAV LRDDKALLRKLCEGLDGKGAEGWEYGGKLYLDWADLTSDTAKLLTSVLSSGSHPDPRE SSILSEHSKTLPKVLQLLPALFPDKNNIQQVASLSEMLSPLYQLAAVLHNAGYINKTM VPPSNYLVDEDRLDLLQMAAVERFNKVLDGLPVVA I203_04853 MYSFREPPKAPRSSMVPETPPDPRKPSTIRTLSSSNKRPRTDRS PPPTPPLTACADLTLQNPFIDKTENVDVNRYDKIEHDLNSLLNMRYENDEEYQRLYLL AKKAVSEKERYIAERSSTKRQCLESINESFKCLKKAYDSVMVELVNERISNESIQVEL KRSLEYTDKLKSENDRLKEQVKRFKKINEDQRKEYDMRMHDGLKDRSNLAKDKANLDE ERLKLEGQVHRLRMELERGRTR I203_04854 MPSSTLPTYYLPPPHGHPPPHSSHLSPSVPSPKPRRPTVFDDLF TDLITSLDKSTSDLSTSREDIGIAIPSSSPMKSKYLGVDNDLHLLKHSISERNLSDSR RSAQDLNLVDKLMEDHKKELKEFEKVKQQLKEERELNSRLKMENHKMKEQHEQKIEEM KKANKKALKILMGLQREEFLNWSNGLKPYINQIDQAVRDRINNWEKSVRVRTNGYQNH GLKEVDKNLNLDLERRSSSSPEPEPDKTGGRKSPSKSLKEKTIRRTRRVSGLALGENE KEKEKQKHPFARY I203_04855 MPQSQDPTIVETGTKLVETLKGAFHTPAGYRPVHAKGLLLTGKF TPIPLASQLSIAPHFNNPSTPIIGRFSDNTGIPKIPDTDDNANPAGFALRFMYGDRKH TDIISHAVPLFPVKTGQEMLEFLGSLGDGTIGQFLATHEYTKKFVEYPKPHPERFNTQ KYYALNAFKLVDGDGKITVVRYQIHPFSGEESFLQNEQVKSKSDNYLFDEIKDSITGG ETVKFRLMVQVGQDGDPTNDITIQWPDNRKIEELGVIEFNGLSQHDEEEQKNIIFDPI PRVTGVEPSDDPLLEMRASVYLTSGKERRAAPHVEKEKADGVDGLDGQADAAAEVH I203_04856 MDPSNTIDIISDDDQDIGAFLDLVCSQPSSSSPTKSKSNRPTIS KFPSSSFTAPSSPLQPTVTQQITSSPSRLSLKINLKRSSSNALDLDRMSKKSTPNSYR DSSIRGSVKNHLPSKNKSVSLESLLPPSKPLLTTRPEISARVVPKPQRKARTDAKPKS MKELYPKNDNKAAIRDVPWKASAQSQKDQHSLSTVASAFTSSSSASQSTSRLTLTSHA SSTPASPVSQPKISQSASHPRQPVPNSPPLSDPRYGPVQRLPKETHRSTSYLPSHPVH EPHTRPVQLTPGPVSYRQSPPPPTPSIPRVPMESPSSSLSRTAPQPVRPVEDVDSLRR DNELLRQSLQSLQRKFLEKNKQLTKERSAHHETSLSLLHEKENSNVNKQLMHSSRYQL NAHKSEINHLRKQLSEKVDLEDKYRILEIQCQSQKEAMITYYQCALQLDETLKKVRGQ IRYLKERNNENVRELMTKNDELANLRTVIMFHEIVPEVTRQVEAALKRI I203_04857 MPAHTPIPSIPGIELTDPQIKAFLAQRVSDLCGLNQSKFPGSQP VSFQTSSLDLLESKDFWVCEKSDGVRILVFIVMNGMTGNQEVWLIDRKERYFSVQDLH FPHHENRGNPLGETILDGELVIDIDPKTGSETLRFYAFDCLVINGNNIMKRSLLSRYG RLKEWVITPFQKSLRDFPEWKDALPFEVVAKEQELSYHLGHVLKVHIPKLQHGHDGLI FTCTETEYVPGTDENILKWKPPSENSIDFKLELRFSPSISNHDEPDYYAKPEFLLYTW LGGEDYEYFDMMGMEDEEWEKIKGSGEQLDDRIVEVTWDTENGYWKMLRIRDDKNNGN HKSIVDKILISIEDGVELDALLSKTDSIRTAWKTREQQRKSGQPPPPAGPAGAQRRPP QYQSQQPQPPTPGGGGRQGYPVTPGSMGYGGHGQPPQPQGQNQGLVAGLKR I203_04858 MPLREADISATPIDDGSLTPMEGSSRPPNPNPNPNDRSNQPRSP QPKLVLFDPRSGQQETIELGSNSASSIMTLEDYKRLNRRTTERGMITGLVGGGVLTYL VKRFMPKTPSRNALSLTFLFSSAFISYSTSRGLLISEILKVRAQARAKALANGEIQDN APPSDPMFSGVDTFGGNSPRDLRSPEGEARDQSTGRYVPPGYNSDGAGAGAGPTRGNV MDELARLGRLPTPQPQRTRFPKGRGLEGEVEEENEMRDPYATPGQPRLG I203_04859 MSDADGSQTKNGETNGAGQRQTENTLNVDDRWKPYDTPRIRHIT GIRIHQLTLPESLSYASKLVPSSHAEQEDEGYPLSPLGIGESSKRRSSNASTTSYPFP RRSLELDKRERSSSTSTARPIEPPSPTVSLHHTLPRTRVTRPRAPTLAGEAIEHSQLH HHDEGLSLSRDEGQIQDQVREQRKPLRCFIALKLPSKDPQGLDGLNKVEEDMRRRTKS DERDLRSTGLTIRKVSRTNSSDSHSVPTTPTKPSIRQRISSSSSSSIEIPRQRTISLT SPSKPNGSNENGDLRTGSSLSYHSLGRTSSRLSDSSSVHSVMRSPPGMLRGGGKSKAS LGISHQFAHSQNQVDFSPTKSDFGKENDHDEMKDKPTSPSSKVNGKNKNDKLIKKQKE KEKQVEIPFYISPIHPPSTHPRFMGLDHLNDFGNWLSPLQLSSETFVLELWIDLSESK TPFDRGRWRKVELGVVNLKELRRGSTKQVINGIEFTLSHDSKEVYHIPTPEELEEEAK NGHTSSKKRMGVIERSLRETRMKKGIGVGGLHQLINLQAVIADTERSIEEVRWKVDQM LLKDADGRCLGREVSERQSRVEWFRSKISEVEKLTRETQARTTLKQQDIDIRRDHLEG AEEADELWRGRGRDLEDEIGKIETKRLSLLPQIHSLRAHHIQTLDVLFPIQPLDPSQL LYTILNVPLPIPLGPKDPAPPLTMAQHKVDEKTTAAALGYVAMIVQILGNLGGATGGL PYAITCAGSRSSVRDGTGVMQGPRSFPLYAKGVERFRYEYAVFLLNMNIQMLMQESSI RSLDVRHTLPNLKNLLLTLSSPNLPQRSTASRVVSYRSTTPTMWSRTSSAAWPGKQSS LSPSSTSAHSTSNHSPYKANLLSPSPLRTIRREGVKGKYGDIRKGIDLGSDDDSGGDD ETNTDIGEREESVIDELGA I203_04860 MESQRPARIPPPGLPILSGPPPIIESSNCRQCAKEFNFLFRRKH VCGHCGYEYCSNCISDGQALMPRKAGQPSSSTSSNSNPNGPSNFFNEIKEGLKEGLGL DEKNSNNAASGSGYEVESVCLPCLSMLQVTAANLTQLRSLPIKRLKEYLGAYNIPCIG PKEKEDFVQAVIRARDPNTGCLTHEAESYYRRRSVPKSGQIPSSTPTPTPRPRHPPPT QARPPPQQQYSRPPQPNQNYYRPPPPQNHYRPPPPSQPAQPAPRPAQQARPPTTPKPQ ASPAPPPPPVPTILSLVSLPKSYLSSLSIGTLKAILYENHVRVDFKQVLEKEQLIDRV NELIIDERKRLERQRIEEERLSAGLTATAPTVNGDTPSEEAEANGDANGDGLEDKNKK VPTGPMPEIDRGLCVVCQDEEATLAVVDCGHLCMCAHCSDLIMATSQECPLCRTRIVT S I203_04861 MPPKKGAKKGGKKNQDDEEFWEKKEAALADLNSPAGDDEDIPKP AKSGKGKKTGGVFDLLDEGDAMDDDDEGGDLMAMIAANAAKKKDKKKNKKKYDFDEDE DQDEERKAPAEVDTKPNMDDEWPEEDVKPKKGKKDKKSKKKAVVDEDEEMEEPAAVAA EPPTAVNIDDEWPEEDVKPKKGKKGKKGKKAVDEDEEDLDAILEKAAAERRAAEAAAQ EAEPTPAPVATSEPAAAEDDEEGGDDGPKILTKAQKEKLKKEKEKAKKKAQAAAKKAS APTPAAEEATPSATEPTAEAEEDEGDEEAGGADKKKKKKKKPAAKAPEPAPAAAKGKK VPAHIAAMQAAMEEKKRMEEEARKAEEERLRQIEEEEKRLAEEEAKINEAKAAKKAKE KEKQARAKAEGRALTPAQKREKAAAEARKQAMLASGMVVAGLQDGAAPEAKKKVVYGN RKKQQKPTAKETATPPPEPASPAPAPAPVEKKPEPAPAKEESEDDWDKSEDEVEKVVA GVDKLKVEESEDDWDKSSDDEATPAPAPIAAPKTSASTPAKAAPTSTPAPAAAPAKPA AQANGKAPAAEEEESSEEESSEEETDSDDDSDEDSDSEDEAAARKAAALEKIEKRKQA AQAAGSKEDLRSPICCILGHVDHGKTKLLDQIRQTSVAEGEAGGITQQIGATFFPKSA IVEKTAVVNPDNTTDVKIPGLLIIDTPGHESFSNLRTRGSSLCNIAILVVDITQGLEP QTIESINLLKKGRTPFIVALNKIDRMYGWEPKKNAGFRETLNSQKAFVKSEFEDRVKA AKLAFAEQGFNAELFDENRNLGRNISLVPTSAITGEGIPDMLLLLVKLTQERMNANLM YISELECTILEVKIIEGLGTTIDVILSNGVMREGDKIVLCGSDGPIVTNVRALLTPQP LRELRIKSAYVHNKEVKAALGVKISAPGLEKAIAGAKLYVAHDEDEVEAYKDMAMDDL SSLAKFVTKTGKGVWVQASTLGSLEALLTFLQQMKIPVFNFGIGPVYKSTIVKAGIML DRAPEYAVIMAFDVTIEKEAEELAKKAGMKIFSSMVIYHLFDAFQKYMAEVRESRRKE AAPNAVWPVRMKILKAFAHRDPIILGCDIIEGSMRVGTPVGVVKVDKASGKREIITLG KITSLEINHKPFTIVKKSQVGAGVAVKIERAPYQTARMFNRHFDEHDEVVSLITRQSI DTLKTTFRDQVEMSDWAIIKKMKTEQGVA I203_04862 MASYPPVSSPLPAEEYDLPSITDVEAGPSRSRQSIAAPKARTLT LHPSSSTANLLFSAPTAGLPTPKKRHTRTHSYPDSPEDALTPRRLHRDTFLNEEERAN DAEEVNLPDFGHMLGFNDEGEDHFAIAQGMKTRWKRKLYLLLEEPNSGREAFFIHIAV TGTIIFSAILTTLSTMPAFHTDPTSTRALFGLDTFIVILFTIEYLARSLAHSDSWSMY YNWVTSFFALLDVIAILPYYIEVAQNEDTTILFRFSILRTFRLLRVFRAFKYQNQMLL TIEVMYVAVRRSKDALLALSFFILLVLILSSTLLYFAERGTWDNQLGAFIDSDGDPSQ FDSIPKTAWFSLVTMSTVGYGEITPKSFLGKLITVPLLMFGLLLIALPSFVLGRNFAI VFDAMTRQVPKPPSNMTSPRESLEAPPPATSLPTENSSAPLLHTFSNTPTPTPPVPST APLARTRAISPLPPSSNMVPSSSASSVRGLGNLPRMWDGGPDGTPVSSMSEKMRGDLT NVKLAKNQIVLLEQIDSLRKTIDRQGDLLTRLAAALDVKEVRERERGHRTSTRNSINI EDRRHNVNEKDQFALGESDEEGEK I203_04863 MTDVKDKKQEIFPTGDSALTLCLGTDPSAFTPEDAQTISASNQE KITTSCPSIAAQSRSPADNANAEIDHVAVKMSDKRRWTLLALFSFSLIVDPSSFIVGP AECMDKVQCILSRSATFLDKVSNVYDSNEDVTVLGDVPEVASVITSALEQAREIHPIL RRHAIYGEELIRTTEASRLHNIYSALSTIENQVKSMNSKFHAESSHGDASEFKKRIKE VRTVKDLINEGRIFDIPRIQEICHAREYQQFIHPPTGNTSGSQDIDTSSIFYPESTIL REGSRLLDARNPNPSYKPAHIIHKISIVPGSLDSKHQIISIGRGTGDLNLADFERWEP QARKPRPVSWFDWMEKSVLARE I203_04864 MLGYYSLSRRRSSQNNNNNNPPSPSLPLNSSSIHESDHLRSPTS PTSLKIPSSPPAERQLASDSLGSPFSITNPIYPFQPDQSSRSKHSLPALSEGDHDHGD TDMNLMNSGGSRAPLLARDFGMSGSSKRVTGTDHAGDEGVTTTSDSFSLLPGDDTSLP KSSIDLSSHKERERDVIHDPNLIPPVSIHDKYVSKHIQPLTSPSTLEARPSMTRSTSA PGHPHHSHSQSATSPPTDSKGLLPSAIPSEDSTTNAAGGQQGNQPIYEIFNANLSKDG MEMSKNLRGYLEVVLKGQEQLSKMHLQLEGSGMGANGIWQVDKDDNVDGDGKKDEKEV KSKIEERQKGVEDIMHRLFEISDTLRNYHQLGTPKLGFPRQHPHPPPAQKSPCTPSTS NLGRATTVAGSNPSHNTGLNEAKQPSPPTGNQRNKPRAPSLVRSNTATGELSPRSLMK DKARPRSPLINTFTTMDSSSEDNNTFEKSPKKNSDLPPEKLDNSSSNDIPFPISPPYE GGMKVPYLDMNKVEDQQTNEHDGMTHWFGDSPDSKNGGGKRERKITDSPIEMTFRSRF I203_04865 MAAAASSSTPLDPHLGRAADFVRPDFHQVNLDIEGFLKTQKGYK LDADTQICPLSLTPLGCPLPPSQCPYRHTNPSPANFQPPPPLPPHPREREKKLTVCKH YLRNLCKMGDNCEYTHDWNLRTMPVCVMFVKQGKCELGGECLYFHPRDRRVECPDYKR GFCLLGPECPRKHIRKRLCGAYQSGFCPDGEKCKLSHPPADRPQSEEYINPVPPDPTQ FTGPPPQLPAGYGRWREYRYDPNAVVVPAPAWVEGGSLSGWRAGGFLSSNARRNEDRD GHGHGHGGHGGRSNDNRDNREVPQNAPAGYEKKTGWVKDLSTVLCFRCNQYGHFANTC PNQAVPGDRGGLKRERD I203_04866 MSAVATPRDDSLKSAKKRKRPSTSTPATEKGDVPQPVTDADSTM AEATSSKVTLDGGVDGARNVPGQTYERVPFSTLNLSNPTMNAIQRIGFETMTEVQART IPPLLAGKDVLGAARTGSGKTMAFLVPSVELLSTLRFKPVNGTGVIIISPTRELALQI FGVAKELMQGHSQTFGVLMGGANRKAEADKLVKGVNLIVATPGRLLDHLQNTKGFVFK NLKALVIDEADRILEIGFEEEMKQIIKLLPSENRQSMLFSATQTTKVTDLARISLRPG PLYINVDEEKQASTVDMLEQGYVVCESDKRFMLLFTFLRKNLKKKVIVFFSSCNSVNY HAELLNYIDVPVLDLHGKQKQQKRTNTFFEFCNAPSGILLCTDVAARGLDIPKVDWII QFDPPDDPRDYIHRVGRTARAGKTGKSLLFLLPSELGFLRFLKVAKVPLNEYQFPQKK IADVQKQLENLISKNHYLNTSARDGYRSYLQSYASYSLKKIFDVNKLDLAKVGKAFGF SVPPKVNISVGTAKAKKERNDDSDEDDDGVPKKAFYRNRKKGKFQS I203_04867 MAPSRQPVAGPSRPKHSQQPIAHSPPPSSSVSSFNPSRTLFALA SPVLGSADKVQVWDVAADRVISEWEVAGASKATTVTWTSTPSSDAASKKKKRRKSGPP DTGDEEVILITSTKGQLAVFSPSKGEIIRTIDLPQPATAAWSDEHGIILATSSSILVL SADASSVSHTFTLPSSSASPSALAILPSSTPEVLQVLVGTLSVVVFHLDLSSTKITYS SAPLPASTTSISSILPLPTSEQGSSFLVVSEDDRTISQYTILSPQTPPKLSYQYASPT LSSAHSLSTSSSLLSVLHASGEISLFHLPNELDFSRPKSDSKPSTVKLVEGKDERTSR LCRVAFAAGHEGAPGALLCGRMTGGGRVKWLRAVYELPEGGLRPSTVVKCDSQDLVGD VNSSTTMPIQRFTAPANVTEAPAADADEAASKLPTDVDMADLSLGERMLAIPNGTASV VAETEASTTKAKPSAEITFDGPVNAASLTRVLVQALHTSDPALLTLCLSHRNPTLIRN TIRKMPAQLALPLLKACVERLGQGKSVNKRGGGRGSVQNEQQGRGTVEWVKGVLVERG ALLMTMPSLPLHLATLSKLLQTRLETYQPLSTLSGRLDLALAQIQMRRLAAEAASQSA LNGGQKGGEGQVYIEGESDDEDDVPIEMGEDGEIEDINMLAGSEDESSDEEDEDEDED DDDEESEEDVLESDDDEGLLDLEAEESEDEDDEGESDDE I203_04868 MSALGDNTIEELARKTLGLDEEPNRPTPTFYLSAFRKHTERFPN DTNFIIELADPRKKKNSDIGGNGFGVITCMEDLCWQDIILAADPDKPDGGRQEGFGSF SNYQDHCREANHIRARSVRCERLGINNQRNPNSLSSSSLSSRPPNPFEYPSCSSGTTK PFEPSQPGPSRSSILDNLPLAGPSSSTPSPYSMLDRPKPSRSPLKWSTDNNDKKPSLS STSSPTRPSRSLPIDVISISSGSSPASDDENEFDELAEDSDDDGVIPLSEGEIPDEYR KVTNKDDPILLSDSDDEEDMVVRDRGKGKAVARTCSNTRIPLAPIFTLSQSNKKRKTE SEIEGGIEMVRDDSGSSIGEKQKTFNEFLSNISKSSSRDKGKNKETNSEPNRIQALSS EEKIKMMLEEEREKKPTPANGNSNPALPNQNAIAAIAQPLPNGANIAYYDLEAKYLRS PEVQAQCIVKPDLSLLVNGRLRVLAILMGNRDVRREYFPPSPSLLAFLPQLRYRGPPS LVNQPILNGAAGPSTQPGPNAIMNGQHNGYAGVDMPGAWNVGPPPAPGLGGLYPLHEM YAAGDDDDDMSMRDIYGRMEEYDRPRTEEGLHSFFDENLKDFIEDTTVEESLNRLGLN SMDDRLPDLKIKLMAHQILGVDFMIEKEKDKKYLGGINADAMGLGKTVQSIATIASHQ SADPKMKTTLIIAPLALLHQWKTEIEAKTTPGFLRVLIYHGPKRVKSQHNLKQYDIVL TTYGTLVAEAGPKVRTIEKRKKAGSDEEEDYRDVKKQGPLFKVQWWRIILDEAHQIRN KATRATKACWALKSHLRWCLTGTPIVNTLDDMFPYLHFLSISPAAHWEHFRGHISQVQ KRRPKLATKRMQALIRPCCIRRNKDSELNGQKLLQLKPKYTNVVELSFTDDERQIYTA IENRFQVRFNSYLKKGTVMKHYSVVLVMLLRLRQLTCHPWLLRRNPNDGAHEGDVLVS DEDLLSGVDAVKSDDIAEVARAKTLLGDEVVEQMKKKLAERQAKINDAASDDTEVSRE EECPICSDVFTDERITQCLHSFCAPCLQDVFNSAANNADLADADIHAGRRACPLCRGP IERGRVFRADAFMEHDKEDEESDMAEEDGVDDDIDAKLEHNEEGEEYEEGDRKGKRKA VSSRTHQLVKKKKTIEKREGEEPLADVAGDLAMEDVPPSTKMKKLGDLIDEIDKKSPT DKIIVFSQFVQFIELCSLFLTRKGINHVRYIGSMKQDEREKVLRDFGESLKDKPNSPK VILMSLKCGGVGLNLCAANHVICMDLAWNAATENQAVDRAHRIGQDKDVHVHRLVIEN TVEQRIMKLQEEKQALSDGAMGEGAAGRLGRLSIRDLMRLFAVGGDGED I203_04869 MSSPSHTPPSKRSLRFPPSSSRVNPPSPAFSRPPSITTFTNSRY PPVSSTSSGTGTVRSLTTPSPPRYIPSTPPGQTRTQLTSPTSTLTRAVNTALPLSPSS TVYYSSPPSAFPSHFELPNQDYSHDQDYELTPITPRRVPPGISERESPFHDRHSIQRY THNHGNRAPDMDIDDEDNVEVINDDGYDPFRYSEVRVSGGKQRTMPGYQFASLSPRGL NEKEEKLTFGPMLDDLNEKDRPISEYRRSLYPSATPIPPSTYENHPDRLPFNSQEDSG PTDKSLFSPTSSTSSKLPKNPIDPVRPTFRGLFALSTPREYLIFLIPAIIFSILSALI APYMSLVIGDAFAIFAAYPFFTELATDTDKSILKDGVRDTSLKLTIAGILGVIFNYFK GTFWVWYGESVAAKLRELVYEGVQNKSMEWFDLGMGMRDQDHIDGGEMGTGEEKKEAV GVGGLMSKFTRYKLSYHFLLSKISWTEGKSSSHRETDDVRLATAMSSGAVVMNLATFA LCFILAMIKAPILALVTLSTIPLVVLTQIITQVFAAPLHASERRAFAEASTNVERVTS AISTVKVHNAQQAEEDRFLNLIGKGRTSLIKQGLVWGISSGMTDFLLLGTFVLGFWYG AKIVRDGKASSGDVMTCFWACLFSATYLQQVVPHLTGITKGKNSMASLLTIIRNDPTR ESIKHTKSPKRVSTLRGITPIRCHGEFNFSNISFCYPSRPENLVLRDISLFIPPGETT FIVGGSGSGKSTIAQILLRLYKPLTGEIIMDNQNFSYIDMEFTRTHIAAVQQGCILFD MSIHDNVALGLAGSGGDKKPKDVKREEIVEACKMAMIHDFIESLPDGYETKIGSGGNS LSGGQRQRLAIARARIRDPTVLILDEATSALDPTSRIQVFQNLKRWRHNRTTIVITHD LSQIMSDDFVYVMSHGVITEQGFRVDLMKKSNGVFAGIAAEQAITPCPTKRISDETNW QQGLEEILGMEGEFDIEELIDERRQSFRASTPNFARISAIGGGGSGRPGSTYMDILDD YSATRSNNHKIIQTPGSSNRLSNAQKSLTWTPDDLVRSRAPSRYSVSRPESRMSRRSS SFGIDQSPHLTIRSSFEGNRVRPSVESSRILHAQAQITIPPPQTQDNGMLHPGWTEKD SPASRTSISIRQRQQRTLSENLEDDLKPSSSDANNAAITTSSSTVPVSASIPSIASLI KLHFPTLPNKYLLLLGCLGSVGHGITTPVWSFFLAKLMQIVGSGGVDTHSLTKFGMIV LALCAAQGLCDCLAEWALVSLSARWSYEIRKVAFDKMIKQDKSFYDESINSSSRLVQI MIKDADDARTLMSQVIGKNVTVITMIGLGLIWAMIVGWQLTLIGLALGPLFGGFLAFN SWILGRVEISHKAGREAVARTFYESIANIRGIRAMALDSAFQAKFQQDATRAKQLGDK TAWTLAMGGAVASALPLFAQALMNYAGSEFMMKRIMNYEQMLQVYNLVLFSLTFGSGM LDFIPTMAKARIAARDFNRLYLLTTSSTDESKGDLRFPIKGSIKFDHVQFSYPTRPDV PILEDISFTLKPGECVAIVGPSGSGKSTISSLIQRLYTPTGGKIVLEDKYDLQSSDIR FLRNNISVVSQSTNLFDQTIAENISYGHSLITTGGELPIGEIQRSAKLANIHEFIMSL PKGYDTNLGENAGLISGGQAQRLQIARALFENSNILILDECTSALDVDNARMVLDTIV RIKDSRTTIFITHSTEAMRRCDRVICLGEGRVVEVGTYNELLSKGGFFAQLMSTGEWE I203_04870 MSGLKITEFSVHDIRFPTNVTGDGTDAMNKECDYSAAYIVLKTN SDLKGQGMTFTIGRGNDIVCYAIEQIANRIVGKELDPIFNDMGAFFDFLVSDPQHRWL GPEKGVIHLATAAVNNAVWDLLAKHEKKPLWKLVVDWTPEQFVKATSFRYITDAITKE EALALLKEKEAGKKAREEEVIKKGYPAYTTSVGWLGYSDEKVVRLTKEALNQGFNHFK LKVGADPEDDLRRGRLIRSIIDDKANLPAGRVIEPRTIEGKNAGPAGCVLMVDANQVW DVPQAIEYMKKLEPLKPWFIEEPTAPDDAVGHAAIRKALKEINIGVATGEHAHNRMVF KQLLQLDAIDVCQIDSCRVGGVNELLSIMLMAAKYGVPVCPHAGGVGLCEYVIHLSLI DYICVSGEMERNVLEFVDHLHEHFLYPVSINSQGRYNVPLDPKGGYSIDMYEESMKEY TYPEGSYWVAAAEAEKRGEAPPIPKHL I203_04871 MSEEASSPSSSSEITITVKGPSELKLSMTISPDKTVLDLKESIA SKSDVEKERQRLIYSGKVLKDEDTISSYKIQTGHTIHMVKGAAKSNTPATTSTTGGSS SSAALPRLPQMGTGLNVGSNPIDNVENIHHGLAGFNPFAGQPGLDNMFDPNAMTGMMN NPDFLRSMADMMSRPEVLDQIIASNPQLQSMGPQVRQMMQSPFFRQMMSNPETLRAMM QMNASMAGQGGAGGLGGFNPFGAAPGAGQTPQAGNNPPSDPFPNLFAPNAPTTNTDNN NNNNSQNPSTPSGGNAQPPNPLAALFGGAGAGAGAGGPGGAGGNPFGFDPSLLFGGGG FGGFGAPAAPRDERPPEEIYATQLGQLNAMGLWDAQKNIRALRSTGGNVEAAIELIFS GQLD I203_04872 MLFDNDQFFHTSVTPTLYRRIIITDPSQLFYGLDCLPGSGTRRI CKLEMINSTRHLHFAYDYISVPQNQDGEWMTVTNSMDHWENIPNLSQFIEGCNESIKQ MERFHLSNEQHHSLKLELFKKLKTVTFGYKEKLFFLEHQPYHHYRQVERQRQVLPLMR DAEIHSKKDLELISRTWSKLINKLIRPLHVCREVSNGPLGFGFSSSSYFSDSSPHHGS TPHTYTLHYTSRHRRSGRIPNYIPGTLNRLILEDIVLEDFPGEDDEEEEDDDERFRAV RVFNWICEITLSQLTRKRDIDPTASSESLYGKTSVPKTTRIRVYSTMDWKVINQGINL LLLDPIYGPSVNIDRTISMRQPNDLQASMANRPVFLLQSPKLIEKGVITELMRMKDAG TCPACCAYGEFGRCPTI I203_04873 MGLIAIFTFVRWFVSHHTHKLLGPKPKPELKANTDIDTRPWRPL PTLPDEIWRDIFLLVAEPTYNTPPCWQRGYDGYMMTGGNTSTGKDLATCMRVSTTFNR VASDILYNEIPTSDPYRFFYGIDYTPPNENRNRMSKIQCLSKVKRISLVYPKSYSKPT PSFSGDFQWMNLSIYRMPQSQEDKKYLKMYLQALDSASHAYQILAKIRTEGPMMMLGV GMGNIGNIIIGKMPYKATDCLWLFNNTAIGKLSGAKPKPSLEDKIVKDLLERKEEISM GLSRELYYITSMSRYRQVCYHSNFGPWNYLPPPSLDRLLSRGVRYTPTQINIFMNNMG KFFGNSPYIVQGTTNNWIICKSLFPFYSANQWTSSIDEQVGRTVVELFKIFRNYITKF IKSKEFAKSQQRSRIGPTRLNIILPVNINSLRLAANILKHGSQPIVSTTSTVSQKDRM LIYSRLERWLMNKGVAYYHARGLSIHVRESTEGKPVNLDRTADQMECSCCGSIEYV I203_04874 MADGHYLIYHSNTSSDALSASTSSFVTSLVTNIAIAGGELVAFI IFRRWIKAIYEPRTYIPPKDSQAPVLGKSTFTPLWRIIMADPEEILHKNGVDPYVFVR FLIMMSKAMVPIWLISWLILLPVDSAHSTNGSKDGLDKFTFGNVAKDKQSRYWAHLIL DYCFIFWFLWLIWGEMQHWLVVRQRHLINPSHSKLAQANTVLVTGIPKHYLDEEKLEQ LFQHLPGGVKRIWLNRNLKDMPDLHDRRVFATNKLESAQVDLIKFARKWKIQREGKVE KLEHKHKQVPSTLTGPNNPQLLPGQEGQTPAGPTGPPRVVHPDPESGDDREPPFPSIE ELGRADQLVPRNKRPAYRIKPKWAPFGLGFLGIGKKVDAIDWARKEIQYTTTELEKGR ELLEQDISSPGTSADHYPPLSSAFIHFNQQIAAHMAAQCLTHNQPYTMAARYTEQSPA NVIWRNLSLNPYEHKVRQALSWAATVGMIIAWATPVAFVGVLSNIKTLTEHFTWLSWI NGDGFGKTLLQGVISGILPPVLLAVLMALLPIILRQLLAFEGIPSKTGVELSLMTRYF IFLVIHTFIVVTLTSGLISSAQEFINNPGSIATTLASQMPTASTFFITLVLTQFTGTM GTLLQIVSLVLYYVKIILFGGSPRSVYRSRYKLNTQKWGTTFPAITVYAVIMIAYCII SPIINGFGAAFFLFAYLVYKYLFIWAYDQPPETDTGGLFFPKAITHLFVGMYIQEVSM AALFFLARDNNGNAKAIPQGALMIVLIAITIAFHFTLINSYGPLIHSLPLSLAHLSFG MPSEKGHEQSIIGEDYADDAPRTNQDTGFNSSKERLTIGAAGQGMNDESITPSSSNDT PTKGQNEKSVEERERMQEVNDGLEPPTQRDFAYAANGDDVELGISHSYENHREEEETL SSPTAPGPPVTEIPQSNGRPSSLRSRKSTKSAKSSSEDTVYFAVPGGPGIINRKKYLD DGNDPKAFFHPATKEPQRIIWLPEDQLGLCVAEIERNEKEGIKSSSKNAWLNEKGKVQ ISGPPPDDV I203_04875 MLRNSSRILASSSSTSALRRSGVRTLVVARNVAKPQLARAAIPA QQQIVFRSAFHSSSLLLAETVKVPQMAESITEGTLKQWNKQVGDSVSQDEEIATIETD KIDVSVNAPMAGKIVELLAEEDSTVTVGQDLFKIEPGEGGGEGSGAASEKDKSQPSGA AKSEAKNAEEGNKDQAAPEAAKEKGASEEVHQKQDQKAPALEKSEAEKPAPKKEESSP APAPKKEEKPKKDEGAKPEKALGSRNETRVKMSRMRQTISTRLKASQNAAASLTTFNE IDMSSLMDFRKLYKDGILKADGVKLGFMSAFAKASCLALKEIPAANASIEGDTIVYRD YVDLSVAVATPKGLVTPVVRNAESMGLIEIEKAIAELGKKARDNKLGIEDMSGGTFTI SNGGVFGSLYGTPIINLPQAAVLGMHAIKEKPVVVNGQIVIRPIMVVALTYDHRLLDG REAVTFLVRVKEYIEDSRRMLLPSPL I203_04876 MLNPTSTSTHDRIIVDEEEVPSAGLDFGLAGEQVDDPHNFLLGI NCPSSSSFSKINLLRHVKILNIQHRQSTRDADDQRGNQYVRMDIEDRLIWEERVLEKG KEDLDNCEKARKLLERFKENQGMVYIMPKLEMIRIGAWDEGWWTKVMKEVLFDEKRKE DRTDLRSLSGYQNMYEVIKINHRESFEFTGSLLNFIRPKEILQYTQAGPISLNDALNQ RLPTGEPSPVLISHLNFDIDKHTINFIPSIVIGSLNKWLIKCNPSPRTMQEDDEDEEG ERIYIDHDGLMVTLHILLQHISNITYPPSATLSRETILEIYDSDKLEYKEFDEQGKVT LAAGGMWI I203_04877 MQADNLPTEIWERISYFVHRPPPLLGTGCIRKDYHQQDLTVVSR LNTRFFNIAGKILYANPIVDDPFQFLHGLSHSPSCDCTAFKHESLRHVRTLDLTHKQG FKDSLREPYYDPNEQERGEWENDFFSQSTGDLEICSKSVHLLNQIGLVEYVKNIWNEG IDRLRIGGYDEGWWADKLSQIKYNQLNRLNDFSRIYVYESPDVLYNQVMDEDEEPEIL GLSLLQILRPRVIEQLGVLGPMSIDNCMYDRTTTFTQRYINHVKIGLRSPSGSLSLSL PHISPGIPNEWYINLGSLEK I203_04878 MGAAESRPSTEERRQEIQRVQLKIAAHHGRVFRESIPPDDISTF ARHSSTSPVTVDPQFKDTANGNNELPELPREIWEKIIYHLRRQMGPRAEQDTDPGHFH QQDLTVAMRVNKLWYSIAAPILYTRVITTRPDLLLSHIHSRLISSARLSKLELFQYIY RLDIGYNPTHAEECDIDPPFSKNDNIALSRAGLFSIEKSRWPATTLVRDMDFALQTTN ILRTIRQTLPEGIQLFGNLQILTVGAFGKSQHSRWDVGYNFLATGLERFQPPSSGLGF SDLGGLLAKKHQEELDLLNMRHRFGYELTSNASNSLEHICVDNFTGPLSVFLPHCERL QTYTIHITKSHTDTSCRPLPIIEGVTNKWIIEDESWERRKDTGREDWIVDRGSTYQWL KGRIYALDPAQFTKKDTKLVIYGALDEARVDEEFGGYLLGFLISEWSNDWSWERKRGE VNRFLEIDRIDIEGVRLEEDSEGRCDACGFGFGFGSGKHS I203_04879 MGISPSKLARARIEAFLSSGHSLPEYMSSDDIYSHEVDHSDQLN QPFYPPYPTDKPLPELPVEIWQRVFVHLRRKVGPIKAKQRERGDYHQRDLVNAMLVCK QFYCLAAPILYARVITDKPHLLFYGIDKKPLGGLPEQHTRWTKLDLLHFVHRLDLMYN WFRVRPPDLRFPISAKDKLKFEQKIFKYDIDSKEIRKMIYDLDNSQSAVRLVHPLRTL RKLYLKNRQPVIFSNLDILTVSHPSFKYRHVDYSTEIPFCGISNPIDNFNWPRYALFP NKLERYRKKIDNRGTSISNISSITKRLQFSYELARICTPKHVCMDDDKGPYSYRRYEA RYDKIKLPPPETVTLHIYPRTVEKFILPLDQDKQFFRWRVQPVIFYGSTYRWVLDDFE WTNHSDRQQKMDLYLWLRWNISEFRKWFKPQLNGEKDKHHHQLLYAKSDQDEKTKIEI YGCLESDLIDEGFSMLCEEGYTDWDINWTDEQKINHVLNFLEVEVGVGEIQVMQDEAG LCPACGVDSNRWTCF I203_04880 MSETHLNMAGSSSSLDQLPDDVLLRIIIFLRNMRPTLDPRREER GRQRPNMLLILMRVSARLHNLIVPYVYTSSVIITAPATFFYGISLSAPKGCMPKRDRL RLIKSVTFAFPFKVSSDDVHWEDIIFYPQAEMVLKRYIDALEQVEQSLSTLRNLNFDG VRKLMTNVEKLSIEPMQEWQYEKHHSLINPKIVKWGIQECWNERVNYLLDNRFRIQDE FKEMIPEIMNPRHVCNHSPLGPYSYPLNLSSEPTSIPITYSTDIGREYIRYKLPIPIS PRSTTRLIIQEETIKHFKPKEDWFLTQQDIDIISFQAFIKWFRASLTRAIQAVSYGGR HKDMTGQTRVVIHVPTSLAILKVVVGRLRTSVEAHADTMEKDGIYEELGKWMIGKKRS GFKSRGIKVDMVTWDEKGRCPACDG I203_04881 MSTSVVELNTLASAMPELPPEIWGRIIPFLKRPMGSTGTLRNAN NYHQHDLATAMRVNKTFYRYTAPILYSRVIVSNFPLFLYGIPTLHPGDPPETFDTAKK RLFKYIKRLDIAYSSLQPNVSVGQPLPAQLLLHLDLPTSVIPPLTTDINQTYEVSKDL LMGWAKSSDAPLLFPNLQVVATGSFGERLWDSYNPNFTKLDYTDISQIASLEDVQESA KILHHLFKQNLFGRFFTGCSRPKQIINYVSSGPMTPVYNRHFPNDHMLMACYTLSPSY TDSLPESYTTYLLEQIHRGVWLNVAEGAQNRWVVDPIFKECSPSTQALVYTYIRGQIM TRRQLSKVLNMDRNTKIKIHNVIEPKMIHSVLPALVGDHTNYGEEYTDEMGLTIIKTY LGIGIDEEVDKDTFAQVELVADEEHEDGDGLGGENTFL I203_04882 MSHLSTLAAEHSPNQNQDEASLSASLSPQLPSEIWTKVISFVKR PKPAASESSSRVRRTFEVRQYGQGDLAVCMRVCKKFHHLTAPILYEEVIVDDLLQFFY GVNERDDSDITNALTKSAETLSKIEILKYTKAFHVVHGQHRAARYYGPVPPAPVNLPL PMISDCNSTQVILAKVHIPWCNNPNTPMLFPSLEAVTTGGYGSRPLAYLPPTLGGVPS SIRPKCKPRLEVYTVHITQRMVESNSDIRLMRGRQNRWVVSQEYASRNAATNYEMVIW LSDQIEKTTHRLSTRKKWAKHTSIEIYNVLNQHTAKAFVKYHHGIQDSSYDFPRGLEV FLNGHSDIGSIKLMKEPAEVCPACGA I203_04883 MDSLEFRLTINRQIPYTRSTHFDRIKHTLYAIVPNHPPAYHICS SRRPNGTVLPRLRWKQQKIIHHPISSSPILVMSAENVHVYGPKSYLAQVSTSSWSEQS RISSIGVDVSLRSEEQHATLGSPYTIYLTLRNLPPNLTLHGWDVVLCQLTQPVKPNEG NVVFKDLWRGSEALTGDGDPPTLSPDSTFATTLHIRLPSPVIGGLPSCTDGTEYASIQ HSLSISLHYSILGEDMNGDELGGPLNKAEGAVRSWIYERPIHVLSDLHGLAEAPSPVY STSSPNPNEALPGDSSDMAETFKIPHMVSMAKSRTGFMRPAGVDMERLKMKIQDHWIQ TAGLCTCFGESGHQDFKASLEDELDASSRAVKMVNQDR I203_04884 MFIAIIGTPSSGKQTILEYLEKKYGFKRVTLEKKGKAVVDQAKI SGNELDQPMNEMSVSSSTTPTPSTPLGSPSSNLCFTSQSSLLDFITRNWLSHHVTTDL TSYEEIEPFVKRPFFLCVSVDGPILVRYEREKIRKSETGSSLSLEEFIQSHDALLNGS LPSIPSSSLSQHPQTDFKRSLSLAQISICNNFPTVHDLEGYLDKLNLVDEERLRPGWD TYFMTLASLASHRSNCMKRRVGALLVRSKRILSTGYNGTPRGTKNCNMGGCRRCNGSA RGGEALDECLCLHAEENALLEAGRERIGNDSVIYCNTCPCLRCSVKIVQCGVREVVYN QSYSMDEASAAVLKEGGVILRQLHMPGQL I203_04885 MANRMARMEAFRKLKPTCVALMAIAAHSPSSHSQHARLIDKLLF DLGTIPQSSLDPAVINYILFPLTSILRQSNPANLPDQFLESAFRLLGYTTSAWKKCEG GMEVVAWEQLWRFCIAAVGPRSKDDKGKGKEKEGNQELQFQAVQLLTALLNPISSDKH GLPHPTSSMLDKVSSSKSPLLPTLFQSITFLLETSSPSPPYHRLQLCSLKLLRPLIKV YLKEKHEVLAAVLPGITSSMAKLVQSGGVTLKGEVAQEVLGLIEDVVISTLNDEDLRY LGLLRPIVDDLSQLAEDWGTSIEQVPPDQPTPPSPASSTSSKMTNPFPPLTASYLSFT STQLQNALPPIISTLSGHTSDLPRHAVISLTSSIVQSCHESLSSLQPQCLASLLSLSQ DTFDPVRHDARRKLRLLLENERLSLQPLLLDILSNGLNSLPRLVTSEQDKEVDEVAKL ITAIALTSSEIKQGNNAIADLLGPKGGVEKWSWALLNCLEFGRPNGWSASDSSAERIA QLGWQHDTTSTAVPLLMAGDGSTQSGNSFPHLPLRYVESESTSKSLAEMLRSLGAAGG ETALHAVEYFILFAKANSRRQVAKSVSAIWVCERLLEGISSAQMESAEGKISKAVRKM SRGIVKTLVNISEEEEDVDGQEDDLNHTEDPNVEALIPIERTQGIDTLTTLLDRKPIP NTRASIETRRLQAEAQHALLTCLSLQTLTLTSQILSSSFRPLLLTTLYVLLSHLASPH PIIRDYATTSIHQISYNIGYASPQNMVLDNVDYVINIVTLHLLPTKLSPTAPLVLIAM IKLVGSRIVPMVHDLVDEIFDALNDYHGYEALASSLLAVLGTLIEVMNDEISSKGMSE ERRNKLNEMRRVERPPEPKEDFEQFFGWRDDRNKRREEEVGEILERAPQHAWGKGDIP STENQEAEDKQVGSPENPVDDEEIPPTRTQTVCIRILEKSVFFLTHNSPFLRYKILSI ISSATIVLSRGNREKELLPLIDRSWNSILNRLDEKDHPYIVTEACEVIEKLCEELGDF MSKRVIDHVWPRVKILLQDQWENDKKSALAKRTTWARRFTGRMDKYSTSHRLHRSILK ILLFIIKEVPVDDMVIWEIIVIFRPFLDRRINEELQGLAIGVYEELMKRNGDGTWLVL NATVGEVQGNLGCWKYLREEGLEMAENVENILANG I203_04886 MTLVPVIPFLVLLTVQVIRADEIFIGCYSYPDVLNGTSMELMPS SDLHCIASDWLYAYSHFEPSTERGTDKYCLCSNDAPSVMNMVGDAVLCTAEENSVVSV SADVPRWRWTGSENGVCWGISSGSPTLFHSVTTALKCTSICTRYAVVVYDDNSNLSGC WCEGYRWWEETFPTTCGFNVAFPYEFKFWETQPSNVVRRRDRERRESNARKADRWLCP DGKKACTIMDGEGLSYEIFLLSLWNSASIQISSWNHVVAAYTGSSVFIEAKIMNVPWE LSELAKST I203_04887 MFLEIASFLGLLSYVWADVYIGCFTPQAPEDMNLQWQIIQASQS CRALCAAQSLQYTFEYDTINAIGVIRHCACAEFAPSYNYLVGDLCSPDDGNTFVWKAD PIWSFDRCYPGPGHQGGTDTSPFSDVDFCFLKCSNTPYATMFFDGPATEGFCTCYDNT DQWSGETPSNCSFGNLYVYTIYSSPSGFVKRQNMIGENQRKTFCPAGLTACNLPQLGQ EGYECVDTDMDPESCGGCIHGEYETHPHSAGADCTALLGKSITGVICDKGKWVASTCE TGYRLQEYTRFNIDDRKHGPEGHLLTVLHFRALRSPERSATWESVSSANNQSPAHCRC ADNDQTWVNQPVTGCNNPGAWVPYRQIVPPTSSAAVIRKRKDDQDRLNLEGLSQRCSQ GQRACRVHEGNDEAYECIDTSHELESCGGCVHN I203_04888 MLKKFAVAATFLLPTNIGGIGVGCFDREAILEGATGIYLYSGAN PPEVPCNCQEVGYDYSWTWGQYGGVGIYESCYCTNTRPGFRYLLNSYDYCSPELNHES DASVVAVATRVNGWSSGPCVHPDSPISTFNPFEVSDIFQCVSQCQIQGRPYAVLIPHR RSITATCACFENSDVWLVEPSAGCGWENWNTFSYISHPSAFVKHQQAKHRLTNRDGLC PVDSTACLLAGSESSYECLDTDSELESCGGCIHSSIIALGDTPAGGVDCTSLPGAAPD GVTCLEGRCIVYDCDEGYELENGECVSPDL I203_04889 MIRTTIHQKYNPMLQTTCVQQNHLYSYQFTEHYGTPSYKLWCLC VNDAPNPEDLIDGCHDPIAETTYVTVIIPYQLVYQFVWYNCHTFTTTAPGTLVNSARD CFATCNPYSWAGVVYDQRDPNVITCRCYAYDNHPWYGTPATACLPGLWFIYNHFPQPS GAVRKRYNGFQEGNKKTEVCPKGLTACKLLDSEGLAFECIDTSQELESCGGCRYGMFM SREKEEGPSEDCTVITGAELGAVTYPDDLQTVCGLGDWFVYSETVLPSGFVAKKRLHK DEDQGLCPKGLTACRTDSALSSSFDYECINIEEELESCGGCLFGQLHVGVERFNFPIG LDCTQLPGVRADGVTCQQGECSVFSCDDDHSLHAGNCMPS I203_04890 MTKPTVLLAIILSLLCLSRANISPMGCYPYASGPQLYSNDPISM EACAAGCTNTYASLFAFKYYYSYFNPDIQATDIRHVCECGNNLGYTPSDWTYGESCGQ ANFYDPPITTVWLLKTTFSRLGCAIVTNYEERHFSNVPDFQACFAQCKKSEYVFVDRA VGVGIRCSCSDEPTYQGLSSYQGTTPQDFNWMIFQHPPGSSGAPSGWVRRQLKERLAR EAGQKALAVCPKDMTACQTSSEDDSSFECLDVQSELESCGGCIYGSFGHGGNSSWGID CLSLPGVSREGVTCLLGECLAFGCENGYDLRDNQCTLLLTDGDDCTHMPK I203_04891 MSVISLFIAVSYLAITVNGAAYVGCFNRTVIHPFPSIQIPFDPT ATRCSDLCQQYPVTYAIYWAQNSTPASEWICQCSQSSPNGTELHPAFFSEGCNFPWVS VDVIRPAGDFRCAGCWILPPGVTPWTIVATFEDCFERCVSTPLAYAQYVADSSCPYPN LSAELNHPPANWGWYKCFDFLSQGPAANFTVQSLPECLTGCSAYPNAYFSYFDNSNKL SCACYQRGPPFTIDQCGLGIYYHYYHTFGPSDLAKRKMMSLGGDATENGLCPGGLKAC KITEEDDFSFEVKCSFASPIVTLVEKSCSIFSASIPIPSWSPVEGACMER I203_04892 MSLVAYEKIRSNNDETTWLLLDYESDKSNTLTLTKTGTGNIEEL AKEFQPQRASFAYAKVRYENDEHSFREKFVLVIWIGEEVKIMRRAKVSVHLADVKSVL RAYSIEVSASTPSDLKQDDVVTRLRRAGGANYDRSKFD I203_04893 MSSPPGKVVSTDTATTPEVEPLSSLLAHPLLQDSKFVAAAGGLV VLLIFLSLFRTGKKSSRRSGPASVLLVGPSDSGKTSLFTKLVHDTYIQTHTSIQPSIT TFRFNSPYEDGQTKPIRLIDLPGHPRLKDELKKYVKEASAVVFVVDIQALVRNSAAVA EDLAPILVTLSNQSITAQSEPTKVLVLAHKSDVLTRSSSNEIPESSITTARERVKSIL TREMDRLKATRTKSGAGGKIESMGKVAGTSSSGFFAKLFGGGTSGVGLEGEDEGEDES LIWGGKGGFSWDDVEGVEITFGASGLGPVGAKKEEKEGNGLNEVKSFIWDV I203_04894 MVRGSDIILILVAIIFPPLAAAMITGCSCDLFICVCLTILGYLP GHLYAFWLIYKKMKAEESFGPGGYTYLGNGNFVGNGPGYTGAPPPPPHGHQQQYYGST Q I203_04895 MGQTGSRSKNRGDGVLVGDGESDRIYEITGLTGHRYPTEQEVAE ELDHLKKNYLRGIMQTEISDNRDGSYNVKCHKSRAWVEGEVIALEKEFKGLSKRRSWS ISLVATDNGCSKPTGVASAPSGNGWKLI I203_04896 MNLWQSAPMHWQTYTTSTPLTPQLEEAFRRQASQAFRCSHQSIG YSVSSSFTTHNGVTTGTTQLQVGKATPWSTSEIAAVEGYLVGLVPKGNLLGPSGTPVG YIESAPPSALSSTRIKKRSGSKPTAPSLTSFPSTVSEAGTVSPSVPPPGMQMTMATSP TNPTGSNHVHYEDLPYHQPQGGNSNRFSSITRKFKKKSTSMGN I203_04897 MTYNLTTSTFSSTLPNLIETYLPSSLHPFFLLSYPIHPKAHSFL RLASTSNHNVVGQILYDKGYKDIYYSISWAIIFTLLRSFTMNYVFQPFASYILDRNRR IELKQKKKKIKGGGAEVEVVVEESKKEKKHKKHLVTRFSEQGWSMLYCTVFWTLGMFT LYSVPSPTSPEQLWGTYPYTPLPALTKFYYLAQLGWWFHQIYVINTEKRRKDHWQMFG HHILTITLIVTSYIANFTRIGVVIHVLMDFCDIFLPLAKMLRYLSYSRSCDLTFVIFL ISWLFSREIGLFLVIKTSYLDAPRFIQFKWSPSTGQYLTYRTYLGFIGLVSLLWVLAS IWFYMAVKVAVKVVRGQGAEDSRSDDEDEDGPTEDGDGDGEVLDDVPQSVGTTANGIS NGHSHSNGNGNADGTLKKRK I203_04898 MALAKPSPTLYVSNLETKTKKPELKASLYSLFTPYGQVIDIVAK KHGGGRGQAFIVFAEQASATAALRGLSGELFYDKELSISYAKSPSNTTLSRQDPSLSR EKAAMEAAKLVVSNAQGEYEQLEKEREADEAALRGEKRDLETEENDGGEGRDPKRQKG NGEEEEEEEMELDEDEDEQGTYTGLISITSYNSPVPKSHPTPNKGSKSFLVKFDTAAN SKKAEEETKGYLMQPGWEMGVSSK I203_04899 MSNQFFPPASGSPYHPNPSPRSANLGRSNTSPDLVDIDEEGNHP SSSVNINAHNGNGTGRQWSNQVPPSSPAGFHPHSAPATPRRPNFVPPQFNANGERPSP AINMGGPKRLTRRAGQGTGSSSSLPPSVEEEEDAGGSSRKKIRYNSHNAMNEEKVKEE VEDTFDPMEAIHVFDSKAELENEWDKIQGELVKFLDNYAKDTLAHAYENIIQVNYIFT KLNKDAQENLLAGVEAIKTEEAGHEKARKIITDFSAEMKRAAEVLSRFGNQGNAIKAI LAKPEGDVV I203_04900 MIFRPILFFLSLISAVYAVKFDLVADRYPKPPAHSLVIVTANVP YENGQRVDIEILDGSERGNVYLNKKDIKGETRLAITTHESADVGVCLRNYLESGEYSH EKLSRSVDLDVDIGADAIDYNAIANQESLSILEVEMRKLEAVVKEIVEEMGYLQRREM RMRDTNESTNSRVKNFSILITVGIIGLGAWQLVHLRSFFKRKYLID I203_04901 MSVTTASKASTCSNPISNDEPVLRVYNSLTRSKDVFKTRKPKHI DWYNCGPTVYDSSHMGHARNYLTQDIIRRILRDYFGYNVNFVMNITDIDDKIILRARE KYLLDQSKSTHSTITPELINDTKLAFSKLLHSKLIKSLPSPLTFNTQDDLEIFKIILE KDKTDTKFAEEARLKEEKFTLYLASLLKAHGAITKAEGQLNGSTEGDVVDLVDGTSDV LGPHYGETLGHTIKDPIAVSRTLALYWEEQFFADMAKLKILPPSFKPRVSEYVSEIVT FVEKIITNGFAYEAEGSVWFDVNKFDGAEGNGFRHDYAKLQPGSKGNRKLLDEGEGAL TGSKGKRQAADFALWKAKSKPGEPAWPSPWGKGRPGWHIECSVMASAILGRGMDIHSG GVDLMFPHHDNELAQSEAYHGCEQWVNYFLHTGHLHIEGLKMSKSLKNFITIEEELSR NTARRLRLAFMLQTWNQKLDYSRGLIADTKAKEETFDNFFANVNARLAQAGPSSEGEH GLGEAEEAITNDLFNAQKEFHAALCDSFNTPIAIQILLDLIAKTNIYFSTKGRDSNLG VVVNIAEWITRMLWMFGLGEGAPPKNGIGWGIATVGGQEGVNGQDVSSQVEPWARSIS SFRDSVRKLAMDKSISPEQLSKQILSLSDKFRDEDAVNLGLQLDDGQGQDGGALWKIV DPSSLVAAREEKKRIAAEKLAKKEASAKAAEEKKRIQLEKGKVSPKDMFKPPHVQGLY TEWDEQGLPTKDAEGKEVSKNAVKKWQKEQKVQEKLHEAYLVWVKGQEGK I203_04902 MGNKLSSLMPWRPKTQSHSITFIYGGQINSETFLSTLQAHKDYL KAGSPRTYTREENGSTIITFQRSKPFRTANEDKLERTVMEILGLSGNNGTLTKLAIST KTT I203_04903 MFFVDRLPLPFLKKEKTLKDRAESRKMRVPGYNKLYFIVYDTND EKYQKVLDWLNLNKFYAFAANLIGSELFVIIADNGPFPRTAVVTFLEGDLHKPCTLKV EYAIYNQPPPSK I203_04904 MLFTTIIITTITALAVHAAGAPQTDYQIQETNDEIYNALPVDFH ESDSYFDEGEPSLYEHSPVYKYSDDVQPEHEWIDMMAEHHHEVKLISPCRSGKPVFPY EGHPQPRISGTIQGPVRGGVAWMEGYDGAHCQSSGVNCGIAEFTLTNSEGKGMQNSAD HSLLDGPGLGNHKFHYKMNFHFTGSCTKGPGGPCTGDSPQKCPGAYLGDRTEGGAPTQ CLSDNTGITITFC I203_04905 MVLGGSSSSSHAPDKDPIILTRATQEDGMHVVDLADVSIGEKSI AMDQVAVLDTGSIGIGMPSSVSQDKFKDIYGENKDEDGGKKVSCQSPNSNTTSMIFAF GNKQFGVMYDDLISKPDEDGLCWALVGTYQGVDDSDKKWVLGDAFLQNVYHTVNLETG EVKIFELD I203_04906 MSYQSTLPKRFLLYLAGLDAIFYRVLAFPMDQDERTVKLAMIPL QAFGRTLWERAETDVITLKEVGDGSGYTVDMVIDGILMPIHVDTGSSQLWVAHKSCQT CVSAGMTYIDADLPEGCTAAGIISGCLVNTSVSLCEYALGDYAVLAATQVSEEIATNG ELYSGTLGLADDKLTNSGGSTVISALYKQGQITSD I203_04907 MSALVEQAAVTRLTDDLTFIACYRVNPCKKKDIKLVQEEIKSFV GPQYSMFVTLYSLVISTLAEYLNLNDLNKASTIVEVELMEIAREGQRSLGVEESEKAE KIQVSYQEVN I203_04908 MFNPTYHFRVYQVSLFKDYSEVKAYLENASNRKIVGFSAARYDN VDQEGYITFAATTPWTTAMITAAEIVLWEIGSRYQAVKQYTGDAFLAPTA I203_04909 MGSAFSTVRWESPTGGKFRIYSRFYDVYGLGNWKPVEVQERIIR HPAFERVGGCLVMVELKPNGTHEIEFRKEKQWTAKQVKFLDCALDGLLAPYHWWGLVI IRPHLRIAKEAADKIASAKSVVPTVPSPAFVPGPAPLPATVKEKDTKKVTIYEEKVID PPKKADSAPKEVIPSDAKKIEETVTVEKTTELAVKEGGIPQDTPGASSGGKKGKKRLK NEMF I203_04910 MGGNWYLEFSYTSKKNPKFYFAGPEWNQAVERALGTGQIDTVTY HTIGKNYVRFEKWSAWTAGEPRDKALQLIQDYVDNVEFVRSNDTANSFS I203_04911 MPNSTTVYEYEPEGRRPSDTETPPYSLIRDLAKAVHDVLYCDVA VQYYTRGEGSDLSFYLMFIKNGSDDEWSTHDRRELEKVLGKKLASYEHANTY I203_04912 MTTSNTQIDFVSTIERIVDGMNPELIKGLNYRCYEVTYEKPWWE YHDQIQRELEAINTLGQFNCQMVDNKFYLSFGKRTEWSEEEKVRLKGALERITASFRL HDEYEDVDFRGP I203_04913 MYPQFTSFHPYGYPSRLSQATLVESTTPIPPKPLSPFTNSGQTP FLHWRNYDIIGLDRNTALGFFNMLDRDIHVALTKRRSILSPTRPYQTVELRMDIIPYN ATEADLYDCETAFFLRCGKAKEWSTCECKVLHEVVKRCLPRGCTTSSAPPKLGADINT DSLILIRSNSPLSGSQVDALFDAVQGAARDVGGSMCPVRDQQGQAVDPTKSKWSRFRK KMHI I203_04914 MAPSRFGASKYRNSVASVPPREEFYRSHLPSSTGSNSSNLSTFS GEIKSNREWIVTVTPAGDLSCRKYGDHSGENAKVEKVGKGGGVGDWDLSKLEDDQLVI GGLDGSISVYSLSEPSILTLQHTTPPQSSSPITNLSLHPTTPDILLASSISHPAVIYD ISASLTSPIISLNIKESKGIWSLGWSSSGTQVAVIGKSGTMYIYEPRKSTEPIKTKNL SFSVQALKPCRLTWVGDQDIFITSFSKTRNRQYSLVSTSKNPNDLETNFSQSLDTSTN PLIPLVDEERRIIYLVGKGDMTLRQIELSGPMGLQETIHPLQHTLNSGSIASVHPTKL DVMKAEIASVLLQVTDKDGDALLPLSIKVPRRQLIDYHGDLYPDVAGTVPEQTAEEWL KGEDKKPLYITLDPSRRSTWEGRIEEYRKSSGNVPAGSLQTAADPSSEPTVEKLTVPE QDAIPKTSDSPPQPNKANPVTENAQIASSTASLNSSTRLPPLESDEIYSSTTYKSRIV ADYLADEYEKRKSGGNKRPLLVGLQGPQGCGKTTLCSGFVQYLKEKKDLSAAVLSLDD LYKTHADLKAVAAEHPDNALLAGRGPPGTHDVLLATSVIEKIKQINEAPNESVELPIF DKSLCGGEGDRSSETVKITGPIDVFILEGWSMGFSPLSSADLDSVYANPKPASPQTSD TYFTKHPMSSLQTLNAYLAEFSQAIYPSFQAFIQVEPLSFDYVFKWRLQQEHMMKANN GGKGMTDEQVHKFVKRYMPGYELWKEGIWNAGTGWEGRGMKLVFGGEREVVDVVQPTS ADKKPVKEDEKGDIQLKEVSKIAEEKPLESLDKDLPVKVQKAKEKEITPFPPPATDDK VKPPSITTESTPSAPPPSRLTSSFSPNVAQIQSSERFNPNWSRKFLAGKSPLIPTYDS LPSLSSLHQDSKILKAHAHLAFFPIQGTGGRLNVHPLARKGRLPVGGEGYLSAGVEIV DFDVELGGDRVVIAGEDGVVRVWKVGKEGVNGVGPEPEQFLKGKGIDKITQIAFHPTA QDLLIALTTDHASSNMRFFDLSKGEEVKIVELSSKGAFNFTFSHEGDRVAVATKDNQI LILDPRNPSQVISGKSHDSPRSFQITWIDDKHLVSVGFSKGSQRKINLYQINAGQTEI IQSITIDVSPSVLFPVYDPDTSILYVWGKGERVIQAYEISLNSSGEKIIKLPSFTASS PQLGLVFLPKRMVDVKKVEVARCLRLTWKTLEEVAFNIPRNKPNFFQDDIYIPTVDVE NCVISAPEWLEGKSVPPSRLDLKPDGMTPLSQAPKSDSSATKKFVPAADVMSEEEKKR QEMDALFAKAKMDESSDEEEEEVKGLAPPDDDW I203_04915 MNSRGLMVFTRASGSRSAMVALPPPSHRHFIRSLQTTSRRSAGA PDPSAYAKRMQNLMNQPNRNRSASPLVPLQQPWPWHEVPSSSPDTVTLQRTLFARPPD FAPPLLLFAAGVWGLFVFAWLLLPDPPRKEYTDEEKKIIEENERKAKEANMLSRLGMS FTNTIFTSAQPLIYGLVTIGLITMMASSTRIVTRINMLQIKPKDGSSQSAKTVLRLTN VGHEMLPWRANKSREVKVEDCQVYIPNLNNSHTIRLKVLKNGQVNKWSLDRFPYSLDY RAIPETAKVDKEVVQSVNRLQHVFGYLKVGQ I203_04916 MFSKLSTVLFLLGSTSTFVSSLPTSFVPPQSKAFSGWADGYSIA AVGQDKKCLAPAQSPAGDGTEIIATDCQNASTWRVPIQSSGGAVVHEESQLVLDFGDG QSGSKVTLQNFTGEVEKQIFHYGSDDRLRIKSGTNWPVDRCLDLDDDGPQVHQCFPDN TNQAWVIRQTPEPKNLTEGVPQGSEVAVQNSNLNFIHPRDRKDICVSVVSSSTAQASQ GIAFTYCAGTGFAGSGYNTSHELMEWSLPGSGPGQVKLGSSNLCLETGANVWVDDAGG SETPYFKVQNGMEIKLEECDENKPGQSWSWDGQLLRTSANANQCLNFAAEAGYVKMDN FLNLRPLQTWDCSNPDGQFVS I203_04917 MSVFDTDRLVGKTVLITGASAGIGAATAVLFAKTGANLVLLARR AENLKAVKAQAEEAFKAVGKEGKVLTIEADVRNFEDLDVIPEKVKGEGLDVDILVNNA GMVKGTEHVGVTDDTTSLADADITQMFDTNVLGLIHLTQIFVKLFKAKQAGTIINLGS IAGREPYAGGSIYCATKHALSAFNGSLLRELVNTPIRVIEIQPGMVETEFSVVRFRGD KEKADNVYKGLQPLVAQDIAEEIVWTASRPPHVNIAQLFVLPVNQATPTLNYKK I203_04918 MDVLKAVQTYITKMITEVPGMKVLLLDAHTTPIVSMVTTQSELL AHEVYLTDRIDKQPYSNSTNRDPLNHLSCIAFLSPSEASVEAVKNELSKPRYGGYWLY FSNTLTKTQIEQMASVDEFEVVKEVQEYFADYLAQYPSHFSLTQAALSHGGDGPSNPP IFLPPPLHLPPPTLDTHLKTILSVLLSLKKRPVIRYEKMSLAGKKLSMEVQSAITNAP YKDLFDFRSTNGPAPLLLILDRRNDPVTPLLSQWTYQAMVHELLGIINGRVRIDGEEK IELRDLVLSTSSDPFYSQNLFANFGDLGASISSYVTEYQQRNSTLNPGTSAANSSNRI ETVADMKRFIEEYPEFKKLSGNVTKHVTLVGELSKIVERDGLLEISEVEQSLASVESH ASDLRSVQTLISSPKTRPANKLRLAILYALRYQKLIGNQIPQVIDNLIANGVSADKAR LVYVMLNFAGADIRQDDLFMNENFFSRGKSALKGLKGVENVYTRHEPHLTQTLDLLLK NRLREASYPFVGGDEVAKTQRPQDIIIFMIGGTTYEEGRAVALLNQKLASDAAGGPGG TRILLGGSMVHNSTSFLEMVEACALNYPESIYAPPLGQQSSTLLSNSNTPIPSSTPIP SNNSNGNGPSINLRAGGYELSVGGVAGSGLYRTNESAGANAQFDIPKNFDAVAGVAGG IRDGAGRLWGNVRQRVEERVSRGNTPQGR I203_04919 MSFAKRFVSTASTMSQVYFDIAINNAPAGRITFKLFDDVVPKTA ANFRALCTGEKGFGYAGSGFHRVIPQFMLQGGDVNHNGTGGKSIYGNKFADENFKLRH DRPFLLSMANAGPNTNGSQFFITTVVTSWLDGKHTVFGEVTSGQDLVKKIESYGSDSG KPKAKVTITASGTA I203_04920 MEEIVIPNLDDDEDDFQLPVHKPTFGAGSSTSSLPETDPYAHRT NPSADGSFPTRLQATPTRPILPQASASFSVGVPTPNTKAHGFGQSAASTSSDDRSKLG KFSNGSSSSLVTPGTSISSSAGFLQARKGSLASLKNAFKSSSSSSSNTNAIPPVPTLD TKAYGAPGYPALRNPFSRFDSPISPKHSTFKTPNSRSGKTPSTSTTASPAQHSITPSG GAVGYHNPDGRKYSIASSHRSQGGRSINSQGSSNFRAEDHPMPALPPIPMRQTPSRMN RMGSDASVFGFTSRRNGSIGGGLVEDGSEMSFGKTPGEEALKVVFRGFREVANQKVGR ICARPLNTQPALPSFLDSGVDPTFDSLINSLAHCGTRHARRVVDLLTSWCRDYTGNIG ASEVRAHLDRSLGLQMRVEDAAAILQSRKSSAAKFIMNRSLIELLKVIPKDSLDQELG ITLEQNAFNAYRSEKIEEIIQFPHRKAVSQLQVELLGQLSNNRFLTVSDRFIRELSKH ATASQPTKEAEAKIEHLLKGMRHLKLRVYPEDELEMSSEFITSLAGFFANSHGQTLKI AYAETFTSLLHPVIETATAEVNHPMWSKAVAIILDRALAMAQKARYWPAAFPLVITAL GVSPREVFMQQWQSCIDAILAKFKDRNLRSIAMGAFIRVMWIYLNRCSESSTSMRKRL DPLIRTCFTPNGSLYPPELPSDAFIAILHFVMTRHLDYGEEFVSEFLRNGAIDGLADR STVLVRAINYTLRSTELEKSAPWPTNPDFTKFDFEGFETSGETLPFDAESNPEVHDLL KRCGPAFIDLLFQCDNNIKLLLLSNDSIALSGHASSHSMDNVTENICVKHGDVYVTYS ARYAPTLHLMSAILDTLPRCMPNDVNFPQVVNVLCRATFSADPKVCQIAGDTLRRICQ DPEKCLMIVNTYREFVFETRHVFRDTFIGARSLESQFERIISLWLDLLQILVGHQRVA EAQAIDNESEKRSLPIEPSQISKIEGCAMFLLCSTALPIRKLANQILVAARNMEGQQR RPSAAFRYSRIMPDKAALTRVLQIFEYNVEESDLASIRGLPWMTSSDRHRIDLLCAKD RNKLLQRIAESDHPKDGLLWLSVLPFFTGKVVEQLPSPAADLRQVVCQLVLRLQAHVA MIAGSGISRGTPSRGGVTARTSSDTAILADHWRAYLSILCVTMSSQGPAPPTPPVQRT KDVVILNQEMINTPGLFSYLTSLLGWEDPRFKDAAVYAMGSINQDLLRPLSEILLSVV RRLADGSKVGGTPRTDSGGGTISTSRRTPLTAHGPIWTSVAHVFRLISPLLLDAKSSS HLTNLSSMIGFVKVTYTLLSDRSVKEDFELQSLRRSFCITVENLTNSLGKLDSSDRFL GEEMRGSIFKLCFEWCHVGRRPDVAKARESQTLQAAAEGYRGDRDRAQYLDDLQAKTK LLSAAAAEAMAGLCQGKLISANEATPAQQASDHMVEPLTVLRWIRGMFSSSSVSHHET GRRALFALIKYNWDCDRLLDEVLHQSFGEGEQFSLESSFFGVVADVLSEGHHALPIEQ VACLALSKLGHPVSAIRQRAFQLTESLYIDPSGKLLSTALFPAIGSSSANIYRNAQKE MSVQLASIYADHAFQFLAECTTRLSQLEAPRRQATLSILQGWVKYLDLASDTSELSSE DAAAEHQALQNLVYLAVRFSDDHLEDVKSIFVSFADAGYTHQQSPPQAQQTHNTNTTA LMKFLFEQGGKRKSPEFVNHAQRIMACLAQSKAGDAIFEEICNFVEPNAMAALPEADI PPSPMTSLVNLDSLMNAPSSRSQTFSTGQLALLFAGELLPHRLDDISTGKRLPSLLHA ALIHTDHNSSALRDQAQSVLFQTLRAWVCDLSNVPTGDAASIWSSTETKVTSLARTAS TAFWKADDNGTSESAFLAPPKMTSLIMKILGMLLPLQPRIRQQWGELALSWATSCPIR HLACRSFQVFRILSPRVNPRMVSDTLARLSSTIASSSSEIQAFNQEVLRTFAAMVQNL SMSEAYSYPQIFWCSVACLTTPFENEFTEVIELLSHVLDKTNLSDPSVVGHLVSFRPP DWVGPPPYLQSLLMVGLRSSKTAFLTFDLIRRLTSASQDELIDSPNDRLLHGFIASLP WMLHSLDVGEPNEELASMALDLASIADAQGNASFSRLLTSFARVRFRAKDDFIRQASS LLRDFMSTHALDIVTLLLGFILNTNDWMREKSMSILKLVLQHPEARVPIQQNGNELLV PLLRLVSTKHSSQALDVLDIPLPPFSMDPSASGMLSPNSFSKEGGGEIFGSISDETGW SVAKCKEFSALTKENVHAVFNTCAIETRAASAHFSVVQFTDLGMGLKNGFGGFGGLGN HSQISFDLPSPPLSSLANASNLSHGHGQRDLYGGNKNIDNQSIGDLVGALHSLGQFFD DGLEIEEDGSPSTMDNQHHQFISRGIGQSQSRRGSDAKPAPPYAGGHGKTGSDVSERR LRAIMARGHQASISSPIYEASPSHSAINGSNSTSGNPIPNYNRSFIHRSNMSISMTSD SSITSSQDQDREDNITQRLNFSQGQGQHMRNDSSGTGRHSRNNSNLNVFLNSRRAHGH GQMESVSSVSDSGDNQAFALDEQQNGQNANQSMTSINSFMNRQAIWEGSNDDGTNGVI DLNEGRSEQSTPILTKRSIR I203_04921 MADEAPQQSTSKLDNAGTLLQSVSTSMVHEKVSILPGHEPDYSA CTFCLWQEDHTLGNALRWIIMKDPDVEFCGYTAPHPSEPKIHLRIQMYDGLSAVDCLR KALSNLRDLFSTIDKSYKTSLRNDNYIKENDIDVRAAVDETLRQRGFPVEGDDEGMDL S I203_04922 MYCDTTQTPLTPPPSYRSSPTPSIGSTTSRSSRSSFSYQRYSPY PRMKMEQEDGGEMEVLRDIRDVRLEEYRRNSQKARSTSPYDGLPTARPKLSTIASASE KRNHRNTTHRRAYSMSTISYGYFLFPSQKQRPSQSPPILPSTPRPIPAPFSYRRYLPR RAQTSSPLPISSVTKSSTRPSIPSPPSRGSTPTPNPSKSNPATRKLILVNPIVANHLK HGGMVNLRSVDGQKSFKVYLPPRMGGVEMVRGLATSSAC I203_04923 MKSAAQFSKKSQKRKSDAANLGGSDVQVIVEEGSASAGPAFVNF PSIRPSKNTPFTIFTRDVGSSSDLTRQHTIIAGETEDVEFFSTNRDHNLNTEGADCQY LPALYDPITGTVHINPSTPLYLLTHGVKRLRSSVTLPSAGDARAHWKAQRNDLGETFG TRKAKTQIRAEERNKVDVSAMEGVKGHLMGSIPEMVVDDGPVQASELIPIPDLTTSDP SEVYPRDSLISPSEWQSINVSQLIHAEDEDGRQKLLPPHKKSFWLQGRIRYIRNLEDK TARKEQMEYVLYLATLLSLYDNPSIISKIPPSQIQTKFPNVPQQLLDGVIKRFSEVQG KKRVVTEKMKTKLLAWICVMYLTLDGFSVEVGKVARDLKLKDATVENMFKNLGCSVNI ATPAEREKQGISLAEASKNKKAVLKAPVVFPKIKRRGPAKR I203_04924 MGVPGLWDLLRPAAARTSLSSLSKEAFQANRNGLRALTIGIDAS IWIFHAQVTHHGENPFLRTIFFRITALLQHPVLPVFVFDGPNKPGQKRNQNVAGQFGT ADHRSRQFKALLDVCGLEWWNAPGEAEAELAVMNRQGKIDAVLSDDVDALLFGATCLL RNNSPTLSGAQANIARGDMRNYEVYRSSAIKDLWIKKEGTNLKTEDDCRMAMVLIALL GGGDYTPEGLPSIGPTISFGLANAGLSDFLKKYISNRATFDSRLSSVHSQIVEELRTN AQKQIGRKYPDRANKLSVLSPSSVFPPFTLDAYLNPCASPLDDLSQGWPGFGIGESSR TRGKARNEGRGDMEGMAIACEKYFEWGTKEIVVKKFAGEAVGVFGAELMNEARQRVRS RQPANPDPIPLIHQSVGTAKAASASMITSFFSQSMPSSSPIISKTTQSSQPVRHEDHD ESGQPSEHVIKIHSTRLDPTNGEMTEYRISFHRGQYIRRCQESMQGIRVDPDDLSDNT RQIIGLRDKERERSESLDIEIPGGTQGPNKSKDEIRVWLAEYLVREAWPELVRQWEDE QLAKANAKKSKSPKKKKTLTKAPPKKGKGTGVEKMDVGVFDAFYAAASNTQRRRDGML SESEEEEPIENLPPRSRSLSTSSPRRSPSMPNLAGVGGKKTKARPCPTSSLTSILDSS PSRGNSPTPKAKTQTSSRPKAKTKRTTSPQPEQQAGSVSPKRPSSPKRWQFGAKRIFS KSKSSPSAFSIGKKDQPIDLCSSSSEGETPVRPLRPRRGSNNTNKDKKDVISLLSPPR GKKSTNKTKSAESNIQQSTILDFSLDPSTLFPSDSEIVTPRTKLKPKSGAKEKKKTPS SRKQKKKYVVVSETSDEEVIDCT I203_04925 MLLQIHSLLIVLPLISIPTYAYPSRRQSQVQEQDQEPEKSTGLY ISSIRDGKCITINDTVPVIGSELVMMDCEGAETWHVPNGEGLLGLDTVGLVWDSQGGE GDGVIVNASGTQTTSQLWKWSSDNRVSSSNGSICLQHTPGKPKIASCDSENVDQVWIL RNTSQPQYFDDIAKQPDSNRDGYIHPKGRNDICLSAISNAEAYVGSGIAMTYCSGKGD GTSYLPVSTSESLFTWSLPTVNQKGHVKLSAQDLCLQTGLKAGNNNTEWTYIYGMGIN LQECDDKKEGQDWIWDGESLKVAHGDSNQCLNILGGAGPVSMTNFLNLRPMQLWTCDS DDENSVSRSGPIIPL I203_04926 MSTNYSYYLIPLVHLQTLATSIRFASRRLGFPNNVNPRDVIKEM EASGKLKKEVLDKIKRRQAAHENCFENEPIWICAILAGNQVGLSTTWMNTMSVAYFVL RCIYIYSYINISTQKRSIIRTIAYWTSNFCFLATFVKAGLKFNSTSKLL I203_04927 MPPKAAQRVAPANQYPPDAMPKIGRIIFHIGVAAAMFDGFWGLQ TLAITKDYIGTQYGGHFQYLTILGLFGTIITMMLSGICDYLPAIQAIKTIKRVFLLFA LPVELVISSIYWAIILLAPELMLPPNPDLASSPEPSSSNAEDPLFRIPLLMDLSMHAL PAVALIIDFFFLERKYKPPASTIGAFALAASFGTAYSLWVEHCASINGSFPYPFLTIM NPQQRVMMYVGSTVMAWLVFRGLNALHK I203_04928 MYPSRKAPSPPTSSFGEAALPLNHPFATYYIPPPPSYPYNHHRV LHHSSSEQSLDSSRYSQSIRSYKNQRSPTSSLAQPLSPKSSSRSSPVLGHFAPQPLNR TISKPRPQQSMEYGSAPHPAYSRSTPPSPTQSRYSAGIPTELTMFDTKPKQTLLGDVE YILGKKIHFPFLHNLTSSKSKKGKDEEEKKKRKRRLTKDRYAQEGWEWQGTLESYDLL PEDVVVVENRRGRGVREGNYI I203_04929 MLRRIAQKNLARVAGPSRARLLSTTAPRQADITLTIDGKEVSVP QGTALIQACEKAGAAVPRFCYHDRLAIAGNCRMCLVEVERSPKPVASCAMPAMPGSKV FTNTPLVHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGSDRTRFHEITGKR AVENKDLGPIVKTSMNRCVQCTRCVRFANDVAGVEDLGTTGRGNDLQIGMYIEKTMDS EMSGNIIDLCPVGALTSKPYAFQARPWELKKTESVDVLDALGSNIRVDSRGVQVMRVQ PKINDEINEEWISDKTRYAYDGLKYQRLTTPLVREGNRFVPASWETAMETIRHGYLNS GARGDQVKAVAGALADTEALVALKDLLNRLGSENTTLDSKLGDVPPAQSVDIRSNYLF NTSIENVEDADAILLIGTNPRHEAAILNSRFRKQYLHRGTEFAVIGEKFDSTFEYEHL GTSPKDVEAFLSKGGNKGFGKIWKEAKKPLLIVGSAVTETKDGAAVLKAVGKHVLDNG NKFLTPEWNGFSVLQRAASRAAAYDIGFTPSSSASSTQPKFVYLLNADDVDPSSIPED AFVVYQGHHGDHGAQFADVCLPAAAYTEKSATWVNTEGRSQMGRTAVPPPGASREDWK IIRALSEVIGQPLPYDETIQLRQRMFDISPTLVRYDTVERPSPEVIKTGLTHLSSAQS QAASSEPFKKPITDFYRTDPISRASVTMADCSKAFTKKDYPLGNVDEKAQASYA I203_04930 MIYRMQSSNLIGHQLTQRTLRRALHACAKRAAEQTSPKGKGKAI SDTLFAPSTRSTTPQTSTPKIAPGLKPTIPTYTSSPTHSRGWQAFEASWAYHSSSSTK ISKFLRDKVKEGSMGLVEVRTIFEKPINFNPILRLLGCGFTAFIGFIWFWLPPPLAEE VGYQTFWNSKPDSGYTTKIWGTFKHFLFVQTPYWALGGAAIGVYRLTKNLNIVTKLEQ CRIRLSPISTPTSAGAAEEIYLRMSTVKKDLMGRWSGEPRDLRLSDVRVLPVKGPHER NGDYILNLHIKDSTKGTFADGQPYIVDTRYRKYLDKSDQPYVLSPSRLRHVFGRWEGF D I203_04931 MRVTSGWVALAGCLLSLAGGAKANSPPVSISLETSWAAPPLLLE ILETVYEESPSSYFSLLSLLPNLSEKDYESDESLLESMKNLISSYSLLSGSESTFDLV LALHTAVPKIQAQFSWYESAIRPKERQLGVEACKEDGWVEWRGKGFCGVEELKRDVEM SIEEGLHHLDSQADTLPFDHISSPTSTSSLSSSAVLYFKPHSQNSANLLNYLSYHESQ YPNFHYIVRYLRPTSPLSENEMQRKTPLSGWGVEMALKKMDYLVVDDRLTGSSSSSQT QNGTNIGGHSEKGLFEDVLGKDPWTDLATPLTNVEIRDLGLKSSTLIKSSSSPLEALR VLSQDFPKYSASLARKVQVPDEIRDKAKSLLRRGEATEAIYINGKGWGEGLDAYGLLR AIRTERHHVLSLTSLGLTPKQAIDLIADPVIGEAQVEDSPGEGTVDASDRVEGGDVIV YWNNIEKDKRYKNWPTSLGGFLRQLYPGQFHTVRKNTWNLIFVLDLAQVSSLDIIANS ISPMIQRGLPIRFGVVPMFESGKDDISAQMAKIFHYVVKTFGRGSTRDFLAALVSATP HSPQLPGVLTLDTVRKAYDMLSLTSTKTGLPFDEVLSTELFDPHLEKTAAYITRLMAT KEESAQGHLFVNGKHTIVNGHWPAVVQNEMATQLAFLQKQVSEQPEDVANFFYDLPST SRRRNKLINPGQGEGKLKVFNLLDIFEGDITKRLMNDFVYPGGEGVTPVTMWVIGDLD SKEGQKVVEDALRHVQNPNGASRLGFVHVPTNDPSAPRSTYRLSTALYQLHASSLLSK ASAEELLDIIRGLDWSNDNVDRLNIPSSDDEETQAKVIGSTDSNGQKCFTVTLSEEDT KKYFDHHPIHKAAFDGVSAIDTAAAAEFWKTGTAIAKRLGLRDGKPHLLVNGRLVGPL TPQNFILEDYDALEAYEYRKRVKPVIDLIQTMYEDISVFDRSTLSNLLAVSSSVIASA YKPDSAEGIFVPTQAARARLYKKLDDGTLSFTLGDPEKAILDVAVIVDPISENAQKWS TVLQTLSEMDNVTITVYLEPQPSLSEVKLKRFYRSSIPSKLTFDVDGNVIAPGVTFLD LPTTPIYTLGLETPPSWIVSPRTSPYDLDNLVLSNIHSPVHISFTLKQLLIEGHARES TNAPPRGLQLQLTTNGIEVASDTQVMANLGYFQFKATPGVYDLSIRPGRGREVYELES VGSEGWDSASVNITGPSVILDSFEGNTILPRFVRRPGMEIADVLNEEEGKKDPESFAG AVFSKMKSIVGLSTEVISTKPKSRHADINIFTVASGLLYERFASIMILSVMKHTKSSV KFWFIENFLSPTFIDFIPKLAEEYNFQYEFVTYKWPHWLRAQTEKQRIIWAYKILFLD VLFPMDLDKVIFVDADQIVRTDMKELVDVDLHGRVYGYAPMGDSRTEMEGFRFWKTGY WRDALRGRPYHISALYVVDLKRFRQLATGDRLRGQYHALSADPNSLANLDQDLPNSMQ DTIPIFTLDQDWLWCQTWCSDESLASAKTIDLCQNPLTKEPKLVRARQIPEWDLYDRE IASFAAKLQGQEVGVGALAASVDDLASDADAGTTSSAEVEEEEQDLRDEEETERVVED NETDEEIIDQMLEESRRLDDEL I203_04932 MPSDRAGYTLSVYAIDVSPSMGELKADPGGENKVPKLDLVKEFV ARRCEPKISSGRKTEAVGILSYGGKTNNQANRAFVEQNPEDEDPPYANVSCDVAIQTA KPKTVEVVMNLDAGEYEGNPVSALMVALDMIHTHKHTKSWALEVVLITDGESSFRQDE YEEAMGRFDDLGARLSVVGIDFQPLSEAVDKSKSRSKRLSEKFWRTFVSMLHERISKT TDSEEMLPTLEIFDDSFQESRLPKAAVVNGTVSGIELHIGSPEVDPDQAITIPIKYSK ATMKARPPTLSKAWKPAMELQAPTRPTLGNQRQNSNQLMSSLINQSQSLSQGGEIPKM EDFASMISAEVKHHSTYVLKKANYNAPASSQLDTQGLNGEYLDATQQATQNQDDDAEE EFVEKEDLVKAWRFGSTWVPMEADTFQPMDTRKGVEILGFFPKDAIKRHLLMGEVRFV WPDLTSPKGQIQFSALVEGMELRGMCAVVRWVLKDQAEPVIGICLPAMDFPGEGKRLD FMYWVKLPFAEDEHNFWFPSLTTYKTATGKVVKEHPLLPTDEQCELMDDLVQSLDLDT YAKEQAKRERQQSGDEDEAMSEEEEDEGETPRWFEPEKSYNPVIHRIKEAIFHASLTA DLDANPLGPPHPELIKYFNTPSEIVERSEDLTKRLKDALDIKKVPPRTRKKVQKEGLR EDEGYIDIDELFDEATASSQIKAESSSQATKRKVERPPAKEVKDEPRFIESDEEVEPL NIKAKPKSGRLISNENPLDDFKKLIEGEGDVFRKAIRDLGVVVEENIESSFSYQNYPL ALDCLREMRSTALVYEEVETYNEIIDELEVKIKKGKHKDFWETFQEAGEEVAKISPEE AQKALDEYE I203_04933 MSRATLLFLVIALVALSFTPRVAAFGAGNIPGYSYLEEKAFRHG DIEDVIGNLMKAAGGGFLSRGTKFTPLDVKRVYFGNWLRDYSQAVDVGALKKTNLQTI LNVVMVLGFLGLGYATGEFEVTKERLGCYLPTEHIDNPKGYADGEDARQYDDRLRGPV DPRELEIDPQTGMKNYIANEYGGWATSKALVRQRLQEVIHYGRLFRSNDNKADSYQAF QLLGRALHTLEDFTAHSNWCELALISMGYNNVFPHVGRNTQIQAPNGKRVFPLVTGTF GGADFIHSVMGEATDHLSQQSVSDLTKQMSNARSISEGQSNAADTLRQLFFSIPGGEG NEMTRDLDNIQQMRAGQPGGVDPSQMSPQELHDTLWKILSFRDSVMKRIENTIDRIPG LSGLVEKISNSVSVFIITTLEPFVKPLVGTATAALGQTSQAVIDSHDQYEVWNDPYAS DPTHSFLSKDHFGLILNQPAGEIAQIVVEYTVKLVVQAWDNNSMPVEQVTEPVLQALF HPDFHDGRSEIQKRMLDKMRNWIDTVHQKQEILNRLTADKVKSGGNKRIGDTSQATGH VHNQLLPEGGLQQVVASHNVHVPGAQFLNAGQDLLSGKKPWDQGFGSGGPNAWRGIDP NASDNGNNGGGHASSFYNDNNQNQYGSGQDQYGSNQSYQPPPQQSYQPQGGHADNFFG GGGGGGGGFNPPSYHHSEPQHSYGGPPHDQYGGPPGGYGGPPPPQDQYGRLPGGYGGG QPTYGEQMAQQQGYPGAGHHGHGGHGGHHQGGNQWGGQGGYGGGGGNW I203_04934 MSGFQNAGVTKGIMILLALTSLSASLLDIKPYLHLQLVPHITKY RQFWRIAVHPFAFANSTELLIGEILFYNVGVAIERAFGSRKYASYILVSSLLSTFIAS ISIVLGYKFGLNSIPAGPYGIIFSLLWQQYRIFPSLYHFRVFGIEFSSKVFNWILAAQ LLLSKPPSSLLISLIGLLTGYIYRTDTLFPLPSLSISRRRLLVRRSLKTYRIPLSVYR LLSRLFSPIVGESLPPRRSQRVLPGQQSQSQIQFTQRGSSIATALNTGATNQNGSARS RLRSLLSNRLNTNATQAGTSPTVNTTIGNDRRAGGEGQGSGGGAQRSGEPGSATAAMG EWVNEITGRGTRVASEEEISTLSSMFPNLSREVIVRALQTNDFNTAQAVEALLQESG I203_04935 MNQLSDLELVAQAMSSSERKPQTQTQTQTQSNGNGDTNQNIDQD RKHDKSQSDPNFIPNVNGNTQSRTGQTPSDLPNLQSLNLAIDPTTLTSLNSLMSLSDD QLERLDESQIEEIMKQLEIADDVADDLEGKLDRLLATLGAVEEDIVNDIDEKGDQQKE EEEGMENK I203_04936 MTLSFTLPQIQDNADGSWGPSTSTLPAQFKDIPYAPFSKSDKVT RIADWHDLPADATAGRQRQGQVRRQGREAYGAAEGTVFGFVHDEDEKSFSLVDSGARA GTRVKAPIRAGGRAIRGGALTRGTRGRGAGRGGFGGRGRGGARGGYGDWNKPQRTRDS SVTISPDWQVLEEVDFTRLAKLNLSVSEPEDLASYGTIQGYDRAFDRINTRNEKPLEI LDRVRYNTSTSDDPVIAQLAEKKAARIFATDSILSVLMTAPRSVNSWDIIFERKGEDQ LFLDKRESGPFDYITVNENSSDPPVDSDDQSNINSAGSLSLEATYINQNFSSQVIQAS SKAVTPKANPFYSADVETEPLASTLYKYRKFDLSIDEEETFDLIVRTEADAYLGKKDT LITVKALNEFDPRAQGGSGKPLDWRKNLDTQKGAIVANEMKNNSAKLARWAVQSILVG AEYMKMGYITRANSKDAQRHTIVGVQSFKPNDFARQMNVSLTNGWGIVRTIADLLLKQ PEGKYVLVKDPNAPQIKLYKVPDDAFDAGLEEETIGESQIDEE I203_04937 MAEKNGSSASHTLNSTPLSQKASGGGRRKQPRASASGADLVSTP SRVVGASPKGKEKALDVLPSTLHHTETSVKLSRNQRKKNKKAQNGESSVAPQQRKDDS VWDLIPVAQNEVSRIPPVWSNDGRFYFTVTHTSIHIHSSTASTFARLSTLSSTHPGGH SKPITSLHLSPANSFQIISASEDGTIKVWDWVAGRLVRTIKFSEPHAKVQHIAFGEVA GKWWIFAAVTHSKDASAHKLSHRVLRVSITGSGGPSLIGKLSAPPVALMMSPRFTYLV ALSATKAYTYRMPTSSIDSNVDIWETRPTCVKFVSDQSFTCGAFSPEKTLAASSEEEW FATGDEKGVIRLWHGLAQAFRQVDLANVNQSTMGVASTQTESEKRLPTTSLHWHAHAV SALAFTPSGSQLLSVGEESVLVQWHLASGKREYIPRLGGRPIISLTVRKATRAGEEEW WMSLADGATIRVGPASGHISNVGQGVRLDPLRPTSSTSSYPFSLHPSTSSLVVPSSHP STLQFIDPIASSVLFDLEVAPSNRVSRRDEKEIQPVSVEKVAFSDSQDGQSIWMATFE SRTGDEMEGGGAIKNLKMWKWQDEKYAVNTQFPRPHGSSNVTSVVFSPISQLSSTSSN SSILPTPYLLTASSDGVAKIWQVRQSKKSQNGKVASAKPALIELYWSCRSTFDYRSMP ISDSAFSPDGTIVVLAHGSVVTLWDVESNVLLKVFDPPSGIDINKTGFVGDEGRYLIG AGEDYGVIVWDLLSCEVAWSIQDHSVQNLSISDGFFITTSILTGKSIFTIFSPHSPEP VRTMSTSQPIKHLQILPSPSVSPSSLHLIGVAPSGEIYRFGDLAHSAAPLSSKAVSTA QQQKQGLSIWQEMFGKDAFLDSLSLDVASAEEANATATASALQQRVVAGKGKPSDIFN GPSHTMPSTSILFDAFMDELLSGHTSLPSTSATNQDEELGSNEILYEEGRKIDVDVPA VSVAKGKQVDDEEIRELEVFFRDVLGNVSTNIPKTPAVKKVNGHSHGHGHGHLPNGDQ IVTPLNNKKPNGIGSAKHRDDEIVIDTPSSNKKEKENGSAQKGKKRKAPRED I203_04938 MASSSSSSSSSTHTEKRRHSQFRPCIDLHQGVVKQIVGGTLDLT SEDTSKGPKENFVATHPPSYFANLYKSHNLTGGHIIKLGPDNDQAAKEALSTWSKGMQ VGGGINEDNAQEWLDLGADKIIVTSYLFPGGKFDESRLKRLSDNVGKDNLVVDISCRK KENGWIVAMNGWKTLTDMYVTQESIQLIEQYCSELLIHAADVEGLCQGIDEELVIKLG EWVNIPTTYAGGAKDISDLKSVDTLSKGKVDLTFGSSLDIFGGKGVKFDELVEVDRLT KELSA I203_04939 MPPLQFWKPGTAAPGSSLDRDTETEGSLLPSISSSRNEHLSLEA QRKRLPIYKHREKLLWCVEKHQVVIVVGQTGCGKSTQIPQYLHEAGWTSQNHVVACTQ PRRVAATSVATRVAEEVGSVLGDEVGYSIRFEDLSSPTRTRIKYLTDGMLFRETMLDP LLSKYSVIMIDEAHERGAYTDLLLGLLKKIMRKRPELRVIISSATIDAEDFLEYFNTN ADGTDRSKDDAIIVSLEGRMFPVEVCYLKEPCSDYAEAAVQTVFDIHMKEPAGDILVF LTGREEIDQVIQEVSDRIQTLPKSAPQILALPLYATLPPEEQALIFDPPPRDTRKVIF STNIAEASVTIDGIKYVVDSGFVKLKTFNPKTTMDVLSIVPCSLASANQRAGRAGRTS PGKCFRLYPSSVLPSPSNPHSPMTITTPPELTRSDISLYLLQLKALGIDNLVKFDFMS PPPSKMMIRSLEFLYCLKALDDEGRLTRPMGERMAEVPLDPMMAAILLNSHEFRCGEE VLTIAAMTSVQNVFNMSEGGTKGMMSEMERRKFTAEEGDHLTLLNAYNAFIRFGQNNK SWCGNHRLNHKALSRAVAIRKQLKKYLDRFGIPMVSCEGDAKRLRKCLVTGYFKNAAR MMPDGTYRSAREGAILHVHPSSVMFTRQPSTGWVIYHEVVETTKSFMRDLTVIEEDWL VELAPHFYEFKGGGMKKHF I203_04940 MRSKIQIQLPRPKWAKHSDGTPRKLTLLGRSILLVSGELLANAI CWIAAGICFRDADGLLGLALLAWTIGLRHGLDADHISAIDNATRQLVSLGQLPITCGL FFSLGHSTIVIVVNIAIAISVDIYDKLDKVGSVGGIIGASVSSSFLFLIACINIYFLI GAIRQRRKIKRRQQAGLPIEDEDADPTKIHGGGCMVRIIRPVLRAVDRSWKMYPVGVL FGFGFDTASSIALLAISAVAQRGPNGKEINHGKIVILPFTAGMSLVDSLDSILMLYAY ATPSTDTPEGKLALLQAYEGDSKTGLSLVEENVVPVLSSDVDSNTRRERGSEMVGRSE IEVLEREDQQDEQNQPKSPIYQDTGNPSNAPEMGENSEMINENERETSNRKDRLLRVK TQTMSNLSIILTLLSILVALSISLITMMGLIGENCQKCTDAAEDPDGGGLAGSWWRAW FRANDQSGYIGAAIVGCFAAILLGYYGVRFGMKKYKARKGSVVLEDSRNEQEVVL I203_04941 MSAGALSFTLPSSTPAERPYTNLINSTQASQLFARNNFRPRERA WRRTVSPQENPGALKRNLRKYWGHDEFRHPQLEICTDAMRGCDLIVVAPTGLGKSLCF QLPAITIEHGVTIVVSPLISLMEDQVNHMVGKGIKAVMLKENMDEGALREIRRQMSLG HPEIRLLYVTPESLFSPKHKYMFDTAYRQKQMVRLVVDEAHVISEWGLDFRPKYRELG NFLNEYRGIPVTALTASATQEVRNDIIRSLGIKKGYGQWVMPFNRLNLFYEKIADWIE AYKPKARARNVVNGIHRPCVTGIVYCRKTKDCEDVACFLRERGIKAQPYYKALQAANP ATLAAWNEGKVECIVATIAFGMGIDQPHVRYVVHYDMPKSFEGFYQETGRAGRDRHIS HCLIFYSREDARKVRYNHELNERKRKKQGAEEDPEEILSPINSFKALQHFLENTTQCR HIGICKYFGEKIDLRDPSVKLAYCQGMCDVCKNNKQVRLSALQLTEGIEIASQPEEKE VEIPSMPSADQLDVISEEGSISGSLRGLGDGDGRDGIDSFDGESDDDFPQFVDNPQPL FMPGSPSSLPPTPPAQLRPPDLLASINPASSATHTPVPSIVSLASSANHSPLVPASNK SITSTMKVQGSSTPSSRSSDRGPAVPVSLTPVLKRDLSAEALRPVPERIFQPIRTRDI TQVTPQRAIAGPGPNTVAFANGRSNDRRSRDSSPVKRRRDQVDLNTPVRGVRYIDDSE EGTSSELKLTREQRIKADKMLNSVEPVRGNGPFACYNQTPTGNKFRKISSTRATFKPP IARSPNKIRCDLIQKPAREAAVKEMTDSLMGCLAKGELARKLLKGWGRDEKGQERGKL IVGIARVMEHDISRNDPSGYKGRIKQFRNATKALRSPEAVKMISKGQLDELDDGSPEI LHLRALERCTRGWVDERQ I203_04942 MDDPFAAPPLGGNLPRQRSRPNLANPIPAPPSSRSIASMARTPS PQKKRQEMTGQALRQHLQSLLEQKSTQLQTLGTMGQEILKQQQDLEERIRDFEDADES DEEEIREDTKERLRELDQAMRMWENQNEDMMRGLGGKSSDPLEDFSLAIPSPSKGSQP NAPSTLTRRQRNAQHRTLDMEFATEIGQNLLVEVRRLQALLSERDRALEKFSEEKENW EGEKQSILSAIRAAEGSVERYKEENWNLEVNLQELRSSLADVQDQFTKSNAEQTRLAK TLVSTREAVEAYKTDAEKNAQLVEELKVKHETDMAQARKTTAGLQRDKSDLLTELNGE RLRRVSAGRGRLSKSMSASPGMLGPNGVEDDDEDVFAAGGKGNTSPTKRGPGFDEHDQ ALSPSQLYESDFDSPNPTPSKPFPRSPLGEMYVNEIDQLRDNLAKAQREIEILRGENA RNRSTSDLSSNKSIDEFGSRTPGADWEDDEGTIGASGRGRGSMRGRRGRGRGIAASIG RKLGFNRSIISTPGDKSFNSTSSGTPDLLRTRGVSGSPAPSTPGTPGGEALGRVLGQN NNSVDTFNSPSLSNRSTDSIGQQTSFMAPAGGALADEIGTQIVSTSADYVDVAIMTDD WEPETIIVPSSNHGLHQDTLRAPQITTTAASSASIAEWALQTPKRNSFDATLQNEDAP SSSTPAQQQGDATPTKSTVPLPMPSRLANVFTRSHSVADSISTMTGTDTEADYEDARE TVGTLTPSQTHSELPTDTEAYQTGQEWPNESSADSDSDREDSRERDHTMRGLKLSGVG TGSGGWTAAKQAHKNASANKERVIEVPVERIVEVEKIVEVPVDRIVEVPVEKIVEVEK IIEVPVERIVEVQKTIEVPVEVEKIVEKIVEVPVEKIVEIEKRVEIPVDKIIEVEKII EGEKFVEVPVEKIVEVEKIVEVEKRVEVPVEKIVEVQVEKIVEVPVEKIVEVEKRVEI PVEKIVEVPVEKIVEVEKVVEVEKIVEVPKIVEVEKIVEKEIEKIVVVPVEKIVEVTV EKIVEVEKPVDRIVEVEKIVEVTKIEEKIVEVEKPVEVIKEVQVEKIVEKTVEVPKIV EVEKIVEKIVEVEKIIEKPVNVDVEKIVERVVEVEKPVEKIVEVPKIVEVEKIVEKIV EVPKEVEVIREVEVEKIVERIVEVIKEVEVEKRVEVPVEIEKIVEKMVEVPVEVEKRI EVPVEVEKIVEKRVEVPVEVEKIVEKVVEKIVEVPVEVEKVVEKIVERTVEVPIEVEK IVGKVVEVETRVEVPVEKIVEVERIVEVPVERIVEVEKIVEVPVEKVVTVTKTIEVPV EKIVTIEKIVEVPAAQLKADQSDSSMQTEPLPSTPSSPLAPPPDISLFRVTPGTNYDF LKAPPAPGSLGKRGSRRVSNDHLAAGNTDSGLPQSRDPASRANIDGLPPSSPTGSTAP DRTRPPTISLPPPPDIPPPPNTAVKKMSTGPPPRPMSPPPDDFMQRASTPTMQMSVNR QGSRTAPSSSAAAMRIAAGDMPPPSSAQRQTSRANFKVPRSVQSTPVRGENDTWLKSR ESVKRRATKVVSSSGYASASSSMSGIDQIPNMHDRNPSMSSFDSYAGTVPQQTAQQPS HGSTDPTTIHAITQTMIGEYLFKYTRRTVGRGQSSNRHRRFFWVHPYTKTLYWSSEDP GSSRVSESSAKSVFISSVRAIEDPNVQPPGLFNKSIVVATPGREIQFTAENKERHDLW MSALQFLLQQQNASSSTSHLAESSIKHNTSRTGLSSFPDEQGRLTTSHNPPKSPMSLR SFGSERQSLNNITPRAVRSTSAMSNVRPGTSMSKRAGTAAHEYMRRHEVPSTILGGHR YKGTYKGAPIADPDDFDLVSRDDGDEMDESFEGLENVRACCDGKHLVGDHHHHHDHPN VPKTPARSQTPSIRAWSMRSSTARRPSNASSRKPIGNIHTALEGDRESIFSTVKKRDT ERSRSKSAMGHRDRY I203_04943 MRVQPRARLQQPFTQEDLQLFSQTCSKTTDPSDHPLSIGISKNV VMYSGDVLREKSRQSHQSRQDVMDELHRCLGSGPGVFVVKGFERDLEVIERTNEVFKN IIEREKEHAKGDHFAAAGTNDRIWNSFQKHAIEDPRSFVAYYSNEVLALVSEAWLGPG YQVTAQTNIVKPGGQPQKPHRDYHLGFQSEETASKFPIPTQIASAMLTLQGAVAHSSM PLDSGPTQLLPYSQQFEHGYLAYRHLEFVDFFHQHMIQSELEIGDAIFFNPALFHAAG ENRTTNLHRIGNLLQISACWSKPMETVDYPTILRSTWSHVKDHINQLEGGSENPVAKA LLQAITDGYSFPTNLDRDPPPANSHCPETQLERITVGVKEGWTLDTLEKNIKELQDKR IA I203_04944 MGVTIEPISPGDGKTFPKERQMVAIHYVGTLADGTKFDSSRDRG QPFVCMIGVGQVIKGWEEGVLKLSLGQKANLICTPDYAYGQAGHPPVIPRNATLKFEV ELLQIQDA I203_04945 MHYVGTLANGKVFDSSRDKGPPFTCVIGVGQVIKGWDEGIPRLS LGSKAMLTCPPEYAYGLRGMGGIIPPNATLKFEVEILNIQPHSHHDQKSKPQQAQQAP PAHPVKVPSQPEPKHQGKASQVLKKIGKQHKD I203_04946 MGVTVETLSQGDGKTFPKPGDQVTIHYVGTLLDGSKFDSSRDRG SPFVCRIGQGQVIKGWDEGVPQLSVGQKAILTCTPDYAYGARGFPPVIPANSTLKFEV ELLKVN I203_04947 MSSQRVILVTGASAGIGRSTSIHLSNIFPSDSHPEQLVLILVGR RQSELEATGKQLRPGTIVEVAAGDATNEEFVNALEKTIKEKYGRLDLIFNNAGVNYKS DGEFEDQDMSLFRQVLDINIISAVLFTKLAFNIMKSQSPQGGRIINNGSISATAPRPN NTSYTISKHAITGLTRSTSLDGRKYNITCSQIDIGNASTDMASYVSAGSLQADGSIKK EPTMSVENVAKTVGFIAGLGKEVDILSLEIM I203_04948 MPITKKKGTLFAIYADTPERPSSSTSSSSSSPSTKINNQPKSPS KKSSSSSVASNGTRKALGTLQPKALDRSTTLIGSNGKDKTKTKSESSARSNLVYTDPV GDVPIKQTKSTSDIPLKSKSTTTQNQSRTKSSINVFNDENSISTSTSTSSKRTKPLSG PSSPKTRKSAPTPLAPSQPIKRSRDLLSPLPIIAPPTSIKASSGSGNAAIARVDDPSE SPAKRNRIALESTSTSTSTPVRVGRKDKEIEMEDKENIPVGMGYSPITSDSPASRTRS KIRALTLSSGSPLRAERTTTVRKVKRVERLIGDGRGTLTLKKGRELSDLVNAEGEGEN GSLENAIKSIKPSPTKRSNGKGVEVEVQMLGDVSEAYGADRGVEPEGFKTQRVSTKID GSKGGNV I203_04949 MPRRFHLLRRNPDSSLSPTPTPPTEDHESSSRVKNRLHALFSSS HPLIEKEIEIEAGPSSPRSPDPRSRRGSRMSHSGEVIGSQLNIDIDNQNRHQDDIHSH EVIKSKTYPLDNEHSNNNNSNQNGIAQSVTIPKTKQRDAIKVLMVTWNMGDALPKGDL SVLFGHIPPYQPETPTDEIPKLPVENAHPYHIVVVAGQECPTSSGAPRGLGGGLVKGV TLRHRKDKEIAKEKEKEKEKEREREREKEKEKEQEGEDKENEADKNKIDENDDDTPKD SSDQRSRANSPMTPHAAFLHRGQPAAKGWSQMLDDYFCGPNARTAEPIPTSYNSTSPV PRDTPFLPHPPPSAFPAHPSLLRSASAPITPVATPITIPPRPILNTPNLQLSPSPLAR SKSSFESSSASSSTSDMDESAAEHIRKGSNASPSQNENAKPKVQRPDIIIPNDEIQST NQGNGSYVHVVKERLLGMYLSVYVYKGCEHLIQGLDKDLVTAGLAGGRVGNKGGIGIS LKLADHRFLFVNSHLAAHTGRMHARLSNIAKIKSELRLDCFLPKDDPRAAAEDITDRF DTVFWCGDLNFRLELSRLHADWLIEQKKYSELLMWDQLKLAMKDPNLNPFPGFEEGPI DFPCTFKYDVWKSVRATNREIRKTLKRRKSSASAASFDMSSSVNVSKNLSYVPEGDAI EEVDADEDDPDQSFANQQLKRAGGSDDEMTEGDFSRRSFESSRYTSGAGTDIDDESDD MPMTYRNQQHRPFEVALKEKTRHFLGLVKMDGILTPSPGRRFRKRTSVKRKLSVRRRR DHHEDQYMDDSRRTSISSFVSQPDTDRPSTPIGSDGDRRVSTSSRFDGSNEDHHGQKS SPASNGLVPPNYANRSDSGYSSSPPRDKDRPPVLTRRLSIMKRTMSNKSVKDVNAAED EDEEEVIDEVDRREGVYDTSKKQRVPSWCDRVLWKAHVTPDPEDEESPPQSVELQVDS HTPFHRLSNVLSNLGGHLKLQMGRTASMDPGPADRLNLRIRSSASPDGSSTPPRFADH SPSADNSISFVASPPDSPTLSPINADNTGEGLIPLPRKASPLRSSSASSPTKEASASG VLPSASTSNAHGIVSSTPEKAHNGNSNKITFDSPISPTVDRLKSSDKARGERKRSNSD SIGIEGIVSHKDVDQRKGRMSDGHNNGIVDSNLSSPDKDRKSSLRIFDNDKRIRTISN VSPTTTTVNDHKPRRNLLHPSSSSSHNNNENQIHRTATIYTANHPSVSAGAEGGGREG ESDKNGFIRFLKDLPSWLHRSSSNNQHQPDEITLTIESPVEKRWKKGEVRCLHYGTID DAGMRLLEGRSDHRPAIFAGAVYV I203_04950 MENRAPNPLHLTSLTILSPSNSPLYVHSFTGPEDELRHYHLSHA AVDVIEERIVMTSTATKPAESYLGLLFCMEDMAFYGFQTTTKIRMVLSIALVDAMIKD ADIVAIFRSIHNLLLTITSNPFISLPSTFHAKSSPKHIENQQPIIETKTAKSIRNVSI SDSKIFGFGPEEIKSDWLKDSMRFRKGIERIGEMLNGRA I203_04951 MSALSQAICRPCSSRFAASFLHQSQPLTRSFSTSLSRKEQKAAQ PQTPATDSIELGAPVTYISESHDPWFNLSYEDWLMRNTPHNQPVLFLYRNFPCVVIGR NQNPWKESTPHKLREAGIPLVRRRSGGGTVFHDMGNTNFSIILPRLLFTRSHGAELVS RAIREQLGIKECTVNERNDVIIRDGQKEYKMISGSAYKIIQHRAYHHGTMLISSSLTE LGKSLKSNSPNMQTKSILSHRSPVTTLNHYNPSSTPIHHDDFVHAVTSEFSKVYSDPT HSKKMETNEVNSDWIKEPKVWKGVEELKSWEWQYGQTPEFSNELEGKLSFGDLSTSLT ARHALITSITFHLTPSLHESTEETSQKQAFLDSLALSLVGHRYESLDGAEGALGHEWE DEKWREMGNEVIGWLRRVM I203_04952 MNRRGHLSTKVPQVKLRPAPAGSSRKTREASPINKMDEEIMRRA LADAEESSTAALRRNSKPWKGVTITFTGVDNKVSLGSLAKELGATVENALTIHVTHVV AVGYGSPKYLYAVEHNLPVMTPSWIEDAHSQWLNGDELDNEADQEEHRLLPFVGLKIA MSGIEPLDRRKQLIKYIEKYGGKYSKDLDRTCTHLISAKPTNEPRSSEKVKWALREIA ELEARKRKGVRTDEEEMKIVYEEWIWDCVAYNGRWKEDWYDARKPRRGGKVIAEDVLN GTVKLPTDKKPSSEGVSGPVADAVDNNEPAVIRKRKRESISTLVTELVSTTGAKPNRE TSVTKRSVSPHKQRSVDEPKTELDRKPSLLHVSRSTSFATNLPAPTSKFTLNADKPSS TMPPTSQAITNQQDEPANSPKRFFEGIKFSHVIREQCDGLENALKQHGGVLVSDEKRI EGEKVDFIIVRLCSDIRPQLSDNDEGTIVVTECWVEGCCFEERLLSPDKHIVFRPLPA PMPIPGASKLNVHLSGFSAENTVYMRRLLRAIGGTLSVKLNRQTSHLITVATSGQKVD KSREWGVKVMKESFLVAMGRSGQIEPEDQHELTSNVAVSKALDKTRTSDLTANLSTMS DLPDNGDFALRPSSSANQKPTATTNSQGAFGLSPSRMLKPSPTHIDEPSTTSTSSITN GDRASTVENVLSPPKQETERILNLARPESDTSLVKLEQEKEKEKLSRFSSAPVPNESI PLEQMVKSENHHAGAALGERAASMSSLGGKAGNMTEALRQLAERDSNGQAGKGRLVRR ARPSARIKNTRSPLNSVSPAASKFSAPPSPQSQDVDISYMAADGTPVDISTDGDGDIS MNNGTVEESVQVKYVDANSARERKKIMALFGGGEEEGSAKKRKR I203_04953 MATTASAPASAPTTTEVPNFKIVGKLDGVPVVHDSVTYAQQVLQ SNEYTAKLYATALALASKSYQVATPVLVRTKPILESADGLAVATFDRAEATFPYPFKT PTQDLVVVKQAKGIYDGQIHPYIASAQPVLTDILDKTSQINSAISSRAVATVHTSQDL AHSLLEQLRHLAEHGQNLPATLINGVGKVTGDLKEIVFAKDATIQDKSNKLAAYVKDH AKPVIEEIYNYVNAAKLKAEQEAQAVGEAANGTVENVSAPQS I203_04954 MRIPHIPTGLSSNHLTQSVLIPSVRKASTNSTNTDWVAWLKRGA STESGGPSGKCLLFAGLGSYPHTPIAPTPSSLRVWDEASEALLSPDATIGYETRGMGD AGLKQVKGWMRGWVEGRSLEELMKRPDVTAAFILSSSIAILASAQEKMGSPTLLPEGT THLAGHGFIGTLTALVASGRLDLGTGVRLARIYASLPPSPPQTYPRSHLTTVLSARHF HSLSSPSYFVPPPSPPPASEEDDPFPPVTSTESEAESSSTPLKRRRAMQLILDEIHSL QRDWERENEITGSGGTIGPRQEWASAGIINSSKVLVVMGTHHAVLQVIERLQQLNLAN PVMDVAMPCPYHTKLMTHAIPKFRDVLERCYFENSKPNGPIVLDPMTTHPITNPSYAL LPHLTGQLRWHKTLHRLYSQPIPAVGHFQTVGKGAKGLGIMLRGEIKKRPQGSTPISI SELISGDTKEDKERKDRIEGAMRKLNEQRRKSS I203_04955 MSQNLIQFKVTGDVQGVNFRSYTQKEAQKLGLKGHVYNHDDSSV QGVAVGPPDKIKQFQSFLSQGPSAAEVHKVELIQNKSDASEEEIKKALGGSGGFEIRR I203_04956 MSLTQSSTHLRPGPTAAGPSSSTSSLNQSPSQTSPKPKGLKKLR PWSLAAHARRKVGNGTSSIDDHETQIGSTDNHNGHTTSIYGDHSHLEATPYQDVRTRA SSDQSPRRSSDTLQIGITNSQNEIDWEEEESDDGRVDAYSWVDPSIVGTARLRATPAV SQSDLSGSGSGSRSNHRSSNQTSQNTTPGNEVAELLENAGTAPSPPAQLLPDDSYAML LAYQSTSTSDSGHTHTPSTTSHAAPLSPFSPTLPTPSESGMLRNPNPEWYELVDRTLS DSLSKEELKRQGLWWEMIKSEREYVRDMKTVCEVFIQPLREYDPPLLSPESRLHAFIA EVFSTSQQIYHAHVRLLGRLMERQRHEWPLMTTATDILLGTLLEIVELYESYMKNYPF AEARVRREQERNPPFRAFLSQRNTYDLTRRRDISVFLSRPVTRLPRILLVLEALYKVT PPDHPDKEDIPTAMEMLQGVVRSTQPGIESAENKIKLWNTAERLLFKKGEVVELDIAD PKRTLAHMGYVFRRVRSETNWHGWQDLRAILLDNYFLLTRDEENGKHVVVSRPIHLDF LHLTSADGVPERRYDSVTKYQKRPGAHMSVDSFVSGENAQKAYACDPIRLEPVFQPER LMFPFTITTSGGPNGRTYTLCTAEEWKEKIEGAKTLRKFDIEGNRTFAIHSITIPPQI KDSINAADTFTWHNRETIAIATARGVWLGWRRDSKTFRQLINFNSGHISMVSIVPDFG WLLVSSSGSLLAYNLRDMIPTSNPDTWITKGRLDGQRLSAPEHIIAFARVGVTKGRLL VVYAVHSRNSHQTTLNFYEPLLNPSSASYGVPSFRPFGNITVPGYASDLSFFRQTVSV VTEKTFVIAEPGNPTYNSIPTFPHEIPERAMVVRMVSGSKPLGMWQVDESEFLLVYEW GACWVTKFGEVSRKGSFLRWNLTPSYVIFRQPHLLLFDETHSRAEVRDVTSGRVCEVI EEKGMKVFPIVRFGQGVIARSGTGRGLIEIVEVGL I203_04957 MPTFSPLRAAALNFRISATRSISRRRLTTHASSSTRRIDQRLII AGGVALAVPTYMYLTRAKLDYQPDTVPDKVNSDVPDQVHSSKGGKEVNKIGSREVLEK KDGDEVWVVINGEVYNVTEFLDEHPGGREIIENNGSKDVSYIFNPRHPSDQLQPENLP PSVIHLGQLEIESAYEKEQLAVKISKSEKDEQNRIKQKRQEYEEKGLGSIVNMRDFEV AAEELCSKVAWAYYASAADDEITKNENNSDYKKIRFRPRVLRPVKEVDTSTKILGYDS TIPLWISPAAMAKLGHPDGEVNLTKGAASTGIIQCISSFASCSVEEITSARTSNQPLF FQLYVNSKRHLAEEVISKVNRLGLNAILLTVDAPIGGKRERDIRAKGEFEAPKTDAFD KQGETKGVAEAIFSAVDPDLNWKDLKWLKEKTKLPIIIKGVQTVEDAVQAYKLGADGV ILSNHGGRQLDTTATGLSTLLEIHLLVPSVPKGEKENERKFSIFVDGGIWRGTDVVKA LCLGADAVGVGRGFLFAQTVAGVQGVEHAVKIFESEIQSTMRLLGANKLEDLRPSMVD IVN I203_04958 MIDPNRTLTLEEIQQAYNVELVDKDGTKQTFGELVKGKRVVLIF IRHFWCTNCQAYTYQFGKSIPPSNLPEGTEAYIIGCGASSPIPSYLTRTSSPYPIYSC PSLDLHKVFNFGRTLKGSKAGEKKTYMSELGGGFNRTWLSIKQNLMKSPGHGLESVRG ANNQNGGEVIIEKDGTCSYFHRMQNTEDHTDLEDLAVYTPLSEKDKSYPN I203_04959 MTSLNPSHNPFKSSAQAVHHPSPEKQQSNFPDILPTVNEHHHED HKKARKEGRKRVKQAMPVMPDLRFEQSYLLSIRPFLTPRPTPTTIEKKGQIEQTKPSG TLVKSADEDRVFHWGRQVDVQWSKVLWVTLRDQVVSPLIQGALWGWATIFLASTGAVL RANLYPESHVRRGRISGGPGGKFDQSGGGNAVGGVGWWKNWVGSLFGGVQSATV I203_04960 MSEDAGPSTLGKRSRNGVSPDGQDVKKDNPVPEMPAADVDDSSD EEIGPMPGGGGDVVVSNGRKKKRAVLPHEKLYLASLPDTDRYYKSFMHREPLNTVTMT RTNFVITTSIDGHLKLWKKQEQGIEFVKHYRASLKTIVGTSASDDGKLFATVSEGGEG RVFDVVNFDMINILKFPFAPKACCWIHQPGAGQALLAVSEVNTPTIRIYDGRGDGTPL YTLEKIHRAPVHLMVYTAKYDCVVSADEDGFVEYWQPSEPWGLPSVPGLWEYKSSTDL FHFKKTKTLPTSLTFAPNSSHFVTLALPSRPVHIFNFLTGKLIRTYDESLTAVVEMQQ AGTAVFKLDDMDFGRRLAVERELDRSESGPGGMLRTANAVWDESGNFVLYPTMLGIKV VNTVTNKVARVLGKDETLRFLNLALYQGAPAKKGLTTVQMAASANPLLQEKGSRDPHL FATAYKKQRFYLFAQSDKEESKGDRDVFNERPTREEQTVAIPSAPEKQRVSATRCTIH TTKGDISLQLFPDIAPKTVENFVTHARNGYYNGTIFHRVIKKFMIQGGDPLGDGTGGE SIWGGTFEDEISPKARHDRPFTLSMANAGPGTNGSQFFITTVPCQWLDGKHTVFGRAV GGLDIITDIEDVRVDKNDRPFDEISMSSITVE I203_04961 MSSKQFNKKRSAPDGSSSSAGGKSKFSKTNNGKPQPSKSFANKG NENAGKYQNKNKSNNNNNGKSRSAPIREKEEDVKRRKKPITAGGGEENVDDDEDISMD DDDEGSFSEEEDEDEDEEMGDKALERNEGEGEGQEKKPKLTKSEKLALHAAQPHRTTL LPSHPLLHDTLLPLWETARRADLSKDQRKKAINELYNAVKGRINEVSRGHKGGRVLQT IVKFGGKEERTGVAMELEPRWKDMMESKYSKFLMSKLIRYVPSIRPLLIPKLTPTLLT LLNHSNAVTPLSDFYDLYATSKERKLLVRGFYPRELKIFDGGKQGIEVKGLEASLEDL IGDESKGRDRILDGVEKTVLDVFNATQKQALAQSIFHRLVLEYVTCIFKFLDKETADK KLHELLAAGAESFPEIVHTKDGSAVVRELIVRCNAKDRKTILQPLRKHVEALCKDGDA QMVLFTAFDCVDDTKLMGKAFVSDVVSLATNLAFDKQGRRVLLYLLTPTSTKHFMPQT IQSLAASATHARELGTSKKDTEVRRKELLSYASEGLLKAVEEKGDAMVRDPGAGLVVQ EVLIYAKGDKSGAISTLSQALSIPYPDPAPVDPNPDQSTAHPLDLSHSIRTYKTLLSG GHFNNTTKTVEITDEELSPKFASAIWEAITDESVGGDDNVSRICKGNAPFVMVELIEA LRKSGEVSKVKKVLGKKGVREEVEKSVRKGAGLLAEKIAEL I203_04962 MRSVPVYFLWSTLFFTLSLAKINSITVSEDTAIPGQNISVIVSS QSYIQNWDDFGIVWGLLGSNSQNCTECLGMEIGYENVYGNNTLGNTTYSVQLPNTTTG NYYLKAAVPYLVGASGETGINYFNQSLNLVSNAKMRV I203_04963 MSFNDLERGQSQPLLRGNAPDQDPTFTALKDSVSIQIFKIQSNV QGIQKLVDKLGGNGDGPALRTSLHNLTEATREMVKKSTDDVKKLAAYPAGGEFSNRKP IQTKLSKEFGNAITSFQRVSRQSAERQRSFVESQKRRVDKLVEESEEAHEEPRSSVEL EQVQAQQQVQQVSPQELDFQETLIAEREAEIREIESGIHELNDIFRDLGTMVVEQGGL IDNIESNVTSVARDTSSAAEELTTAHEYQRKAGRRIACLLIILVIVVAVVLLAVSVSS SVKKIVADVYLA I203_04964 MSRTGAPPSKITAHSTSIICQDTDLRGDITISEGCVVHPKATIL ALGGAIVIEKNCVIEEGTIIVNRNTGIMSMGDNNHFMVGCRVEAISVGNWNTFQPRST ISSNIIITDHCTFSAGTTLLPSPTLQEGEVETIPPYTVIYGENSERRKWDGTGQSTEQ NLREKHIEYLREIVPK I203_04965 MSSIRTAAARQSVSRLGRTTSLIPLDIPFPHAECSSAPSRRSYA SSSAATAPAEDIEGPMTVPVADSHHDRVTSVKKEKRQFRGNPRLRKQALANARRLNEA IQSEKTNSSTVTPSTSAASISVPEQSKEREQEDTSFWSDLLTRPSTSSKEADSPGGSA PTLEDLLAKKPARPPPDPYHPKYPKLYQKLIDDLDNAFVQRQLKFFCRQLNLYTNSKT SKIGFIKKILKTWGWVEPKDERTDLKKPHVYDLPPAELFLFLRENDLIHEMTKGYERM ELAVVPLSEAPQAPFGPTNSGDPNRMVLVAVGRVGPLVKLTNLIQERKKAIQTIEISA RELDGFKAPVGLLQTYRITAMSIEDAENAKRLLTMASLRINSLPSHRSLDVLLPTPRH FQTQTLRLSLYPFIPSISESLPWSIASNSNSQALFRLKKVTEWNSKPAIREIDHKNEK LHLANYMNLSTPISTTEPEENIKGEGNDLISSLGAKKGKKRLKVIFGNLLITKQGKLA IFDNPLPGQWPIETLRNWLSRSSNDVGGENKKPLFTPSLTPSMIQFPFLTGQTSEIRR IRYRSLPTSTPAGESRFVEFTYAKPNATTGQNNWQDRLGAMLDDLEKQIEQEDGVVPS PSLEASEQDEPRGDESITSSGQVREGALAGVPEENAVKEEDSDRPFEAVFGVIRESDL FIPDRPNDARIVSTSTVSLPTSKIPKSVVNLFDQQQYQDKMSLKLTSPPSIVMIKDEE YLLEYDEKVELTEEIQEIPIGGNVLNLVKRSCKVVENGLEDLNPHTYSELECGSNSDG TLPIEFYREFAHMTRDVGPDAGALKRGNILSGLGAGLGGWNGMVHQ I203_04967 MIRTIPRNIHLHARPSAKIARSLAVARPSILQKRTYATEAQPPS KNDLFANGGNTYYTEEMYRLWKQDPKAVHSSWAVYFSGLDKGLPSSSAYTPPPGFIGA ASSVPTAADGSPKMSVEGSGDVTDYLKVQLLIRAYQVRGHHIANLDPLHISDADLDSR VPPELKLEYYGWTEADLKKEFMLSDGILPRFKGSVESDKLTLGQIIEELKRMYCTHVG VQYVHIVDRGQCDWLRERVEIPVQWNYTTEEKRMILDRLMWSELFEKFIASKYPNEKR FGLEGCESLIPGMKALIDRSVDSGVKSIVMGMPHRGRLNVLGNVIRKPIEAILNEFAN TDKDDTGGGDVKYHLGANYVRPTPSGKKVSLSLVANPSHLEAEDPVVLGKTRAIQHFE GDEGTGDSAMGVLLHGDAAFAGQGVVYETTGMQGLPNYGTGGTVHLIVNNQIGFTTDP RFARSTPYPSDIAKSIDAPIFHVNGDDVEAVNYVCTLAADWRAKFKKDVVVDIVCYRR YGHNETDQPSFTQPKMYKAIQKQPTVLSIYTDKLIKEGTFTEKEIDEHRQWVWGMLEK AYDGSKDYKPSAREWLSSSWEGFPTPKELAENVLPHLPTGTEEETLKKIGDVISSFPE GFTPHKNLARIIATRGKSVAEGKNIDWSTAEALAFGALCLEGTHVRISGQDVERGTFS QRHAVVHDQENEQTYAALKHLGSEQGSFTVCNSHLSEFGTLGFELGYSLVSPNSLTIW EAQFGDFANNAQCIIDQFIASGERKWLQRTGLVLSLPHGYDGQGPEHSSGRIERFLQL CDDEPRIYPSAEKLDRQHQDCNMQIVYPTTPANYFHVLRRQIKRDFRKPLVVFFSKSL LRHPQARSSLEEMTGDSVFQRYLPEPHPENLVEPEKIRRHVLCTGQVYFQLLKEREDK GINDVAISRIEQLSPLPYDLLTPHLDKYPNADVVWAQEEPLNNGAWTYVQSRLITALK ETQHHVGKVPIYAGRKPSSSVATGNKNAHKKEIEMINEMAFASAEQSQ I203_04968 MRFYSLLLIVISTCAFASSYEAEEDLAPASPQPVSRAALSSRQA NRKELQARIKYPDLFRKRDDGASTSPTSDCATATSTVRKRGIAYNDFEVVLGPQHMAE FLLNGGQFDWPNGTSYTDDHYIARFNTPSDHKGWYHHTYNVVKNAVGDKLFEASIPRY KKWKAGLKHNEIIHLSPNTAIRSQNIDKLEKTLLDNPEFKEIQVNGFRISRRFFEAAN AY I203_04969 MKTTIALLGLGCAMIQAQARQYWIVVGNQIALGDGATVNDNQYP QWVVDDGGVSECEPFDDCNKGDINAPGLEYLYETYPETVTMYGSCGRGNVDLYKSGDT TWNAYTSGGDGSVIGNCENDEAGIVSKKSWGHGESSHSSDVNSIGDLFPVSSELV I203_04970 MSSDQSAVEAGAPNQKWTSDRPADSGFNTSQTDEAPVPSKGRRF GSMESDNATLVAEVDTHYAAQLKPSRVSGKWLTYMVTFVAGTGFTLFGYDQGVLSSLL TLPSFEAQFPQTANAFADSHRAALQSFMVAIYELGCMAGALSNLWVGDRLGRRHTISL GGIIMIIGAILQTAAVDYAMMLVARVITGVGNGLLTSTVPAYQSECAKPHRRGQLVLI EGSLITFGIMMSYWIDLGFYFTTGSISWRFPIAFQILLALIMIVFMYAFKLPESPRWL AAKGKYAESLAVLAALENTSVDDKKVIATFNGICDAIAAESQGGFGFKELLYNGPTQN LRRTLLGVVAQCFQQICGINLITYYLTSVLTDLGLGPEMSRIISGVNGTCYFLTSIGA LFVIERIGRRPLMFWMAVAQAITMAVLAGLYDIAKQQNKAAQVISVLCLFLFNTWFSI GWLGITWLYPAEVTPLRIRAPANALSTASNWIFNFMVVMATAPMFANIGWGTYCLFAV VNGIIICPCVWFFFPETKKYSLEEIDVVFALGHRENKSPVWFSLRPEEIPPAGSREAE HILGKSGPAHPDMSEKAERGHRGMSRIIKEEKGKPTSQHNEFAAKKV I203_04972 MTTQEQALKPLTRDEVSKHNKADDLWIIVDSIVYDLSKFGKLHP GGIGVLLDEEVAGQDATTVFYGLHRSEILLKPQYQRLKIGQIEGEKPLIKHMQPGDIS RVPYGEPTWLTPEFKSPYYKDSHRALQKAMRKFVDEVIYPDAQACEESGKRASKEVLE AMAKMNLNAMRLGPGKHLHGRELFGGVVKGEEFDYFHELVLNQEIARMGARGYGDGLN AGMVIGLPPVLNFAQEPLRSKVIEEVFSGQKVISLAISEAFAGSDVANLKTTAVKSED GKYWTINGTKKWISGGMHSDYFVSCQLTEGGLTAFLVPRGEGVETKQIKTSYSTAAGT AYITFDNVKVPAENMLGPEDGGLLVILSNFNHERWVMCCASARSSRMVVEECLKWAAQ RQVFGRPLLAQAVIRAKFAAMIAKIEAIQAWLESVTYQMNNMTYKEQSRNLAGQIAFL KMQSTRFAGEIADDAVNIFGGRGLTKTGMGKFIELFQRTQKFDAILGGAEEVLGDLGV RQA I203_04973 MSILRLQASRLLRPATGPAASAGVWSRGYAASVHGNDPKIIEEE KQRNLKGQQDSSSPHKNHAPGWNEHLASNSEAAVKADQAGPTGKPGKDLQDATVSQTH KKHHEESSSFSKTTESEDDVRADAGPGPRR I203_04974 MSRPIPTTNKPRQLSLGSAQIDSNSALLTPGGTPPHTANGHDHA HVHTHFENRLQQLLSSFESGTHSFDREERESPEERRMSFGSGSDVFPTPPSSRRPSFL SGLSLSRPFAFTSTASSSPISSTPLSGSHNGKEHFSNLQMTSAVGTRPNLERENQIQS TPNIPHHHFEKEEGNLPLTVNKQLNRSKTTSHISTSGITQKQNGFKPNPRSDIGHGSA NGGGGGTGKEEKRHFDPSREPKLLGLL I203_04975 MAPPPSGKNTFNKADGRSASLDSNNNSGGPGSGAAFDISLPGIT PVTQEMMDKIASRGVLSADGQYPVWMNTSENELLAEAQAQKVLQQQQQQLGGSESRLS KEMEAIYSTISKPGMGPGGLMPFLSDALVESIESQKQQQQQQQSGSGLGSGNQSAARG GLNTSGLGGGSGIGQTLSPEIANKFGKKQTIGKDGHLHVIHNMTQEQLMAEINKDGGL PGDGQVRYKEGEKEFGRVGDMLRGIYQ I203_04976 MPLHYPQEPNHPTDLSMPPPPDKIIHVDTAPVPSSSSSHTHHPD VAGVLRRNQACLQCRKRKLKCDAARPHCATCVRSYRHLLRTSPKSNPVLCCDYDEGTG SHHEEGDKGQKSTSSKSPARKQDQGPEDEDGGGKKKRKAGGEGKRRKKDEEFEEERDR LTKQIEELQAQLKQNLDPTSHKQKQPASSTLNQNPSLQETLSAQSAPSPTAFLEMLSS AASNQVPNASSSNNAGKSSGQTASASTPFWLGQMDRGSIDAASGFRPMFMFDQSGQQS DASAIRGDPSPDAQSQESRRSSLLTPGGGDSSSQSQNILSPGGIFNFSPGPSTNLMSN WPPPPDPITGNIEPSTKVDGPWRAVETIETVYAASMINNQNQNQDQQSFSLDTNMETE INLDGLQAGLDAAMQQQLLMDLFWPGWPINLPEPNVVNDLIEAFFDLVPNLPRVLHRA RFLSRMALPPTHSNFPHPALIHAVCAAAAAWCSPEIYEKSTRGKGRDFFDSNAGAGMY GNDILEGKGSKANLTFGLRQASFAKEAVQEGLNTGNRLFDVIILCRVFIDDTRMLECW AYGGLVARMLLPLGLNVRSAELSLKSVMLPPPTDALEREERRAAVWMAFYHDTIASSA SGWGTSMSLDELTVPLPVPVKDFEMGYEQMDPNPQDLESPDFWVKHPVPDSFVMVIKA TVLMNRTNKFARRWKNRHMRDNDDFDGLYKPEFREIANAIACFQMSFPPIMRNVGKLT SKRRLDIDLIAAQMLPHAAIICLHEPFADLNDPSDQAARRMLGATQAIVSIVQQLASV FGEGGSNFTSVMHSSASVCLVTSARTSLLFLRHALNIGDMAAAQLHRTDCEMIRMALS QFGLKFKIGHHHSQLIEYFLDRATNPTFEKLQAHYPDHPRSGAPELTPTANFGLCVSN ALNIKRGFWRLPKQSTSTGASPFGSTPESMASNSHASRPHASSSSSVSQHFSDHDSPK HPLETPGLRGQTQPPLHSNASGTEAMDTDPVDHFKDFTPCVPARPSATTSKSDQQSTL SETG I203_04977 MALTDYFSPVAFFILLRESLEAGIIIAVLLGFISQIIPSIVEPL SLPLSSSRRPSHSGVQIHTRQSEDSARSSQELLPRRDGTTINGGGYGTSPENQSLLTV PDADVVDQDDMEEVEEISEEKDKVVKKMRFQIWSGAILGGTVAMAIGAIFLYVFYTYT HDLWQDAENLWEGGFCALASILILVMSLAFLRLPHAQVKWRLKLLSAYHSHSEIDPEH THKHGNRHKRAKKATAILFGLPFVTVLREGLEGIVFLGGIGLSEKGSAVVGGGVGGLI VGGLIAYLLFSSTTPLSLTKFVQFSSLLLFMIGAGLASRAAYAFERQYFISYVGTAAA EAGNGPGSYRVKGNIWHLTWWDPEPGSGDNFAQLAQAVMGWNNTGTVWTVSTYMFYWL LITFTLVKAKYKEGRTSLCGQLSKRGWEREFSRRERGEIEDEVLMDDRSDIGE I203_04978 MTGLALNPLDYIPSSSSLSYTIRKCAWVVFLLTTPSYLPGILVL AYTLRKYNSKYPLIVAINPSIPAETIKVLEEYELEIRIVQPLVPRGQITLIAERFVDT WTKAAVFGFDDYDRVCLIDGDMMLKRNMDEIFEIPLKEDQIAATFACICNLDKSSWAP AEWTRDNCGFTPSHGSESITHPGPAQPTGIHSLLNSGLVVLTPSQSVLQRIYALVESG DKDDQERIKEWAFPDQDLFADLFRGKWISIPWIYNAIKTMRYWHGNFYTDHDVRNLHY ICRKPWKYRPKYNTPEEYERTGNVYKVEAGEYSQVKDNDVGFNEREADAVTHGWWWEE YDCMLGQMRKSGYEWCELVDELTDRGES I203_04979 MTKDKTEPRHVAVSLVCSPLTGRLLMVTSRAHPDLWIFPKGGLE EGESSGQAAVRESWEEAGTPPSLPAPEDLQRLMMLALPSKKKMGSVWHVHVLEVNEDV VECIEQWPESHERKRAWFTPSECLLNIQEWSKIPSTSTNDNHLDDPVELVNDHAEEED DGDESQDREQQKKGKQQQKMEKKAGAMELALRQFMESKGKVLEDQ I203_04980 MIDHILGRPSPALRRTQIFLVLFFWIWRLYKGDGAPRSSLTRSA ISPSPSQRAQLRGPQNQRAWIARLWVKIVGRRMVRWIGNMNEKLKHFTPYQLILGTLT LVYALRHLDDLLGLGAPEPLARLYTRSYYRATYVNTAFDAGFASAMLIRPKWLKDIFS MLFTGYYLVWATEGDEVLRRFRAVCSVEMLRVTWEKTKNPYVRLLTTFHRPRLPIIRH LTIPRPPTSSRSNLPSVKAMLFFNGTDAELAAAQELVVDYPGGGFVAMGPDCHEERLR TWAKRTGKPVLSVDYGKAPEYPYPWAIEEGFDAYRTLMETQGRCIGIESGKLGVVLTG DSAGGNICATIMLRILEHPTGIPKPVSMILAYPALDFNFTSWMSPTNLRVLRTEQSET QIPGLVHGKDHMRHKSPLSVVNDIDSGRRRSGRQRQKSWAQTISGKLPNLMSPADEKK PNGGTKSTPATPSTRIKDLPRSMSAKVVGWLAGENGDSGNASEEEEEEEADDDQDTVK PDYRPEAERSLADRVKTPADEKKFIFSPVESPKPVETPDLIQEDKDNVDQIVAKKRKK LPIGTRLTMTSRVGYFQDRIISPSMMRAMAILYVGPRRNPDFETNYYISPILSPPHLL AHFPPVYLICGERDPFVDDTIIFAGKIREAKKVRRSQAEYTARGRSAKHGEYLQMSTG KSKTPIDDLPDPILRESDDNWVQLRIIEGWGHGFMQMSSLMREVDPVLIEMADWIDES FIRHSEKRRDMEEVAAAHQAAQQSLQAEERVILVPEDHLMPPNSMHVKPSRNYKKSPS STPLGNADLGSSYLGRRRSDAQEDGESDNDVPEDNLVTFTPKAKDKPIKRRLPPPSKF HPVPRRPSKERLDLHRSNSMPKFDTDETGSSGEAMLVKTPPLQLRNLPYNSGQDEGKK GSGAFAFFGGGGDRGSTRSNSNRIPNPPPHNLFGLPLRSESLDRERRLSGGSMVSAPA TTGSGTPLTSSSVAQQDKPKNSLVAAAVAGARAASPALAAAGFVPQKVGDVSEAELMR RRRMEAVYGIGGVTQEQGHDGSEGEEEEEMG I203_04981 MDCTPTPISTIFSTSTSLIPSTITSSSAVLVTPSGSKSTWVVTS CSTPPSASQPTDSTTSAVSPSSTDSLSISTSTTFSDSSTSSDDVISFGMTSMTTADGG TLTTTAVDSPTSPVGQLQEETTLAVLDGQTLSSDESQSLLLNSHQRGIKGRRVHVQMM EKRQDNCQTITSTSTIAATPTTSWSLIFSTTESTSLVEVPVETVMGGCDGTTTTASST EPVSTTSDSLASSSTTPAVPVSTSGPTQTSGSTMTTSLEEPSTSIMPSSSIPVSQPPT FTPAYTSSPVQDLETLSSSSSTSLDPLLSAVFTGPSLTTESGQASTTDLLAPITSDAI PTAQPTEIDSANTEFPLSSDTPITPDEEAPTSTEAEAEIMSSTKAVIPGVAGIQASPS SSSRLVTNTLASQNSKPTLNHHSSTSSTGGEEAEGESSGSNKGTAAGAAIGGIFALIA LIAAILFFVRFWKKRQRAERTASLRASWFYGEKILNHNDGSENEKRRSTTAPSTEPSA RPSIQPISRFSAPSFASRSEGLGALLARPLKNLRRDSSPLMKPLKLVSGDPNEEARRN EDNNLWDKIAYPFKAIPLPSTEGISERLRNIPGFKPRQSFTVKSRNISSPQPIDSELA SGTNNGIFESSKLLPILSKFRSIRQSFKRSSILSNNLKYVRQSQARGTPAWADKHPNE KPIPVVVGEAWDERHSESEHPHPVKVNFMQPPPPSATGSSSRHGSMNSDSPYPTIVPG NSHQNHGIGTAFSSGMDMDMEYNKTTHVQLRHLTWGSSYAPPRTSVLSANGMMIHGAM EPSEDGNSIYSRTSMSHGHGSNNLHRSGTMKSNFSDSNTSNFMIPPRSSISHNSGNRG NVPSPPPIGMGPFPRALFSPLSAGSNGSRGSFGVLPPLPENGIDTDKHMKDLRRITRS TQHSSGIWEYSAYVDTGSNANSQRGSGSNSNGKPETSGHVQGERRTSNGQSSLTSTGP MGILKSTSSYSPLPPTMIYPSSNWNSPIPIYAPTSAPGPGDIPYTVPSPKRISYGGSM LKHSSLPPSSPHGIDHSSLPLPLPSHGQTHVQKSYTSPIPSILAPKSVEEGDRITKAW YEKPLWDNTQQSQQNQVANPTSILLPPRSAGPPPGSGPDYTYMYSQKSGNSVYSKGDR ASRKSVKSVKSVRWEDEDGNIDEVGGRAL I203_04982 MSGKKASPLLPSHNAGGSPRRSPVSSPGVYSPHGSEPMRRTGSG GSRSDKGRDDYFGGASGNGNDVEKHHGLYENVKQQVEITPNLPEQKTNKKTMGPAFII PIWIALSSAVILYNKYLYSNLNYPYPIFITSYHLGCAALGTRILRATTNLMDGLDKIE MTRELYLKSILPIGVLFSGSLILSNTAYLTLSVSFIQMLKAFTPVAILLISAIFKLQV LTSRLVMIVLLISTGCALAAYGELHFEMFGFLCQVSAVAFESSRLVMIQILLQGLKMD PLCSLHYYAPVCAIINACFLPFTEGLAPFQEYMRIGPLIMISNAAVAFGLNVAAVFLI GAAGGLVLTLAGVFKDILLISSSVIFFGSSITSIQIFGYSLALGGMVAYKTASK I203_04983 MISTALVTSHLLALLLPLILLSPINTHAAPEPAALVALPQHTTT TANHKKYAPPQPELDHDVLPFVIISTIDGGLHAVERDTGRIKWSIKDGVKPLVGGGIR GKGNDEEYIVEPLSGSLYVFEDENGEGMTSSTETKEGQTPKIRKLPLSVEQLIELSPF TFPHSPSRIFTGSKHTSLLTLDLRTGQQLDCFNSFGTNQSHCVCENEELLDDLEGKSR SNRDLLFVGRTDYKLTIHSPPIASSYGGLSPSTSTSTIYQNAAEAKRNAGAQEITYST YTPNSYDRPLADYWVKNGLAEQGWGDEGGEKRTRVELAYDGDAVGVENGNGVKWVTRL NSIGIAVYDVLLPLDSPSANPILVPQPPPHLPTLFPPTSRPYQHFIDIAKKPQSTYIG SIPLQLALPPSSENVSEPLSDDKRKPLLYALSSSAYPLINFAPPPRPGSLTNGSFLLT EDLPEKDQLLPYLIDPPTEDKALVLAQQSTLPMSNIREREIPRRGWFWWILSTIGTLL IICGIALTQFARSPRVKQSSSPADEKTPLLITPSSEESTSEARTGSAKVEEKSLPGDI ASPPTLAPPVESEEVATPKKKSTRRRVRGKKKRRDSSAAILEEGGEDDEEDKEGSGSG VSPKKDDEKPLPDLPREMSSTDLLDQEDKERLSISDTIIGFGSHGTVVLKGTWGGRPV AVKRLLSDFTRLASQEVKLLQASDDHPNVIRYYCQEKRDNFLYIALDLCQASLADLIE TPDKHLELASSLDRKKALTQITAGLKHLHGMKIIHRDIKPQNVLVSRAKDGALRMLVS DFGLARRLDQGQSSFAPTANNLAGSLGWRAPECIRGQVKLNEGVTFDPNSTFSSSSSS THSMLDELDEPRDKDKDKNNRLTKAVDLFALGCLYFWVLMSGQHPYGETYNRESNIVK GDMVNISDLDVLGEEGEEAKELISRLLSMEPGSRPDTSECLVHPFFWTPGKRLSFLCD ASDRFEIMENDPPEATLVMLETNGSEVVGKDWYSKLDKTFTSTLGKYRKYKGASVRDL LRALRNKKHHYQDLEPSVKRHMGSLPNGFLHYFTSRYPKLFLHVHGVVKESMLRHESM FEGYFQEGNQ I203_04984 MSSSTTFILSSSSSSTPLPSSPSLALLPFSLGPNSSPYTSDHAH LSNYFKPRPIPSSSHGSTSTSTIASFRGRTVVGQHIDIPKGWKGVILGTGKRPDKGGL LDIASSSESHSDSSNVVKTKVEDVNIVTEEEGMDMDLGLRRTTRQNPQRRRGPSTGTG QVALSKPKIRGSNNTVRQSKKRYRLDSDDDDDDENDNDKESTIKEERLIRTPSKRSKL SYTTPQKGQFQGQGEVVVPDIVIQEATPLKYPLPTPKKRLNGRRSSPSPDPHGNRGLP QVTESMEWVEEQIIPKVEEVKEDGDEQESQSQSQSQSQSQSIISNELKEDINTNEDLK IDEKEEEEEENLIPSPSTEDDPPTFNTDVKTDIRTETEKPNQKTIDKSNMDNSSNEKI DHNGGYQEGEYDGPMRILKPISTFDGYMLYTPDDPLIGFRSDELDHIQSQSQSQPQNG DEQITKSGNENETNGKNNPDVKIPDTDVKEKDSDGTIQVRKSWWRSGGGGEGGDEFIR GLGEWLGLVEVVS I203_04985 MGQGQSSSKKLGRTSSKQLSASDLADSLATTTISDGETPSSPSM DIGNTNNSSSGQFSTPSRISARRGTGSSASGKEKNGSISSLASSNNTNNRPGLNTQNS FDGSTPSNTTSTTSPSNIPTTQNILAAPKTRSSLLGHSPPPPSALSVSPGQPSSPPLS SPTGPGHMQRDSASSLSPGAALSATISRSSIGASSSQGGVQVLDVDNMIHRLLEAGYS GKVTKSPPLKNAEIASVCAAAREVFLSQPTLIELSPPVKIVGDVHGQYADLIRMFEMC GFPPSANYLFLGDYVDRGKQSLETILLLLCYKIKYPENFFLLRGNHECANVTRVYGFY DECKRRTNIKTWKTFIDVFNALPIASIVASKIFCVHGGLSPSLKSMDDIRRIQRPTDV PDYGLLNDLVWSDPSDTALDWEDNERGVSFCYGKSVINAFLATHDMDLICRAHMVVED GYEFYNDRTLVTVFSAPNYCGEFDNFGAVMSVSEDLLCSFELLKPLDGAALKKEMTKS KRKSLQNHQSPPNNPMAQSY I203_04986 MSQAIGYSTTPPAGSSRFSSTSLYSTSQNPSSSTINNNPNPNRR ESARSSIVPIQQQLFGGSTVQQQGNVNILDRSLNKTKNAEIALSTWAFLFAEVISYSQ SRSDSIADLEKRLSTLGYDVGQRILSLLLLRSTQTSNLKDPKREHRLIPILQFVHTQI YKYVFGKPADGLERSSEAEDEYMLLLNESPLSQFISVPKDMSDLSCESFTAGLVEGVL DGLEVPARVTAHWVGDQYPDYPQRTVILIKLDQKVMDREESLGK I203_04987 MISAFFIFNQKGEVLISRLFRQDVKRSISDVFRIQVISNPDVRS PIITLGSTSFFHVRINNVYVVGVTKCNASAALVFEFLYRFITISRSYFSKLDEESVKN NFVLIYELLDEIIDFGFPQNSEIDTLKMYITTESIKSEMAVREDSSKITIQATGATSW RRSDVKYRKNEAFVDVIETVNLLMSKEGAVLRADVDGQILMRAYLSGTPECKFGLNDK LVLQKRYVSSYGNEASKSDDAVELDDCQFHQCVRLGKFDSDRSISFIPPDGEFELMRY RSTSNINLPFRLQTHVTEPSKSRVEYTIHLRANFDPKLNANNVLLRIPTPLNTTGVQT KVGVGKAKYVPGENVIVWKIPRLQGAQECTLTAEADLAATTHRQAWSRPPIQVDFSVV MFTASGLLVRFLKVFEKSGYQSVKWVRYLSKANGSYQIRVSLEYPLPRKTD I203_04988 MSSEEQKVAHPPDLLPAVQANPAEGAAAAVAPGSSAEGGKEQSK NAAKKEAKRLEKLALKAAKGPAVAQGAKKDKSEKKEKKVEAPVEEWVNTTPKGEKKDV SGNLPAGYDPIQVEAAHYDWWNAKGFFKPRYGPDGKPVEKGTFCITFPPPNVTGNLHI GHALTVSIQDAMIRWKRMQGYTVLYLPGYDHAGIATQAVVEQRLMKTEGHSRHHYGRE KFLEKVWDWKDQYQTKITNQMERLGGSFDWDRVAFTMDESLSKAVRETFCILHDKGLI YRANRLVNWCCYLNTSLSNLEVDQMALTGRTLLNVKGYDAKEKFEFGVITSFAYPIEN SDERIIVATTRPETMLGDTAIAVHPDDPRYTHLHGRFAVHPFNNRRIPIITDAITVDM EFGTGAVKITPAHDPNDFECGQRNNLEFISLMNDDGTYNENAAPYQGMKRFHIRNEII KALKEKGLYVEQKDNEMQIPICSRSGDVVEQILKPQWWVSCQPMAEDALKRTRAGELE IKPKTSASDWVRWMENMQDWCISRQLWWGHRCPAYLIKYDGEAPDTGDDKNWIVARSF EEADARAKEQANGRKYTLEQDEDVLDTWFSSALWPFSTMGWPDKTADVENFYPNSILE TGWDIIFFWVARMVFFGNALTGKMPFKEVYCHPMIRDAYGRKMSKSLGNVIDPLDVIT GQNLQKLHNDLRMGNLPEKEIAKAEDGQKKLFPKGIPQCGTDALRFTLCNYTSGGRDI NMDIGRVEGYRKFCNKLWNATKFCLFRMDLVDLNGVRQQSTFVPNASPLPTGQEGLVE KWLFHKLNLASAAVSKALEAREFSDATNAAYQYFLNDLCDVFIEATKPLFEANTDAAS KLSAQNTLYTCLEGGLKLLHPFMPYVTEDLWQRLPRREGDKCETIMLAPFPEYISQQE FPEAAAEFDVVVECIKAARSIVGLYNLPTNIKTIEDKITVIVQVKTTQQRQLLESQES IIIGLTKGCGTVKFITDDSEVPTGCGTEFVTTDINVHIPVAGKVNASAEVDKLQKKSA IVESNKNKLIKLIEQPNYETTIKEEVRNSNSEKLQNLEVEIETLRLAIERFQAIL I203_04989 MESPSSSTRFTLLPTSYLASQPPSSEITLQSLIDTSDRMAEEAR EALPYSFDECTYDKGYLRQSVWSCLDCGEKGVCYGCSISCHSEHRLVELWTRRSFRCD CPTTSTQPEPIPPAKRRRCGLYPPDRQPQEPNEKNKYTQNYQGKFCRCGREYDAETET EAMICCIACEDWFHESCLNLRPTNPPSTQPAQVKPDDTDPAVPTTTTNPSSNNPPNPE NVVDEEEDEESTVLIRSDNYDGLICADCVKGNEYLRNQAGKDGWMIIEPTSDGSQSGW TVIGQRSDTGNDVNGKTRGGEEVTTFKSEDVEDVEEKGKRKAEDFDEVGEESAKKIRL ENGLATPVTASNKSTSEGTDSTSSSSSGWKWKGKGDIFLANGVRDQLKDQLDEKTIAS LPFPLIDEEIYEPPKDDEQEETIEQVTSRVMTSLPRVQAIEALHGYQRLKDHLNTMLQ QHVSSGQSVSKADIENMFEQLKGRRE I203_04990 MRLAVTSILLSLALGVTAHPGEEHHHDDHAALAKRTFLEHSGRS YSSCSNSARKRELHSQVATRRAQEIDALRSALKSKKSSIAKRQMSGETSLTDYGETLV NTSHASNLTTITANITSAELFGNGTVGSDACLLQPEVTIGPYWVSGEYVRENITEDQA GVPLYLSTQVVDVATCEPVPQLYWEIWHCNATGVYSGVVASGNGDTSDEANLNKTFLR GLQETDDLGVATFQTIVPGHYTGRATHIHVVGHANATLYSNGTIGTGANGSGNSSAIH IGQLFFDQDLLTEVATVEPYASNTQTVTENDEDSILAEEAIEGASDPFVEYVLLGDSI EDGVYAWVTVGVNTNETVATSAAAYLTAEGAVENESSSVGGGGSGGSSGNSTSGGEGS APSGSAPSGSAPSDSASSDFASSDSASSGSASSDSAAPSDSASSGSAPTCS I203_04991 MPRSPRRSRSPEGRRSSHRGGHRSPSPSSSSRYERSYGGSSSRR SDDRKVSSSRYDQDDDRYYSSRDRDRDRDRYRDRSRERDRDRDRDRYRGEDDSGRRRK DERDDRRHPDRRERDRSRDRDRDRERDDRRREDTRSRIRTRSPSPPRKYSVPAPAPAS AVRTPLTDNATPTGSPAPETEEDKKRKAKERLEAWKKQRALKEGKTATPEPSSSAVPP TKPSSPALAKPLTGLPDKPTAFSLSRIGLPLKAGPAPSILKRSLAASLDDDDDNSGDR KLQKLGDLPEINPDVQSGDAAQVDSIGDDLAVENIKAEPNGDGVEEDAMDVDEKPTLK ENGNEKEEKIQVEEEEEEDPLDAFMRTNVEQVVQVNQADAKRMGLRQSGDDSENEEED KVKVEDKLAEAEALLQQAAAKSRKKDLPPPDHSKIDYEPFRKAFYNPPPEVLEMDEED AELLRLEMDGIKIRGQDAPRPVKNWGAYGLPTQCLDVIRHHGWANPTSIQAQAIPAIM SGRDVIGIAKTGSGKTIAFLLPMFRHVRDQRPVSGNEGPIAVVMSPTRELATQIYKEC QSFLKVLNIRVTCCVGGSSISEDIAAMKKGTEVVVCTPGRMIDLLTANNGRVTNLRRT TYIVMDEADRMFDMGFEPQVMKIVNNVRPDAQKVLFSATFPKTMESLARKILIRPLEI TVGGRSVVAPEIDQRVEVREPDSKFNRLLEILGEMGEEHKDDEDDFRTLIFVDRQESA DDLFRDLLQRGYVCASLHGGKEQVDRDEAIKNFKSGDVPIIVATSVAARGLDVKELKL VINYDCPNHMEDYVHRAGRTGRAGNKGTCITFITPAQEKFSVDIVRALEASNAFIPED LKAMSENFLGKIKSGKARAAGSGYSGKGLERIEKKRIEKDQAEKHTYGDTSEALSLSS REGAVIPYKPKTTEFKQPENPNAHKGDADYTFTEIKVEIVHGPAPDRVIQNNPPPKPT MAALPAQTIAALEKAKSEGRTVDAANLAKVVARLTQSIELTKAEKLGLAQPVNSNGVR TKDPDATDYHAIFPINDYPQKARWKATNKEQMTLLQEISGASITMKGLYYPPGSEPGP GDEPKLSLLIESNDEHRVRAAVDEIRRNLVEASVAALNTADRAPGGSGRYAV I203_04992 MPPNTPPWVVKDLSTILGLDDETIKQMIIPDLESYTHEAGLRVH LQDFLGSSSQSQSFINRYISFRFPSLPPTQSQPRSQSLTPNPSSPKPQPSKSKSKAQT PLNLSRPTSTSGSSWTKPPANNIPEALEAAFGPGGKVYQKKDLDESGFGGWGKSTGGT PKSGGGGGSGTQTPSGSQSQNQRVRQAGAINIQIQEPKAQQFQPRLDVPSSIGAASGS GSAAGSRTSSSKGKNRAGEEKIWDKPKSKAVKRLEGIVDKLRIIKESNGEGKIKDDKS ISCFCQARVHPLSPYTPICQSCGLTLCNIQQPYLPCPSCSSPLSTPAQISRLILRLES EIEHQLSKEEIERQQMEQERLERLAVQAGGGSFPSLPGQIPPQSIVTTNNQGRKVISI GSRVKGKSKITTTTYIPKPPTPSTPKEGREGDKIPDDIVPRLRYNPIDKNRLEKELNK LSNYRKEHDRPYGDPKLLGSDKGKDGVVLVYRELVVPVIRSEETAGRRKKGKAKRLGE GGREVPGA I203_04993 MLPRRYSNPLPLPSTLRKPISRSFSQRRNLCFLVLSLFALSLLI LGLHPKVQQGSLDNLDRFKEWSSDKAQGIVDGLPNQVKGWLVDLQKVESKSCKGFNPL DSEDQDPPGCLKARQYRQTMRVLEREEKSEHPHWYFTKQHNIDTLRNISECFLSVTDP NWKPCHEKPLILSGWWYTAEVITGATTGEVIWQSSVTKQLRMLGYSFIAVGPYLNWVE VAEMMPDVYHLIWNSDLDTVSCVTDPRCIAKEHYTPPEDAEDLSIGVPDEERGVIPIW ALAIVDYWGSRPRETSNNRYWWGLTEDGDWSYHPLGQGWIATPWPLPGGHFHLPYSLE EYCLKMPVKPHEERRNAALILAKRSSYFHYHFVSPPEFWTNLSQVPDFELLSTVEEEE GKPLPDGLVTMGKQTREDYETLVGSVKALVGMGAPPISPSIYTSLCQATPVVIPYFQQ DYRMDGWWLYSSWSQHGPAIALGEPYVYKYFAQNYTDLEDAVRRAMATSIERYIPEDM KLPHALSQLGRYLSRDLKAMFDEVVKNNDGKIPKLKKGTRERCYEIDRCKKMLEVGRI PRVPPKLVGYTAEVEKEI I203_04994 MSGFLRKASLSRKKGLNGDDSTSTSPRGPSSRADRPPKSRSVSE ASEMKANEDGDKIKKKHSTRKRLSSLFSSSTSLSSMVSDRGDRDSSPSTSIRPSSNLN VSTSTSGTSTPTSSVSKQFNGKDLQNGISNLRLGTTTNELPISPSDSIRSSPTESNST SAGPPIQPVHASTSTSTSTPPQTQNKPIRKRTPSMYSQWDLEQVGLDSDSEDEDDDEA FLTPSEGLSEVEEGDEDEEDPNSEAKKRHEKDILLATSSKNDSTLPPPTYDSATQGQG QSIADSSQVAKGTVVSQEKDVCGTGPSTIKRSKPSIQETKVQSRQRGLHATKAGALAV DQDGVLVKDLDICRQTLSLFLSSHMKEAEVFLEEREQEGQHLYIQSAQGIIEALKGMM TFDANDLASALEICKATSVTASALRRPTDSLVSRFVKAGAGVARVKSMSALERHAELV YAETSLMKALLAIVSGGDWLGLIREGLNMRAAHGIYHVLQQYLEDADKNGYDDNIDTD FRSGVVLGAGTSSLMLSLLPSKVMKIASLLGYGGDKETALTTLYSAGGWHRGVEKPDY DESNEGLRRPVCDLILLTFHLVISTLMPVTGIDVPMAKNILAYNLRRYPDGVFFLYFQ ARSFTAQCQPEAANRSLQKALDSNLEYIQLQHMCLWDYACNFMMLSNWKGALDCFSIL KEESNWSRAVYTYAAAASLVQLAQEEDGDSTAKLKEAEKFMTQIPKLTKKIAGKSLPI EKLVSRKARKFHSQNNKLFLPAMELAYVFGSLSNTPRRSLLDSWLPRINQALKALDGV EPENYGNGHEYWDDYCLGHFLRGLCQFISRYQPPDAAPEATTKSPSDPSDDELGKGAE KDFQAVIRHGPDVQLDHYILFHCYYELGRLYARRGDDEQAKYHLEVVMAGKLPDHNPY MAKANGKYSLEGALLLKTHAALSGVKDKEKAGK I203_04995 MTTVPITPSASSAHPSSGSRPSTSNINKEIPSTPTKAHRAKSQT PSPSKSKEHSPIPARIPTPTSPTIEAGKKTVHKMAQAGQGNKEKENEDGKSLLNPHSS TFEPKSISETFTSKMRNLTMTDQGSSSRYVENVPEIEGPSTPKKKKEVPHFETPRRPI RAETTTATPSLSYTPFAPSTGQSSRFPVTPSTPATPVRREGESISKEKNTREEQFVSG STEANPDEIGRYLLIQQIPLDTTENEIKNLFQSNCNFKAIVIKHLKAKGLVLVAFYDP REAAKLYNLFQSSSIIGRSSGWEAIWENSQSVIKVEIVGGVGVTVDVMKKTLSMFGEL QRLDEFGHTGRCFIAEYFDTRHAAQAISLLDGQKAQQALISVSYLHSTANPALATANS SKYTLGSVAYFPGRIGPAGSSTRSQSDSIAGSDVFGYTTSTEASSPIHTPRTAPFSRI SSDSDVFNSRKPSSIYSTASQSRNTSGYSTPQSWTRTSTVGSQSGYDTPAHLLALSRR LHEPGTVEGLINNADIEARARQGQGIGGHWNANDRKAIPAHNRVFPERILSGLDPRTT VMIKDVPNKLSRQELVNILEEVVPGDYDFVYLRFDFKNCCNVGYAFVNFCSVQVLYRF IEAKVGKKWNLFSSEKVLQVSYADIQGKPALINKFKNSAVMGVIEAWRPQIFYSSGRM KGKPEPFPDSDNLAVRSRSAAAQLAGFSTGSNYSYGHEDQYYDYSSPHGSTYGI I203_04996 MSSAKVAPSKGRKTFTPRPARSAEERLPKLYRSLTDQVDDGYFT NAIKTCKKILILDPKSQAAYQTLLFLHLQTDDYSSAITLLDNAPKDSKDSFEFERAYC FYRLHREKEALSLIEGKEGRKIHHLEAQIKYRLGEYQRAQEIYDDLLVDVSSSSSEHS DILTNLNATTSHQTFSTSTYKSHLSTTDDLENSVPSLPTGWSKVPSTDKKSISANAPK TAPSSNAKATADKEKKGKDGEKKRKHKLPKGAVVGKPFTEDPERWIPLKQRASYISAQ AKKKGGKESMGTGFTQGSTAQPSHTSGGGGGGGGGKNKKGKRK I203_04997 MGNASSSQSQSSTSGPAPSATATSSAPTGQADSPNGPIIAPQPI SRSRAGTGTGTGTSSSTAFHSGLTPPVSPPSPPPPSTPVLLPYAGHLSPQNPHCLSHP QAHDYSKSTVTRLILDGKLAPFYRGLEDFQEDWSEDQILKNLNKTREKDYEEGVENSF TKNLKDERDPPNSSTMGSVAKKIGAGLNKQKVQRKEEEKEERIRREKKAYRFAVECPI CFLNYPPNINTSRCCQQPVCTECFVQIKRSEATLTHLESDPACCPFCMETDFGVIYER PLSPLDSSSSVALATSPGSEFGGDASSFSQALSLGSEAELNVGPGMNPKMKETIRRKS VSSKAQEVVLIDEIRPDWENKLNAVKAAAARKASRRIVMRQVGDRLIPIGFTSSRAPG TADFSMSVGQQSSEPGSRRSSRRSSNRERELEELMIEEAMRLSLMDHEDHQRKLADER RKSSVSSVSSPLNPANSSAPSPAPIIVTSQAGPGPSTSSRRASNADTSTKSKLLSKIN HVRARASSNASNKNGTSGDYRSVTFAGSSSNTSNNNSPKPSPPTSATPSRTNTNTNTS TSNPSPLPILNTTTTTAPLIVPQPTSAHTKHPSTTTTSGGLSPTSPAPVAAGPITTLA SPAAERNNHNHTHQEAGLPRLSLDMPALTPDTTQNGIGGIKGKQPSRPTHLERMDSEM SEATVGPGTYAQLDSDGE I203_04998 MKSHPPPDPRYPYANLLQPIPLDISTSSTAASVAASTSLPTLYQ SSSAASSSSSSTLFFPNSGLLNTTGPISSSSTLAGESELNLPIPSSSISASTSNIYSN PTQADILRRHSISNSYWDDPSPEQDPLTSQTNSARNSPSGGAGGQQKPSGSGRSGQKR RQKYTRTRTGCLCCRSRRIKCDEGRPTCKRCIIAKKECQYPDSGEGMGKKSNGKHKHG DGSRSSTEESESEEDRKPAPKRGRSDAGKRKVSPSKDKSTVSDSSPDQLDGKITVNET GWDASLVGGTGSNSSANTNTNYDSGLALGTGLASSGEQYLAGQIGKQDWATSEGGAPM LTTPNFLLPWFPTAEERSLILHYCANAASLMMAIPSGLNPMLAINLPLALDSPRGLNP SADALRVALLGIGAIHQAFLLARSGVATSQTAAMFQYASTLRDTGKEMVRRAVRNGDG AMSDAALGASTALGTIDIFFGGSGWVDNFNLAKEMVAIRGGPAEMLKKSEPRKLTDGV TVSPARLMLEILAIYETFSCLTTGEEPSLFSDRWESWWLEASRSTYEEHSVEKQFGMS RVMVLLFARVTRLLARVARSKVIITEDDTSTPCTYSNSANPLLSTLATTTGLSNDGKT LDPSVVEARQLNRDVDAWIESLQLSTLEHERVQVGNRAYAYAMKILLLKMVFKYPRED IRVQNAAQQVLQHCSWSTAALGMSIDLTWPAIIAGSCVDGASRQWVLTLLEGFKSQCC FDIETANRIIMEVWRRSDSGEPRADWKDVCDDLGLQVL I203_04999 MGEQQNEIDLDSVIDRLLEVRGNRPGKAVQLAEYEIKYLCTKAR EIFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSL ETICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCL PIAAIIDEKIFTMHGGLSPDLQSMEQIRRVMRPTDVPDTGLLCDLLWSDPDKDITGWS ENDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGE FDNAGAMMSVDDTLLCSFQILKPAEKKAPKYGGYGASGRRQ I203_05000 MSFGAIPPQIPVVPATSAPSTSASASTSTAAAPPAEVPGAPVVG GEVLPKPVTPAEAISSEPAKALDPIAEPTILDKAQALAKPYLEKVEPYAHKVQEAAKP YTDKIEAKTKEIIDKIEGNAPSTTPAPTSANTAEKSIDNASATTAEAGEKAKGIFEQG LTAVQSTFTQITHTIDEKTASPTHPGFITQVTNAAHKVGEKIEKALNDVDNNTTPSGH PVQTTTNTVPHVIPGPI I203_05001 MSNDIADDQSSSPEEAQEKQPGNLQAKNNNDSPSASNKLFGLRN DSPLESNRRSTTSQDPSPNSNDDKSYRPPSPTVKKDANPPAMSLKKQIITAIILTATT VMTSSSGQVLNIALPTIKNDLNIGEGHLQWVVSSYNHSVGCLLLFSGRLADIYGRKQI LVVGIVVFTVFTLIGGFMQNGSALIVTRALTGCGVATTTPSTTGIIAELYQGKARSKV FTCFGAGFSVGGILGLLIGGLFVSYVKYTWRSCLFVVAGIGLLIGISVIIVIPPDGSH TTDKHVDCPGAILVTVGLVLFLFAISDAQTASHGWKTGYIIALLVLGIILTILFFFWE HYVALKTDQTPLMRLDLWTRAKGRLASLYFVSFWRLWALYNATLFFQKVQSIGSVGTM LRFLPTEVAGIICSILVALLIHKIPAYWLVVTGLLACGFGNMCFAISEKDTNYWKLPF HGTWLIVFGVDIFLPTGLIFVSHFSLQDEYSVASGLFQTLLRLGSSIGLALTSIIFDS QYKISLSDGSNQEEAYLKGIQSSFWLSAAGCWIGMFVAVIALRGLGVFGKDQDQSGIK TRDVESQIRNSNLVDLSDTPTPTAVEKDQKNCKSDSRLGR I203_05002 MTSLASDTGSSGTPAIIRSSVGDQDTHHSKDRMNVEPRNTQTTS KTLADRPDTSSADPEDPPNHSSSTPATDTQTQCIRLSRTRQVLVIVTMSMISMLDAIC GQALNISMPTIQEELNMNESDLQWLQTAFHLAVGSLLVFFGKVADNFGRKRLLLLGIM IFGGFQLIGGFMSNGAALITTRALSGVGLAMCAPSATGILAEYFTGKARSIAFTCFGM GIAIGGASRILIGGLFVSYVRYTWRSIMFFLSGLSLLILIMIIVFVPWDHSHAEDRRM DWVGVTLLAGGLAMFLFAITDAQNAPDGWKTPYIIAILLLGILSVTTFFFWEHHIANK TSRPPLMRPALWTRAHGRLSALYFTSSLGNLGYIDALYNATLYFQQLQETGSTGAMLR YLPIEISGIICYVLVALVIHKVPAYWLMLLGLLACGFANMCFALSEEVRTTGNYHFMG CGSQLWEWHLFQSMLRLGISVGLSLMAIINTSVKNKNLGKGKDETESLLRGLQSGFWL SAAACWLGVFVVATALKGLGTVGKDESQSSEASNSPENDKGKDQGNSKKSKREGGC I203_05003 MGLSSTNDDEHQELDNAIGIISPSRLPPTSSEISNAGSTLENND EEPIDNREKNTLSSIKEASTIPLPVDETVNEDPNLQEEAPELSKARQIAIAVTLMGLS VMTVSGVQVLNIGLPTIQKDLGMKDTDLQWISSAYSLTNGCLLLLSGRLADVYGRKLL LMIGMVWLAVWSTVGGFMQNGTGIVVSRALAGCGAALATPSATGIIAHLYTGRARQLA FTCFGAGAALGGALGLIVGGIFVSFVKHSWRSALWFVGGLSFAASAAAWLVIPWDKSH TENKKIDWPGAMLITCGLILLQYVVSAGESAPQGWKTSYIIAFIILGVFIVAAFFFWE KRLQDRDSKPPLMRLQLWTRSNGKLSAVYFIGFSAWMSFTSYLYWVTLLFQEVQGTGA VGAMLRFLPSEISGVICNVLVGLLIHRLPAQWIVCFGLFACGLAGMFFAISGKDTNYW TFPFQGMWLSAAGADLVFAPAIIFVSLLSLPDEHSVAGALLMTVMRLGGSFGLAFTSV IADVERQKAYSRGAERIAGYLKGLQAAFWLGAAVAWAGMIVGLMALRGLGVLGKSTEK KEGDGQGEVDVKGKGSDAERGNEIQLQDLPRHKNKDGSGNELV I203_05004 MTDNLGSQQNATTAGPSTSVPTEELEKDGKHSTNPVSEMHQLPG LPQQGISEIPNKSTSPQNANDVDLSQTLSRVAIDDSDLPPPSAQPLSPARLFLVAATV TFTLCMSSAGSQALNIGLPTIQTDLHMIDSDLQWIASAYSLTNGCFLLLSGRLADVHG RKLVFVTGVLWFALWTMIGGFMKNGAGLVVTRALAGCGAAMSTPSAVGIIAQNFTGKA RSTAFACFSAGAPVGGAIGLIIGGLFVSYVKNTWRGALFLLAGLAFFISVVALFTIPS DVPHSDDKRIDWIGAALVTVGLILLQFTISAGQTAPHGWKTGYIIALLIIGFLLVVSF FLWERYIINETSRPPLMRLKLWTRAKGRLASVYFIGFVAWMGFVSLFYHATLYYQQVQ ETGPIGAMLRFLPTSVSGVLCNVIVAFLISVIPTQWLVCVGLIATGLGNVCFALSWEN TNYWRLPFNGMWLSVMGADFLMATGLIFVAALSLPDEHSVAGALFQTLMQLGGSFGLA ITTVISDVQFDKAYNTGGKSYKESLLEGYHAAFWLGAATSFLALLIAIIALRGMGTIG KGVKRSKDNAVDDQIPMEGAKGRGQGVENKV I203_05005 MTKKSVVVTGASGLLGRAVVSHFQAQGDEVIKLANSRAKKDASY TKLDLMDKEAVNGFFQTHPTIDVVVHCAAERRPDVAEADPEKAAQINAEVPAHLSALA NQRKFLLIYISTDYVFNGKNPPYEVDAQPDPLQMYGRQKLDGEKAVLAEREKGAKVTV LRVPILYGKTEYNAESAVNILRDVVEDQSGKKYKMDAYQVRFPTNVEDVARVLFDLSH LDKPLPSILHYATPSPALTKYDMTQLIAQHLKLPIDHIIKDTNKPGPDATPRPENTQL STKALKELGVDVGERKRFGDWWGDYIAEGK I203_05006 MGRRAKNKQADVKPLPGSVPDKSSTSRRQQAKKKKAPSSAASND QKKIKAQKGSLGRKPLPAHRRKKVDEVDEDDSDLDEALQPGELSEDEEETVKVKSTKK GKKPASSDEEDFEERSGPVKQLEVSDSEGEDEDLNDDGESLPLGQHAFDLDEAPSDEE DEELGDEFDLGSDDEDDEMLDDEFDEDEDEGDEEDSAFASEDDEDTEMAAAAEDEDED DEDNIQTNLEDDLEETYTLPAVDRGGEEEELEHGTSLREVEQRMRWLVGVCLGKDDKM SKGVPGKSRSDHLLQLQHDIATYFGYNTFLVGKLMKLFPADEALAFFESNESPRPVTI RANTLRTRRRDLAQALINRGVTLEPIGKWSKVGLQVFESQVPIGATPEYLAGHYMLQA ASSFLPVIALSPQPNERVLDMASAPGGKTTYISALLQNTGIIFANDSNKLRTKSLTAN IHRMGCKNVIVSNYDAREFPKVIGGFDRVLLDAPCSGTGVISKDASVKVNKTERDFQL LAHLQKQLILCAIDSVNPNSSTGGYVVYSTCSVTVDENESVVDYALRKRPNVKLVETG LEFGVEGFKSFEGKNFNPSVSLTRRFYPHKHNMDGFFVAKFKVEKRKKGGKSSAVEEE DEKPVKKLNDDGMIVEEEVGDINKFDDEEDQALIEESKRRALKKKGIKVSAKPVASKA DKKDDNNSSNGQIKLPKSKKMTEKRRSKA I203_05007 METPTKEASPALQPLPQMQGDTPTPSVLEGAETPIAGPSSTPVP ATIKRTYTKKSKAKVEGLMAYTSAFVPGMYNIKIPAGDYLQKERNIDVARQMSVSRAK QEKADIEEEKQKKVAEGAEKPEVNPLNYTLIIHPGSRNLRIGRASDFYPKEIPNCIAR PVKAINRGYDPPVPGSRAKRIAERALEKRQNKKRKNDVGEDVHMNGNGDSNVHGEDGS DEDDGEGEWIDPVDTNIGHLREYLRHRLVQERLATDWKEGTRVKATNAKVKPENLPEH NDPYRIDWTESDNRPFYIGTEALRLPEKAVYKVRYPILQRNFNNRDWSSSQLLLDDIS TIIQESLRTELSITPKDYSRYSVVFIIPDHGDRVYVQEMTNLFLTTMAFKEIAVHQEA YCAIFSAGMSSACVVDVGAQSTSVTLVDEGLLNADTRIKLNYGGDDITSALVTILQRS NFPYKELDLARSQDWIMMDNLKNKICTLEEHLVANTPWDFYVLKTEGLTQKYVFRTYD ENILAPLIFFDTRLIDFKEKKGHGKFRLWGISDDKVTDDLTSSYEEPTGAMKACTTHL LPPPPAADIAPIDNSETSNGDGENKANANETGHATPDVKTTKESTPAPVPAPSHSQTS TSSTPVPERTAPSVTPVPATTNDQPALPKPAVPELSAQQIITEASKSPLDAAIAASIS MAGTENKAKTAANSILLIGGGSALKGLGSFIADRLPPLLRQKGFPISDVSIVPPPRNL NPRYVSWKGASVMCNLESLSDMWIRKDEWDAIGTRSLKDRYLFY I203_05008 MLAFVLLPFLSGFFLTILYQQRCPNGLLDCYKTLPLPTISEAYE NVIGPVKPFVPSSSWLNSYHHYHHYDLAQPHGSPPSPSSMVKNEQDPHPQLQIIVEIP EDVMETNYLANHPTLTLAYQPTSNQIRLFPTAPSQPKDVELESNGNGEMISPSLSNGI QIIGSTLQSLFSEDARANSRAQMSKVVEEYVDHPVVTFHEHFLLLKQSVEREIRDLEV DRNAVINTMIGYYLAIALKSLVKLMARGLYLNVFRLIFRALARIYGSTISLFRKRSDQ SDHDDNHLDQDHGRPELPELKANQDLKSLGNGALSADSATTTSQRTQSNSDPVASLSP FTPSCLNRTTSLPRLSPTSRGSFFWKEFDSRTNQQDLALHIPSAFHGQSTSQVHSDDV EEETGALGPYLMDTAPTLNVNGFLAFDNYDDTSSSATLRQSPRSSPDVADDDLKDDVN EKVVSDQSLMTDHLPFTYEGMVKEDLEQHDANASRESDIIIANIRSRALVATNESLTD FGHDYVSPSPSPSPSLHGDAEEDDEETEAQPSHSEVPRGHKKKRPTKHKKNQSTGGSQ DPHVPGHKRNRSDQSPMDNKRERLGGPQPTSPIQTAVVESEIDECTVEREEENVQNSS LPVVNESTSGPSRRSSEEADASSSASRKDKGKSPIRGQEEENQKLIESDVEHQPRKHS KDVEHKSTEILPSSNPISGPTEAGPSRAATKSKSTNAGAASQKLPHPISRQPSEERDT GPSSKRKRIRGRKNKKGKVTQSDIEATPPSHSTHEYEPTPSPPSATMNLVDDIDGETE DIESVARFLEQESIKEEEREEEEEVEKMLWDL I203_05009 MENKRRMFKPDIALSVNTTLIFDTSTGQLNTNPDLEANPKDLSA HSIGIVHSESSKCDLGCVFPEDYVRVPLDFPEGFNVQLTQAELFSDDWRIVKSIIPKI APLHYIATSYHLSRVKAPDPYIGRSGTSIEQGRMGCQSHQDRHITSLVSRDTWNSGCP PNKLYEDCYAAIRSRRTGISRSTNLQNNTTTIHMYWVEVQRSQKE I203_05010 MPFHITSSDIDNYLHCLSPSSSSSNTKQNILSSGRHLQLLLSAL TEPSMLLLLSKENCPIDPIGSVNVRNKFTLIDLPRCQQTLQNTLDNESKPGPGLIAMA KLDQNVRKVKRGWKFTIIVELLLDQTGTDTGNGSAIYKQEFTMLEFHKHPQQPSIPPP NATTSINIGSIGMFDIGEEEPWNWARISKDYNPIHTSSTAAKLLGFKSTIAHGNHIVS KAIQQLSDHKIQMDKGMKGWIKVEFKRPIIVPSTLEIKMYPQETEKEEQLVEIWMNGK VATTITFGNEWQSS I203_05011 MSTEIPKTMKAIVQQDKERWISIQEKPVPQLEENEVLIKVDYAA QNPTDWKHALKVSEPGVINGCDFAGTIVKLGPNLKTPLQIGDKIAGCTHGGIYKDRGS YAQYARIESDMCFKIPDGLKPEEAATFGVAWVTACQAILESQKHAFPPQKVPEGSWYI IYGASSSVGLFAIPLAKALGYKVLGVCSPHSFDLIKSYGADEAVDYHDKEAAIAKAKE ITGGGVPLAFDTISESETWKLTVAMMGDKAKQLNLILPPPSEEDKQKYAKGVTVDWTL MYTLFGREFNFTPVAPKPTIIPAKPEDRKFGEEVFAKTPEFITKYGIKPNPIDLRSGG LNDVSNGFKEMKDGKVSGKKIVYKIA I203_05012 MSKINVGLFGFTGTVGSAVLSPLIDAHKDDKINLIILHRESSDL SKIPSNANVEKRLVYLDESGVEKTKSAVGDLDVVISTVSGGGIASQTYLVKSLEGSQK LKTFIPSDFGVNWTKKEYETPSLAPIAQKEDIVKLAKESNVPTTSVRVGIFDLFFLGY KFLGTDVKNNKVEVYRDALKYQLRITSLGYLGYALSQLVQSPSKIANKTITLYDYAPT GQEIVDILSKINNKPADVVEYTDERYEEDQKDTFAAIAAGIRKRWGTNEWNNEEGQVE KVEVEGWKGESLGELVKRYA I203_05013 MSSSVPQTNGHADTTIPASTPAAGSAQQSKIQPNDVGWQFVPQY YNFVNKQPHRLHCFYNKRSTFIHGEEGEESQIALGQQEIHDRIVAIGYNECKVYIHSI DSQSSANGGIIILVIGEMSNNHQPWRKFTQTFFLAEQPNGYFVLNDIFRYLKEDVDED EEIEEEPQPIQPVAEPTPAPVEVETKPAEQVTQEPAKAPEPEPEPVSTPAEVKQDAVP EEAAVASVPDKDVAPSEPAPAVEEPVTSAPPPPAEAPAPAPAAPKPAASLSPAPAAPS PAPPAAAPAPPAQPAAPPKPKTWASLAASGGKAWSSAVVSNQPAASTPAAAPAAPKKE EAAPMASGSAEKQSPGVTNALKVNTAHCFVKLPNWSADNQAGGESISENDLKNAASRF GDVKKVEIVKSKACAFVEFYKVEFARKAIIASLPSAQGGEDGIKIDGISGKINFETRK EKDERRVNAPQGQGQGQGQGQRQASNRGGPRQGGQGQGQQPNGGQGGRGGQRNQGGRG GRGGQVNQGDRQKQ I203_05014 MSVDLQQSLSELQQLFDAPGGSRDEVSKRLAKLKLELAQTGLYF APPTANPQDLVAARSILEIGSFHSLRSGNLKSYAQYNFALQPFYLNLSSIIPESPNRP ITLGLHLLALLSEGLLTEFHTLLETLKVEQLSDIFVKLPVDLEKWLMEGAYNKVYRAK DRVPREEFGFLLERLMGTVRGQIASTIETSYPSLPLKSAATLLFFKPNETSQLNEFAQ SRGWELSPSQQVYTFPNSIKPDIALAALQQSSETKNTSAVLSLEKGGIKRGTPMVNMV GPALKLAQQLEAIV I203_05015 MSSQPVIGFLGYTGLVGSHILPHLLEYHKQGQIKLIILHRKGSD TSKIPDDVEKRSIDLSEGGKEINKKAVEGLQVVLSTVSGEGLESQIYLVDALEGSTTL KSFVHSDFGTNWTAKELKEAPGLSIIGVKEEVVEHAEKKNVPLTHVRVGAFDLFVFKF QAGGTDVKGNVVQVFRDSLKNPLRITSLPFLGQATAQLLLHPDEIANKTYQVYDHEPT GQDFVDALTKLYGSKPEITHYTEEEYQKAIQVPGTSAILAAIKAKWGDDNWGATSPRP EVKGWKSVSFEELTTEWAKQL I203_05016 MSLSLDNLPESKVQHKSAFGQLVTGPPGAGKSTYCHGLHQFLAA LNRPIHIVNLDPAVSIPPYPCSISITELVDLDSVMEEYGLGPNGAMLYCLEYLEANFD WLIDRLDEVLKNDGGNGYVVFDTPGQVELWTNHDSLKNMVEKLGKLDYRLAAVHLSDA HYITDASKFISVVLLALRAMLQMEMPHVNVLSKIDLLSTYGELPFDLSYYTEVQDLSY LLAKLDSEPRAAKFGKLNKAMVELVDDFGLVGFETLAVEDKSSMMNLVRIVDKMTGYI FIPHNQDSEDDMNAINTQALFGTIMSGGNGNDVRDVQERWLNNKDAYDEFEKEQWAME WEERKRQQSSGNKHQHQHQHDHQH I203_05017 MERQPSVLNKLRSRHTYDSIPNNGGDEWYIPYSPNSRPDLPFRQ SGIGLSPISPTKPSTNYSMFSNVFSTTSNAIAGPSTSKPFPVRPATTTPLDTYDNNPS SGIARLLPSSRKQGALYKSPSYNSISDLNNKPERIPSSSSVPTGLQAPNILFSPLNRE LRAGSSSNNPQQKSYPPSSFNRNPIQRSQPINGMTNHRQRAVSAPKPRKNNPYEYKAE NKRWAVPTMCDMFLLPRPTLLPHEITPPTTPEDENEKRLSVGSMNSTSTTNNNEAVLE KGRFRDEEREEWANLVKRRGRSLSLGSTAPPPGAPIIGNARARSREKSIDGSSRRSRS HSLIRALTPSTSLRKRSASFGSRFTNPNPNSASSSNPLSRKSSVTRRESSRKNSGRNT SFLNLDGLGTTHTARPSHVTSKDHGHSSKQRFSAPRYSSDDYMYISHNNRKRSTSMPY STNSALTRSDPLLANFATASVESRYPITAAIPSGRSLQFKQPSVADKGDKGGVVIISK GASRRTPFSAKYEREGTFRPPAPLNLSKPLPDIPQDDISPILPESGPFISLTDEIGVA ISPDIVGVDREVIVIDNEDMSEPKTAPLPKTTDPLSPKTFTAKSRLSDGGSATARAFL AKQQQRARTKRAFQSPSKGPVVHRIIRDSTTASQTGHLSTSASTPTTASTSISSVLSP SSSTTSIKSDEPRRKTALEEAIGRSRASSVGMLEQQQAKYSPTRMALMENRPNTSESH KKKFGTTSVPGPPRVAVQSPTQKDQHQRFSSPDVSYSISPAALDYPISTVPSPRTKSG PSFLDVRPNIVHGESNVSKVTIYTDASEGWSRAGTSARSTPISDRKDRLSEANSEDSP GQTPDDRDFQGLFFRTPADRNGSFSNTPIPGQYAPSSTNHLSLPRAIPEGPVPVGLGY DMDPTPHSTIVLEDDQPRRPSDGSESTAEIATPNMSGQSQQPYMGYLDQLETNDNAMN TSRVQQRLMAPWNNRRPVTSDSPDLRSDDGDEEERDERSQDTHETAIPILSEGHEFPF PRSHDTIRNLAQAQAQAQEVGEEESATQRTPDGKRRPSRPHAPLSPGTFGIITTSTST SSTSPSSASKHINFAPSSFQNYSLAPHRQDFTAGGLSPIPGSVRDSSTSPVLGHDHRN SAAVSFFDEFPTPPGDSHSH I203_05018 MPMSDLLESDSPGEEEVATVQVGTSADATAKQDEVYKPRFRRTR TGATRRNQCCIWPILLRVCGNEKSRNPDEETTDRQREDGHQPSKKRRRKDAAHIYETG QDKGHQAGPTTNKRQQEGERARKDVYFPLNEEQMVGQASDYTFDYDSDIARITRTVKT VATARQSKNGLEEEDVMDLVRAQGEDGTLARVPTEPLVLVFPNDHERELIHHLLCFGN ILLYAVPRANQPIQYLNLGQCLTNRRGTSIKTDAVLLSLLSVAAAHRSSIVMQQEHKY LKSPPVGRWGSPRPGHIALIDHPESDHMPIGLGGESSQSQVTVRRHEGEGDHRRAIGN QFARLALELCKTGVLLKLASGSRSSSLTPTISTDNHAVQRKEVNDDLEEISILLLSST VSIIISQALNGGTLWREAYATALTIIGARGGPLRMLESAQRRSNEEIMRVRTLLENLV IVDVCWCLASGSAPTLMTEAFAPWWFDFVESDEDTVHNSYGVDRGVNEMLNRVNILVH ERKLLTTALDPTYLQQHLEKVHDLLLELSVWENDLQKDAGSGRPARVALGNTVLVHTI KIVIHVDLLDHPHSHSEVQASAEIAIGNLRRSSAGSAVVALLLPAIISGSMMFKEEGR DRIRKAIMELRSTTAFAFDVEEALGMLNKLYALRDQGQVDPPWREVMKAGLLLI I203_05019 MVENLMPDVPKSSVGKYSGAIESTFALSSVLFMYQWGKLSDRIG RKPVILGGLCGVAVTHTLFGMSQSFWWAMIARFLSGALCGNASVMRAVLGEITTKDNE GWVYPLWTVCWDLACVVGPAIGALLQNPATQYPNSWMAKSNFLRKNAYFLPSALIGSM SLLAAVLVLFCLEETNPVCRNGAAVKDAKPTEETPLLTEEPIDAIEALPPAHSFFELV SMKAIEQVLISIFLLTLTAMSFDAGFVLFAYSSATLGGIALSPTSIASCLSVKGGMSI AFNLLLFPQALKRVKKKTLYRSFAACWIAAFMLPPGMNVLVLHSEDGGGSSAAGEIGD GTWVANGSLRYLWFMMVPLLVLYVFGDLCFP I203_05020 MPSSSKEIDRDPLGEDSSEAIHQLPRIGDSDINDNANKLSEKYI EPTVSVNEVNDKGYEPNIEQEEVIVVENADQLVSHVVDPRDDPDLPVLPFRFWFLGAG LACFGAVLAEIYYFKPQNASVSALFQLIIAYVLGNLMAAVIPSKGKWRYLNPGPFNIK EHAAIVIFSSTASVSTAEAVTIVAILNLYYNIEINPGVAIIQTWATQCVGYAVCGVLQ SSLIYPTYAVWPETLPYMSLLQSMHFGGMLNKKRMKFFWIVFIAIFCWEIVPSWMFPL LTAVSIICLADNGRHTVVRQLFGAGSSNEGLGLFSFSFDWILITQAYPLCLVWPFNSQ WNSWIGLLICYALMMGGYYSNVGEGRTNGLPFMSTSIFTSNGSKLDFISLHSLANMPK SHLESCSSLNSAEPSSAPPSIIVSTGECSVDPLHPPTWRIRYKNDRSSRILTDYYGIF RSIMSAHREILKDPVGSRIWSGWNLQGTNSKAITFGALGKQMYTGNGPYWFIPACLGI GLVLPIPFWLMYRRFPKQSIWRDLNTPIITNYMGWLPYSVNGMWWSGALIGFMSQWWA RKYRPRWFVKYNCLLSASLDGGTQVIYFILNFALFGAAGAAKDFPYWWGNPDPSELSV DRCQAV I203_05021 MPQDHRYQALSKEVEAEIHEEEVTATKAKKKYFVTKTIIALLCG VLLVPTATWIFGPTDLIEGELFQSFGSEGRQPVSLCEATDLLSIQAPRKNIWKNLSIK EATDIPEWLWQPERGLNLTKSAIAADNDNAIFLIEAYVPPKAAALAYLNGSAEAPPKY AHAVVHYGNTEQIMDYLIGPLPLSSETSMRPLTEIYHRPEIPYNAHGFNPNSTTMGLL LGSTFGPMSDITMDLFGGISKGHANDTLIGSATAPMSYDGSWRKAWVGLKLNVPGHYL FPVDLYAYFDLSGTDPSQWKLLKLVYNGQVFASEAEFRKAWSEGKLNKSKKPLLNDTS EWATRTRPRSVSFDGLRFRVDQEEQYLTWMDWSLYLGFERDMGLNFWDINFKGERIIY EISPQEAMAQYSGTDPHQATTVFLDRAFGMGASVKELMVGYDCPAEAVYLPATVHTAT GSSTRLNAICVFEKDSTKPLSRHTGWLKDEMGAIKGYELTVRSISTVGNYDYLFDLTL QLDGTLELRVSASGYLQGGVWDPSQAPYGHQIRDTSMGSLHDLVINYKVDFDIAGTRN SLMSAMLEMEETTAPWVDEDWGETFKQQRVVRKMIKSENDCKLEYAKNMEGMYIVTNE EEHNSWGNARGYAIHPGASTIHLTNLDCKRTENNVNWAKHSLAVTRRHDNEPYSSSMW NIHLPGKPTVDFYKFFDGESLEQEDLVVWLNLGTHHLPRAEDSPQTLTNVATSSVLLT PYNFHDYDVSME I203_05022 MLLNLTILFALLPSLIRAAAPAPAAQAVFPDRDRVRHTYKEYLS GSSEPRHPSPGYKAKAAQKADKEASALRAPKYGYEDAENAAFYAMRAEAGARDPNEKA PFPPYNTWEAYWGLKTFGHTKPVRCMTADNTTLYDIAILGAPFDTATSWRPGARFGPG GIRGGAQRLGGANRLLGNDAFQELEIGASLTVRSQLLKNGEESLALDGQHHPRVMMLG GDHTIVLPALRALNEVYGPVLVIHFDSHCDSRHPDKGILTHGDYFYFAWKEGLMSETN IHAGIRSNCDIPSDLETNFATVLADEIEDIGWKGVIKRIKDRVGDSPVYLTIDIDTLD PAFAPATGTPEIGGWTSREMIEILHGLKDLKIVGADVVEVAPAYDTTAEITQIAAAGL VFELLSMMALTPVVKT I203_05023 MTSHPSPIPTKSEETQSTENAPSSSPLRTARSLVLLQLLSRLLT FTLNQVLLRLASPAVFGTAAIQLDLTCSTILFLSREGIRNALLRTKLNPPGDDVQKDE RQVKALSTIPFQLGLVISVIVGSIYIYSSDSTTTSQPNFYPSLGMYILGSLMELSIEP VYIAIHRSKTPRLGVRLRAEGGMAILRSVITVISLLGLGDKNALLSFALGQMAGAGWL LVVYLKEVKWDVNTLFRADRVKGETRFNSQTVSLALANTGQSFIKHLLTEADRIAVAR ICPLDGQGGYAVAMNYGSLIARIIFQPLEESLLLHYSSSSPTSPHTISLYTLTIRLSL YLATIILSFVQPLYPSLSVILLPKQYQSTSAPSILHLYLISYIPLMSLNGITETFVTS SATPKQIKEQSKWMIASSLVFALTLFGLTNTDFDTHFWNTRPTREESLILASCSAMLV RIVFSFLHAKSTFSTKFRVKDVLPDLRIIIWNFSVWFLLDKLAKTGRWKVNWKGWIEL IGAGGVLGLVTLGFIFLVERGRLHDLRSSSKGKKVE I203_05024 MARRRIPTRYHPKSKSPPSKLKTRLDLLTYAFSRLPPRQLPFLA IYAYIIIHAADREWVNKESLGKGGASIVGVLSMVTGLLVSYRFSSAITKWDEGRQVWA AVRITIRDGMRMLSVPSSNPPSTDGTSLQSSPVDLGGAEDQSEPSDNPKPNDLSSELQ DRSKAEARRDPIAERVDELGGLLVGFAFALQHHLHRTRPLPQPPLCDLLPQAYLSSLK RTDARVRFAESHAGPSSLSLSELPSTNSDSKSNDDPSRPGLRRRGTGPRPTLDGSSES GEDEWELSNLRYKAEEAVTRLAEAVALSGSSLGDEGLDLELKQQLNQLNIPNNDDTAS ISSNEKKGQKEQEKPKKTRSNLHSPNPPNLPLSILKLIESYIIGLSTIEGERGGWNDS KRERGLNIVKALCENLGEAERLSSNPPPLPLTLHLSHLLNIYLAALPCSLLCVVGGYS LIFITLIAGWCLLGLEALISEVGGVFGSSENHLPLPLFTRQILDESLDISPQFLNYYR NRLISRVQDSDTLEYRDEIDELDRKWRRSEGEWKPSF I203_05025 MTVPSTSPLADVLRISIVGNESIHCGFHLLPYIFSTITSNLPSS AYVLITDTNLSSIYLDDLKHAFNETGSKARFLVYEVAPGETAKSRKVKEEIEDWMLDQ KLTRDTVVLAFGGGVIGDLTGFVAATFMRGVKFVQIPTTLLAMVDSAVGGKTAIDTPH GKNLIGAFWQPSYIFVDLAFLTTLPPREVSNGMAEVVKTAAIWKDDDFALLESRSAEI SLAASTKPTTSSTAGRFASDRSHAQSLLLQVVSGSIYVKAHIVTIDERETGLRNLVNF GHTIGHAIEAVLTPAMLHGECVSVGIILEAEVARQLGILSQVAVGRLTRCLQAYGLPI SLSDRRITSLPASSQLSVDRLLDIMRIDKKNSGPAKKIVLLSRIGKTYEEKASVVADE VIRRVLCEAATVISGIPTKSPITMATPGSKSISNRALVLAALATGTCRIRNLLHSDDT AVMMNALVELKGAVFSWEDGGDTIVVEGGGGTLSAPAKGKELYLANAGTASRFLTTVC AMVSGAASTEKSTIITGNARMKQRPIGPLVDALSANGAKIKYVESEGCLPLDIETDGF RGGHIKLAASVSSQYVSSILLCAPYAAEEVVLELTGGQVISQPYIDMTTAMMAQFGIA VQRKKDASGNLLDIYVIPKGTYKNPADYSVESDASSATYPLAIAAITGTTCTISNIGS SSLQGDARFAKEVLEPMGCVVEQTLTSTKVTGPPVGQLRALGNVDMEPMTDAFLTASV LAAVANLPALPERQVEGLPPTASRIYGIANQRVKECNRIKAMRDQLAKFAVETDEFDD GIIVIGKAATSLARGASVHCYDDHRVAMAFSVLACIIEKTIIEEKRCVEKTWPNFWDD LQNKIGIPVEGVELETHKQASTSAKAVTPVDQFQSDHPIFIIGMRGAGKTYIGRLAAD VLGGEFTDADDTFFGETKQSVADFVAANSWEEFRRVETEILGRYIQERKGNHVIALGG GVVETEVARQLLQSHVSKGGLVIHVTRALEDIDNFLSSIGNTATRPNWGESFADVFKR REPWYASCSSHEFYNVLEPVGGQSPEQHEQAMRRECGRFFNFIKGIHSNRPRLSAATP TAFLSLTFPDITPALAQIDELTEGADAVELRVDLLNPTGTAPTTPGLPPPSFVAKQLA SLRLATSLPIVFSVRSKDQGGMGPSDQSALYQSMVELGIRSASEYVDLEVAWPTDVLQ QVSRGKGRSYIIASWHDWTGSMAWDKQAVRSKYSLCSKYGDIVKIVGTAKTLTDNSKL SLFAEEINSQPGSKPLLAINMGSAGQLSRALNPILTPVTHELLPSRAAPGQLTVKQVL QIRNSIGLLPAKKFYLFGSPIAHSVSPTLHNTGFQSVGYPHQYGLHESDKVDQVVLDR ITSPEFGGASVTIPLKIDIIPHLDSVSEDVKIIGAVNTIVPQAGGKLHGENTDWKAIY QATLSNLPANTKVGQSEGLVIGAGGTCRAAIYALYKVGIKTIYLFNRTLENALKVKDS FPSDYNIVVVDSFDKVNNPSVVISTVPGTSLVLPSSKDEGIKLPESLLGNVNGGAVID LAYKPYKTALLELAEIAGKGRWKTVPGVEILVLQGLVQFQLWTGKRAPEKKIRESVMT KYIGN I203_05026 MTLISIFVTSPDTHSERRVDISLTIGQLKDKLVPITGISPQYQV LSLYPNSDFVLSGSSKAIAQLSEEDRTLDEYGVKEWQCIKVDNTDPNYRPGEFTDESN LERFELTNEEYESRNDTVLSHLKANKLGRFAPTPTNLTYPPPPPTSYDPSIIPGARCE VCHGSAGSGRRGTVRYVGEASIGKGGVWVGVELDEPQAKGDGEVEGKRYFKCSPLHAT FVRPDKVTVGDFPEEDLMASDDDEDEI I203_05027 MAITKSLKTYGKRSPSAKARSPSSSTPKPKPKAAPKPKAEAKVT TKAKASKKDISPTKEQTPADIQKEEEDINDDEKVKIQKIILVLMENMKNVDWFDIAKK VDLTPMTSSSNIGKGKGRGKKLGGTAGGEKMGGTELREYYQNTILPLFKKGDISSIPD IEPTKNHTNKEETSPMDVDQSMSTTPAEEGEEEQASLLSSPVPSIPADAVEEEDNFEL DNEEESQVPIKRKAPIPVLPPSSQKRNVKRGKKDEEKGEAKPKTMTATARKGRKEVVV QIGGKKSGDEYFSESD I203_05028 MPKINKSNSQASKPYDKPNTSPKQTKLDYPSVQSPSLVHSKGKK SEYDKTLLVTLFLKSSKDADWTELGKDIGKTPIQCKDVWRKVIKTSLLTNKPWSGDGK DWTREMKIATLMIVLDSCNPDWECIAASFPGRTKSQLYDVWRKVILPRLKRGDTIE I203_05029 MEYQNFHPHILPVYPFGFLPFHPQFNIPAWSDESPVPLDLPIWC NMFKMTSFHSLQAIEVPPRDTLDSQGRPFGCYTPDGRRKEWKKGERDVDTSTELSGAD KLRGLKAAAMRRREAMKKKRESSRATEDDGPTEVIRTPPTYLSVLILLLEIQDLSFKI LLLISLLLNTTASKLKAPLPPVSLPSQGPGIIQLCKTKRPPNTKAEATCDSYPVLLDH FIDLSLEETEELDFIPFPPSSPALSSHLPIVISSSPDNLSPPRHVDHTPSPKTIKSVT TQQKSLAHFVGNKDKKKKKKRSEKKLDSTQQGAAR I203_05030 MRIQDLFIHSEDEPDSQTELAKTIGQDDMEGPPSFMPIFTKNNL RMLYQKFPTPSLRFMPRNTSPIQATFATGPNLIPLCRRPPRLFGNPTSVDSNIHPQRL PFFAPSIIISPRPPYDHQNSPHCQNRSDTNSRSISTGSLINQGWTTYRYPQGRFGSPQ KSTGKKYSSHAQGYLKIPLTREEVLVNERIAQCRAAIKRHMVLRSYLIFRKKGPVPID PTFLANHPS I203_05031 MPIDPPSLIPLKPEPMDIDLYETTFPPLSDGFSTSLESFNSIPD RQYTGSVLPASSPSRDFIFEDELSMNLASLALTWKPLNPDNTGTGTLNSSTVEGPSHP LFPCPIDLRQALGQEINTHEPEKSKENPSKPKRFHPYQKKPKPKPKDKEVGYIIHTTR KPEDRSKYLDPSLETTTFWQFVQQRNNARTEERREALRIAIAMSERGSVVESHSTWGR DRDDRSIGFNEIDEVHSRYGPEDEHKEDEDKVIGNGMNQSSLSLYSRMEKERSLRGVE LIDTSPKKWKVSPNKNFLKRLMSNVERYNGNIKK I203_05032 MPTRFSNTRKHRGHVSAGHGRVGKHRKHPGGRGLAGGQHHHRTN FDKYHPGYFGKVGMRHYHLLKNHYYKPTINLDKLISLPEAKVDAPAGTVPVIDLVHLG KFKLLGKGRVNQPFIVKTRFVSKLAEEKIKEAGGVVKLVA I203_05033 MSAQTKVGSWLEKNDETDAGEAYESESEVSVDGRPNGAVDDDNE INEDDERVDVQGKREVEVDWESIGSKLRPSLMDRSKKRRRAFIARYLYVSDQSPPSSQ VPAVMTSILSCIPLLTDTDHIDDVVQILQELVERDEKAPEGSEGKLKLGDKLVKWTTI EVEKAANPTKVIPPRTLFAYLFLTLSLLHTLAISRGPAETFVTSSQGQDLLLSLALVL DAISLAQDKMTYAKRVARMRKKTGIRTWRVLRELRPALPAILHSLLTRSASVPSRLAV LISHVIGVALRLRPAGQGKEGDSQDIREIVEKEKDAIITYYSTNILSSKTAPSRHAST ALSEFLAEFVTYDILVERLIPAAEKMLLRSPEHALELTADLLTTCPHDISPILPAKLV PSTSSSSKSSNAETRSKSVILMRAILRRCNDQKVQAKIASDILALPKTGKTASPEHRA ILFTMASQLTPSDTVSSIVVDILPGLVAKEGNEPAFHALNSALTPHLAHLLTSNKTVA TAASSALVKDLNSTKIPTRRGLSVAIGEAIWTVQSAGQQFSVEGEKLVGLLAPALDIN LKAASACLPANAGGFLEGYVALALALGPLNGMPAAAKLTRSSALEGILATSPKPSFLL NDKVHIKLPAEEDEKWLLRSVESIVGSSATKVNTSAARIAIGLTFIHLAFESKSTAIR RETLSVVSSLVKKNPQQVSRTFREALKSWLKSHDERKAASKLKVSAEDDDTVSSKSRD IGRLLSAIFVASPETDKSVLEDLAVDYIVLAHHPEISPEAQISWISLVQGLGLDPAVI AVDEKDRILKNLWDAAGTPPEDPRLAEAAYHAVTTLAFIAPSIYVTTILDRLREDLDP ASLDFIGLEERGIWATPADQLFVDVLNPKKDSGPENKNRKDYATEKWEQEIRDSLAKK KSTQIGGKLSKQDQALVTAQLAKEAEVRSQIAKVQGQLKRGVELVASLTNSLSEETSK HVDEMAKVLLASVFSAGHFLVDGRAFEVFIGLGTLAAERLGGTRRMLAAAILRSHEAP LVAEDYLEEPVGELVTRLLHQIYFIAEQTPLDSTTYSLTSLLLTQVVSKGGVGTESPQ SDEAQEQLILSFDLLTMFTVTNDAYPRLQTIRDLLHVIGTYSKLAKDAASALADLGAA IKDVATHAEISEMIAGTLSKDSNVRNAALQALQPVDLTELDYSEELWIAIHDDDEQNA NLALHIWDDNGLDLPETYLNSLLRYLSHGSAAVRLGCARALSESAEQHPNQVEPTIRG LQELYAEKAKLLVPEYDRFGMVIPETVNRPDPWEARVAIATALEKLAPLLSTTLISPI FDFLIKQEPLGDRHSEVRRTMLNAAISIIDIHGGEAVTGLMQRFEDYLGSATPSSETD DYIKEAVVILFGRLARHLDSSDSRIPQVVDRLVDALNTPSELVQSAVADCLPPLVAGM SEEEVEYLVDRLFSTLTTGAKYAARRGAAYGLAGIVKGRGLQSLKDYDLMDKLKEAGE DKSAYQSRQGALFAYETLTSTLGKVFGKSIHLLVPQLLALFGDGNSDVREATQDASKV IMSKISGHCVKLMLPTLLDALEEKQWRIKKGAIELLGAMAFCAPRQLSLSLPTIIPHL TGVVNDAHAQVKSAANTSLKRFGEVLNNPEVKSIQNTLMKALADPTARTNTALSTLLK TTFEHYLDAPSLALVMPIIDRGLRQRSSETKRKAAQIVGNMASLTETRDLVPYLNELM PLVHEVLVDPVPEARATAAKSLGTLVERLGETNFPSLVQELLQTLRSDTSGVDRQGAA QGLSEVLSGLGMEKLEGLLPEIITNTASPRPYVREGFISLLVYLPATFGHRFAPHLGR VIPPILNGLADDSEYVREASMRAGKMIIANYSSKAIDLLLPELEKGMIDVSWRIRQSS ISLTGELLYRVTGISGKVELEEDEAPAQSADVARKALLEALGQERRDRVLATLYIVRQ DAVSTVRQASIHIWKALVQNTPRTTREILPVLMQLIMSLLGSPEVDQQETASRTLGEL CRKNGERIFGEIIPILQKAIASPDARSKEGACLAFADVMAATNKDVIQDHEDTIIASV RTALVDPEPSVRSAAARTFDAMQHYMGAKAIDQTIPTLLEAMRNPGETSETALKALQE VMSVRANSVFPVLIPTLIAQPITAFNARALGQLVKVAGSALNKRLDTVLGALVKSLEK EKDEEVLGELNSAIESLLASVEDSDGIHLLEMLLIGWAKDPNPVRRATACDVFGTMCQ VNEADTTEYRVDWIRILITLFDDSTEEVVTAAWKALEYFVKTVDKDELEDLVVPLRRS IESSGAPGRTVPGFSRPKGVQSIVPILLAGVLSGTQEQREQAALGIGELVQRTSEAAI KPYIIQLTGPLIRVISGQAIAPQIKSAILITLTVLLEEVPQLVKPFHPQLTRTFVKSA SDPAAVSVRNRAAAGLGALMKHQPRVDPLITELIGGIRSGDNEIAPSMANALAAVCKS AGKNIGAGAKAQIVELVEEAFTAGRNENYNTSISRIVSGLGFSDPPSIESIVKTFLAS ADYQPTALTSLMILNILEETPDTFYELDVIEDITRKVMLSISSSEGGPSIQRPARESR ELLRKGRAGDDEKVQALLR I203_05034 MTTISKAISCHVRLRPSHPNDGKTNPDSIKISGNRIEALNKEGD KRYHFDLDKCLGQTSTQEEIFGSVRLLIEQVYNGQNITIFTYGVTGSGKTHTMQGSRS DPGIIPRTVQAIFQKRSEMRSSISNIAFSYVEILKDEVYDLLGDRFEPRKRDIRMSAE GQNIISDLIVQPINSVTEFEILYDAASKTRKTACTKLNSSSSRSHAILTLYLDMIEDH NPSNRKSGKICLTDLAGSENNNLTGNDKERMRESSAINTSLTTLGKVVDALNLIAERG NRDSAGVFIPYRESKLTRLLQDALGGTSQGLLICCLAPGEKFARDTINTLQFARKSKA VENRIPVVDNSQRRASAPLPRAIKPINGNAAFKVHVAPRQSAPTGGRTALSSISTNYR PARTMMGRNQTGKENGLGVFVKQEKGSDLKGLTDELLDQRIQKIVSQEMEKERTPPST ANRSSNVISELPKTESSNGQNDVDPLSAADRDARARVIVSHARKSHHSGDLQQALSLY RKAYEYVPSNRKLSIRITEIQLALEGILPPEPKSQQTDEIRKSGMKRSFGHLDNLADT SQESPMKKGKS I203_05035 MAAVASSSTMLTSTSGGSSLHQAVDQITNEVSAGFNVLQHRDRF QEKALRDVTLSSNDSAMMLDPNNPILVREEMESQKEYFRRLKFTYLEQEAKRHFLSSI MLEEPPTVEQGENEERERINAEKKAILKETKSTIEDMRSMTVKLAEDNAQKHKQVSTK LSEAQVLQKQIRDMELELARIKATHPPENRMTTTQANETLDAQIVEMERLTDAISSTQ SQTDIVREEIAKVAKEVQRLSRDKEREEARAKEVREGREAGDTKVDEICRWYSSSTSF YRSLLGIKSVKAVSDYELHMEYDVPNGPVTLALIFDEATQRFADARLIGSDLDISEPV GIAAGSNDVPGLVADVLVRLRPA I203_05036 MYFDLYLPFPLPPDTDDGQSKKSKKGKGKAVPTPIPSTPTPVKK DCWSGIESRNREVFVKKVALSGHLGYSVVGLTVSPNEPSNQVIPSPFSSGLPFPNLDP RSSSYASSSGSSSKTPLVQVSRYHMRLDDNRVHPLTSQNTNTLKNYDILSVAPTSEKA FQLACTDLSNPGPNQISIITLPLHERPFTFRFNWKQMRQAQRNGVVFELLYSPALYPP SSTSPETSRRYRQNFLSNAREVNRITGGKGVILSSGSNGDPSTGLRGALDIVNLATMI GMPSNLAKESISNTTKNVLLRAQARKTFKAVMSIPKLVPPVDDSDNSDMPSSKKRLSD ITDVEQGNNAKVKKVRV I203_05037 MSLRYRAIRSLSSPRRLTNLNPPRLACNKLFLSTTSRLDRYQQS QAALATSPAPSTSYESTYIPPPPLSSTSRGDDEALKAHFDLPHPITTSTTTSSSGLFT FPPLTHPSSLRPLTERTLVQASAIVERICNAPLDPSGKELRLVVKNLDRLSDVLCGVI DMCELVRNVHPDQQWVQESERTYEVLCSFMNELNTSRGLYESLSKAVSYPHPEPLTSA ELKVAQTFLSDFERSGIHLPPAVRQKFVSLSDSLLSLGRTFLSFASSGPSTKPLIELT EPERLLAGMGSQFIDSLPRKRRGGAAYIVPGSWEAQMISRYAREGEARRLVYIGGIQK DPERVEVLEEMLKKRAELANVLGKETWGDVTLSDKMAKNFQNVFGFLDSLAKHHKPVA ALDVGVLQRLKATTLTGNDYDLNSTSVHLPPLNAWDRDYFAERYLSTLAPTSSLPPIT SYFSTGTALMGLSKIFKKLYGISFVPRSVDSGEVWHDSVRRLDVVDENEGTIGIIYCD LFSRTNKPPSAAHYTVRCSRRVDDDDHAGDGLPAGWDEKYGGRGLEVDGETLKGKEGK YQLPVVVLTCDFGNVDVGRPALLGWNDLETLFHEMGHAIHSMIGRTEFHNVSGTRCAT DFVELPSILMEHFVSSPEVLSSFATHHLTNEPLPIPLIEAHLKLNQSLAGLETHGQIC MALLDQKYHSLKHNQEIDSSRIWYDLQKSIGVIQPIENTAWQTQFGHLYGYGATYYSY LFDRAIAGKVWNTLFATPQRGGEGVLSRDGGEMLKDKLLKWGGGKDPWLMIGDVIQDN EGEIVSRGDERSMKVVGGWMIK I203_05038 MARPDPQHEIWDKHLHPHLRLLLPSSTPPIHLEARLYLPLPLPP SLTPHINVLGEYSTVPKKLEDLDVGLREGLKDMGVERVVVASHPWGRLGGSMLDPVIT SHLITSIYHPYHSHTTDPSTIEGSVPDPESLNPSGATTPSPAELCGKTAVITYNVRGV GLSGGSQPWLGTGHDPDDLAEIEKIGVGLLGDSVKEVFRFGYSWGCLLTILAPFPTSH SLPLTKTLLVSPALTAFKGITLLSSKKFPAALKEHQRHLNNRPIWMVWGNKDEFTGSS TYTHFADEMGKEVIKGIEVEDAEHLWRRDEGEKLREIIRNWLDS I203_05039 MLTSSLLTSVLLLSTSVFGLSDKKAHELSRSRARAHYARKEKQV FTFEVDANSTSNSIEKRGQSYSNSRATFYDVGLGACGWYNSASDYIVAQNSAQYGSGY PGPNCGRSISISYGGKTISATIADECPTCPYGGLDMSRGLFTQFASESAGVFYMTWWY NDESQETTTSTSEKPTPTSTYTPPTSTYVEPSTTPTPTSTYVESSTTSSAEPTSTSTS SSSSEASTTASSIVASSSGLADTVLQTNATVPSLANATGTISAEDTETTAPVAALGNL VLFNQAVAYLGHIVVVGAEK I203_05040 MASNANDTVNLRPHILLSPYVEYTIPSDTKEPISEVRIADSPWY VARPECSTHFEAPLHREWKSQVTRGDLNAGRFNHISSTGDSPIKLCCKADLINETEHQ LQSVDPPEDTCYASSGHHIIAILSEKEKALMGNASPLPGFPSEDFKGSITVPLQDREV TFSRYTEDDTDYVRSGSEMPGLKTAKGKINYPFGERGRRTSRFDETEFFKLGAAAFAA SSR I203_05041 MKAVVQRVVNASVAVDGQTISSIGKGLLVLVGIDRYDEPSDATQ IIRKVLTARLFDDDQGGMWKKSVKDIDGEVLCVSQFTLLANFKGSKPDFHESMSTIPG KGYYTSFMDEIKKAYHPSKIKDGQFGAMMQVSLTNDGPVTILLSSRSSTSSKSTPAPG TTISRSSTPSSTKAKGKSKRPPETPSELYSVVPAVHPPKNSANSSVDVASISSITGTI ASVGLGPSGMVRESDVQVAGTGGSRVGDHGE I203_05042 MSEHSTLAGSPLPNNNINMNEKNKNIINDGVDNATLTDQNGTMN NDKEISMRSEQVNNPNVIDQEAQQSLERHKSKQLREGASDAEHLHSPLAELGQARKNF LLFIFSVATFIDICNVSGVAVAVAQISIDINLNQSQIVWIITSYSLCFAAFLLFAGRL ADLFPAQIVFEAGFFVLGILSLVTSFVTSNKYGFLILRGLGGIAGAMTIPSAYHLTVH MFPEPKEQQGKLALLGMAGAIGNVLGLVLAGICMLANYRWFFRVLAILCVLFTVLCIF LLPFTGSTYIPDPSTPRWKRLDIIGVLIMMGALITFILALTQGPIDGWGSASFIAPFV LSFPLAIGFFFWESKIPPKSAVLPSSVWQITNIVIASLAVGIAFPFWATSQLLYATWF QQVFRWTPIHVAAAMLPQGITGLLIGGLTQAVPQIITKPRWSMAIGGVLIIVAELLQV FSDGGAGKDYWKYCFPAFILGSAGAVVTYFASAINLIAYCPPEMAGVAGAWTQVLAQI AGAITLAVQASFEGSGNGDWKLVGRRSFYFQIAWTAILVIQYLVFYKNPGTPEEEHDA ARRRIAAKGGDAGVISA I203_05043 MASSNFADQSERAASIKGIVEIPERDLDFLRTNQRHVCVSTLGN LHRPGDEHASGEECPFKTFPGGHIVSLINEQDAYLINDALSLYDHDPNMCSSRDRNII SRFEWSSLVPIRYRTMAIEFTKVITPGDNDDLKNTYCDGSDVRSFGDAPVAYTATTRF IDLSQAELDFFIEERKRGEFTGDHPGYFTPRSLPPPPTTPSTIYTDGCSTPVSPCASP GCHYVWGNGNDDFGVVEYEQMTFDPEPPDLV I203_05044 MASPQAADYLSFTSANALISDLRPTTLSLPALQALNAFLDEILI SLITSAESINPSDLRKEGVPSVFTGDNKATQGGESTNVRALGRSAVAEAEVELRSWLE GRRQGKGFEPDGQGNGMRNGRSLNVEKAVDLLRSKCVSYSTMASQQNADEAAEEEILS QWQKAGGDPSEDTVEPAALWMTAIIEHICEHVLSQLASVVARDSEIVVAGPQELYTAL CEDESVWGLFKKMKVKDQLETTIRAGSRHKRSNPSRPGTSHSAGGRASPLASGSPHAS KISLGHQREGSFEASRNVTSAQSNHDSRSSVDTNWYGGIAGGVMRKGSQLSKKSSNSP GKHHLLRAQGHERSGSVLSENTRSMLGAFHDSYHDHEGLNGDDEQSIQEAQEEFDALV RSGETMKVSLTPSRLKNFDGNPNRRRATDSPNQSISAKSTRSENISPAQFPTPLSTQP RATATDNANRAPSAHSSPIQQSSSLLSGRPRANSAQLRMQARAASTMEEKVGEEDASA FVGRPGAKKESLMELLASEGAYEPTSPTKKGGLSSPGQEKLKRTVPAVVLGTPPPPAP TSTFPSPSKKDDPRATQPSDVTAGSSPSNYSQSQIASPQSLPQTDFSPQPTLTRSQYS SSTLNRPNGRSHEALNRDEEGEDDYAFPGQRKAKKKTEAQELADFFNNTPPPQSTPTL RSTPPDIAEEELNEAPQTAKPGKGFRALVSKVTKSKKDKDKDKSPILTSSSSFSKLNS NSVSRSESHNTGMNVGGPRISGWAGFEDPTSQPELGKKQKSLHSLSSVPIAFRPFATH EDYANADRDKRKGSNASRTSEAAREASAKFAERRGSAAGLALGLASERRGSASALGTS SAVSSPVERRGSHPVIHNQDKPEQGRRGSENRKMIGLGIGAVNELDDKDNNPRLKDQN ESPVLGQQQIASPPTAYKERGRPELEGLAIPGSATSFKTAHSVLSPQSTYNTPQMIIP SPVKSVSSQSKATSTEDVIVPRKPVSETGTQTPIPTPKELELPSPSIPINDLVPLRRL LDHATSVRECKLLLDAILSQFGVPRQLSSDDQSGEVAVGPEDRVTAWLSAGRDGPVGD TRRDEEEKVDMTPVRSTTNHTSQAYSQKTHQGSSGQPRNSDIFSEGENTNTLTDYETE GETDNETGVIVARVNGGKERRQSSPVKLVRTGNEIIV I203_05045 MSRVINRLPPLPPPRTWYTHFPSKYLPASPDRNMIRKSIGRKLL ANPKMCDDFVKSLNLREGEVVIDAYSGVGSLTRSLLTGGNYLEESKSWTPALVEEVLT EDKDQDEVKKSISKSKVKKQKYPLWLEDLPPSLSTTTNAATSPTSSAEVEKKEPIKPK LVVSSEGSLELLVRSFNYPPKPSNPLSTIGREISQDPYTRPVPVITTPLHPNLLLSHS TAYVWPTLPKLLENPLVQASLPVHNPELPPGDIEAYKRKWEDPEPPITIVCQMPDSSI GEQLASQWVGSAVGDPGQKRTWIWEWGRIRLALLCGKSLYDRILAPPGSVIHCKLSIL AQALFDIVPLPPYHHVLNVDKQSRFSHDRPFKSLSEVLPSTVPPNGIPVNPPSSIDTF IRPEHGQRTLTYPLDFYPPVTAAQRSIGKPLERPDLLGLMLIPKLHSPILSSQKDTWD YCMRRLFVRDTLTLTKAIPNLSFGAETLLAHIEDQSTDRYRGVPVDGRRVIRDLRVEE WLRIVDVFDNWAFKPDNLILDSGSPDETSREVGQD I203_05046 MPSQTTIQQKDHTILLLPFTPSTLSDPSHVVSVILDILPKSSTQ SFTVLFTTPSSHPQLYTTIKRSPAKNFKLLQTFLGRIYAALATAQLIAGKVLMDVEIH FNGESGSWKDKLFRSDKGEYQVIYTEGLPIPSQIISLISSIPQITISFSSSSSSNDQI PPSNQPPSPGPDVVALGGTFDHLHAGHKLLLHLSFFLSSQKLIVGLMADSLLGSKKYH KIVENLDKRLEGVERFLERLGGVGLDHRKNDHVLSSSPETGKGASEKDVISLQVQEIT DIYGPTSTDPNIHALVVSRETVSGGQAVNTKREEKGLGELEIFVVDVIADKQDLKLGG VEDEGELKELKMGSTGIRKWIMENGQ I203_05047 MGRRPARCYRYCKNKPYPKSRYNRGVPDPKIRIYDLGRKKASVD DFPFCCHLVSDEYEQLSSEALEAARICANKYIVKTAGKEAFHMRVRVHPFHVIRINKM LSCAGADRLQQGMRGAWGKPYGSVARVNIGQVIMSIRCRDSNKAVIIEALRRARYKFP GRQKIIVSKKWGFTPLDRADYEALKAQKQVVNDGAYVQFLKPKGPLLQNLRTAQRA I203_05048 MDISLEGRPWMKVPGRRLRDQRRAAKRRGISLEEYLARKGKIPV GLFSSMSHKEKLEKEKQRNEHLVNELTRQDVKGDTKLNLLLKMIELDPEIHDIFTHHT ENTSSFEQNPKFRLYKRLGEIVDRL I203_05049 MVSTKNPNRLPIYNPKKAHHPTSTNTKSAPIQTTTTTGTGTTFD SSTLDQLLFKTSQFQSFISTLPVGSGSGPFLHPSRQVNLTSPNPIRTSNITTQTNLGV TSNNQQTLPLGEDDTNRLGVLGYDPLQPYFVNCQSQNHHSAQHQLPYNIISSDNASSV SQMTGSLSGTSSSKKTITVAPASSGLGLTKNQKKKKSRGNGNGSKELIVQVKLSKKMG KEEE I203_05050 MCRFLVYKGTEPIQLSHLVTRPKHSIINQAFESKLRMPSSRPMN ADGFGIGWYDPLPTTPTLTLPRATSIPAPPEPTTPLEGQHPSHSHSSHSQEYIPTPVP PNDPSQDIQVDPLAGLDQTSVEILEARERERELENERPCLFKSIHPAWSNANLTRLAE KIRSALVFAHVRASTMAGAPSEDNCHPWMFDKLMWMHNGEINEFKKIKRAVQQSLPEE LFLYPSGYTDSEWAFMIFLSKLKDPHARSFSHVELRDAMMETIRFINKLSKEAGITGP SLMNFVVTDGETVVATRYISSRTSEASSLFFSSGTSFDEYQEGGLYRMTKADKRENII MVASEPLTFERSDWMEVKTNTMIVITPKMNLLQIPIIDEYWVTPQDPAALTRSRDFAI KMGFGLGFASEQARKEDVSASA I203_05051 MTTRAIVKSALSGDTVIIRPKEAPAKGQPAKEKLLHLAGIQSPR LGSTTREDEPFAFSAREYLRALLVGKEVAYNVTHSVSGSGQEREFVSLFIAPAGPGQS PQDVATLVVSNGWAKLRDGMGEGEEAVRRLGAEEAKKREQLRAVEDQAKSEGKGLWAD QPESQRTVSFQMPSDPHAFVAEHKDNDIDAIVEGVRDGTQLRVRLLLDEGNHQFINLV LAGAKAPRASSGRDNDTSSAEPWGEEAKFFTEVRMLQRSIKVRLLSAPVSLGAIPFQS GPTPAGAAKPANETNGLPAPTSAGASIIIGIAKHPNGNIAEFLCGAGLAKVIDWHAGI LAPHGGLDRFRAAEKSAKDKKLGLWESYGTSKSNGTTNGTSTPVSTTKGNEFDATVVR IWGSDQLSVIPKGDGQKERRLQLASVRGPRGTDPKSTYWANEAKEFLRKRLIGKTVHV HIDYVKPREGEYEERECVTITYGNANNNIAEQLIEKGLATVLRHKRDDEDRSMELDKL IVAEQTAVADTKGIHSAKEVSLPRIVDASESASRASSYLTQWKRQGRHPAVVDFVSAG SRFKLFMPKENTKVTFVLAGIRAPRTARNASEKSEPYGPESLRFASKYMQRDVEIAFD STDKFGGFIGAMYAGGNTNVAVELVREGLATVHQYSADSLPFGRELSAAEEEAKAAKK NIWSTYTEEDTTTTKVVDESGALAPDYLDVYVSSVKEDPFSFSVQILDKDSVASLEKL MSDFSLHHKQPTSNSPAGFSPKTGDLVSAKFSEDNQWYRAKVKKASALKKEAQLYFID YGNEETLPFSRIRPLDSKFKGLPGQAKEARLSFVKLVPRSSEYGSDALRRFNYFTENR KLVANIDQKEGNLLHLRLIDPSDPNAADDPLACINADLVREGLATIDKSVRYLNAYPQ VKRKLEDGMLSSLFHLQLESD I203_05052 MNSYPHEFLAHPQPLMFVAGLNPVSRERSVSSASTTSISNRRQS GGASSLLEPTTAGNIALTTPITSPTPRNDNLPSPLDELTTSEETTTQDGSKEVVEGLD ERDKEFEALVYNLRGALTPMGGKGKVWLGQEGRKDFRIVLVDKGVRLPMRKINPSSSS TSQSQASIADLPTSPHSPLSPLIPSSPLYPDGLIAPVWVRKHAELVPSVFVLFLRLYE SKPIPSSDDPEVNAAKEAANKAMEKDQDDQLIREIGDRRRRLGERGIKLTVVLMASAA TLADSPALDPRLSYLRRASALSAKASLFVLTPVPADQLPDFVQSLQDALYDSAMEYYS NHAKRVRRKRSRVPVSQNILSPSTAQGHGQGPRALGPQGWAVRYDWKAGWFAEVRGDF ETARRHYEDCWNELAKMFSSTTTLPPRTKRWAEAKVLADCVAICKLLLYDDQGPRVLN PFFVHLKRFGDLSRGWGIGEETFEFWSWIARQYRIFAELLEMAQQSGMRIASLAPPTF PTAVAAAAPPPPDYYTTPISSGNPLQVLQQPAFYYYTAATCSIQRQLRYQEALAAEND ALSSEAGSTSGYVSTAPGFAIEKKVDHAALVIELFTKAYSLLKEQDHAQNRLALFVAF RIAEVYCQSAQYDLALRFFDRISQGFKRERWSPIVRQIRKLWYECAQQTGNVESAARL LVEMMSPDSGVEGEERAALQEDLLSLMKTTSPSSTEPIAVNLEGQNSLLDVVNVQAGF WQSESTLSQSVPYQVILRCPGEVAIDEIQFSSLIISWSDNRHDTVINASEGSQEQIEF VKVEQNEGNKASLKWGEEKTLVINGETIGDVEGEVRISGLKLCLKQGSWDLQIHLSPD DLEEWITPKGAIVPVQALSSSVDFIHKSHDLDVDLTHVPSAFVGEDVEINIKVTNQDD REMDISLSMFLQPGDGDEDVGSTLTMDDQVSPTFIKDIPLGKLSPSASTSKTIFLRAS MEGTKIVDFSLQSTVKLPASKTLSPTSDDQVVERTEEITRTAVIPVLRPFEVKMAVRY SQRLAGTVGAGVGGGANAIVGILVEVPGPRAVRVEKIELLDNDNNNESGEKDVKLVSS SLLIDCDVHSDWDQTTSYALSAKFSLSFGLRGGTAGDPIKVPANLVFTWKSDSSKSSI TTVHPIPPLFIESPRESYLISTLHLPLPPTAKINEPFDIHLNVLNTHPTSSATNVNIV GETKENFVWLGNRNIITSPPLKPGEARTMKFTLIPLSLSSSSDGWMEIPKISVFEGEG EDREEVSVKDGNAILVRP I203_05053 MSDEESLIDTSAFPDVSLTMDTDPLDSLEDLFDTPPPPPLVQTD PPVFASSLSSDELMRPNTPKASSTYTNSSSVDILKDSPKMDDPIEACDETGLYDTLIV IQDIIKSQTELFNALTTRLNENTEHTKTQLNELSGLIEKTKKESEERKFRLKAFIEQA VEEAVRRVVNRDQGVVNSEAKGNEKDTKKGKENNKGKKRNRELEALSYDFFSSGKPEN KPRSSTDRNESQTPFSASSFAPGQSLYSTARNSSVNKRLTEVIELTALEDDNGDEHED EDIPVPLYPNPPTRLHRGPLSQSTETPRKTLMERIIPSNPLKDRNSTSAYAHAKKSGS SCNSYETSNVKRARLRHPHAPGIEKRKGKEKGEMNIREKNEGIASSNHCRIVGKKQIG TLPDGRKDILYLIKQMGKPVSSATWVQEEDIDDFDNKNHMFMQDCDHEDPKFVSFRNK VAILPEAQVYWDRNGEWKMDDGAS I203_05054 MNQPNRIDSWLLQDDEKPLTITEDPKIPNAATILLRKQDHTLGN MIRAQLLLDPTVLFAGYKVPHPLENDIIIKIQTDERSNPADALKRACHLLIRQTVQIK SQFMEQAKNIEMGMGPDQSLATAQTNGYDPYADSSRQGNTIVGGQFRDTNAGGADVYD F I203_05055 MCETYSIQPLTTANNAPYLLTPKSAVDVYPQPSSSSVDVSDSNP ITPTTVCSKSKSTLRRTSKVDDQKMTHTMGTIAGLLTQQSQLISDHENRLRMCENYFQ NRFEELESTLETLIDSQRADGLELEVYIKDKVDDMAEKLDKLIEKKAVSAKGRPSRAK VNQRNGNVETQVVSDTTRFSLGNILGIEDLITFDTPSSLDLQDTQTQLQTLNFSHDLL DPLSFDSTIFDIPQCIGPEKEKGSSFLAGTGGTLVDQAIEKQRNLLNGESVLKEGESS VGFAFRKKLKGTKRRDNFKIDMSFLDEISIDARSQAQDQDQERSESEFLLPTSYGNAN QQIDFGPSNYVRSNEIEEYNPFPLTYLRAHTSSSIPHSASSSSDTSNYSDDSHLTSIK RGASLVDDKLLLPGTPTLSPVGLPTRARTLSGNGKKREKVSNGWAKKKKSKTNGGDDE KKKKVDGWPTFGINTLKNRMDEIVCDTCGGRVHWACAGLSHSKNMREAPWSCPDCLKI MIEANDSRDGRIPSIPRAQQEKCLRPNCIFRSERRIVRENDDVNQFFMEKIIGRKKTS HRPGSGNYLYLVKWWDWEIYDSTWEPGRNIPDLERYEALFLQYALKTEGTDMYLKNVL LLEECSPWFDHKGRYNVGLLKSLGVEKRLWWDDD I203_05056 MSPIREQKRKSSPSIGQAVFYPNSLPPPLRRSSKALPPYSSRPA SPTSPSTITLATPPRQISLGKSGGGGSSSTFDRFDNASEYPFPDQTSLSPTRKLTHLF DGLSFTTPQNVSNYDTYNRLLYSAGSEPDLDITTPHALPLSDLINDSLIEDNDNHEIE RNSKNQLFSSPAFIPAQDIFDFPQPPSSMGLHKSSFQLSSPPKQSFSPQSQLRLNILR QPSWKEQTGSNRVSNGNLSVKVNNQATFDPPSPLSGPAISPSHHGSTTTDYLFPNQVQ GFNDVDVFSSNYQLNAPRPMQLNNQQLFTPPYHDDTELPTPTNSLHLHHPVLYPSAPV SQCPTPSITPPPLPAVELPKSEIAAHYLKDRKLCSSFSRRYIIRDELGSGGFGFVCSA LQTGYKNQPGVEVAVKFIFKDRIQECDYAMLEGEPVESFVLARVRHPGIIGFKELYED NEFFYLVQELHGAPWEPGHTLEVPDALHNPVPAVTPSLPMSIPTINPPQTPGSALLFS PVHSIDWSKLNPMNPLNGDTKNGGRPNMARRASYDLFECVEHQRFTEDQSRKIFRQIV DAVGYLHRRGIYHRDLKDENIVIDRNLSVKIIDFGSAVIEDPTQTPVLYDHFRGTMSY ASAEVLNGRHYHAGPADIWSLGIILGIILTGESPFPNTTWAQDGRIKIKRQIPGGAFD LMTRCLHTDPRRRARIEEIERHPWLRGMLAHRGSIC I203_05057 MSSTTSVPTTISLSELLLDAGSEELNRKIVAALGSGPGCLGIIV IKDLPSDFPDLREKLFRLAHRFATLPDEIKERFTSPETSYLFGWSHGKEIMNGKPDTQ KGSFYANPLIDVPDVSDQLRKDHPEYYAGNVWPEGVEGLEEFEKTFKALGKIVFDVGI ALAKACERFVSPTISNSSGTVASLISSSKCNKARLLHYYPQAPSGIADEEDVQNDALC GTHLDHSLLTGLCSAMYLYLSSSNDADTEPQIVDSPNDSTGLWIYPRNSKDPVKVTIP RDCLAFQTGEALSLLTSNKLSATPHFVSGSTKSHEPVSRETFAFFLQPDVDDVIGPDG ETFGQFTKRVLGRHYAEKAAKEAED I203_05058 MAWMSSGRTNAELIDKMVKNGLIVSPQIAEAMRKVDRKNYVPDQ MYAYEDSPQRIGFGATISAPHMHAHACENLLSFLPVANSPHTGAILDVGSGSGYLTGV LHHLAPHSLVVGIDHVQGLVDQSIKNLQKDGVPLGPEKDGKGGVIMICGDGRKGSPEH APFSIIHVGAAAPEIPQPLIDQLAKPGRMFIPVGQGSQDIWQIDKADTGEVTKKKLFG VMYVPLTDADKQWKRDL I203_05059 MGNDHISKCNIDLAPVSTDATSTASTPRFRKGINELDEPETKED ISHVVGTDLSENQVDVEEETVAFPDGGLRAWLCVAGGIAGMFCGYGLAPSAGAFQTWY KNNYLSDYTQSQVAWIGGIQAFVTFGLSIVTGSLFDLYGHKHLMVSGTFLLTLGYCLL SLSKKYYQIFLCHTTLIAVGMNFMLIASLGVVGHWFSKRRGLAFGTISTGASIGAIVW PLIWANAPQKIGFGWTMRLIALICLITGITAFFLLKTRLPPKPPGPFFRFEAFRSLPY CLLGSSAFTWAFGFFFWNVFIGTYGNLRGWNDIGPYFLILTMVGSTLFRIPSGFIADR IGCYNITIISNTIMTILLWLLLVSKTIASTIVISVLFGMSSATFVSLQAPCVSRLCKD MRFAGTYVGMNMFITSLAQIVGPPSSGALLGTGSKQDQLDRFPHATILAGTMLTLSTI ALIGARLCQDKRLLAFV I203_05060 MPHRHRKPTSTITLLLPSPTSSTSSSSTANQPTTEPVNIEVLIL AKKIASSENQKFDIESYLSDTQLEEYRTKYLGLPPKPVPASAPEEAKPVENATATATA VEDSTATAGPSTPVISVEVQSPTPTPPTTNGTATTPGTPSTATPVKKPFKFPDLSHLH WKQRAKRLAELQREQEMIENGEITEQSSSILDDPTIANSSTGKKGELTEKDKEGIRGS ASYWNSLLISARKSRGPQWDYSLQQYQYDRFSVEYYTHGKDPRATPEPEPETKDEDED KELLNTNTEEGVLDNESPNKKRKLSLSQHPSSPNQLNNDKININEKDDDDTDSRKRIK SNSLSNPVPKESPSLTNSTQAQQSKLSNTPTGIGRPPLPSNSSSSNSSIPQIGVSMSM GIPSGINPQQAQGMNPNILASLQQFQAQQQSQQQQNQQAGFNPAQIQMQLAGSGMGLN MNSQQLNGNGLNTQQLSGLNPQQLSGLGGLNPQQISGLNLNPQQLNGLNPQQLMGLSN MISSMNPQFIQQQQQQQQGGGSISQHGSMQGLNMAIFNTNNEPNTGQTAGPMLGGTSS TNGNGNGNWSSGFNTNN I203_05061 MSAKLTHPELPILLTTYNDSRYDTSSTQEAIVRQQLPPDGISSD IDTNIMSIDQPISAGHDMIPYRRPRGRQKRMKRREISIIQRSIILALSIICFLPTLCS AQDTVSSTSASRTTSTSTSSSRMTSGISSSVSSSASSSSSSAASTKTYTIPTLPTTAT LPSLNVSSPSIQIVFPSTDLLFLTFSICTLTSNITLLPNIIVSNSDPPSFDLGSKPTT DQSSGGTKSGGYNRRNNKNGNTWGITLDSGFGNWTFNSTDSGQTASVNVLFGMGLEDD GSTLDELDVDGGLIVQMSASENAPLNSISSALPFLGDTTSTQALIFSPLLYASESIEP TYPNYTLPPAQLPFNPFPSDDLSPSSLISGNTSLSNNLTLYIVPTHANSSPTANGLEY STCAIALAVNSTGSLAEKVILRTEVDTPEWSNVDGRQGYRHHWAIGGLSAGTNYTTWI RDDQGVWTGPIWFTTKSDTFPCQLLLSNSFCPSISYSAPLPVNSTSATTSSGDLISDT SPIQTLPDELVKVLSDNLDGFSNSLLSQACGRDLYSHVSTCSDCFSSYRDWLCRLIIP QCSDPTIISEDDGSALQTVHRSSNNTRNGISFPYEYDELLPCLSICNEVDRKCPVNMG FRCPKRDQNANESYAFIGQDTDKGDGSEEGETRSYDKYGGRWCNG I203_05062 MNHSSGPSSPRLILNSPPLESPSSTHGGGGTSYFQPSSTSSHPK PLYPPGQGSQVSSSSSPSRNKGKGRTRTPAEVYANQHTQSQNTSSKRQLPNITPDHHH HPLPPKEKEKEKTGKPIFEWISRKLGSRRATISESPSSPINGYNPKPNLSQLNESPKM ANIGNGNGGTRNRLPSMPMPKGGTRGKPTRGRGNGLGMGMGGLGNRNVSADHSHHNPM VRQISNLSSSVDNQSLSMISISNSHVPTIERERRREANNPYPSIPIPKLIATGGLKDH LKRKNQNPNKNNNINIHQNNEGDDFDNDHDGTTISMSISYSHLSRSPRSRSYSLDSIR SDSRSSNSYSNRRSLDDNASASDNRIRKFKHGERGSTSCTNDRERDGPGSGSGLGIMP FGGIRPGADDDASLRPFPPSHPGSPTPSHSILSRTGSNPIPLTGNGGGRSRTNTFHSN WSGVGGSGGRERSFTSSSLDGLYGSTYRYSLDQYNDQYQDQDDDDGDEEEEGRGRQSR QDSTSTKPTTCISFDSTPPIAHIAQPQIYINTHPQTQVNTPHLAQAQTPIATQNGTFG LGDVITTSPMPTLERAQAKYENQDDPSSLSPSPRTHHLEAFSSEENHTPPTPTTPTSN INTPSSPMRSPPPDVHVHVQAPKHTPHHPIHNPLPGEIPDDNASMLTLASSTFGLLPN QMTTSGSEGPTPTVPQGLTPTNVPSDPNTPQMTSTSTGVIQKPPSVNRLKDNTTNTVK RPSSITTPSIHWAPNTIGDERPTSTHVTEPNHNVAYAQSYTPSTHASILSINRNWTRD KVDRDASVRAVRRKGSWESYESGWSWRGFDQWNNTTGGNRASPIGERDRDRPASSYRS KENYSFRNSRNLNDEYAYDQSEVGDEGERVVGHGNGHGNGTGVVVVAN I203_05063 MSSYTIDMPSIDASTAPSGSDKYSVILPTYNERKNLPVIVWLLA KTFESKGIQWEIIVVDDASPDGTQEVAKQLAGIYGEDKVVLKPRAGKLGLGTAYVHGL NFCTGNFVIIMDADFSHHPKFIPEFIKLQKLHNLDIVTGTRYSSTPSPKPSLDSVSIG LGPGGVYGWDLKRKLVSRGANYLADTVLRPGVSDLTGSFRLYRKSVIQDIISRCTSKG YVFQMEIIVRARSLGYTVGEVPITFVDRIYGESKLSGNEIVGYAKGVLSLWWGV I203_05064 MSDPIELRPIGKSAPAPPPPPPPRHPATSSLGDALPGSLGRAYR SAEEKAKAAVHLQEGGMDAISIWGLGLASWFSILAIPLLLFPRILLFFSQAPPPPTSA FSTSTSNREDHYDSLSALESTLCLSISLGLFAISLISIFALVPTYDPPTINPTRKPIL GILVGLTTLAGFLLWNMSGLVLGGGNLIVAIWGWWVIVFGNSKNKLSRLGNGEKKNKK DGKVHERFKRL I203_05066 MSYTPSDQVPQSSSPNTPATTFVTSPSTPSTRFKSTVIEVDHSK QFASLTPTPGGDVKMKQIPSQVKLEYHELLYLPQTQDAKEGQHVNYAPQSETMSKPLQ PIQLTESTAPPTSAAKQPMFDPDFMINPLGKPLQPHPHLVNPMIYVNMYPPDLSETAI ANSMPGCLPIRVKLDTAVSPEVRLYPDTHYDWMSKTGTIEFTTLQLAERALTILVNHT TFTPRGVWFSPYPSPYILPLPNPPTAARYIRPTRLIVPPSFPSEPQPEQAFRAYFPTP AEVYDAVRPWGSLRSVNSYITEVLDEVKVEENGQRHQWIARVEFWNEDEAKMFDEGFG KTASLLKGWQILMKASHTLPQVPSDPNAANIQYVTPLGDKGSHDVPAQPSGIMYIPDQ SIPPTPASTTMSHFPKMCYDQLPPTPSPINYVPPWADHNMFAAAGILPDSAMVPVTPE MMSRRLSRTSMSSFDGKPRTWTLTVGESPDGELKPTGLVADDGTIIQHGPGQHIRPAP FFGPGSNSVSGLVDYSNVFIKNLDPDINSYYLEEVFSNVGQVVSARVMRDDLGRSRGY GFVSFYSPEQAANAIAQLHNQKLGRSTISVTLHEPRKLRPEKIAERAAHGLPVTFGRQ SSSLPRRSMSPVRTDRVGRGRQPYIEEPKVSETTTDEIRSLSPTSRKMVLAKRIASRV RDHARNKSLSFDLVEPTIKALAKQDLALIPLLHDKSQLDLRIAECFSSIQFEFSEQQP NVELERPTNEDLIRLRHEIGKIDPLNVNEIMPIMLEMLSPSEWEMIWDQSRVAKKYGL AKQMLDKKKKEEDEQLQKTVKVEEDRTIQISDDLAAGEEKKDHLAPLEGLTIPVFCTL SADQIMKNIKSENGPEILTLLGIVEPTLADKAGNETWVEKVMGKSKVERGVEIASMLG KKVDIDTLKRSQKLKVIKGLIDSEDERALCELLVYPALLNAKIKSFIESQER I203_05067 MPRPIKTILVVSVLLILLTIHHYLPSSTLTQLKQARQSASSTST RNTKIKSRNKVLKVKRPPISGGWSREPYEQSGLTVDHKGLTYRTSKVKNKGYTHPIVA LIERGKELAKKQKQKIDSIQTVEDAVDDYQDKFGMKPPKGFDHWYKFTQSIKPHPIPA PSLIPLAHQPFQSFLSLPRSVLRERIEIVRSKGAIFTLTFVPPGQGDEGTACDPSQNW IPKDYHHRGKGRVIIDGEEAWGWRCNNTLTLLLPILSLLPEELFTMNPPLELPFSSDD GPRGMVHNTFREKAENLGRSGKVWPINQLNKAEQSMRWTYGWSWSCPDNSPLKTRATD LVLNDLHQPDYLTGGEIEKSFIADFERSADYCSDPDLMNYHRAAVEMTPVVATCKTMW NSDIVGVPLDGVFEKVEYVKWEDKNIAKAFWRGSATGLFHSRKTPWRQSQRERLHFLA HNTSASEENVSILLPNGEVGEFTRKELNGWLDVGLSGVPAQCDQADGSCDDMAREIDF MGRVRKEDSLKYKFVIDVDGNGWSSRFRRLLSSNNVILKSTLYPEWFHETLIPWYHYV PVKLDYTDIHDIMAFFNGSPDGKVKGHDNLAKEIAKNGYDFVNDHWRLQDMQSFMFLL ILEHWRLMSEDRQLASYDP I203_05068 MAPSSEQASSGQLPIPASSFSRDREYEAGPSSSSTINMNRNRSY RPSPTPSSSNAQPTGSITQELFRITQILLALDSDTRKFMKDTNRSIDNLRQTVDERME GLRELVESSKDQGKEISEVTKVMLEGLEGKVEGLERVCGDISRSQSQSLVGSGIEIDG MSRKEKLINSQLSRIQSVAQSDRDGTQEVIDEQPLFDNTLDDSDMGDTNSLPRDTEND RTQDGNEQNVMFVGLNEDNGVQSSDMDVGIDPQDIMRSPSTAGPSVIPQSEEVINADG PDAIEKGLRRKIAAVLTREQHEHDGPSASDNLNEAGYQEPVPTRTTRSRRKSARGHEQ EPSSNRDRSQSSSMTATPDGEEFEEGVPLKPPPRSPFPPRPLVNLSASLSPDSQLKKK RGRPQKVMPHDQVQVYRRRDSTFEPPAARHSQKQPKGSVGQEDHQDPESSVGKEGSVS PRKRRRKGTATASIKPESQTKPKMNVKHDKKFTQKGTARLRKFNGQVRLAIKCLAASD GHKTTEADWPNKGPNTAKGRLEEIVCDTCKGRCHWSCAGIPEEKDMSQENWICPDCAY RMEVEDTPAVLIDPTQQLKCIRYNCILREKRAIEHQDGEEERYFVEKIVGRRAIARET DSQKRIFQYLVKWDGYDLDECTWEPLANLEHHSECLLRKFEDTAKRTKSNLKLRVCIL PEARKHWDEISGNAIVDATKSENDSVQGDEDEEEEDQDPIRNEEINIYMGESHTGPNE NDEAKMIDEDEEMINGEQNGDRLDRSLNGDIHDDGRDIEPNEEVIADWVDHNRDQEDE DMIDYDEMDREISGNDDDHQSLNGNNEMGNDISNSGSSENSTAQQQPKVDGKALDPEE EQDELDHSEEEHHRQGDSPTGNEDRDQEDLKETINEMRGGGAERTFFGIRMF I203_05069 MSTQLISQIRTISQPPSSDIKTQQVRSHIDSQFTDLDNLLYPPS GSSKRRKRNLEEEIKNWEERERKSALELEETTKSLPKQIINTQARLQTLLSSAQELSL QRYSIADKLANLVSDVSDGNSHSTDDDSGIRQKRESILGQLEVLQSELSRLEAGLAWA KVLEQVVILSEKTLNPLSHKPSPLAALPHYRQLNDLVQRLEGTLPPEMALMKVVIEVE ENTWQGLRDLMAENLLKACESLGWPKKIKYENVSAEARRAFETAYQDLLYLQAEGEDL HGEERPSHWSIEKGLYPIQAMIKPIELRFEYHFMGTKGTNRVDKPEWAFANILDQIYE HQSFLSTYLQQLSSKAGFEDIDIKSEFTLLLFPILLGLLRARIPHLLEHPALLAHTIY QTVIFDEAVKEGGFDLDATSIYEGRESPAWEGLSGVVLKENDWFEKWLLGEKKFADTQ LNEIISSPEAWTISDEVNEEDEGQSSGLKPTVSARQVKALVEQITDRYAPLPDLTYKL PFLLSVQLPILQAYQTRISGSLDAFETLSSAFVRAVPGALAGNTRSGVHIDQAKLTSG KNGLERLMKAWLSGQWIQEGMTRWGDELFFVEVASNLASSPALKYRYSSDPLLPSVFK HTSSTEVGPTTSVFDVLLERYDHLTSRAEDMIVRLITVETESDLKQHLTRKWDRPPSL EPTEPSPHLLAALTTYSSHLRSLTSTLPQVVVSRLYRRIVDHLSNHILQRGVYAGWSK FTEHGGIDLQMEVKEWKEVSSNSLGENSIIPVNTFWRKLDDISNILALPSGEAEDKVT FAQAMASAWDGEEGLKVFNERLGVDMGREELQSVLRRRVECWR I203_05070 MVQASSSGKNLWVAASDGDLERVQYLIENEGFTPNDKDSNSYTP MHAAASYAHLELLNYLLSKGGNINIPDDDGETPLFVVETLEAARFLVENGAEVGWKNE DGLTAIDQLQEDHPEIASYLLTQLPADQQPAVAAVGGDQEVIGESGISQLALENFTSE QSDQLMQEAQRIMEQCAETGEDPDEKLRELVEGIVKSGLDFAKNAKSQVEGGDGEVDG EGSKRVREE I203_05071 MDSMHPDPAPAPPPSLSDIKAQVARLEAAHKQKGLPLSGRIIHV MHHLPVEIVRIVPAESLEAGGMLSPPMTPEFKPEDVEAKVESADAKWRIHARTAHPAL VSGIKSLSDTHDQILVAWTGEVLLQPDTNASPQPPSQATFPSIAQNLLAPFSAHQETP SPTSPSAPTPPPNESPLMVFGGEFNDQEKKEVETELERFTEVEQKFEEGGRLKYLPVF LPPDVSKGHYEGFCKKTLWPLFHYLLWLDSTATVPSPDPSWLAYHKTNQMFAQRVAEV YKPGDLIICHDYHLLLAPKMIRESLGQVVGGEHGATPVEVMIGMFMHTPWPSSEIFRC LPTRREILDGMLGANLVSFQTYSYSRHFVSTCIRVCGYESTPGGVDANGQVTAVGYCP IGLDVKRVIHDRELPGVIPKMEALRQLYKDKKIIVGREKLDVAKGVYNKLQAFEKFLQ VYPEWRGKVVLIQVTTPALSESPKLERMTAELVSHINGTYGSLDFTPVHHYHQALEKD EYFGLLSVADLALITSLRDGMNTTSMEFILCQDKTNKSPLVLSEFMGTVASFQSALQI NPHDLLGVAHAINKGLNMPQAEKEERHQSLLDSVNGHTSYTWAATILKQLLENVGGEH TAHQTPALDINKFSQAYKNAKKRLMLFDYDGTLTPIVKVPSHAVPTERTLSAISTLAK DPKNVVYLISGRDGDFLEEHWGHVENLGMSAEHGSFVKQPGDEEFTNMTEALDMSWMS EVEEIFKYYTERTTGSTIEVKKASITWHYRNSDPDFGEFQCKQCLDLLESSLAPRRPI EVLVGKKNLEVRPLAVNKGEIVKRLMYENPDADLIFCAGDDKTDEDMFRSLRTVFPPG GIHSNDPIIMKPPVAVTSTLDPEEVAELKDVELHIRPDEIFATTVGPPAKKTLAAWHV TCPEEVVEALETLLESQ I203_05072 MGNNDPTSEFMYQIPLQGFNNKSLSEGGFGLGLGLGGNNSERGG QPLDLKALNERLQTLGLGGPSIDSLGTSIPRQPPPTTTENLAVHTQATSSVSPSPTRS QNAQSQLGSGFRTNHFLSPNSAFQQPIQAFPSVTELAPGDSISMYRPARAPSTAARSQ RRGGTNVDPGETGETPFTPEEAYENAPGDGASYWSQDDITARSPRTGAGGLEDEALTI GPTSVWTRGDMGRDMYDHRDRLLRQESNRNQEHMEELQRQIREAQNLATTATKLEAAE KQLRELQARLIAEQVARTQIEQEAGLKEEEMKNYQNEWASAVRALRRARDEAEKSDEE KRRIQRCFEEARDKLWKYHEALRVREAKAQGKEEGRAEAWQEAERWMGSSPPIPGVEP VQMVPGAVLHQTPMMQTQTPLFLQSPTNQYFQQAAQQPQQQYQQPPPQGQQQQAQQQQ WQGPVSPGNNAPMQSIAQLMEYFAQNPGAFPQFQQGQQHISPPQQISQPQTQQQMPSQ QPASVQLPPMAQSVQPPPSDQIPTTQTPGQSMAPMPHQTPGQTAPSLMPQQTGAPSQY PGAASQFMHPQPTGQSMMPQHTGQPSVVPMMPQHTGQPMMQPQSTGQPMIFPQPTGQV VQPQHTGAPTAKQPTPPQPTAIPQPMMIPVMVPIAQTTPMVVPGTIPVSVGQLPPNQP TQTHTTVPAYTQPTIPPQPPTMSQRTPRAPATAINTTTGRNKAPASTRTQATRLPPAN RTGVSTVPQVPHPESVHQPHAADSYLERFEHDPDLKKMMGGARSKTIHSSAVPPSITE HSRAPTQPHTAARSTFDDSASNVDKPLPNSFPPSQVLGRSHTHRTPSTIRPSQSANNS QKMARRMSLSDGLHNSHAIRMSQIPDGDRYPAFPIGGNHQGRTHSRNTSYGSVDPAGI GLPPDVSNVQSPTSEYRRGGRSSARQTPIRSGRTSARSRVAGALRNDMDLDSELPGIQ EDEEEEMQRHGHAQVPPPMMHPNDNGMPPSQIMRQIPSASARGPPRAPPSMPSMRHRI NPVMPQPLGGGPPPLAGPNKTFSEPHPTRVDRGHKSHHSLSALFHHRDPAGARSEHLP EPESVYHPPKTHDLFVPPSLGPVAVSGGVEDVQGPRTSALGLSGVSSDDGRMRAPTSS HSRSNHPTSAYFPPSPKTPKPPQSPARTEVANKGGRQVINLDSPPAPGTTRQTVIITE RSDPRPEAHQVPLPKSRSNAPTAYSGMSPEDVQNPRDVPLPPTKTYDPTVYSPMGKEF SVSHRDTYHPPPTNSSAGGIANEAQRIPLPLPRSNAPTAYMQAQGKQPFQFPLPPSRS TDPTAYTTKEPHQVPLPPPKSMAPTAYTTSPPDNHTSPGAGPQVRSIDFANVPLPRGG GTVYDMKTQVTSEPDIDEPRGPSRSATHRTPPPPSKIASTKKSHRKPVPTNGGNGNGN GTEPRMYPLPTSRAPTRGNRASTYAASVPPIEEVTEPESGRENTLVGRQSRLH I203_05073 MSNSPNPPTPSPPRVRHSQRRGQTRFEKEAAATGGKSSADLPGD PKVIGPWRIGRTIGKGASGRVKIAKHSKTGQYAAIKIVPKHALMMTSRMSMSDAGAKH DKAVLGIEREIVIMKLIDHPNVMSLYDVWETAKELYLVLEYVEGGELFDYLVSQGRLP PDEASRYFQQIVAGVDYCHRFNICHRDLKPENLLLDAEKNIKIADFGMAALEPSDKLL ETSCGSPHYASPEIVAGMSYHGAASDIWSCGVILFALLTGRLPFDDENIRILLQKVKN GRFVMPADLPTDAKELITRMLVVDPEKRITMAEILRHPFCQRKQDTDSGRRINLVEPP RLEEIARPVRSEREIDRDILRNLRTLWNGTSEKEIVTSLLSNEKTWEKAFYFLLLQYR NKHLENFNPEPESRRITTERRRKPDSTSSSHRRSTRSTTSTTASSANGSVRKAVPREE RERERERERYRSSISGDQENTNPHTATRPAPIPIPAVVNVKSPATPTARVIGPRPSPN PNEPQDRLVNKQTSNGISPGPRTPAHLERIVEQPSTITPVDPRLPKITLQRPTPGSTD QSQTARTGLGLNLNITTTPATTYSSPPSPSPATAVGLGMQCSALGNINVPQVQDAALQ KFFHDIAEQLQLIGSASPRSSIVVPGTSTSPSGPSSPMASPALSQMEETITTPTTPII EIPPMITGVTRPAQRRSMTEQPVPNTAPKPKLPEITKRRSYLGDSTITHSNQENVVTQ PQRFSTGFDKVRKVSNGSEKKRRSKPAPLDLSPKIGSELLSPTGSPWLSTPPLGSPTP PSPLLVGSSGEVKTSWFSNLFSWKPATFTLISADDCAISRAECIKLLESFGASVILED ADGWGVLKCRIDEIRDVSGIIIPKSVRFRIEFLPHSNSNWSSNQPNSPVIPGSPRGTT TSMTMVQEKGALSSFRAVYGRIRSEWRLDSLKSPAISTHSRFTTNNTTQINSPMASPA LDGHHAQAIWS I203_05075 MPLAHIHTIKTAYTPDELRLVADTIYQTMRTHFTAPDEDRYQMI SQHEPYELICSDTNLGFTRSERLIFLQVVQQGRSAAVKETYYGILMAALNEKIGLGEG DLIISVTANTREDWSFGGGKAQFLNGDL I203_05076 MPSVFTRHRRASDALPPSFGKEESPARRQRLSIDLIHSNNLNGS PPPSSGGGKIKALFGVGSSPDPDGKNASLRKKLTSPSSFFKSSVDLNTPKTPPSQSPA DPTLARYTDPSPRTKVNGSSTEERFASPTLAPEAFALASTSSPEGTPRQSKDGRRASV SWQPSYPLVVPEDQYINIPPDGHAAPNGLAPSANIASTPADENRKRRSLDAGDRLSSW PVIQPTSKPVTPPISTLQTPVTPPKPQFALPTPPLSAGSDKKKFDGLQPPEQPGGRRR PSLSIDISDVAMPGSTAALAAAQKEQSKPLASDSTQSAVDTRSTSLAGFTRVPLNDTA SRISSVSVPSSPINSTRQRPNPPVRKSTLIQSPPMPQPIKNLPTLQGWPGSPQPSGST TPGWGSLAKEGGPKTPGFLGTPSGQRTPGLSGFPFSLPPVGTPLGKGKERSTLTEQEV RKAKRAMPVMLRQPSTKPAEEEEGGDAGDDDEESDGESETEVEGGRSDDDSDGGAETE PRASSSRGAIGLAGRFVKKNRGKGKAASQVSPMAKSVVTEEEANGKSVWSLGTPSEKR PTTNWAQFGSPSPRATPGPAPTPGRAALNRNLSSYASTVTTSEEGYFDSAPTSSQVST GATSTPLRSEKPTLAKGTGTTELVNSAVAGLQLGQPVTSTSIATTRAHNEDDDEAVAD DDSDDDSDVGTNEGTNEDSVESPPIPSQQTEAMAIQVTKPTAPVRPSPSTRPSMYSQV SRSMINLPPKPAASEPEGPSDKLSVKPRLETVPSGEQVPMRIDLPPRIPEGKVVGNGP ATPAEWAKPPPTPAAGLSSFNFWSGGDKKQPALKRRRSADDLMKQPPKYEPPFPGTFI PRPRDEEGREKLPKYWCSVHIEGLLPRKMEFSAPSMQARDRSWKKLYFIIHGTSLYVY KFDPHRFPLKVDAPVPTIDEEEVDDSLHVHYPPASERRGSVASQTNTMRRGSISAVVG VGSGSDGGRRGSVPDNFHSAAANQRRGSNAGLSTTDGSIGGSSGLRRASESASGSSLS VPRRSSLSIVTNASDNNSGDLKDPKLFPGNNANSASARRGSTSSQSIVSTSGGTSLAS HFQHNSLVKQYSLQNAESGLAADYHKRKNVVRVRINGEQFLLQTGDNREVVSWIEAFQ AATNVSLDLDVRPMPKIITLPRRRRRRGAAATAAAAAARTATEANGAAANGTADTPSG NAQAVSAADAAERERERMLVEDQQAEVVG I203_05077 MSEPISDPTAIVEQLQTLIDIEGDLADTALAVVEQKQEQEQEQE QEQSAEIPSQPQEESAGQGADTNSSSAEAEKALLENADAIEDVLEASIPPAPIHAETI SDPTTQPIDNTPAVSDIPQVATQSDEGASEALQLLNAVEADLNNPVLPETVIPSAHDT IIETANEEDTGKIVVDVEQPTMENVVEAATNPENDSSTPGKEENPGGEGNIAPQPTPA VADPTIKQEPINTTSSAHPALPQIQSNVVKPASPEVPLPEGLTETSSSVVNNRDLIHS WRQDPKNSLLLLALFNWAIQRTEISDARAWYNALAVDNPTAVEPLLALINLELALSNF AQVEPLFAKALKGPSGGITAAADVSIWKAYLHYIRRQNPIVDSAPNAEAVRETITKAY EFALKECGCDRDSGEIWEEYIKFVSEGPAKNQWEIQAQHDSLRKLYQRAVCIPLNNLE TLWKSYDTFESSVNKATSKKFLAERSPAYMTARTALRELKTLTEHLPKPILPPQPTFN ESDRATVLGWKNYLKWEEGNPLVIDDENMLNARIGYALRKCLSEMRHFPELWHYAATY YLKQDKKEEATEILRAGVQACPKSFLLTFALTELLEDLSQFTAVHELYQDFLSSLSPE IDDLKKVIEREVEMAKGPEIPPVAGGDVTMDGDSLSEYQRMVEERENRGKLVEDRRGK QLQELSRGINVGWIMYMRFARRAEGIKAARAVFGKARKSPYLTWHIFEASAMMEYHSN KDSAVAIRIFELGLKLFSDEVDYVIKYLQFLLSVNDDTNARALFERSAIKIPSSSSRP LWDLWARYEYLYGDLSAVHKLETRFAEVFPNDSPLKRFAQRYTYNGIDQIALRDLGFS SSARPPVLAQANVPLPTASLTPVLPPPPIAAAVVPPVGGPSHSPSGGYKRPLPEGGSP RRGSIDRSPKRYKAHSPPPPPRRYPDRERERERDYPPRDNRDRDRNVSGGRYNGPPPP NGRDRSPFTSSALPPPPPGQMGSRGLPAPAGYGAPPVGMNNAGIPPAALDKDRSGMGK PLVWFIGNLPSARAFDGPIFRPDDIIGLFNNIAPSGLGSGGAGIGAGPGGPPPLPPQG GRGGYADCK I203_05078 MVSSTPARSQLDTSHSYFLQPSSVSGGPPVGLLLRGVNLSSTSK FPTLPNSLSQYQDLTGVSRSDRDQKRQILAGQQSHLGEEEVGFYTEAEQGGRDGWFVG RPFPLEEADVHLRRLKAWGFTTIRFLVVWEALEHAGPGKYDEGYIDYTISILRKCREH GMRVFISPHQDVFSRFTSGSGAPYWVLEALGLNPKRIHQTGSAVVHQCWATQGYGGNV VIWNTNLHRLAARHLFTMFWASDKFAPKCKIDGISASDWIQNHFIAAYGHLADRLRDA GDILDECLIGWDSMNEPSEGFIGIPDLNQCPPAQSFKLHTSQILANGQQVSDVEMADF TSTGQKSKGTISITPPEGKGVWLTRPEARDAESKWGYKWDDEWDFWDENGQGGCIWAG HGVWEPKSGSILRNQYFKPPGKTEIDFIDEFWRVHYTRCIKRIRQSHPNAISFINPPV FEEPPDLSEDVKGGRIALSSHFYDGLTLLNKRELLSDAVGLQRGLTNILSAIKLGEKS IKSTLRGQLGELKSDAHKSEGMAGHALIGEIGTPWDMKSTKLLGLAKGKADRKDYKEP AKAMDQVMNACDGHNALSYTLWVYEPLSTHRYGDGWNGEDLSLISYDEVPTEGPEKDD DLLILNPPDLQSLITLGSRGISSWCRPYPIESVSKIDKFSFDMKDGEFNLQIVIQGLD DHKVWNFDSGSNQLNEDIKGASRDRSEGIITGYTKIYIPYVHYLSQADTSPSLSKDTG SIAATNKSRIIGEPSEDNEEWVKGNGPARNDIEVLQISEGSLEVKGQWGWWTYELGEK GERIGKLRIKPWKG I203_05079 MGKHDKKTGKGRLDKFYRLAKEQGYRARSAFKLVHLNRKYDLLS KSKCVIDLCAAPGGWLQVAEKYMPKGSLIIGVDLNPIKPLPHVTTFVSDITTPHCRQM LKQHMHDWKADLVMHDGAPNVGSAWVQDAFTQNELVLQSLKLATEFLVKGGNFVTKVF RSQDYNSLMWVFDQLFKSVEATKPPSSRNVSAEIFVVCRDFIAPKHIDPKFLDPKHVF KDLAPLPTSITELPNTTEEASVAATQASTSTAAAAAARLAANSHAHSNVFAPEKKRRH REGYADGDYTLYHTATATDFIKGVDPVLLLGGMNKITFETDEEKQWLKSRHTTPDVVA NCNDLKVLGKGDFKALMKWRLAIRLEIGLDVKAETTADATEEITVEPIDEEEQITEDL KKLQEAKSARTKRERKRANEKKAKELLKLQLNMTAPEDLDTNDLALKGEEEIFDLEEG EAEAARQGKSSRKSLRDIVNDEDGMDYESSSSEEEGDEEDDEILDSDEERERKTAMLE GELDGLYETYKERMQERDTKWKVKNERNKDKNFDAWHGIKENKSDDEDAEEQEDEEEG GWDLVQGRKANDEDSDSDSDSDEDEGDHIDEEEVEKPKKIKSRSVKFEQAQPKSRINN SLVTSLQEPEKRAQMSRQAQLWFDQSVFKGVGDLAALDGDDEEEEEDEFEEDETEVEE EDDDEESEQDEDEDVEMESDEEDEGSSTLQDDQDFEIVPQEEEDDGTGWDVEDEDQDE IKRKIIKDKGLLTAEAVTLATSLVNRQITASQLIDQGFNKLSSFNKDGLPSWFLDDES KYYKPNIPITKEAVEALKARQRALDARPIKKVAEAKQRKKFKAVQRMEKAKKKADDVM GSEEMGDGEKARQVRRMLAKAARGKQKAAEKKIVVAKGVNRGVKGRPKGVKGKYKIVD SRMRKEVRALKRIKKANKKR I203_05080 MSGNTDQHTEVSPPRSTSGLGRSPSIFSYQTRILNNRTPTGDRH GNNAQRQTPNSLSSSTAYTSLASMVENDGRPSSPSTPVRKAVARMVVDNGSPPSTAEE VGRARSGSGRGVGLGFGMGGKPGHAKSSKSVDLVRNQWQAKIDQLNNNSDEPVRPPTP RSVKNSAFSPPMPSSPTVSSTETTPSATRTFSQASSAPIVPDQTGSSVVTTATNDTSA TDSGVGRYKSAYMAKRAAKRATVYGASNFDSARIPSTTSNGSAGSAPPPSITSAAFDR PTSPSVSSYTSMLSPDPTGESTAPSVRGQSVEERLAIAKANALRRREAREKAKTGATV ATSSSDKPVEKPTVPSEFGGTSSSKASPFANLFTPPSTDNEATPATSSKTMSFATAPL TADHTGTSTLSRSAYRSIVTAPTVTSSPRYVPSGLSASISGPTKDDGLNAPSAPGKDK YGSISKTDRRRLGRHLPRIASGGEGWDGDTAKGNSKGPSEGRRVPSTLGRASEIPKSA EEEGENTPPAVSVQENKTNLKTPSTPTASVRNKKSLEILVPSTSQNHLPVQPSIPTTP TTKRRSAYMPYTPKSTIANPIGPASPRVELTGAEMKGLMSAVGSLPARGANNDEDDGV TGMSNRLRLTRSRLPPSASSASVAPAPLPSRRLAQTNWMDKHRHAIAAYEYLCHVGEA QQWIEGCLEEELPFGVTEMEEGLRDGVVLAKLARVYEGEAVVRKIWTESKHRYKQSDN INYFLNFVRSVGMPETFIFELTDLYNKKNIPKVIFCIHILSHLLARLGRAERIGNLVG QFEFTSDQLAATQKGLQGVAMPNFGDASNSLAKEASWEPEEPEETEDERRDRELLECE SSIIDLQRHLRGRLARMRVSRTHAQLELAEPIIVRFQARARASLVRKGMKAERDERKQ LHGFAKAIQAVARGHTSRQRWVTKINAIHASDQSIVGVQAQARGMITRIRRSLEQNRL DKSIRGIVGLQAQCRGKLARRNRQSHRQILAHPEVIQSVSTLQAALRGRLQRQAAARQ QRVIHGQVATFTSLQSQLRGALVRRRIRAQEQKMDDATDYVVAIQAVCRGVLARQKKR SFTSTLQQVTPAISSLQAIARGRLAKQAHKNMQKALAKVEVAGSVGGLQAFLRTRLAK KQTTEQKKKLEFVQPDVIGFQAVARGYLVREEYREWRDYLHDGKTIGALVFLQSLIRG FLARRRLYIHSSYIHRNVDKVIKIQSLWRGRVQRQMYEKLVTGYNVDVPTIQNYMHLL DNTESDFADQVRIEALRGQVVDLIRENQGLETEVKELDTKIALIINNKMSFQELARAK HRSNEQSNYVTPNNDPFSGGVHLDRTNQRKLELFEQLFFMLQTKPEYISRLLRTLSIS ANMEEDEKAEKDRRLLEGVTMILFGFGHERREEYLFHKLLQLAVHEEILLAPTLHDLA HSRFAINSVAAQYIKPSLTPYIQDVLYDHIMRIVGAPDLDLCTDPVKIYQSIINAEET QTGVPSQLPRDRNADQILQENATARAIFIRNLQELRALTEFLVTGLIDSHARLPYTIR LLAREALLALQTKFPEATDEELVPVVARTVVLPFILPAIIAPEQYGLAPDGVAPQERR NLAEIANLVSHVAGQQYTDTPDQRLVRTPLEAFISASAMPFREWILDVADVEHAEGQF HAHELFESTIEAKPIKITRLDIYGMLSMLIQYVPVVTAGNRNDPIQGILRELEGPPID YDRSKNTVNLRLTNRLAGPQSGDPNAIEKADWVQAKRHVLAVLRVQTGKTLYDVLVSR PEEIHEQMWIEEVHRDIALENARLAKHGLPPTPVEQMYQIESIRSLPFHEVKSRAIEF CMKLERSGKLSREDNLQGLLVSITSDIRQKHHLRKMRKDNLNGMIKAYEDMTKKKMDY EAQIKTYHDYIDGAMAELQAKGKKKPMFMSKQYRHQKSQQRQGKQAKFGSYKYTAADL YEKRILLSVNQFSPRQFDKLYIVIASNEVGVFRLELSCPSSVSGGGGVMGDDEIRMED LLGAQYENKERLDMFEGQAAFALNMLIHQINKSEWWYGSDLKCLIDTDVLWAEFYAS I203_05081 MKERPGAENALATIGAVMWMVQIIPQIIKSHRTKSTTGLSAGLM SIWALASIFLGSYVVAQRLSIPLQIQPQAFGVLAAISTGQILYYSHKWSKRNTILFFV GFCLVFAGFETGSVFAIWAGQDNGVNWPIKMYGIISAVLLAIALAPQYYEIWKFREVL GISMMFMIVDTLGGVFSLASLFCRSELDILGLISYALVVVLDTIVILLAIILNPLARR RRRKHGKPENIHDALAADASTAEEGNVKNPPSAAGDGMGEGLGKSVSQERREKEQQPQ QNTQEDPGEKTISSCNRHD I203_05082 MPADARSADRVSNYNKFWEQKSANDNESHRANRLDQYTEVVNGY YDGATELYEYGWAESFHFCRFYKGEGFLQALARHEHYLASMMHLKPGMRVLDVGCGVG GPAREISRFSDATIVGLNNNDFQIGRATNKTRKAGLSDKVSFVKGDFMKLSEQFGENS FDAVYAIEATCHAPNFEGIYGEIFKVLKPGGVFGVYEWCMTDEWDPTNPKHKEIAHGI EVGDGIPEMRNLTAARNALKSVGFEIEHEEDLADRGDVVPWYYPLEGDIWKAQTVWDM FTCWRTSKMGIFVTQNAVWALEKVGVVPKGTHSVGESLIVAANALVAGGKTKLFTPMA LWISRKPAN I203_05083 MSTSYCHTNHHHSPTPTIHHLIQKRANAISSIPFSQTTTTFINS PSPLVAPRGMGIVEVSPTASSPSLYSRVGHSNSPQIYARSQMIKTSRKLQVLSESEMT HRYSVEDEPYHHEEEAEEEEEEDPRRMSMVGGPRVRKYTQVPWEEEDNEAQWSMVTQN PISNHSQAGGVTVVGSADMFSGFNRSKPSTTPSGYGKNIRSTGREREQSTISTTSTIM SNSDSSSLNVSSTKRGLTQILGVTSNKDKDNDHAKHLVPSASGLSLASNQTSSTSSTS SFLSDSTPITPKLKSTNAIQIGVSHLPNISDKSDKRIDTFHQGEYENSDASSIGLLPA VIPKSSVLSTYKKPQSPLIDNLTLTPTPGPAGFTANERPLMNSGSPGSGLITLEAAQE RERQKSQSQSQNQSQMRSHETIHTQSSTHSRSTTSISIDQQKRPLTTFSIPPIPDDPN AHVLRPTTSCTSTSSPPNKVKSKKSGLMRLFNKSEKDKSAHSNKPVPALPHTTNSTNA NGPTMTPSLKGRDGVSSRAMSIWSSSTATTNENSTSTSTSTGTVHGESAVWPSSSHKK SQGVPTHGGQGEDEEKRQLEVSSSSTLQPKLELRPISMTFSRGLPVDYLVNPQHSYTK RNQPEDDPPIPSVPCTPTSSMTKKKPIPADEEVVDKKKMMNVKKAFTIQIYELEAQIR ELKDELKEARARKMLEGNCDKCGCTCNFIQDQDDKDDNILLNVGSNTSGNGNRKVIDR ARVKTAGARGVFGSGSLYEWE I203_05084 MAPSQLSQLKSALNSAGLNKKNFSKKDKKAYKKGGARETDRAKK LDKLEEIRKSLNKFDERETKVKHDVGGRNLKGVTGRPSASKQAGLEQRKKSLLPEHQL RDHTGTFRDRRFGENDPTLSLEDRMLERYTRERQRGQGKKGLFNLEDEEDGLDGFDEG FALGGLTHGGRSVMDLPGDDFEAQGFGERDEEDEDKGRVDRRTVNKVHFGGFDGEAEE EEEPEKKKSKAEVMSEIIAKSKEYKYERQQQKEADDELRDELDEDLDDLRALLQDSAP ARPAASNFASTSRQPTAAPAVAAVPEEEVDYDQVVRSLAFDARAKPKDRTKTEEELAL EEKENLEKAEAKRLRRMRGESVSDDEDGDGSRKKRKTSDRKPDADDLGDDYIEDDTLL GPGITREEIETMGLQSDEEDDDGEEDEEDGDGDEDEEGSEDEEEGDEEDEEMDEDDSE VYSDMEDLDDEDVPELIEADEEDFEAVVKKSKGKKVAKPEKTKEIPFTFPCPSSIEEF EDILEGLEDSALPTVIQRIRALHHPSLAAGNKEKLQDFLGVLIDYLLILSSRPTPPFI LISQLTPHIIALVKLNALTAAGHSIDKIKLMQKNLTRGLAKGASQKGSKTFPGCPELV LLRLIGSIWSTSDFSHPVVAPAVLLIGQYLAQGRIRSTSDIASGLFLCSILAQYEVLS NRVLPEAVNFVASSILAILPRRKGFEVNKTYPDSKANDVDLYVDPSAAAAPQQPVNLS QAINSAQSELSHEQAEQTKVNLLVVAFKLSQTFATMYASSEAFIELIQPLAKVLEGCR VAKLSQEVKTLHAAAYTSLSRSLTHARASRRPLTLQAHKPIPIASHAPKFEENFAPGK HYDPDVERNASAKLKALYKKERKGAIRELRKDNRFLAGEKAREQAEKDRDYNSRMKKV EGSINVERAEEKAMQREKQKEKRRAGRG I203_05085 MQVDPSRDKDYSFARLCDLKSHVTLKITSLEGNIPRRTYTDALK DPDLLHAGIQHDGPSDLYITCQLWANGIQYTLPFRTAWKDFPRGYTWNQTIILPITYP SLLLTSQISFTIWDCQGAGKPVPIGGTTMSLFTMKRTLKRGQQRLYVHRGVEADPKPN TATPSESEGEADDEMGRLERLVKDFDRGDIVKIDWLDRIAFRQIEKVHAAESSKSDKL YLYIDLPKFDFPVVFSEQESSIPLPPAPIPHPLPPNQQQLSGVPPHLLSSDPYLWRTY DPDAWRENPVEVKHRKLLRSQRLGDEGRDLKPGPAERDRLNEIFRLPPTSSLSALDKD LLWKFRFSLFRSPRSLTKFLKCVTWSDPVEAKQAVEKLLPLWGQEVGMDDALELLGPG FTDKRVRAFAVKRLERADDEELLLYLLQLVQALKFDMTTSKSDSLRGSRSHRKRDAAV DEGDSGLSQFLIDRSVANPVLGTSFHWYLMIECDASQPAGKMYAKVAFRFQTRLSETS EGTAQRDILKRQGQLVEALSARSKEIRNSKDSRSKKIEKLKAFLADSKHNLSPLPTPL PLPLNARVSVTSVTPDKSSVFKSNLLPLLIWFETTDFTRDSEDETTPETPVSITPDYP IIFKNGDDLRQDQLVIQLFTLMDRLLRKENLDLKISPYSVLATSKAEGMVQFVPSKSL AAIMAEFGSLQNYLRQEHADDGALGSYGIESGVMDTFVRSCAGYSVLTYVLGVGDRHL DNLMLAPDGHFFHVDFGYILGRDPKPYPPPVKVCKEMVDAMGGTQSTHYARFQSLCYT AFIGLRKNANLILNLVALMVDAGIQDIQSEPDKAVWKVQEKFMLDLSEEDAIKQFEAL LNDTSYLTIVFDRIHDL I203_05086 MARPATFASALTLSTIALASKTFLRLTTKKYEVKGLPILLDALR IPHDGKGKGKAIEGLEKDGDTSQLSRRGIVTVCDDPMMWSLMPLSTYFPFATPSHTCR NSRWTLGASDIMFTKPLHSRFFNLGQVIETHRGGGIFQPAVDRAVKLLQDGSWIHIFP EGRVNQEPTNPDGGLFRFKWGIGRIIMDSKVMPEIIPIWISGFDQILDERRGWPKPIP RPGAKISITVGQPLTSQIEPLVKAWRALADKEVGTVGVGGDWKQEQIRDTDTLGHVGD VQKEIRSKGDLSDGREKEVRIKITELLQQGVSDLGKEVEAKEGRFEKGLWSQSTKRVK PE I203_05087 MDVDTPTPATASGKVVKASDDKKPRFEVKKWNAVALWAWGIECQ ANQGADNEGCTVAWGICNHAFHFHCISRWLKTRHVCPLDNRQWELQKYGR I203_05088 MQPNKTVENALATVGAILWTVQAFPQIYKSFRTKSTKGVSAHLM LIWALSALFFMVYTITRRLSVPSIIQVHFSFVVFTTSWVQCLHYTYGYSIKKALIYGG IWTVVCIGFEVGSIFGLWAAQKHGTELPMSVYGYMSSVASVIGLLPQYYEIYRLKEVL GLSYSFIFTDIVGALFYILSLSFRPSLDYSAMVIYVLTAGMMVVIIILALILNPQAAK RRRLEGGQTTIATNPTPGIKEKAGTLHTTPSSSEKINSHLDASDSDSEPESGPSTPTG MEAHHDVPILGYSVIETLHEEPVMEYNAEAAKKV I203_05089 MSLPLVSSKAGDVHDTVDVSHDLEPKSQTSHIEVVEEKLNALHE GRQVQLKSRLDTLTVFESISTFRRSVIICALAGFAAATDGYQHQMSASIIANKGFVRE FAKGRPKLEAAWVSSFGGIYSAGQVIGQFCIPWISDWVGRKGSMYVFMAILTISVIVE SVSSVWWHWTLAKLIAGCGIGAVQSTLPVYINEHAPSQIRGFLIVAYSLWFSLGGLMS SVALKVRADSHPYDYKTIIYTQFGMLGLGMIIFVFLPESPWWLVSKGKLDKARGVLVK KFQNVPEYDIDGELAIIAATIERQRQWDIESAAEGPWAMFKGLNGKRFLIGSWPKVLQ QFVGLSVFSSYSAYFFSLAGNKDAFLVTVILGCCSLASVILDALLVDKIGRRRMTLIG FTGACFGVTLMAIVGCFDYANAQLGAVLVFAGVTANFFNTFQSSTSYAYLSEMPEQRF RAKATGWGLAYCNLYAIMFNFTVPLMLKKWVVKTAFLFVGLGIPGTVLAFFIMPESMG RSPAEIHEMFVDRVPLRKWRGYKTHVESDLDARLEI I203_05090 MSTYPRRTEAVSYPLLPNITDRRLKLFSDGHYAEHNLSSALDRA RVDGDQYVRMTLWSATGTDKPTFQEATAQLKSRDVKPYRKGDWLGPSWTNHWLSVDLT IPSEYKQSIEPVMFEFDPGCEAMIFTMEGHPLHAITGGPNSVKNGMSDREDRRIEHII PREAVENGHYQCIIEISINGMFGLGLNGFRHQQPDMNVYFQLAVADIVLIRSEARALQ IDFQILSQIGTHPDSEGSSLSQRALRASNGIMNTFRRTDGDDHNGSLDNVIRRCREIG WDVLGPLDEQGVGKLTTRSDTSQKDARIWGIGHCHIDTAWLWTYSQSQQKVARSWSTQ IDLIKRYPNHHFAASSAQQYIWLEELYPSLFKAVSAEIKAGHFHPVGGAWLEHDCLLP SGESLCRQYLYGQRYFKEKFGVHCREAWLPDTFGYASQLPQILRLAGIDYFFTQKLSW NNINVFPYSTFNWIGLDGSQVLSHMTPTDTYNAQGDYKEVVKGATKNKNLSVTDECLL LFGNGDGGGGPTPHILNKLERLASTADHNPEMPSFKIGKVSDFFDGLREKTDNGANLP TWRGELYFELHRGTYTSQAGLKKGNFEMEKLLRDVEYYATLASLLSDSFKYPRAELEN IWRDVLLNQFHDVIPGTSIRMAVDDALGIYERRIDQTQKLLERVLDTFSSASSAALNE DKRIMVLDPVRLPRTEVVIIPESVSCVKSATIQTSPTGSRLGLIKTDTYGSGVLTSAK STSPPLAEQKGDDFVLTNTHLRLTISNGRISGLVDRALDRELILPGPGASTGGLMLYE DFPLAYDAWDTEIYHLDCATALSFDKVQIVDEGPLRATIMTESIFGKSKVTLKFSLDA TTPGSTRSTIRVEVHADWHEKHKFLKFALPVDIHAPNATYGTQYGLIERPTHRNTTFE QAKFEVCGHMCGDLSEPGYGVTIASEYKYGYAVEGNTMRLSLLRSATAPDPEQDQGEH DFSFAIIPHAERFVESGVYKDALRFTNQVHLRELSSDAISQLQKIQFELAGPRSYSII LDAVKRGEDDETSGKKTVVLRMFESQGAKAQGVLRLTGLKPSSLQWTNVLEQPMTDEP EVQWRSQGGKVEVDLSFRGFEVRSLQVVLE I203_05091 MAAEKAKNVAKDVVNQPLTPNFTAKDYSSFFLAGALCCTLSHGA MTPIDVVKTRIQIDPAMKGMSLLSGGRSIVAKEGVAGLLTGFGPTAVGYLLQGGAKFS LYDYFKKELVEFSGSRENAVKNRTAIYLGGAAIAEFFADILLTPLEATRIRLVSNPKY ANGLVSGLTKIATTEGVSSLYAGFLPILLKQVPYAIGQFTVNERCTEFIYNQMTPETK ANLSPTSQFGITLGSGIVAGFAAAILSHPADTILSQINKGHGPKGSMVYRLVTLGKEA GVTGLFAGLGPRMVMTAGLVASQFLLYSNIKSALGAKPGIEIHKEEAA I203_05093 MISPCDIDIDFFNNIFHFVFRSCLSRTKYRPSSPDPEAQEGELE TLLIGANEGWDDSYAVFSRTPRIVKERQAKGLKPTKPMKNPFTQGDLPPSYESHLNPP SSPGPSTYSRRSRPISGYSEFEHEIDEDARSLSINPTKLAEMARQFEPTLTLDDIRRE EEEQAERERIPGHSSGEDEHEEEFGDFEEAARGKVEEVDKPSTLVENSQQ I203_05094 MGWRERIPYGAHVIILFTLAFAALILVILNTFSSPFIQSISFLR LPETDGGTNFGAFGWCSSTFCLPNAVAYEYEPHVNKALTGGMMLWAISVIFVFFTVLA ILPLLFVHESKALRTVGNRVFFVYTMGLATLLVVISWLFSIYGWSIAHRAFELSDIEV SFGPAMWMGLTAALCMLIIFILRWPAEAWDGTTTRANGGVGARGVPQVPANGYYHYKR TTREMVPRY I203_05095 MIGDMLNSRICHSNEPDEWEEALAEAMEQWEGLTEVGEDQRRNG LEYDGLGEEEGKGKGQEGGSLEDIIEAMLFSDYDLPQSRKRQSPQSARSARRNLRIET HDLPWILEDQQLIIQSPIKEEPEVIIESPKSTILNRARSLWSTLTSRFGTSDRLYVSS SSGPVVQNVCTRDLQQKSNPYTYRDYHYYLKKSTVDYSPLISTSPSSPIIQTPETPSG HIQSPLIIFTPTGKAQMRPRASNSLPLSLYEHDLHCDECELKAKLLAVKAHMEKMKRY NDQQSKKRDATLHRGRKYLSKDIDAIWGVRVDRNGFKFHPAFAEIYDEMESRGLTWEI QELQEVWDIHNSLMDDLETGEAHKDLELMPPGRNI I203_05096 MAPSTPSTPHGSGRAGSEGGSPAPTVAEPGSYDLDDVVPGVKIF VRKPLPNGQDEQRKAEILSTRPKPKPSAFAPPLPPDAPPPDPRDDTEYYVHYVEFNKR LDEWVGGSRLIIDKEMEWPKPKEEDKKKKEKVGKATPGASTPTRTTGSPRPSGSLLKK AATKAASAVGKAQHPLSKGTSQGKVTQKRRGKDATSSIADDEEDGEEDDEVVDGDGDI SMAGSDGDLDGDGEIDLSGPQNPQAAPKVFSKKQEIEKLRTSGSMTQSHSEVSRVKNL DKLQMGKHEVETWYFSPYPVEYAHLPVLYICEFCLLYYPSFTQLKRHRSKCTLLHPPG NEIYRYDDISFFEIDGRRQRTWCRNLCLISKCFLDHKTLYYDVDPFMYYCMTIKDEYG CHLIGYFSKEKESPEGYNVACILTLPQHQRKGYGRLLIEFSYELSKVEGKLGSPEKPL SDLGLLGYRAYWQEKIVELLLNSDDEISLEEIAQKTSITHGDIMHTCQALQMIKYYKG GHIIHLTDAVLDQHRKTMSKNRRSINPAALKWKPPVFSRAQLAFGF I203_05097 MSSYFPFSSSSSSVSHPANTVNLDDPHLQVTITPSASAYYAGET FSVTIKFTNTRTPPADASFPRTPVSVPPTADVRSASSAGRQLPPFESRDGISSIQDPP TRKGQIGLNLSTIPLAYQNANAEAGPSRLTTPLSMNSTPGPPATDPGFPYSPGANPAY RAPGWSGKGTGPLSPTREKPMNFRSPDGWGNKDNGGAKQAGNSRRTRSLALGKGTMSP QELVWALGGQPTPPPLPSRRPQSAAIPSHHPHSRKISITNFPLSPPSDESINQILSPP LRPSSTSDGPSRPPLTRGNSSTSSIGTSADDDTFLSNEALTRQKSRPTPSPLAHGRTP SYHNAYGASFLGLGTPPPMPPPTHPYIRERIPADRGTTTVLWAYTRLVAQFHPSNTYI PPDPLLPLRSMLLHQPVGSGSLNPTSSLTPSGSNSGGSSRWQLSFGTGAIGNSTQPSL TGSLFGLAKDLVMGGSGGSLEEERKRVWNMKDLPVLETTRSLLGVDVKLKEGESKEYV YTLKLPSTLPPAHRGKAFRFSYDLIVSLNVSLPGGGGRQKSRDISIPIRVWSNVSVTH PFRTYNVLQPIIQTKEEGMVQEVETEVQEVEHSTPVPNGGIERRRRSSASEKHRWKTG DTQESLQAYARHLLDTLEPVSPPLPNGPSPKLPSLRPGRVNARLPRSRVVSPSSSKFD IPPLTPKEQNGTLLEGDDELVEEGLEAGCGDAVEILSRHSPKASYDIAKDGEVVAVLT LIKTTYRLGETVLGVVTFNEPETERRVLKFSAFLESHEIIPQPLLPPPGNTQQSLGRL QAEHRSSYVVSSTRLAFSLDIPSDATPGFSLAAGEEDGKGGLEWKVKLQFVVAVPPTH HHHSHRHSVDHRRSQSEKSQSPVKKGKNEAINLIPKNGISNDHDNKFYSASTTLTPLL HTTDPPRMNGSMEGKDGERSMSKWQEMKTELVECEIPVKVLAGNTAFVVRPSVWVI I203_05098 MASSSNSPVTPLSIPGSSSRSPTNSTADSPHGSTPHSAIISYPA DWSNSRGSSMYENYGRPSLDNESYKGNVEPPIPMSASSSSSSSQPIFDWPSRTGQSTA YSNPIRRDPHNHADLFEPHSSSSEDDVIPRPYEVRRGSAFRSTTDSLMHDGQPIDPEF SSAGPPVEGYNFDPPPQFNRTFSSPLPQRLGYLRHPLSPVYDPLGTGHPPPMSSITEP PPTAKPPPTALHSVSLEFADSLQSAIQILLHLSPPHLLDNAKEQYSGCTVQVPATSLS ALLTSMRGLNFLSANAEELVEGLDHGPLMRKGEDFDIGELLQNVADMLSGQASQKAVD FVLFHGDVGIKHVSVHGDGEGLGYALSHVIRQILLVTNREDTIELGLQVIPQSPSLTP RIGQTLTETEIDRYRGQKSASSSRSNSPGRTSLQPPGPNEGPLLCVFEIVHNISQTVD STHATPKAELNPFTNLAEEAESIKPNFDTLLCNRLLQEQNATLRVDVQPSSPSGSGMP RRAYELSILLSRGKPIVEPTPLSMEEEAIRQPFSSMRLAREPTLSELSEFAESLRTKK VAIHANLSSVFARHLTSYLAAWGMDISHIPIDGDEADRAKTLSTGRHDSGYGGSTAGS TPAGDVPMSLVLSARDQGRFIIVDDDITVLRRELFRIRAELHPLSLKPRLNKRPSLSA RTRSTPHVRQVTQRPASAILIHFTSLAKYNQVRDVVSSFVGAPWSTGSGAYIHPEVMV IPKPVGPRRFLTALHTAVNQPIVDPFFSPIATSPRSPGGGYFGGGSRTPTERENGFFD SVAEESPDEGGKADGGLPKPRSPLGEHPPSAAQIVRTDNGLHLSLPTPGEILATPANE YFSSASRSTPSGSGASGVVMQSPDGRPYGMFFEPPVKNERRASTHRIPSDTLRRKPTS RRTSAGGEEIPSSPALSPSNSRRVSNVTNSGGTDERRGSVSERPGHARVNSRRRTLPV SESPIVAVGRDRSATITQGQRRSAPGHSPVIPSPRADQGTFQPPRPPKKDPASTTAED VEIDTKKAAPRPVKKVDKNDVVVPPINVLIVEDNPINQNILSMFLRKKKIKHQSAKDG QEAVEKWRTGGFHLILMDIQLPVMDGIEATKEIRKMERHNNIGVFPSTPSIEHTRAPS VEGPVPSSPFRSSVIIVALTASSLQSDRVAALAAGCNDFLTKPVSLKWLDKKIVEWGC MQALIDFDGWRRWKSSDPKEASEMKKGFTLGPQAAAKSLASRLRIERKSTRSPAPPSP SPQVHVQVPTPEASDTSTQPPTLPLAPPNPPPLTESTADPTLTKALPSVREASESTSP LTKQVGLLKLDTEGSAGDASQHRSDPGPTATEEKPLPPLPTEQL I203_05099 MTLNRCDACADTVKKPKLDQHRNRCHASFTCLDCSTTFRNPGEY KSHTTCVSEAEKYQGALYKGPKKNGQTQTSSQFQNPAAPSPAPASTPAAAAEPAPTAA SSSIHPSRLNQLNAPEPQYSQRGGPPGRGGRGGRGGFQRGSFGRGGGFAGFERSYATD MNKMAPSSGMRSWGGSPAETTPNPEAPSATEVAQSNIVPSSSVNGDADADKKKKRSRK GDKGGTGAKANSKNPKNDDNAQSSEPQNKKRKFEESETTAIPATAMNGESTDVPSKTI KRLKKRFGKLEEKELTLGQWIDALGKDKERNVDSSDILKALKVTKKDGHFVLTI I203_05100 MSPINPEPRINSKYLTQHRGEVVRLTAKVIKLSGDTATVETSDG NTLGVHLSRDMHIGDGFIEIIGTVKDDLSIKAHTYIELGSQLDMKAVNAVVEFAHSGK GQGVLA I203_05101 MSQYAHVPKSELDEAQIKELEEYEISQGPLSVLQQAVRNSSQVL ISLRNNKKLLARVKAFDRHCNMVLENVKEMWTETPKGKGKKPVNKDRFISKMFLRGDS VILVLRNAA I203_05102 MSEIPLSPRGASETQSISPSKSYCLEAEVVERLRMETPQISDNT LALAAAEEKAISPLQAIRDYHRAFMWCLFSCIGAVLWGYDVQISSGLLSTPSFRRDFG YFYKNDYVVPARWQSAFNSVGSVGGIFGGIAVGWIADRIGRRGSIAIASFISIGAVFI QFFSPSHNNAMLLIGKLINGFALGMYLSAASAYCAEVSPVVLRGITTGLVNLWIVVGQ FIANCVIQGLGSREDRNAYRIPFALQWIFPVILLIGLPFAPESPWYLARKERDEKARM VLQVLGTKDLDLHLRQIQETIALEDHYAAQTTYVQCLRGSDRRRTIIALMVFILQQIS GVIFVLGYSTYFFQLAGFATSDSFKLGVGVTAIGVFGNLSALYSVNKLGRRTLFLGGM IGCTIINFGIGFSSISDTKAARWAEAVFTLIFGLVYQGSIGPLGYVIFSEVSSAKLRS KTVGIGICVNSLCGMLANIIIPYLVNPDEANLKGRVGYIFGGLGLLGSIWTWLYIPET KNRTVDELDSLFEAKISSRNFAKAEVDR I203_05103 MTDLNVDGILSSSPQPIVQDLNSDTEMSDQGKKRKRLSLPVPPT SVSPSGSINKGKQRAVTIGPIRDSPEQDSSASAENNKKAKGKLSTSVLAGFTRKESKF EMMPNQVDWLRRRCEPSPLVPPDHPDAARLPCARCRRFAIDCVRVKAVRRKGPAPVAV SDAVHGYSHGSGLTEPDTARRSSAFSDLESTDFERKLSISYVRVSQKRADNRRSGFPT GLQMHSPLIPINSLPEGIDQVVSGPIIDNVLNLFFDYVYPLTPCLHRPTFVTDLTARR DKTDPIFFALALTVIASTLVQVPRNLVNLDKKEVEDLARKCIKVARAKMAHMWDEPIP ITLYADCRDSEGIVHLFLGNNTAHVVVTAQANQMALALRLNEESSYEGLDVVECEMRR RMYWLLFQADKSTACLVTRTICLPLEDAHNLLLPAEVDDEMITCDGILPQPPETTPLI TGFNVNTNLFRILNDAILIQRRKSPRLMEEILADLQKLQVLRHRTIQTYLTVPDPLKL RKAYDSRSASPAVGWESKLLARFVDFFSNTPSDRAHALNSYLVMQGNILVTQHVLRLV LLQTRQALLAQLALFTPMLPSPMGPEESAENIACELLDGLNSLPVECVATNGPSLVQK VRFVGIHLMDASSDPTGPQSHSQKLLMQFLSVLSVIEGMYTFGRDISAE I203_05104 MPPRSTRSANKEADTPGSKRKRRSSPESPLNVVLPSPASLPKLA SASPEKVNGDVDHESKRHKISLKINLSTEKPKDIKEEHPVDGALKKEIRESLSVVIAQ MSVDLPPPLNNILALWLPPSFAQKEENTLGYVLKQPSLTWDQLVDTIHLFSENLLVPC QYPNPVPARAQFHLPVPPLPSHFPPHIIYNFCASMHSLLLEIEPKKGHDGVSIERWAL TQKTPQAGEWFTSAVDSREVQKRKGKDGDLLESLAAKGDSFANANAISLQSTFGGDAS HNSPTLSDSLIRRASLKMNRWKERRAQRGSGGFGSVPRGVTSVAFTPSFGPTFDSYHA TGGQGYWSTLEGMHERARHREWARRALRRSKNIDEGGYCGNLETNKGKEKETIDDVLT ENGELIEELQTWQEVRTRKGVFAVTEREQHVAEELLSSLAKLTGSRPTVDMLPASTSK VGLAHELARRFLSVSSPSIRGTLDPRRPQALHDNVTVKPRTMAGQTLGGSTNPMLSSP HAPPPIKLGVMPPPPIPQYVAPPPHTLPVGGRSNDNNRPSSTPSRSSYAPHSPSPSIG NTIYNNRPTSTSAPPANPANTAPYPRSVVGPGPSNLRQSFGPGTPGTPSSSSNGIGYG MNGLGSASVRGMMMPGTSR I203_05105 MSATKGKTALALTRVWHHTNAQDRVLGNLASRIAWVLMGKHKPT YDPAVDAGDYVVVSNASNVHLTGKKGTDKVYYSHTGYMGGLKAVPITRMRKRRPEEII RRAVSGMLPKNTFRDRRLERLKIFAGPAPEVYVNNSLTTWRDQVGSNISQPGLAGGEA SQASA I203_05106 MSTGNTTCKGESMTWAINDRGETPSIPTAAAAPALPLPCFRLVC CVNGESISSQMQRLMLRSFRGVTSLPRTQNFSSWLDGCDNPQIGSLPNDVARNRSVSV PQWTFVSPQKSDEAWNITLALANATSLQPSSSVFTGTRLSTTARLASSQSGGSSSSST QKKTSFLPTATSRTSSNVRSVISSTSITTSMDSPPQPVQTYSEVGEVMNGARKGGQTT GAVVGALGGTVLISIILVYLYKQKR I203_05107 MGAACCKPEAIDFEGEVNLFHFYLLRSVGKGAFGKVRVVQHKHS KTLYALKYINKAKCVKMKAVANIIQERRLLEEIDHPFVVNLRYAFQDDENCFFVLDLM LGGDLRFHLDRAGAMSEEVVRFYVAEIAMAIDYLHSKRIVHRDLKPDNILLDEKGHAH ITDFNIAVHFSERRLLTGVAGSMAYMAPEVLTKRGYSAPVDFWSLGILAYELLFGKRP FRGRTNTALTNSILNEHLLWPEDAPGKCSSDGMHAIKSLLDRDPNKRLGYRPGGGGFE DIKAHAWFRNINWDQLYKKEVVPPFEPDSKRANFDATHELEELLLEENPLKARKRKEG QDLEMMTPEMRMMEEHFKVFDYTKTQRRSYYHPAGTKASAANQTVSNGTTATAVSSHL SAKMAELSRPGTPSDRTGLVSKTGLDVEGQILDGGGMANVGGRGLKANAQPDGRSGSL DVRTNTARQSTPLRHSSTGPSPTEEMKDVGNAI I203_05108 MPILGLKSPGPSNPAHFSLEPLIRPNILALQPYRCARDDYSAGV LLDANENAMGPSLPSLANNTDPGPIAAQTLSLLSDEEVASLNRYPSPTHDDLKRSIAK FRGVPNEEWVFLGVGSDEVIDMLYRVLCVPGKDRVMTCPPTYGMYKVTANVNDVGVLE VPLITEDGAFQLDEKAMHDAFKANPDLKMLFICSPGNPTGTLIPLDVIKRVLENPLFK GVVVVDEAYIDFAPENNSAASLVNEYANVCVTQTLSKSFGLAAIRLGYLLAPPPLIQI LTNTKAPYNVSLPTASLALSALSIEGIATMSLAVATLNQNRKALVNALSEIKGVGRIL GGNHANFVLCEILDEDGKPSNKKAVEVYKTMAESRGVVVRFRGSERGCEGCLRVTVGT EEECREAAKQIAALLE I203_05109 MANINSVDISRLKNGEVNLGTSIMAVQFDGGVVIGADSRTTTGA YIANRVTDKLTHIHDRIYCCRSGSAADTQAVADVVHQHAQVYTSVYGAPPTVSTAAAL FEKMCYDNKDQLSAGIIVAGWDKEAGGSVYNIPLGGGMFQQPWAIGGSGSTYVYGYCD ATYREGWNEQETVEFVKNTLALAMSRDGSSGGCIRMCVITKDKVERHFIPGNELPRFW EGKEIIGSIGGNSSQVGVLA I203_05110 MPNVLSPSSKSYAPLADEVNPSFGNMAPAPVVTRNSAIVYGKRS SSTISASSGESEDLERAGGQLQSQSEETLSPSANPKGKGRAIDDEMGDVGEVHRTVSA KGKERAWDVEQGPRELHDQQASEGAYPPMNEVEEEERRIQQNLARFAAKDMARRRAAR ESRQLPPNAGPSSPRSSSSTTSFSRRPFSVLSTKPNRNSIMGMMEGIWPGSPKKDEGW NEGELPMTNSPVAQSQPYPNPYDTQPSFSPVPKMVVSPTSPKHPSPFADPPPPAPVAG SSSHHRRPSLVSATSSGSAQSPLTSPIDGPGFAYGGPTWRGGQAVQQQEEENRKSDKW WHALCAWGSDLDGGYDANQQGGQVGRTNPFE I203_05111 MSPPSHSEVHPFLRGNFAPVTQEYISHACQIVHGQVPRELFGGQ YIRNGGNPVYPPEQGRHYHWFDGDGMLHGVFFDGQGRPSYTNRHLATPLLTMTLLLLR SPLPSIALLISPLSSLHRIIVAILQAFLIALRARMGVLSVANTSVIWWGRGLATCESG PPLEVQLPSLQTIGWDRLKDPFSGEDLGERRGRWEWWKRFGLSRVQEDWMTAHPRVDP VDGSLLLYSTQMFDAPHVRYSVIDRTGRHVIWKEGIDVGHPFAKGANRMHDFAATRTH TILLNLPLTLAPHNLFSRPPVPLIHFDRTLPSEFVIFPRLQPQHLIRFWDPEPSLIFH TANAWDEYDRNGCLQAVNMLGCRFRSAKLVYAAGAIDIPAVEKKFGTGDVVRLQYYRF DMTSSGKIIHTFPLSAIPFEFPTLPPSSGMSPARYVYGCTMRSGSFDERLGGAAKVDC IAKLDVLELIERGRCRDVGKSMGPVDSRSSAEILKDWQDGISGPIEIFAMPAGWYAQE PRFVPRYDGRNEDDGFLLTYVYDESHLLPDGTPSSDGDAGSELWVIDARRLSQSMSAV VARIKLPQRVPYGLHGTFVPGSAVRHQRKVEESLPPQDLLQDKLARSRLQNVVSILFN RPMYRDKSKAEKVILALLLPIGVIMLALSIKEVTSYVVTRQLL I203_05112 MPTHETTPLLGSNHAHDEPSHRTPFDPIKSSRYLLFGSWLNILI VFVPLSIIADLLHWSAAARFATSFLAIVPLAKLLGDSTEQLSMKLGQTLGGLLNATFG NAVELIVAIAALAQNELRLVQTSLLGSVLSNVLLVLGMSFFASGFFFHESTFQVTAAQ ASSSLLTLACITLILPAAYHASSTEGVESTLKLLLEDGAPDPPDASLRGLLILSRGTS IILLATYLGYLYFQLRTHAQLFEAEQAEDEEAEVASMDQWSAGVWLVVITVITAFCAD ILVGSIDETAEQWHIPKRFIGLILLPLVGNAAEHVTSVWMACKGKMELTIGVSVGSSI QIAAGMIPLLVIIAWPLHKNLTLFFANFETIVLFVSVMLVNLLLQDGRSNYMEGVMLM SLYLVIALSYLV I203_05113 MSCLAVSFRTYIDKVTIERSNPIKSLLSSPQKRGSPDRWAEKYT TPKSPSSLSSSPLSLGYRISGSQNSNSQSQRPGLARTETFDVALTASSDAELARGGSG DADTAQQLN I203_05114 MSVEQFLNDIRSFPKDIGSLTTPDLLDVDADQLTHGLLEILQET DTLSSRHAVLQAAALLPPHAFWSDIALKWVEEQDGTSPGTARIVEYIGSALAHEAFPS DSWLKALEDDSRSHVTLKRILVIWSGLNFDSFADKKNFLQSCTRAYRTSLLRIPIATL LIQAILEAPYEPSDVSSSTLMAAVAGSSLVDILIQSLMLDTGNQLFSINLRLLLAILP YAPLMLTPKVPLLAIVLGRAISWRDRPFIDHTLPPRDGFTRTELPEPSLDWLVAQSAV EMDLELPEHMKPRRIAQLFIIAMYGAWPSNVIAFVRDPVSYIRGKNVTPIYAVDWEMI WTPGVLATRLEPLIRDFRLHPSLVVFTSTAELADEKRWERIDAAEFIARSQALSNSDQ QGFTGAGLFEQDEQSAPPQVDSASANLSNRLAKENELLRLEAKFTSRVRRQYLYHIGR LHKTSLRLNNDEAEIHSFVNRLKAQAGQIAELTAQLSQTRTEASQAQQKHVKWQGQLR EKVASFREEKATWQTEAARIRAELSEARAMVKTQREELAEVKNERFKLQNRLTEAEPK IRHISDYETRMKQLTESQRLWDEDVQRCKNALQEAEAAKARVYELEQMLRASRQETIE QSQAIGVLESKIPTTHQVKAEELDTSSAPTPTAAPKDLDVYIALLEQAKKRTDELERE NLLLRDNLSGAKQDTRIVDGEMDKSFMFGQ I203_05115 MTDAANEELPTYYEVLRVDEDAEPSEAYLRIAQKCHPDKCLDNP LAKETFQELQKAYEVLSDPQERAAYDERLDKLQNPILPPPMPTSSHSVFEAEFAPSTR IPARPRFAQPPPYHPLFNMPIIISSDIVWVKARLSQRVHHPQKILHPYWRPIAERVPI PPPPPPQFRPFPSSIPPLPREYVQRVMIDMEVHMARVRWLAEVRARGGGCR I203_05116 MSSTDNLAIALDRLKFYARDAVVTLTQCICKPDATLKVNGRQYK IEKLLGEGGFSFVYLIRDLYSDRLYALKKILITSGQEGVKEAMREVEAYRRFRHPNII KILDSAVVQDEGGEGKIIYLFLPYYSRGNLQDAIAASSVTGNRTPERKLLELFHGTCL AVRAMHNYHLPAVSASYPPTREDDPLVGEPVFDHDDELANAEEGELIPYAHRDIKPAN IMIADDGSPVLMDFGSTIKARINVGTRQQALLEQDIASEHSSMPYRAPELFDVKTGKM LDEKVDIWSLGCTLFAVAYGHSPFEVDGTSIAMAVGSGRYKHPGGYSQSLVSLIDAML IVNPEQRPDIQKVGHII I203_05117 MSRPPFPSGWSSIPLSLKNLTLANSLPVGQSFLWHRHAIASSTP GCPTEEFSRAVDNPPRVVCLRQSPSHLYYTGVYRNEHDALADLRRGITKDWVNDYFQL KAHPELEKMYDDWRARDPELFGKVEVNKRAVGVRVLRQDPWECLIAFITSTNNHIPRI TSLLHRFSQSFSPPLVTLHNPDNDGETTYHLFPTPTALPTKLEALLRSMGFGYRASFI ESSLQTLEEEFKEEIEAGLLRWRNQDVDTVREKLVALKGVGRKVADCVMLMCMDKPSL IPIDTHVAAIAARHPAFPSRLRNKPMSKQVYDETQQFLLDRWGPMGGWCQAVMFAADL PEPKPKVKVVKTKVNTSVTVKRKLEEVSPTLNLKRTRSATRLELKQTRTIVTDEVKME E I203_05118 MLQSASEILSTTWSAVEASISVILVLVYGFFASKWKLLSPEGEE SASKLCVTLFLPALLFSEIGPLASWDKLKDYWVILVYAVVFQLISWIFGAIGVIGFGM PQWIIPCMVFNNATSLPLLLFSSLGKNGTLSPLVKNDDLDAVLDRGQVFLLINALVCN LTRFSFGPVMMKKHPLDIPHPWSHSESPHAIKKVREVLNEDQDYPAIEPYSGDNENAP LLHEARQHGKKGWKIAKILQQSLAGFMNPPMYGGLAAIVAGLIPFLHNWLFKKGAWLS PLSDSIDKIGKLYAALQMLVIGAHLKTKKGSRPPIFPLIYLFIFRFVVMPVISISMVY GIRKAVGDKILNDPVLDFIMMLAPVGPPALTLAAIVEMSDIDEDVETAVAKTIVISYA LTPLISVSVTAALQVVQKLY I203_05119 MTLPIKSPQILTPVSDHPHDPPHLHLGSDGEDRAQPVSLLTALI HSSDKKHPQSSGIISTHSSTPSTAFSSPQRPSHGILAKSSEIPPLQSASILRRESNSG LSDEGHTGLGLGGMESLTNRLEKVEEKRERIEWADEVEHPPRQTLKFAVVSHPNQTAP IGANSEPDTDDGYQEDEEDGFDSDDSQDSQIAPRFPFARPTNFEQHPRYIGSTHIPTH QAIPAPALLPPPARRGRGHIRVEETSTAQDKTCSRHRSPPPVRSRSSSHHRSSRSAPH SPSGRLSDAGPRNGRAGNPMPAPPSDDPEDEEDDQIEEEDSRKEKNLAAGWRSDDAVF YGPKAQQVTPIPRPRQRRHSSALDHAVFVDSDDEEKLDDNNKPSAIGDFLRRASEHIP GFRRPSSGMERVSSGGASESGVGRSVRSQTPCPPALDNTPALAQNRFSTDINDTSGGL AHRLETALSIPSVPNSTGPSRSGSIDRHHEAIRVHPVTAQSIPITRGKDADEPLGWTE EALLEIRRSRNSEHNM I203_05120 MLSTPQIYAHIAMDSSSSTRPSPRPAEGSAHRRRPSLTLSPHLA ASHPVPVPSRHGTISNRSPRSAHETLSTSFSLKRRSKGSFSSLSLSGSLSLGSALLSS SADVFGEDDEDHIDVDAGDWLNASASEISFAEGEKVVRIAGATRVEEAVTLLLEPGTH YLLVELHDEQQTQAFFDYADLNTFLLLVLNAKPLGEGYSHGSDGECKEIVDRIHQGER IVVGDLCNISGKNPYYLVNANAPLRTFVQLYSRGVHRVAMSGGHVISHETVLRYLLGL DKPPSILEAPIGSRQLRLNLHPLISIPTSSSVLGAMQVMCQHGLRVLGVLDETRRTAL TNESSPLLAPADEGKGGLISIVRVMDCARIVVPSEGKQALTLSLADLIKLVESEEAAG KERGEERMPIHTLPPTTTLIYACHLILATSSSRVFVRTDSAPSPLLSPTTEPPILPMS PAQLSPHCVLSIVDVFRCLVAVYT I203_05121 MSEKPLYTIPIDQRPPHGPLTSKIFFPLIFNLAQLGINSAQFLC LPLLLIPIVGRGWFDSAIGWTKDGYGRLLIVITVLFGPTSLVLTTDTPPSITNLVERD AHGNLIKINLPARLVIMANHQAYLDWMYLWILSCYAGHSRGITILLKASLKNIPVIGW GMRFFKFIFMNRSWATDRDNLTLALTQLGHQAQSGEEDHSETSGLLAPKKRSPLWLLI FPEGTIVSDEERVKSVKYAEREGIPDFITMLHPRSTGLLFCLRTLLPQVPNLQLLDVT IGYPGVPYGKYPQDCRSLSVGDDQPPSDTGIASPEESRAFELWLRGIWTAKEKRMEGF YENQRFESGEGGAREVIHVKQT I203_05122 MDLILPSIASQVFIPRNRQDQHNIPIPDSDIPSTSIPALASTHQ RAETKSPPANGAAPSSAPPLIVNLQEASSPPRLHTSIDNKKEKIQARPITPMDFFGSA KGNSTAKDGKVSSKGSRKSVDQRPPVDPAIKGIPQGWEDDLALQVAKSGEGIVTPTQN PTNTLHKLGAFKETRTKLSSFSDTMRSGFRDKGAEKDKEERRPSGLKLHKGSIRATQR SSSMITTPLNLGMQSKHRPTSPGRVNHQLTHSVYSDSSFNTQNTASTEMSFPLIPPSS QNVSTEGSTADFTHLFTPTSQAEHEYPASITSSHSTQSRDRVMGIVNDPSPVPPAGMK TFSRPAIEEVLPFAAGRPFAAWSAPVAEEDQHPPVETHSTDVGRRGSMSLSRLDMLLS KDTAHTESSISLEGWKETPRPRSGLPGKKVWVDVKGERSTGVYSVGWERDVLDLEARL HETMYEIAGERHTFVEFKEPPKAVLDIGTGAGHWPISMALQYPDTNFVGLDLVPCQID LSLLAETEKRARSTKTGTSAEGTGMWESVEKRVKWQRGNFFNELPFDTGVFDLVHLRF VNLGIPETKWYDLLEEATRVLKRGGKIEIVETSYTLPSRCPASLKNSFASMLLADMIQ PLPSLAMQFNLPSVENIKSNAVKPVFHQKWTKKVPGALEDAVLDWVKSAVEYKGTGLI KNQNGLEGVVGRVKGELRDNGGGRWDFGDTRQGRDVETVEDREVIVWAWVATKK I203_05123 MSNPSDLLNQAKSAATAVASTVVSTATSAVNQATVLAGQAANSD AAASVTSSAKSLGSQAASTAGSLAGQAHAQAHALAPTVIPVPAAGVAEGVDNRGDLSP TDEVGKAKFEKLFESRHSADELKDKGILKGAPGDSLAGKRADLEKAMHKDQLDKEIAQ RPQPEELVKKGILNPDEAPPTQ I203_05124 MAVSTPQETVSSTLPSQSTATASSSPKDEYRYQTSGPGMALKPI GIHNESNTCFLNSTFQALSATAPLTTLLSSSPNSPLSVHPNSSLPDPIVHPKLIPSLH PDVLEPPLYDLIPVTRAFTNSLHRAWRMKEAGGGTYGASETSSKRSMSLNSLLREIAR KYDQYDDYSQQDAHELLRHLLNSMEMEEKDVIKKLQPDLPPLNSNLGISGRRKKSSHH DSSLKSGQTTPSASIAINQHLDLKHISPLPSPLPSPAHTRPSSPGHRDVDPVISAVPI NINGQMTGHVVNEDQIFSPSQTPVESVSEIVQSTEERLIPFADVLFGGSLASVVVCEK CKAVSHTYESFLDISLSLKGDDPKPRKRDRLRAMARKFRPRKSNSATAQSPDISQGNS FHSVVSESELSETEGPREKDRRKSMDSDDRITIDSNNTNSSGLGRSSSTKNFGGLKNK PSFSFRRKDKKAASPVIGPSTTFEEEKVKTPSTSTPNSPSLHGQGFQVDRKQPKHHHR YHGPGPTPAQAAYISRILAPPPGAIDSDDPLAKLRAAQSGQPVEASSSSPEYGLIDAL RAFTSVEVLEGENAFACKKCWKIKHGYYSKLEATVKEEDEEQLKDDHEGLPQTYTRDS VSTASSIGTSPLLVPSARVSSHQPPQISVMGSPSSEKSGKTSYWDNLESSRLGRSPNH SDHPTIRAPSPLRRQLELEEQEKLNQQMASTTLSSTYDNDVSTSTSADNLAEAEDDEE DEESDGLSDSETSIDEHHDNNDNNEDDQTKSKRSKKSKSKKDHNKKSHHVVMGRAFKR YLIAKKPEILVFHFKRFKQTTKSYSFSSFYDLKKIDDFVSFPETLDLAPFLAPNRQDY KVNQTPDGPRATYMEWANPEQGPELDPVMYRLYAVVVHLGTMIGGHYIAYCLIDPEQM FGNAAEGENKTPTIEPLDQRSNSSIKSRQSGKEQKTDRRVWCFCSDTSIRLASIEEVL SQKAYLCFYEKVPQ I203_05125 MSSVDSDSSSRRGSLLGEDENQGDPSKAPFKYLPKHVFGSIEYP GPVSHPSSILKVIHQQDINECFNATSKSTGKPVLEMRYRGMEDSTYENPVRGHRIPSQ KLLLKITKRRRKGKDKDEGVFTSEVLGSIPQTVRFRSMADYQWTPDPEGPIANLVNSL KSLDYNAILDHSFPPLEEEFLEPHENPFDPKIQYKSKLDLQPTPLFSTKNLPYTYNYK MPTQAVSEPFFDRRTQTWKTRYVNKARVIGVGPISVLHNHRLGDIPREPTMQVKNRLG ALDAGLLKKLKDLFEERPVWMRYSLFARFSIEERRELQRVKAYIPTASYIMSTGPFGK CLVKYGYDPCGDRESYKYQRIFFYPNKKTVKTPINVDPLDSEEEPEGGNETKQKGWWI EKQEQLIEQGERPPLDVAKAHIFDGKYLNRERGDFQLCDIIDPLIAKYINDVDKFRTK CSLQTGWYPPSLFKLIRNLIRTKYMYIWENHSPAPDNLCNIFIEEYNSGKYLLEDANQ IDEEDGGNDNGEDEEGDEDDEMLNKDREDRGDDQEEGQSD I203_05126 MKYLLNFLEGIPDAPNLADPEFQQLLIDVQAGKSSSKSTADAFY DSLEKIVNELKSTPESLAFQKPVSKKDAPDYYEVIKKPMDLTTILRNVKSRKYKNKAE FAADLTLIWENCYEYNSQETHPLRAAARYMKQKAEHHLEYLVDKNEKPANPLQSFLPQ GIPLNGSPAPLAGSATTRASGLANDSVTEAGNDEDAAGESDDGLGAQVEEQDFREAGE IPDGGGGKDQEGEYDPPPAFRPQLNTSLESAPALIRTPYSMTHFMPVSLNQPGPSFSD KGKAKEILYGNAPPSWFPMPSSVTPVSAGYDEEDFKLEGYWWGAMSSTNEDALIGGLP SIPQMVDPVPPKRKRIKLSHRSINGNDPTSSPKKVNRGKPVNMRKTIHGTVNRLHESR MLINRIQEFQRIELEGGILPSRELQTQKEKLIKKEEAYQRSLARQEELERSKERQKAG GEVGDQQAALELKKATAAMLAHSGFEGANETALDLFTRVAVDHLANMGKTFRLLADGF SNKMTTEEIILHALHENGQIETQDLEAHMKDDIERESVKVGDMQRKIRQAFKEVTTAP VIEDDMMFAENGEMLMDGNFADELGEDFLGLRDLGIDKEFGLASLTVPSSLFYGRRRR LAATHGEKEDLPDYPPPPPFIPLSVSSIPTHVPALLHAFYAARMESGLSIIEDDVFDP SHAQIGSLGQIIVKNVPAHTVNNGATAAASANKKKRDRENDDALRNGNGNDGDDDEEK KKKSAVKKPVIPGVGKGNWVRPSKEEKARRLAQKAALIQDQESLPNELGLVKANGVTN GDGQEEDAEGEEE I203_05127 MLRPKLTYPHQSSSDPPCLLSSSELRDLSLAPSAIRSAKITIIH PQLRDLILPLERGRVLYPRGTTIEEQRWLPAIGEDEEGEEGMAKRGITGSTRPLINLD FVPNCLTASSSIFACGGQHGELYICDLPQPSHYPLTNKYISSAPKIKPFVIKTILSGR SINNSIIIPPTWPNQWTKVSEEKKLGYANRGSRKWEELGRYDEEVLLGKTENGQWVTR RPSPVHSEDEDEVMEVSDDSDEGEGDEDIDIMSEDTDEEEDYEVSATSPISDASVATY PNTVPFLHAPRIPHLPNPAHQAHSRPASTSSRRTTSVTDEPRILISNNDCTVKMFSLH QVSSSSSSSHPTIEERDPIAAPFYTQGREPFSSTRAEQRDRLANRDRLSSRPLDRLGL GAPRSSTSRNGTAFEWSSVPLDRNEYVRHYLPDPHVTPFTLAERDTLSLQRDLRRASE GLRAEHDSLRRSREDFERIIGMRIDANRASGTSSRNNSGHGNEEKEERKLNKVGGTRF KVATNHSSLSPDLRTMVSVGDSTEVSIFEVIDGGREFRKIATDNLCVIAATDAGFSTA WNKDGRKFAVASQDGQVTVWDHRSSKPLAIFHTSDNATSLSEQPSFMNDNSASHLSSS PSSSTSSGGWNVVGPEGIVLRDPITGTPRTGTSTSGKEAARVVKFTPEGSNRDLMVFS EENSNVHLIDAQTFHTHVVIPVPHIPSGTADPEASTKPRQGVENGTWGIAGVGFDPTG DWLYSGTERTVVEWDLRRGCGGGGGVWGLA I203_05128 MSLAALMDLMKNEDEPDTATKSLINEIRSTIPKKYCENPTLSKS QKCGSEAEVASHPSIIAITEAVTNPLYRTNDSDCRCPPTYIQDLEAKVAHQRCGGGTT VKTSATVTRDHVDHCRQRNWSRTFESDWVDLPIESNDEKNTAHDESVYMPQPSNSLPQ AAPSAISDFTQHNLTHNSASGASMDWIELRDRELCTGRSQVEDGDIASRTNGHLKNLE QLQPNAFVRLFSENQDLISANKVTNDQWTTGTSLAVSAWSTESHKVSSTLEDMLRQGC SSELIFRINPRFQDRRIRTSISISDGLQARSVKKLERRL I203_05129 MSFTELLSRQVSNTMIDMLRDNSHRYHFGTQMKETFERLYTENQ AAFEEAGVSEEDFASYTCNSAQFDDWQTRAQFQTEFALLGEADPLKSVEFTFSPRFNL KSRRLAFDSHVKSGDVIREIKM I203_05130 MSFLKSSSAPRHYRSPIKPYIRSMPHLPFEVFLLLLSYDQSPSV LAKLCLLNSEIYRIVIRYLYRRVELDKLNWERFLWGFVITRPKEGPGSFVFKPPKELL ESISKHSRKEIEDDTKKNKRNSHSTASFDHSQKEKAPRPEVEPNQTTESRKRKAFELI RVLVINDIPNTTTASTLLHIVNSTQDQHQRVLLRGVRKLILHPQVLYSSIQWRHISSR NILNQYQRVHEHDFIKALPNAMPRPGLEGAKHTSTPISEIALATSNLDLDPTGSSSLG IENDKIHHDDDEFELEISGSLRNLCNYYSTRGMSLITSVHVPHEFVFSHSKELLGQTL TSQWKMSKFIWHEITSETLPLIPNAKKVQYHFVKPSNKSTNALDGEMDTLLSRVNNIR SILLETIAKGLDIKYKFIGTGLGIKFENDKSEIDVQERLMDQVKNSDPGHTLGTEVIQ DEFWNDNVTFITSTSGLLSVEERNSRGIDVYGTGESECACYPVSTAVKAKNGQGQLQT LSPQDTRRTENEMGFQPYSQFIQNLSG I203_05131 MLSSISILSAILLLAARDVSAAICYDGYGRRYYCNGGLSWGARL GIGLGIAFAIIACFALCGYWRRKQLKNQFSKYRPPALPYNNNDQNAQNPYVNNPPPPQ GQWGQGQSYHGNSNYNDNPYGNNPTAPAQAYQPSMAGQYQARNSNENEHEHGYEWAQA REAERLEREQAQAANGANAPPGYEVATSPQNTGATNTNYQPPAGPPPAKTH I203_05132 MPYDPGGPSSFSSPYPHITQSQAPIDPVLKLRITHITSTLSAPL PSLRELYVPSRFSTAIPPGNLPEKLPVVRIFGTTPSLQKICANIHLCYPYFYVPFPME STSSSSSAGGGGLDPLRPERVIKLCQRFAVSLNHAICIASRQNPQTSNKYGGGVDPKH LHVVSVMLVKGIPFYGYHIGYSYFLKVSLANPGKLYTALEQLRKPIVLGRTWQPHEAH MNHVLQFMCDFDLYGCGWLEVGGGKFREPLPEGDPYDSPPSSPHGPPEIFDSLSVPEF MLHDIGLSPSKDTFAPLEIDILPHHILNRNRLKPRNLHHDFIELLHQPLDPNEKLVPA VAELWEDERRRRSLKGLSLGSDAMMPDSGGMGARSMKELGYKDPNQSQDEEENIGGNW KISRELWDFIQQRMQDERTKKGGKLNFKGFSNEITNGKSGEKRNYDRWIMTTFQAVSA HWPRPPRPPKSTQKSRQSAKSYLGSSQADGLSSPAQASIALTSSPTAQPPPSPTEAEI PLEEGEENPFEVFAMTQASQHAPVDLDVNARIISRNASDRDTQDENREVDDYPDEEND NAKRHAEDVKHHAEEGLKFRATQMPRRIAEEENENDNAMYDDEELDELFRQTVAAGFG SNQSTPRKSRFYHVSVTPSSKASTSGGGYQSSGGMYDKRVERQLRMMEQAGLGDLDTI VDRSSMSLSSPQNPWDNRPVTPSKPKTPVSEKPTPTTLMRNLFAKAGRSSVSPLSTPS KKITALPFDSPNVIMLPPLRRTVGDISSSPSSSPPEEETELEKVSTLQHDVIEKLGIS QEDLQDAKDELKEIQAELPEQDVVDAFFRPNQKTPTSVPTRSTASSNGNDKVQFLTPT LKGTKRPFTPTFKDDGREEEATPGATFVSSSAMRNPNLPSTRKKVRLASPPPAIASQQ RLIPSIPTPTPKSSGSTDLSHSSHPTTNSDSTTTRIIQHQYSKNAWQFHLPPPPQSEI ENTMESNGVPSAIYQQPYYSNSVDVPSRAQLFAGRMFTLKGNSVRDLQDFENSFDDPL KDRKWLRGKKGKHGWKWGWEFSIPPPINREVRSWCEKEDNARRFQSELQMRYDADLEK PTQKSKYGFKFSQKRKTKESEREQQNMSVLALEVFAQSRHQLLSDPDKDPITAVFYCY QNDDPTLPDNTVHKGYHTGYLCLKSPTMDESRLRSLNKDNIPCDIFDSELDLINHIID ITKSWDPDVLAGWELHNSSWGYLVSRANEEFSIDLMDQLSRVVSGHSGPKKDGYSAHH TSTFKVSGRHILNIWRICRSEVNLNQYTFENVVFHLLHQRIPHYSPANLTALWRSKTP EHTHRVLKYFFQRVVIYMEIVDAAEIITKNAEFARVFGVDFASVMFRGSQYKVESFMF RIAKPESFVLVSPSKEQVGLQNAPFAVPLIAEPESKYYTHPILVLDFQSLYPSIMIAY NICFSTCLGRVEKFKGTNKFGFTELKVADGLLELLKDYLTVTPNGMIFVKPAVRKSLL AKMLGEILDTRVMVKHAMKGARGDKSLTSLLNARQLGLKLMANVTYGYTSATYSGRMP CIEVADSIVQTGRETLEKAQELIHSRPDWDAQVVYGDTDSLFVALPGRTKDQAFKIGN DIADAVTAMNPKPVKLKFEKVYMGSVLMAKKRYVGFKYEHPDETEPTFDAKGIETIRR DGFPAQQKIEEVCLKLLFRTQDLSQIKDFCRQEWTKILQNRVSIQDFIVAKEVRLGSY SEKGVPPPGAAVAYRRILKDPRDEPQYGERVPYIISNADGRRLIERARMPEELLVNRS LSIDFEYYIQNLLIPPLSRIFNLVGANVEEWFKTMPRTKRVSKYENQMNQATRGTARG RGRGRGKARGRAGMRIDSHFKSSHCIVCGVDSSSALCMDCQSDPSTTTHALLSRTQLA SRKLLDLQKICASCSSTPPGEKILCDSIDCPITYARIGAERDVEDLEEVGELLKELNM EGEEDVSFEFD I203_05133 MRPSHIFSLLLLLLVPAIKAAVLAIDYGAEFTKLSLIKPGVPFD VVLDKDSKRKISSVVGWKRDDRVFGAEAKMAATRFPDTHFPYVKPLLGSTSQLQLPLH PNPPSLTDDGVLIFPHPSAPSHISPSASPPDQFWTPTALLAHQISYFRHLAETLLPAG STAEPINQVIVTVPAWWDHYQRNAYKDALELQGLSCLAMIGEGTGVALNYAMTRTFPD YNLETGEGSKEYHVIYDSGALSTTATVLAFYQTSYLPTPKSKTPINTTHIEVLGTGYE QVGGVLLDVYIQDLLVEDFIKKSSQKGVKNDKKALAKLAREANRVKHILSANQEANVA IESLYNDVDYRSKISRASLEQSLEASIPLFSHPVTSALISAELSLNDINSVILFGGNT RVPLVQNTLKSVLGGKDDLIAQNVNTDEAAVLGAAYYGAALSRQFKMKNLNVTERFFY DIIKDGKDGEVIFEKGTKLGERKILTLPVPAKGEDEMTLEFSQSTHPASPGQSTTKVE NDQAQTKPILALTINDIQKSLKNFTTPQPVIQVTLRLDPRGYLSVANAVLTSNVTEPE GKEGGVAGALKGLFGGKKDDTPSEDNTTEDDDLKDETKDAKTKSKKQKIALRFKEKHI GLRPMTGEEKRTTQARLQSIAAYESAKFAREEARNLLEGYLYRLSGLLSPDSDIKALH EYATQAERDKIRELVDKTMEWLGDHAETADEKTLKGKRSDLESLELPILKRYKEYLHR PKALEAFQQAMFASRSFLSEARKNNTEALKAAESATDENPAIPPKYTEEELKNVEDQM KENEQWIDKLMKDQVKIEDDKTEDPVIMAGDLDERGKKLQMTVLRLINKKSPRRPRPS SSSSSATSSTTLASPTDHGPEVVESTHSEATPTTSTTLAKPTDKGPELEDVTQETGDK LGKTSISKASPTDKGPDPPKHVEL I203_05134 MTNSEILTPQYTPEEIEKFNLELENKSPQDILRWAIDNLDGLYQ TTAFGLTGTAALDMISKMSQEREEIHLVPLIFIDTLHHFPETLQLSQTASENYLAELH TYKPQGADTAEEFAVKYGEKLWETDEASYDYLVKVEPAARAYKELGVRAVITGRRRSQ GSDRANLKVLEVDERGLIKVNPLISWGYKEVKDYVDKENVPYNPLLDQGYRSIGDVHS TAPPDPNAINNDAGERSGRWQGKSKTECGLHTNYFEMKKKFEEKAKNGGQ I203_05135 MSEDSWLSPTSIEEWWSTPSQSGIKRPYSADTVASLRDVFPENH HSNAMALKLRSIFGRVQKDKSVNLTTSVIDPVTAQIMAEVGFETLYISGGMSATTDTA TNDPGPDLADYTYDTVPKKVSTIYRSQLLQSRTARVNKTGKEEIPLLPIIADADSGHG QHTATMKLVKLFVQSGVSGFHLDDLVSGIKRHDGKDGLSSVLVPTGEYLRRLVAAKLQ LDIMGSEVVSIARTDAETATHITSTIDHRDRPFILGATVPLKQHFIHTEGNSAREEWK REAKLSTLDDHFQSSHPDLYDQFKEETSKMNVSEALSIAQKLVSSFYWNYESPRTSEG WYAFKGGVQAAVSRANVAANISDVVWACAHGYNPDRAEAFAKGVQEVHEGKWMAYNVT GGFPDDGSADEQVKNIPSQLSSLGYVWLFLPIAGLTTVGLGSQTAMKSIKEHGLYGYL SEVSWPAARHAAAADGTSPEWWWKVMGKLADDAADAIGEGL I203_05136 MPGVSDLPKPPQRYSYHRLSSSDPTTSSGISSPMGLPPLLPSDL YGDDSSPASSTSSTTSEVMTPDIMDSSERDQAKILLTTPKMTSDHSILSSMSQQPTPK LIIPFQGSSSTFTSASTSTSGSAGSRFPLLPPHHPLRSKMYSIPEYSASPISSPAHTP KGHPIEKNKSHSSYFAYDAKTKTHPITNPYGTGNPSYQTTLSYLLRIPRRIRPVLLVG VCVFTFGLILLNRAMSHANHMDNLIKQQRELAFSRRYVNQPQAADQYPLMASEIDDAR QAEAAVQSTVVVGKGLEFENVQEEFAALLSFVTSTTANALPSLDPTKPIDPHTVLDFD PTHPNAREDLLLLQNEIDTMYPLVLIGKMRDPYHREIKRALSEYKISPSPLMIDVDQR RDHQVIIPLITRLLGIESEKDLPQLVLQGKTLGSYHDILDLRDKGELKEVLEKSGSIQ IREVGGKKKRKGLKEKERIENERILKPAPIVPY I203_05137 MPRIPVRTTPYHALPRCTRHYKSKRDLILRALGKASFINGSQFV IAWISPKGDTDIFASELLQSAVKDTNGNGSGGVLNKKELEKEAARVKQEMSRRWDEIR RMEEKGELPPSLPDDDLAIEENDNENDNEDNEEVDPDRTMVDEGEDSEQIEISTLDTP LKANGTGLGINSVTINNNKKLSSNTTATGGMYSTFPMTSTNTPRSSTPSSTPANNVPM QSIVLKPEQIEGFYMDRFTNLQQQTCKLVVKAWIKIIEPKKQMKFPYNKGEDFKPSWW PEGVKHREPDHLPKDERKLLLMSIIRNPSVNVARLQLSTAETSALISASKLAILREIY IVAKEEERRRQIGDTSSDLTIELPIINQPSNASPEPAVGDKRSHSSVTTSDNKENINY DLSVQNGHGHASKKSKTHPRLPALTLSAQQLNHNGGHGHGQYTPYDSPYTTSPSPFAF THAPHAQHLSPHVWGENRLSTSATSASSTNTATLSPYGYATSDVHSDYSRSPNPDQQQ QQQQQRQYTNQHHLAPILTHHPQGTANSNGAPSPVDSPFPIPGQGQPNSAGYYQAQQQ NQRGIPTGYMQQQQMEYLHHHHHHQQQQQEAYGFQSPYIAEQSWEGQYSQAA I203_05138 MMISRWKYIGIAGGFIFLLHLLASIHPTYRATTSPFNLLPSGGW RSGQPPDSAVPKWGGEGLPTADDLRDDESLEGRRKANAVFVVLARNSDLWPFLDSMRQ MEDRFNHWAKYDYVFLNDDDFSDEFKRYTQSLTKAKCHYGKIEPDHWHQPEWIDEEKA TKAREEMIRKKVIYGHSVPYRNMCRFNSGFFYQHPLLADYDYYWRIEPSVKFFCDLNY DPFLVMQDENKVYGFTLSLYEYIETIPTLWDAVKEFVQEHPDYLPEGNAMQFLSDDGG ETYNKCHFWSNFEIGDLNFWRSKPYMEFFDYLDKKGGFYYERWGDAPVHSIGAALFAK KEQIHWFEDIGYRHEPFQHCPQGESHTRGNCWCDQGNNFDFEW I203_05139 MRVERSLLLTALFGALATFVAAQNENGSEAEESAPEESGAAETG AAESGASSAAAGASSAAGDAEGAASSAVAGASSAVSSAAAGASSTVSGAVSGASSAAG AAATGASSAAGGASSAAGGASSAAGGAATAPSSAAGSGSKAATSSAAATSGGSNSSAS GNGSDSGAPPLVGIAHGSEWLTGAAVVVGAFGAGVAALF I203_05140 MASHSAEVAAPSQRGSGIDFKSTKIQGSNQPRTYARATQGTQAY TGSSNRKLHGLDPSSEEIDSVIVHDLHWWTNDQDLTSLADQVGFVIGNKDVQFLEHKV NGKSKGQAVINCHTKENAMKLHQFFQHNTFQGKKLPSALSSCAYEFPSVRPLSTAIHS AVRQPTNAHGGVNFNRVRPNSRTAIHANLGVGHPNPRTFSMGNFNPVPMQPGSSGEMV PIDPAIAWSSRQQ I203_05141 MFAKASIALLALLPAISGAVIKHNDTALNARAELVVQPVCEGGN LDSHDCNVALLSLGGGIQGAIQFLRVDDITNTASSGSCTMTVTAVDGGTAIDISKGRL EQAQKAAIAQCGRQAWSVTALGGATGGNLKIVQSSSSSISSVANDVVDEPSLSDTCTC ST I203_05142 MKVKGQTPLPFAQIAVLMGVRLAEPIAYTVIFPFVNQMVEELGV TDNPDRIGFYSGLVESVFAFAQFFTVYHWAKLSDKIGRKPVILFGLIGVVVSGSLFGL ATSFWMMIAFRCLSGALNGNVAVIRAAIGDITDSTNSTDAFAMYGLTWTVGAIVGNAL GGSLSHPFERFPNLFGSLEILRTYPYLLPCLVTAGLTLIGILFCLIFYHESLPTLANN GKGSLSFLSLSTMSFNNNRKSHKRYQSSSSLISESETLVGDEESPSSSTSANILSELP RGEDGPEPLFTPTRKSEWGFWELMGVKKVRVMAATAFLNAFVQGAWNAACLLFFFDRN NGLAMSASSIGTAFAINGLVTIIVQLVLLTRIKRLFGIAGGYKVLTIGWIFVWLFLPL LRLTKPPGGCGGWTLSIFVNVYLSFVTVINLTGSLLMVLINTASPDKSALGAINGIGT AVGCMARVIGPSLISALFAYSMETRFMNGRAWWIFMVLMSIINLVVSFLVDNEQEHPN SHEEEELAMGLLADNEDRNDDDDELTPVERSSTARELPR I203_05143 MSTLTPSAGSTRRTSGEEPRQPQPATGTPRTGGGTPGKKSLSGS TPQSQKQKELAEAEAKRRKVQRACDACRRKKIKCDGPMNSLSENKCTHCEEYGLDCTY VEAAKRRGPPKGYIETLEQRCSRLERILNQLHPSVDLDQYVGPPLDREEFDLPSYQET LRSLHIPPFPSLKPFPVSHRSSAESSLSPHAAGSASPASSILGPSPWRAYEKDPARAP ENDDAEEEAELQSEIAKGMSKLNVRDTIWRYHGKASGAHLMRTFQELKYTRQDNEKRD FLEEVNKHKRHQYWQLPEWELVIANEGIRSLDLSLWPEEGFDNQLIDAYFDHLNIHLP LLNRGLFQRQYDSGLWRTNTSFAKVCLMIFANGSRFVDDERVYWPVNLALTEEGKERL QSNQDGSRRYSAGWKYLHALLRMGRSIMQGPNLYEFQQQILLCNFLSVSAVPHLMWIL SGVGLRSAQEIGIHVRSTLIHVNPVERALYNRAFWCLYHIDRVNCAGVGRSVALQDTD FDADYPIDVDDEYWETGDQETDFKQPEGAGISSVAAFIQTLKLDHIIGATLRTIYAIN KLPEHHADVAAQSAVVMELDSALNSWADNVPDELRWDPTRSDYSLFQQSALLYVHYYY IQILIHRPFIPTPRNRKTGQVFLPSLAICANAARSICNIVDSALRRGRQEGALPGRAL NVGFMSPSWVAAIVLLINIYSGRQSPAERQRAITDIKRCIAASKEMETVWRQAGKMTD MLTELASEHDMPIAVATFKEKKRSHSGDAVDSPVPMDSPPCHNQTSPPTSSSSKAKQF GNFGPNFDDPRLLSATTPQIQHSTDKIPTPEIIYGSSSYLFEGNSGSGATSNAYPSLT HTSSSHTVNNIFSEPGTSSDIQPAHSQNQNQFTTFMGGNLPTTNSSLNNELYSQSQAS IPSFTTQIFGDAQPNDLLGINENSFENSLFDLGSSVFDATGQMEENDIWTQLFADYTG QNYDGNGNWNWTVPGTGTGMFNNPSANSNTNTITNTNTNSNNNTTQQQTQTQFRPQQQ QQQQHQPQGW I203_05144 MSFYSPYNPMAYHGRSWGPSPSSQSSSSIRDTLSNWSNSARNYV SGLAQGAADRMRPNTSPPGYWMDPSLSSGQQSSGNSSPSNQSSPWSSPTQQPQQSLVP AQSYPTSQGIPPNQTYRTGSESDQPGVKAGMYDDGQRKGYEITFDTTKLSGDQSYKSQ VGDGKGNIAFTAILSIDPGGRKQFDVYSGAGPADSNTSMTGPQFQPSQSSNGMIGPSG PKGFTNMTGPTDPSQSSTSEPQIDRMPNFIEMMSDQNPQNWVYGVPPVDLSNIGASKS TTYGEKGSKYSDILFEKGVVDGFDGPRKVLEDTTDWVQNRYHHADWTKQYGVGKLALN RRDAREIASQFLDSDHDGSIYRESQLTDIMDEYVSTGLLPDSNLVYVDSERPTKENGL GSASSLAFDGSKLSDWAINMVGPPEEGYGGYGGGYGSVFSQRQVEKMKDLMDNSQAYG DDLCEAAGFSPEETSLFSSQIGSLLTTEVTSSGRTKPDDDQRRWAYMSLLKAKKVYDN QSDEDKETYNRLASGKLSVEELNSYLQQQQSDSQPSASATSDSAGSTSNATPTNPSVE SQLRDQYDNWRRSQYQPQPRPTSSNGMPPFMQTGFNGYNPNASGTGVGSGLNKPSAMK GGRVSFNPGREEQDYWKSLPPSAVSRGSF I203_05145 MDSAFEFGTQPRYDASASLPKAKDFTHHLNQVSKNRAASSLKEL YRYQGVPGMIVMAGGIPHPEVFPFETLSATILAHDAFPLDPPREPKKNKSLLSWLFSS SSAALPTSSFTIPKWAPGPPDPKTIQLSTSLQYQAATGPPSLALFLREYVSKVYKPAY ADWDVLVNVGNTDGWGKIISLLVEKGDAILVEEWTYPSAVNTFLPFECPTIPIKMDKE GMSPEHLEEVLGEWKEDERGGKKRPHIMYTIPTGQNPTGATMEAKRKKQLYEICKKYD VIICEDEPYYCLYTGEWTPKGTQSDRSILAQRLADAEKKEGSEGNAAFIKALPPSYLH FDTDGRVVRMDTFSKTSAPGSRLGWITANPQFIERLTRITETSTQAPSGFATALTTTM LQKWGFEGYIRWLRGVKATYNMRKTWMCDAFEDTFHLEFDQTNNSIVRGLFPEGTKTI TCFSKQPRNKWDEKKGLHGPALVTFTPPTAGMFVFLGVHVSEHPDYQDLLRKGEDATH VLVQKLWTELADNLVLFAPGWYFDAGGEHAIGGKGYGYFRLSFSIATYEETYKAIKTF SKVLDKFFRLN I203_05146 MARKVVLDGVETKFVNLLDDFSRRLDPPVECRIAGGWVRDKLLS LPSHDLDIALSIPSGHSFAVEFVNYLKTKDVTTGSVGRVAANPEQSKHLETGTTRILG LECDFVGLRSETYADSRIPQVKPGTPLEDASRRDLTINALFYNVSTREVEDYTQRGLS DLEKKIACTPLPPRQTFQDDPLRILRCVRFASRFCLKIEDHVMQAIKEEDVKAAIATK VSKERIGIEVTKMLKHDPFRAMSLIDNLGLHPYVFTCEVNPPRESAIGSAQILRDLVN KGFHIDEILWLTTAVTPFGNLAVKRKGKDVPAASIVISEGLKLSTETKNGVTNLFEAA KIVNPIATVRSEIGTWMQNPVVKPWQRSLTWSAVIEILPGWTGQWDQNAEQVVQKYEQ FRRRIEDSGLPDAIDKPLLLNGTELQQLLAISPSPLLQTIRQALNVWQLDHPQGTKEQ CELWLKGMWEGEGRAEWEKNSQPKKIVKGEKRKR I203_05147 MSTLRQPPAVVPGSTSSSPTLVTSPQFLRPNLPDPSSSSLNRVT SAGSSSQHAAGRIPSSRPTDSNSRKSSFKVLSRQGSLKDKDKQKHGREVSNQSECSDD GFRSPLSESGSADVIETGAVRVQKDHGSGRWMINQYRVLREIGHGTHGRVRLGEDLSA QLPIDEGGDVGLGISQGGPFYAIKIVDRNPKKKRLTGLGRQKGTKSGRDGAKMLNESE IRKEIAIFKKVNHPNVVRMKEIIDDPESSKIYMIMEWCKNGEIRWKEAEGSPALTVGE TRKIFRDTLLGLEYLHHQGIIHRDIKPSNLLRAADNTVKISDFGCSHFSEALRAAAAQ PGPEGDAYVDDIELAKTAGSPAFFAPEMCYSGLDTDISQRSTSSPQSTPMTEVPSFTL RPPSSIETRSSQSDPSNLGSSIPLRPTLSNESAFSRRPPSARSHSSSATIHRRERLPI TNAIDVWALGVTLYCLFFGKTPFDAPNEYLLMQVIPVQDYVVPPFVGKDHIPTGTGGL PASEEANEGLDLLRKLLEKDPAKRITLEQAKKHPFTLRGISDPTSWLAKTDPHTQTFV TVSNDEVAAVITKSTGFRDRFRKGIKSISHKLQLLSGSNRTRSRSIGETDSPGEPHPP TSSHLGTPRSSKLLGLVPSSRDVSPMTSPLPAPPGLSRRLSLLGSKLLPGESPQPQGA SPNVSGHTSPESSSEVPNRTPSVSSIQSAPGRSFMDQRRPSTHLVPPAPSTMVPLAAD DTPKSPRPVASSSSLDKVKNASDISPNSSLRRRGSGDIDTINKEMGYRPRTHSNASSI SSKLARLLSRTGSQRSRRLQDKDRELLAASDVEETGVTPSAASSSPADALGRMSLDEI PRRSLETFESGSYSSQQRAFAPSPERGIGMWNWDNRLRAAPLRRGSNLSEEYTPTKAA GRDVVDEEEVDWNGAISDDEEEDYGDETNNDHNNNDNLDNYTTTNTTGTTTSSSSRMN FNHSTLTPSAPNLPSNWRKTTRDGLLGLDIPQLPPIATTTSSQPVPVAAPPTLDPIPD GSPSTSISSSSKQPTSSTSTTSTSASASGSGSGSGSINSNPINITNDTSTNSINNQLP LQRTSSRNSTHSSSRISQSHSPFRSSFLHERAKSPLGLHTHADSPKRLSRQTSASVFD LQDDEDDGNGDGVDDNGLAITIGGRRERGRKNSMLSNR I203_05148 MPANAIQHDDIAAFSNSIPPPPPYSAEGAQAQYDLTPLPHHENR PRLPEERRNPLLTSLPEDVKIVKFQTIVREGKEIVVGRIKVPTPGTSSHAFILRRYDT NAISLTTMYKVAFPGATDEDEKREMDWVKSSFDTRGTNGGRGSDVVRLAGQWVSRHLA IHLAGAYNLQELIASLARAIPDPNVAYRKSQRSQAASEEMARQNPSETTTAAAPARPV PSMTTAETASPASKRQRRAPSTPAAEASSSTSTGGEAAVAEAEQGGQKHLTLEATTTV TAPAGTNVDMEAEIESAKQLVKDLKKELRLRAAVGEELEEQGVDLPEETRGTKRGKNH DDDGVIISGGSGTNGKDRIVRKNKRVVQNGILGETGQKIAWGTIIFSLGVGAATLLPQ YVSSFF I203_05149 MPRSRRSKITTLSKTPVRSTKASKQALVNEIRATVDKYDHCWIF SVGDMRNDGLKEVRAQWRGTGRFFFGKGKVMAKALGETPETEYQEGLSNVARRLKGQI GLFFTSHPVDETVEWFESWSKKEYARMGARATQEITLPEGPLLTPYTESNSGDPFPHS MEPQLRALGLSTSLVRGVPSLNNPHLLCKKGEKLSSEKCRILKLLAVQMAEFKIILGS RWSKESGFIQGKELDGAESDNEQGEQETMDQD I203_05150 MPFSSGTPSGSGSQQPLSIPSGSSSSSPSINHHYPPTGTSFPRQ SSYGQHQHQYPGYPHGQEQGQGGPSRWENSNSNSNTNASGGGNGMSGLSAMMRSRGGG GNGGNDMEIGSSPPGYANSSLSSNPHSGFHPSSYGPNMPFQAIALGISHHQQPNAYMN TGMGMSISPPHWASGSVGSASYVESMGNFGGLGTSLNSRDRELEARYVKDFSCCGKKL NGLHELLEHYEEEHANLAPDVRMAAISAAQNSMNGLGPGPGLGPGQIPGQPPNNRFNT NGPIPPTPTSITHHSDVPAPPGMMDIEMDEPTTHYPSTLNYHPHQQHHPRHLPHPQGM IAPSNPWAAAFRPQLNNSQPPQCVPPSLLSYAPPTPGSSTGTSTSTHPNSNPGAGGVL TPEQLQAKALRKAQKKAERAAREEMVTSDDAEGGGERRYPCPIEGCDKVYKQANGLKY HLTRSINSGHGNVAALGGLAAILGEGNGLDHQ I203_05151 MREVISVHVGQAGVQIGNACWELYTLEHGLSPDGRLMEGSPHGG DDGFSTFFSETGTGKHVPRSLYVDLEPNVVDEVRTGTYRSLFHPETMITGKEDAANNY ARGHYTIGKDLVDNVLEQVRRLADNCSGLQGFFVFHSFGGGTGSGFGALLMERLSTDY GKKSKLEFSVYPAPKMSTSVVEPYNSVLTTHTTLEHSDCSFMVDNEAIYDICRRNLGI TSPSFTNLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPFPRIHFPLATYAPVVS AEKAFHESNSVSEMTISCFESNNQMVKCDPRQGKYMACCLLYRGDVVPKDVNAAVANV RTKRTIQFVDWCPTGFKLGICNEPPALVPGGDLAKVSRSLCMLSNTTSIATAWARLDN KFDLLYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGIDSVDVEEEEGEY I203_05152 MPQNPAWELIEPYLVNTGLYHIPDSTYGRYITPRYPPHTEILDD THIISKYRRVFLPPYKSFKNLHQAYDTTQIIYSENVNLTLQEVEEGVKRGGRWICYST WEYKDEPQGGWEEGGKGLGKDMILCHVGLGDYGLRYTPHLHHFLKAGFRVIIPDLPSY GRSTGVHSYLPSFLVLPAALHVCLTDVVQQDLANGREQRKVFLCGASMGGWTVLYYLL KYPPTEFASEVASQGSQADSPLPEEGHGKGYDNLERARKDEKVRIHVTGAYVICPMVE VSKESIPPKIVQQIGRAISYVAGPLPFVQGVRGRVSDDPRVEADFEADPLCYHGKLRI ATGLAAVEAMSELEKRAEEIDVPIKLIHGNKDRATSHHGTIKLFDRLPNPDKQLQIFD DYEHVMLKVGIDQADDEKRQRVLADWRAWLLERC I203_05153 MANIQDNKAKLPIPKNLLFIEHEVPSSTAASASTTPTDPSVADP LAAVGAGIPPQPRTNGATSPPIPPLTQRVGKLGLVETKLPDVHAEPHEYYGGAEVWTR ARTFSNSGVAGTTKRRPPQMGGEKISRNRRLSHDETTASAPRRFLIDVEETMRIVLEQ EDTDRNFQISIYDSGPKLLSLGTASSNAHKTFDIRGTYMLSNLLQELALARDYGRKRI VLDEARLAENPVDRLSRMIKNSFWNALTRRIDAEGLEVACADPKNRSQHTKARIYIPY GEDEIAEHYRQIAREKPNLNLTVDILPQKCDDPAFVKSLNDKPGILALAMDRKVDTDG KVKLEALPFIVPGARFNEKYGWDSYFMALGLLVDGKMDLAKSIVEHCMFEIKHYNKVL NGNRSYYLCRSQPPFLTDLALQIYNQLDRSQKEENKSWLKRVIQASIKEYHSYWMSEP SLDPVSGLSRYRPVGLGIPPETEATHFTQILQPYAQKLRISVNEYIEGYNNLTIHEPE LDEYFLHDRGVRESGHDTSYRLDKKCADLGTVDLNSLLYKYEFDIASAIEVAFDGEMD LEEEFPLSPWPVTPEAFESGAPREISTSVIQTSKHWFERAAKRKEIMDELCWNDGHGM YFDYDTKAKKQARYESVTALWPLWAGSASENQALKLVRYALPKFEVAGGLVSGTEESR GIISLDRPNRQWDYPYAWPPHQIMAWVGLERYGFVDDAARLAYRWIYMMTLSFVDFNG IVPEKFDAVELSHMVDAEYGNQGTDFRYVPREGFGWMNSAYQIGLQCLSTGMRRAVAN CVPPWVFFNLPAPDFTSAKKRRAEREAREAEAAASGHGGAPKLEVHHDPPSLEEAVAK LKLELGAGPAAGAEGQQ I203_05154 MPPRRSIPPPSPARSLRSNRGDDEWDNESMASTSLKVPNSRGKK ATTSASIGLKDTSVNIAAAFHAAQTGHLPPPEQSFQSHTSASANKFSSNRSQRAGSQR AKSPAESLKSAARALSPVRFFLKSTEDENGDFDNSGAYNSFSSLGGPGNGSGESYSYA EEDDYVKRLQAQKAKAKPRISDGNSKKKRGNKSFAEDLPYRPAEDDEIYGSDSGGEGE GVVRTGALDGRAGTRGKREERGEGYLGMGLGIQPRQRKKGVKSYAEGSDEDDSQYQQN GEQEQGREEDHHSQRALSPFMQVPNGHTHRARSPTPVELLRAFSPRSQRKSPAPTFHP RRRVPGTIRTIITNILHGVVLGLQYIVESVVNLLHSILIRPAERMFGSSQGILRRLKQ DWWKYLGAMLALNLALRALDAPWRSKGVYRAPDTPPSSIDEVAARITSLEQVTADISE MLRSISNGEAENKQFTHNMLGRVDDLENALMVESKRVETMRGKEDKGVKGLQSSFDAL RAEVKGLVDRVGQSEHTVRSSQDKVNSLGGIDREVQDLKTRVGAVEKKVSDALDDGRL RAALEKILPDNLPIKINSRGTVDIDPRFWTEMKKVMISRTDTEAMVKRALSSSKGGVD MDEGRVRAWAEEVFNGKSTEYLSKDMFQEILHHELQSLRSEISSISSSSSSASKSKTS KPANVTIKSSKGEDLTSLFSELIDAALLRYSKDTIARTDYALFTAGARVIPQLTSDTL ILSSASRFGKFVLGSKDVQGRPPATALHPDTSVGSCWPFKGPQGSLGVMLTRRIKVTD ITLEHAPRELALDMTTAPKIVIVMGVVDNEDDKRKLQEWWSEKNESESPPDHLPLTTI QYDINSISNIQTFPISDEIQSLGIKIGIVIFKVEDNHGGDLTCLYRVRVHGEETNDDD MMA I203_05155 MSAVQTFGKKKTATAVAHVTPGRGLVRLNGSPISLVEPVVLRYK VYEPILVVGPEKLANLDIRLRVKGGGHVSQLYALRQAIAKGIVAFYAKNEDAASALEL KKTLIAYDRTLLVADPRRMEPKKFGGRGARARRQKSYR I203_05156 MRTTITFALYAISASAILVSAAPVVPNSVGGVVVARDGGSAYTG AGGSAVGGSLAENNSAQQGGLAGGDDVLGVASGNAGDGGKATSGTALGGTGATTVSYV NGQPVVSTSNGGSAYSGVGGNTNGGNINQNNNAGPYTPYYSNGRWYYDYDNTAAAGNL DALNIASGNAGDGGDASSGAAIGGSASPVGAYGWPVNGHTRYY I203_05157 MSAFPPEAQDSDPVGPFNLVKVGFFNVFPPNNNVNHSCFRHTWT NMKSATRLMPSLSQPLRSSSRPISRTILSRSVLAGPSRLPRFYTTTSNVPPTTAQPLN PAENVEAASEGEELEEDLPSNINFEELSEEADESIDRFLSHGSESSSIRSNSQFDPIL LPISSLASSTPTLPSESGLVVSLPPDIFAQPIRRDILHRCVVWYLSLLRSGTKSTKSR STVNYSGRKLRPQKGTGRARVGDASSGTRRGGAPIHPIFPKDWSQKLPRKIRYLGLKI ALSSKLNSGLLRVVDNLNEGEWKGTNEASRALSNEVVKTENPVDLEPIISSSSSEESA TPQETQEEIQVINKFGPSKDLSILFVYSPEKLHDEGLWNFHKSIRNIPGLELISTDEL QVYHVLKYKWLVMEGTAIDAISGVQDLQDELELVPEQLNEGEPSRVIV I203_05158 MDEITATPTLDDIISATSTLLDIISTSTADNSIGIGFDYPNGTS PFDVFDNSTISDPDIGTISDSEGNYVLNTFIGLLIVLVASVFNALGLNMTKLDHVRQQ NIPKRQRKKEWMRILWLSGMGMYIASQVFGSPLALRYLRPDWVAPLGSSSLVFNFLFA YWLVGTPVTPTDIHGTIIIILGVILIIIFSSINHGLTQSLNIERLNSLWSRASWLAYF LFIVLFTASTYLVSSLFASLLASRASFSPLPSPTLELPTSRPKSTNAIKSFFKRISKT VKGIENIAVRRLEAFFARTDDARLTWLQGMGWAVTGGSLAGLCLVFTKAAVKLFGLPG HPLVHPSAIITLLLVIITAVLQIVCLDRALKCADTVVVVPLFYAGYTVFGFINSLIFY NETGQYARWVLVAVFISIAVLISGVVLLSLKSSAKAAPDPYTVSAQPSNSMRLRPRNH ARTQSGVTDAESGPSSSKYEGDDIDALSDGVVEPRDVLWEVGSVSDASDDELKENEKG KGKGVGGMRGGTGERRGLLGDEEEDIHEGEHERDRIQGGTADDQDDRKREDPFVDDGD GDDGFGEYEGVEHEDVHETKSGETTPRKSSR I203_05159 MVLGIYLNSPNSDQESPMIIEDEIGLLTLSTAPKTIWKRSLKIS ELSPEFELSIPTLPYPTKGECSQSSLGLLNVPCLESISMSRITSAEGEGKEDPFGYSD ILSSFPSTRRPSVIGIDIDLDMWQCPPSPMLRPTSCLRDSIDDLYLSTYSRTPIDMDM EEDNDDDYTSESFFGHSPCSHLHSDRIPVFESPISDVGSESSLEELIITPFSDILNLP HHRHYIQESHPSQSEDVEGEGYPQPRFGSGSYPASPDCIGLGINFGSPSPSTTLSGPG PRRETLLEDVSMPMPAPVTIRCKSIDWSTFRINLLSNSDDTSRTSNVEEGTIDIYMSD RSGGLQSSPISYDSPLCRNQLCSV I203_05160 MSRLSPTAPSFRPSNDPSSVQIRQAYLVRGGRRGHDMLPHRSRA SFRGGQGRYSHSPYHRSAVGSSSISISNSQDGIAPEPKHKESEIIGHSDDDNQQAASN IKAVLNNDADEEEEIKKESDLPTKSPVHPYVVGSPAAEGEEVLLHHTTPTFRQSQEVD DILKYAFQSQFSNDWRHRDISRIPNSNQENIWKSQNNHLLMNNHSQSERITYERSTLD TPAPAPRVKRENSISSTSNDDYGRHKRFSSEHIRLDGLGEGRSRNGYGRTLMGGQESG RGVIGNTSFEIDEDGDTTVVVSEAGCDIPMIREPWSTQARIPQADEGGEIKANIGPHT ISLNPDLPPLAIEHPARVWNSMIHSLESHLQPVIKWDYEKILPQHQGQDTLWMAQLTL VLPSTHPTITGHPLFRSLPKNQWKKEYVAAVEAIGGVRKWVGEPRKLKADAQNTTLVR CISEDALAWVLAPNGIREVQESDNEDEDNVIAKPNTGTSTVKSGQSDSGNQFQHLHPE LQSMVLHEPSQSCEKELQPDSDARSFEIQVDEHELEVNPKAPPAFGPAPHTKHTGRSK TSFQLFLDALHRTLGPGGVDIPNPASFSSNWESFTNKFGCTLTIGSPGNVRLYQEPRT HTYAEEAQNAVCQKALDLNVVGFMEWLKESLLPPASPTIDPIVEEQPKEIIVRPQPVE GNVAVPMKAYKPKWQSELAAFCSGTGMPSPRYHEQSIMYEGIPEVVSEVTIGPQTFSV PKNGRSTKEVQEYLARRVLMDRFGFKPREEAPLVVSTSKL I203_05161 MSSTMPPPPPRRSARLSIKSEPTEDEHHKAEEESKPPPAKKAKG RPPKSAASISTKRKAVKIEQDATDAATSNQVDVKPTQEDLSAPVPKKEGGKRKGSFSS TTVKTEPANPEAEEGPSQPVVEAGPSQFKVKAEKTKSPAKAKEKKVKTEKVVDPKKAR MARLREKCPKNIVDRYKRAISQRMFMIERERVGEGNFQYEQFKVLGSTGNVYTVNIGT IPRCDCPDNLKGNKPCKHIIFVFIKVLKVPDDSSIWFQMSLTPEEVDHVFNTSPPTPN GSVTVNVKVHKAYLHATGRGGEDEEVVTAIDKEIKDGSDGKKMDAVGEDCPVCYEEMT QEDVDGKKLIAPYRMFPDRSKGNDVTCVWCRSPWPTEDGGAGKGKGKASGPQYSSMGY LNMAAEAGMSRERDVSTYHWGHRYRNSD I203_05162 MTPHGLFSNWPPPSKEILKEWLDRQSIIRDDSLKIVDMDDGDGW RLLSGREMDIGELICSIPKTSILSHRTSSLPPLPPLPSSSSCNEGSMNSITILHLSLR LLHEYRLGEGSPFYGYLQSLPRDIIGLPIFWDLPEICGEDGKEAKKWLRGTEAERELR VREEQGLRLSDLQLFYSHYSSHLPPTTSHPQPSPIQAFYHAFSLISTRAFMIDLYHLI ALCPFADILNHHPTLPNTSLSSDDFVCHLCGSLKTCPEHDITNSQGIAYRLLHLIPRD IQRIEDEPQDTIELRVERPIVKKGEEVWNSYGDGLSDAKLLVEWGFLGEEYTGDGLVW DLEDLHLKGEGESEGYWELFDQALNNFIYQDSAEHEDEEERILCGRNEQHPRLLNLDQ SGRISINIFSLLWLNQIRSRRKGQENLKAEDLELLLQAIKQIESIWKISIDEEYTDYD TLLIDDLVEVIQQLIDLLKKRIGKMYRCDSSEDALFKMRDDLPQKDRYQYMAMTLSIN ERVLINSTLNKWNDLLDFIVASS I203_05163 MIADVIKIETPKHGDDTRSWLPPSASFPQEGTYPRPDLPPESAY FLQANRNKRSLTLNLKSEKGKEIIRKLVKDADVLVENYVPGKLEKFGLAYGQVKSLNP KLVYCSITGYGSTGPYAKFPGYDVVIEAEAGLMHITGEKGGKPVKVGVAVTDILTGHY AQSGILAALLKRGKTGVGSRVEVSLFESQIASLANIGSNYLISGQEATRWGTSHPSIV PYQVFPTKDSFIMLSAGNDIQFSILCSPMVLDRMDWVNDERFAKNSNRVENRDELVGL IEQALSERTTEEWCERFKGKGFPFAPINNIAQTFAHPQSIARQVVEEIEHPRAGKIKL AAAATSYDGIKPKLYRPPPYLGQHTDEILTELGYEEQEVEKMKEEAVV I203_05164 MKRSSDSTISPRSSKRQASLAAFLKPKNAPSPINTKIHISEGNG QEDKPIVLDDGEKPEPLKSITTLDKGKGKAVDLHQNGTAKSTATDLDLDPAWPPPQHP YHSPPNTTYNHPIVIPPPSPSLQPMRFNLNPKIIHNPTTDLDLVYYKSFIDKSSSKEL MSFLLNNLPWYRVKYMVRGMNINTPRYTTVFGKDSTDIPWSGYMKCRPRAIPEVLERL MRKVEQVTSSQFNFCLVNYYSSGNDSISYHSDSESFLGPNPTIASLTLGHPRDFLLRH INHKNHPRTGNSVAVEKFVLEDGDMVVMKGKTQHEWEHSIPKRKSAKGRINITFRKGI VKYATENYYNYNVGKGSLHRWDREKGEMKEVEVSDGGVKS I203_05165 MPRRQRTLEAVSAATASASTSTKRKNPTRDDEDDTESDSGSDVS MINVDFDFYNFNPDVDQIAIKRLLRQTLSHDDELIDVHPLADLILSEGVRLGAGSSIK TDGEESDPWGLVGVVDILENRNNPAFSPFLNYLLSTLPSISPLRLLLDPSASPAAASK PALIFSLRMLNLPLPLIPHLYRMLLSELQDQGFTDYLLWGRGYRLEGGEENLGLDMND SSSKKNKKKSGNGNANNGLPLTAGTFAYHPEEEFIDNVATHVHTYPFKTAPKRDEDAF GVEQFGRLVLISGDKLKTAVEAMQAACQ I203_05166 MTVAADDFTPQNQHFGNQNNVEDHDHALAQKAQNLDLNDRPSSR ATHRTNHTTQSHLNNSAGPAVVPGKFVEDYNDNGNETGRGGQDEIGLGSALSSDPTQL KREVDQDGETNERPGLPQRASRSYVKPIPIVTTYEPELADTASVKQRKTKAPSVKRAS SKAGSVRSNKAASVNSDHARPPSVTGDQARSPSVNGDHHVRPPSVASVRRLPQLDERE NGFQPGEIAPSHRQHELQGGNNNSNRVSLHDSGPVSRDRSTTFEEPDLHQRATSPNRP HSSFGYRPQPNLMSISEGDRPDSRADNQRDLRAGMLSRNGTTMSRAGTLGRNGTLSRG ANGGTIGSRKGAFGRGAGTSVGTQPEEVLGRDDIHMRAELSERILDEATLRRLSTMER KDAKRLTKVIKAEAKSEAKSVAGSIKELERLTRLQREAASAERKSQLRLSKWTRKEHK ARLRFLKEKERYEKIEGELRNSENDYEERRDHAAGLTSQVAEKTQEVDDLRAMKAADD REREVKLLALKNPAHS I203_05167 MINLPPSVQKVLEGRIDPKSQHLLEQFSQTFLIILTIISFISSY FSSSVILGLELFLGGLVILLLAAVPPWPYLNRYPRKFLPVRKLHQT I203_05168 MPLPPICTTFEPYFLLSPDRLQDILSHFRKEMEDGLESYGKDVA MVPSYVTGVPDGTEQGTFLALDLGGTNLRVCEIRLFGQHKFELKQQKYKVSEELKEGE ARVLFDYIADSVDAFLTEIGSDISSNEPMHLGFTFSFPVEQTAIDAGKLLTWTKGFNA KNAVGNDVVKLLQDAFDRKHIHVRCSAIVNDTVGTLLSRSYQSGPALIGAIFGTGTNG AYIDKTRTVKKLGEEKIKEAEAGGQHAGEYMVVNTEWGAFDNGRNCLPVSIFDNKLDR ESINPRKQAFEKMVSGMYLGEITRNILLHLIDASVLFNGYSSKTINTHYGYDTAFVSA VEAAKSPEDIKKLIITQLGVDPKHISEGDPEIVQWACKVVSERACALAAVAIAAVVQH TGNDQVKEGEEDKGVDVGIDGSVAEFLPRFAERVSTTLKVLLGEEGGKRVRTGLAKDG SGVGAALTALQAKKAMDKRTENDSQFVPGKTGYKK I203_05169 MPLRRLELSNFKSYRGKQVISFGDAPFTCIIGPNGAGKSNLMDA ISFVLGVKSAQLRSTQLKDLVYRGRKAAQIEDDGEEMDIDEGEGKTQPSQSQVGSEND ARTAWVMVVYEDEKGKEWSFRRSISAAGASSYFLNGRSVAWKEYNGQLEKFNILVKAK NFLVFQGDVEGVASQDSKALARLIDRISGSLDLAPAYEAAKAAQDKATEASTANYAKK RSIITEVKHFKEQKEEIMQWEKLRDAKDALVQRHALWRLFHLTNEINESTRKVEEASD KLADLRQSTERDEKKLRDAKKEQAQAQLKVKKREADVKKAEKAYEDKKPELLAIETQI AHSEKKIRNATLLAERVQKDEQRQSEALATLEQGAQDVQQRMEEAGERQRQRSQAAGI TLSGADLEEYRRLRASANLQAVPERQQLETLRRQQKTLQDGLSSVEDRLQQAQRLRNK LTSEIDSLTDREGTMSEKVEEMNEERERIQGQLDHAQSERQRISMRETEINERLQETY NNLLQAGADKRENERETKLKETIASLKRVFPGVHGRVIDLCRPVASKYDTAVTTVLGR NLDAVVVEHEKVAIDCIEYMRNQRAGQATFIPLDTIQVRPVQERLRNYVKGARLAIDC IEYNPNVERAMQHACGSALICDTMEIAKYVCYDKGQEVKAVTLEGTVIHRSGLITGGQ SSGAARKFDDRQVEGLNRQKELYLSQLQELYRSKPKDKADEGLLESLARLEAELTVAK DDLTATRTRLNGLREELEHVNSTISRLNPDLQRRQRDVNDSQNRRQELLETINGADDE VFGGFCERIGVANIREYEDVQLKIAKEANEAMEGFAAQQARIKHQIDFETTQLNNTRE RLAGLRSTISREERNITDLQANLEGIQNALADLQQKIDEQREKLNSANEAYEQIIGRV EAARDTARRTQRTLDKALKEIASWNDEIERSGSERHAIYRRCRLEEIDLPLLSGSLDK VPLDLPARDDDKDKMDIDDTQGPAETDDYGIEPDFDNLEDEDKEDKSGEVGREFDAQI TKLKADLERVVPNMKAIDRLKDVEAGLEEAEEEAEETRRESKKAKDEFQSLKKRRCDL FNKAFTHMSENIDKIYKDLTKTTTQVGGTAWFTLEDAEEPYLSGVNYNTMPPGKRFAE MEQLSGGEKTMAALALLFAIHSYHPAPFFVLDEVDAALDATNVSKLARYVRNQADKEV QFLIISLKSTLYERADGLVGVYREQEENSSRTLTLDLRKYAT I203_05170 MDHDHDADFSTDEVDHEHRSTGNKNGGKKDDGSKGDGKGDRKGV INRVNRACNNCRRMKMRCVGADDPPCKRCRNGGLECVMEKPGKASGGDAHVGEDRIRS LESQVSAIQNTLTDLVTTLRAGMSSGASNASAPTPVNASTTTPDYAPSVGSLPLPNST IHGLMGPPHGNSPTMNPAAMDAYGRVPVPNVFPNTQSAQNQNHTNNPVDPFRSLAGAV ANNQTFLPPPQEPRRPVVLDENLRRQSVPVGIPTWPLGHLAEQEQQPHTRHMSLPPSR AGSMGPEDILGPEEIINPLGEMSNMAGLVEAAVERAREEQAISGSTNGETPMKRSGSD MKRSGSGNEDKKVTKRARFVPPHPVAYGPVIYENQNLPPIAVGPGYKAGPKRQHIHAF PDAVTEGLVSEEEGREMLKIYYMGSSNFIPCFDPRYDTWDSLRTRSPFALTTIIFVGA RVRDGGGPHSATQTLCRAHAEKIAVNTLFHSVQRIEAVQSMILLAAYRDSGWLPGGHA VRMAVDMGINRSFLHLLRTGMGKGKSEAELEQERSLVVQSRIWLCLYLMEHQMAYGTG RPAIIREDETIHQCRRLLEHPLSIPSDARLVSTVEMTALRSPLHIELTSTPDLPITEG TLKRLKQANNDFDAWERYWDRVLSDRFGKGKGDFFRESLIIQRQYAELFVNSQLLRGI REPADVAKMPDEKRVLAIRAMRNAQRCLEICLRGDNYRNGLKYAVHYTHVCAAFAASF LIRIARLFPNELNLKKTAKDVEELAMVLSQIPAGRYARSLRLILRKARRQKVIPAPSA MPSPNRIASALPNLSGSGSGNVPGPRMGSGTPVDMTSALSSTAASAFSPSQLVNPAFY PSPAAGGVLPPANMSPSSAAVFMATGQLINDSPSSAELFEFDSLFAQETMEKAGIPLG EDNQLPLFLDGQSLGSSANQLDMAPYVGLEQFFLPQEVDNRLTGPGNGINDGNMGGDG FTGGDSSWW I203_05171 MPKPSSSSLRSNGQNGKYTPTNYAATKNEVGVFTTPGYSEDIKP LWKFKNAEEAGKSAEGIWKLFEGYRWDRDKNDFVGMDICRKFIQMGRTRSLRYALRPG GRKYDPSTGKERKRTGKVYDQGKLDGAEIYEKWLGKCWDNMVYRKAWESWREGKELSE AVDGDDVKDQSVVRVAQGEGDEKDHKETKKRKRPVKDEPVVKVKDHSGPKKRTKKS I203_05172 MMFTTKIATLSTLIAAANALTINTPASLIECQPTSITFSGGSSS PYYLSILPGGQASASALENLPDATSSPVTWTVDIASGTNITIKITDGSGNIAYSSPVV IQAGSSSSCLGTNSGSSASATGSTSASATSGSSASGSAASAAASGTASSTSRASGSAS GSASAASSSSSAASSAYLTKENAGAAAIVMGFVATALGVIA I203_05173 MVDFNLNTDDPTELYSSIDTVFESITGKELLESMISCFSSNTKY NPSLLNRLEMNVKKIPEDQDASKMLKECFMDFHDFCKKRDLDIELHRDSTFGRSLIWE MKLDDYVRGKESKLDDKDKIH I203_05174 MSGRSDEFLSYHDGLEDYKRRLEWYETILISRKESLPESSSQTQ IQTHPNVIGTSDMRSPKIVGSTQFQEGLGETEFGKELREVQDFLFRLTAYSDETSEQL RRSKGLMDRREFDNLFNYTFHRTKSLQISRQSRLVLDPDTKLLEEVTVVNDRKKGRRL TVVATPSIMLFKD I203_05175 MATLMDNPSRVMIANALGYMSIGSWLCAQLPQVIKNASLKSCEG LALPFLCSWLFGDMTNLIGCLLTDQLPFQTYLAIYFCTIDLALVGQYIHYSRPSPKIP LSAGQTPRYVTYNTLISSPHQSLILPPATAPPGVSGRARSSSGHYITSSVPNTARPSR GKRTSTYSHLPPPDINVTSSSPADGSYAAIYEAALDVARAAERASHRRRSRSKRRKLS RQASTSNVEEDLADSFHSELSHKSSSIINGGGGGSPRRMTQSTGTLLNDNRGRSITRS PIHPISPLPNTNTISKSNSSSNDDLDGLPTSGTLGLYLGGVGGGNGELQKREHQRSQS RSLSLVRGSGGRGGRRAAGVAFMSLGLLVGWGGLSNSGNGNGRSVKSVGRVLVESSGG LSNIYQQSSYNSHRNSHISSSSSSSGYESSLHLEVEDISGYIEGIINTNQRESPTAPP PHPPDEPPSFQRIVGRVSAWACTTLYLASRLPQIWKNFQRKSVEGLSILLFVMAFMGN VTYVSSILLNPAGGGDPNEAGHYLLEALPYLLGSGGTLIFDMTIMIQSVIYGSSPPQP IPPTPMERSSRRRGYLASKRKLKHVEDGFSHPHLQHVRTHSYTQQAAIASSSNQSERT PLLPPPNIHNSLGIETNRSRSRSKSPEKTKSGKRTVDHHQGR I203_05176 MSPASSTANEQVPDERTSLIPNPPSKKHHNIAGLSALRFRLICF SLWCGTFLVAFDSTLVSTLLSDIGSHFESATQVSWLGTSYLLTVCCFTPIYGRLSDLI GRRNAHLTAMFFFTLGTLFCGFAPTMNSLIAARAIAGIGGGGVGSVSAILMTDLVDLR HRGIYQGYVNILFGVGAALGGPVGGWISDHFGWRAAFFAQVPFLLIAALFIYTFVNTP NLSHQDTAESPNWRQQLGRIDWLGSLTLALAVASLLLSMSIKTSTTKPDGTDYKLSDP LIWGLLVASAICTIAFVLVEKYYSPEPILPLKLLTRRTPLAIAISSFTMVSGQYSILY NLPLFFTIVQNKSSSNAGAHLLPNSILIGVGSLFVGWRMRHTGKYWFLGIGCSSLMVL SSIGMLAWKKDSPDWLTWLAQAPGGFGYAGVLTTSLVALMTHITRRDRSETAVATSMS YLFRTVGQVLGVAISAAIVQFVVQRDLDRNIKGPDASEIIYQIRHSTSSIPQLSPANR DIAVGAYEHGLHLVFIFNLVVSVLTVLALAIVHEEEMVLSPQQTADEED I203_05177 MSSSYIFDIESGVRTTDLLAGTDASPDSHFCKNVFDELCSRVSI LSDAPYRFPQRHRRKHLRSISQIQSVCKEYSAATESWKSFFKTSDYSKDRTWLYNVLQ DAGSNYESPENFKQLLIGAITTNQPSDFDVELLCNKLEDMAEESIAGQRAQGYLEEGA LSFLRERNESYTYLLGEVSRLERELDI I203_05178 MSTEGVSTSGTQSDSFDNLILQAEHYKEALNTYFQTLPDSEVRE KGKYIVLEGRTVVPDGEYIDIVKKLERGLKKAREWSDETNSQLAWVTKERGTFEDTLK EGGLNETTASGVVDSIGNSVLNAGTTAPDSTVIPSSNERCQRLSAYITNDLSVWCTQR NEMWTRLDRGLAQIDASLKAEGLIPSDFDRRSLK I203_05179 MNVLRSRSALPRPARLLQSTSAITKRGYASKDVIFGNDARQGML KGVDILAKAVSATLGPKGRTVIIGQSFGGPKITKDGVSVAKAITLKDPVENLGARLVQ DVASKTNDTAGDGTTTATVLARAIYSEGVKNVAAGCNPMDLRRGAQKAVDKVLEVLET NKRVITTSEEIAQVATISANGDTHVGAIIAQAMEKVGKEGVITVKEGRTIEDEIEITE GMRFDRGFLSPYLITDAKNQRVELEKPFVLLSEKKISALQDILPSLEIAAQTRRPLLI IAEDVDGEALAAIILNKLRGQLSVAAVKAPGFGDNRKSILGDIAILTGGTVFTDELDV KLDKATPDLFGSTGSVTITKEDTIILNGEGDKANIQARCEQIRGVINDATTSDYDRTK LQERLAKLGGGVAVIKVGGTSEVEVGEKKDRYDDALNATRAAVEEGIVPGGGTALLKA SIQLDNLNVDNFDQKLGVSMIRQAIRRPVRTIVENAGEEGSVVVGKLLSEEFSSQDKF NWGYDAATSQYRDMISAGILDPLKVVRTALVDASGVASLLTTSEACVVDAEEKTPPPG MGMGGGMGGMPGMGMM I203_05180 MVSRTRYCSATFKSIRSLQPLLDRVLVQRFKAETKTATGIFLPS SATQSPLPEATVIAVGPGAPNKEGVVNPVSVKVGDRVLLPGWGGSPIKVGEEEYHLFK DAEILAKINE I203_05181 MTSSTKRPSSPSSSSSTPNKPSKKPRPSSPQKNVSLHQWLHPTA EPLLLTHSPPLHSSSSTFLSFTISFLPPSHITTVQSLEKECRRIVRELNVIGLVGDIV SKGDEGAFQDGEGRAPGRGRESGKERIREPDHRMWGVRTLGLREGKDGTGGEGDYQLL EASFDDNEKYGGQTILKALRENNGVDVLTVCCRWYGGDMIGPIRFQHITTTVLTSVKS TLKLMTLRDLRTNLEALDEEISSLRSSLIPIAAKENDNTVQSGDSNGKGKYDNIEDEK QLERLVVARERTKDALEKRLSKAS I203_05182 MFMLFFLLTENIDNNNERSTWPSQVSGFEEINGGFGQDGFGKLA APQIDEFAIPNTILRHFDLSDTPWTELTSPSIEDRRKKLPDGKLFFDRLLELVGLDGV SLYPPNTPAGIRRLLHSIHSLELDRLKKDCFYYYLLRDYDSSSRIEPSMDVDGEEDGD LTVISRVPNGNNISGKAQSFAKRRCMPLTWIRFMDGYWALDHGVYDTAVSSLSDPSIT TLNFVPSILQTLYNSVLPTSHSLTLIHNFLNSTHPELTTREEEDIRLVALCSTGSISQ AFSLIRSNESPEERKRQRELVWLWILGCSPTGGQIQTKSLKELLHIPLSFEENQHLID FLVRPPKRNISSEGLSLLHDLITLRLIHQGQYSESLLLDKQLSGRGTTNTGDEKQRQN RREMVREFISILPKAQRDALLADQESNKEKETTQVNGNGAGSGSNEDIDMSSSWVNVN VPSNGPSYAQIASEPPLIPIASAVPPEPTPAPTPVAAPTPIRPSTTHTSLFTASQNPS APSPQKPSSPFSGPPRFSNTAGPSVQVSSPRRVLSGSPFNLPPSSKSKGSPAASSTPR LPKTIINDDDLEEEEDGSVLGRRNTRGKGRGIARIGRGVSMSVEPEPVPEFENVEENH PIETINEEPSSSASTHANHEGPPKSARRSRKVASDREKERERESTTTPPRSRTRRTST VEPMTPGLNGMPGAFGNVQSEEEMPPPRLPESVQKSGRSRITRSASRAILDEGEEEDK HSPPPTKKSKSAATSTRKITSSRSRASLAPSDISEIGTGTGSVRRSTRSRTASVAPSE PEQGSPTPSLGGRSTTGTGTGRRTTRAGSATPRKSTRSKK I203_05183 MVIFFTSKALSPPATIYMGRDKVENEELLKYGLPTDIWFHVDKL SSAHVYLRQTPEQSHGEWDKLPPALVMDLAQLVKANSIEGNKKDNITVIYTPFTNLKK SGDMAVGQVSFHSDKKVKRVHVPSRDNAVVNRLNKTKIEKEVDHEAERQDRLREEGKK KKADALDRAKREQEQKKIWEEEKKARSYDGMFTEEAFAEKEQWSDDDFM I203_05184 MPTGIENPERISQLYRSTPPPPPDEYGLSTTAFYPGFLGSGNSY DDEEFNYANGSPSSSSSSRSCSPRLPYLVDSPKPTSSTSTSAKHPFSSHFQNKAPSPT KSKEKTDGLLPTRSKSTPLGFEIGRDSSKSPVGTKKRPVPLNLDKARDTVGLDEEIVI QRDGTEGNRPLTACSVISDGASSLSNELQDLSLLRKTVRQNLKARPLDSPLPASDSEK ESTGFPTPDYMRNSHTQQQQQSLTAFEGQTISVDDTLRLLQTSPQLLIIDTRPLGSFL DSHLPRSANISIPSLIFKRLRKSPGGQGSTNLESLGGFVSTQAGRSIWDNLETDKLLD VVIVGSTALDELAKVLYGIIKGLLEIGNSSVKVLKGGWTSVATSANAQDVLVCGEDSI SARPSLATSLPPPKSAPAYDISPVPPPIPPSSPPKGINHRPSMPSLRPENNNRRNLPS LSITGGNANHMNGPSTSRRTPKLSLNLDKPLKSATTGSFKLDHGQPPPTPSVKFSGPR SKSDLLSVNTLSTNNGLRSPRSPGFTLNIPKSPNRISGSGSFQTLCHEQSKLPPSPSS FGDVKHFVGEDEDLQQQPRTPLPGQSWNFAKDKLSSSDLDPEDGNGSGKNGIAPFIVS TILPSFLYLGPEITTAEDVNYLRKLGIKRILNVAIECNDDSNLKLKENFDQYRRIPMR DIVEESGVGRGMRESCGFLDDARLHSAPTYVHCKAGKSRSVTVVLAYLIHANAWTLKT AYAYVAERRKGISPNIGFVAELMQFEESELGLKQSGGVHGDSSSHGHGPSNRKPAVGD GGDSSGGEEERERRKMNNRTRESLPPTWSHSLDITSHYNRNRMSPLGGDAAHNGTEEK GRDEVDDEDEADKKRRQVGDEREVRKNGQWVHHRRAPVDRTTLQPGRRVSKAGLESLR PLNTTSITSPKPSPSPSPALGGNLKENDKRINHSVTPAGDGPLKWV I203_05185 MCEGWYDDKKGCLDRVDIHGDTISGSIKGLKPLLQGVDHHCHFD HATPAEDEAQSTTPGYLDDSWTTHLPIDHPQNAYPDPDAYFDLDPDQTGMRYSMMIHN LIKPPPSDLSPVLKIYTGATPQTRTRWFITLPKCYDKTRIRWIRINHVINMVIGLVMI RIPEHFDEMVGIRQGEGENAGEGLRWINWVVQ I203_05186 MSRESEDSPEVERQTPNPSNTQSTSAPTIPPMQGYIQPPSGDTN RPFLRMAGQHHLASPTPSPTRSVQREIVSPRPRLPSSISTLLNQPELHPPPLDPATPQ RGRESVMVSTTRRSSASPPRQHQRADIPSSVSSRSAYPIQPSIRTQRHSFHSSDIVRP TLPPRQNVQTFPPSRSRPTSPPQIVQEHDSRRYDRSLPHLSETSIGQVLSPLRPHFPR VITHEDRSSYPRPLDRRSNAQEMHRSATYPSQGSPRSVPQPQAPATSRDNAYIEDPQH RLTGQKASDPLSESWKTRGRTPMRDRAGWTQSEIARYQEGYNDAMSVMLSGTGSGGAD AGHRINMHDHPQTAYQTPVISGHPHPTADVPPPQPPQVYHHQPRYRNESLRIDPSLQR PTTHRRQSQSSSNIPTPTVSTPLHKIHPPPASDYFGRAFHHSHPGSSDHPPAKRPKRQ QISCYPCRQRKLRCDGKTPCAQCSRRHIDGQCRYADRIRRRGRGKKVNEDEQGTGDSE EHDDEGEEINQAESSMMAQRRLGGASLHEEERDEIDGDMSLDSAMESGSRPSGIGSPG RYPSSGPADEVEGGVEGKEY I203_05187 MGSPRSVDAFPNFPSSIPIPNSATWVNKMGPNAIAGPSSEGALP RKTSRASTPSPPKSPSSAPPTPSVIAVTHPSQFIHTHHPRRGSLTPLGLNLISPVPPH VNRDRSGSGSGSQPTTSRSVGFQQPWPGADLGAANIAGGGSLLGQRRGSAASTTSTLT QSRPPPQQIAHSFYPPDWNPGRRRSSLTPSGPTLAAPSPTRTHISGKTSRPVSSDGSN GTPSLRSRHRVLGTESIAPDSISPSPFSGAPPDGYARRGSLPHLGYNAWTGPGHRTWN PVLPPPRGSVGEIAAEDIRLPDEGFKFGSVGGHASGSGTGTSPATAALRAVDLSPNSS GRRASIRKRDEMDVFEQAEEAEAERQRRAFLAATYGEDGKRARERLSIGAQGGQGPPG TPAGGLRRQSLLLWERMGMAKQSSDGEIAPSSAPPVPSHLFPANGLLAEGDLAQRRGS LPIAIPGGGLGRSPSRRSAREHKKDSPATVVEKDPEGTAEEEEEGDEDGDEDEPMDED EDELHELSEDGQNLTAPLRPLPPLLPLSDPGPRLLPSTLALHRANHLLQSRNLQSDPL PHPLPPSLHPPAPVDVSEFDIDFILAGSQAQLGGQVKKKNTPVDILRTASSPDFPLTP VLKLGGDDEDTFAKFVGEFDDEYGGRRGEWTFRACAAHHPGSLSPRDPLDTSTGPGPK AEWESSGAGKYELFPNGEVRSTVTGRSWRVYRLGNREYELEEVKSTSDPLSNHSAVLA PSGARLGGERYTLAGKNVHRDQGGVKLPHFNTSHLMQPQLSQASAPTGRSSSTLHSTS SYSASPVTERKDRMDSEASILTMTPTLSQSTPLSNMAALVTKKKKHTTEDDSNQQQRG SVSSKEDKSKSKGLNRVRSKEGDSDGKKDKSIGGVFKRALKSSGLGGGGSSVSDEKKA QREERERERVQAHSWSGQSNVTHHNTWFSGSSRGPDGLPYKGQLQVHSHLQHQVPSNG NRSRMTSTSTQTASSNSATSSSDSGPWSSGEQPRKAASSTTSGEDVLMASPEAANVPK FREGKAWNGVPVEAVAMIIPLEEMSSTRPPLNNTHRPTLHPFFLEGSKQALLVWYMPF NAEHDEYDQQRPSTASSKASVSVSDQPSQLSQSQSSTFTPGTTPGSSAPVGSLPKFQK LLRRRASKENNMVKKESNASTHAHSHVIAPPHIGSSPITAKQAGWYANNLSRLNGELS LPPLPFRSFRVVAKVIDVQDLKSTPENGIDNGNGNPDALSAINQDSSSRVEEDKNVLL NSDSKNENKGNDDLNAISPFSDDAKTVQVSRTGKSMPTVIAVCHSRSQGVEFVLEGLD RLGLCIGESAWGPTGYEEWRGTGLSEKGRELLDILWAGCTGVMGLSAL I203_05188 MSSYTILVSGYRSTYTILSFDPSTAKIKIVSDSPAPEKASWIEP ASQQHNPLQANRTLYSISETEKGLAVSLNLKDDKIEITSQKETHGGPAHVHVLKDGSG LAVVNYMGGSMIYFPFNSDGTLSDNPSELLQFEYLYKDGNAPDPERQDTPHAHQVIED DQGHLYVCDLGNDRIWVIEKKGLNTLEIKGWLQAPPGTGPRHATFSKDGKHLYVLTEL TSDVLVFSLESPTYPIIPKPDFKVNIIPPSVPKDAQQYMNAAELILNPAYPNILYASN RLEMSLEEKSKGKFSTNVNSKGDSIAIIELNDTGDELKNLRSFQTDLDNLRGMTISPD GKYLVTAGRKKGGLEVYKVVDEGRGLKLAGKIEDGVDNITDLTFL I203_05189 MSTGDTSLDEKIDPTTSNTTTIQLQPQSDTQQSRGRERSQSDSH SRPRREVDPFPLHLHLSRSHSHYHHRGEEHLHRPPTRNDGLDNFPPPLPGIGHSEELE RRLTRDPDLERQMGVNDDEIGPPPEGGREAWLCVASAFFVLFCVFGFVTVFGQLKVYY LANQLKEYSQSDVAWIASLQVFITFGGSIVAGRFFDSHGARSLIMVGTSLSVAAIIAM AFCKEYYQFLLAHTLFGISASMLYSPSTAVVGHWFMRKRSTAVGIVVCGSGLSGVIYP IALKRLFDELNFRDAMLIIAGMNAILMFPAWFFLKARLPPRSPPPLKSLKGPWLESKY TCLVLGSCFVMMNWLSPYFDAPTLISSNNITGPIADYSIAILQVGSFFGRATSGILAD TFGIWTVFVSSILGSSISILAFWVASPIPAGAVVVGLVGYGFASGAWITLVAASTGAI SPTREFGMRLGMLWTVTSIPSLIGPVICGVLISSSGGTFKSAGLFIGFTQFAGAFITV APRILEIVRNFKQKRKGVKGKDKEKNGQPGL I203_05190 MSINTVNPYANNNHLSTLEQDVLWEFAKLNDKVKRASNLAKLTA ESPNEALLAELRTLEKRMGMVLTLFQASVWGVLQESQAVEEARIQREEQEAYYHQQMQ QRQQQRNMSNQGYQYGYGGQGQERSYDDSRGWSEDSTIP I203_05191 MVFEALNKMVPSSNLFQHQYGYNSTLINTITPKSLHAPFYHLTD PQHIAIASSKTFNLLCPIVVYWLICTLWHHLDTAKYPYFEKRRIHESPEIIARNKVSF SEVIKAVFLQHCIQIVLGWLWFEDTNVILQTQFYADHITKMADLAPWVADGTLLVLGR RTGEQVLYKYGEKLVAWMYWWGIPLAQMYFAFWVTDTWQYFWHRAMHNNRFLYRHFHS HHHRLYVSFAFGALYNHPVEGFILDSLGSAVAEKMAFMTMRQATLLFTISSIKTADDH CGYKLWWDPCQLLFANNADYHDIHHQAYGIKSNFSQPYFTNWDKILGTQMSREEADSK TRWKKLGHEYAEHDKHNVISESHAKKVD I203_05192 MHNNQYPSDKSLSSLFALPTPSPPSSSAVPRPPYSSSATNPNMN VRSPTIPNSPAPAYTALPHRDVDFHFTPILEGKIGDVELETVDGKRFLVHKKVLEQET VFFHIYYGFVPVWRLNSATSSSSSSSSGTGATLPHDITVPIHQSSNSNTTSTTSSQTS THHSQHRISTSLNGFSNIRSLPKIIANTLTRNSISLPPTTIPVSVPMTEEVPPPLPPK DVITAPTPTSSPYTWVVPETSTVLLAFLSLIYPRGVISSGNGSLLDSLELTGRVVRAS LGYQSSKALSKARDRLGHWIEDLPIETYSMACFFKFNDLIKLSSQYALKVPYNAWPED AKLLMGRGSINRLVELQQVRLLGLNNILSKPLLLDNNREHTTQQHTIDCRGLEYLEEL WNEITTSIKMDLKPSMDLYELLQLDLTNCGITNCASCLGILGKNVQTCLLEARDLPRS L I203_05193 MAPPPTLPSKDQTFLSPNNSNSRISSNSRSSLNSTGSNSNSFVD QDLAEAVRDSVQIKSESPQPQSQSHYRESLLLSSTGNNHIGKQPPLPSLNPLIPRRTP SPNTNTNKMSVYQPTHNYSHSSPDMSTIGQPSLPSTRMTQLTTPQNPFEDDEVEPAGT GRIKPPGTGHLSNRSFGNNSNLESEGLVQPNRAKFNRSQTGSAAATSSSAHLLGPSSP TSGSGKTRTRRSMSSDSYGFNADMPNIKELTAGQKKAYEDKKGSRHADVIDTWDPTGL GTAMWHHSGPYDAAAPSRNQNLPGNKAPMQAFRAPPVQSPPPKGPTTISLSQPPVPPK DTAGETTDRPGHRRGQSGNRYGAPSNTRRVSGGGLTGQYSTSMPAGGGYFPNIMDEPQ DEATLARIERQRERESKRQALKAAWGIDTPEPFEDFGGSPNDGTVECKLITLLSTSPP IREEGTSPTGDYPINTRVAGPGPGGVKRTKSLMQKIKTMRENPGLSNRSASPKAYSPT EGIINTSSSNESVPVQETKRPGFFSRTSGGGSGRNSPSKRSVQPPIPTNLFNSNAVPE PSSNEIIDENVLDEGDQDDELDMEKMVPSGAGSGFVLVESPSSKARALRALQKEQSHH HHHHRSGSASAGTGNGGVSNVPANRTKYLPTPPVAPVMPDFNDILTPPSRKNSPLEVP DEGGLKRKTSMVKKLKDRMAK I203_05194 MSDHPTLTDIPNEVILDHLLPVLQLRDIVSLSAVNRQFNLLTSD PTFWRSKTLSDFTFPTTSHPNTSSSKDWWKRVYLGLLNPKAYLWGSSDNSRLGGAELT STRKYARYVDIPSEIRWNDDDEKKSWSDNLKDSLITALSTSTQNNHNDISEGNERRGK SGVVELQAGGWSFTARTSDGSIWVWGQLDGTRPGFRIQSWEDKHCPCPRPTKIPLPCK VESISAGRRHLVLLDSDNLIWELRSWGKAHFHTAPELTAPIGHGITRSPPHIIQLSTG WQHSATLTSKGEIHVWYPFSEAYENSLSTEPSGNIESSDKDKDRDLKYGKVGDNVLVT LPPLPLRPTTILTETDEDGFKSRRISELQDEWDGYERSRSPKVLEEEQKIVKIASGED FIVALKKNGEVWLIKVKEGENLLWQYMEYFSSPSITHLTAQFRSFTTYATPTSSSDNS SAVYHARITDYSERLGETIIPDSELLEYLKDKGIIQVAIGDYHYAALNDKGQMFTWGQ GDSGQLGRGQDKFGKTPVRVIFPEDEQETEGEGAFIFSITAGGWHTGALVLGDPKPRS RSVKGKEKEEDKQVTEGEDQREAEEESGWPRGLHNPFNNTETVPVPPNTRGGGPVRAM PMYRIGFAGRGANLGGLVGLGRGRDTGAGAGAGDTSNADTPDQANSGRGAAPIFRVGF AGRGANTASARGRGRGKEQGDSNPPW I203_05195 MAPNAPSHILIIGGGICGVSTAYFLSIHPARLATTKITLLEGTK IAAAASGYSGGFLAKDWHGSATSDLSAMSYDLHEELAKNFGGKENWGYRTVDTLSIET DATRKSKKSSPLPWLLEGLVHSSRSLGSHSTTAQVHPRQFTEFFTKKFLEAPSTEVKI GTATSLSLKDNTPRSVGYTNEQGEKEELEVDAVVIAAGPWTGKLSEQLLGNKVGSKLS VQGHRAHSIVLKTKEQLSATCLFTSMTLKDGSAAEPEVYARPDGEFSCGAGDDEPLPS TAAKVSPSSSAIAKLHKQAQALSDVFTSEQNVEVVAEQACYLPIADRGRPLVGKVRGV EGVWVGSGLSCWGITQGPGTGKVLAELILEGKAKSADISKLAP I203_05196 MSAPSGIRVPPSLSSAFSTALNGAGDVRALVFTIEGAESFKHLT TVQPKSTYKDDIALLPDTLPSTKTPASFAYRLDTKDAGKYEWMMITFVPDDAGVRAKM LQASSRSGLLKSLGANNFKHDWFATSIKDLTPSALTAHLNHLASPPPLSASEAALAEV REAEAAEAKRAALDPETEARRRKVVVGLGGKLTWGDGVQEALEKVGKRSDDGWVVVLE IPSSSPSSISLVKSESCTPSELSSKVPNKSPSFIFYSYPTPPSPKPVKAPVASTPSKM AEQRNTFQATQGGVRVVPMSNVPVDNENDKPADGEGEVESSQSQEAEEEKPVQEPEVK EKEEDAAVEEKGKVIFIYCCPSSSPVKFRMIYSTSVRSVQQDASDKAGVEIVGKIETS DPTDLTESYLKQSIPSSSSSSVSKPIHSSSLPTPTTTSSRTFGNPTSSAAPFGAPIGG AFGRPRPLNVPARSASSIPLSASGSSTPLDNDEEGDSKDNIRRAFDAFGPRVNSPGGG GGGFARPRPAGRR I203_05197 MSSAYLQALNYSDNLLSLPQRLIYTLFKQRDIEALIPMAIRAIV VGVLFAVVRRVLNYATARISQNVSAQSQIHDFQLSTIDSKSSIISSHSPGSSGENKSG WGRVGIHIPSWESTEVIGQVLPTYHDEDGQGEGTIEEEVYEAELEPQTAIAAVGGGGG RGKHDLAPSTVTMSGLLNAIDGVSSQENCVSVATTPENCFCIFFPLEDFQDHSSSTSS QADFKDEDEQTTKIKNSEKVQTDNEDIPILIKDQQELENLADKFVKSIFDDFHVQNQQ LEIRVSDKMDGYNGDQKTKSTWSESDKSENPKTSISISMASLQSYLLQYKGDPISACD NQNIEKWLEDEKAVKANGIGKSNFESLQGGGKKDEDLKFELENSSTARMKRSHTKTSK SKFKSVQEEKKKKKDDEDERGDINEKVKIDPGQGNDDKEDIVETNDENNSGNGVKSD I203_05198 MVVQPDPANSDSQSTVVDVDRSSSVVNPSADLESASASVSPIPH PVIDTEGDDQNNLSIKIRKRDYGFLPIPKNRRHDPHKKVDEEFGFTWKMKLVFAFAAT VSVMNLYYIQPMLVAIASDFSVSHSRISSIPTLVQGGYGTGIIFISPLGDLVRRRQLV LLLILLTTILSIGLALAPSVAVLEGISFIVGMLTVTPQICIPWTADLAPANKRATAMS ITLSGLIFGLVLGRVLGGILSRFGSWRDTYWLAVGLQGCMTVILYFTLPDTPDKKIGL SYFGVLWSMAKFFTMYPTLVQACLVSFFSSAVFAGFWTSLTFVLNNSPYHYNSFEIGL FGLLGLIGAILAPQWGRLVDRTHPYLGQISGISINMISMVVALVGADKNISAVCIAIV GYDMGQQLAQVSSSYRIAGLDPKARARLNGCSLLAVFAGQTSGTAILTHIYNTHGWKP TGGTAVAFIGAALVVLFIRGPHEIGWIGWHGGGQILKKEKMTDLSPEAITEKLRVKKK ETEKEKGGGQQV I203_05199 MTALLSLVNLLPTLALLSAALTGVEQIPTALAHGPGARSPKDIY HRHLASRQQLVDNLAKKATSPNKKGLIRRQADGSKCRVRGESYTAPAAATTSAAAAAS SAAAAAVPTNNAADQDTSAPASSAAATPAETSAAAPAASAAPAGSSGGSKNAGSKLGI AWPNGDWEQPGDPNYVGNYIGNKASWYYTWSPFAVGGADNEGLEYVPMLWGPGHVSDW YAQQPNWPGTVRNALFFNEPNQKGQCDVSAQDAVQYWMNDFLPLRAKGIRLGQAAPTN APDGLVWIQDFIKACTGAGNSQADCSADFYPVHYYDTNVQRFQEYVINYHNAVGANLW VTEYACQDYNGGAQCSDQDTWNFHTTMAGWFEQQSYIERFSPFGVMKDMQGVNQANAL MNPDGSITSLGGWYITTA I203_05200 MTPVKNNSSSQRWTCTSHSIVILLLSAILQLGLLIYAQHVDSHP ERYGGLKYTDVDWRVISDGTGLIFNPNEEEGNIAGGWLVRQLGLRIGDPYERSTFRYT PLLPLLISPTLFHPLLGKSILVLASLAISHLLVKLSEEGSFWLIHGVWTLNPFVLNIN TRGSPESLICLFVILTLYHLKNGNTKRGAIWLGIAVSWKIYPVIYVPTIWSYLAVTRR YGWFGKRIWEFGIVCALTVLVINGFLWSIWGEPFLHHTYLYHLTRLDHRHNFSPYFYP IYLSLFSSTSKPSTYMITTLPGIDAVQKILRHPLTSFLPQNTLVISSGFALGTVTSIE FTMFVQTTVFVVFNKVCTSQYFMWFIPFLPLIIPQLHMTRTETTILIALWVIGQAIWC SMAYRLEFLAGQVFLWVWAAGLGLFGVSIWIIGEMLDGYGYGKGGVSSPKVKVQ I203_05201 MDAPLPSPSGTSIRSNKPSVPLLLLAPPALALGDELAVGEPGDD NNAGEIWNVITRAADLVKDGERLENLAWRHWGQPRRTIQETTRRLSMSSQATSASSAS IHTPIENSTNVPFLHRTRSAERLTFGGALRLLLEKDENNFKDWIEDAKKHSYPLPVHP PPPPPTISVPDTPIANVEIRLVEPTPVPSRVGSLGGSMSTSGLLHSTTTLPPALKEDV IGEERATIASSPVEKEREKERFVRIASTSTPIGTPRRSSKSNSPKKKNSKFFVQSSPS KGSGSDSSHPSHHSPVVPTPIKNNNLNNNGAGPSLPSTLLPSAKHGRRRSSGDSSSGK GKLSELKDINNNAHGQPHPHGQGHGHQKRHVSLSTMRGKYHAEKRRMAEQHSAMTAAA ENDNKDNEESGWEDEEEDMGEDECEDGNWSDEEDSPKVEKTDQHKAPRQETSEVSEKE HHKVNHHHQQKPPLNRRTSSSSKSQSRMTAAPKMGRTTSDDHHGQDLLTLLTSKSGNR RSSQGHSGKDKDAPPPPAPTPLTKMSKKERQKAAAERAKIEAELEAQRQREMFAKQQI FGSRHNQGLLASALQRGASMVNLQTATDGHSIQPSPTHGQLPSLASSPASGPVLLRSK SVVAMPVQTGVSVTIPPHALNAAKDKKAQKNSQERAKASVELESSSDEESDTDADYLN TTQTKQKLAALAAKRDAKTQNQVQTKAQAHSQSPNQPTSSNVQQQQQQQQPLTQALPA GPRINEFGYVQPMTPTTRRRNIIMAEMSESLRRNVVLEREKSSGGGPRALGLVRPPPS THTSKLPTHRSAINLTQYSRGGGGGETMERTSSHPARASPNTSQPSSHTGAITTLPPP PSSTSQTSMPPPPPPTLEGSRRRTTPNILGGGNFLRPLTRATIAQPPPPLQPPPKSQS HTQSNLSIGRNSSTTNCTMIDPESQRSESPSSMNLEAPAMMRRSTEGDDLHRERERRR ELARRRDTTDTSYRLHGW I203_05202 MIEPSSSSSQGIKKTPEAIFTTLRYTSSSSSANLPVKKESLPLL DLHFERLREAFDHFSERDGKDRWGDWPGEECIWERLKEVLEQSQPGDYRVRIVIHPRG KIEIHCVPAPKDAGPFTLLPSPTRPDKRRPMILDPQDTPGNHESAEATDLRLYKTVNR DIYDKAYERGQTNTNDTSHNEVLLHTPTEILETTTSNIAILLPSSQSSEWITPVLSKD TPFLNGVMRRYLLSQGVIEEGEVNIDMIRKVRDEEGGRIIGFNGLRGVWEGELI I203_05203 MSVPSPFPSQLQLKKPVCVFCGSSPGKLPLFTNASAAVGQALAN AGIPLVYGGGRRGIMGVVSQSCLKAGGYVHGIVPRALTERASEHNPAPGSEGSESKSD SKSKEGQGDDVLSESDNYGDKFSTDVVGSMHERKLKMAKISQGGFIVLPGGYGTFEEA LEMITWNQLGIHRLPILILNVGNFYTHLYNQFISSVEAGFIAPSNLSLLKLVNLEGGE DANTDESRADEWGQAAFKALDEWDLAEGAGYALDWELKEKRAGGKELNLDVESV I203_05204 MILDAIGFHTDRRNWFWDVLSSLGLMNKSAKLLFLGLDNAGKTT LLHMLKNDRLATLQPTLHPTSEELAIGNVKFTTYDLGGHMQARRLWRDYFPEVDGIVF LVDSADSERFAESKAELDSLLSIESLAQVPFLILGNKIDAYGAVSEEELRMQLGLYQT TGKGKVPLRDIRPIEVFMCSVVMRQGYGEGFRWLSQYVSEPPFHLKPLADPYIFVDLS G I203_05205 MGPELLPEIFVHIAQILVEEQKLATLLSLSMTCKDIYDLLVPIL YKRINVSKCNVQNLFWGILPNAFEKEGPNSSATSKDIGEKMRAQWSLWPDIALSDDDD EDEEDRETYSQSKKCDHIYPSTSTNHNKLFYLLQTQHLSISSLPSFPLSSDLLHLFPT KTPDKFMRILSNLEILHLTSQFVCDLSKWFNLHTTNPRREHLRRHPFLQFLLLSIPNP IKISIDYPTFTSRTKERFIEKRFGSAEVLRRCGISEESRRRRMEVEWDRFISHDSSLG LTPLPYFYRGAELVFRNVSVQDIPPVRCKAITVIFANTNLRDEDGDGIKIDRRIEQIV DLLEPKNKNLMMAAQQVRNWRFVNAEVSKDKKVEKAVRITSREIDITRVSFSSYSNSL VDLDDTHEM I203_05206 MEVDGDRTTDDERMDEDLDQAHGGEGVEGEDYPVGEGDGMMEDS DGDEMMGDEIQDDEEYEVAMEEENIEPIINVQAQPEPVDVEPAPVIETPPIPFNSSTT NDDMSRPVISPFPDISSVNGRTILPKPLESPPAPETEMTPVEEEEQPFINIINGEEDN TIDVSNPFNKPSTSTETLEIKNQVPFPKPEPVATTEEALNIIQHNPSVNEMPIPSVPE PQPSTSTLQVGESSKTVRGGSREPTPGEEYYEEDGEEEEEEYEIDANSLPPIILHLPN DQARYLFETYENDPDTLPVWLEGRQAELAEASLSDVWNGIKVECTKEGLAKNGALVIC EKQMDLKMNEDDVNLQSITFLELILLHHGCGLPEPVQLYLSWEESRFITRFNAIQTEL EAMRKRSESVEAVEPKPAQFHSQESKNVELEVDQSPQSAEKVGQSPKPNEKIPEEYVE EYGEDEYVEEEENKSRQGAYSDDEGEEQYRQKEDERDRRRDQRDVKAQYAESVDGEVN TRDLERAHPNWAAARLKPTDALHFEGPAGKRYLNYKSEGHHHADADAAEQGEQGGQEE QEGEQEEQEEQQQQEVLPSQEARELAERKETEVVGEEGDYADEEQYDEEEKDDDVEEG DEWNDGADTVDQNESSLAQSKDTDTQDEMNDATVPHPISVPKDELEKLRERQAGQRLQ QLQHEGLGEKRAIESPLSIDASALPTPYASAAPSVVDGSTQDTKFDSVDTAPLDEVAL KEEDSQPQATTIPSETEISTPALSSLTPSGVDGAKALAQDVLGKQRVDAIPEEDESFS VFVDRVNEDDERGELPAPVEGVPAVGIVPDEGYYDDASYEDTKVDNGPDTVPVTPLES HLEEADLEFFDSHGEDDAYGSDDEEGTLEADSTHNPELEFEPTEEEAEETEPTEVDVP STVSSTGGPISAKRHNENDESESDLDSKRPRTDTVSMRFDLMLT I203_05207 MSFRTSLLRGSLPLRGRPSASLISNTARPFTSATGQSARTLRAL PSAYTNNVILSRRNLNTSQIVNLEASKALGDGGMEEPPHSGINVDKPTSMDS I203_05208 MHPNLRTVATPALPPLIRFGGSSRNFATTSSFTRPLLPRPQQPQ RTRCISTSTPLLPRHTLSPLNNVNSSLIHPSIQNRGIAMTAMAPGAMFSHPPPEPGAD FNVVMIGAGNIMFGSDEGPWNHSFRFEHKLGPRLKVTALIDPSTARADKVLEGKRQSF VESAYKDTKVYKTIEDYHADLKQQMIADPHAIVVGCPPAYRGGTTKGTDLEINLLKLF PKTAYFIEKPVGTGTVEAAKEVTQKLVHNGNIVSVGYMLRYLRCVQKMKQIIHENSLT VMATNARYSCAYEAIAKPAWWNKAIDMGPVIEQGTHFCDLSRYFGGDVDIDSVVARSV EWYEEPGRLSKIPIDEGKIDEELRIPRLTSAVWKYENGAVGSFQHAVALQGTAYACEL EVWADGYHMRLVDPYQSPTLYVRRPGDDHEERHSFTDDDPFFSEVSNFIDCIEGGSNP HILSSFEDATKTYELTWAIRLAAEAAKWPQVKA I203_05209 MPGGATVLAAATNPDRVEAPVTMKAYLLCVFASFGGIFFGYDSG YMNGVLGMNYFINLYTGLPIPGADATQAQKDAFTLPSWQKSLITSILSAGTFFGAIIA GDLADYFGRRITIVSGCGIFIVGCVLQTASHGLGLLVAGRIVSGFGVGFISAIIILYM SEIAPRKVRGAIVSGYQFCITIGLLLASCVCYATQDRTDTGSYRIPIAIQFAWALILG GGLLLLPESPRWYVKHGKIDQATKALSRVRGQPQDSTYIQEEIAEIIANHEYETELIP SQSYLGSWAACFSGSISNPQSNLRRTLLGISLQMMQQWTGVNFIFYFGTTFFQDLGTI SNPFLISLITTLVNVCSTPLSFWTIERYGRRPLLIYGALGMFICEFIVGIMGVAKPGD SSIVKAQISFICIYIFFFASTWGPGAWVCIGEIFPIPIRSRGVGLSTASNWFWNCIIA VITPYMVGTDQGNLGSKVFFVWGSTCVLCFIYAYFLVWETKSLTLEQVDRMMEECGSP RKSAGWKPHSTFAAEMGLTQEGKLPVSTHEKIGADHHDVANSAPVPATAV I203_05210 MLCFEHLVTPLLIGKSLKVSFFNPFRRARVERDEGEIVIQVKWG RERFNIPIPSPSLTPLSTLLATLSSQTSLPLDQLKLIYKGAVLKDPSLTISSYGIEDG STLVLVGKGGPVPSAPPSSSSVAKPNGVGVKKNKQPETDQESVLVSWISNLVESVLNP LIPSIVTFISQTDPNATNKPKHIPPFEVLQKEHARLSELLLKGLLDLDGVQIPQSDWT NARNERKLGVKKIQEQLNRVDAAWGERKRLVG I203_05211 MLKDQVRTLSYRNAIMQNPHLFKGKTVLDVGCGTGILSMFASKA GAKLVIGIDMSNILDQAEKIVRANGFTQDQIVLLKGKLEDVELPVKQVDIIISEWMGY FLLYESMLDTVLLARDKYLAPDGLLFPDKATIFLAAIEDQDYKEEKINFWDDVYGFDY SCIKEIALKEPLVDCVELKSVVSHPCAIRHIDIKTVTKEDLAFKVPFQLQATRNDYVH AFLGWFDISFSCCHKPVNFSTGPQAKYTHWKQTVFYTPETLTVSENDVIKGQLSCAPN SRNNRDLDIEIEYEVEGAEAVKGKMVYKM I203_05212 MVQTLNFLVPSLHPNTLIDLSFPAPLLGLVPSAPEPIVQHLPIK YGEYQPLGRSKRMVKVGEDRVIVADDKFQISTLDLSPLAQEEASPPVVKAQESVKARK KDIWAGLVGVQGGVISALTSGLLAYHSASSSSDETPSASTSRARSVPSPIQCLTSTSA SPNTFVTAGKEVDVSIWDIERTFGSSGMEEDGPSKEWDSGKRKKNVYEVGQIWQAKNV PQNNLSLRQPINHLSLTYLDDSPYLLVSGTKAGTIRRYDTRQRKPLSDWKVAREGGIG CVASGVEHELFFSDQSNLLSSLDLRTGKPLYTYSQMACTPHHLLPIPLSATELEAGNT GSRRAGLGSISSDATFRLHTSTTPPSDEQKGNFGGEGKKGEILKMVGGVGVGEGIWRG YGERTIVLPKVEKGEGEGDEEEVDDEQVWEEMSEVQDQGKSLSDNEEYSDSEEWDMGE EDRVVIKSKPKKKVRKI I203_05213 MARIDGIIILDPNGKPLISSHFPLHPPSYPSLHIDTFNSARKKA IVDDEELDPVIWVNTLSRGGGSMSGAGLCHVEREGLYFLVPIGQEVNPLFAFSFLDSF LDTLKDYLGEITEINIKDNFDIIYMLIEEMLDEGHPMTMETNMLKEIVLPPTLMRRLL NVAGVSGLQAPTTQPFTAPIPWRRPGVRHSSNEIYFDIEESIDALIDKRGNILSSSVW GRINCNSRLSGNPDLLLNFSNPKVLDECAFHPCIRYNKWEKNRILSFIPPDGKFRLLE YQAVPPTTGNVKNQLPLGVKANLVNEPNGGRFSVTLSSRLNSRPLEDIVISIHLGKGA TSVSATAQGDRKPLGSRSEEGAAEGYVAGGNWEFDPHTQILKWKLSSLVSTERSPTLT GSFVSSESQPTPSPAFEISFNIQHHSFSNLRVDQLKVQGDVMYKPFKGVRQLARSGKV EVRW I203_05214 MSTTTNHPTTPATPVTRNSPRSVNLPTLVVHSPVHREHKRRNSE PASPTTPTTPQHSTYFTGFPSYTPDPWNLRLASRKSPLPRSSPLSPQTHSHSRLASPL SRTSSATAVSSSHLPLPLTSDDEEDSETLIEEEIEYDTRFIGNSLYLRNTNSNPANMS DSAATMKRKVVIMGSPSVGKTSLTQQYVAPPTYNASYYPTIEDTSHKTVTYNGVQYEC EIIDSAGLEEYSLFPGKYGIGVHGYILVYSITSRQSFEMIPIVHDKILDYAGLEKVPC VVVGQKLDLQSERAVTTAEGEALAKKLNAGFIESSAKDNKNVSRAFDVLLAEMQKEYN PAPEKKKSSWWSWGAK I203_05215 MSGSSTPSLSASTPTLYDSERDMPNERTHLLGKRKSVEPLSPIV SHSQSQPQDQDQEAQESQEEGEEVEVYVPGKASFSQTLLNVLGDLIGTGLLACPVAIA YAGWVLGPIFLCIICAVTLWTLKILIRIIEKDRRMRNFADVARYGLGERAEKWITGLF VGDCCIWLIALIVLFSDTMEAVLPVFSSNQWKLVGLAVIIPLNFVPLRYLSYTSFLGV LSTWTLVCILIFTGLTTTESPGSISHPCPTDLFPTHGWIKLGISFGLLISGFGGHFLI PNLIRDMKDPQQADTVVEVAYGICMVVYVIVAVFGYLMFGRDVSDEISRDLAKTPAFS PTLAKIAVWMVALNPLTKLPLGLRPLCDVVYTWFHLQPTIYLPTKHTPTFASSSLSSP DYNRYSDSDEPLTPTVASSTSTLLVFPEIVHTKSKLEKQHDRRELLKKYIFRPGISIL LIIFFILGALVLPSFETIMSIMGGGLSVINCILIPLAAGSSLFGWTKKDKIVFGLAGL VAVVSVVCALLNESFASATGV I203_05216 MFISHILVALTAFASITSATPFSNGRRGDVKVLAGAPINSLNLT VIPDSPVQGENVTLNWGYGDAGTAPYNIQIGTGGYYANLTWLYEYTNLTETIFTWNVN VTAGETLVFQLWDSTNTTTYTQNHKVLPPSNETSTATSTSIDNSTNPSNASTIEPVTP TETAEGEEEEEESFLGELLAEIQSKLDG I203_05217 MFKKRSRPTSVRDKLVAANNQDETVDTPTSETPEPLEDDDTGRT IEDLVLLRKLRKSQAQQGIDLEKLNRGEQEKKGGKGKKKEKDLDASERYGLQAGPARG KGGEEKDDELEDDNERAKRLVRTNNFTQQTNALDVDKHMMAYIEAELAKKRGESSTTD KDGNINGKHNEKYDPQAELYKIAERYQVIEGKNKTKKIDDEGNVTNSLGMLTSIPEVD LGMDNRLKNIEMTEKAKREMMESRKQAALEAAEKEKEEDDYAAARFYRPHQRVASDIY AIQEAKRIEAGLAPRAENATDEQVYERFKKR I203_05218 MALPNLRSIFASSRTSEEERQLSRTTFYRFTAFVGACLVISLLA ARNSGRDFGGKAARGVVGMVSGSQGKIV I203_05219 MSKPRVDLAQFEPAMAVEETQPARIVEQLHLDEEGRNGMTSSLP RVDGGWGAWSYLATATALETLVWGMANSYGVYLDHYTILYPTSTGLLPIIGTVAMGIM YLLMSPLSLYLTTYPLQRKITMWIGLVVMFSGFIGAAFASSAAGLVVTQGVLYGIGGT LLYCPTTNYMFEWWLNKRGLASGIMLSGTGAGGLVMPLISNALLQKYGKRTTLLSIGI SYTILIALLIPFIKPRLPIPSSSSSSSRRPRPKVNWGFMRRSAFWLLWAGVLFQGLAA FMPVTYLPSYATALSLSPTIGTLTIALMNLARVPGQVIIGHLADKMSPRKLILLMAIA SGISVFAGWGAAKNVGGLVGFSLAFGAFAGSYTALFSRFIAILNRDDPHLPAILYSLF FLARGIGSIASGPLSSALMSHNSSLDGAKGAYGVGEFGVLIIWTGTGMIMSGVGAGYK SYKVD I203_05220 MSELQSHFVIGTIAPFSHVPPVIELSLALLIHTSDLCISVILHI NNLENSQKLIENQGASDDVKARIKLVPVGEKREWNDVTSSYMDVVYKGGEGYAGVLLA SAPWPHPSIFIYDAAGFFWVTVKSKVEENFPHLKPLRLVAYNPLPIGEILYLAGEEKN GSLRFLGKALEDYPEVKNAPQVNLLQGGLDESNPDINTQAAFLTKFTEAYKACVFESD RLLEIPGYTPFYSFERWSLEIDWSSIAEIAWTQYLQGWQSCVSLPETWITCFPASVLE PKALDAIRQDEYLTGGGKKEVIELGWYERKPKDDWGLGVKEFLDKQKDKSVIYVSFGT LVNAGPTLPALFDLLEETKTPYIYACGKQKHSLPQHIKGTLELSEKEGICIAPDWVDQ VGILSHKAICCFVSHCGANSVVEGIEAGVPIIAWGRRGDQVMLASRIHSSGLGIELLQ HRTGHSVGKEVVHRKGIVIHGTQDALKEEVRNALEIIKGPEGDEMRKRAKTLSKKMDE KRKGEWLDNIKKFGLYGRD I203_05221 MPLPSLHPLPANPTTGQQPFTTTLGSRGISAVAPASGSSGGVNR ETLKSLQDVYWSDDEDDPDCLLCAEPLDLSDLNFKPCQCGMQICQFCYNKLLGSDARC PGCRRPYDAKAVVFQPVDWEEVKRAKERKTKRARTIKQLTTMGRRHLLGVRIVMKNMV YVIGMKLPAPGDEAIPILRSNDYFGQYGKISKIYLGDRTKLSSSAVPTLTEDDPSTST GIYIVYVRREDAARAIASLDGIPAPQGPPGSVLKATYGTTRYCESFLKSQKCDTPNCH CLHEWGGDSDCFTKEDMETALIRPAEYDARQKQTIVSAAPQPPSLSSKTAWPKPSSDD VNGSSTGLPSAASWGKGITVKTTRASTANPIARPTKIGNFVPLGKSNAAFPLPTPSPT IPIIVKEKKERKSQAMARGKSTDSSQSAATGSAQTSPKKKPATLPSLTSTKSASSAPP PPPGLPISASTSTAAASEPILDEFMDEQASADSDAGPSSSSPAPQTPARSTESIPPEP LSTEPIPIHSPYPEPVIFSFPAHDKDFAFVLGLDDSELQRRYAQAGGYEPSPFSKTLE GLAELGVHAPELPDLFDAPSRRGSLGYNGLFRPFDPSEEDDSPAISDSTPGPSRIRGE DHNAQRTESRFGFARPSSSNSRGQSPFSNMRRSVAEQSGLRDGWYTRQQQHDDTFSPH PHHQQNDPRTAALAAQVASFVGSYDSSVGGNETNWTGGAGAGAGAESVYSNSPSHQRQ LGLQQQQQQGGYERNRLDHGGLVQGGNAFLQLKGLGRSDREEYEPPVLQYGTTQIYSN PAHSQQPQPQQQRTLFSPESNSVHEDNAYQSPLSFGQQHQHPDARQLMALHHSRGSPT PLAAHGELGLCLCSMCQVVNG I203_05222 MSDLKAYLADKYMSGPKRDAILARASDPSIKKKKKKPKNEDYIG GSKGESSGGLMLKDEDEWKVRDRDDDEDMEDGDSPVIGKGLSTFQKSKSSWSTVGSTS LPISNPTEAGPSSPRVKDEIDTDAPVATLPKRRGGLRTAAQMREEAERLAAQREPSPE PEPTDTEGQNETVHRDASGRIIDVNKLKEEERLREEEAKRKELERKEWTKGLAQRQAR VTRAEEEREMGERKNVGVSRDDHKMNKEMQEVERWNDPAAEFLKKKKKSKGPRRPKYQ GPYAPNRFGIPPGFRWDGVDRSNGFEKKYFQAQNAAVRREHEKNQWSVEDM I203_05223 MSFRAILRAKPAFVAPSFRPVAFGAVRFASGGAHSHETESYESF NSRYQTFFSSVGDLFELQRGLNNCFAYDLVPSTEVIDAALRASRKVNDYATAVRILEG VKEKVENKGQYQAYLDELKPTIEELGITTSEQLYGKN I203_05224 MSETQQQPTEQVQVPAVDATAAAGVVPEVTPAAPQGEQAQEAPK ADEAGK I203_05225 MESKISLNDNPPPPYQSTVSLNSLTHLPPYQASTSTYRLSQSVT SDKKSRDYSWIHNDEIWSNLIPFLPPSTLSALSRTCKSVYHHVIPHLWKVYRIKSDDQ LWTLLHLLAMEHKNRQKGWFSKGKGKLSIFNRSNRPSSLSLENSRLRIQSLSNMIVLT IFDIIPSPSICVLIPIYISRSSNLISIVEEKDTVEDEGEGESGQVLFLNVDQIHFSPK LIKEVVNSRFGWRMLRKNDYEYHLHLPDDIKGKMPNKYNIHIILPITFDLATEDIDQF LKGRNHFIHLPKEWFNISSTFAPSSYNQGKYEDESEDEDQDESEDDDNNERSTFIRHL THFLEEIIHADALMNHHCVSKMDHDHYRLDCRTKWIVRYPHVGQGVIEEVKNNLLDMV NRGKFERLARDGIFVVDQDEESRVDFKDNKKIGGIGVYSMTKKGESGGNVKSKVELWF MRLENNKCLVSNHTK I203_05227 MRFSAPIALTFTLLTTLVASSPHAGDSKHGGLSLRGERPLARGA VGVYQRQTTGDADDSGDATTSDGDASGDGDDGSTSTDEGDGTDTTDGSDGSDTAAATP TYTYDGTGGEAGDSGPALTYTDPGTTPPSNDLTSATAANVEATSADPNAQPSSAPTTS SSHSGLTNYNTTTRSQTVSLVGTSAAQTSTRASGSSSASASQANSGAFPSTMPNISPL SLITVVLAGGLGVIRVLV I203_05228 MFNILTLGALVTLSGVVGAEEKRIGGVLAGAPVNNITVSADNVI QCSQATISWTGTSNSPYTLSIGQGGYYVGLTTLETHEGLSDSSFEWTVTQPSGQDLIF EVQDSAGQKNYIQNVKVGESDDSSCLPSDTSSSSVASSTSSGASQTQVAQSASVVEGS ATSSSTSSETQAEAQATSTSKSHSHSSHSTSSSSSNSNTVPTPSTTISLPTPSSASAA AASNSSSSPSSGASTAPLAAASEGATSSSTLRAVYPAAAAAARVLGLIVLGGWLI I203_05229 MYHTSSAAHYNPAAQPRLYQPPTPLPRAPYIPRTPAMDPFGMST SPSSPVFTHDQNPTASASTSSSYTHPFIPSRSTYMSPQINQQFDNLTTSTPGLPPPHQ VGSSSSTERPVTVYEWGPREGTQGTQIVIKADVNLPSSTPPPNPDGSSPNPSPQSKPT KALRVVFGGHPVQTAVTTVDTSNNSQESQYYQLMATVPSFSSTGAASQGYGNKVNVAV QVLAGDFAIVETVQLGDFMYTSIAPKGTPVKDRIQSNPLKRGGESLESTRPSPHQVHR RVISTSSAPYTTPEMQQYATMPSAYHPSPSGRSTGTSVPSISHPAPPPYTPGLQPSLM RSTQLGPGMPAPTPYVNSGQKASLEMAGDLMTMSKGWTQDEWHARRRLVQFWRRQEGT TIHAAFKPISQADYPAYQQSIIISCIFREDKNVCYVTSVDAIYLLEALVGTRFTVEEK NRIRRNLEGFRPITISKSKPGNEDFFRLIMNFPNPRPRNIEKDVKVFPWEILGQALKK IIGKYSANFPYPSSPMPPPPPPSQTYQPAQNFGIPGPLQPMIPSSTPDDDYIKHDYSG SAMSVGPPTTSGSAPHLASHSSSDSSSYAHSSYPPHTPSQHSNSPTAYRSNTNPNTNT ANTPHGGQTDEKGSSYLNLSPPYGQTGNQWINSGDPNDGNSGSSNFVIPGNLVYSDQP HQLPHVHQNVSRQPNPNDLRINYPGMST I203_05230 MYSSHYSPSASPYTSPPAPPHDPYRSSPIPVNPKQSYNSAFSPP PQMPSTFSPMPSGQSQRYHQQQQQYPNPQNQQYARAPPNRYSSPLPPQGYANGGAGYA QQPPPPQPQHLPPPQRHKGTLAPGQIVKVGDQAVRIERYLSEGGYAHVYLTTSDRPIY PPTKGGEKRGRWGEKGYTEHCLKRIAFEDDAVWVDVKKEIEVMKSLPPNPHLIQYLGS AHSRLPTGGHEVFILMEFCSGGGIIDLLNKRLRDRLKEIEILNIFTDVCEAVAAMHRL SQPLLHRDLKIENVLSQPTSSPPTSQRPSPLIFKLCDFGSTTFPADKPPQTKIEADAL AMDLNKHTTLQYRSPEMVEPMLGLPVGLPSDVWALGCLLYKLCYYTTPFEEHGPLAIV NAKYTFPPVPAYSPRIQHLIASMLVEQPVRRPTVFEVLRVAHEMSGTKPEVDYPIPSR SLGVPSQRQASRPSAQSSNLLDFTGSSSPIDKTPVLQPSLASSVQPQRRGRPTREPSQ KSVSQALAPTSMPTPPKPQESVPNANKVSGGSAPKIQVTGGTPSPVPKAPSPGLDAFG MPSLPASSSQKLENRGFGDSFAAPNPTAGSNIPSKFGANLPRPPSGFGNSFGSSKSPS LPVRSPGASSLNRVSSARSASIKQPSPATGPNKTKSPETSSSIPDGELSFETRFPSIE TLDGDAFSPPSQSTKPEALISPVALPPPSRPAFGAKPSIMGNMTGGDLRSPTTTIAPT AHNGPPQPRSTHVTGTAFKEAKSPASPQQKTDYFGSITSSANQPHSSPGVSTASAAKS PAPQDLMDDEGSDTLKLLPMQPGRSTTPLSSASISTDKQFSSPSSSTSRPQASPGTTS AQAAPTTGHHRPLLPKIESSKPTSNINSEEWSPLEKMRFTSKAGEERKVEIELDSSDE EAVPENAFGTGRRPTSPAKESARSSSPQKISDRASTFGGISPVKTRAPTKSATVGIPP ESSRRPQRTNSGERSRPQSMFITPSSSSTSNKPFNYSPTISESASNASLPNSDSARPS HGRKGSINDIVSRYENLKPPSSASSSTFDTKKNGGVTNGSVNDSTKNGISRKPSIASK PQALRKPTLDDPSKSFTTSSSPNDKPSSTPPTTSNKPKIGGSKPDIVAPKPIRHGDTP AAEGYSRSSSGRSFPITKAKPQPTTINTSVDETKVDEPPKSGKNSPEKQQPVNLLIQR WNQGQTNNAAANKSVPKRGGYI I203_05231 MTTSGTSSSLPSPLPAPSTLFALIHELHTTINDSIDTALSWDQL QSPPINYTLVRPIVQRFAPKVDEGSKPTGTATPALAVPTFQDGGESGVTRKIVGGDAD GPSLGMVLYALMANRIQYVSLSAGDLSYEPLQTSRAAFCELLAIKILRTFPHPEDAAS LVSELVRGYCAFDGAPEEVWNSMGDDREDIEEMAGSALELAIVSTSKHFLSLPLIQHL INLIYTGQLIYSPISARSIITDSYISERTRQRRRPSHSHGIVSTSGFSSYAGQEPDIH IHGGKEAEEELAEVYVYNPYEAGWLDHQRLKVPKWRKTMEAGSFVILLALFVSTLAAK DLHHIQVIEIIYIIFSFGFILEEFAASKEYGWAVYAANAWNAFDMAYITIFLLYFILR IFALASHSPNTSDLAFDILAIAACIIFPRLVFFVVKENVVILALRGMVASFVQFMIVT VLAFSGICFCLWTLGRATWTVKQIVWLMAQIWFGSSYLGFSASSSFHPIFGPLVLISY AALCNVLLITMLIAILSNKFAAINQNAHEEHLFQRVVKTVEGVKSDALFSYLPPINIL AFAILVPLSWFCSPRTLHRINVFAIRLTSFPILIAISAYERYNYHAQQRGIHLKTSTM DRVMDVQRPGLLDSWLTGGSEMLIASVFEALPNIPITSPGSSGIVTPPKASNLATLQN EEDNDVNEIMKSPKKTEKDKKDKRQSTKTPFDSPLAKIFGTRSESVERVKDKGKRKDS VDDTPGVNGIGTAANEEVGALKKELEEVRKSQFRMEELLNKVLAGPITGETH I203_05232 MDGYQTSLPGGVLANAGFIKQFGTVVSSSTGKISVDAQYISMWS GMAYLCQFLGNWAGGFISDRFGRRYTLYALTVVFTGGIITEIVARNYKDWLAAKMLMG LGQGLIQQGVLTYISEVAPTQLRGALMSTYGWSYALGQLFVAIALNTLNMTDPDNYLK AIYSEFVFLGLWIVALPFLPETPWYYARKEDEISAKKTLSKLYKGVEGYDIDREYNAM LVQIQEEKQKSHSNSEVALKDIFMGPQLRRTFASVFALVMQNWSGSPIIFNYTTYFLQ QAGLPQPFQASVIVYCILIFSLMVSFYGIERLGRRTLILSGGMACTIFNIAIASLGFA SHSSPVDNASLAFICLWVFFYAFGFAGTGWTCAAEIATPRLRAKTTAFAASTNAISGA IFNSTVPLMISATSRNWGVKTLYMFAILGGLGTIVNFFLLPETKGRTFAELDEMYDLK ISPRKMKGHVTTLEESGLKQHK I203_05233 MNVNANTELERVESKKDEVYTTSADAEGNGLHKLDESYIDHTTA FAGLTRLQAMRKFGELVLSV I203_05234 MPVPSDSVKIVLLTDDERVSGNIANDHLFDAVDALFNDGVVVLE NAIDVEVIDKLNEKMKSDTEKILSGTVKVHWNQGEDKGNVSQVPPILEEYLFPQIYAN KLASSVLSSVLGPVPELHYIRSNTLLGNTTTRQKVHKDVKGRHLSHPYAVAMNICLID VTPENGSTECWLGTNNSSPWTDHQTYTLGFVQDEKLEERRKIRPPVYPRVKKGSLILR DLRTWHAGMPNHTSETRVMLAFVYFAQWYRNQMKQKFPVSLKPLIEKYEKESNTRIVV DYVDDEEVKDYLNTQFETLFISPHHPLQEVP I203_05235 MQATARSECVIITEKRGLKACTACRIAKVRCQVVPEIGVCVRCQ SAAIPCHFTAARRGRVKGSKNRKTLEKLAKDNSVALRTLSSPSPGNEQPQDLPWGNDH PSMPLTRLQSPLDLDPFVSTLPSPAPASHRGLEASRQSMTRRTVQTMAGSFPTGSELA ISDPIPRADFSTGRGVHNPLLFLAECARRGWDTDDTEWRDTMVVPTPNTNIPLEDAIR LGRWSKENLGKIIADQRKYFQHGLHGTKRDVSMGLDPVYQNVINEDQVGTLFSNYFCH VHPQWGMLDRNIHTPSFVRSRSAFLFTVILALGATSIATLSTSTPQNRLLAIKLWAHL EKLQLVICATSAKSIEIVQGMLMAQMWALRTPRLVDDQRATRLGMAVRMAGQIGLQLA RHHGRSSGEARNANDLRTRLSLVLVESRWESIADRQEISCLAFDLTDYEADELDRTGP SDDIALMAADYALYRFEGESKERISRITQNNRSTTALDSERIWIQTYLSSWESKWVEP QTDPLRRWWFRYLSIRSRLVGILRVAKARAQPGPWTDQMKSDLVLVSIELLEGCLSHE RSMHMLRPTSPIVFAAAILLQLTAKDAPERDLILRVALRLAGEPKQEDIMAYAVHNGY QILNMLCKEVTVTNAGPPTSASSNITYNPSSISPSTQSLIGEDFTLAQQSLPSVSSIH QETDSLPLSSTSMNRQFDDIGQLLGLGGSTTNEFLHQRPSITPSSPSSFGQHTNTVWG NFLSTESSNTSQYPPSSMDQPAQSGGSGYMAWPNNGEELFNLAGGGQMSDNDISDFYF HLASALGS I203_05236 MPREKPPCGNPLFLKWMEELRDAAREKNMKSAEGYNKACRSLQN CPVTYTRPRELVVLQHIGEKTVAILEKKWKTYCEENDIVMESPAKTKNKGKSRATPSD DESTNSSIEAAPKKKARKASKPKAYIPAKGSGSYGILMSLVLAIDNPQINTQVFLTKS EVIRGAQDYCDSSYDHSEKGTYFTAWSGMKTLVNKGYVYVTGNPHKYCLTEEGYDVAV TMRNLRPELSHIERHPFLHTPAVGGSNTPVIPSRNIPPAQTPLTRVPSHTSSRHISPE KPVFRAKPERFQFWYIDSSGNRVSSLSSSTIRLDPTEFTNLRKVEFRFSQRDHPFASQ LRLVDDHSLARVRDDTGQSTLFGYVVEEEAPPTCSKFDEEPILTSKTKRTSSGSPVLD LLDGIAPDEDDESLVSISQTPKPKASYPIDDLITAERTFARTVSAPAKPQSPISNLAA EAALQRQVGGQASSRSHSLTELDKPSKPSRPAPRLSSHIPTPTVHVHLDQPLPSSSTI ASTDKISTFQPSDAIVYPPGTYEIVLIVDTREVESRSNRDKIAETLAAKGIKVETRAL RLGDMCWVARRTVGDLGGEEDECVLDYVVERKRLDDLCSSIRDGRYNEQCFRLSNSCI NHIYYIVEDWQVTERMEYHGLQIMTAKSQIQIHNRFFLKETHKLSETIDFLTTMTKVI QSSYRDKSLYIIPTRYLSRSTYKSLQHHLKETYPDKEFLTSFGAYQELNDKSASQTLK EKFARMLLCVKGMSAERVSSILDIWETPRSLYESLKEREGQPKELEVVDESTGKGRKR AKEMFFADCVKGEGRRKIGDALSRELWKAFMGSENGEK I203_05237 MNGYPLYEGPNNNNNNGQSSVPIFPGLSRTTSSSSSIQHFNPNP TPDPYTYLHSQLGASSLHSQLGASSLRGIVPNLKPTSFNSFNPDDYVSNLIDPQQQQR NTAEAFTQIIQQHHGNGNGHGPSKISGIAKKKSAKKFHGAEGLGKNGEPRKKRTKRSS GKACVYCRRSHMVCEGGRPCERCIKREIPHLCRDFTPPPHHPTHSHSHHSEASQVTES PSQVSGAESSSTNTNQQQQQQQQIPMYTDPNFPPSWPLLPNTSNQMQFNSAPSQLNQT LSQQTPPVKLEPDLTGNGNGNPNGDESMPPPSWGDSNDDSELAALNKFMKDLGVPALP GDFFSFMQSLDGNDPNNSLQVPMSDDMGTSTTNTPDFANLSSQNLIGAFGGSSNYKGK GKVVPMSRIDKFMMAAADQPNGSRAARLAQVIKAKYDAGLLKPYDYVKGYERMNKWME SGRAAPRIESRAGSETDSPAPGGIGRNGRLPLTGLTPHQALGKSISPDSRRRILAALA GFRPKFRQIARTLTNVDLVFVEEAMERWMLEYDRTFASIHTPSCIWRRTGEIQKANQE FSNLTGIPASMFRDGQLCVYELMDEESAVRYWEGYAKIAFDQNQRSMYISCTLHIPLS LTRHKPRHLSAAPTPNPSKSAFPAPPPPDLALPQSFHDGASDNGMMDEFREIKCCFSV TIRRDTWGVPVAIMGQWIPIQG I203_05238 MKFTIAFSIVTLLASTAVNAIPVPMTSESSSPAPTTDMAAAPTT EVAATPTTQVAAVPTTSSVAPVETPEETTSVPVEATTEAAAVPTTSSPSTPDSGSSGG ESGDTGATTAPASTPSSSSTPSEGCTGEGCETSSSPSTPELPCDEFGNIIGSLTGGSS PSGSSGSSPIGGSGSGGKGGFGIDCDEFGNPIGGTDSTGSSSSPSSGTGSGGKGGIGI DCDEFGNPISGGSDSTGSSSAPGEGSTPDSSSSGSDN I203_05239 MTTFPRHPLQLDRPQSGSFHSYRTSLTPSTHSTAPRDSTTTPPR TPSPYLPNPPSPKITHNDPLPSIRIDDEGDEKVEEGRYRRNSLERTRSWAEEVERNRN RSERKGKERVKAVRDDENSPYGQPFWSVSTEVMDSLVEALSPSSSQLSHLPSAAKVIA ASRRRSYRIDSDSDEGKSGGEEDEWWNEDTYRQKGRSTSMRLQVIQPQSHRERRTSER ARKSSSKMTRNDEKDRSIRSNEKIALISLPSPTTPITPTTPNIPAKTFTPTTPILSID DIIRKHSPGVTNAENVVKEKARKEIEDIRPRLASIRPKSMDVLTQTKKESRDTKGLSS EMIVQSNHESISVASVPQNSSLTQNTSTPDDMLRTRKISLAQSSTPSRPSRANSLPNV KPILRTSQSAHNTPRRSALNTGVGSGQATGTENESLESLSQEVKMTTALLDQLEQSEK SSTITSNSSTPTSSRRISFQISPDKRSSASVRPMTPTRNFNGNGNGKLSKRKSMPESS LIALQYQAQLDIQNHSYAIYLRSTHLNKIISLPRQYPETPLHISLAEVGNPHGKPVII FLGLGCVRYLIALFDDFAKTFNLRLIYWADIVTRVLDVLEIRDFQILAHSAGSPYAMA LALRMRNRVKGKVHLLAPWVGADIDGGYKWLKYIPNTVIKSATAAEWKLQSYLIGKPP PLRHSPISHDANAPVSSEQSSRTTPPLPDEDVFEKPREKRISMIRSSNRDSIINAVER ERRRPQTSPSPGLVRRASKRLLTTPSKTSVSKNMDRRLSRPELSRSDSPTKNIDSRIV IPIRQDSGTSLTSISSSLQTSLKTPTSISRSSLSPGSRARSSSVLDKDDEIEVDGLDI YPNEGFDLRSSNSLDSQFVTSPISRSALIRTQSYTTSSTSPSAVDYGSMSAPSGEGFS TVLNQASHAECEPGTTSDLLSIVLNKNKDDKPWGFSYTDYSGSVKVYYGSLDDKINEK SMRWMERSMNDVELMVREGEGHNLMTSQRVMWDVFESLGRDARGR I203_05240 MPTPLSPSSSQEIIPISPPPSSYLKSKGKATPTSIASSSKSITT TTADLKEKSNFSSGSGSKAASVTVWKSKDVEDQMKRKATDDQRSELSKKSRSEVTQRM LSTRGKDLNPITNSDLYSRTDHFVSCSTGHQQSNRGGGSEGSWTYWQVRSAQMNDQAR PKKTDIFKGCVVYLNGSTGPKVSNLQVQHLISSNGGRLAPTQSSSCTHIIANGGLSGT KTQKHIDGQGTRGASRRAKVVKVEWILDSVEKGQKLSEAGYGMVEDPSQPNLFKTLGV KPKLESEISQK I203_05241 MGKRKAAKKPQAKKKAEPLSSVFKCLFCNHEKAVTVKIDKQSMF GHLTCKVCGQRFTSPINSLSVPVDVYCDWVDACEEVRAKQPPKQRPVRAPSPLAHGRG GGVSFDPDRPTQDVDEDAEGEEEEYDSRPKSKSKSSNRRDADEDDVDEDEDEDDLDDG GRREKRRRVREDHDDDDEDED I203_05242 MEEIGIDLKLEDPTLPSQISEKQALLNSLPTSDEELYSTWKKLE GHREFLELQEEYIRDETQNLRRELLRAQEEVKRIQSVPLVIGQFLEPVDERRGIVGST TGSNYVVRILSTLDRELLKPSSSVALHRHSNALVDILPPEADSSIAMLGADEKPDVKY SDIGGLDSQKQEIREAVELPLIQMDLYRKIGIDPPRGVLLYGPPGTGKTMLVKAVANS TSASFIRVVGSEFVQKYLGEGPRMVRDVFRLARENSPCIIFIDEVDAIATKRFDAQTG SDREVQRILLELLNQMDGFDQQTTVKVIMATNRADTLDPALLRPGRLDRKIEMPLPSR RERRLIFQTVTSKMNLGPDVDLEDYVSRPDQLSSAQIASICQSAGLQAVRKNRYVILP VDFEEAWKVSGVENATFFSLF I203_05243 MPNLHVHYYNGNIKAFRQELDGSHTSNTGGKGGINPGSASGGKS WLISGFSTGSVKADINERDQFGRTVLHLVASSSTANAYTFLNILLKNPNISVNLQDTE SGYTALHRALFVGNLRAARELLARSDIDTSIKDAEGMTAFDLYNGTVEGTNPPHDVDG SDLYVWGVNRNYGLGTGDATDKAFPDRINLLTQAQSSGRSDPSEKFDHVGVKKVSMAK LHTGVITNESRGNLSLCGFGSNGRLGRSTHSQLSLLPLPDLSHIIIDIALGQDHTLAL ASGGYVLSWGHNRFSQLGYTIEAPEKPIPGGRDGDDLVQISPKRIVGPLKKEFVRGVA AGRMNSACWTADGVWTWGTNAGHLGYDKASNPVQVVPRKVTSITQPVLEIAFTDYAMI CLLDTYEVLCFHRDTSFKISFSTPRVLSEAFPFRPPQATLKPMIKKVTSCGTSFAALS SIGDVFTFSLPNPLDDLPKDARGGHVSVKPQMIWALRKSFTAVQDVALGSDGTVIICT QSGHVFVRQRLKSGSGQLKFRRIPYLQRVVKVAVNESGAFAAIRVDAKATPISLAGRT LEEDLYLLQPHIRRFENQMTADEFEEALKKKVEEDDEDESSNSVTKDLSIAFRFCTIL SRWKNDDGDSLFAWGEPLLGSDVHLVVKDVAIPAHSVILSLRVPKFKDLLAGSGKVNS DHFSLGKYRKSKAIHINACHPLVALLLLQYIYSDDISAIWDARVARAVQEKFATLELP LGQIKTDLKALADDLGLIPLSTVLSSAGKQPISRLTLPSDLYAFFTSTHSLPPSPTTQ CDVTLVLADKEISCNSTILRSRCPFFEAMFADSDWTSRRVDEGRVTVNMEHLEWPAMK LVFRYIHEGAEDDLFDYLHQDTLDDFLDFVFEVLAAATELLLDRLVLICSRAIVKHCN ALNAAALATEATFYQANTLKLSIFDYIISCMETMLESGLLDEMDFDVLQDLSEVIAQK QATRLSVSRSQLLVKQATEKHRDWLLLQDIPQPRVRQPFRWRPKSPALSPVDTMTLSS ASKERERVKMPLSPMMSPEMQPSAADGIFQMDDDLPTPPSSASGTVTPRVARPVTPLN LSAAAAQDSKGAVWRSKTVETEKVDLRSIMAGEAARKNPSRPAATPSSILGGSSRPQP TPLPTPTKTPSTSRSQPISSGGSPWRTTDTPKTSFSSLQTQQSPASSSSTLPRPTSSQ ASPAPQRSGSSKVITPVKLPPSAPGIIPQRKVSGSGGAAWTTPTFTPTPQVSVSPIAQ GFSLLAIQQHERDIAEMSAKKPAKSLKEIQEEERVAEKDKAQEEDFMRWWKEEEARVA RQSGSGSGAVPNKGKVRTATTKGRNGKNGENSEMIGAGEGSKASGGSTRGGRGGKVKG NTGVSRNGEDGEKRKGNKDKDKDTTSSKSQSNLNSNNTKQPSQMKPNGTPSKSINDTS SKSDGNPPHANQRMKGHPSKNNNNNNDNDNLTDSSMTIKSQSELQLQPTASTFVPKAN APTFIPRFGTGNGK I203_05244 MTTTTPSPTHRAEMMFLGKECNHPACHLHDFLPFQCPACHLSFC QPHFLPSQHSCTSPLPPSMVDRIAPKCPMCDEIVKYTSSSRMDPNEAVERHILSGTCT GFQGGEERKKLELKRKKQNGEICWKKNCNKMLVVQMQCEQCNHFFCPTHRHSSSHTCT PSNTPSLSNTNLAFSSRPIRPAGKAALSRLLPNSMTPPIASSSRPIPAPAPAVKVHSS SSSPPWDKPLDAKAAAAAAAIRRAGQDVKVRFVKTKTEKRSQAELNSTIQALKARHDK GLLSKTEEVKYAELLAERESTKRKFGTGIKDKGGNDNGKGNGKGDCIIA I203_05245 MKSLFRPFPLPLSDRQWFYLLVLQGVGAGIIDGGANFAVAYAMY HNQKVVKMWVLSKNTLAGDLGVTPIIQCLASMLITSTLVHTDLHHHAVAPLPFVWPHV EHLPDPREIIGRWGKGKRRKGEEIEEKGPTDEDEEEPSIKGLSYYIKMLIRFIFEGTE NNSLLPIANSKPFPIRIFLSAVQGAAIGMIFGLPVFLLFIIVLGPLYKHDNIVEVKWK WSPMVIKCVYGAVVGWITNPVIASLALGSQAEHHLIIISEDSPAEGDVEAGQSSVPRI DGVGVDTIHEEEEEEYLSPPPPLTGDSLRVPIPKSPTPASGGSLRLPSSPRMSNRPRA LSNISATSSLSNRMGIRPPLMANCSNIPIASNSSIRRGSVTSIPRTPRSPGTAEFGSM NLLPLDTPTLGMGSQRIKRSRGATISTYVSQSDSVNTNYSYALGGTGGRAKRPNRPRA ISSLSGKGIQPPKVQVDGMEGQAGNSASEEMRLDVPSAITATTETSQVGKNNVRGNGG FTTTPVWDVFGQVKVQDQITTPKKNVQDDVEVRDKKMKQEEDAEKKDEK I203_05246 MSTGKPATQYKAWKKLQELHSSKQSQLVLKELFNSDSKRFSTYS KEFKASSPDVSILLDYSKNLIDEEVLSTLFNLAREASVESFRDDMFAGKHINTSEDRA VLHVALRNPPADQGGFKINEAGVDEVHGVLQHIKEFSESVRSGSWKGYTGKPIDTVVN IGIGGSDLGPVMVCEALKHYSKRDLKSYFVSNIDGTDLAEVLKLCNTETTLFIVASKT FTTQETITNAESAKAWFLEKAKDQAHVAKHFVALSTNAKAVTAFGISESNMFAFWDWV GGRYSLWSAIGLSIALSIGYDNFQELLNGAHELDKHFKTAPLEENLPVILALVGIWYN DFYGAQTQALLPYDQYLKKFADYFQQGDMESNGKSVTKDGSRVDYETGPIIWGQSGTN GQHAFYQLIHQGTKLIPADFLAPVETLNPISGGKHHEILLSNFFAQPEALAFGKTEQE VREELGPEASKNAALVKSKIFEGNKPTNSILFQKLTPGTLGALVALYEHKIHVQGAIW GINSYDQMGVELGKVLAKNILKQLGNETDVKGHDSSTTGLIHYYQKNRK I203_05247 MAPAPTGFSFSTSTGGSSFSFAQGQSSSGPSTFSFGSSSNPFPQ KSATSTSMFTNPSQEVDGMDQDGDEDEELEVEVLHLQPSAYPKGEKKRWKSSGRTINA VTSPVGGEIASWIAKKPSANPNEPSTLSEPSLSLSDRTIFFTSLSSLTDSLVQLYTES HLLFTSLQQIVAESHSRRLPSIGLEKIAEAWDRRGNLVSPEGLLGPPDAETIMHMRRL ADLYLDQLGELKANQDIDIELRARFTTSYSTLNLAEVLYLPVDGKGEGLVGEEILDWV NDVDPAPDNSQGNEIMSTQNPWDHPLFFPYISRCILRGFHLPAASFLKSLSKHPNISI SKLSSMLSQHLSIFPRSTEERWRVDLDFLQAHKSWLAKFKAELISFTQGKQKGQWFGE DQYKSIENDFRVIIDLMEGKPQRILDEASDWREALGAWGILVDVDMRRDHLPEIMAMI LDKIPVDTTIPEDAIQSALCSADIIKALMGCYDIDIWLSAHLGDLLDKLELIPDDEER FEIPLRDYFLLEYTEILQNNPKYSAFWRVICDYLNYAGQEGRNRLKSHIMRISISLDG QSKSENRKTQADGMDVEESTEGEGEQTKEMEEAVRLLDEIRAACGEFKLEEEFKMISQ ILASKLIRKGEYGMAASMSMMAGDGWALSRIAEKILDSYITDGDEEFLRLVDTLPPTL LSEAPTALAELQNQLDPASGLPDLPATSALSIFASRLTFLSELRDYLLFLNQGARDRA ASKLVGLLTSGIAPVGIWAVLLVESINLLEDSDILFSSNETFEILRVLEEVKVNSSFA KQDYLEQLIQYLQRLSGDGDDKTKMTGYDEGYKKLDQVRLALARNLARSLVGGFDSPF I203_05248 MSLPLPLPHMHSSPSLSSTSSSTMTPTSTPSQSLSQPPGTHNLR VNTNLPPSSNSRLRSNSALSPRPTPNSLSPINTNLSRRGGGGGGEMMGLHGPSKSLGS NLANYNSNGYNYESRLPSPLSASPSPGGSGGDEKNDVAAVVRGFRGGGPNSPLGAPLG TPPPTNRSQSNPGSGRTRGTSPTPPPAGPPTEEYIINKIKEIAEGSKDEGDTLDISRQ NMSKISDEVVDVFERGVGKGKRGVWRLALSYNNLTNDSISYRFAHLSRLRYLNLKGNY LTEFPRPITELAALEILDLSKNKITSFPDEPRRLTRLKVLSLTNNKIYTLPGYMVQFT SLKVFKVDHNPIEWPPKEVLGPLAEPVDQSSRSKTGEGASTNSKDRKDQDLRPWIENM KSWMRQRAADSERLLQQAEESNRISEDEPLSAASIATSASARSFRSQLESPMIAMSSQ ETVKRAVFPQNHDDRPRTPTRPFVLGRSRSATLSDDALARSYSPGPSQYSPYRPRHSR DPSASSFTSPPSASTESSAHSRMPSASNLPQPPAIPATQGHSRGASYTATQRLSGNLT VKKSLPDLRQSHAQIIQDRNNDGQPIEETRPLGLGIAAPGVPKFQLPGRGWGGEMMRS PTAGPTMSGQERSRVMNRKGSIEMMRRTSGDMSGEMAEKRNSQDGPQIDESRNSYFRR LSTLPVSTISKSIPPVLLKFIDAVRGILYALSQLHSALRQYLVFAVSERIASVFSRVM EPAGNYMNTLINALDRFDSMSRRNSPPVHVIRNVIDAAKESVAVFAKVVAVLKMQIPA LKNNDIRYTRTLLTMIYGSMAEVACSWQSMAPLLAEMRPLLVTDVSGLAMRLMEGVKM VPTGSLSGRTPISPIIERRESQSPASVSKSTVGGSPLVPQLEDSPAPAVVHATSLRTM GKSRRQAGSFSSLDVERGMLMGSPGGPRSNEMNTSDQLSTPGSYLRHRPSESATIVLD QQTEESDEEEEESSQAPIPPPQFPISTTSPNGTPFTIPGTPPEIVPSHQHIAMVPASS PQGRHHPSSSSGSSHAMAMSFTSNNPPAPSRKLSVDVRPPTPASASVFDEDLLDVIET AADVAFTCWLKLAEDIGASSPPFSQHQKSGSQSSLLSNAESTRMGMGPFSAKRPSTIS VKHHTELLHLLSIAEQITAGLRESLMGLRANPSTYTTTTLPDDAQAFIKTVVKVSELV KIISATHNFPMSVRAACSRLTQSTRECAILIQVSSLRPSNATPASIPPLSATGTASSG NRPISPMYRHQTSRSGSNANTTLNSQEDLSIPNNHNQYQPHSAGYMTHTWDITNPNPN PGGGGQGTKDGLRGLQLPSRQMAMALGRSRSANAVRPMAMTGVQGQNQNQMGGNGGNR YMNGNGNGDQPNSAQPTKISF I203_05249 MSSFVITPALIALLPLAKAHMSIWLESMYGFDQSYEPVTPLSGK SFDQWWFHGNADDQPSATTTLIPGSDLSVEIACSKEYTSFGGNPTSDACPGDSGSYHA GGSTGSGSGWSGNSESNLMGCALAIAFKPTASQTNPEDFTVMSIQENCVRQRQTSFSI PSNLPACPEGGCTCAWFWQGKNSANEMYMTGFKCDVQGGSAGGSYPSPVPPRKGAISG PTQPMYWANTPTNLDYTPDWETKPSYNSAWGWTNGAQSSAFGASSGTGSSNSTGTGTG SGSGAGAGSTSAGGNASSPSSTASSGSGEYGTSPSSSTAGSGGYGNFPSSASYGSPTT TQGWSNDEDDEYAEPTSTSSRGRGRRPTSTSTSWQGQGEDDNSYQGEDEDEEETEEEN PPWQELAADPVETLSTTPTPTQALVQTSSAEATSTATAKKCNRHGRRSRLRRTVHV I203_05250 MRFMGDSYIKSEFRLTRSTDNPLHIIAFLSQWKLYLDELQQSSG QGREVWRGKKLDTDSFEKLSKEQVGQLYELMHATKDVWKSPEQLEQEAKDAGINSQ I203_05251 MGYATATPAGIERIKVKNPVVEIDGDEMTRIIWKKIREELILPY VDVDLKYYDLGMENRDATNDQVTIDSAEAIKKYSVGVKCATITPDEARVKEFNLKEMW RSPNGTIRNILGGTVFREPIILEKIPKPVPGWTQPIVIGRHAFGDQYRSTDFISPGPG KLTLTYTPADGGKPTELNVYDFKGKGVALAMYNTDESIYGFAHASFKMAISKKMTLFM STKNTILKKYDGRFKDIFEEVYESTYKSEFEKLGIYYEHRLIDDMVAQAIKSSGGFVW ACKNYDGDVMSDILAQGFGSLGMMTSELITPDGKTMEAEAAHGTVTRHYRQYQQGQET STNPVASIFAWTRGLAFRAKLDDTPALGEFAKSLEESCVEVIDKDGIMTKDLALAMKG KNMTRDDWVTTDVYMKKVEERLIEKLKARI I203_05252 MASISTPTQLATFSQPHASSSKTPHVTLSPVVGDSKCAVAAVRG DGIWTYDLNTLRPTTSFTVPPSTVFSSSPISYWSTRTEKVPRDTSEQNVVEDDMDVDV DEDESNEQYEKEDIVELKERITLVGVGKEIWKWVGEEGDKEVIEIAKSIQSIHYLPSS RYPILVITTNPTDLYLLNNKFTPKHLTLSLSSTDILTSKVLIAKDNSARLVLVDTTGQ ISVFQLTLEDNPRVNRVTEGKIGGQAKLGYVDISQDGVIGALDESNKLYTLEISSLSS PTSPLTLVHPSSTSVLLSLPTSGKPLILLPTPHPTPSLLLAIPLSTLPAVLSSTSISS FTSTGTIEHLSILSQKNGILTVGLVLSHLNSDGQSGRSVIYTTEVVVPPKGIGMGSLL GTKDKTQMYLSTSSAAITNKSDGQGEEEKVIKKVSESIKKNQLGQAENTLKEFLSKGD STSVSESFVRKIINVVFSEAINDEGKSKGTYSSGVVRLLLERGLVNDSMWKGSLVGEG LLPCGDWDDIIMCLKSFRTISSLTLVKLISSSLHPSTNDKNVPTLSKLLYLILSSPPA PTFRLDLRQNLSVEDATLVLEQSLKWAQWHVNARGEVLKGWDTDADTASETQLLSEVE GTPSLNSVIAYSSLLLDSHLPLFLSYEPSHHLLEGLNEALEPLLEVQNEYKKLRGPIE AVLILAKREEMHEKEKQYKFKTRKEGCQGQGQGKRLMDEKIGKWKVEDLAF I203_05253 MSSTTYRGPINPNPKNDEASIIIYGYTPSLALGIIGIITFTICV SLHSFWLARKRGTRWFHSLILVGALMEIGGYAARLSSHKRPFVVSSFVAQYFLIVVAP VLFSAAIYLSLSIATRSYKGAERLLIISPRKVLIFFVTADIVTTVIQVVGAALIGSSE SAKVRGNPSSVTPEQANDILLAGLAIQCFSFTSFLLLLLLTIYRSHQPTYTHHLTSIT SLNKLRSFLYTILLTSLLILLRTTFRLAETGQGFFGFASTHESLFGTLEYLPVVLTLL IWGILPISKFVDLGQSRERERLDSAIGEPQTSQIGRNRNIV I203_05254 MSLVAPRPETERASVLLPNVTCSSCSASIPLSSLGEHVCAAAPP RGLANRNVPRPSQISIPQARPAMARHPSSQGRGPSPTNVPPPFAGPSSAHPSPTEFSI PRRPSAANLSPHDGPSGSYSAYSIPVKTPSPTNPFFPHPDQAQSGSSVHGLGMGAVEP QSSPMIDTTSGGESGMAGVGRRAFAAAAWGVRAGVALAKQHIEAPAPSPSYQTSNPAW LEPQPQSGSQSSAREPPILPRVPLSGRQLTGPKPTFGARPEMHHSHTAPMEPTHSYSP PKSHPASPPRRSASAMSHRSNPSSPPRRKESLSSNASSRSGQGESISQLLRARTNTAP AKSNKPGFFDKVKEMHERSNTVSPVLGIGMARSTSGGSGSGRITSSPQTTTFDLEDDD YDDQQSALPWATPSLDESPMMNRDKANGMKHHRYPTAGSEASSSSSSSRSGRWGATSG ESEEVVTPSQSLEMLSDRVSSKSNHEAGMRSFSTNAGGVSMEGRDILDQIGEEDEEED EGDMVVFGTPTSRNIKDPHQHQHHNHKCLPNSHSTSTITSVRPYLPTTVPHSSPNRIK GLPTSNENTPMKLRSNSHSHSHKSSTSSSNSISSTTISSNTQRRNKVCVKCGEAVGGS KRFVERDGIVLCEKDWKKMYLPSCRRCNLPIEKSAVSSSDGQLKGKWHRTCFTCFKCD KPFEGDDFYVLNGRPFCQFHYHEENGTLCASSSCHQPIEGSCIVTPGPNPQRFHPGHL KCDHRGGVSGAQNCREPMDEYYEIDGSRYCERHVGEALKGNRSMKAEKRRTRLVDLPF GVGGF I203_05256 MLDTLFSRIRPQTLSRTIMTSSPFTSVARTKTTIAVAQIRSTAD PIHNLEISRKVINKAAEAGAKAIFLPEASDFINQSFPESRKLSLPLAQHPYTLGLQQT AKELGVTISVGIHEGPDSEEEERFYNSHVIIGGDGQVKANYRKLHLYDVELTKPPNED GTIPPPQRTGESDRILPGQKVVPPVDIEGLGKVGLEICYDIRFPELSMILTRLGATTL LFPSAFMVKTGRDHWATLCRSQAIQSQSYVIASAQYGAHNPKRTSWGESIAFDPWGKQ LGRLRSVDDTPPGEDKEVERIYEEEGEFFLIEIDDQIVKDTRSQIPLGVQRRTDIYGV VGENVNV I203_05257 MSKAGSFFRHKGVKDAFRVLAWVPVGIFFTRHVYSLASVTGGSM QPTFNPNLSSSPLHHDVVLLERWSVAINRFRRGDVVTLWSPQNPELLTTKRIVALEGD LVNPLPPSPPTPIRIPPGHCWVEGDSKYQTRDSNTYGPIPLGLITSRVAYILWPWPRR GLVDTDLPTKSKGRVRTLGQSFLQSKFGEALNND I203_05258 MYIEESTLPPSMRNYKFTGTLRPVYPLSPKRVVPPHIRRPDYAD HPQGVSAIESTREKRIKILNSEEIEAMRYVCKLGREVLNYTASFIKPGITSDELDAIC HQACIDRDCYPSPLNYAKFPKSVCISVNEVICHGIPDQRPLVEGDIVNLDVSLCFHSD LNATYPVGKIDDESADLIATTKKSVEEAMAICKPGVPYREIGNKIEEIVRPKGYSIVR RYTGHGVHERFHCEPNIVHYGGSKMPGKMEAGHVFTIEPMINLGTANLDHWKDDWTAV TLDGRRSAQFEETILITETGYEILTRPPTTTSSSSHKKKKKKSKSKANANGTATPNEG DETPEVGTPTGEAAEGVKELHVNGS I203_05259 MFSPRLSSLLNTSTVIPRSSTLASSSSRIIQTRSQFRSYSTPTP TPPLDKGEQAIYDKLKSAFPGQRLEVQDVSGGCGSFYAILISSNKFKGLSTIKQHKLV NACLKEDIQGIHGLQVCEPRPLRLELNSLADM I203_05260 MADAKLFTRGKAQELRDELRGANDKRDKGYLRKKTALKKIVANM TMGNDMSPLFPDIVQCMQIQVLEIKKMVYLYLVNYGRLRPEEITSAIGGFLSDCADRN PLIRGLAIRTMSSIPLPAIVKALVDPLRHALQDQDPYVRKTAAIAVAKLYASEPGRKV VEREGFVGMLRDLLADHNPTVVANCVAALVEISERGDDIVLKLNGNVAGKLVAALGEC SEWGQIYILDSLLSFVPQTPLEAEQLAERISVRLQHANSAVVLTTIKVILYLMNYMED DVLMRVLERKMGPPLVTLLSSGSEVQYVGLRNILLIIQRRPGILQNEVKVFFCKYNDP IYVKLAKLEIMYRLTREENVSEVLAELKEYSTEVDVDFVRKAVRSIGRLAIKISSASD QCIQTLLNLIATKISYVVQEAIVVIKDIFRRYPNQYESIITTLCENLDVLDEPEAKSS MIWIIGQYSDRIENSDELLEDFAFTFKEEPAEVQLALLTAVVKLFIRRPTAAQELLPK VLKLATEEAENPDLRDRGFMYWRLLTSNPTAARDIVLSEKPAISTETDRMDKGMLDQL LLQTGTLGSIYHKNPNTFIRTAKARYLPDSPALNASSKRHLITPSGSGMSSSALRAPP VLPARPVSSVPAANSAQSPVPPSAAAGGGISDDVYGQLSDLEFVNGGGAGYQTDIPRP RGAEEDLLF I203_05261 MRTNLEVPLTSSMSDGNPTPGQDHNHEGSVPSTCSTSSSTATIM KKDGRTKTVTAPSPTFSVKSTSTKPGEKDKGSTVIKEVMALQPIEVQALQEEIEVRLR EGEKIMLKEGTSERNSERN I203_05262 MSLLPTSQNQAGPSTSSETPHPHSKSDTALTSRQREKAPLRKNV DVDEIKFDDNDSDQEIDLIISQYLESRNIPTITQFWNENVLGMNDSREKKEWIEDVER CILDGDYSSIEGLISKPGLLKYQTQKSFLYLCWRQQFLEHVENRESQKAFNLLSKRLK PLEHYQPVPYDFYSLSYLTSASTVHDAPTFRDWAGVGPEREKLVAVWRELMGIERCDD SEKRYVAPDRLRTLLKQAAAWQTSQVGHASDTGAVKIPTLLTDYRPLQLPDRLYHLIK GHTANVKCVDLIGSHGELGVSGASDSTLRIFSTEDYTTKHVLQGHTSRIWSCTTSDSG SLITSGSGDGSIRFWDVASGECMNVLYGDGGDVYSVNWRPGREDQVVSASYDRILRSW DIETSKQLRTFSGHSQSTLAVTFDYTGNMIASGSKDKHIRLWDAVGGTCIKNIPGCLG EITSVEFDQQGRYLLAGCKDNSNRLWDLRMQRNIYRYTGHQNTSKNLIRCSFAHDASL IIGGSEDGCIYLWEREGSSSISSSSMIAPDSKSLLPQQQIGTPSFYPPREKTMTTTDK TSIQTVRPLKVLEGHGEGSVFDVVWKDGRVLSAREDGLVGVWGT I203_05263 MASSAIAGPSRIPLRSRPLIPSKCLCKRQSRSLFTRRGTSFWTQ SYPSSESPQIPYTPPPPDQLIGRNGNEEVDGQRRDRKQRYLDSLMDKAGELSLRCSVL DAEGNWTAEEGKYKKSELCREHDLDPRDLRKLDSLAPNLVPIILTRRTCIIVSMLHIK VLIKPDRVIVFDNSEIQESDTQRRFKYHLERNIKAGLGIQTSVDNGTLESVLVASANA LEEEMAFTRHLVQHLLSDLESDINRENLKRLLHYSRKIVGFQSRARYVKRAVDEVLES DEDLSAMYLTSRAQGRPRALHDHEQLELLLESFVKQVEEIVSEVDTTVANMNSTQEIA ELMLDSGRNALLALDVKISIATLGIGTGALIAGLFGMNLTSSLESTPYAFVVASGTAS FIALLVMIYGTKTLRRVRRVALSDGRSRTDELSILMNSKRWDRSVEQFSKGYDPFLVD NRKKQLLWDRILWKNWGNSKSRDDKKASMMRYNQIQAAKQARWQAAQQARTWKRGSSK NIGSADDHNATSPLWRHE I203_05264 MAEADLTFDSLLDLLRRLPPTRVEENVNALCDLAPEYTDDLLGN VDQPLKVLTDGEKGREFLGCDYNRDGDSFRSSWSNDYLPTSTGGPVPSSRLRELEVSL NAAFDTYREMYFEGGISSVYLWDLEDDPGQGKEISFAGVVLMKKVLSTPTSDQPETTP SGSWDSLHVFECQERGRSAKYKLTSTVMLVLETKTLAKAELKGVDESESKGKGGVTLS GSMTRQAEVDYPLTNSAGHIPNIGRMVEDMEIKMRNLLSSVYFGKTKDVINELRSQSG LEMKSKEDLLRAELAGKLGGRRA I203_05265 MAEAVLKHEITIHPSINDKFDISVESAGTGAYHEGEEADDRTIA VCKKHGIKADSIARAVVKDDFNKFDYILAMDKSNLQTLLHRQPTSSPSQISLFGSFSP SIPIAQQGKSNTKAEAISDPYYGGRDGFESSFRMCTEFAKGFLEYLERERS I203_05266 MARACDICGSRKWRKDKVTGNAVCEEGHVRQDYRSEVHDMEIGG PRHQLTRRKIGTRGTRRNKRKEEGRANPLFYHGTEAEYLKIQALQLLLRLQVQAISKL WSLPDTFEMIVRDLWAYQLSLSVLPPLPESSESNQQPDVLPAIIDKHSQHSQSIDVEM VDQHRDRDSKSDDGSQPGSDTEDEKHEEGSDDERGSDLDPEILERLEQSDVEDIERQN DDRSGDENRNKGKRKRKLRISDTIITLVMGLWIMRVPFMTVEIENAINEMQIPYVDFY HTTHLSEDMRKHMNRDVMISLSPLRSPSPSLIHRSCKNLARALHKRYGIQIPEMNVHP VIWRVLSNLATTYTQVIRLLSILDINFSLIEREIQTYFRKTRGRPRSTYQSTDASDEE VSESDRKEMYERTLLYQDVIAPEIAIVSAWIVIMKIVYGLDGIPREALLKSDPAIGLP SSQTWLDELRDRLNEGVLKGGKKFIEKQYFNTMDADDIDIFLDKAEGILLDHREEPSD ITPFPLILHDSIPASDIPPNSWITFHQKSDNSNSTRISHKSIQANSGNNKTLPLMPGE KIRSFAANDPFLELPNDLEVVVHASSEVIGWDVRDILGFVEVIERRLERLRPRDERGR KVRDIEDTDEDERDDKSRSKSRSRARSTSRSRSRSALARSGSREISLNRVVSLNNLKV RSREPSLSRTASLNRINSRK I203_05267 MAKIKRKGESGAAKNYVTRNQALKKLQISLSDFRRLCILKGIYP REPLNKKRANKGSSAPATFYYHKDIQYLLHEPLLVKFREHKSFAKKLARALGRGEWAL AKNLEDAKPVARLDHLVKERYPTFTLALQDLQDPLNLVHLFSTLPTNPIPGKTLVPSS VIAECSRLISEWKVWAIRTNSLRKIFLGVKGIYYECEVPGQGGELVKVRWLEGYEFQQ HVPSDVDFRILLTFLDLYRTLISFTLFKLYTEENLVYPPPLDVELDERGESVGAFRLV EKKDNLGVESSKVSKKAVRKAIRGIKASTGDVDADVEMDEDEQDGNEEDDEEFVERPT KSGEEVEDVATAPLTTYNSLLATSSNAASTSKQNLLFSPYTFYLSRETSSRTWEFVIR AMGGKIITSLNSPTPSDDSITHVIIDRPITVERMREMENGRKWTWIQPQWVADCVNRQ KIISSEGYHPGQLLPPHLSPWDGEGELARPWLEDQQNAAITAAQEEADQEEDAIERDA PEEEDVSEDEDVEDEEETAGSKYPPALLASSLDPENVSLLHAAELEAEQNGVSHSAFR NQLKEAIKANAKDASPKSQVGKKGGEEDLRKIMMSNKKAKLYEKMQYSNREKAAEKEN LERKRLAIEKRKRKEARV I203_05268 MTLTSGPLKTVVVLGVAYGGKRAADLLSKSLPPNWRLVVIDRNS HFNHLYAFPRFAVLPQHAPKAYIPYTHIFDEAESSTSSSGKPLTPPTTPPASVSTLDG SDHHSHPLSIATCKKSRHQFIQGSIETFQFDYCVYALGASMPSPVNVWSKIIHSSEVK GEDELEDISGTKRHGVRWMEESAERLKKAQHVVVVGAGAMGIQFASDLKYLYPSKQVT LLHSRTRVMPRYPIEFHVALIEELKKLGVEVVLGERVMTWPKDPELLDGKKKIVTTDH GRTFEADLVLPCTGQKPHIALMASVNPSVICPQSGRIRVHCTLQVHALNKKGNSGSGE QQDLSHIFACGDCAETGAIQAGHTAYYQSEVAARNIIRLIHQRESKAGNYNLIDDDKT LENYKVSHPAIKVTMGLKRGIISNAEGVTINDEGVDDLHTMVMWPGFGYKGDDIDINE I203_05269 MTIQNSKLFQPLKAGSLDLKHRVVMAPLTRFRAEKGTGVPGEYA AEYYSQRATDGGLIITEATFISDAARGYDGVPGIYTKDQIAGWKKITDAVHSKGGKIV CQLWHLGRVANPQVAPVIYAASDIPDPTAEGPKPTLHVMTEQDIDRTVDEYAYAAKAA IEAGFDGVEIHGANGYLLDQFLQSVSNQRTDQYGGSLENRFRFPLRVLNAVSQAIGPE KVGIRMSPFGKFQGMREEKPLDTFIPWTKTIVEAQPKLAYIHAIDSRTAGSSDVPPEE RVKEDTLDDIRRITTEAGITFMVAGGYAGDHGHPKEHADKYDDLIAFGRYFISNPDLP ARLKNDWPLKKYDRDTFYSPGPKGYVDFHEYQAIAQDDAAHPK I203_05270 MNDVSSSAGPSRPPHRLSPSPNPQSSFSTPSQSATPDVPPAYED SSGPPHRKHSKGGDHEEEEEEGLIYARPRQNQDEGDVGVIGVEPGHESHRHATLEMKK ALWWKNVIITGMFILSWYTFATLLSLYNKWMFSPNYYGFSYPLFVTCCHMVVQFGLAA LIRVILADRFRPKERPTRKEYVTKILPTAAATGGDIGLSNLSLKTITLSLYTMCKSST LIFVLLFAFTFKLEAYSLRLISVITLISFGVFCMVFNTTAVSIPGIIMVFTASGLGGL RWALTELVMHKKGMGLSNPFATIFWLAPLMAVTLALVSMVVEGWFDILSSDFFVGFQA IKTMGVIVLPGAIAFAMVASEYFIIQRAGVVPLSIAGIFKEVSTISISSWVFGDQLTG LNIIGVVITVCGIALYSYHKYQKSMASTVELDAYGKPILSDDTSPLIGSDSHRYTTTP QNDHEHEHQEIIDRQSAEVPLTQLGKNKETEEERTQRLRDDFEGWDNDNNGDDGWSED EPEAEEVQRSRDERLGNDAGRKNSRGDWWDKEI I203_05271 MSSNNSSRAPTLSLQTTFSCDEISCKYYSKQASSACGSLACRTE AASDAAWGLAWDMGDANVSSQKTDGTREPPPTPAQGGK I203_05272 MHGSTTTTRTTYHTSHRQNRISGLKSAINNPRTTHAGRDHAQHE LHSMGVRSTHPSLGTRLRHFFHLPGKSHGHRSTCTTHTPYSTTHTSTRTTS I203_05273 MRPSIIRSAIRAVPGPTASASVPARIIPLASSSITIRTFSSTPL FLKKNKGPNVKTPKQKIRAVEDDEAGANDDPGAGEVVIAEVLEKTQGKIEKAVHWAKA VIFEGVERGRGRVTPALLDSVRVQLPESSGQCHLNAVASITTKGNALFVEVWDTDATK HVESAIHQANLPGISPQKTGANTLKIPISRPTTEQRAEILKSLANTVEAAKQQIRMAR TDGFKHLGGRKSNGTDEVQKLVDEASKDLDGQMVLAKKEFEKA I203_05274 MARFTSIGMGRKKFVQSAAEEAQQSTEAGPSTAHTTASEGQASG IEKKKKKRRGRERVKDETGKRIAIGEKRGPDAKKSTWGKDEGISRRAKLSAKHAEERR QKRNEQRNANVTCFACRGVGHASKDCPNVLLGAAPGEEGEGTGGMKRKGGKAGSQVTG GGKCYRCNSNDHSLHDCPEPIDSSNPTPFATCYICLGTGHLSSGCPSNGGKGIYVNGG CCKVCESVEHRAKDCPDDPRRQATSSSTDGPSSEFRRRGEIVLGVGQGAGADEDDFMV ESRENLSKGSNNEKGKRKKHLPARNSERPMKRLREVDPITGDLGERLPGGYEGPPEGQ REGQSQQQHQEEEKLPLTARKMTGQKGQQHQQSKAKVVSF I203_05275 MTSENNITRESKTSTNTASHTQSCYTNDIPEFPSCNHEDFSVHS ALCNNIAIAKDKARSYQDLTDACFGSATLENARSELITSLTDVSQAKTLSGDSSICMA CTAHLTDRGAEWYKGKLQTDLWELESEVAQLGLKME I203_05276 MAEKNAATKTEDAHLENRNDYVNDEPESSPQAVHVIHNPLKRDS PEQVVADAQAFANNHNLGEYADLFGRAALVARDQHNFHNIPGLSSDEVAALQYERDHK WHGPKMLWFSVALCAIGAATQGWDQTGSNGANLSFPQEFGIAGNGGDEWKVGLVNSII FLTAGLIGAFITDPLNHYLGRRGEIFLTSACLTATPIASGFAKSWQTLFAIRFVMGIG IGAKNATVPIFSAELAPARVRGALTMFWQLWVVAGIFLGFAANCIVKDTGRIAWRLQL GSAFIPAFILMCGIYLTPESPRWLMKHKKYDKAFRSFLKIRAHPIIAARDYYYSYVIY LEEIHVAEGSNYFTRMRDIFTVPRIRRANYGASTAMIAQQMCGINIISFYSSTIFTDV GYTDDQALFASLGYGAIQVIFTIPTLFLIDTVGRRRLCLITFPLMCIFLLAAGLSVLK TSGSQASRVGPVVLFVYLFTICYSLGEGPVAFQYSAEVFPTIQREQGMAFVVFINNFF AGVLGLTFPRMRTVMTTTGAFGFYAGLNLIAWFMVFCFIRETKQLTLEELDQVFSVPT SEYFKHEATVSAPWFIKRYFFFQKNLPKPPSIIATAQSHSSSGGKYVDSPKRSEGAAV I203_05277 MAKIVPPMNFGLVEDGFYRSAQPSELNFSFLEKLNLKTIIWVGA EEPSEILLSFLNSQGITLHNLAPQVSLNPHFPPPYTDSGVVPISDHYHLPPLPPPPEP LIIQSLTLLLRPTTFPTLVCCNMGRHRTGTVVGCYRKLQRWALSSILEEYRRYAGMKV RILNEQFIELFDTDLVSITAEQAVA I203_05278 MANTPILPCLDFPSIRITPSSSYSHQLDSPKFEIPPTILPHLET ASRHLHNHQTVALPTETVYGLAASSLDPAAIQSVYRIKKRPADNPLIIHVSSLNMLRQ VIPRDYQISELYMALITSFWPGPLSLLFPAINPPPSPAPQTNAIRMPSHPLALALIHK SNLPLSAPSANSSGRPSPTQAQHVYNDLNGSEGLGCILDGGDCGVGVESTVINGLGWR KGGGGTVDVLRPGGLGIERIKEVVEKVDGREGLTRILLHGKPWIADKSQERGEQSSTR IPKEEDGPKINGNSESSVIEKVKSIALPPSTPGMKYRHYSPRVPVYLLKPNTIFPRPD NLPQHAESSAQAVLRQVSERTISSSSEKGKKRIGVLHFDNSPLYSQLSSSVVEVEDTH LIPESLGQDASSAAQRLFAGMLTLERIPPNQDEGDGKMGVDAIVIEGCSDEGLGLAVM ERVAKAVGGGGVVGDVKDDEVGKRQGGAAEGNTFWVDVTGDI I203_05279 MSKPKSNVALLTEGASYIQRAKKARREQVEEIKFDDEARREWLT GFSKRKKAKAEEKKARAKERDHLAHLKERREARADLRKKAAENVKSVRRAMGLEDLSD DDEDDDEDDDENLAGPGPSSSKNVEEEYSDEDQLATVTITEDFDPSSVQDDFNMNDSE DEDGGSNSRSQLKEEQPKPMSKVKMLPPSSAKLQKLREKKKAEKKKSTSMETASERRK GREMEARRRTKKAALARERDGGGGGRGGARGGKRGRGKGRR I203_05280 MAPYQSSSHSSRSNDFSPTPPAHSPTQSLRALELSTNDEPPLLG RHGRSNTITSLGGFDFQHTLLPLSLSGENEDGTVANGQIRHEEERHVSLIHGIALIVG AQVGSGIFSSPGVVVGEVGSVGASLGVWLMSGILAWTGASSFAELGCAVPLSGGAQAY LAYAFGPMTSYLFTWTAVTMLKPGSAAMIALIFGEYINRLVSHTFSSPSSTEEVLTVP EWSIKLTATIALILVSLLNILSRTSGSDSTLILTTIKIGALVFVAILGFIALVKDGPG ESLSASGLFEGTKSDLSSYAIALYSGLWAFDGWDSCCWVTGEMINPSRNLPRAIHSSM SIVLTLFILANISYFIVLSPEIVAASNTVALDFGKATIGQFGMVVFSVLVAVSCFGAL NGGFFTTARLIYAASREHFLPSIFSKLHPKRRTPDYAIGLQAGLALFFVIFGGGFRAL LNFFSVASWFFYLLTVLGLLILRIKEPHLDRPYKAWLINPIIFCAVAMFLLLMPIFAA PYEALAAFLFIGTGVPMYYLTYRSRIQASQGYNAMDDDRDRSEFKATISDTWSKFTED LNNILPDRWQSSTKRPNTIGSISTREERRGMLREENLEMSER I203_05281 MSLWVDKYRPRSLDELHYHPELSSRLRSLAASGDFPHILFYGPS GAGKKTRIMCTLRELYGPGVEKLRIDQRVFVTPSNRKLDVNVVQSNYHIELTPSDVGM YDRVVIQDILKEIAQTQQVDLNAKQRFKVVIINEADALTRDAQAALRRTMEKYMNNMR LILCANSTSKIIAPIRSRCLLVRVAAPSDDDMTKVLQHVAKKERFSLPSPVTKSILST SQGNLRKALLVFEAMKMQRPDLTGDIEVAKPDWETYCSKIADSIIQEQSAQRLLDVRG KIYELLSHCIPPTVVLKTISERIVDRVDDALKPQIVHWAAYYELRMRMGSKKIFHLEA FIAKVMTVYKQFNMIGYTEDYE I203_05282 MTPPLLNTKEDYQKLVDSVDTFLLDCDGVIYHGPKVVPGVKIVL DMFREQGKKIIFEECFGSAYASAVYLAEVMNFPKDKKVYVVGEEGLEEELDSFGIQHC GGSDPEDREFKPPIVWEDFKPDDSVGAVLCAFDSWINYKKMGKAMTYLRNNPECVLIQ TNTDPTFPTHGSLYPGSGSLSIGIVNSSGRKPLVIGKPNKHMMDAILAHHKFDPSRAL MVGDNLLTDIEFGLNSDIRTLLVMSGVTPRDSIYGEIPSKTVPTYVMESFGDLAVLAE K I203_05283 MSSRSASPEGEGEEIRPYGDERDSSEEESEDDPEEAKRIAEGFI VDEEEDDDAEEEEDEEERERRRKERKKRKKREKLRAKRERQREDLELSEDELELLQEN RGLTGSSQSRPIKRIRRDGSLDDEDDDRRGEPTLQDMFRDDEDRDRDDDEDDLGDFIE EDEDDLAAQGETEDQRRERKREEKLKRRQQKASKPELAGVDRASWDEIYAVFGDGQDY DWALEGEDGMDLDEDEEAAKKDLRLEDVFDPAEIKARRLRDEDRAIANNDRPERHQLV NSTLSDNPVFAPDSLYPPPDLAAAWAHTKVSLRTQYIFCGMHEEGAYPEPTIDNPQPY TVFRRIELANEFRNAVSTALNMMFVQHLEVPYLWHYKRDAFSLLENQGQSSVQFLERD ELWALYNLGIKFRAIFERNQQTQALWEKIKARKPDIQDEYLTTKLLPSICMMSVESAA EGSDWLSYHYASDLKAIKEDEAVEEGAKRLPERGENEDLRTGPIMKLVEAFGISIPQV ATTFNEPEGQPIPPKNAEKLPNDLAEEFSGSGTAFGSPEIALQAASQILITEFSKDPA IRQQTRDFMEACGVVSVTPTDRGMNIIDEYHLYYNFKFLTRKPVQMSKDSPQFLHMLK AEEEGLITITVEADESQVQSFVETLVRCCRSNDYGEISTAWNALRAEICSDVTRKYLV PSAAKWLKEHLKSEADEFVAERCRLELELRVNVRPFASFDMDQGETPSVLALTNGKGD IRDAIMAVMLDDDGNIRTQTKFDNLRDELDKNAFLELMEKRKPKVVVIGGMSVQAARL RDDAASALRELAIRAYGQNPPVSEAYGQYEQYQADLADFDQKLASYLIPLIFVNDATA RLYMNSEEAEKEFPNLPLNGRYALGLARYTQNPLNAYAKLGKQIASVTFMEHHQKLIN PEKLLIHLERGLVNSVCFMGIEINSCVADAYQRSMLPFISGLGPRKADALVHGIHKQG SLLNRLAFSDLGLFGPTIFENVAGFLTIENDLKDMMLEPENPQEQPDPLDMTRIHPED YEFAQKMCQDALDLDVEDVTDRHKSEVVQTLMLDDKRAQKLGELNLDDFAFNLQRQGE GNKRHTLGEIVNELIRYRADRRPGFYVPSEWEVVTMLTGETERTIGRGLKVTATVRKA LSARVFCQLESGMDAILERDYVADDDQPPVTSCEEFFKPRQAVKAVVIQAEPNRFQVK ISTRASDLRQAVAFIPPFRDEPYNDLVRKSAAEDAAAAKKRRQAGKIKRVVNHPNWHV MNSGQAEQFLASQHRGDVVIRPSSKGSDHLAVTWKVDEDIYQHIDVQEIDKPNEYSLG RILRVAGRYSYSDLDELIINHVKAMARKLDELQLHEKYKPEDDLEAYLKNYVQAHPGR SMYGFSIDSDRPGYIKLCFLNKSTRDGGVIQTWPVQVLPGAYKLNNAEVPGVTELCNA FKLQYSARLAEQGSGGKTPGIRLGKTPLPGGRTPGGRTPAFGGRTPALGGRTPMPGAG PPQGMGRTPMQQPGMTPNPYGAPILQQGYGMPSHGYGRSQQAGYGMPPSNGGGYGRQP PGQGYGGMTPGGAPGPSARAPGMNAERA I203_05284 MGNGAKAAHKREKAGAKVAGKGESSQLKSNAAAQTIQCETCKAT FQGTSKQPQLQLHVDSKHSKSDFKTCFPKFVTA I203_05286 MAELSLEDKCKLAAKLVEQSPPGEINDVINDIRAIINDDEALMP HVLPTLKAYNLAQLHVVDHVAAEGVPAHSSILSEANILPGTEGEKERYVDAEGKRSFV FDHITLAISDYQPYEIPEEEEFFRSALSQSLTKYAKNHFPSGQSSVSCSQYPLSPPAP PAPTSTQASGPASESPSTTQLAEADSAAEETAEPVTDVITEQVNEGDLEPAPTPATGD VDVDKKDELVRPESLDQLDELVEEAKEEEEGVKTPKEGVRPDVAEVDESGEEEKVLTP PTAVEDNVETEEQKEPVGGVAEERKQEKIDNPLYTLEIVGNKYNPNNFWTGRWRTKWI VDKASGQVNGDINVDVHYYEQGNVQLATKHSASFPLPTEEVGGQSIASQIVTTISKIE TAYHLELNDVYGELGDKAFRALRRALPVTRQKMDWEKVTGYSLGSDLTKARA I203_05287 MDEQDHRHHHETSSPSSPTSSTSSQTDQQTLSPYHSAAIPESPS ASLFLRTAETLDGLSKQLTNIKDDNDEVEIVCCCGSSLSNGNGNICRTLKEREKLEDK LKLSGEIGNALLQRYEALERKYQREVEKYENQLQVKRNALVESMKKVNNLEKANTQHL QKFAEVSKKNEALEKRYTQAMHTQTLTQQSLTHVRSELTTLRTTSQRQKVALASGKGI EERLLESEKNYEDVKDLMEMEIKKTRDELRKRKRAEARIEELELQLKSSNKEVEEIKQ ARAKDAQDLLTNAKERLSVLHTELSETFRTESPSDMPEYQKILEDLVATNTLLKHDVT ELTHSLAESRDESRMLKEEVDELRASIGSVGRMSPFGRLPTRLASELGSGYSNHSRTE SSPVVSLGHGERGSWNRMSVASSSRTGGMSAWEHHRKMSMAASFTSNSSSIAADGALM SPGLGMGPIGEFGGVLLNEDGTTRVGILSPTSGRESPKFRTSPSGGIGYVLNGVPKTK PGHQVRPSLARSFSTNTDRRSRRSYASQGVGPIAEWSAGEDVSGITEEPLSPGTDYFR AAEKNRKRRSLMLARRSTMSPNEFTEYSPNASTTIIDDYPSPMSDSALSNTSPKRAKR KTLLLLTKSQGVQTEPVDIGISTDSEPERRESNSAQGQVMGTTSTGTSPIPQSEDHSE TSSIQDNRAGILLVVVEHMSRILARLRDADVHTLNKRLKKHNLPGDVGHLSQTTMRSL QQEVSELRSQFRGIPSSALTGGIDRKDFNLLLRLFKDVFTDLVDLQAIVNDITINPSL GKKLQKAALREDDSVNDAGKSSKQTGIGGGTGLGWIAAPITKFFVTPAENDHSSEGST SHGKKGLERGRLQPNSNMPVKAAPKQQPTASATTTHVSVEFGGTGIVRRASPANLTTA NKEVIDDLPSSPVAAQQEDRTISPATGPGNSLVPPTIRTVRRSKSRANRNELLGIFAG AQKPITPTDGAWTVIGNGVASGASSGQRTLRSVSSHVFSNTSGNGDKTIKAKRSEERK RISSVVDAIIDPSTSTLTEDNEVILSGSYEPQPPLLERTLRPRGLSDSSIRSTFISHS INPIDSSRTATIRAPPYSGGGGGGVLQSLSKRWYDFRGSTEVNEEVSPVNPEGHNTQS AAGNIEDKPNPGPLSTSSTRSSISTTTSSLLRDRAISPTKTNIVSPISTSTSTSSQIP SQGGGTGLFGLIASSLVGNNEIEGMGEDEDELVGASLRQGGAMGRKAWR I203_05288 MSQSTPNPDDPSSESLSLSASIFYSSIDSWIPSNFGTSSSSQSK KDEGLTNLLRPDIRGQTEDRLGLGHPLLDNPSASRQIQRNGLVGLSKKLNLEKKKGKE KQEVNGKGARYEDDEDEDEEESKFKLSNQNKKKVNAVDSFSTGKGKKKKDPFSIGNNN NTVLHPAILAQQLNNRNNPEEDEDEREEEDEPSFPLPSRHTTPVQIPPTSSPRGSGIF PYDGPRPFGSPVKSKNSKRSIEDDDGEQGEKDESNGQVNQAYDQLKANESGSQESPVK SKSAMRREKRKRAKLNNSSKE I203_05289 MASIPVPQSSEKLSGPTAFAPYLDTVANIFHPVTGPVLNTYGRF HGWKESMGLVQPGTVENLTREVQQVQLANWSFDGARADVAKVVSANPAFQLTHSFSLG STTRPPAYNFGAIFANAKTFLQGGVDGSGTVTMRANQTWAPTDITKVQAQLTNKAGQS MLQVEHDHLGPHYTFSWKSMNPGILDGTGIHIASLLHSFSPRLSLGFETVIQHPQPSI LETATSYVAKLTSLPNPIEQLTPTVPGQPAPFYPSWTATAQLQPSGNVQATYYQKLSD KVDVALDLQTIIQPASMLGPAKREALATLGAKYDFRMATFRGQIDSAGKIGMYLEQRF TPAFAFLVAGEIDHAKNASKFGVGIMIESSTMTQEEMIAAGMLTPV I203_05291 MAESANTSRGSSFSGIDGGDGSEDTKKIFDIATSRLLTEKSKAE TIITKHIDWKCAVETASNPDTIKQLQVEFQKSWKRYKDDQSENPVPEEVRKLWTDWAD HENQGAKGLSQEIKYTAYMWNRSISNDLRDIEIENESCHLSEGVQK I203_05292 MSDSATQPQSSSIFTIITSIPASVASAISSYLPGGSTAKSEPPM PEIYNKWGQELIERNTAYRSVFSAFKPIKVTVESGSTPSQELKSNYDEACGTLLHVIK TQNPCNWIAPGGREDTWGRWASGETNAPNEQVRQEAFSQYDEKGEIQTEWDAMTSWAE ARHTEWDELTSVL I203_05293 MSTSTAAEERDSISAFITDAASGGHTLGGSKNETEPDLKTSDQP QRTSRDNASLTYTYGPELLERNTAFRNLINSSKPLRSSVESGTSPTEEMKSTYDRAHA NLQEVTRKHRPCNSGWVPPGGMEDTWSRWADGPEHAPTSELYEEAKSQYGGKIYQDFA EMSVWAVGRGTTLDKLTNAL I203_05294 MSRNQASANTTDNFPLIISVMRGTNNDNSRSQSTSAGTETTSDN GNGSGFYQQSNDTQITVIEREGSGEVERSEMYNNPAANESAITVYHVGSTSAGGK I203_05295 MSSSSSPSTPTNDNTFLNAAYRNISADPDKSKISRLMSHVNTHA LTQHMPAFPGYWMMQTDPVGITQYVRLDQVTGEGGKMESGIRGRLRGNYPRTKSIRSR EDQEKQTEDEEPLGTSVITELKDIGAYIIPIEERDSTIPSSDSKSSNKKKKNSKKNKK KKGKKSITSSTNTVEEEEEEEEEEAQGRDDEKEQKKDASDVDVVLGSNSEASGDEVKE TSVAEGEATEIITKDENVQGGYAESTQNETRVLSPPPEPAHSEGKEESISINLDTQVP SQRADDIVPFTSTSPIKVKLPPPSTPPSQPRRFENNIIYFGEIPTRYFNSAEQEPLSR SNSTEEGDINTPIIGSLDTFSHTPESITPRREPSPSSSMSNPTPPQSPPVGEENTPSS TSININKVIYSEPSSVITAERPLTPPPEVDEDEEDGKLVFPSDPPQATQPHIISRPLV NPPPIPSITLNTPTSPAIFTPTFHPLTHSWTMYFSNTAHQHQRKVSMPALSPLNPLSS HPNASDYSSHLVTLFKADNLEDLFGGWKALRRSIAKSKRREIEPIGDSVQKGGSGLGT HLFQEETNFHMFKSHIRPMWEDKYCQKGGKIMIAGEASAMDDLFLELIFLLISGDLEE EVLPPPGSSSTICGLVLSRRKLTRIELWLGGAHVIPDKRWIEQVTRYIQMHFKEWRVY PYKAFGKS I203_05296 MSFPFLLCPSLYTIFYLLYTVLSPYFDTERKKAYILSSISSCTM TILSIPFFLSYLTNGLKETFEAGQEGWMGQMGWFGAVFFGVYLFADLTVGYLKYPSQV GLLTGWIHHIVYIGLMFYVVQTQITPIFLMGAVMELPTFDLAISNLFPALRNDLRFLS SFFIFRILFHSIYLIDCARPSSRAFMGNSWVPTVMLGLALMMHLSWFKGGVTGYIKRQ KKSSHKRTIMVDEDPIIEMVSTVDSTISSDVLPSSSSPYESPLVTPHTASPSQIPFFL SNLNIPAINLPTGIIDLRSLKENGSGFREAVRNRWDEQKEKFTMGRLVRRKLSEREEE GRVVVREVVEVDE I203_05297 MRILLTGSSGVVGTYVLTYLLEQGHTIFAVDRIPLSQSTLDTLS AQYPKLNDILDVHTVDLTSIEQVKKLFSQYSNGTIEGLIHLAAIPHPESHDPRFVHNT NTTISYNVLYTAAEYGTQRLVQASSVNYTGLAYTTKGRQRFERLPITEEEPSHAEDPY ALSKQICEIQADSLCHLFPTISIASLRFHHILPTLSEAEGWSRQEEFWTWTSSLSASQ ACLLGLTTPLEGKWKGHEAFNIVASDIAWTDPVVSSLDLLEKSEWSEDGRIGQVDKTW WVGDDRRRKGFWDCTKAERLLGWVHTP I203_05298 MAIPTSPKTEQQNDEPYTILNDVARSNKINCEWPTLRTIFQDSL TSTLSSYQSRGPPRPYRPPISPIIGPVPDIDSESIKADPTASPAKEGDKPPSESLLLS PSTPNTSTNPSAPRSIDVEPSATPAVGRMESLTTQDDLKPSTIGGLVIPPFPPLDPNR RKASVSGPSYSGGTIISSPRSINGIRGGAQRIVTIGTSVLDDEYDEETAIGGKILRGW MEVDEAQRELQRVKGLLDDMRDPPFTVQRLSELLLEPTKYYSTFGKFIRAAEKLLLVT TQWSEPSYTPQPIPTFSVPSTSRSGDNGHVSDLDTESTMPPGSTTPMFSPIPFLSSQS HSDDMESSSSSSLSLENGQSQSRMNLDDGLMSPLMLNEESGVFGSSTNPRSPTPEPEE SDTTQNQDIDMDTDSTPKDEEETDEKVKSPDTPIPPRPKVSQDQYESIEPSSDPAHQS YLGRVDELDTGPITSTSSPSTQDKGNNSNGDSSPLRSKSKHGNGIEEIPVPGTGEGGN MTPHGMSEKPVPISSTTVLDSEKEKEKEKRTIASLPRSSSEKSFRERFVSAGSEGEKE EKKEEKKA I203_05299 MLLLYSEYYDLIGVAPNADEGEIKKAYRKKALRAHPDKGGDPEH FKELTHAYEVLSDSNKRAIYDQAGKAGLEGGGGMGGGMDPQDLFSQLFGGGGGFFGGG GGSRNAGPRRGKDLVHRISVTLEDLYKGKVQKLALSKSVICKSCEGRGGKKGAVSTCT GCQGRGVKVMLRQLGPMMQQIQQPCGECEGTGEMMNPKDRCKNCNGKKTISERKVLEV HIDKGMKSGQQIKFQGESDQAPGIIPGDVVIVIEEKPHQRFQRKGDDLYCEAEIDLLT ALGGGEFAIEHLDERALHVTIVPGEIIKPGALKVISGQGMPSYRHHELGDLYVRLNVV FPDTIPVNAIPKLEEALPKRKDIQKFPKKIHMDEVTLEEPNDRQRRSAASDGDDMDED DEDGRPGVQCAQLRYVLMSL I203_05300 MFTYIQIHLYFIIPPIILLGLIYHPLIGRREILKFVWLGFMATI WTTPWDNYILSQGGWSYPPNSIIGKIYHVPIEEHMFFILQPILVILLHSIFTHERLLS FDVDVDQIPNDTKALSEKSEIDREGEGQEDQSTKKTQISALLPERSEVHIHIQTLPRR PISSILWLVVSFIGLRLVQQTNLYNTIDYGMKHHMFYLGWILVWITPVISFLTYLGAR CTRNDWWTVLLATGWLWIVDTIALRSGSWSISASSTLGVELWRGLPIEEAIFFFLTTY LIVLSSSLISHLHTLLLLSPDLPPCPPSNPIAHIKLLAKVAFNPPKIDRRILVGLKIS EKTLKKGSKSFEVAKLAFGREMRIGLVVIYAWCRVTDNLIDEPFSLNTSSDPSDSSSK SLDEARYKLLESIRRHILLTNQLQTRYPAQPYSLQELDQSLDDIPNLTPEDRSAFHLF SLIIPRMIPIDPFLELCDGYETDLKFPSKSLSTISQAHENKLTDHLPIKTRDDLMEYA DNVAGSIAGAICYLSWSILDSISTEPVKEFEYFRKIHNSGEASQIGAKEGRYHQGDSR SKIIKSARLMGCSLQLVNISRDIIKDGLISRLYIPMSWFTSSSEVIKILFPSVSRRPS TRLYTDKLLDLADKLRDQSISSIDNLPRTARGGVRTMVVSYYEIAHAIRKNEGEVDQY GIKVGRWRRIGRAARAMWLGA I203_05301 MAQPRASTDEENAPLLDNPNSTPSSSSQSPLKAIFSPSRPLTLL EKFLGGLAVLLLLLASIFIGLFAGAEGLLKKEKGKHGHGGEGHGGWKTEYVTSTATTT RYGTTTVVNPAPGPTGSPDHKICLSPECVILSSSVLQSLNQSVDPCEDFYEFATGGWA ASHSIPADRGLYGAFNEVNDNNKKILIKVLDSISSDKPSKDASADEQNLAKLKAVYNS CIDIEHLNDVGRKPIIDLVDHIIDIFGEFDVDSLSSSSDLLDKSPESEWKGTYDESYT VPADLAVSAEEFEQLRKAKKSGGVKWDAPSSRSQSVQDFYEYRPNEEREERITKALAW LHSRGVQGLVNFEIEGDAGGEDSQVQSLWLYQAFGGLPSKEYYEEKPILDLYTSVVAG ILTDIAEHTSVRQKKDKRDLISDLEEAIELGQEDAKGLLEQLFDIADAEIEEDNWPWP WPGTDGKDSSGGDGEHDGGRASKEPTDERMEKLAAKVVHFERELMRAGADPEYLFNPH FAYNPYSTKTVGKLLPFLDIPTYLSTFSPRTFPVNITVTHPPYLKSVTNLIDKTPDHV LSGYFVTRLALTYASALGPKVTIRQEKKRLDDVLKGIKKGTEENRQDVCLNWVDEIVG FIAGREFVREAFSPEAKAEGEHIIRSIVKAFHEKLPHIPWMDSESAKAAQKKAEAIIP KVGYPLYPNTTNPESLQSWYGRLDIKENDFFGNVLGSTLLEESRTWLGLGRKRNRDSW EMYPQTVNAYYSPPDGEIVFPAGILQPPFYSQAWPAHLRYGAFGAVAAHELTHAFDNS GSQYDEKGRLRDWWTKQTVKDFEERAQCVAKQYSKYYVHDAEGNKVYVNGNLTNGEDI ADSGLAQAFIAWQDSVSKSNNSERLPGLDFSDEQLFFLAFARVWAQLTRPATAVSRVR TDPHSPPYWRATGTLKNLDAFHKAFGCKAGSPMNPPKKEQCELW I203_05302 MSTPPPLSPSSSSMTYSSSSPTTPNSPSKLIFFPQPLSFDHDDE EEDVFAATPSSYRNQSLAKRKINDDFSSLAFGLGGIDKLPDEPFYPSTSITFRDIDPL PAIPLNATQHPSSGSRGGTNGNQLLPSAIPYSNSGISQPVETQKEKRKPFGHTFLPPL PPQQKGVPTPLLPMGFLPPPSSWRPPSSRSPTKSPLRRTDSDVLLPSEADIQAEELKR PIKFNGHVRKSSNDSEMTIKLGNGFVALGVSPPQDFDDGDSGDFHSQPQKPMSINTYG HGYGIEPRSKPNISRTVSQRDPIAVRQEGYSGGSGNGNRYRSSSTTDINPKSPPQPSS PTPYSYKPHVSSPLNQNGRPTSPIPFAIDEDYFQPQWQATIGSRFTRPVSPSLPEDLL TPHAHNIYVHQTLSSAPKRPKSPTTARDSLNAVLTRHPKYEDGDDAEGYEEDSLDRLI RNAQRFESGSSSYFPNTPPESPSAHPYILPLSSNSNVIKSKSKSNGKRGFPILKSLFP PSPPPSSPSMNGTASPEVEDNDRPNENAYGQCQSSFDVNKVNERLKTQRGRICFEELE GVGQPFFEHENEDEGVGYRQGMKRGTHQNGKKDVFRPGTGRRWTLPF I203_05303 MAPATKSSKKSTSSSSSSSGTKSSSSKSSLSAPTRKMSSMSSFQ PTLNFKPSSSSSSSSSRPSTSTSSRKDEEDRKLMPPPPPGIKPKQVSPPKVSDVPVNG KGKSREVIVIDDDDEEDSRSGLNHAQDDKAQMWTDLFGPTNETELAPGKARIARVKNW LHESLFGYPSDVPPPPRNVNTDKLRKYKRILFLSGPAGVGKTTTVRLFCQSLGVEIME WGESVEEWSLGGGIDRESSISKFSSFISRSSYPSLSMSSQRTPSQPTAVKPRLILLTS LPNLSHIPTRESFHSSLLTFCQTFNSLSCPMVIIHSDAGSGGRAEESWMERDRGGREG SLEVLGREVRDGPCFLPLAPTFLNKALVRVLQTAIPRAVDRPSHATVQLIALSSNGDL RSAINSLQLICSGKKDSKTKKRKSRVDDAEVEEDGGPRKRGSGKGSRGGKGAKLDVSK DLRAVLDAVTRKEQSLNLFHALGKVFYNKRLDDPNMDDEDEELLERIRKFPPDAPLPD HLQEYTRRKSLVQIESFIPTIPVDASSFALWIHQSFPYYCNEIEEVSTGLDELCSADV MRTDDDIWQSSPQAISYALHLSVRGILMSLPSPVPRKSQKVVKPQFFESFRLERDNVS SLDHVAGYITKKGVVASNAFADGGGHHELGVWGGMVNKRVLAGEMVPMMVKIQPLYGH PLLPPSAQSLCLPPYSSFTSSRATAELTAKDEPDAEDHYEATAAGDDGLGGVPSVHTG WDEVDSLKYGKEKEEDWLMDDDIVDDWD I203_05304 MSNAVPGGATSAPEQEGHRLPTNVYPSLYELVIKTDLVASPPTF SGEALVHLDIKEDSSTVQFHYHKTLTITHIAISTSDLKTTSNLNIPLSALSLNEENDV ATLDLSALPGGGLKSGQKQTKIFFRFEAELKSAMHGYYRSEGDPDENGKKPIYGLTQF EATEARKAFPCWDEPLVKSKFAISMIARDKNTSLSNMPAISEKPWKAPSNASVDNVFN ENYELGSLLGGSVTLGKTEGKIEGITEGKTEGKTEGKTEGKTESNEEGWKMTKYETSP LMSTYLVAYASGQFVSLESAHHSKLTGKTVPLKIYATKDQIKQAQFALDIKKWALPVY EEIFDIPYALPKLDTLVAHDFDAGAMENWGLITGRTTAYLYDPEKSPLSAKKRVAVVQ CHELAHMWFGDIVTMKWWDNLWLNEAFATLMGELVILDRIWPEWKPRSQFLKSHLQGA LDLDSQRSSHPIEVDCPDSNQIAQIFDAISYSKGASVLRMLASVVGEPTFLKGVSIYL KKHIYGNAETKDLWDGISEASGLDVAKIMKNWTLKVGFPVIKVEESSDGKIKLTQNRF LSTGDVKPEEDETIWYVPLEVATLDKDGKISVDHKATLEERSSTYDLKGNDSFKLNAQ TVGVYRVSYEPERLVKLGKEASKYTIEDRVGLVSDAATLARAGYAKTSGSLSLINEIV KGGEEEYLPWSQIGSALSRLSGAWWEQPESTRKAFNKFRIDLFRPLSGKLGFEGGKDE LPEIKELRELIIGTLAATEDEVILKEIKERFQPFLEHNDDSKIPPDLQRTIFSNVVEH GGKAEYEKLLNVYNKPPNPSTKVDAMYALTSPKDQELLDRTFKMMGDGSVKDQDLYIF FFGLSANKYARRQIAEYFVKQYDTLMKRYPDGYGVNYLVKGAFASLSSHDDLKMVKDF FKDKDIRKYKSAVAQTCDSIQAAADWVQRDSGDVEKWLKEHKYL I203_05305 MSSSDKPTLSSSPSNSTRNPPAPTSSRPIPTANLNNLSLLSESS TGSWERGRMHGNARSPPTHTSSLEAGLPGASGSSSAEGGLNKPWPANQGLSKPDPATG RVKFQPQSNIPHYSRTPREGYGFRPTSGSTTPTTSASASASGSLAYPFPSIHRSTRDD EDDMDHRTDGRSMDELRTEVRDELDKNGLLIQAARGVVKDVAGNEGEERGIADEEGLG WPAKSTHLRLHSSPTEKAANLQLLSSAIRTVLECIGEDPDREGLQRTPERYAKALMWM TKGYEERLVDVINDAVFAEDHDEMVIVRDIEVFSLCEHHMVPFTGKISIGYIPNKLVL GLSKLARIAETFSRRLQVQERLTKQVALAVEEAIRPRGVAVVMEASHMCMSMRGVQKP GATTVTSTMLGCFRSQQKTREEFLTLIRTPSVTHR I203_05306 MTSNIKYRSQQTNATKRTKKVGVTGKYGTRYGASLRKTVKKMEI TQHARYACPSCGKNAVKRTAVGIWKCKACAKVYAGGAYTFGTPSAATVRSTIRRLREV AEV I203_05307 MAPSSLLALPGPSQRKQNLACDSCRRRKVRCLRTDKTQISKTKK SRKSSNENGDVSSITKKRTKRNQPDGSQLQISRDDIRDKDLQDSGVGPRDSAHSTLSK YEVGETSRQGSLSMTRNNSMESLHISSQLPSVHVQPSLLPEQPPSSLFPTISQSIEMP LPVTPEASQQAMLKYLFSPAAITTLEHGYDDLSTISLCREGQSDLWEEQDGKVWCEEP SDSHKSLYEETMKDLIDDLIETFFSIVQPRYTMLDSSIFKARYASPSTHPLGPISHSF LAIVLTYGARFSNHPIIQSDREECSSRDGESLKARQRSRLVGLMVIRTRAIAERNRIY RIPSLENANSCLFLEHLLGRYQATWISAAVKHVVSMGLNSSLEWSKIQDPQMRHESLN IVWITRMSESSRAALYRLQPSLSTEDFDIDPVQHAMLSEGAPIIVPLGGTEHNITWFQ IHRTISSINYTLSKSLWVPSVAAHGIPFKILREFIHSSSIWRDKYLSSIGIPTIWPDN WDFLQAINTCTCDCYYHDLWLIVHKAIQDFGIKEEKSNNGGSNGIYRDRFEIDNIKRR IKEEAEHAALRIAALTGVLTENGYLKLDPLIINHPIYAAGEYLASLGRSEYQICVAGL RQYAIIYPSLWDQADKLDSTYQEATKTDLLDGLTSLPPSLLVDGVGIPPRLDLMDSFE SWTGSIWGNTSQVNVSANSHSGGELDGMQHADANGNSLNGQGNGNGVQADKPVNDDIV LRGDIQDGAINVEGRIGNNEPVNLEQWLGW I203_05308 MKRAKESDQTSNDAGSLPKTYKPKTQAEGVKVGNKGKGKEEVIK VHRTQTWHGYAFRKRKWRLKTFGKYCPLVSAIFAPLSTLLDIPALTQHWYARDGTPQP DPKACLVLSAVGLALNIVANILLVMRFSAKSKWWWKHSTRWSLICWLGKTIVAVINLI IFGILTRNSEGWAYLEGFWCAIISIIDAGIISITLLFHYFFAFGYEQQDQSDIRSEGR RFMLSVTAFISILAFQSLVFSRIEHWSYSDGIYFSTQVALTIGYGDFAPTTSAGKILV FPFSVLTISQLGNEIAQIIGFISARAEDKREKWRKKYESAMHREANKIRPRANLTEEM ALIHQINQREELTSQMYDLFWSALSLIIFWLLGATMFSQIEGWPYGDAIYVVMILSLT IGFGDFTPVQPAGKVVFIVYALMAVPIVTSFAVQTITGLLSTYSERGAAREAFLVEQR RRPEAFAPHADLLLRYHESYDRMRGREDATNFTVTGRRKDQSQHLEHAEEELRDDCDR AKEIIDKREEEARDWERNKVEQQESPITTESNHKRQREDTAKTLVEHEVISKSSPNSE QDETGERQLEIDLLKQLLRKIIQLEAEARQMLLDSMDKGVARTLLLADRNVQARDVRA LREDDQDVLAIWQGESEQTDRSKQQADRAIESRHNPDSSVTNNETSPAQSKGQLDMLS RVRRYRNTFAEILVVGSILQRLEGQEKERFERWRAQDEDSLPDEGQEEADGDGEGAAD IDKLADHKWDGLTFRIYKRWARKVREKDIHKWETV I203_05309 MSSPYPGAFPPSSAPGGSPNSSSLPQSRNIDPLAFDNVRGLGGS IPGATGQGDEGSQAGEDNTDGTRAAQRRARGGVDPESIPRVKDATGEKVMESFALFLE NFTEQIAFPETPASFNGQNPLPATADESKFYIEQIKAMKEFELTTLYVDFGHLLEREE VLARAIQSQYYRFLPYLRRALQTLVRKYEPTYLYTSTSFSSTSSASSSSLTTRDFNIA FYNLPLTSGIRDLRMDRIGQLISISGTVTRTSEVRPELVSGTFVCEQCKAVIKDVEQQ FKYTEPILCQNQTCNNRTNWLLNIEQSKFSDWQKVRIQENANEIPTGSMPRSLDVILR SEIVERAKAGDKCTFTGTFIVVPDVSQLGLPGVNAQMMRENQGGRGDGGVASQGVTGL KALGVRDLQYKTAFLSCMVQGADARAGATDVRGDLEEGDEDQETFLNSLTQQEIDELK NMVNSDNIYQRLVQSIAPTVYGHEIVKKGILLQLMGGVHKQTQEGIHLRGDINVCVVG DPSTSKSQFLKYVCGFLPRAVYTSGKASSAAGLTAAVVRDEESGEFTIEAGALMLADN GICAIDEFDKMDVADQVAIHEAMEQQTISIAKAGIQATLNARTSILAAANPIGGRYNR KMSLRANVAMSAPIMSRFDLFFVVLDECNENVDLHIAQHIVNVHRFRDAAIAPEFSTE ALQRYIRYARTFSPKLTPAASAVLVEKYRSLRQDEGGPGKSNFRITVRQLESMIRLSE AIARANCQDEISPAIVREAYSLLRQSIIHVEQDDISFDDDDAVPNGDADGDAQMDAAD VAALEAAESSYQAQTSSATAQAQAESSLQGQVASSGKKKMRITYNRYMEIMNLLVLHL SEIERENGTGVDKEELIQWYLEQKESEFETEEDLNYERELIGKALVKLAKDNYLMEIR GDVRDGLVPSSTTGTGGEESMEESLEGESGKVYYLVHPQVDLSDLSSSIPA I203_05310 MEIDAPAYTSKSTSTSTSPLPYLEHQTSSAPEELKPLWTKIKSA YEKKLWHNLTVLLTEFVFSPGTGPYQIELFEKFITTIESKINALKLVEIARRVGREYS EPELTLKFLQSVHSRLTSPYPVPATGDNPGSPAPPAPAAAAYALSLSSIAYAQLLLGN LEGCKESLDECEKILNEQDTIEPSVNAGYYGVAGDYFKVKADYAPYYKNALLYLACVD TEKELTEEDRKSRAHDLCIAALLGETIYNFGELLQHPILQTLVGTEWEWIKDLISSFN AGEIGKFESLANHLGNEPILESSVSFLRQKICLMALIQTIFARPRDGSSRLMSFQTIG EATRLPVNEVEHLLMKALSLSLIKGSLDQVDGTADITWVQPRVLEGNQLDTLSEQLNN WCQAVGRTQDQVELQRKEAQAAVLVQ I203_05311 MPPRPSTSLRSLTSSRFASTSSIPSSSSTPISASTSTIPPQDPN RIYTARKTFLWNFYSHLIENSNLVLVYDHSNLTAAEWSKIRRSIASIPLPQKPYNPLS PPTEEGKPELIEKASLNVVRTGVLASLLSKSNSPLITSSTSGEDQILVGQRALLSCPS LSPTYLNKILTTMNKTLKGLKRENTPDEKQPSLKLISGLLENKHIYNEKQLQTEISKL PELDVLRSQLVGLLQAPQSQLVGVLNQARGGQLVRTLQGLEEGLKGESDGGKDEKSA I203_05312 MATKSAATAVDWTKIYTGLGLDKTTLTSLQSFRARHSTAVSKNS ALKATVPRIDLSHYKSVLKDQQAVSLAEKVLKDFKAVDYDVAKWNEVVGAFEGKAVAA AKETVNKIQTEQSSLQATLSNIQDARPFEDLTVDEVAKARPEINKAVETMIKKGKWSV PGYREKFGEFSLM I203_05313 MAEQRENSPRIADDGDNVDEELRLMQARLAEMEEEKNALVSNRG SATPNPSNANGQTSETHEGGNEASMEDEDSPGAVDSRSVYIGNVDYGATPEEIQAHFQ ACGTINRVTILCDKFTGHPKGYAYVEFAEPSIVQSAIVLNESMFRGRLITVKEKRTNL PGMNMTNRGRGRGRGGYRGFRGGGFRGGRGRGRGRGW I203_05314 MSGLVKLLGAIAPPPAAPLSREYDGLEFRWKMFTFRPAAFKFEA AVLGIVGFYLLIYLVGKTINTGRAKSAIKPFESLLSSQFTQVRPLLSSSPALHLLYAS GRRNLLSLHTTVSLLPIHDLAGLVIHFVKTIIEPTYSGAEQINFDFTVGRGELGLQGE GLGVWGLVDKSAMRETREKRWDLTFPKLNDSATNLPITHALFTEHVEITDLLLKTPNI GISEVLKDKDAASVLQYLLISDIPATRPSRGPLSSKAKSRHVILSVYKPTTPAQIDAV KAWIQVSLNIVDLLAKSANGGLLKPDISRKLIKTRQTVDADLAVDYKKEQDEDKPAEE TPEERRAAKKRAEREKLSEKELKKLEDLEKKREMRKMQKKQAMGGR I203_05315 MRAGPAYISIGSNRSSSCSASTSNGLLAFGAGKLLALWDTESTS NHGIQKTLPGHKGQITTVKLISSSSGDNRFISGDTNGEVRIWSTTEKEGGEWKCEKNW IAHENSSISAIGVSPDGWIITGGSDSKIKIFDGDHKEKQVIKLEKGRLPLDLELSYLP GSKAPILAVGATDRLIRIYTLSPNNDFILSLTLEGHEDWIRCLSFTPYPSLDQTKEDL FLASGSQDNFIRLWRVSTIPEQQAIQEEKKENGKGEEEGLDLLDEFERRLNGEGSGQI STKAHILAVQDGDRSLRYNITLEALLVGHESGLTNVHWSPPSSHSSPLLLSTASDNSL IIWVPSTDGIWVPEHRFGAIGGRGLSFYGAIWGKDGKSVLASGWNGGWERWVKTALSE DEEGGWEVKSGINGHHGDVQSLSWDPREEYLISVGSDQTSRIHASTSIASSSQSQWAE IARPQIHGYDMTDIAFISPLRFISSADEKVARVFDAPEGFVESLRTLGVSEGSKDQEG LRPKGATVPPLGLSNRALQKAPAQTDKIEKEGMNEAIISISHTLTSLPTEEELATSTL WPEIEKIYGHGYELVCVASSSFSTPSGSELIATSSKSTNLEHSGIRIYQTSNWELYGQ ILKGHSLTVTRIQFSNDNSLILSCSRDRSWRLFRHDQEKKTYEPFVEQEKAHARMILD CCFVKGQNDNTVFATASRDKTVKIWLSKKEDKSEWKCTETIKFTEATTSVDMIIQPNS NGYLLAVGSETGSVSIYALEQVESEIRSKELIVFDESISHVGPVNRLAWRNKDGRLQL ASCSDDRSVRVFDIAL I203_05316 MQVTSLLRYSGVCPFLGHSTATSLRSMASTNVSSNVSSLTAKAM TCPMMGPKLASISQARTYASVAGNKEVEEIHKQKNVQFNNAEAAKCPHAQAAREAAAN VKETARTAGRFDYQQFYDAELQKKHKDKSYRYFNNINRLAAKFTIAHTSNVKDEVNVW CANDYLGMSKNPVVLGTMKRTLDRYGAGAGGTRNIAGNGALHLSLEDELASLHRKQAA LVFSSCYVANDACLATIGAKLPGCVIFSDSMNHASMIQGIRHSGAKKVIFKHNDMADL EAKLQAVPKETPKIIAFESVYSMCGSVAPIEAICDLADKYGAITFLDEVHAVGMYGPN GAGVAEHLDYDAHLATRLSSDPVKGSVQDRIDIITGTLGKAYGVVGGYIAGSADLVDV VRSYAPGFIFTTSLPPAVVAGAQASIAYQREYKGDRRLQQLNTREVKRQLNGLDIPVV PNPSHIIPVLVGDAALAKEASDMLLSNHKIYVQSINYPTVAVGEERLRITPTPGHSTE QITHLVESLDNVFNKLELKRISDWKAIGGRAGVGAPNTIPVENVWSDRQLGLDDGSAP TRLANGAKGVVRDEAVEVAQKRLTHLLGADAGPALASIPYV I203_05317 MTSSSRTLLYASCAVLYASYAHAHAHHNVTEVDESVPIDGIIYL HGGLQTFLWGISFPIGMVLGLSKSKYHVPLQSINTVLCFVGMYFGHHHGGRQYPETVH GLMAKIITWVLVTQVGLGIFLKLHILEKTVRRWIVPFHSFIGKVFPILGWTQMLFGVV TALGYCRGGHLGQCAAHYIMGSAFIGYAAIMVIMLQVGHKWLERTGRSQEMLDSSVIM VWGIINTFTEHHGGPWTHKDMQHTMMGVLWWAGGMLGIWLSRNGKRSFVPAVIIIMTG WGMSAHEQALMISSKIHGLFGYALIAAGTLRLIEVCFVLNDKPTPPGTVRIFQHLPPY VSQLSTHSYTLFMSATDEELRNADGMGIDHVSYALFDFSLSFLLYLIITFLVALYSTS GKNAELNKELDQSNAEERGYSKLEQNGHAAAANDDDDDGPEAYELAERESESDEGRKV RGGDEIDWMHNGHDEPGRSGGARL I203_05318 MSSSNDTNQESYYYASDFQGRPYPVSTRGGRSQTSHIPGTASGT GYDPSWEARARQLGADQTHFSGLADTQQPQPQSATPYQPPTPGSSEGYPWVYTPGHPD LTAELGRITPAPSTGTAQAHFTGQAPSIPNSYPVGNLPLSNLERFGHRAASYRADVQT NQLVDIGEYERSNMNPCRSSRHGTETNRPFKPPKIMRSSFLGSHGRYWLEADCARSGT CLEYLVNNRIIWEKEADTIRTKAIEHRNSHRSG I203_05319 MYHTLDTIRQSNFRVRTDDGPTELTNKIKVSLARQGRMNESKDV KIFILPAEYDTDPKACRHLEALMDKLKDMNLECEWTHCKENDRATSLSYAIMPHMPDS ELDMRAFRLKLLDATLKLCNVNASSNIWQSNVSHTNATGFIQVDSLADVDTLVAASAG DPIITTDKATVESKYNIIFSPMRSQILPTSCTTIAAFIGDDRNFNMDKYTAKVNAAME AYNQSVDIVDQSSVQNFRLTQDEDYLVTRDLNIEGNALPSPPPIQELQPCKYSKKRSR NEMEKELFSGPTQTERNKLLVQKRMIELKLKRQGGLNSKISNPTVNKLRSELKNVDEK IKGNSISHNSGLSSSTINNNNFTANSIPAVPVPNQNPSGTGGQNNIDHHDGDIIRPQS KASALNPVKLENIVNLILRMEPSIFILTGITILDGIPDLRTRRLKTRYNLFSTPPGNG NDAPGTSAGVILAIPSCRSNHSVNKEYVHHLLPFTMNIPEVANR I203_05321 MYQGGSMSSHRSQSREDVDNLVDSKTGKILADHKLDSIMQDKKR KRRRKEKEVVVVNQISHPPNDSRQQDDHPINSNSAVVERPKEFISSLSTPHIVGTWSG SPIKIAKKGKSAPLKAKSAIFHCPIERVHKLEENQGLDHQMTTDGVVGIDAGGSWSQT RKQSQG I203_05322 MRYAVLVTGPAGAGKSTFCASLITHAQTVGRQVHLVNLDPAADK FEYEPTIDIRDLINLEDVMEELEFGPNGGLIYCFEYLLNNLDWLEDELGSYEDDYLII DCPGQIELYTHIPLLPKLAGYLQTNLNFRTSAVYLIDSQFMQDKCKFFAGVMSAMSCM LALGISMVCLMSKMDLVKDKKGRTKREVGRYLDPDPSLLLDDPGSSTNPKFNDLNRAV VGLIEDQNIVSFLPLDVTSEDSVNTVLSHIDNMMQYGEDEEPKVPKDMDEGDFGDD I203_05323 MRTRPPSQHRRPSQEAMNLRKLSTISEDTLTPINEPFQFDTADV MLVSSDGLGFRVHTSKLGRCSSRIFRTALPQPSPSLPTPPSSPTSKLDLPEIHFVDPQ LENGITLSLFLHLTYSLPLPVPTLPVYFKAYETLVDFLVKWECAFLYPILGSAVKGWV EDGLISSSKGLKIGDILGNDRLMIESIKRGGEYTWTGKVIEDPNKKKDKVRSAPDDET GQSSSNHNSKPICSSSKADRTRFDIMRDGLPGEASLDLTAVPYEYFVSLSDDVKFSLL RASRVGRKDRQDVDWEKVAVEFQRALGELRE I203_05324 MARDWGRAWVIGTSLLISFISFSSQIFVVWPWYGRVISVDLLKL LVPFNFCVFMVFWNYRLCVITPPGGVPHGWRPNLSSMEGQEVKKGNHAPRYCKTCEHY KPPRAHHCRQCKTCIVNHCPWIANCVGFFNQGHFIRFLLWVDIATSYHLIMMVKRVFF MSYSYHEEPTLSDILFLVFNFASCAPVWLCVGMFSMYHLYLACGNSTTIEGWEKDKVA TLVRRGKIKEIKYPYSIGLVKNVKSVLGPNPLLWLWPQPMRGDGLSFLVNPDAGGESA SEQWADIVAPRRDLSGPALVPMGYGSGDAVIRGSGSGSIGRAGSGNGEERVRLNPNVQ YSWPPQDPTRLPNPRPIPNGTSPFIYGNEGFNPNLRPSNSQIRSRSGYSRTPPNRNHG EEGYSSTEEREYGSISSGSNSRSSSPEIYLSDYDDHNEGPLPSGKRLPRVRRGSEGWE VRPGPVGPVGSWADLEYGGHTVSREQRRPWEDEGRYNYYVPGE I203_05325 MQTEPHHTNGGASPLPPPSPTLTTSFRHQPGPNTADPPSSSSIR HRTPYTHPDNNDDAAPSGAVRISIQTPFGDLGGTRSRRKEGWPLSLTKTISSIKDDLI HGRLEGAGTWEKDGMRVVYHGRIVRDNETLQDIIGKIDPEHVYVLHLVARRIPVTPLP TSGIMHQNLSEFPLPPQQTINHVNSAIPSTPFLSPSLPSATNTNSLALGDTIHYLLFT SRHHLFNLLGISPLKWDETIPRPTMTQEKAREAIICVVRVFAASRESREEGWENWQIA FEGDTGDDMKNIWEQRKRVGVERDIRALWATATGRTMSEGGDKVQVEVDGTIYTLQLP ALSQLTGSQLVHLLTYLRITTLLPLIEPIYDQSLLPPPTPTQPQIQHTPTLPGRTQGS GQGQHPNRRVIYRRTFNIRIPFIPLSVLPHLFWSALKMTAMVWMMTRGMKWNDNRFWV IVGLASGWWIIDALSNIGRVTREIRARNRERQAQAGNENQNQQEQDQAQPQAQAQGQN ATGPAINVPGHGQNPPANVNINVNGANRQPNLARISRSTVTSWIARFHLSTDSRQLRL PPSNLNGDGQNPLLPEPRSRPSRIQTQLILPILLWFITLVPEWESLRGRAIRRRERGM RVVVGENQRQAQAQAAQQDQPEGEGVDEEERRRRDILANLPTGLSIPARKYYLRVLER GEGIDWEEEREAQRALGVGEEDGNGDGDDEGMRMRML I203_05326 MALDKMGDPAATQQDPDTTTSTVFSAELSTINSQLINHGWTKRP LDLSALSAKDHNEVVSVLFELLGSSVSNVNNLEAMATRHRTLQYEYERIQKSNNNLKS TNVKLQQETNGWKVRCAEVEKRLTLEEAKTKELREEVQRGRKALEGVRVAAQHDSKKV QMKLDKALSQLSKQSDSQSQQKSSGLVLLNPIPAGRIQPVASTVSPLLEQTLKDLTDI RESLQEETEAFRTVVVSTGNALREALAASQGKEPPARLMQSQFFTLNTFQLRQSHLVQ TSTFTFQSSSSTSHPSIANTRLQSLISEIHTKLLDNAPPPPPVMVDGMYAPTPEEVEE QKRIERERERIKGNLEDRIKDLEVELVCAKRQEEESRRVVDEMARKELEAATAKGDME GILAKQLDLMEIERRAMRDSKESLEKERKQLEIEKQNFIEERKRSEMESLLSMIPPQS IITQLDHLSEDGEEEGEGDVQIDSIPSSPGPSTYHAHIPSSPSPLSPLVHGKIRTPKP KNYHTVTNRRKSLKTKTPLSRLVLEKAVRKKQASSSAADDIGSSVLGVERGRKTNLVG SSSQIGRTMSPSRKGKERSTSSQGVGQGRSFGLGNPPKITSGGNQARSSSGSSTSTSS TSTSKGISSAFNRSSGPIGGGAGALRSSTGSTAPARKVDLNKVGGIGPMAAKKAKGVW R I203_05327 MSRHRFVRNMDLDDEMNEEEEEGYSQEEQAQMASAMPVARNALK DIKPPISDDAIADSLWHYWFDVEKAVHWLRQDHEKKGEAPHPSLRPTPKEQPRRRPKN LFSSIPSSSSSSDSPQPPLTALQRLSLSRKQATSSSPSSSPAPTATAVSSNENGAKPM SKLALLAQKRKEAAAAAAGQSAENPLRTPTKSPSSSTPASGTQSPNSDTASKPSSKLA QKMAAARAAREESAAKAAPTPLSEDTMAIDEPNSTSTVQDDLDIFSAFTVPQKHKSHS SSSSPSTFFSILTSTSSADQSKTDTEITNLHVPLATDISALTKRFEEAFAESPDEVVL RKRQGRAVMVKCRIKRYTFGLRSAKAASVSQKSASLPGKPRTQTGTTPKSKLQPKTQP NSPVNSTSKAGSSGTSTPTNKGSGSKSPLTVAQQDLAGLHLDQEVDLEVEKEKYKESA ALSMKTEELIAKVRKEEEESGKKNISMIVVGHVDAGKSTLMGRLLYDIGELSEKEKTA NERGSKKIGKGSFAFAWGLDALGDERDRGVTIDIATTHFTTPHRNYTLLDAPGHRDFI PAMISGAAQADVALMVVDGSPGEFEAGFERGGQTREHAWLVRSLGVKEIIVGINKMDL VSWSQDRYEEIVEALKPFLLSAGFASAKTTFMPLAAMEGINILENDVEELKEWYDGPT LINALDKVEVPSRPYESPLRIPVSNVFKGQTAVASGVAVSGRLCSGVVQVGDRLRAIP GDEVATVRTIEVDEDSAPYAVSGQNVTLYLSGIDSINLSIGTILCPTSLPVPLVSKFT TQILVFDLQSPIIIGTSVELFHHSVNLPATISRLINVLEKGQVTKKNPRVLQKGMTAT IEITLRSTSNNNARIPIETSQQNKEMGRVLIRRNGETIAAGVVTELLG I203_05328 MEAIRQNPAELFRFVNIGVGGFAVAGGIGSLINHSFSSIIIGIY EVLIGALIIFLEIRTPTEEHKVLVHKYASFMHSFIGRGVFYLLLGVLMLNYYTILYVC GTVVGFVGLAYIALNFVQMFEAPSTMQPPNTDPESQPVWQGPTE I203_05329 MSEKFLTPSSLPLPAQSPYSGRRKSNYPKILLGVLFVLATLVNF GPSISSLNPLRNDLEFVDDENWIDRFDQGDIEKWSKCPQQPKALFPNTTWELSDEEKK KVVDTFSRAVQIPTESFDDNGEPNEDPRWKPFFDFQAWLEDTFPLAHTTARIEYINTL GILATFEGTDPSLKPLLLMSHYDVVPAPSDTFDRWTHPPFSGYNDGTYIWGRGAGDDK PLLVAQWEAITDLLEKGFKPRRTIIFSHGNDEEEVFARRGQGHIAPFLEERYGKDGLL MVIDEGSGLIDDFYGAPFAIPGMGEKGYMDIVISVGTAGGHSSVPPTHSGIGIMSQVV SALEDNPFPTKLTPASPLLTSLECALAHSPSVPSHYSKLLKSEGPKSYPKLAKVLAKE NLKSKAMVGTTTAVDVINGGVKVNALPELVTTLINFRIDFSESINSTQAHVNRLVSHI AKKNGLGYHGFESKKKEELGGKYISVELLGLPLEPAPRTPAEGGVWELFAGTVKAVLP GSNGEERIVTPYASTGNTDCKMYYNLTKNVYRFMGSSVSASFNAHTVDEKFAIEGYLQ IIKWVHAIIQNSDSYDGEE I203_05330 MSGERQDQASTFTPIHPFEMDAPKTWGGTIGELRTDSDKIVFSK RSLLEFLKSAGVTVNQNFSEIQKLPKYATFGRFSKAATDAAVADLVEAEIGDPTTDSS SLPSNRTTVPRPSRKVRDPPGGKQSIQLFGEEYEEEDALSLAPPRDGGDGVDVEVERL EKLRVHAEPVRDGGAHIEEEDNRTERVSNPAHGFRPTRKVREGPGGASSMGAALFGGY EDETEADRATSRASQGGKKQAAQGDSGNLW I203_05331 MPPRRGSRKSNASGANDTSASGSQEILPHDVGTQISDALATWTS GGEDTIKQLIDDQLQRASSSSTTLDSIPLSNTFVGLFSSELEAGVLADFIIKVIEELD ENQVEVLGEALVDVVEMLEQEKQDREEEKKPNEDTEMAEAEEKPKISSGLPVVKLLLE NNKLPTHIANLLLNTDRLVDLGLHPFPRQPRALQSALVKKNTTLFFKQRKYNLMRECS EGFSGLIVLLTGPDALPYSVEETLGESEMDRKARAKRVWSKIMGLIGYFNLSPPRVLD IILEIASCHLTVHWRFFLDLLKRSPWGNAAIGVDAKGKGKAVASWPENELESIGDALH AGGDRVLAQVLGFKFGFYKKPEGGDTAMGLTYMTALLIKHGFVSLADLIPFLSPDDTQ MEVIRKKWHSSVSSRSGPSNALSNSVLLDDDAPAASTSKEAESGGPPPKPPPEQRIQL AQALLAIGDLPSALYFLARFPWIAQSHTAIADLILRTVSYAVEDLYRSYSSPTVDNEG EDLDMTVNAPNVPPSTKELVPTLHSPPPPETSLKRFEFFYHDWREQMEVWTAIDDIHS KGLRWLSLIRGLGGRDASIMVKICRIGVAYFAALTKDKEASLDLPTTQEMKPWLDIIR ISLLPSLSCSDATAAFDVELWSLLSFFPYTVRYSLYGEWRDSTCSFKGRDPCPVAAHA AGECTKEVQKALRRVTSSSTSGSASAATQAERHSARSLAKLSHGNPLFLWTTAVTQVK AYPNIGQAIVDAGRYMTQLSFDVATFVMLDTLSDDRAQRLNETGTGVALWLERLSKFV GDFNRRYTNMDLGPVLQYIINRLMRGQSGDLIILEKLMSTMSGIEPVPNDGVSDTQLQ AYGGGKEMVREAFNATRIQIAPPPEPGTDKPKEAPVDKLKNIKKSLPRLVNALRDTRL AIPIWIALAQTRQAVVDKLANTPIKAMNLVQDTCHTAFMQFGDFLVEQLSSEEQLLGT PNLQELVNDFGLEYGIAFQILRPRLNAELERAKAERDADVKKRLEAERKAGTAVDKLN SPAINANALPASPKSATPQVDGEDVNMDEVKVEHRNGDAVPTTKAGAKPKPWWPAALT LTMDQAESLLPAGVKDELSAQFFVIFWHLTMQDIAYSAESYDEAIKSINRNISVVSGW RVMVKDKIAVAEQQAELTRLKNRVAVLQKEKEAHGKEVNAVVKRRLKAESSKWFGDCG KVNPVQLAGKLHQYCFYPRAILSPCDAVFVAKFIRTAHDLGTPGFSTLFAYNNFFNDN LAGCIFSCTDSEARNLGRCLAAILTDLDAWHRDEKKYRTEALGLPETTKEGSEPEPSP GMIFRRKSADPLRPMRWEEFNTFYNKCHNVLTRALTSCWAEAEFMHNKNAIIVALQII KFFPVVDTHGKSVEDAVKKLQSGANGEITNDLKMMCLSFLSGLKKRQETRPYIPLAKF NPNNQRLAQAAQAKNVPTGPRGTDSPATNGGSTPQAATNDGDTPVPSVPTVTDREKIR QKLEESRSKSSASAIPPTVKAEIKGTPEPSAPSPKASTPIASRAASPARPASPPAAPR HTRASAAPTGPASTTRFVSDGRNGPTPTGPAARTPSAASSTATMGPPSDLSVDEARAA ARAKKFGIKRPAPPPASSSPAATPAPSVPSAPQPPVEPARPKSPTPSSSRRTSPASAS RTHRSGSVESKASAGSRRSSRRDRDDRDRDRDRDRERERDRDRDRDRDRDRRRRDDRD RERDKDKPREDATGVTDEDKDRKKQEDLLQARHDRLADDRRESRRGSRDTIHRREDDK KSSSSTRETKERSARDRDDRGEKRKRDEEPRRIDEPPNARRDAGRERERDRDRDRRHD DRERDRERRERDHNRDDRERDRERRGEPRSRDTRPPPRESSSRRSPPPSVTPAPTNGN GNTGASGDRTPKRDNAAPRELLPPPPVPKPDTQADRIVEAPRQTRSSIPPSSTTTTTS NATNRLAHALPPRPGLGTNSPAPTSSGRAPSLAQRMGPTPTQSDKERRVSPSRPRSNE SPHGPTRDEGYSDPRKRALEESSTQTREESPGASKRVKIDRERARGGSSGGVRREGGP GGRLFESAMGGARDK I203_05332 MGKNTDPTMGGLDALVAAASSVAGQGKKRAGNRNVSMDMIDPAL QNETATDVADAMSVFLSNPAVVQLIAEYNAKKQHRQVSLYAQLLSGSNIPDTPAQQTR SGRISRPPQQQPSSSSSTTIQNDQQNQLQAIKDALENVSSINDPNQSASTITDSANYD ALFQAVNNNNTNDSSSNSSRFWRNDGLLSGLPNWTGLEANTLAQAVASTDPSSSSSAS VLEGNGTGDDSFESVGAGSGKRYKGDDLSKLDGENGEHLPEWPLPPTGKGGRKNMPRE ELLARRRARNRVAAQESRKKKKEFFGNITDKLKDRDQAFENLQAHCRRLEQEVEALKK VILGAGLELPNDIPTPIDMPNTSFPITEDTPMTINSVSPGEEIGVDLPFHDLFTIDDN DNDADDLDFIPPSSPRKDGDDGSDSEGEFSDEDDDVNPPFANTGTKTKSNNKKGKRKS SEDQSAETPISTIAEDVEEDLFLPIEDVPIPPRDEEDQEKVMKQAMNELHVDTPQQLM GVIKKMVETAGYGGVTEEQVAMLSKLLALGQAQGMSIW I203_05333 MNLRSLLSIPLTISTILTNRLSSIVSVPNSLYSAVGVRTATTMV LDPTKLNVLHAPLQPHTKEGDWTHPTGFQRDGYCWGNEQDPGNHFIGGVVTKEFLEYS KAQGNDLITRQPGFPGLKDGCRWCLCVNRWKEAVMASEKLGERVVPRVDLSSTALNAL KKVSIEDLKKYEYKP I203_05334 MRPIRSTQPIIAVVFYSTYGHIGALAEKVIEGAKSTGAIVKPYF IEETLPKEVLEKMYAGGSLNPKYPLATPEALKEADGIIIGAPTRYGRVPAQVSALFDQ TGGLWATGGLVGKFVSMFTSTAGQHSGHETTITTTFPFFAHHGLVYVPIGYSNPLVGE IDSVQGGSPYGASTVAASDGHLQPTENDLAVAEHQGKYFSNFVATFVKGKTVA I203_05335 MIRNRPTKPIIAVAFYSTYGHIDALASEVIKGVESTGAIVKPYV IKETLPQEVLTKMYANTSLQAKYPAITPEDLKEVDGLILGAPTRYGRLPSQVDNFFDQ TGQLWATGALVGKFVTMFTSVAGQHSGHESTYTTSFPFFAHLAYVPIAYSNPAVGAVD SVQGGSPYGASTVAGADGSLKPTANDLAVAEYQGKYFATFVGTFVKGKQAATSAPATT TTTDAAKSVPESTSNGDAVEKVTNKPTDEATSTSAPAQASAEKTASAPAATPASKTQE QKPKKKGFFSCCGDSGIDK I203_05336 MTVIKSHPLPQHPEGSPFLTQDYEDVMSKLKDKAEGDQPVKWQE QWQWEMSNVHLFLIQMIERAYLYAPYAIESNDLSNFLGYAEISFFQVYSHHSGEEEYI FPTFVKYSKNELWSKNVSEHHTFDQALDATWLYIRSCQEKLPAANGDSKRVKSPVPPP PADLIRSIDLRSFPNLDFDEPFDVVGFRKHIESFIVPLVEHLGSEIDTLTPEMMDSVG AEGDKDVRKWLDSHLKAYDPAWFLCSAFASVPISLCKQMIQLPFLVRRILVPFLLAPK YRGYWLYAPYPENLTFKGTA I203_05337 MSNQDKTIVVFTATGVQGGSVVDSLLEAGYKVVGLTRNVEGSGA KALKAKGAEVAYADSADVNSYKETLKGAYGVFINVDFWNIFAAKNEDAEASAKEETRQ AVEALKAVKEAGVKHVVYSSVDDNTQCPHWQSKADASKWAYANNVPFTNLLTTAYFEN ISSYRLVQGPKEDGSFTFVLPMLDDTIHYGFSAAQTGLWVKEAFNNPDRWIGKDLYAV SGEETISEIAQILSEISGKKVDTLHLTKEAFSSEGMKKQLGEEYWRNWDLFVNKRITR DVKSSMALAPEARDFKTWAQNDKTIREILQF I203_05338 MQTVNGISTSSQAPGGTVDGHADQKKRFVVFTATGDQGRSVCKY LLEDGGWEVVGITRNANSDSAKELDKMGVKVVQGDMNDPSSYSESLQGAYGAFVNADF WAPYFASGCNASIGQETEIKQAKGAIDECIKAGLKHVVYSTLEDLGEDCIPHLDSKVQ VSKYIRTTSLPTTQLITSYYFSNANKFGQITKDKHGSFILATPVPDECYLPGFAVEQT GAWVLKALKDPERFIGKEIYACSDILTVSEMASILSDVAEVKFNTLGITKDEFYSEQF KAQNDEELWLNMDLFYRKLLKRDVAKSKELVPEQWTFRDWAEQNHEFKKIAGI I203_05339 MLRNIKLLPICKTITEFTKLDIRPHDLPQIRLKAVTDLQRLEPS EDDERKDHQYIAELSGHRPVWNSAVPWTAYRSSHDDRGKGGPFGWETEEQRRRSDIKE YYINAAIRQSRSTYPWTGQQLGFTGDLGDDDREKGSTDPPPPPAGDEKVGPSNTRDME LTTVTTDPTLYRAKICSGASSPISNYTLSENTARSFRDVLEWQNSDVDIRLSEGIPLE EKKELRTGWAEIDTTNGNKSPAYLCKGGLSEEQLDMLRSIALLDVHYSSDVHTYFPAA DK I203_05340 MAKSIRAKNKMASRARKRLQSHYAVSDAARTQRLSDKLLGKDKK DGEEEMNVEGGGEREVEENKEGDEEMKEEPKKVSTSGRRGSRREQWRLSKGMSAKPKK SRMGCKPTRRR I203_05341 MHASLPQHIPCTCSSSSLPNKAQFSSTVISDRTLISSTPTSSIG EDRLISESISSPKLDLEAATTPARKDDDERLDPNIQTPKKDFQIPTLSSLVSSQTLSG KRKRSITHIEGIPMSILSQPTSVPSPSISSRKIISSRKIKQLDKCFSVLSFNNNLPSP ATKKDVGNRPESDSEYEEEEEEEGFSSKSTTPSNSARSSGSFVSTSTPSAGKDGRSDY DFGYAVGYGRMKRNEGYSDTLIKEGEDNSDSNSNSGPESEPDSDDEDDVVFILSP I203_05342 MGRKKIEIRPLTDERNRNVTFLKRKAGLMKKAWELSVLCGADVN ILIFNAAGKAYEFSSKELDEEIDRYMDYEGMIERRRAPEFAAMALAGEDDEDEDEDEA PRRGSTSKSTANTTAGPNGAVPRSLKGKESFKARTPRHSDKGKSSSSRSSKHNKSKRR KERSESEKRSFIDSILSQSESDSQDDEDDEDEREIGGMRRKRKEKEKERSRDRRERQR TGGYDKNAAALQYAMSMHSAHPHGHGHGHGQGHSHGQHESRFYQQHRYHDEPGSNAQL PVDIPQLPKLPSDGTSYRTSMTSGLGNGSGTGGQSSSTFYGHSQPQYISQTHQYLPSS SLETTIPSQGQYSGNVSTSQGMANVSRPGGIQWNENLLAKYAEFQLQQNHQKQQRLLL ERQRYQLQQMGVPVDERSLLDEIFGGNLSQAQAQAQGGSQAYGSTTAGGAGGGIILPI EDSNPTGTGGSADFVWPLGNNRSTTTNHPEDQFMPHDQSGNTSRGSIPIPDESVQWGV QDGYTVDRDDLNLPSPVSNGPGPGLGAEGKRKAMIFRDNEEVKRMRI I203_05343 MSSVRTSSRRLFDTLRCPTCSLRPIPTPRTSYPTPSPIYSTRSL SISPIRFNTNFRPPPKPRTTGEISGLESRRLNYEQARQALELSRKKIYKERAKKYKSA VIYSMGVIVISLGVTYAAVPLYRAFCSATGFGGTPMTDPTKFSPDRLYVTPETQGRKR ITVRFEATSADTLPWKFEPVTRSVKVLPGETALAFYTAKNWGKDDLIGIATYNMTPEK IAPYFAKVECFCFEEQKIRAGEEVDLPVFFFIDRDIMDDPALDGIDDVVLSYTFFKAR RNARGHLVPDATEEEIQKSQGFENYELAKKEHKLYPPPSPSTTTNPA I203_05344 MSRSSYDRYLTVFSPEGRLYQVEYAFKAISGAGITAIAVRGKDT AVVITQRKVPDKLLDPETITHLFQITPTIGCVMTGLIADARAQVQRTRQEAASFRYKF GYEISPEALAKRMANINQVYTQRAGMRPLGISMILIGPDDERGPQVFKLDPAGYFTGY KATASGQKQTEATNYLEKKWKTMETDKTVLDRAGVIELAIECLSSVVQTDFKATEIEI GISSTSPEETNIEGQNGRFRQMDEEERGEWLVRVGEKD I203_05345 MSWGGFKKAASRAGTTLMQKTGQVERTIDREFAEEEGRYKTMEK ETNNLQKEAKVYLDSIRAMASAQSRIAETISLFYSHDRSSDGAMAGHAYKAAVDEMDG VVGRDLDAPYRATVLEPIGKLNSYYPTINAAITKRDHKMMDYDAARAKVKKLVEKPSD DTTKLPRAQAEHDEAKEVFDILNEQLITELPVLVDLRIPYLDPSFEAMIRCQLRFAEE GYEKLSGVQRYFADNIRDDYANGSLDVQVEGVLEEMRELSIFGP I203_05346 MSNQHYDPSADLAARMGGIGIDGDANYPSPQQPNSHNQSPVNTT HSYANIQTPTSRVPPSQPYSPYGDQQGYPYYPGTATTGGLGVGVGLDATYAATAGLAG NPNATYGFVPPTPDLMSLPTSQISSAGYEGNFPAPNEIYGNQQDLRQGLTATQQNPHS TSPLIPRATRQNARPPPGQSAYSTLQQHANAAAVAAGYYGYQDPRSYWIGQNPNMFMQ QGNNRKKDHQTLYSVRTTQRDANNSHFGYNDGITPTRGAFTSHSGSSPYSLRQHGSNN AYQLSQSQGYGGMTGCSHQGYQGAANFVLRSKRLEDPSVVRSALLEDFRLNKIRKWEL NDIFGHIAEFSGDQHGSRFIQQKLEIATLEDRQRLFDEIMPNAYQLMTDVFGNYVTQK LFEHGDQKQKAALAKKMEGHVLALSMQMYGCRVVQKALEHVLVDQRKTLVAELEGHVL ECVRSSNANHVIQRLIILGPPQTVPDAFIGHIEELAKHPYGCRVIQKMFENLDEDMKR TLLDEMHEHTLDLMEDQFGNYVVQSVITVGAPADRDKVIEVIKGKVLSLARHKFASNV VEKAILNASKDDQRLLVDELVGVKEDGSNQVGMLLRDAFGNFPLQTALVAASPEHRQE LLDLINPILPQIRNTPVGKRLENRINDFGHEDTDTKSHSADTSTSTSANTSDTETTPG GLTMSRSTSEETGPSSPPEIRHGLRAKSTTPKIGHGNGNDVGVGNGKKEAKTLEDLLK I203_05347 MSANPPDSTNPHPHAQIILVPDVQEAMRNDLSNRRSTCRGFSID FKFDTILPPEAQDAYDNIPKVLNLSGVVALRSSYVQEGRSRVDLIGGDETIRMSSGVK WTLHLRTNKTFFDPYLFWLADNVDDDIKSWDDMEDERRERERERRARENEDTSGDLTR TY I203_05348 MAVGKNKRLSKGKKGIKKKVVDPFSRKEWYDIKAPSFFENRNAG KTLVNRTQGLKNANDSLKGRVVELSLADLNNDQEQGFRKIKLKVEDVSGKNLLTSFAG MDFTTDKIRSVVRKWQSLIEAHVDVKTTDGYLLRLFAIGFTKRQFNQVKKTTYAQSSQ VREIRAKMVEIMKREAEGSDLKELVQKFVPESIGREIEKAAKGIYPLHNVYVRKCKII KAPKIDASKLLEHHGEATDVNTGAKVVKSGEFVEPEVLESV I203_05349 MDGTSIASRLSQLPNGLGSPGFPPNSHDGTHHNPLGTPGLNDPR TSMIGNPSAYLQNLSNSSFSPSYSQFLSSSLTQSLDGLDPDIMKRPFDTSTLPVNPMN GMQPQIDSSSSNFDSFPPKKARYDASIQNNAAIATPGGPTNLGGNKPFTPGFNAGSNN GFTGTPLNLGGPLNQTPQQTPGIGQPGSATGNGLQSTPFMMNGLNGMMGMGGFGMGGF PLNMQNYAGSPIVSPSMNPNTMTGNYGPAAAAAAAAAAGNTTGRTVYVGNLPSEASVD ELLNLVRFGPIETVRLLPEKSCVFISFLDGSTAAAFHADASVKKLALHGQELKIGWGK PSVVHPNVQAAVANSQATRNVFVGNLDPEMNEQQLRNELSRFGPIDQVKIVRDKNIGF IHFLSIGTAIKVVNQLPGEPGWEGKRVNYGKDRCAYVPKAQQDAVRQAQTQAMTAVAS QHAQMPGTPFSSFSPMTAGFGGFGTPASAGFGSPLFGNGFPSAGNSGFMDSNGANQVG NRTVYLGNIHPDTTVEELCNNIRGGMVQQIRYLTEKHIAFITFVDPAAAMQFFQHAHS VGLLINSRRLKVGWGKASGPLPASLLQAIQSGASRNVYIGQIADFTLFSEEKLRQDFG EFGEIDMINFLQEKGAGFVNFTSIQSAQKAIEGIKLKPEYATLRISYGKDRCANPPRA SHQTPGGRPNNHRVDSNQTPKEPIPSTNDVKPANEELLGDADYPIEDDGYLGEELTDV PTSYE I203_05350 MVSTTRRSLANDIDIDDTLSTTSTDSSNSIIATPSSDSLSQSTL RQRHPHTHSSLDQPAESKLSKTPASPKISTAPRMFSSRKYTPLPTSSSNGSGSTAHRK RAGGSMASWKRYALIGTAVLVLLALGYSQFGSRDKSIVWDDENTYTPALDDDIVSGDG VDYSSPPFRPLDSDIAKPQSEHQDNDDDDVEEPTFHALPIGHTKPPASDDELDEDVAE AIDKPSSSPHDPNTSEAQGASHASEDFTEITDSDADDESTGFPASFEDDPNPSGTTAC TKPHSDEKPLVQYALTIDAGSTGSRIHVYKFNNCGPSPQLEYETFKMLNPGLSAYARD PTAAAASLDPLLEEAHRVVPKELWKCSPVEVKATAGLRLLGTQESNAILDEVRNRLET NFEFVVNGEKSVEIMDGKDEGVYAWITANYLLGKIGEGVSPDEDTLAVMDLGGASTQI VFEPKFPADTNQALVEGEHKYQLTFGGKDFTLYQHSYLGYGLMRARRSVHNLVAFTWS FGQGQVHWDELDEGTQVPNPCLSKGMSRRVELDPPGRQPVNVTMHGANGGFEACNRVV ELVMAKDAICEVKPCSFNGVYQPSLLDTFPRGQLLALSYFTDRIKPLLSNSLLTISDL TTLAKDVCAGPETWKKRFGNNPTAMAELEDRPEYCLDLTFMNALLGLGYELSPERQLM VEKKLKGVELGWALGAGLALVENAKLTCTA I203_05351 MSSVEWPILRGEDPSSEAGPSRIQELASQLVEADFKAILSSSEA KSILSEQTSLEGLNISSSSTSQKEDSLLIRLIVSIALLHSFVQANWTGPNLTFTPLDI LPSTTSTNEDLNAAALPLLTLQGEPSYHLSSQPVLFLLARRLLFSLPSTTLPTLPLWF LRLHLVHLSLLDEPVPLPESELLAVQSLLEDPAVLSDQDLKATIELEIGLYHHALGQD KQANQSFLSAAKASGLEFELSGALGKRTKYQVNALSQLVLLAESRKREGDEEVTSNGE TTIKGDEKKDTSLPESIALNDDTLLEETEFTRITSSSSSSGTTSKLSHLDPSNQPALH PLDQALLLSLCLSQHNNSPSSGLTANQMMPFLARVISHPRNWSIHTTGLLLRSRLEAS RSRTVERSTLQLLALIEQMPTSDSSTKERLRYFHQLPLPSKWEMERELAKRYLTIGVV RSALEIFDKLEMFEDSVSCLQRLDKEEEAIKLVEDLLKGKKTESDVVTSLKKENLSEK RKNNLTKERKAKLYCLLGDLALSSEESIKDPTKAKQVAIDYYEKAWQVSENTSSRSMR SLGTIYVGSNDYEKSIECFKNSLQINPLYARAWFTLGVCYVKLERWTEAKDAFRRQVG VEEDDAEGWNNLAAVYLRLGEEGLKDGENPPPVSYENKHLAWRALRQGLRFAYANWRM WQNYMIVSIDVGELSEAARAMTRVVEELSNKDPVLAVDPDVLDKLVDSVTRDDYSLLK EGNVVPKTSNEGFGLLPIVERLFDHTILPRISDSPRIWKTHARLERWKENWQNALEDY LKAYRCSIVSDESVERDLEKWKEAVNEIEILVATMSQLGPKAKTQQEEAGETKKKGDW KFQARGIVRTFMGRTRDAFEDQPDWQRLQDLLDDLKRSD I203_05352 MSFTTSFLPALLHARPSPTATSTLRSNLRSFHPPPAFLRFQQNQ SRVISLARSHPAPKRISPFSLGIGAAVAFTSLSLTLPNRQVRCESVLTPPSSGRTSPL PDDPQPGSILSVYELSFGAVCGICSGVFIKKGARAIAFLLGGVFILLQYLSSKSYIHV DWAKLGGRYDSTFGSKTSTGGYKGPTVGGVYSRIVDFLTSNFQQRASFLAGLVLGLRL G I203_05353 MSSANQKFTCGFWNCTRGFDRWSRLEKHFRDHINNNVRYSRPQN PAISTSSAPQVRRRRNRSTPRRRNKKNRTLNDRDHIPSITCARNINPMTIDIRTPSLS PDTPSEAESEMEVDIVEPVQEAETPPTTTPSKRGPRWAVAPPSPTPPSVEEQDEIMQQ DDTDTNGAGGPILKDEINHSDDGDIDQAEETIGSPIPSALEPHRLDPRLDGDEVIESP IARLGTATPRAHRSHSQDSAIIITPRPRFRNKIKDSARRTPDNIEVDEIPIIPTPNSL DPFIRDAMKSIGPRRGRSETPCPMPVAGNPVHFQSYDLTLSGGSVPAPQALPKTTSLP VSDSVNQTSGDNGYPSTPNPTLTPSSPSQSTAPNSPNVIVSTESFVEKLKQISNGPSD NQLLTQLPFSRQSSSDAMVNGSPLADAKSTVKRVVHLFEDINDNERRNRAKMAELGIL IDTLGKLHGVDL I203_05354 MAPRNATASSSRAASSSISRPVKSNGIAGPSTIRNKKRAVQEVS EDEDDEEFGAAGSGIDMSDDEDAEEEDEEVDEEAFPEFDSEIEDDNEQDGDDETQEEE EELDDEESGSESGYNSSDIEAMYGEADEDDDQEDYSPITSPSSSHKELSTDEKLSKMI AKNSIKPDDSLGTDAKISRAKEGVGRLVPSKLVEGGYKREYDDYEAGYGSESSTEDNP NTVGNIPMEWYDDLPHIGYDVNGRKIFRPAQGDELDKFLSNTEDPAAWTSAEDKLLQQ SVQLTDKELDIIRRLERAENPDADYDPYQPTIEWFTGEGNERVMPLSAAPEPKRRFVP SKWEHKKIMKIVKAIREGRIIPNKPSAAKPTVYAIWSESDQSNLQHAMYMPAPQLPPP KTIESYNPPEEYLLTEEEKKEWEETDKEDRKIDFLPAKYDSLRLVPGYKNLVQERFER CLDLYLAPRTRKVKFNIDPESLIPKLPAPKELKPFPISTSVVYRHPESSRVRSVSTSP DGLWVATGSEDGIVRVWDLGNGREVWKWNLKSGAIQHLSFSPYKDECLLIALVSGKIA VLSPLALVSPSVAANTLTHANTAFASSAATTKIGAGKDIKGVESIKWVRPNESERERG VLVYVEVPGTPKQVSWHRKGDYFATVASDASNKSVLIHQLSKHSTQSPFKKLPGQVQK VIFHPSKPHFFVATQRYIRQYDLSSQTLVRTLQSGVKWISSLDLHPRGDNLIIGSYDK KLAWFDLDLSNKPYKTLRYHTKALRSVVYHPTLPLFASASDDGTIHIFHCTIYQDLMQ NPLIVPLKILRGHKITDGIGVLDLSWVSGKPWLVSSGADGEVRLWCS I203_05355 MITTSTALTVAKYLFGASVAATTLAAGGLWFFQRHLIYPSYVPE GSRKLVPRPIELGMPYEDITLTTRDKVKIKAYVIPARRNPVVADQLRSLNHKQRMDLG EKEMEKWLEEMGDEKAIEYAKSRPTVVFFHANAGNMGHRVPLARKFNVEHGCNVFMLS YRGYGSSEGKPSEHGIRIDIETAMDYTKSHPILGETKIVLYGQSLGGASCLYAGSRYR DLVSGIILENTFLSLPSLIPLVLPQLPKFLLPILLTEHWDAHHTIPLIPSTTPVLMIA GRNDDLVKPPQMIALRHLRASAGGSLKWKELNGGHNDTCLQPEYWSIIGDWLKEEIGN NPLSSEIRINTNEKKEEEEESESSSNSDDYQKVTKEEVLEAKKEL I203_05356 MAPPLVTIYVTSLTSAPKVRKHIDLLRRSLKGLEIPYEEYDLVM DEEAKKKWQRSKPPGMVVGLPGYLVGGEWVGTMEDFEDAVETQTLESFLKQDLDLSHP GGSTGGGADGGQGELPSQKSIQEVELEKLMREMTNEDLDKLMGELGVDESTTKIGLID NKSQDEISDVKESEKGLLDDLKDELSLDKNEDKVLKGVEEDDFTSSIKLKEEDTRSTK EEDKEINAPSDQGQGEGIKGMIEENDIVKELKKEFFSDKREDKDIDDIINKEKVD I203_05357 MSGASASSSSSSRRRPSLPSDSSETIVSTLDKPARISSSALTKH GKYVVLGALGCWYVDLIGNIKGVLDEEGGWVRKVMIVGLISHGATILIFLYLVLFLPW FRGYIPNYPKWQSSARLSVIVPLLTTAILLGWTSMVVSLSQAGKRSILESAVDAVKAV GNANLEQMEGGRGLGVVKSMAGTTALFTLTLGILGFIPAPSNVPVREKRT I203_05358 MSLGRTVKLNNGLVIPQVGYGTWQAAPGEVEKAVEEAIKVGYRH IDCALVYQNQDEVATGIKASGVPREELVLVSKLWNNSHRPEDVEADLDFTLKQLGTSY LDVYLIHWPVAFKPGKDLFPKDSNDVVELDAPAEKGGPSIVDTWKALIKINKETNKTK AIGVSNFTVDQLEKVISATGVVPAMNQIECHPSLIQPELFKYCKEKGIIITAYSPLGN NITGKPRVIDHPEIKKIAERLGKSPAQVLIAWVTKQGFVVIPKSVTPERIKSNFEDFE LSDADFEEINKVGLANQVRSNIPYEYGTSWPVDIFSTPEEKGQPKAF I203_05359 MSFSLPYKYISAEQLAEIIKSKPASALKEFAVVDVRDSDFVGGN IVSALNYPSDTFHATVDELVQKLETGTSSRSILSSSLCLAHRANVFAIVPKVIFHCAL SQARGPKAARIYAETRSHALPEPAIQQEIFVLRDGFSGFQSKYRHDPELIEKFNKYYH D I203_05360 MSFARTGLRTLRSLPSAQVYRSSNILNQRRLISDEARKLIDNAV HSNPLVVFMKGTPEAPQCGFSRAVCQILDVQGVPREKIVSYNCLEDQELRSAIKEYSE WPTIPQVYIKGEFVGGCDIVLGMHQSGELENLLIKEGLAPPLPEAEPASKA I203_05361 MPLKPAARNVFNYNRAIPRMSWAPENLFNLWQRTSSESPIKRTH DFTRTNSTPYQLRFTAKRLLRGYHGDHIGYTKFSRWYMPEKLPAIHESSSGGSSEVNK WVEGRERSGGRTTDEKQQKRKEKNSKAPIGTMLFADVERRLDVLIFRSCFAQSVWEAR RYVIQGHVKLNGQIVRNPNVMLEPGDLFSVDPKIIHMLQPPSASTSTPAENEESADSD SPEEVGATASSSGDADSSSPTEASAASQTPTSTSLPDQPSSTSSASAPSHFNLPSYAQ PHIFVPAYILPSYLTCSAVYVRHPTARPGYSEIPSPYDAGGELMSLGWEYFKRSMPRM RKKTDKWPNPWGGYGKK I203_05362 MAISDIFPGESSTHKGVNDIPAPRSDASVNAPPPEQHRRVADFV NEAPNARYTFDSRRNADAADAELCRITPQGGKSCIKASLTSSLWQVPRYSRACNRLAS TVLYLLNLHVHIWNVPESLVSLTQSRERREIQGYNNVE I203_05363 MSFQHFEYLLPSLLATILALYPLPWHICTRNIATLSMIFWMTAL NMVHNVNCIVWDDNSDIKGKVWGDISTIIIVGYNFALPTAHLLLAKQLESLTTLRPHS PLYDDKAGRKHRMIDLSVTFFAPIVGVLIHLSNMDRRFYVVESFGPIPATYWNGWGVF WMAVVPILIALACAVYTALALINIIRRRKQMLSMMATGSSVNKEQFFRLMFLTVAELG TCCLRAIFNLMSFQRGPQSLGHFGPPVHNLRRIETIPLSLVSERGLLVLRLSYFTCVA CSYIFFLCFVTSAEVKRFYGLILHRIFPCIPEPTDRAIHLGSLDSGFSGSSTQKIQIH TSTSTYTTEHPSSTNMPLSPLSSSASMSTAIGTVKDKEMSLEDMLGTPVMGPQGVYMP RKGSQASFASNPSDIHLPPMLVDKEKLYEEV I203_05364 MSAHRQTALSFASAVSNKRLEEVASYLSEEFIWRPHPERLGGLG RPEGHSKSQFLELMRGLNNIKKWNFDTENPMKVIENDKSIVVHLHGNPEHVSGKIFSA EYVYMMDFDEQGKINVLDEFFDTVYMEELARLG I203_05365 MSGPGSGGAYSFSLTTFSPSGKLVQIEHALAAVSGGTTSLGIKA TNGIVLATEKKSPSLLIDTSALEKVAPICPNIGFVYSGMGPDFRILVAKARKIAQAYW KVYGEYPPTKVLVQEVAGVMQKATQSGGVRPYGISLLIAGWDSHRGQSLWQIDPSGSY WAWKASAIGKNMVNAKTFLEKRYNDELSLEDAIHTALLTLKEGFEGQMTEQTIEIGVI TVPTPEQMVEKSGERLPPTFRKLSENEVRDYLSL I203_05366 MAPKSEQDVVNDFNEIVNMTADELETFLKTEGSETTGYQKDDGS GESIGHESGRKIVDILKRNPDKDPSKYTEEDKEHMRRVVSYCKRHLAQEGKLKETKTP EELEKTKSTRSLKNWGHDPMKTLSKSDQPQSSKPTSKKAEKPKSKGKPESVEKEETEK PKSKGRGRPPNSKKEEAKSPEKPTTEEAEVGEKRGRGRPPNSKKDESPKKTTGEGDGE GEKRGRGRPPKKTSEEGEKSEKPPSKKAKTTAEGTRKPPSRGAKKA I203_05367 MANLGVPVKLLHESLGHIVTVELKTGEMYRGKLMEAEDSLNISL REITVTARDGRVSQLEQVYIRGSMIRFVIVPDLLANAPMFKRVGPNAMRGRGIGAARG RATIQRANARRGTTRTNQGVRR I203_05368 MPNQTTATASLHALTERLATQSRSLHPFTTGGGGLPLPPNFNFP SPQALAHAASAYRQPAPPPSLPSLQVAGRHQDPTTASDNENSPSQTNGTSTGVGVVRC QDGRAWQEKNRHASERIGRFSDNDIDGFVNDMGMVLRKEYDCWVEQCWQEAFHQLFTH TLPNLIIHLIMTGSSPTFLRRKIVYGGQHLDHLFQSQMLHLLYEELEQRLSGSKPHTE PSPSSNSNKLSPLGLPTPASTNTGTSLYRDGICFHNLSYHHGIPEEEDDHGPCLCQLT TCLNCFHQAALSRRGPVSLLPYELKSTRVSNGWLGGTESEEQAKARLESLRRKASDQI NLPEGKGFVQNTTNSIKVNRGPQIFPHPQMTDVLAVEEHLRWRLKEMGAKDQAVENRY GPSTNHPTALEGIDLGIPQDDNVSEDGSVSSKSSSKPPTAPSSGGKIKVAKGRGGKLR KMIVNPNGTIPKSQNKDGSNGTKDGKKAVVYLPKEWTDEDASQRNTAIVLTFRHFVKL LHQISTAASPFSYPTYAKDIDELQRVHPIALYRRLAEPSIQRREDANELKAWQGCMDK WAEELGGRERKRGNEHSNMKQHPLAVQSYTRAISLDGKKTVYYSNRAIALNNMGSHEK AEIDCNYLLSKDPKNQKALYQRAIARKGMGKLKLAESDLEELLKQTDLNTSSTSPQTG GGGGGVGGNESARNLRNSLYTR I203_05369 MVYNGDEVSALVVDFGSYTTRAGYAGEDCPRVVTPSFYGYIDTP EGESSTTNGNTNGTAQGEDVVMGDGEEKTNGDTTSKPKTIRKYYVGDDGVGVWRKGIE VDNFMLDGIVHDAEPASRLLNHILHDRLGVDPSEHPIMMTEPAWNTPKAREQLTEMVF EGEKSPALYFGSAGVLSAFAAGKPTALVLDVGYTHSSAVPVVDGYALRAGTMRQPLGS ELLISQLHSNFTQPSSTRSFPLSLASRHLISKRDPQPEPGLKPNPILREDRLSDTTPS WKKWSENSVIENWKESCTETVNYKGFDFNTSKDLPQVLYEFPDGYHQYFGEERYRFTE MLFDPKNYFNQSIEPPATLRTVTNTDHSHSLKDLVPLSQLVHDSIMACDVDVRAALLQ NIVVVGNTSLTRGLIERLDVELAALMPSQKIKIHSPTIPFERKYSSWVGGSVLASLGT FHQLWVTKDEYEEHGMNIVHQRCK I203_05370 MGYRYLSPSLLNRAAVYLNRQSIPAPGTITISRHGHGTILSPRQ TVIRSFHTTVSICNESARTSAELPFFHYRDYPNPPKVVYTSCPKEANKYLSQLKGPAL GLDLEWTTFPRYRRPISLIQLCDEDLIVVFQLGEDETLPEQAIKLIEDPRIYKFGIDI KGDLYRLKCILPGDKKTNPASFLELSRLARMVEPEWRGKGRRFICQAAQSQQYLGRAL NRDDHVRYGNWDVELKEEAIQYAANDVYSSLKIYDKLIGMSELKKLNIVYPYLCVDLD PPRKSRPYSFPTEEELEAQYNAPPIKYTIAGREFEHPAWVPKPLPSEIRAFEAFLKGV RVKDYAEESRIEISTVQTYISKVVKKFGISGLTEEEQTRFLAEA I203_05372 MGSDHATASIPLQNHKHLPEYKYSQISPLPELRYTGSSKKATSS VRALEGKVIGLDVEYVTKTVIADNGERKCWNKPALLQLFDGKLILLIQLNNGMSKSPP ASFLVPIRIIESKKFHQVPFFQRQSSSLYAIPDSSKSE I203_05373 MFKTIPEKYDVEEEAETLLLRRLHLLGLSDHAVLYHDDIDSHEE LDHRDDLRRLHQFHHQPQARTLGSSSYDYHCLFSEGDSIRPEMVYVQSKPEANDNLSR LSGPVLGFDVEYVLGDSFHQQPALLQFCDDRLIVLVHLQDTEWDFEPIPSKAVEMLCN PSIYKTGANIYEDLLCLMRSYPEQFYRTIPTYSPNSQLHHSDATLNATIDVQRVSSST SDNDILGVPNKILELSLLARAVYPSSWNDHGGTQIALATLCKTYLGKNLRKGHKSDWR CTLIERQKEYAANDAYASLQICLELQSLAREKGISLNLDRSCTEFNLFDIPRQVKVRR STDKHPISNLTSYSPVQGQI I203_05374 MASSSKNVVTPEKNIANTPKVIDLDLEIGLWEGKAVFTKEALTV RSIRPNACETPKNSKDPKPSGSFTSPISISSTPSSSPQTLSRPRAVPHYTSPLPRTIL TPSTHTWVELPPSQRTGVTQTQPAKPIHPFFNRTRTAPPTSQPRYTAHYSSTEASSTQ QSESQDSTPSSSKAPSTVDHNRDRDLDLLAEEVGKLHFGYGYPPKPTSKSAPPPTQSQ SDENKSSKQQGEDMTISNAKSSTSVSFKVITNNGKLQSKSVSPNSMDLPLFHFDQYSP KPQIAYTASIEEANDLLGCLKGDILGFDLEWPPAGTYKIPQPDGTMKSVKIGMTWDEK KGGYVFGQGRTALMQFADEKLVVLVHLGEKMDIPSKAIEILRSATIYKLGVQVRGDGQ KLLRDFPQHFISTSSSDMGIKGLLELSFLARGVDPIGTGPGNSLISLSRLAERYLGKR LDKSLDVRKGNWFERLDQKQKDYAANDVYASLQIFKSLRRIAEENNFGVDLNRYLSIV DNSVPINKLTSTNPGEEISGTRIQIGEMIATLAEGVKPPTPAQLGALSDFVKGMTIAK IAEQKGIKVATAEGYICSALQSIGLDFLMDEERKRLWDEIPRDMYTWKRNKELYKVLK KEFDSDAVSASESETDNVP I203_05375 MDKVQFQLEATLPELKDLYEKGLFTKSEINQITKKRTAFETSLV RRVTRKEDFFKYAEYEINLERLRKVRYKKLNYHLNPPPPSASSFSLPRRALYILKRAT AKFPGDLATWLAYVEFASREGMRKVVGKGLNSALQHHPTSSTLYLLQTYYHLHPGSPF PRESIPSTSKLDLPSASSSTNQAPGFAIEGIDPARTTLLLGLRLLPQSRDLWREYVKL ELGWVEALRRRWKLLGIKDQNQDAPSQVEGEDVQKDQDALRGGEGAFGEEGEEARKSI LAGELVIHALSSALESIPSTCSTTEEEKLDGMGFRENLIQLFRNYPSPLRLKCLNVLY EDLQSVSEQSDNSRMAAKAGLLLLNKGLYDREYNPEDRPSKEGESVLDGVALVEELGK IGKEIRKSIKGKGQNKDWIEVVGLWLIEQIGKLEDKIEVREYLSSILSSLTKPSLLPP SSLLIAHLSYFSSSPNLDIARSYALIYPSNPSIQLYRLTAEVQLSTDANQTCKTCEEV VRAVTKSGLSEEEGEDVRSIWKLWIDKELQNPDGNLEERWKVILRESMKLGVHVRDLH GDVLGYYIINTLKSGEEVNGVLNKIKSYQPTFNTFNLVFTSLQDNNVQPDDLKKIYNA WRGFCKTPYEKAQAALAYAQVLLGIKGKGKEAYDVIEVTKREVRVDEGVEKYLEAGWK MLVDAESEGDSGDEDEEMSDE I203_05376 MNPTTSLRQASRSIPSSSYPPTKSTGRQLSAHTLRSLVSLHHSS AGFMHNPQIDLPVGFDNTFRYTREEPKYKRYGEFSSTTSYNASLTPPGGLESLVEKPR SAEARGLEFRRGRNNYLDLDTAKNVGLTFKKVYDIWSDRGSGSDTQHLTERELRVQEA LYGTWERGSQGMNSRIQPSLDGILEFVEAKGKTVGEYAEEWKVRDKEGREKSTEDGQ I203_05377 MVISLNPMANASSKRIASANETALKNLELGLLIVNSLSPLLRFF LSLVTSRSFIPRKFVIFLHVVLVGGSIVTWRWFKTVGTPSRAGSGVRVGADLGGKGVI ELGWDLIYMTWICTLGSAILGDWVWWLSLLIPAFASYKLFSTIRPILAMFLPGIFGPK NPQAQAQQQQAQGNAAATGGEDRPESKRQAKLKARMERGDKRVQQVQVQRNR I203_05378 MRIAPLLLKSSSSSSRWIARQSRDPYVKSRSGSGNSSSVSQNQP AYRSRSSFKLLSLAAKHPSLLGAGGSKKVIVDLGAAPGGWSQVVSHLTKGKGKVFALD ILDIEPIPGVEVIKGDFLSEDVREQLRIRTIRSNPTIQNQDDDQDKDKKDEEDTSTST STSASQGMVDCVLSDMMAPMTGNKTRDISLSLQLCAAATVFARGVLKKAEKGEEAKKE LGKGKGKGKKIWPGGNLIIKFFAHPDMNEFRSLELDPWFGKVVVEKPKESRSESSEAY WVCLGYKGDPTLR I203_05379 MAFFGGLSGWSGSSWSEWSGLGSDSDSESEPDTATTTTPVVQTS STSTPNPTTATATVVQQVTTTAVSIVTPSTTASTPKAVAITQTSKPSSGAVPSTTAKN NAQTSQQQQQASQVSQVGSSSIAGAQPSSSTSRPSSSSSATPSSSTNSTKDVGDIPGD WIYFGCVPNNNGMPALNTTYATSDELTPVLCITACAASQYHYAGLLTLYLVENGNACF CGSTMTTSSISSSSSSSSSCNMDCTGDPSGSYKCGGPSSMSFYHLKSIDPNSSSSQNQ SSSSSTNIDGQYGDSGSGTVVVSLPEFTGTLMEYGDPRFRSGKDGVVFGEMFKISSSS SRYRPDLNTKLEGLGWVILLGWVGLRILR I203_05380 MASSNSKLPIFIASAIGALGAVYIVSPKNEVSGMTKPAEAPKDG RGQSAIDGKNSDGSPSVNSPHPALRYGGAAPGDPKSTTVAGQPESSRKSHSAEHDVKT RDDPSASGGVGLAEMRERKMKGQGLPSPQGGDTPAQPVKAQKGYNDGQGPPHPDGPHE KDKESSKGGWSSWFGGK I203_05381 MKGLKKIFGKKGKGSSSRSSTMGSSIASSSRQSTISQSRSIRGP PPSYTPLGSEAPTLSQEPYRELYPYPIFAADHHTEAIDVRTIDLTAPPKGGHWQHAGD MTHANAGNVVEGISNIIRSGAVSWDTSVGTDTVNKFEVLPFNFYELKGSMKEYTDSHM QVAKDLMPRFRTEAAKLWQSDPSSVNPSLTKDERRIKWKEVLDSYAGACKQICDANPE VTWRKQKALGHWLAFAIDEKPLDMVSDTDVESATDPISPQQFDIEAAIALNHLLRDMN TPEDLHAFSQDPFSSLEDSLTREWTGLKGRFPNIEHSNDPEARKALASFEERKILLHK LLSENEE I203_05382 MKGCCVIKVKDFLSQSKGSKAGTGTSDLEDGAGVNDPNRGHEAG SECCALSNNHTDINDEAEYQESSSSEMPWKQVQSIFSEYLQARDEFSSENGSGTPDGN DENACDHDPRLLPYRTKAESAWSSINFHCKRPAIDIDLVRGAMTFSHKPTLYDFTCRS WEYERFKEREEVEVQTSERYWRLSGTPKIMMTYPGHIDGELKSKLNSKRMELQATYHS QDMLIRKFREENGR I203_05383 MPFKRLFGGRRRGTSSSRGNEDDSSDQWPPFQPIHDTYPSASLN FDQQSPAPGPAPGSWQSQVPSQTWNQGMQFEMYNPYARQLQHNQAHDADTYHPGGSLD VGLRPTVYESQSYDGSSSAQGGTGYSEDTPAYSPNTVAPATRYNAFTQAMLNQANANL HRCSYTAANMTQEPLGEVSWKHVQDTFREYLKAREVVPREIRPSWFREIIPSLEQEGI NYTTVEHNPDRVDDAIDQSVFAPYYRAACDRDARVEIHRVNSDTAWASLQFGCVKPDL DVEVMKGVLAFSSQITRDDIVSENWRWARSAALTEAQLSVRDEYRAARRLRVGKSARD RLRAEYQRREENRQDELSVPFADQDGLIRRFREANGWWSEDDDVTAPVPGERIQDPRD SWEALH I203_05384 MTTLKSFQAEIEAISHEKHIQSIKEAREAHAAAELARHHGKLPS TKNQPVIEVKVEDEKDEVAEISLEDLIISDDNNIDDLQSRLASKLLKGHGEQLISLGA HPSPQHTYTLSPSSTDDSKPIPTVGIPLSLAKLDKSIDKLRTVCQALKAELNELYRTN QDQSSHGCWLVRLTPRGVEEIMEVRVAVVGNVDAGKSTTLGVLTRGGLDDGRGKARVA LFRHPHEIETGRTSSVGGEVKGHNHALAAAKREKLGWEEICKRAAKVVSFIDLAGHER YFKTTLYGLSGCAPDYVMLMVGGNAGLIGMSKEHLGVALALNVPIAVCVTKADGNSPS QIDMTPPKILEQTVNMLTKVLRSPGCRRIPVFVNTAQEAVDCARYLGQPLGSGGRLCP IFMVSNVTGHNLPMLRTFLNCLPSSQSDDKYVVDAPFEFQISDVFSVPFVGTVVSGVI TSGTVHANDAVLLGPDSVGQFMPTAVKTIQRKRASVNSGEAGQSVSFALKRIRRTQVR KGMVLIGKTENPPKAVKRFEGMVMVLHHASTIQPKYQAMMHCGAIRQTVRIVSLDHPS GLIRTGDRAKCVFEFISHTEFLKEGQLILLREAKTKVLGVVTKVLP I203_05385 MSSTDPPRRDPLPRSSSFTNIMTLPSLDRYSEDWAGALTLLDVI ETFFDSRLDLFNRRIKAQSSKLKSRAVELLPKGLRTPGGGGSTILYVDEEVDDDREKG KDRERDSVGEKYRREVEREVERIKVKLAAKVTHLSSSWRSAQVVRTKDKISFLFGVMS LAFTCLLYGMRPDYMPLAYTVQSALYLPLRFYTYKRKAWHYFLFGGLCYFVNVLDLLW IWVLPSSTTLFICCYLLTLGPIASAIITWRNSLVFHSLDKIISIFIHIYPPMVLSVIR HNYPNAEERYPGLRDVDNYKWYTMILLSGVPYILWQAAYYKFISLDRKSKIESGQRQN SFQYLLNDKRGPIGKALRGIRPEHRELWFIFCQLIYSIIFMIPPATLFIHSSKASSAF LIIIFAVSAWNGASFYVEVFGRKFERELEKLRKEMELASATGTSTSSIASNSTPHSPS TFTEGEPEPDSGEGQRRDFHSNSSNESVYESDQGRPAKGLDDSPLILPSTATQQARDM EASEYTLDKAVEEVNRGEKEGKKDI I203_05386 MAPSFLRKRARPQSVSPTDPTKVVRPSLSLPDLTTPLLDVSSWE EVPPFKFSSKQSQSQLQNKDKEMSFRGRGKKPSLVSGDTQFHRPFTPKPVHSPQPQQD IYSIVDINFDRNGYGYGDSVDKNDFRKSKIGWSSDHPFSQPVNLPPTSWNNHNTSNGN RPHSNSNLSSPSISQDGQRDSLHRVISRRKGRKKGTVGKLNVVIVGGQGVGKTSFTNL FLSSLSTPEHPTPFIPLNPTKSLSAHTAISTMSEKLLVRIIDTPGLDLKLNDELSHKS RERGVAGLIRLLEDRFEVMCQEEKKIRRMTGGEEGLIHLVIYLIDARTILHPQSPRDT DPVDWSCLGLFDDDQPSSHPTSIHDNGASSGQPSLSEFEIEIIRKLSRRANVLPILTH SDCMTVSELSLVKDALKRDLSNKKNDIPGKGFGIFNELDEGSRKSLDFSESDRPPLNQ DQRPPTPDSLESPSETSPSGSELPYSFFLPDHTTTTPIIEEDPSRKYKWGEAKLFDSA HSDFIQLKESILGDNSKILRSNTRETLYENYRTERLLAKTGMRCE I203_05387 MTEPSSSSSRLTSTLPPLPTPTSFPFPYPQPYDIQLQLMQVVFR AIEDGKIGIVESPTGTGKSLSLLTSTLTWLEQHQQRLTTVFTEGLKEKLRMDDPSDPE WVIEHSLKAKLSELRGVEEKREERLKKAREREKKIRKNMGMGMNKGMGVVVKKPKVDG GTDREDGVKKEEEEFLPDDSEQGDPEGPYISKEVRDLMNKYEASRPKVNAEVDEEEGE DLPKIYYTSRTHTQLRQLTSELLKTSFPVSDSGSDLTNDYPNFSFKSKGVSLVPLGSR KQLCINDKVRSLAKSSGGGDERLNEACLDMQKSGKTRCEFLPTKAEEGIMLDARDSVL ASVKDIEDIVAIGRKSCVCPYYATRRAVKQSQIVTLPYNLLLQKNAREALDINLKDQV IVIDEAHNLIDTLLSIYSTTLTSNTISGAIIQLQQYYARFKNRLESVHSLKIRQVLSL LQGLTKVCQDFINAHTGKGKVKTEVLNINELMERIGKGNDQLNPLDLVGYLKESKLAR KISGFSEFVLEQKEKEKQDGTSTQRHASIASFHIVESFLLSLVDSKDDGRVILSSEGG NSNVVTIKYVLLNPAERFREIVEEARSVILAGGTMEPISDFLQQLFPSIPKDRFSTLS CSHVIPKENLLTQVVCVGPRKTELEFKYSNRENDTIMTELGSVIQSIIGLVPDGVVVF LPSYSFLDKVRTTWASSGLMSKLGDKKALFFEPQTSGDVESTLRDYALAISAGDAGNK SKRTGALLFAVVGGKLSEGINFSDSLGRSVIMVGLPFANVGSVELQERMKYIEGLPGN SKESSREMYENLCMRAVNQSIGRAIRHANDYATILLIDKRYGTPRIKKKLPKWIGQDV KIQNDFPSVAKNVALFFREKREKERGSRSN I203_05388 MSGLQLNTAVPIRSPSTIKTTLPSFDDLLKSLEEPSTVTSHHIR NPHHVHPHHVHHQHVVHPPYPHHHQHHHHYRPPSTPPTPRLSLSGSSSSEEDQINSAT SSRSSSRLGGRKRRSNSLPSISRTHMNSPFRELSVLPHSIEHHTNRIQRLKEGDGEWM PYSLNAVAPSSLPYSALARTTTLPPTIFDRIDSPCSSSSFSSGSSSNAWSPSQGGRRN TKKEILLTPPSSPPLLPIPLPDTYSYTSKNNSDTLRTAYGHTSKIDEREHEHLTLTTQ HKRTYDSIFHLESIHEQPFKPIAKRQCNAVSNPNERLWHFIGEKGCHLHYSHQHGYRP I203_05389 MVEAVLNPIKQATENVVNAAADFVSTGAITADSAEEDAKKIADI PKEDDGHRIYIGNIDYTTTKEQVQEFVAPAGGEIKTIDLPLKFGKRPAGYAFVTYTNE ADATRAVEQLKDKGKQPRIELARPAEKVLEIRKAKDEKRKERIEANNAKKAEKAAESA TAAGAAPEANGKPAEEGEEKPKKKKNNKSKAKKSRRRLPGEGDEGEGEAEAAGDVKPA SKGRIDVNGADGETKEKKKAAPKPKKERQPRLELTGDDSKNTIFVANLPFSVDDEALA TIFTNLSIKVKSAKVVKGIRRPRPGAARSFRPFRASKGFGFVEIENDAEQAQAVEKVD GTLVEDRKITAKIAKEMKPIELEQAQAEANGNGDA I203_05390 MQVVDFLGSPRFPTILETLSLCPVVLLVYLLDTFLLKPLTSPLR HLAGPPGGYGINGHSAQIMDLHGSTVHDWISTYGSTFLVRGPLGIHHRIFTLDPRALS HVLNNTQIYFKSPLLRNLVRRYMKEGLIVAEGERHKLQRKVAQRLYLWYLIPISALTV RSNMAKGLKPDQKLRDHEITGQLSTFMFAGSDTTAGTIAMGLYQLAKHPQVQETLRAE ISGYGDNLPYEQIDELPYLDAIAKEVLRINPSLPGTVRQTQQDDVIPLSQPVKLSNGK IVSELRIRKGQLVHIPIEHLHTSKGIWGSNADIFDPSRFLGSEHLEPSKTPLTASFSS TSTSWPMGPTGPTGDQPGSIEPIPRKTSIPSNVPSGPGVWPNFMTFIDGPRRCIGYKL ALMEIKIMFFKLIKDFQVLPKEDQRVWRWNMMSTRPYVEGTLYAKGSSLPIIFKHLKG EDETTSDISISKEVE I203_05391 MSEALRSFTKIVKSLGSREGDVPIDPKDDWGVTSYGDPNTNYGT TYSAPQSGYGYSPAPSQGGYAYPPQGGYAPTYGGYPNIPAPNGPNASAYGAGGGFAAR TASTAPDAQTSTTPYSQGSGNPYDNFGQSSPASSAAGFPAYDASHTTGVHGGPDSTGG TQH I203_05392 MMRSLLSPLSLFLVLSLILLPNTMGVKEEDFKKCSQSSFCRRLR SIANKQESAPSGTFNSPYSLSPPQAIQDGAWKWPLKSSLYPEIKFELRADVLAEGDGM IRLRIDEIDSTTPFKRYHETARWALLDTNPSLSSSATIKTTSGKSTITYGPSSSKLSL EIQHSPLKITQLRNGKPEIVFNERSLFHMEHFRIKDVEKTEEILSESEQVVLKGEEQD RSWFEESDIDAFEETWKKWKDSKPKGPEAFSLDLTFPGVKHVYGLPEHASPLSLPDTL GPNAHYSDPYRLYNVDIFEYLADSPMSLYGAIPLLHAHSTDHSVGVLNLVASDTFVDV RHDTDAVRTHWVSESGILDILLLPGPRPQQLFEQYAVLTGPTSLPPQWSTAYHQCRWN YNDEDDVLQVDRKFDEADIPLDVTWLDIEYAEEHRYFDWNNKFFPDPVKMIDAVASKG RKMVAIIDPHIKKTDSFRIYSDAKDLDVLIKKSDGNNFEGWCWTGSSVWVDFFNEKSW TWWTKMFDFKTWKDSTSALFIWNDMNEPSVFDGPEISMPRDNIHAGGWEHRDVHNING MMFHNQTAHALIARETPAKRPFVLSRSFFAGSQRYGAIWTGDNLGDWEHLAGETAMLL SNNIAGMVFSGADVGGFFGNPTSELLVRWYQAGAFMPFFRAHAHIDTKRREPYLFDEP IRGYLRDIIRLRYQLLPVWYNAFHDSSLTGVPIMRPQYAVFPEDEQGFAIDDQYYIGG SGLLFKPVVQEGAETSQVYISDAQPYYNYFTRHLYPAHARQTVSLSTPLSTFPLLIQG GSIVPSRQRVRRSSPLMWQDPFTLTIALSKEGKASGQLYLDDGVSYGYEQGEYIWRKF QFDGKSLSSTSKTNTPASSEEKGVVSYDADNNTWAQAVSHVKIESIIVLGLTHKPKSI KIGGQEVDWVYENGVASNGKKEGQSSLLKIKNPGVGVVGDWEIAFS I203_05393 MSIRAISLRRLPRQSSSSILRPLLSRSYATPQSPKPSRNPPPPA GLEGLFSGKGGTTVAPKPPGSEPPSGPSGPKKPDVGLPGLGGEEQQRPEDQREPPKDE EPKRQKLSEQLGGKAGKKVGLGGNGGSGGSGGNGGPSGGPNGMPGGFGGMTPNQLLLA ALSTYALWSITQPDDVRTKEITWQEFRNSLLARGLVSSLEVVNRSKVRVHLHSPLNSS SSPQPNATGSSNLPSPDHGPAPYTFTIGSLEGFESLLISTQDELGIPAAERIPVSYRE EISTFQTIMHFAPTLLIAGLLLWMARRGGSAMSGGGPGGGIFGVGKSRAKMFNKDEQV NVRFKDVAGMDEAKEEIMEFVKFLKEPAKYEKLGAKIPRGAILSGPPGTGKTLLAKAT AGEAGVPFLSVSGSEFVEMFVGVGPSRVRDLFANAKKNAPCIIFVDEIDAIGKSRGKG GSFGGNDERESTLNQLLVEMDGFGTNEHVVVLAGTNRPDVLDNALMRPGRFDRHIAID RPDIGGRKQIFGVHLSPLTLSTELKAETIAEKLALLTPGFSGADIANVCNEAALRAAR RGGDAVTEEDFDGAIERVIAGLERKSRVLGKDEKKTVAYHEAGHAVCGWYLEHADPLL KVSIIPRGVGALGYAQYLPKERFLFSTQQLLDRMCMTLGGRVSEEIFFGRITTGAQDD LQKITKMAFEVCANYGMNPEIGPISYGGRDQQSEGFQKPFSEATAEALDRAVKKMVVD AHTRTTELLTKHKEDVEKVAKLLLEKEVITREDMRLTLGPRPFENKDEMDEYIEAQLD KHHKEKTEKEQAEHTDGPTPQLAYKPIDINKVD I203_05394 MFTLKSLSPSRASLSIPSPGGRINSSSPNRSNPTSPSTSPGGRS PSSESGYFPIVTTPTARITKSRPIGKEEAFKTVKNLEDVLNAWNEYRLAIANVGKAGR KLAGALKDLASGGGEKTDVASQTIGPTANMLDNLSDLTLKLSRKIDKEYDEVNSDALK YFNLLAKESRTHDAYLGAIGKKHDKAEKAFRKASNTLSETSSAHAGLVALKVTLSEDI NRANEDHHTLIGTKQSIILLKIASSSGCLAEYILAYFSDGLRKSGQSFPDIEYFRTLP DIKWRSSLPPSLEEEQAEERFRERIRGVKAKVALGELDMIGKGLWEGTGGKGSGGHSI QNTSNEAIVTAAVGTTTENDLNRDIVEVKTGGLSPSPSAKESLKDDTRYVSDKSEVKP QSIITQKEGTVNSSTGNKTSSAERPQSPATPQHTSPPIPHDTRPSVASRSSTAPSHSS KPSMSTGTTSSTSTRSDAPDGRRPIPSGLVVTPKEPDSPKGPRYRDRTMEADRPISRY EDARPVAIARSPEGARPAPIPIQGHNPRRSVSNIVNDLQNRQHDEGYYKSPLPLPHLP QPLRHVSNQSFPSSSYYADPRERSFQHNQNHHTFMRGCELCEMIYERM I203_05395 MSSPSACVSPGPREPDFAGLANTSGIPPFFMNRSISDRWEMKPP LPLSQSRAYVSPDTSPLEERFENNQQCDSVSPTDVGLQPSLSNHPREFPHPGRPPLLP SETILPQQQPQQPSNRYFTNTLHHQGGFWQHNGNDQNDPWFNPMMLPQMNKQKKNKGQ KKVEGKQPTFLTKLFGILEQPEYHHIIRWDETGEAIIIENPEELAEKILPVVYRQSRF ASFSRQLNIYGFNRKLSLRHVERGICDPDASTWSHPFLKRHSTKAEILSFKRRVPPRP TQAQKRRMSLHEEGLSPTSSEHSVDFHSPPDAYQHHLLPDVEEDKPFIFPHPRGSFLP QGLMGYQETFHAHAPPPEYHAYEQNSPTAFEFDYSTPEEADRRSPTIPYPQQRPFHML KIQPLTVFNHTDQRLGHDLGPQSAPANTTAYPIDIKVTQQHTRTRSVQGEPPSAMLYS PSSPFNPSSWLTSTGIGDVPEPQPQQQQRMKKEESISPTSLPQRQFGGYAPHGQNIMN PNNHFPAWWDDKRGDNSYTTEYDNSPQSLPTNDFEPGSGSSNSLILPQIQTQLPPTGI NQSQSLSILSPDSPTTISPGVYQPGFSFPPSPRSRSYHTHTPTPSSSFGKITTSTRQE RRATLSSSTAPYPCTSPRNKVSSLLSSTLIGGNRTIASRRGSEAHSSALGLRLDGIDR KDGGNGDDDDEGNEALPSASIKFEDKHPFEGILGGEEIVD I203_05396 MSTSTLSYVIAYGLTIILQQEQGRVWTVGVLQGEAQQNSHSEIE KLFFDFLSGFRINGQFTYRDALRSALLLHHHTLEVDLNDMIAWNNELAQKIQQNPGEM IPLLESALLRLARQLLHPTHDQTQTQGQGQSSTPAVDSIPDMQVTIRSGMNLLQFREL NANTLTTLVRLPGIVINASQLSSRATELHLQCKGCRSVKTVKVPSTLGGERAALPRRC DAPAPQGQPKDCPLDPYVILHDRCRFVDQQSIKLQEAPDMVPVGELPRHMMLHAERYL TGRVVPGSRIIATGIYSTFAPSSKNAKTSGAPALRQPYLRVLGIELDTSLASSPGSRV FSPEEEEEFQQLARSEGLYERFANSVAPSIYGNLDIKKAVTCLLMGGSKKILPDGMRL RGDINVLLLGDPGTAKSQLLKFVEKVSPVSVYTSGKGSSAAGLTASVQRDPVSREFYL EGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAMEQQTISIAKAGITTILNSRTSVLAA ANPVFGRYDDMKSPGENIDFQTTILSRFDMIFIVKDEHNELRDRTIAKHVMNIHMNRQ NENEAVGEIDIEKMKRYVSYCKSRCAPRLSNEAAEMLSSHFVGLRKEVAQVERDNDER SSIPITVRQLEAIIRISESLAKITLSPRVLPHHVEESIRLFKFSTMNAVSIGSGIEGL SRTELNDEIDKIEKELKRRLPIGWTTSYQSLVREFVSGQGYSQHALERCLYVMEKRET IKFTGMKKVVQRIGV I203_05397 MAPKKRPANVVRSGNADNSTKPTKEKGKDEPKKDEGPARPPPLF PAGYKSPLTILNEKCQKMGWERPVVESRPNRGTDPQTFTGFVILKKRVSKNIYNLDEV RMQSHTPLEIDSSAMARHYAATYALFRFCSHLPMAMTLPPSIRPYWSTLTAEKAAAPP HRSWEYDPDPFAAKKEVEDRQNKKKDKEAAREAEVDCRSAGPSGRGTPVAREANQGGG GTAWDRAPEVKMASSLRETVEATVRKMMQQFPSAVLEASRDATTTASTAPSGMSTPAL DLPFLQAQLTNLGFRSTHINSALSALSAANARLHSSSSSTKDPLVLSLSILSPLEAAI EWLLLHLPEDDLPPRYRPSSSSADFITGASATSGGKTALVKGWLVDKLVKQAGFPRKA VETILAEEQRESVVLDLLGRRLCGWETDEHGWGVEEYGTGWSGDESAEEERKITREEE IMTLEAVLGERYQQVSPTESIIGIESENTADSIQLHILFDQHSPYPSSQYPNHPTSFF ISSDTTPAYIRLHLHAQLLRAFRDPERHDLTSVLEAGSGGAILIMVEHLESTLSSVLE TPPDVGEVTKYLVPKVEDVIPTKSDTPVKRKQKQNKESYKRKIPTAEEEEAVRKKQKG MMDNPSYAPMLADRMKLPAWKERDSITNALESNRVLVVVGETGCGKRHVTQLPQFILD HEINAGRGAATSIIVTQPRRVAAMGVAGRVAQERLEDVDKLPETVGYAIRGERKSSAD TKVLFCTTGVVLRRLGSGDPDLLGVSHVVVDEAHERGVDTDLLICLLRDLLERNKTIK VILMSATINGYPDYFGGCPSLTIPGFTHPVTDHYLEDVISEIKYKPQPSRFGPRQTEE QKAAIRAEFAKLKLDLDSQRALEILAGSDRIDYGLVGAIVKHIVDNAESTAGAILVFM PGVMEIRQCMNELQNTALGLVEIMPLHANLSSAEQRKVFLPTAPKRKIVVATNVAETS VTIPDVIYVVDGGRVKETQYDAENGMQKLVECWTSRASGRQRRGRAGRTQPGQCYKLY TRRTENNSMPRFPIPEILRTPLEALFLQVKAMNEDTDVKEFLSKAIDPPKMDAINAAW QTLQDLGAVESEDHKSRLTALGRHMSMIPVDLRLAKMLVLGTIFKCLDPILTIAALLS SKPLFTSPMEKRDESKKARESFSWARSDLLTDVRAYDACMAIREKGGSHGAVRQFCEQ NFISPTTLRDITSLRNDFLSALSSIGFISSSNKEIAKFSVNSKFDNLVKGVIVGGLYP RIARIAMPKAQFERVQQGAIQKDHEAKEVKMFDQSGRVFIHPTSVLFNESGFKSGYLA YFGKAETSKVFLRDATEVPLYGLLLFGGNITINHWAGGIMIGKDGFVKLKAVTRIGVL CSQLRRLLDAQLSEQIESPHAVDMSGHEEVVGAMMALLQRDGLSL I203_05398 MCPRMIPSWTREAIKNKYATAMDQVDEYDIEATDELVKQAKDEI NTLLAEYMRNNGEVITARTYAIQAGQAWYPSIGREDQMISTDEELWQYADTAREPSFQ I203_05399 MSYQIHQPPSSFFDPTNIPVTSLNCPPNYPETYLDRLVRSKQSV SPAPSSAACDQFYNNNDYSYNTYNSRPGMSAAAVSPMSSNQPHTMMANMHLNMQKNMM SYPDSATVRQPPALNPAPPTKQAYPTPYAAQAQNVAQKPSRFQQLLDQKFAAEQRARN ATAASPQPSHQNITTSSDYLQHVRSQMTQQANDTVFHKDFVSNQYTQPFQAQQQPAQP PQLVNKPQTPQVYPIAQNPSQSYTNVPAQYPAQQQAPLPQQPQSQPRRPSEYSPSAHS SNNPINVASFNASHLPVNIAPSVQSNSGPPWRNTPYSQLPGAVSSFNTPQLISSSSVS APPPVSQNDNRNKQWIQNAGMNGSTNGPVNTGNIPLAPSHFQQQVAQPQVTPSFSWNV TDEGEESSYNAHTSLDRTSVRSPSRPSTSSSSLPVTPTAATQYSQCPNNANTNRSTPF LTVKIEPDTHHLTVPSTSQPQNISPTSSGSSSVGGNSPLLEYGARMFTNMNGSFSLPG PAMYMASTNGGGAGGPSTLANGMAGGDGGGGGEDWSNGGTGEESGSGGQDGSGSGSGG DGNGNNGNGDQNDDNNTNGGGGSVKKGKKLTLACHFCRRRKLKCNGVQPRCDNCTKRN EHCSWDGNVRRRGPGKATKERREKAAREALAAGLTNEDSCHADTNTEQHQHDHQHIEG GEHDLVDLPIVVALNSLPDLQEDSNGEFRLDHSHLQSLGHDPNVISGQGGEEGLESIT LDRLPELHQDNDNNDDIPIDPALAALSAVLPVPGTLAELETPQTTSVKAEKRKSGVDI EGVMGLDDESAKRMKLDENTYIQDQ I203_05400 MKSLFSNLTKRTNSQSNTPTDDQSQSHISSTGAPLLPPISTGVL FLPTSSTPSVPIPTSTSERWVRPTLPSYAVSRAVDANADLPEYQDAVAVGPSSNNDDG ILNRAAAEYFGTSSNSHTDEPSRLTSASPTEEFALDISEDNGPSLEVEEDDDDPLIPR RRILDSHEHGQSYRRNTFSVFEASHQRPTVSSNLSTSLDNRNGFVDLPIPTSEPPIYS PSLGRDELRLISTVHLSADHPASAYFNAIAQSPPPPAGPSSSSNVAGGDSDISTGGKK LKLTLTRGGRRMNANGTGPLYIKLGREDWVEGRIEVGKVDRAVGLEVAIIGMINVSYY VRGQYTVLDTLPMARNKIQLFPKTSSSDETSANRQVQPPTTSSNDNQSNPDTPGLDDP PEPSTSSSTTNLLTPSTKPLFTKDGLPMIPPNTSFRFSLQMPTCHYKDTNSELPSSCD LQQVGMQANVEYVLRVKLIRKGLRFNETITVPIIYDPRAYITPRRIRALTSDDPLNPG WHTVGLNGGKPKIKTSLIIPAGAEGPGIEVNLLIPSPPILFLPSSGQLPSFPFHLHFH STLPHVLSTFSNPRESKFVIRLTRVTIFRIGIEREIRRIEIPTRAEVWQEGGERIMLG VEGMMNDENNRRRGSTASTVDRRDSISNDTAHGLSSSVPTSSNMVEGGPLRRFSSAGS TTTTDHVNTNTRRKSLLGDRRGSLSGLMKRRGSNSSQTPTGTLSSSPITSNLPPTIDE DQIHHPQNDNGDSSIGMVPVDMTSTEVHLKGLISLRPFSISSASSDDRRGTELLRKLM VQSFIVPEMTLTYVLEIGIEPRRGSVKENFGHVWGGGVIEVVWGR I203_05401 MSSPLSKELRKQHTARSIPIRKDDEVLIVRGKYKGREGKVTQVY RKKWVIHVDRVHIEKSNAATVPVGIHPSNVVITSLKLDSDRKAILERKGTKSSSSEDV EMKE I203_05402 MSGDNTPIPPSQPHRTSPNQPSNDIESSPIYQALSARLARTENA LSTLSTQVSQLTSIVRSLPQSQSQITTSPIRSKTATTTNNTSSVFAPFDSELPLPKPN ALPKSPFVPSTTPQPQSQGAIASTGSQVEIAALTQQISALSTSVAQLQRLQQQNQSHS QLTRQSSSSNQTPTLGTLGDGRHPGPLALGIPQRPLHHGVESLIHSNGPMTTPNSLAG GANNRPGMNRSFSSGIIGQPPGGSAGLTSGQITPSSEYPSNRLGHPHHPHTPSGLRDR EREWPNSTGGTGAGTGTASPGPGGSTGTGPLTPGGSGVAAPGAGIVITKWEHLNLKVD LLRSLSKYGIGPPNKIQTRVLPFMIKGSDIIAQAPPTTERIISYVIPALHLVQNLPPP PASYVGPSVIIITTTVDQAMQCHKLVRGVGGPLGIRSAVAAGAASSSGLPNEIAAMQR DAIHILIGTPAKVAEVMTSRGGLGGGECRLLILDEVDQLIARNLYDNVLNIAKLLPAP RRGGPGGLSMTGPLTTPGAPIAPFSPGLTSPYDAGRDSPFNPASKTPFPTQGSRFGTP AGNGTAAVGGPTSTAAATNGPGGANGIERQTCLFSNTIPTDVINFSQSLSVRDPVRVL VRREGGTNSQESVSSVTPGINLKHTYVYLTITGSAQQGSNNQNKQNNEIGPGTIGSGR TNGGQGNEEQNRAKEYKLDMLTKMLEDYPLWQAIIHVGTYSMLQAVVVRLQSRKWETL YLTPDMPPTQKKAILQQWRLSLSGNGPRFLVVFDVNIKPPEVPWSPLVINFDLPRSVE GYAHRAAAAVPPSNRQGGAQGQQVNGVIVSFVQAAGGDVEMLRSTECAYRFKSAEIPA VFHDLFNH I203_05403 MSVYTGESRGELLAWLNELLEPVPITKVEQCGTGSVYCQIIDSI YGDLPMTKVKFNAKMEYEYLDNFKILQKAFNVHRIEKPIPVQRLIKCKMQDNLEFLQW MKKYWDANSGGMAYDAEGRAGGMIPTAPPPTSRTASSSARAAYSRPGAGIGGVGASRQ VSSASAAQVAQMQARVAEIEAHSESLLKERDFYFDKLRNIELIVQERMAIEGVTQEES DVMAKIQEILYATIEGFEVPEGEEQPLHAEEEETF I203_05404 MLPPSLPSRNGNGNGSGSGMIHPDDAIRQTDDDASSSRASAISL GYLTDPFSAILYKPSFSSGISSSSIRKPPLINIGTHHRTIGIDTLVNRFLDSDGGQVG KQIVSLGAGSDTRFWRLLSRNDTPNISKYIEIDFPHLTSPKAHRISRSKKLYSYLSPE YKISQGGTRLDSPNYTLLPLDLRPTPTKSIHQLLDEHLLPLLDPKLPTLFLAECLFPY MPPEDSQDIISWFGSRFVDCIGVIYEMSGLDDSFGKVMKRNLASRNLSIPGSEPFPTP RSQAQRFLDPSLGKGMFENSGVKTLWQVRGQVIDSEELSRISKLEILDEIEELKLVLE HYVIAWGIKGDKLTMSKITL I203_05405 MPFISSTLVRSTIATAKTKLPIPIPATVGNNAIKLSGLGLPFAF FSSSTNSKMPSDNYPVKKSDDEWHAILSPEQFRVIRQKGTERPGSHAYDKKNDDGVYH CAACDAPLYTSKTKFNSGCGWPAFYDTVPGAVIRHEDKSMFMTRTEIVCAKCGGHLGH VFKGEGFGNPIDERHCVNGISLNFKQE I203_05406 MISKPEPTLLEQIEAAGCLVDTDSMNPEIAKNLPIKAHDMTSNH LLVDEQLHNPENKELVERTIKELKGKSWLEVHTVLSVRFAKRVLPYIQGRVLVQASPR NAYNRDAIEAHVRAYDKVFQAEGIPRERFMVKVPSTSAGVQAAAVLNAEGIRTLGTSL FSLPQAIACSQAGMHSISPYFNECPAHVDPSIWPDVEDVATQHPMAARMRHIRDTYDR LAKETGKTQPLIKAASCVTARECMAMLELGADSNTILSDQMEDLCSTSKLPKYKKGAE HQVRFKNQLNNTEIYWEAWNKVEPAASKDRLKELATKDPLSKVMQKDWKIASTDINYL EDGVLDKYNKEDEITAIRLKDALELFYNGEENSRKEIERLQAIYNA I203_05407 MSAVPQSAASDSSVHRLGADAKPEKFSDVGHIEDIEASHQQHVA ARNRNVSAKIQNPLFGLSKEALLSRADQFCTEKGFDDKRDLFRRAALLSQKPDEYETI EELTDDDRYWLRRERTNKWDQTRALYFLVIVCSIGSAIQGWDNTGANGANLSFPVEFG IDQNTWLVGMVNSAPSITVGAISAMLTDPINHYIGRRGTIFVTGLFCVFPVLGQAFTR NWWELFICRVLLGFGMGMKITTIPIMTAETAPAAIRGALVMSFQLWVAFGIFFGFCSN LVFYQVGRIAWRIQLAAAFAPAVPLLVLIWFCPESPRWLMKKDRYGGAFQSLMRLRKS EIQVARDLFYAHCQLEEEKEAFIGTTYLSRFQDLFVKPRLRRANLASWTIMISQQLCG INIMSFYSSTIFAEAGYNTKQCLLASFGFGLVNTIFAIPAIYTIDTFGRRNLLLCTFP CMAVCLFWAGSMFFMDEGNGARVPVLALAIYLFTAFYSPGMGPVPFVYAAESYPLTHR EIGMSWAVQQNNMWAAVLGLTFPSMLAAMKPWGAFYFYAGTNLLAWVLCFFFVPETAG RTLEELDYVFAVPVPVFAKYQSSQWLPWFIKRYIFWQKSARLEPLYKLEGVAGERTQV ERFH I203_05408 MSQTEEKFNIKDFSQSYKLPNAAIFTLLTEFQTHVQSMMREWRN NKDTLRLSATLSTEAWKSVVNSATLCVRDKIFSDDLTELRVNAKTIDERYTEMLKDNN MYNDQTQQEVDDLVLGKVSILSEVCPDFGENYQTNLDRFEDDFQQWVSQTVRENGQEV QVDASRAIVALDVEPTRQVVQGIFNAFQELDAQRAQKRTQAVSSDEADSVDQTKLDAE TLRHVLAFAWDMDKAYGGTHHLTVERPEFEFDDIDPWGTTPSYNDCDAISSDQEGDEV EAIYDVVTIPVSERWTMIVDDS I203_05409 MANQDLPVLVAETNDVRPFARLLRGIGLRHNAVMEISEAGFAVT VEEVRTLCAIAWIPTSLFTSFTYNQPSIPATFEFNLDSLLQCLNIFGNAGPTSSNTAT SAVKSKRRWAGEGEVGVDEDNEEYQVSGRKGKERKTGMRMEWTGQGHDLNVLLSDDSR GPTTTCELRTMEPEELMNAQFDPDDMALYVIMKSEWLRDALFDLPPSCTRITLLAHPP KEPIPPREPNATVSSIGRRERRAEVGQFTILAEGDFGMTELDYPNDKEVMDKFDCAEK VKFSYNSSHFALLHRALHQSLKVCLQIEKSGFLCVQIMMPLSENVEIGGHSGILEFKM HALEEDD I203_05410 MTTHVKSSTTRYPSLESDTSRRFIRYTASTKVDATTKKSKAPVS SGVENLGPAFDPFAPVDDTPSVETTVGSKNDKIHIRLQQRNGRKTLTTVQGIPKKFDH SKILKAMKKEFACNGTVVKPEETGEDDSPAPVGVKPNLGDVLQLQGDQRVAVRQFLVD AGIVTSKEAKDSIVV I203_05411 MPRITKKQKEAGKAPKPKPQGKARSGGFQVKPSRAPKDAYMGKA HKIKADLIQRAKMKKSYAKILKAEGMDSGRLGDGSRRRNERSSESESKSNGRWKGKGR GGNEEDEGGVDEEQKRLEARAGPAPGSGYSSGSRPEKSSKLNRSRSNNSLRDKPPHQA NKSSSPEKIRALSLSPPPPPSTTQNVPAEKKSFREMKKEAFSKYHRPRDAPSAGGTIG KGGKRGQPNMNARMGLLLEKIKGNVK I203_05412 MDSDLSHEVDEHEGPRYDYTLPPIHRTESASSSSAAYHPSHGQN VHDLSLSTTGNAKSHPTKKRSAPEGGHADRQPTKNKSSNKKDDESSNNTPRRAAQACL RCRKQKLKCIGGWPCDRCTKSKNLCDFGRPGIAGNTSSSSSTNLLQGGNGSEANARLE QLESSVANLLAGLAGSNGHGSSSKTGYPNHELLHTFDPVKKRQETLQTESGAPYHPSG SQYTQSIPPPTHVKTLDPPRRTMSTSSFSNNNNNNLPTTSMSPDDLHSNPIGLSQNHV RFTSSPNMTSFISGNNQNHQSPSGLSSNGAGPSPASANTVGTGVGSVSVSSADLLKEP LVKKRKGKGQKAEERLAAATDGDFAEPPFKALVYQPAVWDNREQSRRNSPQPSQSTSR DEIPPGTYERRFMNDRDDPVNTELVDLQMAETLFGFFIDHCHPFLPIVNVALDDAFNT IRQSPFLISAIIAVAARFYIKFTSRSPGAMPELDQSVPPRLANLAESHLSNTLLRKQH ALSDVQAVLLLSAWGLQSGGRGPDAWVVTGHAARIARRLGVHKLLGQAAEIARLTRPG TLEWERLEQFMPQWRTWLCWFCFDGFLSLGFGRPQSTQFETVDEQGFLQLRINQAIPR PGSTPSISLYGDVYIAGQVQLTQIGRDLINWGEMLADPRSALWADPKRADMFHDKELN VRTMFKDLNKRLDDWSKLWVWSGSPYTLYLGSSARIARLQADHMRLCLNSFALKSGPE GDEVVAQCLKRALTAAMSTIQTHHESSQTDLALSFATDYLTITLAQAAVFLVRIAKSS PAVLQVVNIELSVISYYLKMSIELLEVGELSETRLSTYLSKTIRDIARAADITGIGFV TAPPIRGYGGGLEKERSEEGVESRPQSSHATGGPMDPLSASNVQDPSNDLTAFEMESF LQFENQLDLGYLLGLPGDGSALLPNTQQPTTHNTGSTPNLNNSNNPNSSININYNTNG TSNSSIAMFGDTASTPPNLGAGGFNAEFAFGMNGIGNFDFGLSGSGRFGDGDGGNEND GGE I203_05413 MIGQQLITFLPLLVTLASATPHKRTDDWSYSSSPESASDSGSWD SSNSYNSGYSYNSGESGNSYDSGYSYNSGESGNSYDSTWQGESSGSGHATTIKHETTI MTHLAYETPKYGSGSMWGGDLQGCLNFGGGSSYAQATTTSAPASESTAATATHTGGGS MTHTIVVAPTKGVLRFVPFAIEGNEGDTVEFVWGAGPHSATLSDGQNVRNKSTTTTAF DSGKLNATATFQTTIVGSSPQFHYCTVGTHCTSGMFGIINPPNNSTPPAAAANASSSA SVEASKTTSQKPSSTAGNGEGKGGCQSVDCWVSSYEAAGSQPKATVAAVKSACQGTDG AWAWGGKWDMSTLVAGGITKDTVVENVLYSRLMIAMNPTMLVAGSPVGNFTAPPPLNE FVAAAAPAGEDSTATVSAGSDGAVTAAATDASASASAAQASQEAANAGTSTSGAGTYI HHPVDIIRC I203_05414 MMRNAILRSTATAVASSSARPATRLGFASRPILANAQAQLQMNV QRGQRRMYHEKVIDHYENPRNVGNLPKGDQDVGTGLVGAPACGDVMKLQIRVGEDGVI SEVKFKTFGCGSAIASSSYMTERVKGMTLEQAGAVKNTEIAKELSLPPVKLHCSLLAE DAIKSAIKDYQTKRAKKLSTANTPPPSMGTSPSVATA I203_05415 MSIIPTASNIALPPWPPVYSEQQEEGEGYNSESGSSSSGSSSNQ LFTPGMSNASSSSASSSPIYPMKRPLPRSQGVQSCGLGLGVDIDRLSINTDFSIEKES IDEDEVLYHHQSQRVDRVKPNQANGNISSARTITENPQLPCPISDFQTDNLLSAPQPN HIRNQNNPHILRYHDESVAPLSQHHHQFQRCSTPIYNDPPSHLFEFGHGMGLGNGYDQ SSWSRRKIAFVTGITGQDGSYLTELLLSKGYIVHGLIRRSSSFNTSRLQHLWRDQHSL NIKGSTANKLFLHYGDLTDSANLVSLIAKTQPSEVYNLAAQSHVKVSFEMAEYTGDVD ALGTLRLLEAIRTCGLEKLTKFYQASTSELYGKVKSTPQNEDTPFHPRSPYGVAKLYA YWMTVNYREAYGMFASNGILFNHESPRRGRTFVSRKITRAVAEIYLGKQDCMWMGNLD AKRDWGHAKDYAEGMWRMLQHGKPDDFVLATGQTHTVRHLISLSFSILNIPLKWVGEG IDEHAIRTDTTPPRVVVRIDPRYFRPAEVDLLLGDASKAENELGWKRYWNFEMLVRDM VESDVRSAKGLVEDHN I203_05416 MSSIASPPPPQTPYTPSPLTASTSTTASEHRYTPASPMPSPAAG PSSASGSSKWKSVFKIGRTGASIGKGKENRTALSVEAETIHLGEPLPLPSNTASSFPP RVPQIRSHTDPYPPTHSGNLIEEVNSIVSKIPSQDIDHSGAVSNDSFHVNLVDSNVNT PTSEEQSRPFSSVTNDSGFTADNSSRSSGSRNHAITTPFGNPNSASPVKPPSHGVGLG GFKSRFFSAPHPHPIDTDSPNAKGKLSKSDKYKGLGKENGTATQMYGHKKSVGSSSIS SSNNGRSPSTSTSPKTPNKNNTSKRDTSSGFTTPSDSSGLTPSKSGSATARFLRRVVS APNTKALFSPNNLFQNAPDVPPLPTSNNTKQQQQQQQPISPVVVVGNGEIDLTSSPPS EQYTAASPFTTPTTIANATNGISLTPSVHQGGLSATGTRSARAHTTSAAQSKNIRESQ AQLGAGGSPGSPGEAHHKQVFRRTYSSNSIKTRSVEVTPSSFQKIKLLGKGDVGKVYL VREKKTDKLFAMKVLSKKEMIKRNKIKRALAEQEILATANHPFIVTLFHSFQSQDYLF FVLDYCMGGEFFRALQTRPGKCLSEEHAKFYAAEVTAALEYLHLNGYIYRDLKPENIL LHQSGHIMLSDFDLSKQSGEAGGAPAGIKHGGPNGMILVDTRSCIADFRTNSFVGTEE YIAPEVIKGHSHSSAVDWWTLGILVYEMIFATTPFKGPDRNATFANVMKNDVWYPENP AVTSFCKSFIRKLLIKDENKRLGSSSGASEVKSHKWFGSVNWGLLRNMTPPIIPAESN GIDAINFRTLRESKSVDFDRDDGDVIHAKAGNPSVLGNSTPGMLTPKEITINAETPSS SISGGGGGGGNNTNGGGAGGNSRDKEKNPFEEFSSVTRHVGEW I203_05417 MTVQNPLSYPTSKRPFSLDTFQDPPREYRGAPFWGWVTKQEKKS TLEQIDMFEEMGMGGFHMHTRVGLDIPYMGEEFMDIVEGCVETAKKKGMYAFLYDEDR WPSGFAGGKVLEGHPELRHQHLLFTPWAYGEEIGYTKPSFPIANAAPMRSELGDLLAT YAIKLDNGRLASSRRISSSDDIQSGERVWYAYAEPLPDSGFFGDQTYTDLLSEDMTKR FVELTHEVYKKHVGDEFGKSIPSMFTDEPQICPMSTLSVAEKLQDVFLPWTRNIVNSF KQAKGGDLLEILPYLIWDPVNQDANIAKYQFLDHVCELFASNYMGVLAKWCAKNNLYC TGHLNGEPTLASQAAQNGECMRCYREMQFPGIDMLCDKKELNTAKQAASVSRQYGRSG LMSELYGVTGWQFTFEGHKGQGDWQAALGVTLRVHHLFWSTMEGEAKRDYPGCIGYQS PWWTEYKSIEDHFARVNSALTRGRPLTRVAVIHPIESYWLCFGPKDQNSEELEYREKA FAELTEWLLKGHIDFDFISESLFPGQTDINSIGETLPVGQCNYEAVIVPNLRTIRSTT LARLQKFAEKGGKVIITGIAPTLVDAQTPPFPLEINKAVILPWSKAQILSALYPHRDL DMIVSDTTLYRAQGNRADSLFYQMRQDGNERYVFICNTDRKEPCPVVLNITGEWAVEA LETFNGSSWEVKTEQANGRTTFKYWFDGCESVVLRLKPGKAGPNARDQTVLRRHYKQV ADVKLQSVSLEEPNTLLLDYCDYKWNDEPWQGPEEVLAVDQLMRRRLGLFLKGAKFRQ PYTIPQSKRQAIGKLKLRFTINSKIDVQSSSLAIESPDKVNAYLDSTPIDLTPNGWWV DKSIKTIPFPSLSAGKHSLELEYDYGMLTNLERVYLLGDFGVEVRGRTTTIVPLNLDQ VEFGDITRQGLPFYSGNLTYHCTFKSPPSQSPQEGMDKDKLAIRVAHFAGPAVSVNID NKRSALLVHEPYAFELGQVEKGEHKIDFTCYGNRHNAFGAVHLVPNKTNWLNADSWRS DYDWWSEEYVLGQVGILNAPRVEIPGLEVPKQIRRGLVLHV I203_05418 MADTIAPLSLTQEKSADLISLLTDGLLHIKDQSGRFLLKLHDDT LVDTKGWEHPTVFSWEWTHGIALTALCHHSALDPSSPGAQKSLKVALDWFETQWKRTD GKGAPKNINTMSPFYSLACFVEDGRTKDPKWNGWIDEWAEWIMNGLPRTEEGGFQHMT YVNMHENNLWDDTLMMSVIPLAKIGILLNRPHYIDEAKYQFLIHIKYLADTVSGLWYH GWQFTPEKLEGGKGGAETNGGHNFAKGLWARGNCWITVSIPMFLDILGDKLPPTDPIY RHLVSIWRRQVDAFVRSQDPRTGLWHTLIVDPTSYVETSAAAGVVAGIYMGIRQGLIS DPIYRQCADTGLAGVIAQIQPDGEVANVSFGTGMGHSLQFYKDIAITSMPYGQALAMH ALVEWQRLQRGEGAASKA I203_05419 MVDIYVCIPMCIVYLVQQLDKGTVAQAAVFDLKESTGLVGSQYS WLSSCVYLAQLCCQPLSSYALVVFPVKYWVLFNFTAWSIVTICTAAATNFTGLIIARI LLGGFEATILPSFVLITQMWWTRREQSYRTIAYQIANSAAAIFGPLIAFGVGHVSSSI QPYQGIFLCMGAISLAGVPVVWYLLPNSPTNAKFLRKGDDRLIALDRLRENNTGTKSS TWKWSQVWETYRDPKTYMWAAMYLCTSTPSGGFGAFSGLITKGFGFDSFESILMQIPT GFIGIFTLLIAIYCTNRWKTRWAVIAIVTLFPIAGASAMVKVPRSQSGALLASYYVAS YPLAGIQPLLYSWANLNQAGTTKRVVVFATMFVFQCAGNVIGPQVYLEKESPIYSTGL YVDIGCWVVLVLLVIRMRVYLGALNKKQAARRAAMGLPESLQDMSIMTNEEAAAYKVE LTEHVKANGLDEAKLYENAFEDMTDYEYVYHTSLSFHTLSTQL I203_05420 MSTNLGIDEEKNVQQEEVKVLDVNPADLTEEKLEIYDVAAAFLA EVAKRPNAAELLAPWTPEEERAVKWKIDLIVMPLIWLANLMSGTDKVLLGTAVTFGLR TDLKLVGQQYSWANSATYFTCIAIVFPQSWIFQKFPIGRTIGFNVFCYGLTTFGTSAV KNFAGLIVCRLFLGAFEGAGHSATGMVVCHFPALFSTLSSVVNGLLSFALQFYNPGPI ARWRLLFVLMGCFSVMVGTANFFVIPANPAEAWWLASYQPGNDRQKVIAIRRTSSNQT GVMNHKIKWDQIKEALLDVKTWLIVSRSNVSLNIPNGGLIGFNSLIVQSLGYTVKEVT LLAIPTGVISWVSSLAFARLATKTRQPTLCTIAAVLVCLTGTIMLKEIPRSNKGGSLA ALFIMYCYWAPYIIFGSSILYANVAETSKKVAVFGISYWGYCVGNLIGPQTFRTPEAP LYRSAVMSMLIFYCLSILFIAAYGFICWRDNKRKVKKEEIWRASRPDDGVAEEWKDLT DKQVSLVHPGMFYCGIGNQLSVCHSFQNPLFRYSW I203_05421 MPIALRDLEKVRICIDREGTFCDVIAMTETKGNHLVKLLSVDPS NYPDAPREGVRRVLEWFTGEKIPRDQPIDTSRIEYLRMGTTVATNALLERKGERCALL IGFKDGLEIGTQSRPFLFQLAIKKPDVLYTKVVEIDERLALDWPGFKGDPAVTQAGEL VTGPSGAPMRILKPVDPGAVRKDLQALYDEGYRSIAIVLVHSYLYDAHEKQVEAIAKE IGFRHISVSADLQPMINLVSRGSSATADSYLTPEVRRYLEGFASGFKGGLDDSSCRVN FMQSDGSLCDFRKFSGPQAILSGPAGGVVGYARTCYDALDGSPVVGFDMGGTSTDVSR YGGKYEHTFETTTAGVTIQTPQLDINTVAAGCGSILTYRNGLFVIGPESAGAHPGPAC YRKGEPLTVTDANLFLGRLHIDSFPKIFGPTEDQPLDYEIVRQNSLTAAEVACGFVNV ANSSMARPIRALTEQRGFATSAHNLACFGGAGGQHACALAALLGMHNVLVHKYSSLLS AYGMALADIAVDVSETCQYQYNANTLPALLDRIEKLKSKAHERLIAQGVHEIDVTYEC YLNIKYRGSDTKLMILQPKDGDFARAFIEQHKREFSFTLEAPIEVEDIRVRGIGLGED AEKNNVSTYVEELTQLPDVPVAKDAHFASSDVYFEEIGKFSPVMLYKLETLAPGTTIK GPAIILDATQTILVHPQNTARILKEHVYIDVGLGPRKQLSTEIVDPIQLSIFSHRFMG IAEQMGRALQKTAVSLQIKERLDFSCAIFGPDGALVANAPNVPVHLGSMQYAVTFQAE LHKGKLRTGDVLVSNTPRSGGTHLPDITVIQPVFDDAGEDIVFWVAARGHHGDIGGID GNPMHPDSTESWEEGAAVDSLFLVRDGVFNEKDIVDIFMKAGKADTRVKATRGLDKNL SDLKAQCAACAVGSAQIHSLFGEYGKTVVQHYMAAIRTNAELAVRNFFKSRGSEPLIA EDYMDDGTIIKLRIDIDPEEGSATFDFTGTSCESLSNLNAPRSVTQSALIYSLRTLIG TDMPLNAGVLAPVTLIIPNDTILSPSLDAAVSSGNTETSQRVVDTVFKAFEACAASQG CMNTFHSDYEDMSYGETICGGAGAGPTWKGQSAVHVNMTNTRIGDVEVAGKRFPLLIR EFSIRRGSSGKGMNNGGDGIHREYELRADMMSSIVGERRVNQPYGMHGGQPGERGATY LVRKSHLGEGTRKVKLRPSAATKVKAGDRIIVHSPGGGAYGAVESDDSSRPSKRAKPN IVPAAKLAHPKLVARANGSLADYAATQESCD I203_05422 MSDLATSSGAPSGHDPAQKNMRKVTHIAKACVRCRRRKVRCNGE HPCAECAEKEADCIYEKDDRRRTKSDMDDVKDRIARLERMLQRPSSVRSESNTLNRNS SSPPPSAQTARSGLSIPTESLNDSHFSNFVNLDSLPADSGPSISNLRESNTTSSSSGY PDSALSSSHFDRLKSTSGNGPSVQYGATSIWTHDNYDAPTAPSNDSRGPELLPGEWID WGKNLPPTLMRVLTKTIHDRAIDHYAAYYASWCMVLDSEAFKRDLVICNISSIDLQPS SSPKWTSHYSPFLHNVILGLGLYYNREAWPEAFAALKECFVDHCTRLFKQEFERPPLS ALRAVNLFATWVQHLLSTYVNANCPLRCLNQPPMGAHDYGYTYYGMTVAMIQVLGLNI NCEAYVTQGRLSRLEYESRNAAYWAAYLYDLLRSISAGRNPMIAIPRPEIPFPVISGE VDDTPWCSSASSIGQETRLGYTLNGIKSMRSTVFHWTARLGCLLAKVVDTLYSTKNEP VNRDEVIDEISSSLNNWYNEQPFADPKFIPLPHVILLHIAYHLTRIFLFRPFYRSNVT SLEVSPASQCDRAAKSILGLLKLYDRYHGLRYGVGTFMNATFTSATVFLLRAVEDQAD PVDLNSRQSSKDIEEITYFMSQLAITFHEAGRGLNILQSLCSEWLPSLASNREDTGLN SQVEAIANGIPPSEMFDLQNGDSGNAVPTMGYQNFLAEFPMDDSFYNALLSFVGVT I203_05423 MSSNEKKCPVKNTEMARNYEEDRQSKSQETVYTTSNGCPVPHPY ATQRAGVNGPLLLQDFHLIDLLSHFDRERIPERVVHAKGSAAHGVWDCTEGLEDLCMA DMFKKGTKCPLTIRFSTVGGESGSPDLQRDPRGFAIKFKTQEGNWDFVGNNTPVFFLR DPAKFPHFIHTQKRHPATHLSGGDDSTMFWDYLSQNPEAIHQVMILMGDRGIPQGWRF MHGYYGHTLKIVKKNGEWVYAQFHIISNQGTKTFTQEEASSLSPDYGQKDLFEAIETG DYPSWTMKVQTMTATEAEELWEKQRINVFDLTHVWPQKQFPLRPVGTLTLNENPKNYF AEVEQSAFNPAHMIPGVEPSADPVLQSRLFSYPDAHRHRIGANYQQLPVNAPICPFRM ANFQRDGQMAFFNQGSRPAYLSSIEPIQFKDRAYDLNKVHGKFVGEAVSFLSEIRPED FNAPRKLWRDVFSAESKKRFIETVSGHMKNCKDQEILKRQIAIFREVSPDIAEGLEKK LGFKGYSGIKGMNFNGTHNGMTEKKIPANGMTVDNEVVFNNGAPRRSRL I203_05424 MSYGLLKGKIVAVTGCSTGIGRAIAIGAARNGANVILHHLGDST KKDIEGVEAECSKFGAKTVIVPGDIALESTATAIVEAGVSAFSRIDVLVSNAGICPFH TFLDLPHDLWKRVQDVNLNGAFYTVQAVANQMAKQEPKGGAIVAISSISALMGGGEQC HYTPTKAGIKSLMESCAIALGPLGIRCNSVLPGTIETDINREDLSNPEKRADQVRRAP LGRLGEPEDLVGPALFFASDLSKYCTGASVLVDGGMAISLQ I203_05425 MPKEWPTIAKLETFVPSAQGAGGDYHRQGKGHWIIQGDISCPMH KYPDWRESRAKWGIGVLGSLFVKITATDGTVGYATGFGGPPACWLIEEHFSRFVIGAD PRDTNMIWDQMFRASMFYGRKGLPLAAISVVDLAIWDLVGKIRGEPIYKMIGGRTKKE IPLYLTGPQPASAKKMGFWGGKVALPHGPPDGLEGLRKNVEYLKKKKEEVGPDFPIMV DCWMSLDVPYTLQLVKACEDAGVKIHWWEEVLHPDDFDGHRTLKKAFPHILFTTGEHE YSKYGFRKLIEDRSIDILQPDVMWLGGLTELIKVAAMAAAYDIPVVPHGSGPYSFHAI MSFPNSDFCEYIANSPDGHTVWPSFGNLFTNEVLPVNGKVNLTDEPGFGLELNPQAEL VPYSHFFKPTKGIGYASEKPEEITQQP I203_05426 MPKIPTDKSPSTSSDARRNSTNNPLSITCAPCRAKKIKCDSNKP TCLNCAKAPSECFYPPKLKPGLRPGTGLEMIKRVELLEERIETYEARLAEQENRLAQL QSASGPSFSYDTAQTPLNDPFLQSSHSNTFNPLAAAGGAIAPAQTNLNSLPTNISNPS VQSLPFNTDPSPTNGFDPTSLNISASPGVNMASPSSFLDPHILPADDIVRDLLTLYFT HIHPWAPILSPIMPEFKPPWTIVHHAIVVVALRLSTDPRLASTKDLIKKRAKQHVLAH AVESTSISSVQALALLALDLIGSEQGPSSWGILALLTRSAVHLGLSKEEEAPSWGGVA PLPALSRTIIIPPPTSWHEDESRRRLFWLIFCLDRYACVATGWDFALPDSDIKRRLPC SDAVWARSDWHQSPPFRSIFQRETLYFDLDDVSPMAYLVEALDLLGRAHTLQSQMLEP GDARAMSNRKDKTLQLTAATKRWFANAPLERIDQPGMRLMIQAAYYATLLKLNGNHAY PAHGEPEEPYVSTCLDSAKAMANLASTARALGWMTTSSPLFIWGCWVAARVIFVHAYL NHQTQPDENFNTILAALKEQALYWSLANQYVKLLERAKRKWQKSLSGGGPSASLPDAI HVLLDFHRTAYSAVHQNQMQETPHVTPPEHDLAHLPVWAVQPGLGDLYSWFDLPAGLF QADTAL I203_05427 MAQQNTRQVLPFRRIVTTHTPDDIDGSSVTLHDDQIPLRPVLEG DAHISPLYSSSGVPSHNPHVISAEHVTQSMANVPGVVFPGGTNGQVTDVAPNYRIGFH RTSSIDYNVFLAGSAYLIVPDGKGGESKTLVKAGELVVQTGTLHAWQAGPEGARWVTV VVAALPVEKDGKVFEDVDF I203_05428 MSNPNHTAQPSHQSDQLPSDVGSYPAMNDSGDGHSNQSSGQVSP LRHGHGHGPTNFGFGDTPISDIPTTPLPHLNPRFFDQGGQPIRQRIHQYPFTSSSSSL KYPQQANHNYDQYANHNYDQYPNLFYGNFQFKPLPQINPYLPLHQYQYQHPSFHSQPY HLPYLAQYNQQPQYPSQLNYPFNVPLPNISSAQPGGSSRPFGRPVSSNIGPSQHLLQY QASMFTGTQVANTFGLTQPGVSIDNRNGISISDGSSFNSGVKRAFSQVDQKENDKSSM NTNTDTNTKRSRQSVRIKVKREETQGENWEDACKDMFELFSQCGSIESIYLMKSNTVS GILRGKIGIDFEEKLGYLKALNMPEEMRVYNSEKIEIITRRYEINKTWFLAFESELHH LQKDAIMYASKIGKRSATRFGIPPSALNRDIQPVDPVLSVLPFEELPQDSSLPRRFHL GQWKKYRESLSTDKNKIKDIELDDDDGGGHTEKEEVNVAHVKDEAEIGEDDDGK I203_05429 MKFIFLLSLIPIALSLPQPQPSSQHSINPPPHVQNFIKKRAFGA GDSGIQGTSVASEKDTSTLTSLIMFQPSSEPTTTVTGTTPVPSAASSSSTSDEDDQIV SPFHPSTNDDDEEEQTDDSVQTLGQGQSAAFNPTPSTTLSLLTNSLATASATANSTKW STTGSTSAAVVAAASDTGSSTSGSSEKMNGVGKDRILILGLLGVIVAYLA I203_05430 MTLSNLSLYTVTALLILDTEGQRVLAKYYNPPHQSTPSTGIVND LGVGQGGPGMGGLISLKEQKAFEKSVFEKIRRGGGEIHPLPPHLIITRTIVDLHFIIV GPLSSSNELMLNQTLSAFTDSVHLLLRGQIEKRNVLEGLDLVLLAADETVDDGVILET DAAAIAARVSRPKADTTDIVINEQTLMNAYTSFRDKVSQRIQQL I203_05431 MAAVAQAPPLLPSTSSTDSTTVKPTENKSNASKPDKPNSTSSTS GKPVAKVTVSEATPVDEKGEVKKENGDGRCFCLPSR I203_05432 MKRIADAVSFASSPSRLSIVPPPIIRHNGFLSPAPSFPLDPLTT FVPSFSTPASPTPLPLASLINYALIVDPDDDVISTPLTSIITPFNPIGIPHTAKSPKA VGEPLGPPPNPDPNGESNPQRPPIRPNSGIRSRFDQEPNPFEQSFSRESHHSSSSLSD RATPPRGTDATSTRHNALPPLSSLTSPAAADPSQFPWLANSLRSGPLSPAMLAGPQNG NPQTNNANNRAGGPNEANGNQSEGAAAFESTGFRTGFTPGTGSGFTPAGYHSFMGPNL GALAMPSPNTAAFLNSITNSTPLAEGSDVAAAAAANGGHPPIPGDGNNLQPPSAIPPH LQQHHGLPHTNSQNEVPQETITPNTLSALTGVFGEMARANQGPQPGPPYFASAMGPPH PGAVPVPMPHVDYAQQSANAASQAANGLFLLSQAHQELSKREEEGRGGTPVRGGRGQG KGANGAQAGQKRKSDVGGGPGSAKPAKKGKKNSMGGPGPHQTSTPPKSAKQESIDSGD SDDDDGKFDMGNNDGKPETEEDKRKNFLERNRQAALKCRQRKKAWLNELQSKVEGLTI ENERLQQTIQSMHEEVGRLTAILMQHRDCGLAIPTAYGRPIR I203_05433 MSSRLNGALSPPIPLNNRSGASSPQRQKFPSDTLRPYIKTLLTK TLTNASWDSNDKAKMAAYSKEISERVKQRMIEIEPRGFKYIVTTTLSENVGQAGRADM SCHWEDTDSAIQEMFSNESIIFVCIAFAVRLP I203_05434 MSIPPISLDSSWRAESPPNRRSGAGKEREVIWDVDNLPNGLDAL GLISPPEKKDEIQKHKEKEDRDGPIGVKVLAKIMDTSKGRDKVLKCMQYSLRTYLYLL SLISKIRPLSPWFKSNSKRMKIAISGLSMTRKCLLLLNPLHPLSDLLSHEPMSPRTLV GHLIDLFSALSDDIYCLAKLGLVGKRTGNIADVWANRFWLLTTIMGLYKLHLKTIPKI ANSSASLDKRRNELKDANWTNRKLLADLAFVSYDVFELNFPLIEEPMKCFTGLLSGLI STFKLYDQHWEASVGKG I203_05435 MAQAQITPERNHDIVPVSLDMDEKNNELVHQDHLQTAEEIRRTM SKGGQVQMRSKHDDLGVWAAVKQNKKIALVAMAAAFSASLEGYQINLNGGIISNKGFI AIMPRDAKGAILGKYVSAWGGIQSTGQTLGQIFLQYLTDYLGRKAAMYTLFVFLVASV IAESLVKGWETWLVAKLFSGIGVGMLQSTLPVYLAEISPVQLRGLFINAYTFWFCLGQ LLAGVALNKLQTGHFKTAIYTQWGMIGVMGIIFVLLPESPWWLVSKGKLDKTSKVLQR YYGHIEGYNVSDEVAIMTATVEAEKQTAAREGQEGHWAVFQGRNLLRLIIAAWPKIIQ QFVGLSVFNTYSTYFFQLAGNKNPFLVTVILGCVQLLAMLITATLSDAVGRRPLTVYP YGVTSLSVLALGIIGCFNYSSKSLGSLLIFFACLATFTTTGASAIGYAYASEIPSQRL RAKTAGWGLAFSNMIAIMFSFCTPLMLNGNAHWGVKTGFFFAGTGTISTIVGWFLLPE VTRRSPAEIDELFEKKVPLRKFKGYVTEVQMVAEENKRIQEGH I203_05436 MVSPEVQKTINTLQANWVWVPNWTDSSSDNTAARLVSFTRTVNL KSKPSEALLHFSADTRYKLIVNGHRVAVGPSRSHSTIWYYDTSDISPWLTEGENKVEF LVIRYFAGSRGGMPFERTTFPGFTCTGKVGDEDLASARGWTAVVDDSRRYPTGLQDDV FLHINERVSPITVNQSVTPVPYSLKTLNGELAPWRLRPREIPLPESSSVSVNTINSIQ SNSTQDEWVDYLSGKSVVSLGGNTKHSLDIQADVHSTAFVRWSFTSKKDTQIKLKLTY SEGYELEPRFYPWLRTKADRLDSKNGFLLGPYDEITLDIPAGQEVTYEPFWFRTFRLI RFQVEVGAGPVELVSFNATQVNYPLDVKGSWQEVGDEYSERIWDVSIRTLRNCMFDGY SDCPFYEQLQYSGDSRSVGLFHYLISGDDKLMRQAITNFAASITPEGLTQSRFPSHVT QIIAGFSLYWILQICDHHLFFGDTSYSKSFVPKIDGVFEFFDQHIDELGLVSGISEDV WQYCDWVTTWSATDDHPDKGVPTSGRKSNRHTYLSLLYAYVLKQAAGLLRQVGRPGNA AEYESRAESLRKAIKKHCYDGEYFTDSTSDIAKGDDLAYSQHCQVFAVLAGLTTTEES IRILKGSFNDPKFSKCSYVMMFYALRAFSQASDELYESNYATIWNPWKRMLDNGLTTW EEDDVRQRSDCHAWGSVPIYEFCTEVAGIKVIAPGCSKILFKPRVSLSEELEVKVALG KDNLAQISWKKEKDGERKTVKLVLQKKIHVISQSPGGKEEDHGEVAELGFVV I203_05437 MSTSSSPHSSSSQLSPTTSHSSLPQSSWRPKVLLFGDRSGTKFD DELREIAEVYCLPRVGYEEMVPLIKEVVETEGPFVAFGGLFLITDNFPGKWDQGLLGP LAPHCKLYVGPGAGYDKVDVDWITSTGAMYANSPTQVGKRTADGALILILSVMRGLTP QDLSVRAGKWRANVRTLDWRTATIGIIGLGSIGTQVAHLLTSIGANSIIYHSRRPSST AHPAWGYRSLEALYAEADVIVLTCPLTNETKGLICNESIAKMKDGVVIVNVSRGPVVV ESDLVDALNSGKVMRAALDVFENEPTVHPGLMSNPNVTLSPHVAPAPDSMGPPMNGEV VENIIKFIETGMPLTPVNLGSLKQRG I203_05438 MVSHPSNFDNNNDHNESSRYPSSRSTLRTVPNNAATGLNEGYQN LRPNTNTISPTRAGFIPITSLTNNNNINPFRPDTFNMGQASPYLQAQPQGLPQSHGQG QPESGRRQFRVDLRMVDPNSPTQGQGQGEARTPTSARVIHPPPQYWSTNTNTNTLNST TINNGFTGRGQLADIVIHMPTPPPPADHLPSIGTITLSGFQTDYSRVIVGEDHLRRAP NSEDIRATFQMNDDEDNRPVPAEAYIIMRPHREPNRGWNDGIAEEMRLADGWTYELQL IQQPTRGKALGLGPLPRGWPALSAPLIVQLIVKDQNGKVIPVDHPILNRKLVHTSMTV DLVSEDGTQSRSFMRVRPREQDPSRPHSPTSGVPIDPEIYNRTQRNLLGALHRSANTF VLDGKKGIYFLFTELVVRNVGRYALKVSLLDLAGPMHIGTSIGITKTISSALTHTFTV YHGTEFPGALPVTDLSMEFTRQGERNLGRRTRADNNGISSEDDLMNLPSNSPEVESQP QLRSQPQVAPGPPFSSAIRGLGYSVPVWTEQIIHVHPQGSHIRRVRPQGQSRGPEGEQ RGNGGFERSHL I203_05439 MSAQAVTDSVILERLKSLQISHPDVISHSPVKGSAEWAAELSTT VPTLASVGLTKTLLFKPKTAKTATPTPVLVLAKDSTETSSGAIGKLLELKELRLASED LIKSVLPSSASKDDVSALALPANPTIDENIHLILDQSLAQSQDQHALHLTSSNTTILL KGQDIKSYLDSISKDNAVRLVDFAELKASAPAPSAPAARPAAKEKKTETKPAAAQEDD EKYNMAIKYKKDEDFSGWYTDVLIKGQMLDYYDISGCYILRPWSYSIWQTIQTWFDDE IKKLGVQDCYFPMFVSNARLEKEKDHIEGFAPEVAWVTKAGKSDLEEPIAIRPTSETV MYPYYAKWINSHRDLPLKLNQWNSVVRWEFKNPQPFLRTREFLWQEGHTAFLTKAEAA KEVMDILELYRKVYTDLLAVPVIPGKKSENEKFAGGDYTTTVEGFIPTTGRGIQGATS HHLGQNFSKMFDISVESPNKDEGRLLAYQNSWGLSTRSLGVMVMVHGDDQGLVLPPRV ALQQVVIVPVGLSKGEGKNQGIYDACLNLERELVKVGIRAKADLREGYTPGWKFNDWE MRGVPLRLELGPRDIAANTTLAVRRYDNHKSPMPLSDIGNTVRLYLDEIQKSMLEKAQ EKFDTCLKTVTNWDDIVPTLDAKNILVLPWCEGSQCEDDIKERSKSQANKGQAEDNKA PSAGAKSLCIPFDQDRFGKFPEGENQKCVQCGQKAKSWTMFGRRLLADDLIDCLLLK I203_05440 MSLNPLRASLFRPTTSMPISRIPQSISRISNRSIHYTFPRSTSN TTTSSTTHHAHVNQHAHQHASQAPRNGKKPSPHMVWYRDIVPAMIPIFLISTTLFLSL SLIRTHLSHSKSLSESNSKIQELESQLSQLRLEQKRQRVREKRERERILPLVVERVLQ RVGVVGGEEDEEVEEVKELPRLL I203_05441 MLPPAINFPKWLEENNHLLKPPVGNKCMYKGSNFIVMIVGGPNT RVDFHINTTEEWFYQYKGTMTLKVVDEGMIRDITIGEGEMFLLPANTPHSPRRVADTI GVVLEMVRPGDAIDTMRWYCPNQTHGDNLVQIREVTFHCSDLDTQLKPVIERWMTDDE WRTCSECGEVAAAKP I203_05442 MADVPLGFGVTARSTIDTRKLDPTGIIVFHQADVGEFLRDEDTM F I203_05443 MPGALREANVSRYLVGISLLLGVVLLWTASNFITAGLETGENSY NKPFLITYFNTASFTIYLLPTLWRKYRPSTTNDPHHRRSRASNGYLPLPRSRSPSHTR SISSSPRTARLSLEAEPEPTLQTGLQDYDLSPISETIVEVLPRLTIRETAEIAAWWSV VWFVANWAVNASLAWTSVASVTILSSTSGFFTLALGRICGVETFTRTKVFAVISSFIG VLLVTQSDSSLNSSSEPGSLPSHPIFGDFLALLSAAFYAVYVILLKVRVVDEERADMQ LMLGFAGLFNTIALIPIFPILHYTGWEKFELPPSKEAVIICLINFCITLSSDYLYVLA MLKTTPMLVTIGLSLTIPLALIGSTFIPSSSLGAITLMSLLGAGLVFVGFGMLGWQGY EETRTVERVVVDRDLVADEAEQ I203_05444 MSNAQQDDIPASRINPPLLAPNGLLAPGILPDGFKNARQLVEKA FWNPLLLLTDDLTFFPNTRSPDAHTIAMAYALCQAEEYPEYHQNLIQNERFPYIQIDM EGSSFDPNVDIHYKETVPIDSRCWPQLFYSHRNYVHHLLDGRYFTKTVSEEGQQGFMA MEEGDLLTMLLVHMNDEPVFFQKLSRWLNGMYWIHEHSSNFLTPQELSNLFSAAAENR KSILEECRKPYTQDPLHKKFKIDEPTPGLKKTNKGKKGKKEEKALPDHLLGRFTDPRG IAIFYVQEKRAKPGSLKDLVYYFSKFESAKAYLGPHYPGIERPKGTSLKEGIWVGSPC LGHWYARIFWQLQAEGHAHHCPFWILTDRFYTLFGQRMSDNQLRVLHCFSHVPPDDME RVLKPTPIEIYWNTGEQTAGLLKPISQLVTSDEYGRYEAIRTSKTSNMSFLEMYLRVS QHAAAF I203_05445 MSFTVDITKKLGARGRAHVSSGFRFFPHPNSAFQKNEPKKVSPP PTLKSSPGTSAPATMPRTPDDPASQIPDPNIHSVALDLHQRLSVEELAASNPKIAAQA VPPRSSSLHALEKYAQSQPAGSASPPIKQEPKAVQDPVVLLRFDKYLASGNIWDFWLC HHSIYGKVVLKIVDLRDPPCINPYYDEYIDPFEIVEHALQEEELLMDELKDLQGNLVP RYYGMYLSDALPNLEIPYLAMILEYAGEPLGPGFIDLHEDWRFRRSDSVDMKNIMDVH GAVMEAAIVRTRFGFHKPGSLDMSKEVPEYAAQLDDPKKFLDSLQKPKKDGYEESERR E I203_05446 MLTQIALLTILGSLVAAAPSVHPIKRDSGTYANCRSFYADVYAE TDQNVDLKSVIGGPPANQQALVESSIQTFAAGSTVMQQIMNATKIPVKGTYNMWFEYC EPKNNNGNVPGIFQTHHGLVGNAGYWNVQLDNSPNNSFAESAAAAGWATLSYDRLGVG RSAKPDGTNVVQIAYEIAQSISIAQSLRAGNLSDVGKFNKIVGVGHSYGSNLLTGVAS VGPQAFDALILTGFTNNATQGPLGLAAFQSTIASVAYPARFASDANDYVITPSVSVDQ TGFFHYPNYTNGSLDFFTNNKGEYTLGQQNSIAAPLQLKNNYTSPVFVVTGQYDAPYC AANCYVTSSSGSNSTTSAAGNGTATSTRPSQLDTAKELYPSASIFQTYVVPDTAHGIN YHTTAYEAYQRIIDFVKSASV I203_05447 MFEDDWDLDAYHEDIRTAYHQLRVIYPSLGPFRAPRANDSETVK SIFDNLREFMCSCPILFPEFVDWEKECLKGTRNGKENNQDTGKLKRMIDPLISLSHSL KRKLEEAQNDYDDLGEIFNHFNGEVYDDKGVNSWIKDPSAVNPPTPTSNRTRNNSTKS SSSKRSSDEFKETTSPKKAKVTPPKTTPLQINPFVSRPFTKTTSEPKAILNDHRPAFH RNAVFPGILPRTYSTSTQSTEYVEESLTLSRETSSTTLTSVTPSTSVSIIPAALPTRP ASLPIPQAGCQNGQSPAIEVTTSRYTAMLNKLKVKFHFQWELERMISQHETLTWDQIE IGDLHEFRGQSSLDMVHLVDEILRRAETRLLESDPIDATRREISERKAMLLTEVDREE DSIWANDLRGVGNESFDWPYGGKIQYTLSVQLASRGEECTELLSEHISPSQANNPYRV RRSFERNHSAPSGSTRNHCNPPHTHVDTITTFPETRSFPFKFELRPPEMPGKSFRLAR RFGSRRCISLKTKDIPLGTRKELQDMLVGRRLIILGRPYRAFWATADGENVMLIEMPE EGSGIVTKGREDESKMPSFQELLYRYNDLNRKPNQAMAKWAARPQILFSDSVPATKVQ LSEIQEGPDIVAQSAQLQEDPTTEEILTDGCGLMSESLALRIYQHPSLTLLNGRPSVV QMRVGGSKGLLALMSPRQATQYPIKEILLRDSMIKALSASNYENDPSLLTVDVLKCEA LKIGASISSEAIIAMVHNGVPAQVFVKMAEHELDALRDAFLPSQLEGESEDDMFIRIY TSCYGIGGVGMDKKKRMARKEGKSMRAAGLAKGHWTDEAGNDDDEDGNPMTVNAAERF DVDPISGQPNSLCEALMEAVASGFNPATSPYTASKLHHVMENLSKKIVREFKIPVQQS LTAFIVPDSLQLLAPDELFICFSGKGPIDESTQIPIPYLEGEVLAYRSPCKVPTDVRR LKAVFKPELTYLKDCIVLSANSQLCKRSPASYLGGGDYDGDTVTLFWNQDLVQPFKNA DDHFAETPENFVEENFDKSVTKGTEFLESIEGLSEDEKIARMQEWLIGGVTGDELTGI YSGLHENAVYTLGYSHPETIRLARMFCHVLDARKSGLRVKPEKLREDKKRYGGDLEWK LWKKGDEAERIRNVNLLRREKGLGSFIMDKLKTQGEAHRTRMMGSFVLKPETLNDEDF KGLAKLWEDVKRDPLMKYIPNWQDQIDRMEIHVRTCSDIRQLIIQGRCGDVQQTYQDI LEGKTPITKNRPGTGTYSPTKQQKIESSESTLERLAKIRQLALTWKENPGVHGAPLLL RWNVSELKLSCLAGMVVGKKPAQTCPFDLDYTGFCAMQAKSNGRNFRVTLAAIHEDMK PSNKIRTTS I203_05448 MTSRLTSILLSSASVLRPCSRNRPITPIRHRYLHRYQSTLSTPD SYKINGLTYPKDSYSNIPSSILDKLDRNLHLLPSHPISILRQIVEDHFSTYTPLVPSS AVVSVHQNFDELGFPPDHPGRSLTDSYYINNEYMLRTHTSAHEVESYKKGLDKWLLSA DVYRRDEIDSSHYPVFHQMEGTHIWQNEDLHTLPALNAELEERLKECNILIEDETVIS TSNPYQPHHDTIHAEQITKHLKHSLNSLIFRLFGHHASQKGAEPLRVRWIEAYFPFTT PSYEVEVYWNGQWLELLGCGVVMQKTLDLSGVSNKSGWAFGLGLERLSMVLFSIPDIR LFWTSDPRFLTQFKQGEITTFQPYSKYPPCYKDMSFWLPPSANASAEQHEHEHGAAAA GGKLPPKSGEKTFHENDYCEIVRDVAGDLIESVTLIDKFSHPKTNRKSKCYRLNYRHM DRNLSNEEVNELQQQVQKRVVQEMGIEMR I203_05449 MADFVKLSIFGTVFEVTTRYVDLQPVGMGAFGLVCSAKDQLSGT SVAIKKIMKPFSTPVLSKRTYRELKLLKHLRHENIISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVAVDIWSTGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPDDVIQTIASENTLRFVQSLPKREKVPFATKFPNADPLSLDLL EKMLVFDPRTRISAAEGLAHEYLAPYHDPTDEPTAAEVFDWSFNDADLPVDTWKVMMY SEILDFHNLGDISQNEAEGPVVGEVPPAPAS I203_05450 MASVKIFSLAVKTLAKPIANTIKSQAAQHDTFKNICIGLAQRMH RTEARMRMGLLNAESGQIKPLNDARAIQNGATTLAETFLFLVGAGLIVGESYRSSRKE EKRRDAVQDRLNDLENEVKRLNDELDGTKEGGWREGIGEIKERSENIERRLDTIVNNG LKAGWISLGHKEQGEILPLLEGSRAEEGLSSAFHIQGRESGISEQ I203_05451 MPTLAVRQDPSSTTTSNTSTETAPIYNTNPGGGSTTLYLFTFLI TILVLGLISSGLLIRAYILRRRFHRRVEEALRRGEALPPDAAAALGLIPRRPNARNKK EKNHGLMPTMWESEMWRDDEKAGLEDVSDERDGDGGGEGVVDKEGWDELTPLSILHYT TSDPTISTTVQPPINMPPPLTPGSYFRSLWSSRGLTTITNTTNTSQRPGLTHRPTSML IPTQKPVFSVPDVGEDVVVGVMIAMPCQGAMEDRWNITKNQDDEGEEMGRELPDVCLG VLGTRLKD I203_05452 MLGILVFLGVPTLFYWSGYDLTQIGVDSQYLNLEIIYDYYSGTN TNTRPHNRCHGEGRIVGGVPGFYVFDKIWYKSGEFYIFTDQPDQITLPLLTAISSGSN PIRIRPSSESPVLQSSKQRKAHKERKEVMRCYLDETIWMNTGVPSFSVPLDDPLNSVM EPLVEEDGLNRRSRIAKQFNWEFHHYHFLAESLLGIASLEITRHIYGHPSISQEGKDV DQQQALHDVTGHQYGYDVDREMIDKQWLVIPWEEEWKDQYGLNEPIVSGLFHNHFVDS RKWNETTLDDNWIGFERVVIVDRWSSQMHNPQAIQWNKMALDIFNLLPSSSASSQSLE VEPMFSPYRDKFLDYMDIQSLHRSKAGTALHPLPKIVYVDRQSSSRTLLPDDHEGLIG ILDDLTEKGIAECVVGKLEEMKYKDQIGLFADADIIIGVHGNGLTHQMWMAPGGMVIE IFPPGVFLRDYQLIAQVVGHEHIAILNSRIYTRQEWENEPDKLLASHPDEANDRNITL SQGFIEDLLYLKANYQVDVVK I203_05453 MSLPIFEELPSPPPPFFAPYRQNLLQNLHLSVSTARGRVGKALN DVPKIVYLDRQETSRKLSDEDNDGILGVLRDLEKDGKAHVGVPHLSEMGFKNQVKAIK DADIIIGIHGNGLTDQMWMPEGGMVIELFIPDAFLRDYQVLSQALGHRHIAIWNDRIL PPSEWKDIDGQMNPTLMHDGTLIPVSKIERLIQSYNIRHGTSEINLL I203_05454 MSDAEKYGDGGNTPLPQLSGAVTPMEGNNDATLGKGSVDDEVLL AKLGYKSEFMREFGNLETFSFAMRMTASIATTFTTPLTYGGVASVVWCWLAGSIMNIS LGASIAEIVSAYPTAGGLYTASAALVPRTYRPIVGWVTGYLNILGQVAGVASTEWGLS GMILGAVVVCRETYVIEVWHQFLLFCGLLVMHGLLNSLPTAGLARLTRYFVFINIGSA LIIIITLLACTPRSEMHPGSYIFTETINGTGWPSNGLAVLMGLLSVQWTMTDYDAAAH ISEEVHRAAIAAPVAIFVAVLNTGAIGWILNIVLCVTAGDVSELPGPSGNAFLGIMYL RMGKAGAMVLWSFVCLIAAFTVQTALQANSRTVFAFSRDGAFPDRGLFGRMNQTTKTP VIAVWVVVAISVLMGVLAFASLTAVNAIFSMCAVALDLSYIIPVICRRIFDGHEEVNF TPGPFYMRGWGLYVNIIMVVWTLFEVTILAFPASWPLTWDTFNYSAPITGAVMALSLV WYFAAGRRYYDGPKSNLSTEQKAAIKPEL I203_05455 MAEFHFLNKVQPPQVTSLNLPPNHSCNLVAKRKIVVRPNDLPIL QPDGVLVKPGPSCGGVGGRPVKYPIVMGHESSGEVIAVGDLVKSHKIGDRVAIEPGLP CRRCINCKEGRVNICLDMRYCGAPGSVGSLSRYFTLPADMAPHVPDHISWDEAGCIQP LAVGVQIGKRVDLRPHKTLAIFGCGPIGLITAAVAHAYSARKIIAFDNNPDRVEFAKK YISPLTGKPIIDHVFLIDGSGAGIGDGEINDHEDHEETLGDRKWEQAKKIAAEFAEKA GLLEEEGVDRVVEATGAEDCMLLGIAIAKQGGTYLAVGLSHIQTNNFPTLAVSNKEIN VVGLTRYTASCFPSALDMLSRGVVDLKQLLTKTFPLTQATEAFEAVAAGGDMKVIIKN QEGFDN I203_05456 MPSDLSYWLIATPLKDGDPNVMLNEVSNAIGKDVEVATWEIPEL KAGTLSSLLTLSDALPKLDSQFTSTVSKLLDTLRSLVSDDSAKVAQHARVNDRPAEEF LLGGGGGFRWDKGRWGSGGKVLEVVEALTKEINSIDSTQKQKSQSYNLAKGSLTTLQR KQQGNLSQRSLLDVVNKNDLVENSEFMETLIVAVPKNLQKDWADKYERLTNMVVPRSS QRIATDEDYFLQTVTVFKKVKEEFIHKCRENKFIVRDFKWDDSALEKQKKELAELAIE EKELWTELLRLTRINFSEAYQILAHLKTVRLFVESVLRYGLPADYAGVIVKPEPKTAV KTLRSLSDHYTYLASASRGPSTKKTKSGGTTAGSEDVGGEWASVMEAEYYDFVLFEIP KVIT I203_05457 MFSRTLRSSFTPISRSIPHSAPSSLLARPQIPRNIHTSIRRSAK YERFPSGYSPSPGPNGGGGTDIWQYFKRRLGGDRAVWVYGIGVGGGGIYYVTHLERVP ETGRLRFMDVDEAQERELGRQTQLQTLNEYSRAVLPPNHPTTKRVRAVATRIIESSGL GRVKSGGEMGAVEGKVPNFGGGEDIGEILFGGGEAGKDAREGKETEWEVYVIDDKKTK NAFVLPGGKIFVFTGILPVSANDDGLATVLGHEVAHQVARHPAERMSSMKVLFALGFL FESLGLDVGITRLLLTFMLQLPNSRKNESEADFIGLRLMSKACFDPNESTKMWQRMSE SEKGRGLPTDFLSTHPANEKRIKQLEKWMPDALSIRAASPCGDTSSYYSGFMDSLNPT SPYSKSIW I203_05458 MRTKSPQSPYHDIPPVAAIEDGGPAISPGLVEKPHRYRYDVVQG FFIQNGPEPKHMEFEEMLKRNFGLIDESPERWDNLRKDVKKLQDDAPEGVLYKVMFLG RHGQGWHNFGASKYGVDPWEDYWTFLNGDGEITWGPDPELTPLGVSQAQAIQKCWKEQ LPHKPPISSQEMRWYVSPLTRTGQTMLESWGELLTGVPEVWEDWREIYGSHTCDKRST RTYIANRFPTFKIEEGFAEEDELWKADDRETDAHMQMRAQRAMDRLFGPDGAKETFIS VTSHSAILRNLLAVLHHQPYPLATGEMIPVVVKATRLSAEEMDKQEEFTITRVRMD I203_05459 MLYRTALAARRSPISLKPIVAARLYATGPNPTTPPSSGPGGPAG SAGTDTQSNNTLLYGGGAVALIGLGYYFFGGGSTNARASQSLKSAEAPLKQAELYSKG AADRAEVKAGELTGKTKGQFEEAKGEVKKAFK I203_05460 MPILFFNGKLSRFCSPSNNLQQEPHSPKIIDPALHDPSLPQDEI NKSIWEGWNVEMSYGEVVTRGKECKMQVVLSFQAALEDPKLVKHHYIRAIQFHKEYVK CELIKMIRFIEHSLYSKSTLTSALLDRLLKKKEEGWKGTMKGLEESVTEGEVCKSKGN VAGKNSKHEQAIRHYLEGLITIWPYTSNDTSINYEKAFGSGLVKLEQALLNNIITISL SHPSKSGPKRMIFDNIARVTCEVMLEFRYLTVTNLRKTFERLAQLDEREYGVEGEQSV NSFMADLFRGKEGDGWAHRDCIQEHVCHE I203_05461 MPFEIQQSISIASALREGTLVDIGKFDSIIGIGHSYGSALLTGV SALSPSTFDAIVLTGFSNNVTEGPLAYPERFAGHPNDYVITPSQTNDQIEFFHYPNYT QEALDRFTSGKGEFPLGQQYSVEFPFTLNRENYTHPVLVITGEKDGVFCSADCYITSL DPPATQLDTTKVMFPSVNNFQTVVINDTAHGINSHKTAKEAYKRIFEFVDGLSLGVSE I203_05462 MIVQLIFLTALASSTGVIVTPTEGRNNKAIPDPQAECRSFYADV YAETNSNIDLKSVIGGPAENQQALTEPIISYFAAGSTLPSQIFAAPTLEVKETYQLWF EHCRPKSGLVKGVYQTHHGLVGNAGYWNVQGE I203_05463 MEGLRRPRSVVCLTFGLLLGLTIVSLHLSPTAREYASDKLPPLS IPKIFSKPSSPIPPISPSPFSPSIHSTPIDTSLQSTSPDPRISQTRYLGGVPGHQVFQ NLWIKNQTFYVMNTRRKTIPGMSRVVSGDTLWEVVRDPEDLEMRGAEDALVLEGSTIF INDGAKTDMWHYLSSYYPFIAEVFLGSTTALASVPAPMELVEQVRPGIEVPKVPKRVV IPWKAAEGWRDEEGMNELVLKGVFGEANLIEPYHWSMLNDESQSHQGWIFLERVVIAD RWASHRHNPLSDSLNKMAASIFSRPHPPFFFTPVREAFLSHLSIPSPPNRIDPQRALK GLPKIVYVDRQNTNRKLSPEGHKELSVVLGEIESIGKATVGHKKLGKLSGKEKVEAVH DADILIGVHGDGLTNQLWMPEGGIVIEIFPPNSWLPEHQIVSDILNHEYIPIWNDRAI SREEWDTFPRQHGEHLLNNGEDVPLDGTFLRLLLEEIVQRMTGP I203_05464 MSSSSDRQPLLPTHSSTSKPHINHSSSSRLSTTRISFPSSTTSA LGSSDTVDDDQTVVSTSPKKGKHRAFSGSPLTAGEGQRKPGLPKLSRECLISEIKCYG SYMLPPLLVFGVLAIGISLLIYGWKRGWFK I203_05465 MSSSDIIEIDDSDSELELIDMTSRSTSTSSSTRRSGDDDNKKRK RECVIEVISSDDDEDNEEIRTQLKELSVQEELVEAKEKQTETLRSSHRNTPSKRYRSH ISINSSTCPPDHMQSHHPFRSQNATGYRPHLKSHLTWIVDTTDSPVERQSTYDVDGFE ERCIKIYRKGFIHDDVEFIVDNAIVLPHRPPLYALIRSIFTLKFDDVSIKDKHFAHVH YIKSPNPHTDSSGRDGELFLRNAQCQDISIKQILKGQKLDFELIGGIKGKRRGSSKYF CQFVDQPSLSTIREPVFQDGFDRCDSCASRHQKHKFDDPRVLGDTLHFCDDGYHMHDF ITVDPKIRGRPFLIGQIQGWSRVNGQLMVRMRRLKRFGEVIGAKYNGFVSNRRLVVTD KYEEHLVQSIMGKVYVLPKEEDAQYLDGHKAFWCSEGITNSHVSSTIAALQRPLRTCL SCLEVEKQKHQDVVNCIKACRFTAADYYSGAGGFILPGLDIFEWVSATDADKVACQTL HYLKREAPRMTVHYGRVREIYQYTTSRNSPTGPKAFPSPGTVFLMTGGPPCQGHSRVN HANNLSAGKNPDPRNDELWIMLAEVFRLRPYVVIIENVSAFKDDKGGDAGMQGEHNYG RAAMKELSQNGYSCRLGIVDSRGYGTPQNRLRTFIQGVRMGLSLPEFPTPSHANPKTT ATVFKTDKAESLKPFYLGQRATPGTGLHPAVTIRDAVSDFPAFEYLPPPGIPRIPRRP QIPVFEGGRDGQQGNRTRVGFAHQIPYASTPSNDYQKEKRGEATSVHDHHTSYVTDGA KKIIFASAREAKPQGCDRRAIGSEGFSTLLTNSSPGQKGTAVIHFSQDRKFTIAERKR AMGWPDWHRLAGSPLDQDRQTGNGVCFESVQAIYLELVKEIILPWWIEAGRATEDVFG KFKIDHP I203_05466 MTTHHPNPPLPLTEGKYDSDDQQKNPHGKKKWFHPFKILGFLLD NWFLIGIGVSIVLAWRFPNVAADGGVIRSEYSIKYGAIAIIFLITGLTLSTKSLYVQL RNWKLHLFTQLFSFLFFPAVVFAIVNIVNASHGNIDKYVLAGLVVMGVMPTTVASNIT MTRSAGGSTESATIEVCIGNLLGTFITPLLCSLFFSSDTWSFGKPIAKDGGGSTADGL KEIYRQLAKEIGLAIFVPLFVGQVIQNVFPKRTQWVSTKFRLAKISTFFLLLLIWSVF STQFKAQAFEAVSTSSIIFLVFLNLGLYGVFTLLCVFLTRLPFSHPSSPSNVHINTGI SSKRNSGRRLVNIQSFRFNKRESTAICFCAVAKGMVVGAPTLSILYGGFPEQQKAILS IPLVLYQGQQVAVAQILVYFFKKWNEKPDTYFQDPPAADVKDSPRNSNNPEDNRIANE QQEKA I203_05467 MSQPPTSVPTPTPSSPPPPSESFLSDLDGTAPSILDTSFGEPSY DIGKVDGRALNLVSWKLDVNARSEDGVEKRGFNAISTVLNHPTKKADPLRSTRKPLPP LPFTPPILQKPPPPSHYDPYLQSITPLYDAFIASQSSASSSNPEIIKSPSLGDLPSLD GIPSMFFDPQFNLSNPSTWSDLISSSSTSSSPSNINLSLGDSLPDNVNEGLQDTLSTY LDILERHLILEISKKSNSFFSALTNLQDLSSESNSCLDNIKQLQDSLKDINEKQAHKG LQVIQKQDGLHNLKIIDKNLGYISELNEEVGVLKRLVDEEDWSGSLSYLEDIVKWWNK FNPSSQQEEQEEKEKEILALSSLPALSTLPTTINDLTSRIATQLENALSAYLSSILSK EAGEGLDKSAFGTTVEPILTGLVRCGKVDLVDNVWRDAVTISIREGSRDVSASMDHSS FLLLSTQMYGSLISRIKLSQEVGEEIKRLLESIISLPKLSISQSPSSSTPPAEPTIPD LNNAIVSGCELAHTRACKILAVRAEQHALLGLEEFVEIFRKNWEFILNTEKIAGKMIV GLRGVTASQARSFLVTYHSVRLTKSAKLVEEEQWTQIDVLPNVQHVVNLLIQSAVSDP QECTIPPPSQPTENGGAGEVSTKKQIDIEDKSYFVVKATSESLVLLGDYLKIVINLEL VVTDVMSRIIEFLKSFNSRTCQVVLGAGAMRSAGLKNITAKHLALASQSLSIIISLIP YIREFVRRHLSPKQAVMLTEFDKLKRDYQEHQNEIHAKLVAIMSDRLAVHVGSLREID WEATPSKDGPRPYAEMLVKETATLHKVLSKYLAATTVDAVMSEVIGAIVHRLSEEYGK IEFKSEEAKKRMLQDVALISIRLTPLSESGKEVSKLENLIKEKPTPRKPIGQAMSGFL RRSGSQKGASTGGEGVADEQDQNGADDVEVDEDGEGLTEPAENLGKEGETKSDEVEVK GGQGALGEKKEDAIDEGTGTIAAEETKELPDNQLESNQPTGNASEVPPLPEKGDKEEE QAPAIPAKETLSPELPEKDNDVSELPPPSSPPLPPGK I203_05468 MSLPFARSAIASTRAVVSRTGRRGFTSSSSRRSESLFVHRDTDY NNPSIKFEFTPENLKTANEIIARYPPQYKKGACMPLLDLGQRQNKGWTSISVMNEVAR LLDMPKMRVYEVATFYTMYNREPVAPNFVQLCTTTPCQLGGCGSTKILETIESHLGIH PGQTTKDGKFTLIEVECLGACSNAPMMQIGDEFYEDLTPETTIKILDTLAKGEKPKPG PQSNRQTSENSAGLTSLTTKPYGPGEFCLPEFQ I203_05469 MSSSTFRRLTSRSFPLLTASALSFTLYTVHTHYSPLLAEAADPL SSPIIKKQKSISAVPPPFSTYGWGSNKNLTLFPDNQVANVKKPVPLTQLGTTPLRDLV LSEEYGACVDARGDLWMWGKGYDESGELGRSLKGKSLKSLAPATSKLYALSKNGHLYA IPSSKSLQCDRQDKSTQSWWSYLFSTDPGVDFVELSASGGGLGWGERWVDVSVGRNHL LAVTNKGRTFSLALNEKANSHRQLGTKQEFEQPSIVQTILGVEFPSSRDIRFQTTLQE IPSLKGIKIQQVASSERTSFVRTDSGHVLGFGANENGQIGLGSSSSVDIIPVPVEVVL AKCYPSGTKIQCTDVKAGGLTTFFTIKRFFPGRQGHFIDVLGCGSGISGALGTGMYTS ATGMPVRVKTISGLQEYSEKAQTFLPIGIHNLSISPSSNTHVYATLDTVTLADAKGVQ EGKYGKDVMAWGANVDYQIGNGKRSSTAIPQHLPPLGGKPSTAPSGGLIDALSGEPKE EESLSSGTQSPMPHSRLQLHIKKANAYDLNGNLIKRKVKCEETMVAGYNASVMYNKII E I203_05470 MGVKDLVQWVKKTHPKAITHFPNRWASPEFKGKKVAIDATLMTN RYHFASRDGPFKGKGEIIGWYNLISEMSAYGVKPIAIWDERGPREWKAPETVKRLTAR ANHLARRNHELDRASRLDQFKEVLTEFQAMTEEEKDIIRGLFVTTRFAFHRPKEEEHS IGWDEPGMYDTPPTSPYSIESSSPSLPIENIPPAAPYTSPFVADIPSPIEHIPSPPIQ DTVSPELSSTLSEADSQVIDRVVSMIDNLAPLIQEYRESQRKGQGGSKLDSEDIDLSL NGEIVEMEEDLREWIPSKSPTGQKDLTDREEKVEELDSVLEELLPASNVGESPRQQAL TIEEGEIITQMLSSPPLPPFKESAPQSQEEQVCPTPPPTPELEVKEEEIKLDPMARLD RLIEQLPMTRSIYERALDIPSAGDHEDCKELLKIMGVPVLEAKIPYEAEGLASALAKS GLVDYVGTEDSDVLAYEASLGPLLKNLSPINSSLSLISGTKLRSLTGLSPASYLDFLI LLGTDASPRIPNVGPVNALKHIKAHGSIEKILENEPKVLAKLEDDSIGRERFMELVNN ARKVFTDLPPTGQWGNDELEDRQWDESEVERFLEDRHGIQLVDRESNL I203_05472 MSLSEEKKKALQQYKNDDGHFSLVRNFRLADLITIMNGVCGTLS ILSSARYLILASNLKTPPAEAISTLYFAHLLPILGFGFDALDGKVARWMGGGSMLGQE MDSLADLVSFGVAPATLAFTLGLRTPLDTLALLLFVSCGLARLARFNATVALIPSDAS GKSKYFEGLPIPSSLFLTSMMAFWVKKDWYAGAQHGLSDVPFGKVLLWGDKGGYGEIH VAAAIFAIWGAMMVSKTLRVS I203_05473 MSAYLDEQTPHLRSLHDQLSLPPEVLQADLARIDAAIKGVITSI IREREAQVDTLKDEIAQNKRDISNLARAVGDKGRDIVALSRRESLENDTLPKQLERLT SQMNELKTIYDERLKHIQKQQATLDQLSTLLGPPFQPSKPLQPVASSSKQTQPSASVP AGEVKQRSSGTTLAQQIAGGKVPSTWYDLGESISEELDEAVAKALEERSSRRKNLCQT LFNLIWLHSELALPPVPTSSPHHFPPELLPSHEEEETPGAYATYEKILHRVIGSNSLP PGECEEWDEVEDLQGMDNVEPEIGLIEWSDELTELWNTRKEEHEARIQELYNLVEPLW TRLDVDQATMDLFVDMNRGSGEAVIKAYEDEYERLLELRRSSLSSFIENTRKEIDALQ TELMLSDDEKAEFGAYIDDNYTEELLHLHEEEINRLREEVESKSTLLPKVREWHALVR DEEELERSASDPNRFKMRGGAMLKEEKMRKRVTVLKPKIETELLAMLPEWEETHGRPF LVSGERVITKIEEEREAKEAAKEAKKRAKQGLAPAKTLPSRHTPAPSTISRPTTSSQN PIKRSAPTPTPMTIQQNKKPRLIPGTGTSTISSSMYGSSIRPMRSVSATHSTNRGGGG GTISPTPYMNGKRVMSHSSAAMSISRIPSITTGGAGKGQLMMGLGINRKNGELISKKP RISFKPRPSVFPAMTNGGGNIDGTWGLAEEDEDVF I203_05474 MYISSYLLWFFGSLILPYTVVYAATEFYFQWPTNTSSCQAVPIS WSKGKAPFKVWIVPVYGQPFFYDISDSYYSNGTGSAEILLQLAAGVNYVVVMSDANGL ATGGSSEVQTVQPANDTSCLSWASSRSESLDFTFTVSGQAVQCQRGFEMSWSGGLEYG PYNFTVIAMDQSFNAYDVTLEKGVTSQSDWVLDIPANSRFIIMMNSGKGYGRGGTSGI YSVSDSDDPSCLQADPQVTGTWPSTITTGTLDPPTLPITQSASDSKKSSISGGAIAGV VIGVLAFFAIVGIILFFLIRRRKRRIQGQSVGKLEGSHIDLANDDDGNNGPNRNGMVE PYREIGSFLPPVIHSESPHESNSHSHLSESPVIASMGVSNANSTSNIGTQGHQHSSLL EENNNPSSPGIAGPLPSKSTVSTPTSSDYTQPILPDSNSNSQLQNQNQNQNQNQRSGS DGTSSPKPSVSRQILPAFNDRPIQTGPPGGMRVTNPENPDQIPTLPPGAMHAPAHSRA NPPRRRQDGPTFRRHADAGRFEEEIVDLPPLYSEVPRDGPGSGSGSGSGTASPSPTDP HMDR I203_05475 MAPTAGPSNLKQSGERKRKAGGNGKKGKVFVEDKKDLLSLMSSI TSNKDAQAESKVSKRKAAIEESDQSLSEEKNKKSQKSIEKENALERTKAALIEKQRMK KNRKSTSTTSTKDASAQSSTDQPKKKKVGFA I203_05476 MGSRFTEDTSVLSPQGNPTTQHRSIDDVANEKPNRKIKASLWSR CHDRWFFVAFMINFTLYFVLSAYAIFEFTQNYDEIKKDTTSGGDKDNESTIKVETGDI DKALTGDTTYMLLGATGLALILNFLLLFFIRKFPGFVIWSGPVMAVIFCLAAAGGFIY LQAWGSAAIVGIFGVVLGASLWFLRGKYLLARDLLDTANKGAKAHWSVFWTVLIGLVV EGLVSLWNLFTFVAVYLRFSPGQAACDTGGSCSAGLLATLLIYILISHLWISSVIGNV IILTLAGGPYSRWWFGTDSKDKSESWYALRKATSTSLGSVAFGSLLVGLVETLAFIIK TISLELCGSSIFTCFCFCVINIIEGLLEVFNKYVYVKIGVDDFQYGFIPSAKEIIHIV KKKKGDQRVGLNAMITDCIVGFSLYTTCIACAIVCTAVTYVYTIIIDGTAKVDDWWDW LILVYTFILALNIGLVLTSALEAGVSTTLICLDKDPRPPVRLG I203_05477 MQASSPSTPTPLHRTVHKVPSRRSLFQSQNPSFHSSSQNILRPN SYLRLFIGALAVAASKTWVLDDPRRLSGGTGWLVLGLWAGRIIGELKDFVGDARRRSK EGNRSSLYTVQLSVILAVQSVAFFISLQQIGPLRLTIIAYLSSWIDFESILDINSLAP LLPIFVSAVYIIGQAATQNVIALTSTILFAGTTFLSEHYLSNLSFTRNRNSDDKEKPR NERGLWYCLVSTASASLLIFALYQMGVIPIPLPISNVPGQRFASFITGLLVRYIPFPF TSTNAPGSTLRLRTSRDQSLFLSTIPVLQFFALSPVPTYTDLLVLLPLAMIGTAMVGG KKISRKNGPSRWGLSNKSLSTARSSWSFMSLLPARWRPHLQTILNTPTSSKIFYFLLL NLAYMFVQMVYGVATNSLGLISDAIHMAFDCLGLAVGLWASVAATWKPDGRYTFGYSR VETLSGFANGCFLILISIFIIFEGIQRVIDPPEMETQQLLLVSGVGLAINLFGMWATG GHHHHGHGHGHDHGHGHSHVHSHVPVNNKKENHRHDHAHDHHRSESQGTSASTRQQRT LAKRRSSGFLKAHAQKDAHKHDHSHESHDHDHGACNGHGHDHDHGHDHHAHEHHSHDG HSHSHDHDPVHSHSHDHDHGSHSHSHDDHGHGHDHSHSHNMRGVFLHVLADTLGSVGV IISTILIRYTGWTGFDPIASLFIAVLIMASVVPLVIDSGKVLCLDVGSEKEEEIRGAL SELSSVDGVANYASPRFWPRCEGELIGSIHIQLSISPSSVDPTKFHTPYLSSKSKMGG NGGDAIYVNSEKVINRVEKVLKRRIRGLTELVVQIEGSEEKGYCSCMTGGR I203_05478 MTSLNDHHLSGISRNSSAILFATAESSKKQPIRMGVNENTPLLI DDSTGRNDGSDDGSTINKPPQRKGSTSTLAYAAKLKQRSKYYVPITDWLPNYSWSLFS GDLVAGLSVACLLIPQSMSYASGLAGLSPLAGLWSTAVPALAYSALGTCRQLSIGPEA SLSLLMGQMIQDAVFGDPHHKPKHPELNAAALSVMATFQIGLITSILGLLRLGFLDVV LSRALLHGFITAVGIIIFIAQLVPMLGMEHILSHPENAEDAPTLPLQKLVFTLKHLDH VNKPTMILSFTSLAFLIAARVIKQQAVKRPGGTWVRYIPEILVLCVTSTVLSAFLDWE KKGVDILGQIKGEAAVPFGWPLSKRAMKYFNYTLPSAFVSAVIGVVDSIVAARENAMK YGYAVSPNRELVALGATNLASSFLTVTGCIPIFGSITRSRLNGQTGGRTQMSSMVSSA AIILSIYFLLPYLYFLPKATLAVIITLVVYSILAEAPHEIHYFFKMRAWTDFMQMTGT FILTLFFSMEIGLVASVVLSLILVVQKSSQPRIQIIGRLPGSDQWAPIDEDENAQEEI PGVLVVRIRESLSFANTGQLKERLRRLELYGHGKSHPSDEPRRESAKALIMHMGEVQE IDASAIQILFELSRAYHERGVGVHFASIKPGQVDKFKIVGLTELLGASHFHNNLQEAM KEVELMGYGTSIFSRFS I203_05479 MAQLTKLFTLLFLLPFLAQGLVLPQANTNEHFKKALQDGMRRHH DQSNYLRSLTSSIGTGKRDEIYGRQRGGGHARNRGGNGRGGRNRGGNGNGNGNGNGTA GAAAAGAAGGAVAGAAGGAIVGDAAGDAAATASVTDTAAATATADAAEGDTATATEDA AGAAEETAASETSAEAEGDAVATATATEDAAREAEATAEGAATAAEAEESAAVAEDTE AAEVIATATATEAGLAGETAAAEATATEVKEGASTAKATATATAEEDAGAAEITAAAE ATATEASEGDAEATATSVEEDSAATETATATEEATKAEATAAETAGEAEASAAETTAT AERHADATAAAEATSETATVTTTHSTATATAAAEGEEEAAATETAPEAAEATATAAEE SEAEASAEEGSSATGGETGSVDATAVLQKLGENLGTLLSSGTSGDLTQDLEGLLSGTG LADLLGGVAA I203_05480 MVFQSHTNYLSAQIQVRLENEGVIDMLGGPNTGTSYSLPGHIII SLPALPAPMEGRLREVKDLKIVMEGKSEFWDDHGRYTPMRLYSTTLILATPSKPLLLP SHDPNRAYAQRIQLAVTFDMRLPGWLPPSHDSEMTTISYGLIAHSTIGWTEPATTFYA SPSTCSSSFSSSSSSSSSDSDVSMESIIPIRPMIMKPKSSKPFESMLGNHSLLAKSIE KSSSKWTPFTVQRHRMPSAVVPYPQGATERHFTLRPESDSTSPVECVVTVPDWVDVNG EEKSLKVSLRVRARKPVAEPMEVHTPEASSNGSASTLNSSSSTADEEGSVSGGGSREL ESIPMERSSGKGKKAGSDLSTYILELGMEVEETERYSSTPSQSFTSSFPLPSEQPTRN SSVNQLISPRMGYADGTGSFLGYDERPFKGMRTRQCLLSDDGNQRNFFFADKGLGLGD KWRKVNVILPMPSLESGKGLSTRPQPELDGPFLRIRHDLKIRVVCRSPGSKDDTQVVI LSTPIKFGTCPSTMPSIKDKPTPLPAYIQLFHENGDLRECDSLPVYTGSSSSSNSDSN SSATEVPVPDTPAPSYASLYPLTTRPCSSRSPSPSSYDDSASVASSSGSSTLGRRERS SSPSPSSSEDEPESMDIDSVISSSDEDDGVGNNNGRTRSTNGGVQVQSRKVARTIPRG IRTAA I203_05481 MPRSTRRSGSSGTSTPPSSLSSPPSRPLFPAISLRSSSPSSSAK ESSTQTITARSSLLNVLTRPHHSAPTTSISNTHASSADNNNNHNGSSSGEDTNYSDQS TISSYASSIISGTYMMENQHLTSEDIAKVYEDYLEKPFVNTKSTIKHSEFGHCNNPNW RWTSQWNTDEPIHPAEEPRPPYFTLLTTYISYILLIVIGHMRDFFGKKFRPAEYAHLM PQNGYAALNSDFDSFYTRRLKQRLEDCFARPVTGVAGRSIVCYDRASTDENKTFNLTG TTTRALNVSSYNYLGFASSTGGCADAVEACIKKYGVSGAGPRHDASTLDLHVQTENLV ARFVGQESAMVVSMGYATNSTTIPALVGKGCLVISDEFNHASIRFGVRLSGASLRSFK HNDVDDLERILREVISQGQPRTHRPWKKILLIVEGLYSMEGSLVNLPVLIDLKKRYKF YLYVDEAHSIGAMGPNGRGVCDYFGVDPRDVDILMGTFTKSFGAAGGYIAGSKQIMDR LRLRSHATCYAESVSPPVLTQIIASMGSIMGVAPPLAAPADIIDDRSDTMSISSRPAA YGPAPAYILPSWMKLPLNLLNGTEGRERLRRLAFNSRYLSSGLRKLGFIVYGHRDSPI IPLLIYNPGKMPWFSQLMLDRLGPDKTPIVVVVVAYPATPLITSRVRFCLSASHTKND IDLILRASDEVGDLLGMKYGKQTMSVEEVIASAEELVAASF I203_05482 MITLPFIFLFSYFFFSSPTYSKSPIETTQHCSFTGLGIVAKGLS TSEGACRYTVRYGRAERWGESEFALGDIKNQSFSDLPPSCPQSPGSYVSGNEQNEDCL LATVYIPQSATLLSKLPVFVWLHGGSYITGSASAPGLDGSKLAVQGNIIVVVLQYRLG VLGFLPPSSASSSSDPNLGLRDVTLALKGIQKGIGFVGGDEDRVTIGGQSSGAGLIRA LLGVPDAKGLFRAAIIQSDPMASSQNYLDIDSYGNSPTSITTKLQNAFYSQEPMNKCT DLKCLQSIPSASIIAAQNVLLSTAPLMIEGLPISMPIRPTFGNPTLPSDPTVSLFTSP SDLPLWDIPLLITTVANEAGTAVSEIFPAPVTLSQDNYYATLAATIGAERAEILSSSR EYGLPNATGYGEGGDVFREKYERAATEGIWTCPNRDVAEQWSRNGGKVWVGQWNKGVT YPSNDNNGYCKSKGRDCHEDDIYPTFGTSPDTSDGVTSLEEDILSYWTSFITTLNPSP STTKRDNIDCRRSLGEWFSWLWPFKRAIVPQRSSSSSSENWSPYISEDDVLAIGGGQI SRCPEGFWGEKAKYDWQLNGK I203_05483 MRVQGWDPVMIICQVRIITLQTIHYLTLSILIPPFLTSFTTPVL LSYSGGPSTVSHIMDWREMAAKPTISRTSFPGVEGLRKLRGAWAGGKEIGAVPSSADD LPQNNQDIEEEEGAYDEYWEYGVDRRRGWVLGGVWLVTFVIDIAPLYYLIRRPTYILD FSLTLIFNHFILTTYYSTSFPTSIFFWLIQIIGAVVMVVISEHLCVKREMRSELDIGW QPNLESGSAQTQGLLENQEPGPSGTGSRAEAIELQER I203_05484 MSSNSKLGTPLKSSTPAPAPAPAPPSSSAPPPPPPPVIPSTWLT HSEQRLFFTAIFGLIEISKLWDTFSPLLHLDITPTWSSSLKIQGPYSVIGWTIAEVMG LWLVGILRIPMLTPSYKQLVLLAGLSGLFNLVCWLIVEPSAALFTINVVGPAALGGEW YWNWLYSLKRYSEPSHLEGIHKIRLLPYSTATLNPLSLSYCIPPDSHEPLHIPILFNN SIPEEVTYFVRSLETGHATLEKILGSQMKKAPTRPARLRITDGDDDLEDGDIEEPESD PLSALILRADPKGKNTNSLEMEIAKLPSVKPPDSMALIPRNLASSQNVLFITVDKPSL ITLKSVTDKRGDRFHITPHKEAIIIECPAGGQFIEEEKGNKLILKGDKSKTPDLRCVG DEETAKFQVKGVGPLKAGWKKRSGNKVDTGVIEGIEEDLEPVDDLALVRRDKVSKTHV VPLRVTHSQPGTYTLSLTSVTDALHNTFNPSGHSAEKVFNIIPRPSVRFDCPSTIQLL HNQKSNIPVQVVVDGNLEKDLDVMYTFEALDGKKTSKKLKVSKKREDISVSEPGTYTL IDVEGPCAGGVMEPSSCDVQMVPLPTMDMSVTTLHECAMDVGATAAFDFTGTPPFRLD YTEQRKGGRARTLTETCQTHHGSVVLRPEHEGEYTYTFTSLSDRRYKGVKLDKSPIKQ TVHPLANVDMKGRIGDTRRHTLYACSGDQVDVDIEARGIAPLKLTYVKSWSIRSENVT VPITTGRSKISVPVPDELSAKSGTNGKLTVALISIEDGNGCVRKLTTPAIEVDIKRQK PTARFAKSQKVTITEGEIAKAPLRLTGEAPWDLTYSVNGKDRKITVRDPNNHLSFSDK GVYKLVKIKDAHCEGDISTNDSTFEIDFKPRPVVSLQQTPGISLSSPNSFKHKNLCAG QEQQVALKFTGQAPYELNYAYTSDGRVSKHKLKSAQETGILHLSSEPGYHRCDFSSIG DGNYPNTEVKISLEHSVYSRPSVSFTKQSTKSLCLDSKLDSSDAKIQLKGSAPFKLHL SVRKPASTSVKTFVVEDIKDNEWRLDLPQYELKEIGRHEITINRLEDSSGCEQVINDS DELRTIVEVVESARIVAVDEKVDLCVGDSLDFLLQGKSPWTIEYEWLNKKHKVTSSAP RFSRFAEAKGIFEVKSVALKDNQCKRQVEGMKRIVHALPKAKIVEGEDSLREGDEPAT FAVQFTGSAPFSFTYTRSEQYGSKSRVVETQTITDIWENTYTISSALPGDYAVVAISD KFCRYPPISRSNKER I203_05485 MAIVEDNTADDAQLEDKSISREELTRNIEQLTKRLIVPAELVPG FMASRDEDGLSRYRSEALNILYEVKKKVEHPYWEEIEPEIQLRLMKNVLRLNGAKDPW SSVEIRSIIQNIIPHLSTFIPLLILPTLKPYFASHPSLSSSSRALTRPVGGTDSSIDL HDVQPFKDPSSWGITNLLSYSVQNLSPVDVEKNIGLILPPTLVLMDDWEPSYRLRGAE ILDTWVDKLDEGLMKRMGIDKLLIDSLIHTISLSSNPPLKGLLQITLKVIQKCTETQS QKRTEYYSDIVEKGIIQGWTYAPSGIEGREVLMNINEMLEGMMEVMGTGIVRWLKDII PNLLQPLQFPPTALVLPHYQSNLRCLLGVMKTLRKTGRIGRWRGQILNILCRLWVQLK ERRGLGDEDDENGDKDDIENDVRILIERIFKELSEQVPSVIDDEYQRLFDLSPGMFGD LISSVNAEEISS I203_05486 MSVRSTPGPSPYPTLPTYNTPDASSSRRSIRTPSYVPPPSIPST FRQPSIAPSAADTSTPTILGVGRRKRINADGQGSGTLTPTSKDDWANMEPDEVFRRLP VNEVRRVESKMRSDALNKQSELRLMVGTRYRDLLTSATQIESLHASSLRLSDSLREVT RSCSNPDVNITLDGDNSEDGNANSTEEDDLINMLPTAAHMKLLLDAPEALYSYLAHHN YLNAAFLWLITRVVKESLNTMPEEQNGLRMVAILQAYLPLLQKQWETLLPFRTQIVQR ATASLRTREKLDSKSLSETILAIILLDNLPVSDALDLLLSQRTKALRDTLQHVEVNAN SAKSPEKEKGNRKRSNSRIQAAAITRSIIQERSTISSIITDSVTLMLETVSSVKTVFE KNISLGQNESLIEEMMKLIQVGDITASTNSITTSVPNRPPITSSEIIQNLPSSQILLR YLPNNIIGFTPFITPSTSPTLSDILNTWQQGSINLLKGSIPDWLKDLKNVKDIWAVRN SLNSLLQKGEFEEAIGLALEDEWGKRVKEVWSEKLGLLVDNAEKEIREAGEKVRNGSE KIVSPESILFSDISFPTGPTASAAFSATSHNMAFTNFRSTLRKRSSYRTPVLDSVLNT LEETAQNIKEDMSDLPESLYDIYSEQINDTLDGLIKVLDTVLESMGSARGDGRIGIEA QIFIGRVALYLGNGKESGFLDDIAGYGKINRGELYNVEKSLLAVFEKSTTKWKERSVQ EALVSLAPLFDPYRGSQEIKSSWQGDLPSSPSHPIMVSLQSLVKATTSLGIPPSLNSS LTVVEELVKGFVDSAKKLEGWKTQEISMEGRIQLLVDIGFLINISGEKVGEDQLIIRI LKETSPSFTLGELQQIIDHSLRKSQLLLYPLLSHLPPPPPPASDKGHSHDTRRNAALL RFGAPTITTSTGTGTEFRSPVVAAKPGKRMGLLSIAA I203_05487 METYTLPSFPSGYSTIHLCYFQNVRNSPEIKQRLVVAATTKGEQ GEKLRSEVDFGFLEGDVLVSKDHLLTSILTTLLYSFPSTSASSSNAIPSIEPLSISHA NTPNKPKTRTHNLHSEILLTLSPNNNITDSIRRHGISDSTTNLVVVKFTNAQQSQESV YESLKRVVDGELVGLDGIEENTDWTRVDKIYKLSELNALKSSHTPEDIFNKKKSAVIS AVGIKNVI I203_05488 MPSLKHAFAWTAYKFASTVLDDIWPMVLFFSGIATMVCCVSRFT STSLGINSVMLTVLGTIVSLIVSFKTNSSYGRWWDGRNVWSNLTSNSRQLAMIFWMQV PNAPPPPKDDDKKKDDKDKEKEKEQVKKELSRETLDGYSTAPSSTHSSSSSSDAAENQ EEIEKRKKEEEKARLNMQGLIEKKTYIGLVQAFAVSMKHALRGETGPFYSDLYHLIAF LPKYNPSAYPPINRSHILALWQNGLPREKAGKFTNSIAVPLTTSVAFRTDALNQRDNI PDPFLDPEKGLSNGTYTGSTTDPKAFKNLAIQSAKSFVQVDNPDVFALSRQRSSLQTV RSGKPGLGGGLGSEGENITLTTIELMPPRHPPTPKMWDFFPPLRIFKIVYDWFRWHKI GEDNERAKGGKRKRKIGSTMEIPQEILMYLQAYITDLITRGLLHSSLISPTLTTLMEL QKAISDLEKLATEKIPSAYTFHLRLTVYIYLFFLPFQVYNYIGWVTIPAVALTATIYL GFLEIGMQIEMPFAYDQSDLDLDKYVLRIAHQIAQVTAFPTHIPASHVVLSHLNQPFL PSLDMSAPDILGIPERQPRPTEKGSAHSWCSYDGKHAHDKEGLRKRKVKSPEEAHKDG VEHHEHLLNHDRNHLHTNEEEEEEEVMAKPLARNMRDIEMVLNANWREITAETEDFIG KPRDQLENRTGLEVAVLTL I203_05489 MAEEKTTANGSAGAAQGFRTANYPAIANPPFPAEGSAAFSNYQL AFCLFFGPWLVQKLLPFKTGWYFYFFLFILLGVPIAIGYWTFKSKYGARLNEKAVFPG KPLNTYITLKDDKLKKYNSTNNKIPMQVFHDAYFAGKADFNGDVLEVMEWRHDWASFE FTPELFKYVLFNLIPDVIIHSQSQDEEQVRDHYDRGDDFYSWFLGPRMIYTSGVISDI NRMETLEELQDNKLKMVCEKLDLKPTDKMLDIGCGWGTLATFAGKNYGCDVTGVTLAK NQTQFGNQRLRENGVPENQGRILCMDYRDIPHSKGYFNKISCLEMAEHVGIKRYGTFL KEVYDLLDDDGLLVFQVAGIRTCWQFEDLNWGLFMNKYVFPGADASLPLGWVIKQLES ANFEIKTVDVLGVHYSATIHRWYLNWVSNKDKVIAKYGERWYRIWVYFLAYSVIQGSA SVFQITAHKNLNAFHRVEGVTSHGNVLYHTAKKAEPVISHQDMWADQTLH I203_05490 MYSVFCKQQPQRLELGFNEQWESLKSLLASHSQFFPKDQKTLST PGCISSITRMPPDKISVRPFSTSASGPSRLPYNPSGRTSWNITVDDEGHLDSPTANPG SKNLSKSTRRGRSVSFQPVDVPSSIRNSTRHRRKTSAESHLSLRLTSPIQTPTKSMTN GKGKGKVVLRRSLTGENALGMTINDRANILADVAGDCLVILVKSALRAESANRRRSSV NDEVKKAWEGLYGKYSHNLGLRVVVDVTTLGIRQSYLFPPWSPPFPSYTDILRSLHSQ IRDTLNAQALTRAIDLSNLATFVWTLSRPDEACQSFPSLDLDIDDEDEDAPRRNTRTK GDGKHDSELIKGVRMRNALLLIAWKKFWLIVVPAEKRTSDIALRLWLDFATQIALLYQ QPSVEDPDLLRAILPTSPNTLLAELFSPSAVGRFGQWSISEDFDSQEYSEEKADAEER WRIIAQKRIDELAETDHAVLRRKYPFSEFRKEIIAHIQHEILASPITALLTPGRRQLL IGHSHVYEPPLLEYNEGSSSEAERDDTEIANWSEDTDEEEGEEGSEDTDRVAMGDDIP IDMDIFEFAAAELEAEEKAQQEREDHDHNSHDHTAPETHAKDMDEVDSQIPVLAEGVF LRSSSHETIDDEDSDDDGDWAVKDVDFAIPNNGQIGSGGQRQNGPRFDWTKRQEDAVQ VTWESQSVDGHESPSSSSLRQTPVDARLLVDHVVSPITHDTPAYHAISPSNAMRLVTS PTPLRAERMSVASPSRSSRQTPKAINFEQSISLTSPLYVEESEEDEPVEDEENAILDH DNNEDILPSASQLNFVGLADGEASPPSVDGHDEDDEFADLLPTESQFAVGHTSTGTSS PHYNRNVEIVNHVDNDRDISEPDQAGNEETGLAQPGFHGSYISPRPRRTQDAHSFAPM DPDESLEDRRLLFQPSSSFRSMSRSREPTIKTEHEEDMLGLHGFINLLHPGGVRRQRG SSARYIRDEDDPFLHDEDGSPLEPDELCISPVEGYSNKRSTLFGKTSTSSSIYCRLTG KRKWTLEEELLLYRTVQKVPIQEEYPLRMVWDLYGEFGRFGKQLRWYNTQHMKDKLRT TVKRRQNEGRRVEGRVRAWAARGTREREEYEEELEEYKRYEQEEDEDEEEEDNEDIGT EDEAEGLQGNNANDQDEHEAIDADDGEEGGQPNGRAVNGHRAVKPVRYVEIDVDDDDF PAAVELDVDANVNDVHVRTNDDDFPGPANLLPDGQVDEPQTVDEIDDFPAAESLDIDH DHAFDGNHMTLDTRNDKGDDDDFPQPELPQAVEPDGDLPEASSLNDDDGRSGLQGSLD APDTNKEDGDFPQPAILPGTSRDKSDNHIDDDFPPATELPLTSTAVEIIQLAGTSDRQ VSDVHPNTNRYPSRGRKRTSTDIEDEAEANKPDKNKEQDGGQNRKRPKTVIPAVVTSV KVLPETSGHKRRSKETSQSRPSRPSIEYGKNRAARKVPEMALVPTARKTTSNPAQTAR KSTNNYTTLRGTRQGKRSVQTARKTTNGNNRVIDEEDQDTDDNEDVVGANGLAVNGHQ ENVNGDDDLPEPEDNQMLQIGELGVNNSNRLRDGDKETTLDKHEQHKELEERRRRVER VVLGKTKRV I203_05491 MAGFSIHPLLPSLKTKVTAIHQHDDRLYVGLANGSLQIYSCETS SGDELPNVGFLKTHALGRRQIDQIGVLGESKQLIVLSDTVVTLYSLPDLPKKGSIVLN QARSAHCFANTVYTTSKGKKADSDAKNAVRELLVVGCRKKVVVYGVGKEGLKEGWELN LPHSPRNIIFPSPPSSTLPETIHLLFTPQTSVLLHINPSSSANRLNVSDIATAPPPPS TSSSLTTEEGGTTGITMGMGALTGLGGYVGLGAKSSAPVGARTVGGEVLLARDDLGAF LSSEGNYTRTESLQWPGPPDALAFANPYIYSVVPVIPSSSTSTSSAVLVPTVHIHLAP TLALRQALSLPAPSTGGLAVSHLANTKDAIPSSIPAENMSPAIKMLIVSNPTDRHLLQ SEGSSIWALRSSDIAEEVDQLVKEGRVGDAIGLVEAVGQNGLSSSRRLPHLKTLQAVT QIAKGEYQSAMETFLIFNVNPALVLSLFPAETISGRLHVPQDRWMELFSAVEGAKLEP NISPEKEEGVAKGLLKSVAGLGGLTKKGSVTGLREMANDTASIKSVKSVDKDGEKEKV PIMKDEDPVPPRAALEALMYFLSDRRQKLAGAISTHPLPEQSSFPPLSSLSAEEQHNL PSFPFTELSPEQLLRVAQVIYTGLIKVYLVARPVLVGSLCRIENWCDVEEVEELLKAQ KKFGDLIDLYQGKKMHSKALKMLHELAKDEEDKLDRYPPTIRYLQKLGPTELELILES SKWIFEEDPKMGLQIFTADEPEVESLPRSEVMRFLEKTNEEACIGYLEHVINSLGEEG PDYHDKLAELYHSRVKSEEGKSVSEKRQEAYGTLLEFLEKSKQYRPYRLISKLAAEEM PEARAILLGRMGKHEEALKIYVYRLKDYTAAETYCIRVYPSNPDIFLTLLKIYLRPSS ISTSTKFNEKEKPEPLLEPALSLVSKHSTSLPASPVLDLLPPLVPIQDVHQFFMRTLR DSHTRKNESKVLKSLIKGRKEELDRMLLGLETKRVRVTDQRICPQCHKRLGQSAIAVH APRGEVTHLHCKDSFSSKLAKLRG I203_05492 MTTSPKDIPTLSEWYASNETPQTEDEPKYNHDEGLNRRVSIWRG DITKLQADLIVNAANSSLLGGGGVDGAIHRAAGPELLEECEGLGGAETGETKVTKGYE LPSKYVAHTVGPIYSSRAVDKCAQQLKSCYQTSLDLCQSHGGGVIGFSSISTGVYGYP IKDATEIAIETTRKFLEKDQSVTRVIYVVFSKKDEEVYKSILPQFFPPHPSSESSNDN KHE I203_05493 MASIDVSIRTAALLALSQDVCALIALTVEATLSVLNFIYRSILG VLGYERTVRFDHSRSVRRESGRGCGVVVLGANEAAGQSLTLHLAKIGYTVFPLIPLPA PSSPPTSSALTHLLLTWSSVQKRLRARFPGHPGAVVPVMVDPEGISDHLSSSARGDLK RSTNSIKEEMGEGRFSHAGETVRAYCKDNKLMLVSIICINRKSKVVFRSDQGNNGFNL RSAGSTEGTREDLDHTNGSPVMMQRSLSAPSTSNSASNDAHSPPPKEDERKDTTSANS NTISTGTGTLINKRPSEPIIPPSLPSTSLTMTDEHTMISLYRSNILDPLAIIKELSDL LSVPCTQGYSNGRIVFIDGEKANQGRIIELNDYTYSDEAVVGAQEGGSEFHESRNKVV EFIYGVREKVVGIVREEMRGIGVNVCEVIVGPMSPRIGTTGYHLRHSSEDSNEGASAI LGDTLKRPNSDVDVILKSPRTVRRSESDWLDRCLEAVSSRFHLLTRLWAVDDALLFSS VRRAIEDSYVRYHHRAGISPWVEELLGWVPFNLGYISKGVVKELLKFGLGVEWIVEEW WKALTK I203_05494 MPTRDQVHNFAAGPSPLPTKCLEEAALGLLNYEDTGMGICELSH RGKEFKAVIEGAEADLRSLLQVPDNYTILFSQGGGTGQFSAVVLNLLAAHRLAHPVPA EEFKPPVLDYVLTGSWSSKAYAEAQRLCAPPFPNCPAFGQPRIAASTKSTSWTRLPSR DEYNFSKDAAYVYYCENETINGIEFPPKAEDKEAFPFDLVSEGVEIVADYSSSFISRP IPNFSRHAIIYAGAQKNLGPSGVTVLIVRNDLLVDTTEASKLGCIPAVPITYEYKILA NNKSLYNTPPTFPIYVSALVLKHLIKNKGGLEGLEQTNREKAQTLYETLENAEKKGKA KLVVRDSSARSWMNVTFNIEGEGEEKKFLEGAEKRGFRQLKGHRSVGVLFADSEGFFF SAGIRASIYNAVTIESVKLLCDYINEFCN I203_05495 MSSTPTVLSSDPSQALGPSLFLQVLSHLPLGSLTSCLRVSKSWA VLISSSPTTLYKPLAAQVGIEPPILDGLYTKDKATAQSSTWSNPDSTRSDSAGHEGGV NWKIVIKDYVDLQGNWKKGRARSKWICPGRNTVWRIKIDHEEGTIITTSRIDGILVSD LQTSASEPLFEYEEIGSYAHLEFVKGYAIFNSNDDRSFEIHLTPTALSKLPPERRKNL PLSNRSITHDKGYSFTLEEHYQPSPPSSGSDELAIPPRGHLTYYKSLTPRTDCFAFRA RIDKQYTPEERLVFGTSSDEEAYIYDLQSESNSEMERFVYDQEDRGRPNYIEFDDSYL FICHSTSVNVYSRTTKKKLTTFPPPVTAPFDAASAIYTCYDPSRSTKKVKPNQEGEVL VGEVNVQGKWIDDNGFDGVMMASGQGRIAGREFAAVHYTSKDLFAITKSGTIYALRNY KDILAIPNPETRDRAVNANLLAIVIRESLRQLSTYGEHVVITSATSVFLLHTSSLPPG PYNTGSSDLLRPTIKLLNLMNVHHKGMGQCSCLQMDREKIYAVYWALGESEAGGTVNY EGERILPPQEAIGDFGLCVKVWDFGLDLSS I203_05496 MLAKAAFPALFFTLAGFILLLLVTLSVPIIKTIYLLQVRFDRSG TTGSTSLGANAGVFGLCYQGGQASFLGFDYSSNAACTDPAVGYTFDENFLGLDNSGLS RAVIKGLAGSLILNAIAAGFAGLSLVWSFFAWLCASRGWDIFTFISLFFSVLTAWLAW ALDLALALVARHRIEDASDDVLDARIGNGVWLALGGAVAVTLALCFAGCGMFGRYRSD RVVQQKPVGYRRRHFWQRNHAGRGTY I203_05497 MLLHSDSAIPVLFMFLLSFSPTKISKLDLIRCTSKVNVTTILPV QEEAKIKMAFGPSGGCMWFDKEDPICQSTFHLRPSPTYLHLPEDETLTEKLGNTNGLA LVHIVTGLVSLGYIFWILGPFIPGCFEISNALMLLATIWGMITLIVIAGYKLSIDKHL KGDSAVPEFKTEYGGGLGIFIVGLFFILCGLLGTVGTARKTKRKDPPPVAKV I203_05498 MNTSAFFEKRVGWTKYTMLLVLLVISFILDLIISIPTLTTTPKL GGIRGTSSVQVPSSDRIGMERVEIGIGIGASEGCMWYGKDDRQCRYTLSFQPSSEFLH LPPKQNVTKHFPDGQIMATCHINTALQAMVTTSFIFGPFFAGLLPFTMILLPITVFMS IFSAISLLIASGQVAYHLKYSIPSFELQWGINSILPFVVVFLQLVLSIYIGTLRCMMR KKNPPPPDMTDEVRLEERPRDNTLG I203_05499 MTNSAIYTVFTSPHHAPMPNHLPTLIVTPHGQPNQILYTYLHTE ENRVNYLLTPSPQGDLCVAKLFPPARPGQPGHSSSSLPTVNNKQPHNVVRCEASRDLR WTIENTGVMSPIYKIVNQDDTPLYQISKPNPNAEFWTMFYFKYAGHQIPPKRIEFGKV AKNPPEKGGGTRITVTGKTEDEKQVWQTLGVGNEDCVEWVVCCACLNLLDDQILQAAE KKAGILGPASTATAPAATSSPASTSMRPNPGPLQRVPPSNGPRSGGPGPGIASSLPSR SGPGIASSAPLGQQHPASNLAQTYRNNPNLRNPPPQQGIYRGPPPPQQQQQQQQMRGG PAPQQGQRGYAPVGNGRRF I203_05500 MSPSPELEAQLDLLQLLTSMYTSTELLLPESTQSILDTYLEEPS SDLSIQGADELECELELPIDEYNANSERVTFQILLSTSSKDVRIRPKQPTYLNRSQYE SLLQDIPQYDQNDESSEYILNGIESIKTSLQSILEQSTLQIQELEVKEQAQGRQIDRV WFWLPSLSTREKRDDMVNFAKEVGLTGFVLAGKPGILCVEGNSQVIDKYMSRIKSESW SDIPKHHKKITERLRRPLPTPDKRAFTDMKEITDIIPHYGQYNHRGDMSEVKRLMDQW GVGEDFGAVVMNSGS I203_05501 MRVFWPSSGIQRNQGVVVGWRIKDTLCVVDIADSRNDDLAGSLK SIIPTTCDYRLISLGQAQPAESTQTHSSATIMFWLSKDEIPILCSESVQLILYTPPDS TRLRFLRTSTPFRGFRNQAMAVQDEYGIGQKSSVKTEEINEIISLINISKVAQRDLSC MATSGYRRNPINNDELKWSTMTSAFLAILFLPLRMCAQALYLTLNHHTSVGSFRQFSS TIDQFALRLSQGIQGPKRFMSTRQVGLGIEVRSESYIRFWNTVWLIFNDVILGYTARQ LILLYAPFIQQLLESSIPKHLVELPINALKWLNDWPVGLKLNTPLSQFFCTGLGSIMQ KWGADVVLPHLDTLLPSMIRLFALSSLGGLTLTLSLLNDTITILTSHFHLCHLLMRYI FNWQLESLSGLWNLFRGKRWNVLRQRTDSYEYDVDQLFLGTLLFTVSAFLFPTVLTYF GLFALFRAATVGSQKILTGAVTALNAFPLFELMLRIKEPSRLPAGVHIKLKSVSGKGT GVDSNGRSAITHVLELKNSPKSIPEILFPPSSQS I203_05502 MSHLLILFSLSLSLPSITAQLTPSPTYTPPTASAGLASSTATPN TQWSNVLGNSLYFYDAQRSGRLSEGSYGNRVEWRNDSALQDGEDYGLDLTGGFYDAGD YIKATFPLTFTLFALAWGALTHGEGYDQAQQTAYLDGTLRWGYDWLIKAHPSDDVLFV QVGSGDVDNGYWGGDENIPTPRPAYPVNSSSPGTDAWSSAAAAFALGSMLYTPSISYN LTSSSSPPTSPSLGNSTYSSLLLSHARSLYNASNSTSPKSTYYESLGEEVSAYASSNW QDDYVLSALSLAIATNQSNYYLDAYNGYVSYALSGLKEVWNWDSAIPAIYVMFSEVAI TRPGLAPEVGLNTNLTGWRNETEAYFDSIIDGSFKRSYLTKGGLLYFDGDSDEASLNP AMAIAMLMFRYAPIASSQEKTDEYNAFAQGQLEYMLGNNPMNAVYLVGSHPNSPQNPH SAPASGGSDILHIRNSPPTEAHVLYGAMVGGPLDNDRFWDWRDDWVQTEIALDYNAMI PTLAAMQLLNQTSDPYYVQVQAGTYSIPSGQPCDAALPCGGGLSTGAKAGIAIGVILG VLFILAGIFYWKRKQIARWWRKGYRR I203_05503 MVYPTLPTNTTNGGKYLSTVPRSSESASSNDPEPVTPELQSRPS MSYSTSSSFQPQPINEDSEELKCRWNDCQHVAASPDELYDHLCNAHVGRKSTNNLCLT CGWKNCGVKCVKRDHITSHLRVHTPLKPHPCSVCSKTFKRPQDLKKHERIHTQEHHQM HKLSKAATSTDPKFNSRVSLGNINSQPRIVEDNRQRSPNSPSHSLSPSSSSSSRNPSS PFDHLLPPHIGGSEGKSVSPSPSALAALHRKQHEELAAYQQREMAILQQLAYNQQQTQ AYAAHLAAGTGLDDLTKIGMKRSQGDLSGEGLDGLFADMKKRKVEPIYDADMMHRLNN LVPPSMTPGFPQLSSMSGYSNYTPPLNASISTYPHLPSLPGGGLPSSVSSNGPTPPLA IPEIKTEADLAMFNEFMISLGRDAAGQYQNHVQPMMHSTSIGAGSNNTDSPLSDNSNG VEDLFNPDELASLGLTGMPGIPIPGAQTDNGSDLSHSLPNESPSISFGGLYPSLESMR HRTGSAPDVSTLSDPSKRPIAGLPRASTGSLHNIPSSNTGKPPYLSNFYGLGPTQYAE SPFNMNEYSNTNSGLGSTGNGLDHNFNSFDSLARSKQNVYPTATLAPKDFYKKTYRHV QPLGASLSARARESAERTAVDEEDDLVDEPEDLDLDLEKTPKIPVRSLLTAEESDPDL KLPSISSSSMRDDRDRSLPSISSIDHYRSSSIASSSSTPRGSPVPSSSRSSSIALPIK RHTEDEIVRGVKRLELGGGESVTTDAQEKEQETEKENERPTTEMIVREMRRRHAQLIR SWLVAVNIEFRRRKLEELQRAQEEKDRLQEIDELEEEEMDAREIGVQVKVEITA I203_05504 MDTEDLLKKEGAVVHRVELLDGFDLPDEVNGHWKDQYTKLNIFN LTTYDEILYLDNDVLLLKSLEDIWNSEGSDLPHGIGGVGENSKIYLQNSDLRIPPPER LKAQDKDYLNAGFMLIRPEERLFESLRATKGYDTFYMEQALINQYASWEGNHPWTPLN HLFVSHFPKTSDTQEGYHSLHAKMWKDPVTPAVKRIWEEAVGRMEEYWRWHDEE I203_05505 MTITTSSLRAHLLQSLSTLPNRHDLGLTILVSKPKKTASIFPHT PIPPKCLQQDFLIVLSSDLPSNANIKPRDQGSTDAPSSSTSTNLPEQDESPKKVSVSA ISANLYTFPSSSSRASSILYVSKVDSSGYSPSVLPLTRLLIASFFNFFLLNVQNVRIQ LFARSQNQYLFANSSQGGGKKVLSGAGLCKWWKNVYEESVISYISSISKDPNQKRERE GGEIKLNYLLPGYEEVESLNLLGKGKDLPEGLKWEYKPPFDDPITSTGNANTNNTTRL RNLPISLATLIPSLPDDPKTRFLEELVIDTFQQNPNPLKSRQQTQQKEGASSSSSAST STSPKKSKKEIELEEELNQRQSSHIALSKIDKNEFWERIGFRQECAGDVTGFFTLETT GEDNINGVVESKTGTSKVETKEDTNEQHSTTGSSIPPTVTDVVDPITSLDPESEKVSV DQPKDIPISSNSTSNSALPFKSTDRPEPVSKEPLLRIEIINRLLTALTNLDFANLTLA IEGSEIWLKQVNSIVKGEIGEKSSEGCSGVIPRKMNLPDSGEGAGAGLVRKERQEEKV TMLMPRKKKKI I203_05506 MAETSAPVAGPSNTSNPITRTDANLLNQFVIEYLQSHGFDKALA LFEQGIAEQGGNKNKDNASKDSEEKGGKEAVFRAPGPVPLESNVKRNIPQAQAVSAST LSLHITPEFEAQAKYIIEQLQAKVQATNANADQDGADDQNKELKDQAANQVSLLDPSD RVEGYKSFRRWVDGGLDLWKPELDNLSFPLFVHTFLELVNFGFLKTARDFFEGRSTHH RPFHPQDISTLSSISTKEHVKANSFCQRMLTDKYVIPLSRNASDLLIQWLSGAGLDED WEAGLHSAAGRAKEAIKGIVFSRISITVTSNSVPLDKITIATRSGLIASALPSAMPID AFNTATNLKLGPPPMTEKLKEQVARTLQDEEPSQQQPNGGASPGNQLSSSLPNGHPNG TTNGVDANGDVDMTSPAGPSGDTQETRENTSTPAPVTVNVQELKIESELEKDKDPSLL SPEENETLPPIPAVFRIPDLKREVEAIRDKRKMIRLGPQQNGDVKPGSSSNTVLPSVV AFTLFDHGESASSVEFSRDSSLMAVGSSESCIRLWSLKGEKLKKKSIGALQTPLGISV SGNKLMHLYVADPSDGSLIEDEGQPFRKLIGHSGPVYSLSFDPLYGSAASPSTLLSSS QDGTVRLWSMDTYSNLVVYRGHGKDPVWDVEWGPMGVYFATASRDRTARLWSSDRVTP LRMYTGHLSDVNCVRFHPNSLYLATGSNDSSCRLWDVQRGACVRLFMGHTDAITTMAI SPDGKLLASGGLDSSIWLWDLGSSRPIKRMYGHKSTIQSLSFSSDSAVLVSGGLDSTV RCWDVKSSGGEKASVNEPTLRNGAGTGADDLQGSLPMGPGRGNWEDGPHTTDLLATWP TKRTPILRTHYTPRNLCMVAGSFVPPSNASKGPQ I203_05507 MGDTGWEDPFRTPSPDYDGDDGELDTHELPTGSLMQQLDLTSRK ENVTFTETPFTIAARRARYGDSNSKNGARKEAALASSVPSSNNNPPPSTGSFPASARP PDSGPSSSKPTSSRTQTLPAKTNVPSKGNNSKKRDEVVEEPEEKVVKRVRNSGWFDPL GKPIPKDPPRKKQLMDKLSDLTTAEEKKKQRNQKAAATRARNKALKAEQAAWKDREED LKFGMLPPGAEPNEDYPILQGFEKMKDLPTKDPKGKGKGKNGKNGKNDDAKPAAKIGA EMLRMIISGVPESSKKDQMTTEENGDSSDQKEKEEVLSPSEMRKQQRVMNLDEIIKVH AKKTAEMFRDTREPEVSRMARKDVVDITDEGHKSNGKSQTIAILSDDEVISSDQPSTI THLFSSAMRDIEQAGNSSSEVIPSSSPLDRYSKKPFSLLEPRPKRAGEHFTHIDDVGL LEKEAGRVANHEHTSGSKSTYPRRRFDQTSPDEVHQEQLQTPQSSSTYRQNLNRVLAK PAPHSSVFPDNDFLIEGMKRSPSPAISIDGMKEEDWSTITSRPGAGPAKKKARRFNIF EEPSYAKKFRKPINMFPSRTNTNGNTDIQKITDHLRTSTTFPSLNNHLVSTQSYNGGN TRVKLFTPSRPEEDQPDSMRGILSGKTIKGNQYGSAVKGDPSVTSRNNGTDRYHQASN SIKHISAPLNGISRSVTTHGGGASYTSARYDQPEQLHHPYYQNRSNGTSSKSANGDGS HQRVHPTSTDRINLPEYKYNGDYPHQVRVDQVSRSHIHSNGYQRSQTHSNGYRPTQVG VNRNQTNSNGYHQAQAQSDNFKPSYPPNHNTYSLNDTDLHSRHVIPAEEEEEEDWTKA WSRAAQQKSRQTVME I203_05508 MTLLAKPSRSYANVDYDPNGLSGVGKSPYGASSSTSTSKKLLKK DKDPSKVEGGFKTYRPPLAETHLRRPLGYDPHPDSHFAPLPPAFHLSGKHKKDAALAG QRAELEHILQPEYKSTYNYDMDGDSSSIAGPSGHKHLSSRTAGGLDRKGKSPISAALR PSTSISSFHQHSNNGSVGVNGGGPGRKSGSGSGVYIDSNGKLHDTEFDPFGHISEMSR AKSRRRSAFGSDRRKSKNGSSSSSSDSGSELQDNNNNYVTRRSTDTSGREREEEEIRK RLEMERKRLDDVSGYAAARRRSMMSERSGRPSTPSIKSTSEDGQGQVPNGNGAPSIYT TASSLAPTAMTGRSRSQHVHYVPSPLSPTFSVNQTPSVYSRTPQTASTTDHKVQGTPP EKTTENTSTISHNKLHRERERETKSRVEVTKDGSKKITGFDAPIYPIPPHTPVMESPN GHLLPHAPLTSSSGRLSPALPRSSIDSKRERIPKPAERPREELFPETPAQIKKREERE RRLGVGQVASSAVGSSRLNNRSTTSGHTHNPNGLMVDTMAASANSNARGKSRILPEIE IVEDDDPRIVFPPEGKSTKIQSKHDHVIRGPFSLALNATGGGAGGSEAGDYISGRRGS SSRSIGGGSGHNKPPSTLVDEDGGYLPSRWASGDKSLRTTEGDRERYRPMEWVSGGGA EIITKNDEWHPSTKDQLKRNMKDIATSARFSLFRTKKKLLRKAEL I203_05509 MKSLFSRFKGGPSDSRSSSRANSSASTNKEREKENLSSPSDPKS KSSSSTNKQLKKVLSRNHLSEKPTSSSSASSPDPPPRTLTPIGNQHSSSSPNLTYDDP RPHSITGSAELLSPVVGLWDEPRGENRNRHGTISASGDIHDRPGGKKVTFRSPAPTPT TSVVLDQATKIDFERRGSSSSIAGGTSPSKRASTSALKPPSASQTDRPGTSQSTNSTN KRPRPTSRQTSPFLPSLVIRPTAFRKASLPPLGFNTHGNGTTSSSPTKSSTTILSPTP SDASTGAMSNMSYLPQPNSWSEMAEDDLIANLGPKERTRQEVLWEIVSSEDRYVQDLI KFNETFCKSLLPLSANSPHLDLYDPTPSIITRTMSPSSPALSGNESFVNLPIAARYSS TTPRYSGERYPSDSSTSTAPPMTPNDESIARGNLNLNLNGPPPSSAARMNAYNILTNG RPNTGLGLTNKASISSLNGKKGHHNSLPPPSSSRQHSNSSQSDLKTNDNRLSYHPGIH NKLHKASSRISSGGSIGPSSATASGTHVKLPEDLEKVLTVLSGGILDGHIKLSNALKK RYENQYPLVRSLADVFTAHSYILREYSTYVLHLERALSQVDESLSRFSDISGGSGTTR SKRNSKRLEESDIGILSRRLMGLEELASEKGEAGLVISLSKPFQRLLKYPLLFQNLLF NTDPSLKEYEATLSMVDQVEEIVRSIEDQKGDEEERERTRDVWARIDGLERDKVIMAP KPNRMLIGETQLPVPEGSHLKVQKDIPTSVKGKKSFKRLSDILKGGGDSDLWVVRFSD VSLLCEKTGVTHLPIASFKKATKSDSSNDLSGKKHATMGKRSASVRARNLYRFVKVHE WHLKSRPSTDGLLDMNDISASRQRLSEVPRSPPVSKYPTLPSIAGTPHATPTKVPLKT VDGSANPSPTKSFRSRKGTGNGNGLDDDTMSVISDGISEMSFAFKDGDQVKPNLKAKP KTRQSLPPTSASTSATKPGRNIGSVGRRTTSQPGLTRRLSGGHVGGQAANAKFANRLR SPDLNHNHHHHAASDTEGPPVVGDRSASAAGKARRSLPPTSGTTMSGGLRPLHTAGIA SATASRPAWNSGLSTTSTTRRASTPASQVGSVRGTRLSQMNNDNRSGSEGRRIPIGRS SMTGTSNSNINVNKTALGRTVTTSSTKVADEDNDNGNNDMQDNGEIRKMPSKEDSVVG LWRAFDESKSEGPPTSSGVGLGLGMDSAEGKSISSVRRGGTRGTGVPSRGSVSSLRGV TSTPRGSGLRGGSPATGLRGGAAGGTTPTSVVGSGRLTGKAATVGRGGNLVSSRKRDL GGKI I203_05510 MSPSTDLYETLSSLLNPLALSGRHRSTSEISDGLKRIRRIVLTE GIPERLGKPSLRPKIWKLMLKVDNLNAEDYLRWVSMGPSSDSHKIKNDTFRTLATDMQ FKGKVKEDMLIRLLEAFVWKITSSELEDEGQPFKYVQGMNVLSAPFLYAMPSQLEAFA CFSIFIENGCPLYVQPSLKGVHEGLKLLDKCLEIVDQELYDKLSSKNLKAEIYAFPSV MTLCACTPPLEEVLKLWDFLLAFGIHLNVLCVIAQLLLMRQELMDSPSPMKILRTFPP LDARPVIGITVALVKDIPEDLYRELVNHPFSS I203_05511 MLNEELSTDMDRITQLQDAILDLLTITSTSIDYITKRTEFEQTS NTIPTTLQTPLAANRQEYKASIETFVNDIVRRSKDIKALIANLPKKDDSSQRATRLSE LQEELSTANEEYKIALAQSEELLLELQSALDQALGEDSPYIPIPTKIISSTLDEDNNQ RNTTVGEGAGQEGNTIIDA I203_05512 MSIPTRGTGNPKDPISLDSSDEDRPGSSQAGPSSAAARSGRPSS TTRRASLPKSSQFVDLTLDDSGSGSDTAPASSSSRPPASSSNSSRKPRRSIPVNTYRD AIDLSENQPMARPSRPVKEEVTGEVGSWLNPVVYDPNVAKSKIQPVEAPSGSWLNPAT YVPPPSSRSISLNTSVTQDGSWLNPTVLAPPPEDSPPKEDFTLPQSWTDLRSLPSTPG PNIMAGHAPSHTLSPPKQDFALPQSWTNLSSLSSTNGLNTPADPLPKDPIAGPSTRST NISEMDGADHMESLIDPLSTAQSNSDGSQSAPDANIQPSSSIKEADDGVAGSWFNPVT LEPPQSDQPGTESESGSWLNPATLQPNISYKRSPSPTELVREDEKSRKGKARKVDWPP TNVEPQKEQAVVISPQAIKKGPKWVTDEQPSPKKSQPVDGSEQSGKGKNNSPSPLSLA QRSAPEKTVEQDIIMQDADVPPALPIPPVVSENDIQMEENSLQDHNTVSDPQNLPRNS PPVSQISPEPVLGLHLNNIFQSLVPPEGSRDPSPALIADTTLPMTAEPVLAAAAPVEP KLVETTTETLTISDGEGTMMTLDADVQITGPSTQYDIPQEEMVPLSDLAVMDTPRSQT EDSTQTIPTHQATKSSTQMTPKSSAVPRPTSMLGKSPSSPNRDRPIEQGSPRIFTGIP IPQTPEISMPQTPQTTDSQEVDAVLGTFIISPIAEMRPVNATQNHQIAIIDSQASPAQ DVGLAREEPTQPDAIADSPTIHPLPSASYNPSPEPSIRDDTWDPQPLTSTALQESQSK GFDVKPVLPVPSEPISITSIHGSSSMHPIEIDDDDLDCLTSDKGDESPIRQDILVEKE VEEVRIGMDDVEIAPLPQDSPVELEQSVRRSSSAPSIEEITGEVFIPKVGESSRLIPR APLSELDERQPIPRVRSRSNRKIISSPEDERRSSSSSDQSPTPKFEVVIPTRSRNAWR WIVEHDGESDVEDMVDGISDGAPSSGPSNAVELVEYNGFTVQSSYEFPIAGEVHTPPE TEEIESNIHTVLSEILPDPLPPSDRIGRANRMLNTKLIDEWNRRKPHLTNNPSLHRAV FEAYMAQSTSIDEPQADEIRVVNDIDGEGAPPDFEFQYSNDMLYNPDVPDPELGVGCD CDGPCDPNNKNCSCVRRQELYFYDLGMKGFAYDELGRIKETSVSVWECGKNCGCPPEC GNRIIQRGRGQDTKIELFKTRWKGWGVRARAPIAAGTFLGIYAGELITEQESEERGKL YAQIGRTYLFDCDGWQIAHPPSGLSRIDSRSAELAALAAQRAKIAAEEADDPSYVYSA YSVDAFHYGFTRYFNHSCDPNLAITQAYVKDFHPERPILVIFARRPIARNEELCISYK GLPDDDEIPIPTPQPKLTTRNAKAKKSKTSASAHITPTTKGKVAAKDRCMCKTARCDG RMFNYGG I203_05513 MARNKTQQVDQSKDQNDNKGKGKSKQDVYPVIPQKDLFQRINFT YQASIFLQSLGSGSSAATSTRGFTSGEDGLELKIDRKGKRKAIENKTTSSPHLHDLEE RMTNEEGQDVEKGTTKKLRQLARMNIREMNGMSVHNQLKLDPSLKRSLCKTCGTILIP GLTSKIRNRPNRNSFSITHHTCLTCLSSLSIPAPPIPTLPAQNSVNSILDDEQLDGPV RRNRRRKASKRGKIIFHEKERSTADGHTMDGGHTLWKGLDKVEGWGIKLTEAGVSE I203_05514 MSTGQSPSKGYINSPPGVQPGMSTTATPTERRLNNSENSSLTAV DDDGNNNNNGQVIPGLSLASSPSNTGTNPKNSKTRYEQWYPGDASHNGRPAWWNKWFC CGYGLGCLLCPCSSWNCKYERS I203_05515 MPDNLEYEEEEEEDLFGNDITQDVNTAEPIATATPAPAPAPSHL SSPSISNKAGPSRIYPTTTQAGPSTGRVYKNVDDRLRELQDLRRTGRDIGSKDLEMGA KSLRDLCMGVIKTNSARIWDIGDLEYPLIKPLIDEVPIEQLQEIESNSPHIKKDTDWL YEIFLLQDYPLFHERCQDRHGEPRTSGWRRMYKKAKEDFHVRQTQAADRVAARYKQLE AEKASKRIVVMDRIIPEKKSSKSGWGRGTGGLSSSGESIFPKPQSAIAKARLEAQRAR VALTHASGRYIPPTPQRRTNEPTQLFKNPYLPTNQIQTQISQGPRIPPPKRSIQSQSH AQDRRISEPSSPVSSIPGSHPIIRESLPSHLKDRTKDSEGIKKKEERFKIDRPTTKFK EVRRSVGEKFVVPHLEKEKPKGKGMVMDFFAPPQAAIKSTAAMGTKRKERAEEPNGEE GIKEKKGHSSSSPHRPNAHPNNQPHISTINVSKSTPSHKPVSAAVPSDNGIGMDDAKI NSVLFRKKKAVRGK I203_05516 MSSRANKATMHELKLRRLMEHNHRLREELARPRVMVSVASLNLI NHCRSTKDPLIPSLWGPLQKGEDPYAPVEQAGCCSCELFSLHLCSRA I203_05517 MPRNTAKRSRLPSSIDSRTTPPPKTKKPRTSKTGKPTLSTRRTS GIKHSSTVSEGQAEKDDPDDTSSDSSPSSSSSQDQDSDEDEDVMEHSTRNSNSNSDSD MKHQKEVIIAMVDRIKSKQLRRILEDSAKYLRKDGTDLDNPGKDDVSDMRKVRNIWER VMENWDDSEEEDEIAVVEEAEKLANALTAPFDKLQAQSTSSLNEVTYTVNQAFQNALE PFRKDIILEQLKLSEAAQARLAQQTAERWDFAMAHVAHKQQKFQEVMRQIHAIKEEKK QLMVQAKKDFDAALERQAAEIEGFKKRIRESQERCREQLIKAQDQDRINKEVNMLMAK FLNGDRT I203_05518 MSPPPPDIPLPHQLALNEKQDDPSPETRRFFPSKGQVMRVLTLT QNTSAMVFSIFLVPHLASPAVASVMGIEGADKTMMISRDLYLPLEPYLIYLPLTLHIL SSTLKRLLMILPSSSSVSSKSSRSMKSIRSRLPRQLHQIVGYPLTILLMAHILSHRLI PSRSESPIFQLSPSELGYEYVGYNLQNVIGWTSYLGLVGLGVYHAVVGGWKISGWIKR SNKNDRRVKGDSSEQTNTTEVKSSTEYNEDKENEKIIIVNKPNPSSFAKSPLSSTSSK SQITGLRALTVALVGFIIIGLYRIKRDVDVSGVSQIMKIRYDAVHNNVPWAGIWR I203_05519 MTENEVRDRNLVDKNTEKPDERRWKRRFGFMGISILILTTITLQ LLVSLSTAIIYPLDLMHAELVPGRGDGIPRRISLGGSGGCMWFDDLSGPPTKCTIGTH FHPDAQILSLSEEKDTILWAMSAKIGVWRISNFLATGLVVCGLISFVLAIRFRRIRIL TSAIFYSATIVTWAALICNITYLIIVQRNIRSSRPRFHADLGNVIWLWVTSTALVSVT SCLIVGFFGPEKSEGAGLQEESKEGNIDTRSRVVNDVV I203_05520 MQRYQPPHARQRQRNGQSQYQTRAEAQNGRQDINADSYVRPDRG PGPRSGSGSYSEGYNENVNARLSTRDDRSYTHNIQQNDQYRQQRPNSSSYSRYTINDR PGLSTYNYTSKKDWSTIPSKNTSKNDGVFASPAGDIKPMRLNKIKKFDLDSNKDDGEF DFELVQSVSRSGGDGKEGDLLKDWEIQEKYREFIEKKITKHHSTFNTTYHKAPKPEAK DEIESLGSIVLLFRKLREGVVASGRIDTFAIEVFESSARFSILANNRPQLISSLSGLV PGLYKALNNRYDNGKVNPNSESVYHSHSHSQNGEEVDQQLNNLSICEEEKKKKDGRKE FIILFLLYQLVIIGENEFWSNYHQLTQSSRKKKLNRPFDDITSNIDDFKNFNQIERNG TTESPFVAPSSIALAVNIARIISPDQFDPIRYFQLFNTQTTEYEKVILSWGEDRIRQR AWEVLKKAYMACSIEWAVKFLGLNEGEVERWVEERGGKVEGGLVKLR I203_05521 MFSKNLILAAALVGQAYGLTINTPPSLVQCQPASITFSEGTAPY ILAVIPGGQVSAAAIETIDDNLTSSPITWNVNLASGTSITLKITDSTGTIAYSSPLTI QAGSSSSCLNTTAATSGVSTGAVTTTVSSSGTASAVSNAVSTTSTTSAAGSASASTSR AASAASSAAGSATSAAGSVTSAAGSAVGSATSAAASAAASAAGSGALANKVVALPAVA LAVVGGLALLL I203_05522 MQDISNGLEPFPIPLIQGEVGSFETYITDSIFPNGTPISSFRPD EDEEQDDTWTCSCVRVDDDEFALCTVRDSDTCDCVSGFGNFYTLPDAGFNQVLNIDAL PERLPLVECSPQGPCAERCANRLTQRGVRLPLMVKQSSSGIGHGLFYSPPSGRSILPK GSFISLYAGEYLTSPQAHLRWVSQLVDSKVGEGNYILSLKLPDELWHIDPRYKGNIGR FLNHSCEPNCVIQVVDWGMDSLPRAAIFTKRDIKYGEELSFDYANASGSTELALTLEK ESHHEQHGKKRTKCLCNSTQCRGWMPFDESL I203_05523 MENPLYGHSVLFAEGQFADEVKDILSDRIIELGGQVTFQREGST ILLANPAHPSYKQEIDHISFLQKTYPEILQPDIKPYHWIANIYYLEKILPVEDLPIVS PIFVHPSKIEDHRPIKAWVSVNVTREQDETPVQARDNLTVKLECAGAVSVTRRASADL LIVDESSDFAKKVKAEKEKNNRTWQRIVERDWVDDCLKKKRLDWRITNSNTGGDSDNE SFVEDDTALKKGKDGGKGPGRPAGGQRVDYTPQDDDFLCRYLAAHYPSGAWSSRKTYE SLVSSVNYSLADRHTPQSWHERYRKNSIQFEKRVRGYIRDEIDITLKTRLERERAKPK SAKTAESQIAVNDDHTTSRVIEAGPSRTNGQVPIASPSRARQGKKKLVQSDDEDSVPP AQASSKGKEAQSTGSAISDDNHVTPEAGRSVAASSTATTAIPASENGPPETGNGELGP SHMPTADPPEQVAIAENENQSLLRDLMPSGSQLPSTTPAGRGEDGSSRAGEVEENLDD KKISEDDRTEKNASTVSRNESITETNEDEESQTGEATQAILADFAKAQQAATQQRANK PERDQIALTPTKTFRVDGKETTILLPKIDVASSVPKPSNGNLTPERQPPMAQNTQSSP INIVLSPPKRSLQAASSAPLEIGGISPSNTTAKSISLQLEGDIRAVAVEQGTSRPTTT AKAIEAGPSAAQLTTSQRIDQPPETPTSTSTSRSKRKSLLHDHLIASASKRSRRRETS DRHSRGHRQIVIDDEDETEPDMVFRETSIPPRPDRITPSIPSSPVPDSQRPAMREPSP PLSNAEKHEKALSGKALMERSIQAYKDRIVSLAKRYGMTTREIIAFINNGSGSGKKSR NGGERYWEEIERGLRERQ I203_05524 MCGIFCCFNRQGDLASYRPRAIACSKKQRHRGPDWSGCYMAKDT IMVHERLAIVGVDTGAQPLVSEDDQLVLAVNGEIYNHVALRKGLKNQDAVFKTHSDCE VIMHLYREHDTGLCVMLDGMFSFVLLDKSVEPPRLIAARDPIGITTLYMGYHSSSPDT IYFSSELKAIHEECDNLISFPPGHFYDSKTKQLERYYKPTWWDGDKGVIPHGEVDYKL LRETLEAAVRKRLMSEVPYGVLLSGGLDSSLIASIAARETDKLAEEHEKFRRERKQAI ADGKWVGDEKPLASWPQLHSFAIGLPGAPDLIAAKKAADFLGTVHHEYTFTLEEGLDA IPEVIYHLETFDVTTVRASTPMYLLSRKIKAMGVKMVLSGEGSDEIFGGYLYFHAAPN AKDFHEELVKRVKNLHTADCLRANKSTMAWGLEARVPFLDKQFLEVSMNVDAKYKMFS KGTHQEVDADGRPKMEKYIIRKAFDCAPDGKAYLPDSILWRQKEQFSDGVGYSWIDGM KDHAASVVSDEAFAKRAERFPESTPDTKEAYWIREIFEHHFPTKAAASTAVRWIPKQE WGVSSDPSGRAVSIHTAAYENKA I203_05525 MPTESTPSISLPSTNPLSLSQGVIKCSICGNGNLISGSSTNTST NEGIVVLSDPIERICGRCVNARRIGTAVEVDTFELNREEDKLNISGIDQGVDLGSSLG LGLGLRGIDLNERSESSISNDTDTQEDSSRESTPSDSSTRRCEEENSTPPRNRLDNLS RSLPTHHPRPWKTTLNPIRTEPSIPPTPECTTSLNVQEDERPPNPLLDLTKARVPSIG RGALYPGSIFRGTQTSGRSAYEVEIQFVDVNFAESSVSGYLSISHLTDSYPHLTTYFD GEIIGNKYGFITGSRYGATEHDDMRHWGRFEQFRRPSTRMDLLKPELCFRDPLPDKSM GEIRSRERDFVFLRIKEKFLVPDHKVRDISGASFAGFYYALVDLSPPSAPIEPSTPTS PSTPKTPFGPPAPIIIRRGSSQANVRPDGTRRRESSSRLRDVPVRGEATIRGYYFHSL NQEPFQELFLSHVPQRSSSTFEFR I203_05526 MTTFAPRPVGGQSAVDPKHQPWVEKYRPKTIDDVSSQEHTVAVL RKALASTNLPHMLFYGPPGTGKTSTILALARQLYGPDLFRARVLELNASDERGISVVR EKIKTFARETPRHVGKSSDGKTYPSPPYKLIILDEADSMTQDAQSALRRIMETYSRIT RFCLVCNYVTRIIEPLASRCSKFRFRPLAQSSTQARIEMIAENEGVRAEDGVLPLILE LAGGDLRKAITYLQTAQRLHGATEPPTPITALSIHEISGVVPDDLITHLLSVMGVDKE QGIDLTLGQDGFEGVRTAVKRVGREGWSAGQVLEQIHDALIPLPTIPALPKSLAAMAI AECDKGLCEGGDEELQLLECCLRIKDAMGKQ I203_05527 MTFVNPFVPQQIPSPTPKTKPKLRSCLSPSRSPSITAYADDSAA YTPSGSRSTSFSSCTSANGDGWKRAKCVRWQEMNGCAVTSTYDTYSHEEYDRTPLEPP SVAERECALPERGSRCLSISRDCFLSDSNSYLDDHEDEGEDTSGDSYFLNTPPPTETC SEDGEADEYEAREKEDWEECMDRRRQMFAMMCPQLRQMNGDHHSPVDHDRHPEFEGYK SISATLANLLKLVSDDQNERPSDQEGEEDQEGVDEVVKGDNCGFGFTSLTRDILEIDT PSLVSSESELGLDDDDCIIQSPCGSNNGSGNSTIIPNISQQHQQQGMMCAEELVMAAW SNKQKQNERGRERMRREI I203_05528 MSVHTLPCSSSSSCNLITSQAEEEDQGISSQDQQPLPLILQLPP ELIDHLLSLVPPAHRQITSLSLTRVFPDYPISTRHLFEHLIVYNPRQLWPLWRKLKSI NDGEQEDPRSDGGEENRKITRSGGIKSFTLKSWKGDVDILNNILRCISPEKGLNTIML NIGTNFSPDHLEEMFDQPRMGLRRLEMRFRPYVEQASYYQFLAGSYFDTGIETLTKKW PSLSSFTHLSIVQDLPPRSTVPPTAANSKSTSLEGSLADLSLANTTATGSGSDSDQDD SGRSTPPTSISSASENPMDIGTSKSYTGHGPFGNPFLNEKLGITKPKTFAQPIVFFDI NCISKFALSPIAENLTHLRLRVPSRDLLRVLIAPPRGINTHKRLFPNLNYLDISTTNV RIDTNLTTLLRTYSKLEHLVLDRVNLFGFTARDKGPELCNDLGGLIVSAGLARGKERE RQIAQWELEQRTRFAEAEAARIRAQRLAAEQERLANPSAEDSLSAEVLDEIRRRERME EQQRQIELARSRRGHRSAAQSTFSLRDRSRRSGASSSTIATGSISQIDLPPPDKLYMV LPPLPSLKSISIGGEASGVSSAKVLEWEEEFHRGWKDGLNKVLGWAVHIADKYERARK KADEWRIQELKESNNKPSTSSSSTNSSGTKQGNGHISKSKRRASISSSSGVNKQYSKP PLDIRLFRFPSASENVNEDEQGIPGPNDLTAGLVEIDPSETIHREYLDNYKQAIADSQ LYLDNQDQNQSGGYNVKPPCVLCTVPDCEGPARRGAEGERVDGRGGMNGVHNKGCGHE LGRKIWGWEGFENDNE I203_05529 MSLTKPVPSEIPLPSTPPPAYKALSESSPNIHPTPEPPIEKANT FPGHYPTAEPSITVRPPNPHKPVPILIAEKVRNRPISKSKKPEEEVFEYPPPPPPPRV FDWAELSKSKPRPNPTPLPRVSTPETTNYQQSPSASTPTSNNLKKKKSRPRLPAGVKF EEEESNTNRKKNESETVPTPDKKQEITQVTPTPPPPPPPPLPPVWTDYNLPWYVKRTK MAAPPRMLILSGGGYEAFVAMPPSYEKALALATEKFNIPNTHMIRLSCKASDMQWIGG YAGSEDIFIADNDSFHYACAGKHVARLGVHVYDKNAKPGPAPAAPAASGGGGGEKKEE KKEAKPPAPPPTADQSLTCQTTAGKNVTLAAKVTGELAKGIPAGNYLGTLSIEDKTWK QTFVGNQLGPNEVMTKYVVHDKNTARLLFRPRSARPSIEFLHPEEKSLEVSLSIADWT VTSAYPMTSLLPDGARQKLRWFLKVQPGGIVEDMLTGTQSNGLFMEMIPSVKAKPDKE PDPDAPLIPAWPDIRPSNAWCLPQTIFIPHIDRILTALGLPVESRTAMITSWLPGLTR HKNIAYRILNRSQLDPSSTLTIIPPPSVMLRIFVLFKGIPDSELKDWENAGVLHAEMG LDWRDS I203_05530 MSFANTDDRSYGAAGYYPRAPGSAHGPPPPTAGGQSQHGSSPEQ RLHSSGGYKTTPAPHESPSQPVYPNDIGAPPGSSHGYPPQPITPLSGQAYPPQQPPSG GYYGITQTPQSDPSQPPTQQHIPSPPPSSNGRPSSANFTPDGIPIVPVGVSGGKMFRC RGYGDCDKVFTRSEHLARHVRKHTGERPFPCHCGKAFSRLDNLRQHAATVHADQSALN EAMLSSLAPVHAALSQRANRDQRKRGEVVEVPKNAVERPRHAEYRAQKGSPGSAAAAG QHQQPPDSPYAQYPPESQWNVAPPPHARPRTSGYEYPPYGAEGPPILEDAGPSRRPAS SAGYGYQQPAYYDQSARPPTAPGTASSSDSMSQLPYPYRPMSSQGRDLPVPSHYAESE PPSTAHGPPQSPMYSNVPQAQWSSPPPPHSAYPPQDTSAYPPNTAEGYAYPPPPHQHG SYPPREEVYNYPPNWTPQPQYSNVPPPPPTAGGYPPTYNQQPPESPFQYNAPGPQDAA YPPSSYGYDTRKRRVEDESGDAALRKHHRPNDDQGQGQPQNLNAALEGQRDPSWLPPT TERRSSLAISALLGSPQQPSRSRPATAGGANEGYDHAQAAYQASQAFTQPPQPEASQS LPPTPTVGMNGVRRSKDDLAVQGTSTTTAEDGQSMEQKAKALLGQGR I203_05531 MPQDAELIGSYLSLGLQALIPIALGSFKSLKTPEETIRKRKSSR KTQLLDGEEDEEEEEVIEETLTWTDSLLFPVFGSIALLGLFLILKYVGKEWINIILGF YFTGAGMFAMHSTFSSIVIWSLLALGRKPTTYHIRISAGIRQVFHLPTTLPSMILIPI SIALPLLYIPLGRPYILSNILALSLSTATLALLKLDSFLTAFVLLGVLLIYDIFWVFA TPVMVTVAKSIDAPIKILSPKSSPFSHPKDFAMLGLGDIVVPGLVIALCLRFDLHRYA KSHPQEEVTTRSIFPKPYFYTGMISYVVGLATTMVVMHTFRAAQPALLYLSPACTLGP LLFAVVRGELKDLWAWSDAPAEEEDKKLLDETIEAASEVAMKARAEVKATEIEAAKKE GEVESNGNGNSTTLIEDDDSWMTGGVVTPDEGKARKRKSGKKK I203_05532 MGKAQYKKKTQGRRHNPLRVPDVHLGGGKGDGKADPTKEKQMLP ILNKLKSPEYADRTWACAAICNLIHNDAATRRLFQSRNVVGELIERLSDSVDEVLVEA SGALRNLAIDGGHEICNEMANKGIMSHLTVLIGKISNTIDSISSSSQPQKQTDEQFQN RKHLLSLAENVISLLWSLAEANPKTLAAVNAVGCEGLLIKVLAGRASLSIGVTLAASQ ALYSLTQDNPPFRKAIVNYPNALEAIISVIEEDHLPVESSQNAKARKGKGKDKQTNGD VEQELPDGRALLRRILVSGVIRNCVLVGSRTDERVNVSGLTSGTILPLVNGLLDVNLS HVVNRVQELVQQIPKEGILNLGKDLKTDHQSPAEVSLERIERNLTTVIIALEVLTTIC AGLEDEEEEPVLNTAEAIAEQEDEDEDMEEMLADEDLIEMGRDPSFAIEEGSHTPAVN PGATLSHLLNTLQLPARLTALSHLTPLSFPPANNQPSPHPPTTSVLSVLHLRALEALN NLLLTTAASLPSRSDAASQLASSVPIQQIWSDMFALIGTLGSEPDAMKLKGQEMRVEV LEMALGCIWGTVKVAPSQLDVQSNNIQTLIDCTSVLRSDVAKVRVIEALSALAARENV SVDENKVIGTWLIQTLTTSLSLPAEVLIAILNAIIDIYADETRSYDSPVFVQGGFLTQ LGGTVSKVRSEVKKIDKRKDRQLRTQGEEVYENLTAFIKYRRSLRQ I203_05533 MVQTTFKVPPKTGGDDLDDGLELDPDFLAASDEEGGSEVEGEDG ISERGDGNLPPLEGEEDEIVRSPIAESKKRLIEEVEADDGEDEEEAKKADKKKRKKEK EKKRKAKKRQHQSGIPTEKSLTHLTPSELSSILLNSIRESYPSASSVELDDITIPESN LLPPPDYTPSISETDPFKPLQQRIESLLKPLEKKKLVVGQPQVIILALSGLRCADVVR GVRDVKGNGEVAKLFAKHFKLADQIKYLQNKKVSIAVGTPARVGKLLVEGAIKITSDT VLLLDVGHQDSKIRTIMNLPEVRDELWKSVFSGKSRETLLGGGIRIGAF I203_05534 MASLPMSAELSSMSILASSKPYNPSAAFDKILADSTAKHAPLDI ADHGSKLNQTNPGLDYQSSPGSQSTTGASAEGSEDTSQPTTQRDRDNARNFGLY I203_05535 MAALARALPTPLHNPMPEYEDVLPSSSSSSSAPPVGPQIPKYGS RKGWKPKTAVDYNGGGAYPECHVAQYPLDMGRKKTGTGTTLALQVDQDGLVRYDAIAQ HGRAAGSKVQSSFKDLVPLANRTDVSEAEREMERPDASSINDTAERTRLALERITHGK IKAAQPKHVPKTNNDATYIRYTPANQGSSEGKQRIIKMTEVQEDPLEPARFKHKKIPR GPAEPPPPVLQSPPRPATAQDQKDWMIPPCISNWKNNKGYTVPLDKRLAADGRGLQDV HINDNFAKFSEALYVADRHAREEVRARAQMQQLLAQKEKAQKEENLRLLAQKAREERS GITSSAPSAGATAPPKELGMGLGGYDSASEDESEEDEGSEEEDEEAIKEREQVRNEKR KEREKEMRMSNMGSEMRTKMLAREANRDISEKIALGLAKPTAAKETLLDSRLFNRESL STGFASEDSYNLYDKPLFQGSSAAAAIYKSAGSGKGNDESYGGGTEEGIRNELEKDRF NLGKATKGFEGADSSEAREGPVQFEKDTIIALDGTSDPFGVESFMNAAKKGGKRVNED RDEERRKRARDD I203_05536 MSAIASASAKGDEGELITLLKRLATPSSSLVNLSRSEVSLLARS LVPSATRQSRSLAYLCLSKFCDSTSHHPDSTKDSSTDHIYSTFEPYLRSTFIPGVNQS VEESTEPESCLPLTCLLSSLFPLSPDATVNLLTTPLEDVGDGLSILLEVAELPSSLQV ALAELLTAAAGTKSGRQMVRSRAMEWLKGAMDYQENNQELSVLCAVALSKMSRQEEEM VPTPSAQAPGQGDNNESRGITNEELGMDDEKLCRQLMGFMENTSSSTKPSSAILSTIE GLAVLSLKPKNKNILTSSTKFLESLISLSPTTSPKGGSLPVTPRGSMDLTVSLDQIDT GVCFGLSTILLNLTNPKVQLSAEDQQIAKLRAMALSANKSKLSSNTEDENEEKYESEE EVRKRTKLVLRSGVVGALNGLYRSESTKVKENLGRLCRNLVEDQNDRLSFIRDGGFKV LSNVSRDLLNLAIKRSNGDGEEIDVIPSFQALAKMIITTPPNLLFPPPHLTTSLNSLT PLYHLLIHPSSNSSQRFESLMALTNIASIDPSIANRTVAASINPLIKTSNSWKGSGSI KEDEVRMIVKVEELLLDENDLIRRASTQLICNLISCEKGYEYFSGENESSSDNSSSRV KSRLNILLILIGIDDLQTRLASGGALAIITESTNACRLILDLNVNEISPTSTSTTGSK SPWSRISKLLEPDSEEEEYDEDGEIIPVISSTPALPNLDMVHRGVIILFNLITYTVKL KENEQQTEMNRLEEAKVQDKLMQVLRLKGMSEDVLVPTVEALKLLKMAKK I203_05537 MRSISFLWSLILSLLLINPAQAVLRPRTPHPRSYDTHTYYALEL DPPTSLSIASSISSDLGVELIEPIGELEGYWLVRREGSSSSLVKKDLPNDPILRRWES LSSSQSGKRSLTPLILKQRSKRLHLPFKRSPHGLDGRDDTTELLYAQNELQLKDPMLN QQWHLINTDMKDIELNVTGLWGRGITGEGVHVVIVDDGLDLNSDDLKDNFFAEGSYDF NDHTELPLPRLSDDQHGTRCAGEIAAVPNDVCGVGVSYRSKIAGVRILSAPISDADEA AALNYGYQLNDIFSCSWGPPDDGKSMEAPEGVILKAMVNGVQKGRDGKGSIFVFAAGN GGGADDQCNFDGYTNSIFSVTVGAVDRKGLHPYYSEMCSAMMFVAPSSGSGDHIHTTD VGQNKCAHTHGGTSAAAPLAVGVFALALSVRPELTWRDFQHLSVRNAVFFNKDDPDWE KTAAGRMYSYKYGYGRIDAGLFVEAAEKWTLVKPQAWFDSPAVYLPTTDAPATSTAER ITSTFEVTKDMLKDSNLERLEHITVRVWIDHQRRGDVEAEITSPNGVVSVLARPRRFD DADSGFPGWKFMSLKHWEEDPVGTWTIRVKDQSNPDKTGRFVAWSLQLWGEAIDASKT TLWAPAEEGQPDQEQTGSDPYATTTQKPKPTDHLPGDHGDATGEATKPGLVSTTKQQS EPTSTASDGNEEGWTEPTGVSDADADEGFFSGITSLSANSTWIAGAGMIILLSGVGIG GFFYYRSRRRNRNLFGLSNNGEGARGAYEPVGESEDVPMGLLQKTKKKFGRGSGAGGA SGAAGSKELYDAFGDGPSDSEDDESGDETTGLRYHDDFLEDDGEEHELGHGSRTVPDA QYKDDPEPEAGSPDEKGKMKETAATTSASGSGSSSSWQDAAEDVNR I203_05538 MDNDTTDTTAYYTTGPASTVSFDTTSSNMVDTGAGSEQSKKERR HGGGTPKTRCERCTTKHLKCDSTSQDGASSCTHCDTAWEPCSFCPEEFKEVLAKWQKE NAPAKVKKTRSSKACDECYKDKKNPKCEFLPDGSSSCTRCTKLKRSCTFQIKRQRGTW GELMGCPADVQCQRCFNAGYETKCTYDIPRGKRGSKSKSQASASVQDVNQDQPSLPPT STLSPREQLDEILKHTNHGSSALDPEGSEGFIAAWNNSDAYMRLPEAPWH I203_05539 MANLSPISESPSSFHSHPSVGHVGITIPTIPIKPQNTTTGKTTK SKPRKRVNTAEKRSQHNAIERARRETLNGKFLSLARLLPSLATVRRPSKSAIVNGSIS HLTHQRDQRLLAARILKEMKAERDDLLKEVNEWRKMNGYSPKESANEYTDEIDQINSV EKESFGEFSAMDGDNDDQDQEEDDTPSTNDSYDSINNNIAAIHGNFTQSNGLITPRSS TDIDSTLQQSLYPSTSPIDQRIPTVPTPVSAAGNTTGVNWSTEFALNLNQQMSNPTPS TATGQMNFVDTPTHSSFVDTPTHSSSPINIVSPTSDPARGMNPHHTPSPGSSHSSSVD PIVNVSNGSGGLPNGWNSQQLAMLEQHAAQAHTIMREHHQAQQHQLFNPMVGNTFNAM FHSAPTTPSSATATGLNSFNPFNGMGHGMGMELTQQMLSTMFPRSETNQVSVQDIHNA IRAGMGLGMGMGMNFGSANGGNNSPWTPTQA I203_05540 MISLTNLFTILLPLSATVALSSHLPPPIKRAGHHDKARKNFQHI HSNENNSTLVPRGTTYTGTGTFYYTGLGACGQYSKDSDYMVALNSAQYGSGYPGPQCF KGITIQANGNTVSGVTIMDECPTCGYGSLDLSPGLFKQFASEDAGVISITWWFNDDSS EATTTSQTPTSTYVAPTSTYNPPTSTWVEPTSTYTPPTSTWTPPASSSATTTSQAAPS SITSTSAAVSSSTITSSALSSTASSIIAHNTTNPYVVISSNSTAASVTAGVTTETDGE DSEGSEGVSVTVTGNIEGLNGLVAQYGQLVVVAAEAA I203_05541 MTDPTVVRPLFEPTDIFNFDFPTPPQSIQSQCTSETSKDVTVEL ETSTETTEIEVFSRPERIRLDTPPPDIEEEPDDLDMEAELAMMEIQRETMLLEKTAFT SASTTIIRQKEKQKRDDEFISSGIFDSIETDQPIASSSRTILTPPPETDLTPLPIFQS HFNACTLPSLRAETIQGKIVTFKRRNKPKPSRAQIHAKGSKSNAGDLLSIPLHKLLAE VDELKSQQEAIKLQQKYDEERRQAERAGMTVVKRSTVMWVDKYRPKQFTDLLGEDRVH REVMNWLKEWDKCVFKRVIPGKKRKIETENENFVVDPLGRPRERILLLSGPPGYGKTT LAHVVARHAGYKTLEINASDDRSAATVSTRIKNAIDAGSGLASEGKPTCVVIDEIDGA SGGGDASFVRSLIKLIQDVPARKKSNTPARPLRRPIICICNDLYASSLRPLRPFARVI RFRKPQPQLLVKRLREICDRETLSADLRVLTTLVDVTSGDVRSCLNTLQFIKSKSSSV TDEAIRSSSVGMKDSGTTLQSVWNTVFIPLAAKQRRKAIGIDDGRYVDRISFAIQACG DYDKVIQGLFEHYPNLKPLDASLGNLCKVHDWLGYYDRLSQRVSESQEWDLMSYMPYA ITPWYSHLAAPANNAKPTEWPKADYEAYQARITNEEVSTSLKNLVPPILRSLFSTTTS LTEFIPLLMRIISPPLKPVNANIVKPAERAVLDRLVELMIPLGLRFWQEKGENGQPMM RLEPPIDVFVHYEGKRADDIAASRFAVRQLIAQSMDAEIARRRGAAGAEDGTTGSDGF AKAYGLK I203_05542 MPPKGSGTKPRNSSPIKPTRKPSKTPAAARKALVSEPAPFKAGK QKAMNEEGGEDEAGERESGKDRKKRRLGSNVQMEEEEEIVVVEEEEVGAHVHNNGDKE GDDGSQDIYKELSSKFQSKSRESRKSNPRSSNKSKELKKLEELYDHLSKLINDEDTVG KRREVINQLTSRLTDAINQDIENAKRKDERFGGLHHHYADFLNDYHGPLEIVMKDAVQ TYVERPKEIEKTIKEFTKLQKNRIKEDEVNRSTSLDSKKIVQHAQKLMLSMIKKPTLE VKQS I203_05543 MPSFTRRVPSTTLPPPAGTHPSPSLSSLNLLPSGLPSLDDLLGG GIPLGSIFLVLAPDTQSSWSKLVERYWIAQGLISGQSSLVVGTDEELVKGCMWTEKSW RGGEESQSETEHEGDGGDEGEGKKIAWRYEKMGKFKTTVGGNGSNLSLTNTIPHDVLQ SIHKTGQQSYINLDDDLTTDATIGTSSSSNRLSMAISKIHGKIHKSDPKRAGRITIHE LGGLEWGDEIREDQILRFIRSLKSIIRNKPISALITVPPQLIAGPTKESFVRKLSWMV DASVELKGFADDPTLPPLFPATHGLLTLHSYPTSHTLLPSTLKHSTLLGVSQGSDGGG GAGENNLGFRLKRKKFVIETVHLGVEGGVGERSTGPPDVVAALSGTSTHHTSVATSTG EVEGVEKGQSSQNQNTQIGQEESGVGVDKPKGPEGDTKKKLKPRARVRFGGEEEMSVS VSMDTGKDQHHDHGHGHDHIHDDSHSHGNEQKKTSQRVQVRHDRPDLYEF I203_05544 MSYSRISSSPHSTSRHDHSHSSSSKPKSTKTRKAIITLLVILSL FLIGTVIVLSSVSYFLNIPNWAYLTESEVSWRPSDIIQPLKDPLDFNETRRIQRRKEW RDVTDDLSEAEPLEASGVLGTETLPESWKELEEEMEQLDAAEEVDVDVDVDIPLDGDE QVEGETYDDSVESEDTMTTAAEAAVLDDGMMQADDGVWGIDGKGTGGYWMKKDWNGKV QDTESWDRLFNVTTRPGETIPRLIHQTWKSDVLPEKWQKAWKECREGMPDYEYMLWTD DVSREFIAKHYPAHLHMFDSYKYPIQRADSIRYFILHHFGGVYMDLDIGCRRRLDPLL QGDWEVILPITKPVGVSNDLIFSSKGSAFMDDTVHGLSTFDHQYFTNYPTVMFSTGPM FLSAQYALYSSAHPLTETHPRAEVRILPKSLYGKNVPMSTVPHSFFSHFYGSSWHADD AGFITFLGAWGKKLMWVGLVVLVSGVIRLIWLKRKAANGGQQYQLLSILPTSSSNSGG TGNGNRSGASTPTSTTGSSGGLMSPSTTFDLPGQLQLNSSDIANVFKRAGHLILAAPA TLLYGNNENRRRRQRTGLLYFVPALFQPDQVRRRGRTASEASQLPLRTSRRDRDRAPP PPPYEPSGQDGYPMTKRDRDETMDEVDAFLNSEAEGETSGQSTYNDNDNDGDGEWEDW RRKSDVD I203_05545 MSNSSKKQEPEILSVEEYKTDAKWLKLENIKWKDQEGKERNWEV ANRTKRPKAGVDSVHILALLHHPSKPVSTIIIEQYRPPAGATVIELPAGLIDEGEDAA TTALRELYEETGYGSGKAGEGNASVKEVSDVLVKDPGMSGANMHLVTVDVKLGENDPE PEQKLEPGEHIIKRVVALKDLHSTLKDYSKKGFAVDALISSIAQGWELSKQFA I203_05546 MAYNADTNRFTIADYTYDRDRDRDQYEAEPEAESSSAAMARAAY DNLSPVVEGSGASSSVSPPVAVYRPKVQRQPTDGVPISASGGTLKLHDYPPTSPPRRP STSPTSLTIPQLSPDHSYSSTQPLNLRPESAMSEDRITLDEERDLEHGGDRRSIYSDM SEKHAHAHAQTAYDQPKTPNTGLARMTTTTRNKSLWRRIIPSTTLTKCLLGTILIETV INLAIEGNILYRFNEEVESTTSTDLEWENRRRLPIYLIIFGLAHLWQIVLTVIAIRTK NTIQVMSVTAFNFAFLGYAVIQIYELRKVLGDNLANELTGNEGDITLMTIPLNVLTAL IIAVVALSCLILTGLSFYLQREFGWQRYRFLGADLMIREYYTKLQVFECICYFSAFFC AGFGIQFIWLVLNPDDVEYIITWIAFPLLLVFLVIGRFAAKYENRYMMAAFMVGLVAG CAYFIFKLARIWQQDDTTYRTLAKSLTTFDALSIVSLLACAVWGVMVWLNFGKGLKQA LLARPGTISSIVGMWRSNSNETQEKDQEMVMAQRRISID I203_05547 MSCADDLTADDLTNNVTTEVLERVTGGEGSNTNLWGQIDKDNVT GLNLEDVSSAPKVIKTWDERLDEELFVESGVDDDLILYIPFISSLRLRTLLLHPPAPG HPHRPGRLRLFANLPHCPDFSDLESMTPIMDIDISSPPNGTRRLPDGRREVEEWGLKV QKLANVFSIILCFSEAETSLRSTMFYVGFKGDPKTHTMDMSKLGQVPAQNAADKKIDE VADKKGSGYTTIR I203_05548 MSKMDKGKAPAPPSSNWAKLQKTLPGPSTSKTSKQKKSEARRAF MAQEKQTNKYGVNGVSRYIGQSDFTRNGQSSSSTVAGPSKITIPAPSKAKEDVVLLPS PADSPLVEELRVMVSGKGVLNESRKAPGNYIAIDCEMVGTGPNGSESCLARVSIVNFH GHILLDTFVQPREKVTDWRTWISGVRESDMIGAPSFEEVQKKVAELCEGRIVVGHAVD NDLKILLLSHPSPLIRDTQRCKMLREKAKSKHPGLKKLSEMELGIRIQQGSHSSVTDA RATMGLYRLHKVEWEKQLYRTTEAYRAKIGKSKKPDNADKKRKRDDDDDGEGEDEDEV EVHERGKKGKRDQFPGGGRKGISSGLGLIVRKNGKRVDSGGGFREEKRNQPATSSVSS GNWWESVDE I203_05549 MAGGPSLTWVGYNLLRLTAIVIICWALAVQFIAIGNDMSAYSDT QSTSTCNISSGVRFSSTSTASSRNPYAAPTARSSSTIIAAIGATTQTPPAKRSLEDIT TTYLIKRQNGDSDGEVEEANYGRSSVPRQPGGVVFTIFSRLIMASTLSLLLLVQFGWP EMFLYDHVPWLGPQSTPIWLGLIQTIVAIENLRVYAKSSVLLPCWALFVIGLINLTIG GVLLWLGRNLPKSPSPPLYFNLSTRVLYFRPPPQCYDPITKPYKQTNRISDPELQDSA LEKKQLPSSNLKSLGLVSDDEHELEKISIHSEEGFSLPIESQFKRQTPIDSSHTETRN GKDQKQYTDVTKGGYPTFSGGGLTDPSSQVPLGFIERTKDGRKIEFINSEIGQDQQSA QQQKRRSDLPPRSQSRKKAMTLDNSPQPHDKLVNLGASTSRPRADSVDTQTLISKGRD KEREEDLGSGENGLEEAAKHARMRRSTLSSMTFGPLPSTLPFDKPESMPLPMPDAIPQ NNQDKASRMEVDLKRSNSKSSTKGHGMGNGEKRRQSTSSYLSATLEMGPRFPFPPSRQ TSLRKSYKPQSDEKEEDSVRQIVESPKEVGLTSETKGPRPPFRAGSKREKRTVNSADT PLDEDKDQTPVERRIRREEKDKKRQSKSKLPLSPPTSPPSIPLPNIPKSPKTPRSSHP SVLRRRSSSSFTATSPKLSSGRKRAATLATPAPVGSLRPSLSVKRASSTRRGSRTARG VRFNLSPHAETDDQIQSEWSSSSDEDSGNEEIGEIRELVINDDDCGFVGTPTQKLHRP ESISLDDVDLKGKKGISSNNSGRTRNQTVSKQQNKKDSGYDDLKRNKSQSKPMIFGGD YLNGGRENYL I203_05550 MSEPGFLPTPALDNEHPSPSSSRNNSQSSSASERIIVDPAALIP VNAFRPSTSAIKPPETPVKSSTTAVTVKSATSGNLNHHHAHTHTCTSTCRRVATTEEF TKCGYIAQPVSFYRNKAVYGNPTPSKVQAMDWMKSRFQSDARKPSRVWYQGDILYCPV LDKCFFKERCVKHYAMRSRERCVPFNDGLQSDSYLNSNSTAASTNEPEPQSTSTPTHQ AGPEILSRKRQLSINDLLSGNGNGDGNGTKRVRKVISYAESEEEETEEESSEDTILSI KYDTPKPKDVPMYNKHIPFPTPPSLPLNNLADPLSFDHAHHPEQTLLTPSSQDNIGQY HSFSRNMDEDSEDQEADNTMAMMWKRMYTQTKDQLDATTVRLLEVQDDLAIEKEKRIE LEIREKKWEKQVSHLKQQLNESNSNRMN I203_05551 MCLSPLIARSPAPPVRTVSKVQPESSSSRVKLKRKSNESPTPAG SSPTPKRPTQTQSNLQLKFRNPTAALPVPCLRNTASPTQVISLGDPTSPEPGKLSSAH NNDPHLTNDPSTSSLGDVADKLLPPSPFAPSNTCEPGDFITYWRKRAFDYEDRFIDQK SRCDILERYKRKREDEDSQGSGTDIHRLFRQREEQIDRERDEWQSEIKALKEDLEKKI ETIGLAQERQEISETQYLFEVAIRETLTKERDDLQSEKNELVLAKSQVESAYEKYQSQ TKEEYSKLDGKIKELVNERNGLSEKLNASCQEKTSLEMELKQTREERDTSQQNYENLE SFHEKLYEFMGSRK I203_05552 MSEHSLDIAYHYICDLSFPPDFDPSKIKRRKMPKDPQQVIRLMA PFSMRCNRCGEYIYKGKKFNARKETAQGEEYYGIKIFRFYIKCPMCSSEITFKTDPKN ADYTCEQGATRNFENWSETDKKATHLPGAEEDDEYDSDGNPLESKIEKDAMADLEKSQ EQSKREMEMMDELADLRQRNARLELSNVSSDPNALLEALHAEKISAEEEARKKAEEDE DDALVKQYFSKIPASGAGPATSTAKAKHKSPDGEDGNAEKSHEEDTALPAALTIKRRP APGTSAGSNEPSVASILAAKGKVLDGQSNGNGGTSSAAPAQAKRKREGMQKLLGIKKK AKA I203_05553 MSIPPPGLGGGVNRTGPPPGFGGGNVGVTHSPVSDASGTASNAA STGAGAGGRRDGQGGPAVIIRAQIVFLLTTFTEDNFDKMSTEIRTLASSNGPEMYHHF LRRAVAVANPILQTLIQHSQQYKDDPAAPPPQLPTTGQAALVWRLLVTEAIRAARDVQ LAPHFSFIMLSPAQSTPLPLPSLRLFNLPPAMLFSLSAYTLASPHVFPPAHASFAVFH AILSQTFQPTMELLRSPGVPFWTMVAIPGREPFTDDLTLQEARTLILALFPRSQSSSG GTSTSRPATPTNPTSPHSSPLNSMQRQTLVGSLTVKFSSPAIILQTLSALSPGGPPRS PGAIPLEDVLFELGENLTQDEGTVEAVVGRWWGPYLLEGLSHEEQRKQVTEEACHVVH GLCEGLRMGRQVDLHGVIKGMSAIPSISWPDVVKSFDSPLTLAAYQTSIPLIICLIYV PPQAPIPPLAGLLPASLDAPTWENMSSLLSVLSLLTILPPDAMPIFTMPSAPSPQSYA RIVNPPTSDSQLSKTARQQANDLQGAGLWNTLGLIQVLVNACGLAETDHPSEREREER ADIGRRATDMLESAAKLAPELVLLALEKLPKPLPPSVANMHTRLLAVYLSSAANAMTS SALVFHQMWQAGPEGLLSVLLEFYGEDENNLGRIVEIATELKILHKLLASENLHFTLD VAALASNKDLLDLEKWLADGIEVKGEEFLEAIFDFVEHKIRLEQDHQHAPESAPPLLY TLGTSVYSIFIRVVRNAPNLSREDVSRFKQLRTDILILQPRLLNLKPGSKAEQGFSEA KFPKEIVDKVDEMYQQMYSGQLKLDDVIDELKRCQKSDDPVNQDTFAHALHSLFDEYK FVKSYPPKELTMTGLLFGAIIDYRLVKDTPAFVATRYVLDACKTPPHEALYQFGINAL SILRNSLVDFPGLCRSLLEIPALHESHPVLINDIHQALVEREELDMQGGVKLAFPALK LPILIEEGDDEFREPEPRKKDAFMFIINQIAPSNYEAKAQDLVGIFENQYSRWFAHYF IDVRVSLEMNRHDIYMQLLEALHSPVFEKHVLWETYRKARDLLNSEATMNSASERTTL KTVASWLGKITLARNKPIKLRELSVKDLLIQGFDTKRLIVAIPFVCNLILSCKDSIVF HTPNPWLMAILRLLAEFYHFAELRLNLKFEIEVLFSKLGVELESIEPSNQLRLHVPPP PPQEELPNRLDLELQRATSEIMNGGQRFAELPGNETYARMQQLQTEQAAQAAQDSINR RVDELIAQLPQYLIFNQDYPIFTAPTLKRIVHHSIDRAIREIIGPVIERSVTIAGISS RDLIQKDFGMEGDAVKMRSAAHMMVQNLAGSLALVTCKEPLRTSMIGNIKQMLEQNGY TEDSMPDAMIAGVVNQNLDVACSVLKKAAMEKAAKDIDVNLAPQYAARKAHQNLRSQT PFWDGASFGFALSHNALPDPLKLRPGGLTPQQFRVYEDFGEPTRMISHPTPPANGDYL VAQYRDLNLNDGLVPSDIKRGPSPRVFNQNIVEGPESVASPQAIPPQTSVDKFHELAS EIEKLLAQSSASNISALPAEHEIRSLIRGVVIIANQSANRDSTTLTIAQKVVQLLYRS NAQLSREVYVYLLQQLCDLTPKVSREVKQWLVYAEDPRKFNVPVTVTLIRAQSISVHE LDAALAQVIIRSYAQEVIDFVAQLIRECSISENAFIPRTGFANSLAALLKSQEVGRAT PIAEALLDELRGGTIKSPAVPTPDAKPGIDGKLQERLSHYFLEWVRVFSTSKNAEVAF VPYITYLQKEGILNGEDISSAFYRTAINTAVDLDTAKLQQGLFYGTDALAKLIVLIVK NYGDKSGTSSVSRTVYYYNKIITIMSYSLVQKQLEMGEAFSQRPWARFFTSMLSELQS IEYNLPETYLGCLKHFANNLGITQPTYAPRFAFGWISIVSHRLFMPKLLQTARDDGWP EYHRCVMWLLRFLSPFLQANDQMSPSSRSIFKATIRLLVILMHDFPEFLVEFYHTLST AIPPHCVQMRNIILAAFPSTEAPLPDPYKRLDQLVPEMQRFPIVRSDYIGALTDGNLK SAIDQYVRSGIPALPSIVNELKNRIAVKSLTNGHSNQDGSSGSNVTWNHTLLHAAVFY LGTTAVARRYQQTGVVDFDAKAPEVGVLTGLAHAFDAEGQYYMLSVIADQLRYPSAHT SFFIHFILFLFGTSSRPSETQPPSAIPERIARILLERTLVQRPHPWGLLVTFIELLDN EAYGFWKQPFVRAEEEVYRLFGQVRGSVVARRELQV I203_05554 MVCLLNIFPYHEGVKIDVKAGRPNPEVDISSNAVAPEDVHADRK TKVLEKAIWREKQISARLLDEVERFRTDIEHMVKKLRLSEQTRRSVELDNVNLKIRLE KLHSELEAKDRNRPEINMGQAMYDWKGSIDCCLS I203_05555 MLDDHPRPSRSFTSCSSSFAFRTERGDKSTQSQPPCAPIITPHS YNRTAGSSPRQRSGSKRFYSQHVKRARKGRQTRFRAHTRTCTSCIVPTTDQLKDWGLV EGVIRPDEKKAVYHTVYKHVEQEQKKKFEKDLKEGKIW I203_05557 MSPSTSTADTPSTMPRITSSSATNTRLVEKSLRNEVDTQSHGKR DRTKKPGVVSSECKSTTDTKSVKMKGGSKGVTRRKPTASGPDIQSIEWDEGYSVSTDN PPTDCDKETFESFDWDSVGVLYTDELPYDQEPSTGIPIPDSQDDPWVETMIEYQIGDN DIDIRPPEWWHRDARSGIRE I203_05558 MHSHRFLIVTSIGFDIEEDVDPMYWYSGAPPLDDRPKFAAPWFE DDAEPEICTMVGGPPDMIIEGELGPATELPWERGDQERYPQSKKP I203_05559 MEALSRREDTEVLESVKSDALKVCDDYVKAFADCATGRTFTLPF VCKDKLDDMQNCMREYMTQDRMDAAKLDYIANRSEHGRQAVEALRRSRAERLRKMAGL KEEPSGAQRK I203_05560 MNNPHGLPARPNFSATPQAGPSQPRPPTQAHGQNQRRGRPHQNQ QQQQQYQGEANPSLSYNPYANQASYTGGYPQYGLPQPPSYGMMGSSGGYQQPNISGGY PSFLPSQPTYPQYPQYPQLSNQLSAQALFHQPPQPQVNSGGYSYSSTYAESQPPAKRQ RPNSKSQASSVIQSSGVGPGSGSASGSGKGSVGTWRNCSHPGCKFVGPSEKVQIHEED RHLIFVNGKMPQRSEEEERYARRKGPPPPIQGTNITLNTPEEIEKWIAERKAKWPSAK RIQEKEEERTAAIARGEIPARGKRKKMDAASLAEEWGRPVNVDDQSGGERTRRGRGRG IDIDRGSRGRGRGRGRGGHIGHTITETGDQAWSQITTLPSIKPKSSQSSAKPSINALS ALEGYDTPTSSSKSIDSSSDAESDSDSSSGSGSTTSSDSSSENDSSEDESISKDEDQA ASNQDQAAVNTPISTKGKKEICKFFKRNGNCKFGNKCRNLHMIDEDTTSIDVRGNSHS RQPQIQSTNSKKQNHFARPSMLGSLLSNPIQNTISQLSQTIRFLVANNMLEGVELNPG DAQAQEDEKNKITEIEETT I203_05561 MFAAASNLFNKSSYLSAYNVQTSSSSASAGPSPNSSSSHLPLPS SPIPGSSSSSSTAAGAGTQVKSFNVGLWKVLGGSHKTTGKEVSVWIFEKRVLDGVKPS VSFGGMGGKDWVVEQLKKEASALSRLRHPDILHMVEPLEESRSELTFITERVTASLSS LFASASSASKTNRGGRPPTAEIGDQVDLDEVEIQKGTLQIAKALGFLHEKGRDVHLNL GPESVIINAKGDWKLSGLSFMTPLNQPDGSPTKYVYPEVDARLPPQVQWKLDYLAPEY ALDSQLTPSSDLYSLGCLLFAVHMGGKPPFQNRGSMQSLRQNAEGSLVRREWMSGSKW ERCSSELRDLLPRLLTRQPSTRISLASLPSHPFFSSLAISTLNFLDPTTFASKPREEK ATFLRGLVRVLPTFSERLRKGKVLPSLLEEMKDPYLLPFILPNVFEISKGLNKDEFAN VLPKLQPLFALKDPPQNMLTLLEHLSLFEEKTTPPVFRENVMPLIYNSLECEHLPVQE KVLKTVPHLCEILDYGTVQNVLLVKVAILFTRTRILSVKVQTLECFTSMVKTLDKATL TTKLVPLLAKIKTKEPAVMMATLAVHEAMGAKVDREAIATLVLPQLWAMSMGPLLNAD QFTKFMTVVKTLGARVEQEHSQHLRDVHRIEAQTASLAAQNSFSLNGSNSNLGGGAGG EMDFETLVRGGLGSAAPSPNPVFSNTVGATDPWDDEGWLNGDTDNAGVGALSNTFPTL SVNNTGSSILNSPNLSSATRQSSNHGVGSSRLKARPVPSSTFNSSAFGSPSPTIQSPP TLPPSIPAPSSSSFTPLQPMKSPSLTPQNRFSPSINNGSGNSNGPNYNISLTPQPLQP QSQSQNPLSFMSSPSLSQQNHQQQQQLSYQPQPALQPTVKPPPGWSPGLMQPSSAPKA VWGKSANAGGSGGNSDWGDFDPLK I203_05562 MELRALINFAIWKDFRDIKAEDQWPTTHYNRESMKKCWEYLDLT SRSFARVIMELEGDLARTVCIFYLVLRALDTVEDDMTIPNSTKLPLLKSLHEKLYEPG WTFHESKEKDKIVLEEFDNIQYEFSELKPEYQAVIADICKKMGAGMADFAALATPEQP VAEVDSIADYDLYCHYVAGLVGEGLSGLFAASGKEREFIKDQLTLSNSMGLLLQKTNI FRDIHEDVIEGRGFWPRAIWSKYGFNSMKELIDPSREQQALWASSEMVLDALRHATDA LDYMTLLKCQSVFNFVAIPAVMAIATLERTFMNPKIFKENVKIRKGETVRLILRATNP RDVAYIFREYARKIHAKVKIEDPNLLKLSIACGKIDQWAEHHYPSFINISASSAGGRA SSAIDPESTDARAALFMKLAKDAQEKAQREKSEKFMADLKARGVIKQRSPEEEAAIKA KYEEMDKQGAPWFMIGAVIFGVLALMGGLGWGVIWFIMKMYPDSGIMME I203_05563 MSASPPPPAALDAEEVNPSDLVVENNVGNEVVDADVDVDVDEDE RPADVGADGTLQTNIGGEDDEQDQDIDVDEDGEGGEYVAPTATSPGKIPKFKKTKRTS EEAEDEDVDVDEDEDDVDEDDEERRRRRKKKKRMENNRKRRERGEDDPDVDVDEDDEE GEQQPVYDEATQRRMALEERIDNIGKKQKVTRRKKKGDDEDIVDNYHDEICARLRDRM ISAADKDEAANRQKLPGTAKLAMLDEVMGVLRNTTLWQSIVDNGVLEAVKRWLEPLPD RSLPSVGIQKAIFEVLPKMDLDTTTLKECRLGPIVLFYTKTKRVTPAINRQADALVQS WSRPIIKRPANYRSRQIDTQDDLPLGSDSQGRERDEIELSQTQHSQALSLGGGSQQRT KAQRFDIKKALAENAGRKGARLQIVKDIQYTVAPESRTQHLAEDIQHVSRAQMDNRKF NKFARQMKAGRK I203_05564 MRPTVSSLARIASRGKRPPPLGSITAPSSSSSSRLSAIPQPTAS SSSSSGSTLREEGWYHVNRSEGGKLPVYSKIRNGGAVTTIIRKVDGDVRTLQNQLTSY LADLHIDPFTTSPKVTVRPTNNHLQIKGHWVDQVKGYLEGRGF I203_05565 MSSPKPADHPLPISPDPNTSPNTTTLPLALPPDAPDLTTPPSDP ETEALIESLRASLAAAQQTISTQTTRLSSLSDVETELGQLKDQYAFLSAAKEAVESQL QEEIKRREVAEENVEMLRGQVEQARRGVMVLQKQEADRKRMSTISGYSTAGGVLGLGL NGEEEILNSTTTDRESSSFNSRESKLVKRQSIMRSHRRQSSQSEPSLDQLHERGTSLV TSPNMQNPREAGNTLRPIGQGGLRELRLGHSPSSATIPTATLPSPNVPLTSSNPHQSG YFDDQTSEPPSSVTSKTTELPSKKEIEAIEEATRLRSELLALQNKLDESEEARIASES CLKALREFMAQDPNAGPSQSGSGLDEGGEMSVSTAELLKGIRLPPLPTDRDADEEQRN AEAEKAKLAPATGGWGFKLWNAKSSPSVTSPGKELPLGAVSPQQKTLSPIENRSRAGS TATVSPIPTATDDLSTPTSGLTSSTTASSQTPLSSFVSNWTKGVTSPPTTASTSSPST ERPSSTRKISVTNFFSRGAKKDVQPATTPEAVKEQEEEKELPTPPTELMSDDQSKLGL EPSPEISTRELLFDDSKRYSKGTSGTTVTELEDELGTPQSSLKGVGVGEEGEVKSEGD KGKEKMEEVAL I203_05566 MSTAAQSEILPVPILDSNSIEAEGDFDKDQIPSSPEVGRVEVEE INEKAKLSDHFTLLASGFALVSDGYQNNLATVFNPIFKIIYKSYYTSSVSTRVSNSLL IGEIIGQVGVGLICDRIGRKTAMVATTMLIVVGGILATASSGSTPAGLLWMLTVSRGM VGVGVGGEYPACSTSASESANEKFGRDRGKVFILVTNLMLSIGGPIVISIFLLIINAA GYKGTTTPDDLYKLKYTWRICMGVGVLIPLSVFYFRLKMMNSKLYRRNAIRHSPPYGL IIKRYWKTLLGTAGTWFLYDFVTYPNGIFSSTIISGVIPGAGLVRTMEWTLLLSVLSL PGVFLGAWVVKYTGRRNLLMMGFSGYIIFGLIVGCSYEKITKIIPLFIVFYAFMQSSG NFGPGNMEGTISAESYPTSIRGTCYGFSAAVGKAGAAIGTQCFTPIQNNLGKKYTFII AACCGAVGVLLAYFFVEDKGKDRLEKEDELWRQYLVDHGKGDLIMGDGSEENKAESNV EKGELTYRE I203_05567 MAPGISYDESGSLASYFGVTFLAIVLIPWTLSATRTKEKETLKP LCPCPSCRNSPRRIHSIKSTKRKRRFLKKAIPLAIGWLLFAYLCYSLSQAPRLEGETV YNPFEILGLSDKSSEKQIKKHYKKLSLQFHPDKIKLAENQTKEDAEQKFVELTKAYKS LTDEVTRENLAKYGNPDGPQQREDKIAIPQWVVEGKNSIWVLGAYGLVLGGGIPWVVG RWWFAQRKLTRDGILNPTAEIFFHQLREDTDFFSLISLLASALEFTAVLGGSKKRGSK KERKERQSKIDELEKILDQRKIEMGIEENPLMKKENRVVVTTAVAKRARALLWAHLLR IDLNDHQLESEKLAVLRVTPPLLSALLNISLSHNWLTTSLLCIKLQPALVQALPIDVS PLAQLPGVTPEKGTELQIINKAEGVRWLEKWMKTEKKDVSPETLDVAKYWPRLEVVDA VFKVGDESLVTPSSIVELTFNCRYVYPTTPLSLLSKSKPLLPNGDIKEKEEGGAADSV ADVEESVTKVEEEKEKPTPTDVKEKIVEKVQEKSEIEGETKKKVDVPPNGFAHAPYWP QLRKPHFYVLLGDSKLSKVIVPPIKITDIPFPKPDGTPSEPKEYKLQFQAPPASNLYS FVAHWRSDTFLGGDVQVPIMLKVEDAPEVTESLEEDFDDDISEPDEDTLAGQMAMMRG EKVKPSGVHQQDDDSEEEEEEDSSSDEEGPKKRVRAYNEDSDTDSASQIRPYSLFNSP HPSKPPPYGQPHPTSHPHLVKPHELTPGVPPEEYESRRRKLMESLGEGSKVICMGGTV KLMSQSIFYRFRQSTDFYYLTGFDEPDATLVLESAPSTSRGYRYTLFVPRKDAHDALW EGERAGVEGAITIFGADEAHPNTSLSTYLPSYLNVTSGEIIYASLPPKASSSVSSQPF VPPSPRRRSSLLKLFSNSTPSTTSSSELNPNDPPHLLLAAALSSEHAKPLERPIQQLR MIKSPVELKMMKKAADISSAAHRRVMRFAEPHKRERDLEATFEYECSMRGAERQAYVP VVASGANALVIHYTRNDCVLDPNDLVLIDAGCEYNMYCSDITRTFPVSGQFSEPQRDL YTAMLNAQKECIRRCTVAGGVTLSELHRASCGLLLEELRQIGFKLTVGDVERTLYPHF LSHHLGSDLHDCPTRDRSATLVEGNVISIEPGIYVPYDYKFPKAFHGLGIRIEDEVAF TKDGPMVLSANAPKELVDVEAACQGSLD I203_05568 MLRQVARSIRPAVRGFASAAPSAGENEFIAKRQAVRAHAAETTD LWRKISFYVCIPGALVGAAWTYKLEAAHHEHLEHLNWSGRVQSH I203_05569 MAGPAPNNNPWSKRVRRLFFFVGTASTVWFVSSYILERLKETRL RAIKERKQRDLMKNHFTSLISTISFTLYALLPTIQPSLFEKYNVEEISQALQDISSTS SSELSESTSSLDPNQNQKENSLLLSDTTPQPDVENTRADVPPSRPSPSPSPEVGVTST STSESWASEFQNGQNGQTSQRRESSSNSETETETESEGMLGSSIGQIDREDAMSSIVS QSISLPPTDTSSPSPPSDLSRSEQSHPSPPRGNKFQGRSKKDLWRELKSQSLTRTITT IYLLPMLYLLTASQLSILARSKYMKDIESSLEDPSPSAQQSVTSTAGIQVEEEEEEGS TTPGKPRPTKKKGWFSLSSYSIESMGLSEYVESSRSSISSYLPTFLGGSTVQSTIVRE NEEILALRKQEEEELRGEAERLFLTYSWWFLNEGWKGVAERVEKSVEKIFGNMPLKRE LTIEDWEMKLKEVRAEIEMELSINSTIELYDFTSHILPTSSSASTSTDTPYPRTPSDH SSYLQELYDQSLEQISSADGRYLIEKGISTLLGSLLSDLKTGLYHQQPNRGGVRLVNC LPEINKSGKNVWEGIPDSGIEALLSVQEFESFSALVFGDWAPRS I203_05570 MSIKIERATKEDVPELLGLIVELAVYEKEPDAVVATPELMIKNV FENNYAEVLIARTTPEDGSKGVAVGLALYFFTFSTWLGAPGLYLEDLYVKPEYRAQGL GKRFFGELGHIAQERGCKRVEWRVLKWNKPSIDFYKECLKAESQDEWDTMRIEGQEGF DRLMSFRKN I203_05571 MTSFLSLPSSSSSSSNKLDSQALATLIRQLKRSPESPSRLTLPP IMGVIQEEDEDHEGTSVVVEEVDSGSSSNGTSPDTPSDRSGLYDIPEEDEHEETDGAL WVIDEESDENIPPSENQNLNQDNPPPMDPHSPPPPSPCMTHIPSPTLPDNPIVPNSYY PEDENDTPSTYQKVPSPLAELSSEQFSDAESEQEESVVTPYYESNTSMRLIPQQRRRR KTLGELEQYRKFAMNKAAWKSYQEAEALEEQRRIREREEREGLWMRYSFGAGSNGRSR DRWNRPDLITRAQSFPPTILRTNTEPIYATLYSDPDPGRDEQLTSNWYIDDVEGEDAG QDGNQVQVQHVEYVSSPRSMTEGVDDEEDVLSPVSEHIQTPALPNDLDNDNDDDEPRR FFPNATQIFGSSFSSFPSNGQSYGQSDREYEYTAESNITVSAPTYGLGLGLSGMTSDQ QRGAKINTRMSFDQMIKYEEGKQHLEEDEISLVELPIRMIFPERTFEEFKAAGREYTR KLKEHQSNEKDMGSHAYDELCEGERDDSHDRSTYREDLDGLPIPERGRSRSRIKREID MESTESYLSDTSTANSSGSSPNDQDDYDSNYPYYFTNDEKEKAKGLVYSDEPEASEAP DRSNSTSPDSQYSTANEQEEEGDIELNLDRRLTISPELQDGDDEEFRVVIDSRRRHSA PGKLPSYLPGHFPEFDESGPLNMGDGFERLRSKSECSIHI I203_05572 MSDSTNKKDRSGLQLNTQSPNTTPSVASAGSRFLSRRVWGRASA TTKTSTGQDELPTLSNPTTSFSHPLRKDSTEEVPKNPNQNFHLEEAIELMNPTPPSPE NSNNTISSPELIELIKATSVYITNLQLDSNKDNIDPFNTSVSSSSLTSTATVTQAGEN EINDNKSSSGAITPDNVKTIFLRAISFSDRRNEPSLRTAGIRLLTSIMTYCPPPRYFS EQSKVHLPDTIIVRTMYNLILGSGPAPSESPNEAKVDLIGAQVVALKVLSKNGAEVEG LTGLIGWLVRMLDEINQDWSRWCEIKPNGGNEIINRPTRLKPFDPIIPFSPLETATSI LDLINLVSYNFIDLFDPNKDIARIIQPIFSFISKGILAYSPPNDLAGLNISQGHVPAP NPSRRESLSSLAFSSPMPPHQPSPSLESNLRRSASITRRKDHNIPSAFSTPSSSRFAR HSTLTSPQSLTSASALQSPSPQFASLPMPKWLYLLPSACVLLELFIDQTVLPQDLFID VMRFACICVAYDDESGLPTDVGQVPVHKLLAVMFRSNNGRRGELALRIIMEEGASQSF RMTYDGLTDADARVVQGAVYIARSLLLNLDDPSPNPATSPSASLPSLSPSLMAALSTS RFTKPEDQQERARWESVDYAVLTLLQDHLHRLEQGSGKDVSKADIWTEGQAACDILRA LWPVAVPSRVSGLSLYVAEEPSTFAAIFDAVIHQLPTAIEKLHPSGTAASPFFHPKYI ELLFNYGDQLSEEDAAVILDYYGNEGLCLPMTAGWIENIWKLINTFYESTLNLASAKR KLAIIIFRDLYTSTEEMSAPRSEFVDKVIVPFLDKVLLKQTEDWFRQEALSVMIRAAV AETMEKDEERRKARAEKNVDEVEEEDASALPSQEVKEAAAGGSFHAIRNLIISLASTA WCKDDDHPAHRTASERRRPAHQLPRESNASIPSATSSSALKGLMNVLSPPTRTKDLPS VAPSLASTDDTTSSTPRQQPGNHRVTHMDCQSLHAVTALIKIFNDLTFSPPHSLSSTI KAARTPASARCIAIYRDLLGLLYPFTDHQPGNQTPTSRIAAVPARCPRARIAILQWLL RLRADPKHRIYLRTNLDVDCRSYADTLFRTSEAVEAQRSKLIADAEESRARTERRQLN QQSQQSLPNARESLRDRTSTSTRSRSRSRPPTDHSPHHSADSSGFAPLWCLPETLTFD MPTDSLPSEGLLTYDPNHPSLRVKDAPPVEGVWLPVSEYVRALNGILRHETNWELVSY VLCLLPLQLSNKLFFRGGRATREVKALLKALIDLIPQDDRIERRCKYHQFIKRPNVNA AVYQSLSILIAYRDVLEPHECDALIGAFEACLESNSVVAKPCIQALTLSIFELEQHLG KRLLTIIGKLRDINFTSGIAVHLLEFLLALGENKNLFKNFTDSHYKDVFTLVIDYIAE HNARSDQSPDLENSGARELYTLSQHVIGLAYHSIYVWFISLKLSLRPDLVKHIIIKLL QSRSKRVAVDEMAEVCLDWLARYTYGNADPKPANSFLSEMVMGTKEQDKTQSWLLGGC IITITSHPRTGWASITSTRPTGATEVIAKLENVPLLNLGESNADLVSLPAVLMANREV IMDDQAKAEANEIVKQESTAPQDEFDQSSQHGFIWCGATPSQRRKDVSIDPAYLAVEL LSSYPNANLETPRGRLIPKEEKFQRALRTIDMTPVIDTAKLGVLYVGRGQTAESEILG NIDGSSLYLDFLSGLGRLIRLKGQVDVFVGGLNREDDSDGEYAYAWWDDLTQTIFHTT TMMPNSPNDPTFSRKKRLIGNDYVKIIYNDSGKDFKFDTISTAFNFINIVISPHTTPE SHGPQPQTEVLPTDPWAVWGRDDYFKVIIQRAKGIPDFSPVGEHKIVSKENLPVFIRH IAHLSNDLAARYTHIKDAKTPEQAEYITSWRSRLRAMNRLRASLPPVEKIDPNDDAKR EEMLRE I203_05573 MVRLSIWTIILSILFTTSLSVSALYNDPGLSYCKCICFSNSTII PLYRPENPKKPCLTCTRQFCLDQKLNICKGAQVPELDTDIGTGTEGDVEARCFKRDSP RDQLVVTFFLLIVFGLLLYAAIRARLREAIETRGRPTDLREWSQALLPMSSQLFPTRS NPNLNQEMRSAGSGSGGGYTPVSVGS I203_05574 MSPTSKSGSEGTPTPVQEDDRTPTPGTRSIDTNSPLPPYDQSSH TSPTLPLPPPDFNPPADFPTDSPVNSISTPSTSSAASPTRFGNTFSSPLDLVPGGNFS PTENPSQLHRPALQSIQRISSESIPTPGSGTSSLSSNQSEGGDHLVEMREDLKEKLLQ SETSTPSRTRSSSVSTTTTENFRFNLINPTPPTEPALFEEYNEEEYNEAGPSQPRRLS SGALANLEECHDLPVEHAWDSVWPAPGSTHRSVPPDPGPSRFPIAPVSPFVTPSFSPA IIPTTSSSSLCRRPPSPIVDPRDPASPGKRSQSPVTGPFRFSPRSGNSSPNMEPNGPT EMYYGGRRRSIAADAPLLAHSPPSTASISINLSKHNRSLSNSSQLSPQNRHSRSKPIA APPLSAPANRLTNPGASPPISAISKSRPRGHSLSSVIRTQPFGLEPPNPSSPEKRQKS PLVNPSKLEETDQSAASTLTSSSSLPPISLPPAVAKPPNPFPEPAQASTSGNSARPPS PPLFAPLARPAVLRRALSDYDAKARNTPPGFHRTLSITAELDKSPKRTTSNADIASPS EPKEGEDNGRSRVRKSPRMSPNTLPVISPLTARSAGPLGEAEERVGDLAEASNSQSYF PDVGTQSWSGDTAPDQMGDVDIVDEGDMLPMGDVQMDVTFDDEGLNTLERIFLLSKSE YPFHRAYVARVLGDLLNEVDPCESVEYVLPLLSGFSMDDDESVKEAFASELHRILWYF YSTCKLLVDEGEGEMVEIPEPEGHDMPRVGHPPETRQETVTITSEGINTVPTPTIAEA AESAVPMGRQRSNTSLLSTSSATGPSSAGSSLTRPSSSKFSPGISETQEDVNTPNSSV SASSQDTAFSPGLHIKPFAESGDQEDFSKEDQGILVDRPVLAVNFFTPLVGSLLLNQN PVISESVRNGVVAVLERLRGKGEVLLETWGHLAQQPEADERRTFATQNGPHQHDLRPF FTEARKVVEIELLQGIIIGMGQLSTDMPDMVFEGSDHGEDELRDQEAFQAQLIQEATA GRATSMNLIGAVSEFFEQQEVVENGFVEEVLASGDGDVPVRAEAAVAMSCLAKMIPVE HVYTMLPLFESFCEDDNDHVKQSACLALPALCRRIESPDYRRSFAVKAVQTLMSGDED VRCAALEMLGEVIYIFHDDPRGPPDELIDVYTDDSEVRDGERDSDWDVVAIFNFPGVC LTLGSERWSDVRDLFQRLQDRAGEKVLRTTASCLHELTKILRPDQVVSDLLPVYTRLL GDSEEIRERVFEHVDTIIASVPKEVGWSLFQHLARGWKEGMLGGWRAREKLGLHIPSF LETFANRTGIEEVLEMMRDALLDPFAAVRDAVTKGIPKAYEVLGTQSPVAKRFRDILL ELGDSRAFKQRLTFVRCLREFVKPPPNRQAFEDFFLPVLPRLSKDVIDVRLGIAQIIA DLFVVGAYYSNVGQNVPKTIWEIAHDLAIDQSTDVRDTVRKVNMNRARPTGKGKDVQV PYEVKLPENPDRSLKPGQREGTSPSNSTSTVGLPPKKKSSGSSFPAVSNSAVAERQAS SSSSSSSSNSQDQQTKGLPSSPVRRPSNEVMMKMELMDLTGLDKEFGPDAASGPGSGS QASSDDMSITPGLILSNIQQEGNLSSSKFVKSPLSAGYIPPQEGEEEEEDKGNPFASS FGQAAVMDVKNDDEEEMK I203_05575 MSTEQSPTNGKSLDEVPDDHVPDTSSDQSPKPRTQGRRMSLNDL VHSLSPPPNPSNQLDDIPEKHLPHLTSSEKLKIDQLSRAIYDIQREQSERAIMNNEFA RNPPFTSIVSQNPFKTFEQLSAEEQQRWKTAKERGGFGTKGGDGTEEGELRFGMIRND EGDEVYGVWNLASTSKLRLHNDENQQTDDKDDGLEQEDKRNEVLERLNEFAHSRPSII FTSKASSAQPLDMTPTDPPQYASRPPTPPQVEADDK I203_05576 MPFSSTSSPTPTPTSSPSRTTRSASDGLVQWVSYTGESTTTIDY IDSNGNFYVASSSSSSSSPLVGSSKSTLSLSSSTHQGGSGTYGQYGPSPTPIGGGASS SSGLASASISSMSGSGNSTEQNSSTSSDTQPQDKGMPLYVIIIISVIVTLGLVGCCSG CWIFKRRRNRRNEQRSRSRISENEIEGDEYKHEGYSPSTMTDSYPPPLSQRDLANVLI TSSDRSRSPLTPIPNSATPFIPHSGRRGTRTDSLYTDNSEFDMLAQDGSSYARTLSTY SEVINSEYSERDLGGGGGTGTYSSATPTPLQMNGRSLIRPRLEVDTKSPDGPSSSTSP SNTYTNTNTNNTFSPSYWNTLTSCSSSNSDTHTPLPTIATGTLISPFSDPVVHSHATQ PPISPISMTSTTRSWRTEDEVLLMARPSPSARSTGNGSNGLQRGTTIVRYTDGGAALV NPFVRGDEERSPPSYGELYPQDR I203_05578 MPPPGLSTFSPPITLHYNSGPSLTYILFSSFYLGAIQQPTRCLQ PLPGCYERVQGSNVGLPNPIDTPGVIDRVSTLFRGHPSLIQGFNTFLPPGYRIECSGT DGDSNSLITVTTPAGTVSQIPGGFAAAIDQRERENRAKPSSVRPPPPPEQEPRPTAAA ATASGGTLSTPSTQPLPPIHSHLATGPPPFQSASRPGTSSATTQPGRPSQPAASTQAP PGPLPLPPQTQQPLPPSGPSTPSAAQFLASGGLNNNQPSSGQAAQGGSRGPIMEFNHA ITFVNKIKNRFNSEPDTYKQFLEILQTYQRDTRDIAEVYEQVTQLFNNAQDLLEEFKQ FLPENGGFGGMAGFGSFVQAAAGAPPAAADKLGGQKRGGKESKEASAQKKRRAGVADV GKGAAQSKKKTGGQRGESPSIEDNEPASAPAPSGNQPQTLASPDEVAFFDKVKKAIDD KVVYHEFLKLINLFVQDMIDTQTLLERAYHFIGEAPEVWANFQKVVGANADGKAPPNP ATAQGGYGFGGMIGVDNQVVENVPMLERVKPDLAGKNAKSFGPSYRKLPRTEINLQCT GRDAMCWEVLNDEWVSHPTWAAEDAAPFISHKKNSYEEMLHKSEEERHEYDYHIEANL RTIALLEPLNNKIQTMDPEERANFNLKAGLGGQSKSIYQRIIKKVYGKELGPDVIRAL HENPVVALPIVLERLKAKDEEWKKAQREWNRVWREQDAKNFYKALDHQGVQFKTSDKK TLMPKSLLAEIESKRREQMNERSALLDPRPWRAKPQIEFQVKDIEVLKDSIKLIISYL DRVSNSLSTQDQNRVERLLRDFIPALFMQDKDEFDAEFGDDDETPGEDSEESDGDVSM ADDDETGSVVSTSKKGAKKTTHAADLRKKLLKQELAGREKRGSTMTPGPDGDNAVDNA PSVEGTPVTENGERAETPLPVPADPAEVAEAVEKDKAGAEASEQTWVQIDGLTESQVP SEKSSEAGAPEPKALPTRKANFFANNHFYVLIRLIQILYSRLVMCKDIAEKLASEKKQ PINPLAIKLGLAEPDSHFFGIEDGENPAQHYYGHLLSMAERLFENEVDNAHFEETLRV MFGNKAYIMFTVDRVIAAIVKQAQTVLGDMKSQELFALLQRDRLHERTSTRRQIAYRM QAEGVLGSDENLFKISYQPSRDIVSIQLLGREDLSVDDAETAQEKWRQYIESYILTHP TEGLPHRVDPPLLQRYAQLSEFANVILADDRCSNRNLDENLKLSSNEVITKDGIEIKI ALGNYRMFFTPDTEDYFHLIRNSTEINETEQKEKIYLEGAKKRLDDWLENSLKGDNE I203_05579 MADVDMAGEVNGAGHSWAAKSVEGWIIIVTGLNEETTEEDLQDL FGDYGEVKNLSMALNRRTGYVMGYALIEFPEKEQAEKAVKGTDGTTFLEKTIKTDFAF SKAPSGARSRAPASGARRTGRDREASPGRR I203_05580 MSSANAESSTTNKRGRRESRSRPDKGKAREVDDGKLKSPDPSIR IDGDNSMRTLSPMSGSVREGGSIARRVRTVPPSAIFEPPTPPSPAHSPLPHPENLTLS PDLPKRRRRLRYSSSSDSSVDSDDETSDDEPPWWTFTQRGMAKLRAKNLHRRDHQGEM TEGESGKEREKESYFSLNKERHSHRMSGVFSSSSRRSSKDTSTPNNTQPQQNGSTPSP LKNFKRFTESPSTTLSSATEPAPPGGGGNVKRTNSVPTRPASAPTSPTVEAPSPGMTT LVDDISTRLPSNESSHPLQADDATGEPLSPRRSNKRQLTAPTFPRFFRRNDTDEDHEP LESLTDTEVIPSAAARSRVKSSLSKPVAIPGMATSSSAMSTPNGSSQMIRSGTGESVS NMNEAESSSTPTRPKTKRRSSHMLRIQLPPPITNRLKEGWPHAGSWQDALYGYYEEND NPSAKSLPKMEIGSGSEENPITPQRRDFGLDVTADQVAPDQGAANGNGNGNGEVDAGN TKRTKSRRQKRYRQALVPPTPSGLGFTPSARNGEGYPWNRKSEDEPTAAGAGAGAGLG EKSNWEAGRAQKEAGGRLNGGENLERQDTMATTTGTLSTMAQSRRGSEKGWWTTRKER KKQKKMGRKRTKEVDSDWRRRYRRMLFLDARVTIWIRLVNLVLVIISLGLAVTIREEL EHLRVPGLIGSSTTLVMSYSCLTILHVLTAIYREYFGKPIGLWGLRSKMLWVCLDLLF VGLWSSAVSLSTNDLIATPLECTSDSPWWREGLNDQYSILLKELSNVTSATNSNTNAT RLISHSVSVTLPSTIINAPLAKEACRRQAGVIALSLLSLLLYGGNMVISLFRIFETVR RTANVSKAVTL I203_05581 MKTSTSFAILALLASAGTTFAAPLPHHSNHKGSDVESGHRAGHH HSRAEHPSNHHILDATVDVDMDTQRGTYTNGKHHHARADPLSVTKPLSGALGLPTNGH KMGKTKGNNANQPLTKTLSPTSDSIHGSLKPLNSDTTKAGQQLNHPNSGDIGHFGKTA DDALEPQETQIIKNVLPRDVVPSAIGGNSVTKPVQTAATGLGNNVATVNNNGKNDIPV AGSVIKPITSGSQVVPGVTGGDKIAPPTLTSTLLNSKGGVLDLNAGPLVTNGGVVGTA GKAVTGLTGTLTNGQGALVNSQTATGTVGKVPGTVGGVIDSANVDPVSNQAYQLNTDD TESDVKAVTGNGMTQANDHGPKQTNKKVNSSAFKGDGLDHRLNGHGSDGTKDRTTELI SDNTSNVKQTSQDTTGIDSNNTSNNVNHVNSTFNTVTTPNGSSSTSGLKKTSDGTMNA VDQSTDQAIPSSSQKNKGTLVTANNDNANAKIVQTPGKSDKVAETNTNDVTGSKSSGD NVNIKVAVPQHQATQNQGTTSGGLVNNGNKIGPNDQRGNVVQEVGDGKILKQNIVNPS SPPSSAKHTSSATKPHPTSASVHSSSAVASHSASASKGSDHPTSMRPQVFVEYTSFAS SPSSTIASQSASVPSTTPLAQAQVHSGTIQVNKNQQSATNVKLPLTSSLHLPLPSTTS KTLKTATTKPRQAQVTYGQKGEHVVACDGQKHQPNDMIDECIKADLLDFKPNQTEACP TDMQHDIQYGLSTDMLKNDQQANKEIQKYVRLCLKASAL I203_05582 MKFSPSFAFVALATLASSASAKSSRRGADFTPNNGLHRLASRQV VTANICENIPLDVPLDVAVCQDTDGNDVTIVPGLDLTGLVCSLTGASINVEVSTGICL CLAAGVLTDDSIADIRGLVDIGGTNIDLLLTDVQGLTGYTVDTLVDALIGDATTVLSA STTDCVYPDGATPNSCEACTFDCPEGEAVCGDQCIAEGDTCASGIARRKRGLLGGNAN FLCTKGQTACAIPSSRFFTHGGYECVDTHADVESCGGCTFAFPGQTQGQDCTALPNAI DVACNAGSCVVQQCAKGFEPNFYQSGCVPLGSYLGFTIAKRTEGIDLKLSHLTDLIKN LTGVNVDPKVIELDLLKDDVLNIEKRDITLNLDSLTGLIKNLTGVDVDVKQIDLDLLK GDLLNVEKRSTEDAPIVNLDLESITELLGINLNDIDVELFKAKLAKIQKRTDDSPILT LDLESITGLLGVDLNDIDVELLKAKLAKIQKKSDIVSEMVGEPLANLRLNGDKLLDVN GLL I203_05583 MSTAQPPSSSINTVTGTNDAGPSTSANTLLLLPPSPTSSCSSLT SDEVTFDHLASVHPQPQSQDSPSGDVHKPKPPILGLRDLVKMHRAEKVHKQQSLSSTA PISPNTIEIRDELPPSYVENEVRNVEGGRGGISDTPEVFARQVVIRGWKVVGGKDWKD VAKLGAYVVYEIDISLRNGGNIEILRRYTDFVNLRNALKVKYPTLKDAIPQLPGKAHF SKFSPEFLEQRQPRLQRFLRSVVLHPEMGKGGENSIEDEWRVCFFARL I203_05584 MVFGFGSSSSSSSSSSSTTNEGIERPAPTREERKACWTSRDLYF GCLDKNNVLQAGDEIQKDAKGKEIINVCGGERGKYEQDCGKAWIDYFNKRRTLELRRQ ATIDAAERSGNKDKADAWRAVSGGGSSGR I203_05585 MTITHATGYAIKDPKDYLNFELTKYELEPLEDDRITVAVECCGV CGSDHHTISGGWGPFLTKFVVTGHEVIGKVVEVGSKVSEFKVGQRVGVGAQVGCCGKC KSCKGTNEQYCQQPVHGYNTLWYDNHEHQGGYSTHVRAQERFVFPIPDELASTDAASM LCGGLTVFSPLVRNGAGPGKKVGVVGLGGLGHYAVLFGSALGAEVTVFSRSDAKKEDA LKMGAKRFIATTEGFHKDLQFEFDLIIVTASSSKLPLDELLSTLDVEKKLVFVGMPED GLSNITSQTLSGNAAALASSHLGNKQEVKQMLQLAVDKQVKPWVNILQMKDAAKAIKA VEENTVRYRSVLLQDINA I203_05586 MSSQPLLPHDRLDLSSPPRRKLILTTILIPILLIGGIIFVSIKG DGVPKDNLGLARYYLKGSPVIDGHIDLPEFARAFYGNNISAFDLNKPTKGHVDIPRIR EGSLGAFFWSIFVECRDDHGKDFLNPTFQVRDTLEQIDVSFNLIEKYSDTLAFASTAD EVDVAIKEGKVASMFGLEGGHMLGNSLAVLRTFHKLGVRYMTLTHSCNNAFADSAGIF ESVEERWGGLSKFGRALVPEMNRLGVIVDLSHVSDKTALQALSITRAPVMLSHSAARH FNNMSRNVPDNILSKIGRGKNQVDGVVMVNFFPVFASANPDEVDVSYIADEIEYIVEK TGKHHVGIGSDYDGIETTPKGLEDVSKYPYLFAELIKRGWTEHELSLLAGGNFLRVFR GVEEVSRKLKNDGWKPSLTIYEKRRDLDPVEWEL I203_05587 MLANARGAGTSCITLILPPRSQISQASSMLTTEYGTASNIKSRV NRLSVLSAITSTQQKLKLYNRVPDNGLCVFVGTVLNDEGKEKKISFALEPFKPINTSL YMCDSRFHVEALEELLENDSKWGFIIIDGNGALFGTLSGNTRDVIHKFTVDLPKKHGR GGQSALRFSRLREEARRNYVRKVAELAVQHFITADKVNVAGLVLAGSAELKTDLSGSD LFDPRLLAKVVKIVDVSYGGENGFNQAIELAADSLANVKFVQEKRLIQKYFDEIALDT GKYCFGITDTLKALDMGAVETLIVWEQLDVIRNTLRNAAGEEVIVFASPNDKDREKFI DKSTGTEMESAADPQPLLEWFAEKYKEFGATLEFVTNKSQEGSQFVKGFGGIGGILRY KVDFTELGDLDDEDDEFYGSDEDSGESYLS I203_05588 MSSDNLVTVTSPEHFKSLLSADLDRVSCLNFWAPWAEPCQAFNK SIEEEAKKFSQVLFLNIEAEELSDISESFDIEAVPSFLLLRGHTLLARHSGSDVSLLQ SLLSQHSSGSTSTSSSSSNTQPLATSNAVPQAPTETPRQRTEEEIVARCKELMNKHKV VLFMKGNPTSPKCGFSRQTVGLLREQGVEFAWFDILSDEDVRQGLKKVNDWPTFPQII VNGELIGGLDILREMIENGEFQEILNGETEDGVDEK I203_05589 MDEESMMTASSEGNVLAGPSSLSGPSIERPEGMSKNAMKRAAKQ AKMEAMKPLKRAAEKARRKERAAELSKGYKDGTLSEKDREIYEKRKKLEKDRKAEKKK FRDKDHEGDDVQGECWNGGVVIDLGFDELMNEQEINSMSSQIGFCYSSNRIAPKPIKS ILHTGFSPEKSPQLWTKMIDRNWDRWSRSYWWKEDVGVLHSMLSSDEESKRQDKIGMQ IDNIPDQETETEDKSDQDKSSQLQSHLTGPILPPGLTANKHTLIYLSADAEEELETLD ESHIYIIGGIVDRNRYKNLCQNKAEKLGIRTARLPIGKFIDNLPTRKVLTVNQVFDIL LQYIAQGDWQKAFETVIPQRKFHESRKAKSEYKKNGNEDEVREDDERERSEDRNAVIE EENTINQ I203_05590 MGKFGSFSYLQERWYLFLLMIRLYFTLTPSYIYPDENSQGPEII AGKVFGYDVNFTWEFTSPSPVRSQSILWLSYGPTMWIMRYFPCTPKTTFYALRLTMFL LGVFVGDRAIWEISSSSKNRKSALLMVGSSYVTWTYQMHTFSNSWETLLVLWSVVLIR RIIQDRGRSRFRYCLILGFIYSFGLFNRTTFPAFIFIPCLRLLPYFFQHPRSAVALIL SFGITSSIAILVDTICYTGSIWGDLNPIITPLNNFLYNSNTTNLAQHGLHPRYFHLLV NIPQSIGPAILIILLQLPFSFRSPLFSELRWNLITALFAIIVLSLLPHQEARFLIPIV PLILSCIRLPTGKKSRKMWLVMWMIFNGYMGIFMGVYHQGGVFPIQTHIPQMLEQGNV YWWKTYSPPAWLLGDKGGNTNSTTIRVFEGDIIDPIRQSATATPTYLVAPYSATSLDR YVNMGDERVRLEEMWRYDRHLNLDDLDFEVDRVWGTVGRVWGRRGLILWKVHITR I203_05591 MSDARLRRVQKEIKDCAKDKTSNISIDMIDESPFHLIGAFPGPP DTPYEGGYYEVDIVIPDAYPFQPVKMKFITKVYHPNVSSASGAICLDILKDAWSPVLT LKSTLISLQSLLCEPVPNDPQDAEVAKHYLADRNSFNATAKHWAQAYAQAPAHKKKNE PGKVATDAELAGLSEENVVGFTDMGFSRDQVISILKKLNYRGNNVNPQSYNAVLEELL K I203_05592 MTTIPSHLSSVGPSPSRNPFARPKLGGSSSGSFTRKSLLPSVLS PARSGPATPQNDNHLITPSHKTAAGVAESVSTSKPKSAWRLLWRGGLEIGNEGWRLDG ITFFALLSFPPPTPSHKEINPFDVPITHTTPGTSTPGGGGGMGSPFPLTNGDTDLCLS LESMRGRKYLQVRGMVELPPDEVLEGESETKIYLSISPQAPLLAAYFTGLLCRSEKLN DNGRTLNAIMIGLGDEGIDSSNNSTILVYGQRQEHPQDRGILKLCVGRRKPPPAPTSE KKVRPGEPLPRAPLFFPAKAPKKPPPLFPSRSLSRTSSVSSSIYHPPQPQLQPPSRSH SQNEPPAPVSGRTPGRRGEKRPRKLDLEEGDDDKRKRKSGKIVLDKTIKMERNPSSSS SKHPDMKKEEAISQRDDNDDDDDEEEEDIFGKRSKSITPIPPISMHRSKSSESLGTVN PLSDDVTGTVNGEMSSTTKKRVRVPQQVLDNKAAIRKQTLLLLENRNISRANDMFKDI FGMITKSTYFVFRDKLDVNQISKTDIHKIINIHLDMYLPSSLERKIREGDEQMDLDLD VDMKPETLVDIKEEKYEEVKLEGTFLQAIHTHVKLESVVEEDEGD I203_05593 MRIHEILEECVEYISVDGAIGSDPTRLIEHLVKLDPFLDHDYFA HLWTLLCAHPSIQIIITNEPILLPGGTTELGTAPLPQDWVLPQGMQANVDISTLYREG LRGRQIAFMLAGQEFRSDKQAIQDRKEAARKKAKKAKEATLKRNKDKVDEDSDGEMKV DPSGSGVLRVLHVDDSQENDGSAPIPKTDFRRLSEKWGARLRIRCTEDEIYYRLTGSH AKIPKITSTVFHVLQLAAMSRDKGITAIDLGPLVGASQGSMHYFMKVLVQLGLCAKVP AVLHAAVTNLLVFHKFLDQNPNYRALIGKPLNSLLEENQAEENLDDGADEEPEEDDDF IDPSLDDATKLNDWGFDFAPLTEADLMAGHSVKQRLLKMLDHPKLQNHLLRTKNLLPT LGWRGQAVMRHRRAVKRHIDGLVLDGLVERLYIGESRISCIRLSRFNPEPVAKTSEPA KIAADADVEELERVHDLDSML I203_05594 MNIPLTVTFERWIIDLVVQSEENPDTEQRGMTINSIWQNTNYMY KRSIDFAILRSDNAHIPEHLWSYSVSSFMETVKKERRLRLFTTAEFQRIMRREGQVIE GYPAMTRPKLTGHFGDISFKTFYSSEIQLNKFLDNAKSLNGDQKPKPMGRPPKVPIKT TKSKSTTKSTQVVEDEESDDEEEGGKKGKKGFKYSDTVQVRGRPRKYVHVVEEDGSVN RRIIGTVYSRDDLPQVLVYLKERNILVTPPLGYTGLGPPPPATEEAIRNGHPPEYYYQ FPARDSAAYSGLPGRKSKARAKKEAEAAAAAAAGETDSPTTTVQLAETNVPSNNKDKG KKGKKRAKGAGGSGSEAGAGTPSTARKSKRQKKEVNYADKADLDVDQDAHAVNVVPGP ISDGIGHEQPSEKAGPIVEPTASHPDSANDEHLVDPALTEPSSRVLTPAESVDPVGQV IEAQTADITISSPAPPAATKDKQSGKKRGKSGKKQVAEPKQEIVDDFKRSGNNGQNVK AVVPPQPVSGTEDKRNHALDTLDTRSTAGSRFFPIDIPDDSLFDQSMEDSIGNVLAEL SHSGVRPRSQPPTAEPSAPSVATTGSSSTMTGRKRKSDIPTHAEPPPKTTKTTQGKAA SEAFDDRRTSLFVLPEEPMEELPFELPEDIFTTGKSSAKDGEEANISDTAASTNDPPL RQPAVPIEVDVTPDVQILGTTVAVDPPRADEPSPSPSQADSVMPAPSCASTPFRSTPQ PTTLRDISRAQSATPLREVSAAPSDTLATPSTPQRGVAQPIRNKKLLHALNDCKWSLS LMKHCADNLGLAAKPARVDLGTIRKANELVQVLHDNGGVMMDSKLIHEHRNWTFKYAG TDHPNAPPVAYGMDRLVVKKTVNILLSDGRIKETIVSVPTPTGRWVKSNVLNLTDLPH EQLQAYIRQMSTSVSQSMTPNARRGKPDTPSLPATPYTELKRSAPRNGGKLAFDATPT RNSTSGAPRPFSERRTALLKELKVVGQLLGWKASRVVRVQILHRAIIRALSREGCGSV VSTSPRIFAFPLLCEDITAEEWFSCCLLLQYNEEIEHWLRDPVNRATKVKDVPRQYRP LGGFGGSSTKAKMNTLLQIMVTLKIISPVIPAAKEESDFFGDHSESNGFKVESGSINS TFYLLHDMVPVYHIASLPPPLLGLLPARNEEEINTLWSTIKKASLEMQVDALGRIGER TKPTLPHAAKFEDTLDLSIDYTKLLQRPKRWKSDLTLLPIQKAALDEVFDRTTGQNSI NTQQELEDFAYENALPLPFLETEIKRRAELAKDNAAKIAERLREHALKAQQRQQDIQE KIRQKLIERQEAARVAWEEKVSSSAQRKSVEYTPELLGFVSHQTIQSGSMKMSDATES IIDYWVMMWEHIKNLSPQERETALEERRRYQAERVRLTAPKFKYSATSKRRSAKKPKE PKSRSEVLAHDGFADPFRIAGPQKRTRSKRKWTHEDDDLLLDAEAIIRARSRASGYKG RQAISQLFPQAVASTIRSRLTKIVSQPGKKAYYERLEQAWYELWMKIRGTAELVDEHL ESPFEFDLKDHIRVLREKIDKRPLRLLAAATPEEEKEPVPDLLSDSYQITEEFTWKYV ETEQHSFDQAADALSAEEIKINATGSISILDEPRIKEEVAADNKQMGLLQASMKMIVG TPDAYYDVTHGKRLLDTWSNETCQVAIQSMMDNNVLKRVPNPSRDNERQYGFSQGWQQ LEEGFLPPNLFQAAQGLKSKLEPEEGVEWPLIGESGELAALMDMVSYHEVDFEYDVHE FPTVKYEKGHFNTRKLDDDAYEFALQVKRTTRTNSSPKVPFPDTKIYTSLRPWNYEVD DNPGTQHTVQKVIEAVKQTAEDGITKPELLDVIRCSPVQLDRSLAVLSSQDDHTLFWT GYDTARLVLREHWESWCVKVTLQGSNLTDGNAVLLGPRRWYDVYGVFKEDDFVKVVES VKSLVISRPGITLKTIRTKLATILDRLELIDILQYLVDQDKIEAKWSEVVEEDDTMAP PLESVDVDQEDDLGLFPVVNGVWD I203_05595 MSISLLSSLVVRRSSSSSSSSLHQLKRVTTLHHQRFNMSTQSVY IISASRTPVGAKDGSLATLTAPQLGVVAVKHALEKANLKPEQVEEVYLGNVVQAGVGQ SPARQVVIGAGIPDSTDATTINKVCASGMKAIMLADQNIRLGQRGIMVAGGMESMSQA PFLSPRHPPAFGHFESKDSLIVDGLFDVYNKVPMGYCADSTAAKHQITREDQDDHCLS SYTRAEETWKNGGFEDEIAPVTVKTRKGDVIVKEDEDYKKLLKEKFRSIRPVFTKDGT VTAANASSLNDGASAVVLASGDVVEKEGLKPLAKILGYADAACAPIDFPTAPTLAVPL ALKHAGVNKEDIALWEFNEAFSVAACAAEKILGLDRSKVNVKGGAVALGHPIGSSGCR IVVTLAHSLKKGEKGVAAICNGGGAASAIVIERL I203_05596 MPFHLPVLGSGSRKNKDDEKKERRKSQIAEEKPAFIPTTPGSEA RRKSLQLERTLSIPNTNNNGNAAGSGPRSRSPTPLSPTFTASDVRRPIQHRHSSSTSN TGGLQGILKNTNPTSPSISGSEYTTTSGSGSAFFGGMHKRMSSLAFDRTDTIESLSPS IYDEDGTNGSGGGSTPGTSVSSFNQHCPLPSTNSTKFPFFMMTISSVSTLSFIALPLH LRPVVVDVLNNTWKRGISKIQEVDYQPELMKKHKEKGCDQGVWEVTMKGEAWMPTSSE QVSSKRILIKLLTEFARGGYNLNSSFRTSAKDSGKDSLIFLQGEPDPEPIFFAVAFYS HDRIWIIDAEADVGQALEEGIKNWWVDGLRDARVRERHCRELRLRGAPWTAHSTQSLI SARCIHLTILKLITHCDSGYDFVGSIDMADKEEGEMPVTFYRKRWTEGPGGWKMVDGQ GNGLRLETVIS I203_05597 MFEKLKAKIAAHHSSHPLAKQRAEFLLVTTETPLERKAHFTAEV VGAGAAYQAFQAFENNEAHNKGIEGKVSHARSKEIIVGLAEGRVVKLVEEKRLPFTSE TEKVKFIKQAQKHAGADAKRAVRESGLYSQHELEPLDADEKIAAKIM I203_05598 MPRITIPNKPFDLFYRISTPSKRDRAKEIDEEYETILFFHPFWV DSFYYYPQFDDPTFYENYNLIAFDAPAHGSTKVMDISPDPVTWSYHATIVKEALTILH IPSVHLVGSTMGCCPAMHFAAQYPDISKNLIMSAPPALTETTNWSLTFRESMHILINA VKNRDGEPLDAITSVIFDYNATSHSKRLVKDLEEECMQLNRSRLLNGELNGEITVPVL ISLALSRKQLLSYEQAIDLKCPVLVVQGTLEGWEEHDDQWVDMLEKTHKLYTEQNDGK VCDMKRIVLEGCSRWMTLTHPDLVNPIIHKFISKSKSSISSDSTQDLLQGLQISDTKI ITQNHDNEQKTITDGGRRRSSMKKPLSPRDKTEFGVQLSQSGIGKSQSSSIGQVLPKE KVTVEPGTIQTIPSGSGSAGDGVKVQVEVVMKVE I203_05599 MAPPPVHPGAGLGVDVNVGVHSSSSHTFAHSYVLPNNIRTEEFD GQSQSQSRSSSHSPIDGGSGSGGEELWCDPDDLLAIPIYDPLTESQADPLFPSPHKFN LMVQDYLKNLSPKKREKALLTQKMYDAVLSVLQDPKDTSTKTAQFRFWAKKMFQLTTF GPEKVVCHDHKPVAVKEQIYEVLCHCHAQAGHGGRDKTSAQVRRYYSWIPKEIIARFV RDCPFCQSRRTQSSAGFSNMTESQAFLISLQTSDTQLANKRPVSLAQARANAAALGHK PYRRPSIRTIDSCRSRRGSAVSDDGYLHYTNPDGPSSMGMELSSSMSNYDESNDSLYV PSPSYEFPGTNSFADGEQSQNNLNVFSQSHPPLPRRASSYHEQSLLLDVKPIPSESMI RRNSESNILPFHTHGHVHNQMIYSNPSSANPDLTGGNQFLSVPGPSLYGGGGLSRTTS SSSLNSLNDDSLSVSEMGYFDTTATNNQFDEYKIEEWREGSNAMYHQSVSGNTTPLDG SFNFPMNNLSVDLPGMGMEVNLMGNSLSLSAPPSTYMLPVPEFIFDQITTLSLNPVEC DSSQGQGQVQVQVQDQATLDAMVAVQRMFDQNNIFSLGDSAGMTQDQIVEMHDNNDPS LLSLNLNISKEQVNSSSELMSHSQLNEKTDEEINAELEAISILAMASQESADTGYHVS EENLKHMEYVLSQAIINEDARKTSTSGSIPPPTVIIEQPLLTTSQINDETGGNDIFLF STGENGFPPIMVEEPKSDLDLQQQLDSHTMDFTQWPEFTLS I203_05600 MSLIQLPLSLLTAAQGKPMLVELKNGVTFNGHLVECDNFMNVTL REVYQTSADGERFWKMKEMFIKGNIIKYFRIADSILDTAMEEQEKQRAANRQRGNNRG GRGGQTNNRGGRGGQPGRGGPPGRGGGPGGGRGGPGGRGGRGGGGGRVGRGGGNQ I203_05601 MNGQPSRKALKTSFRASPRSIRPIYTGGPVVLTKDGQWLITTMG EEVLVTEVKTGLAIAKVRGDSTPITSLALSYHTEPPTLITAHSSMTLRYYPLPSSAPI SSTPKPPLLTYTRALSKAHSAPILVSAVSSDSTLLATGSSDGIVKVWDLEGGYVTHLF RGHGGPVSALHFNFPVIEGEERRRMELMTGSTDARVRIFDLRDASARVVGGGNAVKPK AVLEGHVSVVRGIDTSPDGKWAVTGGRDKVVLVWDMLSGESVGQSSKKAGKGKANSTG VPKIVQTIIAQEQIESLGLLPIEENVSGSSQGRLLCYTGGDTGAVKIWDVLKGKQTGA MKGVEGVDEAEVDEDEQRGVLNVIYDSTTSSLVSIHADQNIIFHSLSSLQAVRQIVGF NDEIVDTIFLNSSSSSSSSSSHTHLALATNSNLIRLYSTSTFDVRLLSGHRDMVLCLD KTADHRWLVSGSKDRTARVWAPTPDGKGWKCIAICEGHAESIGAVAISRKVDDKGKSG RFLFTASQDRTIKMWDLTTLPLDSSELPDDPIKPRSMATLKVHEKDINSLDLSPNDKF LASGSQDKLVKIFHVDFDASSESAAGSLKPAGTCKGHRRGVWSVKFSKTDRVVASAAN DKTVRLWSLDDFSCLKTFEGHTNAVLRVDFLSHGMQLITSARDGLVKLWNIKDEECVK TLDNHEDQVWALAISSDEKTIVSAGSDSVATFWEDSTEVEQAEKNEALVKAVQSEQDF TNYIVLKDYRRAILLALSMSQPGRLLNLFRTIITSPEPAEGSIIGSLEVDEVIRTLHG IDLVRLLKFVRDWNANAKTSPIAQTILYAIFKLRSPEDILQAFNSSTKLPSQPEDEED IDEEGEGETKKKVSLTKDQKLALPISIQELLDGLIPYSERHFSRVDKLVQDSYMLDYV LGEMDGGIFGGEIMDVDGL I203_05603 MFYQSSILAILALSTISTFGSPIPAPTPMETGGLKQATRDEIIP RHNKPKRALPSPAPPPREVRSGEPVYSLYFQGSGVIENDTNPGKKHASTITIPGATTQ NSAIKRCADHAAQTEDGYFSFQLYYDTPSSIEEGSWICTAYYEVNTDTSYFNIQRPTA GPVFGYSVNTDGSAIP I203_05604 MLPTAARRSLAGLIPPKIATPGAVSSGTTSARTAQVIDFYSKLP KGPKPASERPGGLRGRYFEGKNASG I203_05605 MSPPADGRLGKRESGTARILGSGASGVAELLVFHPVDTVAKRLM SNKSAISTTGLNNIIFRSAAQAPIHSKFLSLFPGLGYAAGYKIAQRVYKFGGQPYFRD LIDKNGGDWFRSTFGKKTGGMLMHATAGSFTGIGEVVLLPLDVLKIKMQTNPDAIRGR GLLKLVTDEGIASLYRGWGWTMARNAPGSFALFGGSAVTKEYLFKLSDYSSATWTQNF VASIAGAVASITVAAPLDVVKTRIQNANFNSTVGGSAIIREMIRTEGVGAFFKGLTPK ILVVGPKLVFSYTLAQSLIPFFGKYGESV I203_05606 MSDKLKALELPPLILILGGTTTIARTLSQYLLDPKSPKASFVRL ADRFSVSPPTTYLDKSFLSLLNSDTSKLEYKQVNLLNTSKYTELFTPPKEFKGTKLLP RGKGDFEGFDIVFDLTGELGYDKPEILQISNTYQTALSLATSASTLPTNFKPKAYVRL TFPFYAQKSLPSSSPGHSESTELTPDGVRGRWWAETLRGIGNLDLNFGVIRCAAWYGR GAYLGEIVPRLVVGHVYQYLKEEMKFLYNSDLRVNTVHSLDVAQSLYLLSLYLLYKPR SEVLKESAINVPFTFSTPSSSVAIPLFNVVDENDSTQGSLAKIVAETWSIKFGFLNSA MASLVQQFAKNDFTEMVEDVNEMHVEAWSKMLASSDPPIESSPITPFLDEHAFRKMSI CLDGSKAKRLLGFKPIHPRVEVSELKEIVKEFQEDRLWPKLS I203_05607 MASISAKPFRLALLQVGSLTSSKASNIAVAKQAVLEAARSSPKP HLVVLPEIWNSPYAVTAFREYSERVPHVGSKYDEVDEGETVKAMREMARENDIWLIGG SIPQKDEKTDEIFNTCTVYDPSGTLVAIHQKVHLFDIDIPGRQTFKESDTLTGGKSLT TFDTPFGKIGLGICYDIRFPEMATIAARQGCVAMIYPAAFNTTTGPMHWSLLQRARAV DNQIYVAMCSPARHPEASYQAYGHSLVVNPLGDILVEASHEPTTIYADIDIDLLNTTR RNLPITVQRRFDVYPDIAEKFL I203_05608 MYISASTLVSALLLAASNVAAQQFTTTYPWANGDTVVLSTGTNA LGVATTRTIQTLTGTATTTARTTATTTTAADDDDDDDATTTTTGKTTTTQQRVVGNTP TTTAAPMRTTTYWLDPGDGVYTAFTWTAPTTALPTVATANVPAGTIQEYNEYQSAVNS VVLQSAEAAVANGSSSGGLPRRQIVGMDALTGAWTTLVLGAVGAGIGVFML I203_05609 MSKSSHPALVWPRTDSDPSKYPDTTRGSDSWYEEEGAGTAKYEL YASQVAKHLVHHLGLSVDASKQRIPLPDGYKIFAHKKAQSSGEIRTDHYVYGARDVAK FRSVPEFLDHAEWLFDTSRPLDDHTTCTCKYSKGTNRRQSMPSSATKRPSGSSPLKEG SAKKKKVEPGEEAVFPAVVPERAEELRSHRRFRRGELIWFKINTIDPPSHAPTAGLKP VTHWPGLISKILLKTKVLNSGDVASASASSASNQTVIHYYEYHIRPLGMFSAQDEIIK DGRDVLPWQAGSALMGGEPGWNSIGLHAEKVLKEGVKKEGAKHKGSGQSQEELESLVN KGWKGNWAKRIRFLEMSDKYEEIVFRLSVALKIGSDIAEAWAQTDKIDVLPNDQDISA EDMKAILSQKKNLYQGLWWGGERIWLDDLVRLKKTRSELPTNALLPPSEGATERGVFL KIRVLAVEVHPDPSKPNTTGWRCVVYGDVFELAKEGTPGTESLKEANGDTDDTSLVHH YPAPKGYDYRQLNEKESEVTVDIIDVAGRIYPDLIDASTQNWFIDPSNPSNKEGRVEP GEGAYALSGLKSGTTVASKSTIWDEDLYSIVQRTTKATESGMKEWYTKLSRAALGLPK LLTSQVDGNPTGTANGHKGQNGAGTLNEVLNGSNGESKS I203_05610 MIGLKGLLNVIDNTGALKVECINVLKVKTRLKSTGTATVGDEIV CVVNKARPISANEVIKNPSSSSNIQKIRKGDVRRAVVVRVKKTVQRSDGSVVRFDDNA AVLLNNKGEMLGTRIVGPVAAELRKSKGGAAGAGGRWGKILMLAPKVV I203_05611 MQKADHTDQVSGTENPHQMNQGVNHGGYSLTYSITRRFGVENDS LTMKGNSAVSTTASTKKPGSSMSSKGSKRKAEKEIPDTTSSETMASRRSRRGDTGVKL FQLEFDDEDNDDNPMMAA I203_05612 MSLLRSALTNVSRTSLRPSASAVSRVAIASRGYTTPTHVRRDKN GDPFEEHRVEVEPKIASIDESITFEHPKKWADKHPGHDMTKGDFGRHTKRTLASFSMD GKVCLVTGAARGLGNMMARTFVESGANAIVLVDLKKDEAEKAAEDLVKWFVENGEAEE GEIQALGLGCDVSDENSVAKVFETVKEKFGRLDACVTAAGIVENYVAHEYPTQKIKKL LDINIMGTWYCALEAAKLMPEGGSITLVGSMSGSIVNVPQPQTPYNFSKAGVRHMARS LAVEWAAKGIRVNCLAPGYTLTNLTKVILDANPVLRDEWLHRIPMGRLADPSDLKGAV IYLASDSSKYTTGAEIVVDGGYTCL I203_05613 MPFVKTQKNDAYFSRYQVKPRRRREGKTDYQARRALVSQAKNKY ASPKYRLVVRITNKQVICQIVYAKIQGDAVLVHASSKELPKYGIEHGLTNWTACYATG LLVARRALTKLGLADKYEGVEEPTGELELTEALGDDEPRPFKAYLDVGLRRTSTGARV FGAMKGASDGGIFIPHNEKRFPGYDPETKSIDAEVLQNYIHGGHVAEYMESLEEEDDE RFKKQFASYLAADVSSADIEEIYTEAYAKIREDPSFTPTEKDVAKWKAESKKHKTPKS TKAEKQARIQEKIEAYKAGKIAVDEDEEDDE I203_05614 MRLSTSLALAAALLPFAQAGMYGQPVLNLDAKSFKQAMSTEHAA MVAFVAPWCGHCKALGPEYTSAAQSLSPLIPFYAVDCDDQKNKGLCAEYQIQGFPTIK AFPRAGKGAARDYQGERKKGALIEYAKSLVPDRVKKLKLDSKGGNEDKILQTFLEEKP TLPHVLLIHPSAPSIPFLWKVLAHRLSGKMHLGFVRDTTSHSLISSLGIYDSADTTKD ATRVVSWPAETTSKEGLTEYEGAMKFNALLEWLQFQLTGETSTTNDSEKVKQKPIKIP EPITSAYDTEPEPTEKRSVKSEAAARRAKLDEAERRDRERRERAAAKKAAEQASANGS GTVDDAPDAIPQEAVEAEQVTGEEALKEEAAEPVPEQTAELQDEETVEKTAIPHEEL I203_05615 MTSSNRYLNTEVDTSPQSEKKINTWFLNQPARSLPLASPTTRPE SSSQLSPSFEDTQSIPSIPTSTPPILLPLHTFLTSPSSEASDVLHRHTVQVYDTTSLS QYLDERGQGDLVKGEEGSGGWYDWVITVQVKGRGRGVVARGDGVLRRWLLKNPLHPSI PVSPHEYPKTPRIAPDSDWSIVPLNLGPDTDFRACVNLLSEEGASRWKLDELWKKAQ I203_05616 MRPQVVRATRLVGRRFNSSSSSSSSSSSSPLNNPNVQKAVEGAQ KAYNQTAATVKKVAGPLGERVGSALGGYREPLVYNSKVVASVAKQVWQAEKLSPPLDT AVWARAYSQIWSRASNLGYWRDLLKTGAWAGLGVAPTITVDKDELYRRLEREYTTHEF DELCFDFGVELDEDTTKDVEEARAKGLPTPPPQLKIEIPANRYDLLCLEGLARSLRIF LQKEQPPTYTLSVPDKLQEVYVEASTSPLRPYFASAILRLSRPMNQLEYESFIDLQDK LHQNLCRQRKFVAIGTHDLDTIEGPFRYMCKDPKKIKFAPLNKDQEYTAEELMGVYET DRHLGRYLNIIRDAPAYPIIYDSKDRVLSMPPIINSQHSKIIAGKTKNIFIDTTATDK TKLDIVINMISTMFAEYTEIPFTIEPVKIIMPDGSSHLSPPLAPRPTTASSSYINAAT GLTHTREEICTLLTRMSLTASPSTSDADALDVQVPPTRPDILHECDIMEDAAIAYGFN NLPQSMPTTNTVAKAFPVNRLGDLIRKECAMAGWIEALPLILCSHDENFAWLNRPDPG HYAIHLANPKSLEYQVVRTSLLPGMLKTVRENKALALPMKIFECSDVAIQDPKSERQS KNYRRLCAVYMDKKSGFEVTHGLLDRVMQVLGVPFLEKRESSGKYGYYIASSEDPTYL PGRAASVYYRPKPNVQPTEPTPSGPSSEGPLSTIASTLKSALPSSAEEGKPWSRDIII GSLGILHPTVLNNFELTRPCSSLEIDVEPLL I203_05617 MNNPAVSNLVISLGAMQVARRIPMDDPQTVNYLRIGYVASQAIS LAIYYYITLKIRKRNDLTVLKYVNPAPPMNPDAKPELVQTTVKDYDLAEVGKAIKSLF VSLAFMAFLHGYLKYTQPLFIQGLMGLKSTLESNPAKLHLWGKKAEGDLARPFKAGGG LLESLTGKASGPQTDAASIKAAEKAGGKSE I203_05618 MSDSSAAAAPTSGNNDQAGPSASSSNPTSPDPDPKAEPSSPNVN GSQNHNDNDDPQERIVELEQELAAVRHENDKLSNQYKGLLGKLTAMRNTLGDKLKEDA EELDRRENTINVLTSENSALQETLSSLQAELSSASQEASSLTTQLNQLRSQSDSSSSD VLSLTREMRELRGEMERLRIEREEWEVEAGREREKRESIEDELRLIERYQRDEKSRLE QTLKELEEERQRAMNLQEVLEEFQIAKDSELRQATTELETQLRLAATSLSEYKLRCAN AETRLSEVSSSAGKVGQLERELREKNVLIGKLRHDAVVNNEHLTEALRRLRKNSSDNN VDRRLVTNILLSFLTTSRGDTKRFEMLSLLSTILSWDDNEREKAGLQRKGGTNLAVES KGRRVSGKEKERTAEEEAAMNESFSNLFVEFLLKEASQGQSRPSVSSPPPPTPSAGEY PHPHPHRTFSQTSLNSPFSPPPQTLSFSPPPTESGTTTPFSRPRGLSNSSYTSERPGY GTAGRKISGGLRDVLGGSQGQGQGHQ I203_05619 MWFNPSIVILSMALWCIPASGSAIPNPVPVPMPVPAPDSRGTST LKQATRDQIIARNKRPSKRATPSPTPAPSSVTSDYLNYGLYFSGSGVIETDSNPGNNV AGKNPVTINIPGTTPQNTAIQRCADQTFSLSGVYYQFQIYFDQPTTGNGDGTWICTSY YNGNSNPAYFNVQRATASPVFGYTRSN I203_05621 MGYKHLISILPLLATCSAFVIPSESNVSRRCVSTIGSYDDVEDA VSSGCDIELGAITVPPGEALDLSELGSGTSVTVTDDVTFEGGVEWEGPMFLIGGSDIT FNGGGHTFDGQGAKYWDGQGGNGGKTKPKMMKVTMGGTFSDLTVLNAPVHVFSVGNKK PLTIKGVTIDNRDGDELGSDGKTLGHNSDCFDVSASDTTLDGNTCWNQDDCLAVNAGS GIVFSNNYRSGGHGISIGSIKSDEVVSNVKITGNTVENSDNGLRIKTVAGATGGSVSD ITYTNNKVTGAAKYGVVIQQDYENGSPTGEPTNGIEISNINFDSGNTVSTASDAKYGV YVLCGDGSCTGTWNWSGLTVSGADNSISGDPPITGFP I203_05622 MSNTLPTPSEEDRQAYLSTLYTLLSHLKSSESTICPSQIPRSLH DSDPSRYPDWRGLMDSVREVVWEEAREGRVEVTQKGEAKRYEDRGEIRGPIRVRRGEK WDEGLAERYTSGNGSE I203_05623 MVGFARDDDQKQLGSTFMASGTSSSSGSTPLKRRRITRACDRCH RGGTKCSPGPTPDICGPCSAFGSECTYERPIKRRGPQAKSNSNDNGDNHQRASSSGAS IPNSPISKEKDDHWVYQEVASHEQLEELIDSFYRLVYPTHLFFHWPSFTAAFRDRLYT RSRSFNCLIMSVCALASARLRDGAPKYCHLKYWDPSTSTSEIFYNSCLSSFPVDLIKA GEFDYKRTKVILSMLCLQYGEIARSIVHIGDYCTLCSLDGFQNESRWPKGLDEIEIQE RRRLFWAAYQSDIYIATTFGGIIRHREAQSTVLYPTEVLSDDDITPNGIVERATTNKK SFLNGWNFVTNLYRILEHAVCQMRQRNQTYDGGNQIALLFSSKSSKGGKKFDPGPEEI LSTVERLYNDLPDCLKEAKEMSGDVNKDIYGFQTANIMITLQLVKMVIAGMAEWSVEQ RCAIAGELLDSLAALPKPFMQACGAPLIHHIAGVGHILANIIQSPLSPTSYLHVRTVL LRMADLLSSLESTLKSASVLCIAAKLRDHVERIDDYMISATEASGWNFTIGSVASTTD DQIRTPTTYPPEPLMSMTRPNSSAQRSTQMINIQSQIPNIPQPAQPNTFPTDNNIQII DSLLNQPAQSTTTVDQGDQQFQLPDDLFSDWSFMFNEFGSQGDAFDFLSASASAPAPT SGNADWQIPSVNGIAP I203_05624 MSCGDIPDGLATEEQGEALMPRRIKVQRFDKSKCQKCRTAKSMY IIRNVTYCKSCFESSLFTRLQRTLHPAMRSTTLTPSELKNKSLASIGGNRPLPQDGSV LIGLSGGSSSIALTDILVSKEYIGKGHKRVVDRTKGEKQPVWNKGYIIHVDFSDVIEG SNKEEDRSEVLNNWIEDKEHGLGWIGLKGQDVYDVNLRNKIRRIIGIPELDDGEETES LAIDLKNPDLPLFPDAPSSSSSTPLDRLRSVLSTLPAASRPSFLSSILDSLITTTSQI IPDLSHVLLAETSTRQAQRLISGTALGKGYTLPLDLSVIHKSSAPDGRDIIRLKPTKD ISLKEVTIYTYLKNFNGLVRNARNWDNAGPQNRKGDSRGKGNTRSLESLTEQFIASLA VTHPATVSTINRTGDKLRFTGEKETQVNCPVCQLPVDPNALEWKSRTALTSLPTKTLP SEDITSDGEGRESLATMLCYACLTTFTPPTVVSKASRTEVVPVQLPYWVGGNVRDRSR EMKEEIKEFLIGQDDE I203_05625 MSTISAINDPSSSDSTEGFTFTISDSAPILGDCHIGDSICVNGA CLTVTEFDQSSFKVNLAPETLNRTNLGELKIGDKVNCERAMSAHTRLGGHMLQGHVDS AATIISMIQDGDSIRYQFALPTNSTLLPYIIEKGYIAIDGASLTITNVDEKEGSFGIM LISHSQEKLTLTGKKEGDKVNIEVDVVGKYILGSSSKIEGLVERLVEKKLKEKGLI I203_05626 MPPKSKHEFSFPPPGWPSNTVPTSSRKSTNHFQPYPPPPLPINI HMVQPHLPTNYIPQPGYPPQPLFVGVHHPHPHPHPQFIPPRPTGNFHPEPLPMPHPET SYRPHPPQTAYQPVSAPSYRSSTYSQSTPTRSSNAYSNSMNYSSRQNGTVPHPSRAPY TAQAQPQPQPAMYYHPQSYPPGVLPYFSAPPGQKTYDVTLSTPPTSQALYTTYPSRIR TGITSLVQPEHITGGPKEREAFYAEQEKELLNSQRGGSGTSTPRYDSPLPNRSGGVGV GSTRRGGRGRVNYAEEGSDDEEDDDEDDEEELSEMEEPASDPEDDNYGSRRRPGTTRH SSSRRDTYNTYGGGYDSQSMARANKAKRKREEMDKGWSWLGDRTPAERVKSANARVTK HNYVSEELLEKEADRPELLVPITIDLDIPSHDPNSQGIRIKDRFLWNINEPFIEPIQF AQTFCEDLSISHTYAATISELIKNQLEESQNTVEIDISNEDVTEDDVVWSEDDEDTEN GRKESAGVDTPLNGDIPHVNGNGNVEKKVNGDEEKGQEQEDVEEQEQEVEQEKEKEKV WEEADCRIIVNLDVQIYTHILRDRIEWDLSSTLPPVVFVKQYVKELGLTGEAVPLITW AIHEELLKHKKDALELELFNQTHPEEQIKFDKSGIHPRTNVSSSSRRGGHAGQGAKGL VGVWRDWFEREEYQPVLFELSFDEIIQREQERLRESRRVMRTLTTGSKRRRI I203_05627 MPKRAAPSTSSAPSSKKAKSASNSKSTTTTPKGKGKKVATSTTT DSPLITVKQVASDLINTVSEVVDNAGDLILDDGNTPAPVSKVIEENVDVPNLKKKGKA AKSKVLEVAETAEKNLEGVVEDKPGLDVKATKAKAGKAAKAGSKKVEEVVEATKPLKG KAAKAAAVAQSKAEEAVGEVEKAAKDPKNRKKAEDFMSTAEEAVKSVAGKVGEVLGNV VNQFGEASGLSADLGLEVEKATKVAAKKGKQVKEAAEEVAQDVKKTVGKKGQQLKEDA EEAVEEGEKVVGKKGKQAKEVAEETVEEGKKAAGKKGKQVKEAAEEVVEDAKKTAGKK GQQAKKAAEETVEEGKKVAGKKGKQAVEEVKETTKRKAKNATEVVQPTAKKAKAAAKP VVAKGKKAAKVVSDAMDVDDEEGYVHGFSSSDGEGDSSDDESDDDDEDRAVAEAGKKI DMSSLPMVAKDDKSVQAKLKKAAKKKDDPKGTLYLGRIPHGFYEDQMKSYFSQFGDVT RLRLARNRKTGASKHYAYIEFSSASVAKIVAETMNNYLLMGHLLKCEVIPEDKVHEKL WVGANKKFRKIPTARVEKQKHEKDRTDGEKEKANKKLVKKEEARKRKIKDSGIEYDYP GHVSFSSK I203_05628 MAFQAPFEALKSHTEPIYRCSWPIQVVSRERELDLTQCFEHAVL LPAPLVIAILIGTAQIFRISRRLKKTQEQGGLIWQNRTKRNESISTLKLHLLSASAVF ALVSLGLSFAHIQQHILSTVHYALLLLTIVTFVHLTSLNHHTSQTSSSLILLFWPAYL LIFFVRLRTMIITGDLSRSLTHTLPGRCILARESFWFLSIIAGMIDFIFELYSPEKRW KKFRAPWSKKGKIALDEEEEEDEEALNGVDAIDGVGFAKNEHGDIESPVSTANIYEKL TFSWLTPLLSLGTRKFLGEEDMWALPSDDSAESLSQRLIGSWEKQVKMVKDGKKKKPS LTFAIVKAFGSPYVLAGLFKGCYDILNFLQPQLLRLLLSFVSSYGTDHPQPPIAGFAI SILMFISANVATAILHQYFQRCFETTMRIRGGLVTLIYQKSLVLSNGEKAGRTTGDIV NLQSVDAVRIGDVCQYGHIAWSGPFQIVIAFISLYRLVGWQAFMGVAVMIISLPANTI LNRINKRYQRQMMKIKDTRTRTMNEILNNIKSIKLYGWEKSFADKIYDIRNNQELKML RRIGINFAFVNFIWQGTPFLVAFSTFATFAFTSGRPLTSEIIFPAISLFQLLSFPMAM FANIINSIIEASVSLGRLEDFLSGEELDPDARTVIRPSEDPQGGPKNGDAVVSIKNGE FKWLASAEQPTLENIDLEVKKGELFAIIGRVGDGKSSLLGSMLGEMTRSDGSVTVRGE IAYFSQNSWILSATVKDNIVFGHRFDPDFYQEVLDACALRQDLAVLPSGDMTEVGEKG VSLSGGQKARISLARAVYARADIYLLDDPLAAVDSHVGRHIFDKVIGPNGLLKGKARI LCTNAVTFLPQADQIIMLRRGIILERGQYDEAMNNPSSELYKLITGLGKQTAKNEEGS DGSTTPTAIEEDEDESSINEKEEEASIDDSASLRKRKAYRRMSTANMRRSSVVSIRQA KRDAIRDLRESAKPKEHSEKGNVKREVYRDYISAASKIGVAVFLLAMLAGQGLGILSN FVLRSWASRNTGASDTTDVVRYLTIYGVVGLSGSILNVVSFATLKLVIALNSGRKLHD RAFGSLMRSPLSFFELTPTGRILNLFSRDIFVIDEVLIFALGSFFRTTTQVVGTVAVI AYGAPFVLLVFVPLGFLYRMVMRYYLATSRELKRLDAVSRSPIFSFFGETLSGLPVIR GYGQKSRFIANNEARIDRNQACYMPAMTINRWLAVRLEFLGSCLMFSTALVSVAALIN YNSVDAGLVGLLMSYTISVTGTLNWLVRSASEVEQNIVSVERVLGYANLPSEAADFVE DKKPSTKWPEQGSIEFDNFSMRYRPELELCLRDVSFKINGGERVGVVGRTGAGKSSLT LALFRILEAAGGRILIDGIDISTIGLHDLRSVVSIIPQDPQLFEGSLRNNIDPTNVSS DADIWQALSQAHLKDHITDNMGGSLDAEITEGGSNLSAGQRQLVCFARALLRKTKILV LDEATSSIDLETDEAVQDILRGPDFKGVTTITIAHRINTILDSDRVLVMSEGRVAEYD TPEVLIERDTSLFAALVKEAGLSQGNGTSTAVSKNASRAGSIKGKD I203_05629 MLTNPYVLTILSLFSLTLVSGLSLPQRPGMISSREYPVRASRPR QVYIAEEEVQGRYIPTRTFDPSSIKRRNADDDNFDHYEKDEDENDDLILQLSDKNKRG VIPKIVEL I203_05630 MVISQTNSNSFSLLGGIFAYTKFGSTPSLIGSFAVGSAMLLSSM RIRDGMEYGYEGAVASSIALVIPTFRRTIKTRLPIPATVCALATASTAYYVQALSDIK KHAI I203_05631 MKYPPISRSVFGVTHRPIFRSLHTPSSITPPLSLHSPSTLIRQH GNPSSEHSLAKPDDFLFWPDFFAFEECKTLVDMALWKLDRVDSSLKRRRRGKQSLKET QEQDDIQKLFDREYGFEEGHYDSVIHHYRETLLSTLPPNPSSHLITTLSKLYGLLPDL PNSELKSISIPPEGTITHLLHLSPKGEILPHVDNLEASGKYICGVSLGGERIMRLREK GKKEDGWDVRLNSGSVYLQRDSIRYNYEHSILPYSSEGTVWNGEDLNQGHRISIMIRD IPTKPAQL I203_05632 MAAQAPAPAPPNAPMAPVSRSSRPTIVGPDQPEHPYPMKLEGTV TKGFGRGARFLGIPTANLPDTSLDPLNALNMTGIYYGFARIHPSSSTPLPSTYPTPIH SGPSSPSLKPTKPPNLDTHELSKEALESIPTITAPYPPEQHAQRWSKEDEKIWPMVMS VGWNPYFKNEKITAEVHIMHPFKADFYGHHMSVLVLGYIRPELDYVSKEALIEDIQTD VKVALNSLARSEYAKYAENLFLTKDRL I203_05633 MSSNDKHLATFSWGAGAQSVHIAGNFNNWSADATPLEKQADGSF TAQVPLPWGEKQAFKYVVDGEWKVREDEAKEWDAAGNMNNVYTAPPAPISTSEPSSSA VAAAAPTPVPASSEKTALPTEHDKPSSPTTTNGELSTGPVPAAVPAPSTKKSLDDTPA PTSSSQKTEPSTLIASSAPGSASGSAPVLGGPVFPSSPKSKSTSTTTTTAPASQPAES AANPVVPAPNPEAETVAAPHASAKANAKPLAEEPIPVQIEKVAKQANIGEAPQPTTEE EQGIAEKASDFAAGALAAIGAVVGNAAVAVENITGLDIAHTGPLSVEEAKAKGIDINT LEKTDAPTDAVAPVGTAPSASAVDDLQEKVDELKLGTSKDTTGISDVPLPKTAEPTSE SNPFDYSSVAPSETLNQLNHGEPPKKTEHDIPAQHETIENHQEVPQPVITTVSDLDPS KDRTKASTSLEDTAGTKPISSNPGVSAKAEKEIAERDPARTAVASDHPLSEPKVAPDA PTNNVTPQKDQSKNVDKITPTPEPAAPAAPSTPAKAAPATPAKDVSTPAPASATSTPA STPAKSTHTREKTTDSDVRKRKSSFFTKIKHAFSPKDKSK I203_05634 MISRRSLLAIATLLAFSAVVLGKSEKAQEFFHTPSHNPAEHGTS MKKLFATLFPFESPAYNSILATFYISSIPNFILLAVPATLEPSSLNTLIAFATGGLLG DVFLHLVPHSFFGEGHSEDCSGRKIDVEEKRNIVIGGAIFLGFAAFFVLDKTMRVLNA SAGNEPHSHSHSHSHSHSHGSTESKGTSTAVSTGSGGSELKSRKSPSDVTSIEPKAPP SDVSETKKEVNQSLKLSAYLNLFGDFTHNITDGLAMAASFYSSPALGAVTTIATFCHE IPHEIADYSILIKSGLTKSQAMGSQFFTAVGAFVGTFLGIWIAETSGAGNKEVVIEVG QGLFGTSVGAGELVIPMTAGGFLYIASVSVIPELLEESRSAKQALKEYAAMAFGVFCM AVIAWNE I203_05635 MFPSTRRTISILFRTPLIPPPQAPPAVELSTFTSSAGPSRMSYR RTISNMSIRGYASDRGKQELYSDEGGSTGAGTDDVAHTHAAFNKDPNPSSSAKQVENE SGKDFTKNSSANPEYSYSPGKQGEKGSETPLNTSKSEAKK I203_05636 MQHAGNRLWWTENAENHPLTVRKEADVAHQWSRLIFLNLPSSIQ QDTFRSTLTKPKSLLSCTITDLKLVPKRRFAFVGYKTAEEAQKVKDWFDGTFEFGGGK VKVDFVRDDPLAPAPAKGSKLKSKETDVQTGSNAVAGPSKRLQEFMDVMKGVDPSSST DSSASTSTANAVPSEQGWVADGQTSTSKKEKSKKGKEKSSEPEEIAEGQGDDDDAAWL RRRQNEALQVEGESFSTKPSPDEDLILSTGRLFIRNLAFIVTSSELSSHFSKYGQIDE IHLPTSSTTGEPLGTAFLQYHNNEDALQAYKNLDKTTFQGRLLHVLPGRPKPGQTIAA NGGGVVDGKVLGKIDEQKGQVKKNVDEKRKEDSRKGLNWATLYMNSDAVAASVSSRMG ISKSELLNGDSGNAAVKLALAETTVIEETKKYFEDAGIVLEALQPKVPRSQTIILVKN IPFGTTIQSLTDLFASHGKLSRVLLPPFGTLGVVEFENPMDAGKAFRALAYRRLGNAV LYLEKGPVGMFKESPSSAEQPMSTTEKELKEAQALVDKVNEIREEPSVDDEAGSTLFL KNLNFTTTTPRLNAVLASLPGFSFARVQTKINPKSTSGERLSMGYGFVGFKTRQDATK ALGALEGFEIDGKVLQVKFAQRGVEDDQKEKDKDKDTKGGNGGKTKSTKLMVKNLPFE ISKKEVRELFSAYGQLKSLRLPRKSVPTSTGSASTRGFAFLEFTTHTEALRAMEALKH THLLGRHLVLEWAKETDDVDVEGLREKVGRDVRFLNDDGASANRKKRKLDFGGKAAEE NDGLELD I203_05637 MPGVRDISAESFIKAYSSHLKRSGKLEIPTWVDIVKTGAQKELA PYDPDWYYVRAAAIARHIYLRKHVGVGALAKLHGTTNRRGTRRSHHRDSATGVQRNVV QSLEKIGVLEVHPDGGRRISQDGMRDLDRIATAVLEAEREEEEEEEEEDEEEEEEEEA DEE I203_05638 MSTAAVAPSTPSGAAGKNAARSRNLANQRKYANPLPILFNTPSS STSASSSKSSSTSSSRSLLSSYLPSARVEVPQCIGTFDPITRSIWIEDERSKEILFTK GFFGKGSLSRSEPSWRERRVALLKGGATLAAEQMREQRRIARKQFKIDRAAAMLDAAK QAEAVLTSSVRGSSSSIPGSPSRLGSSLGQNLEEELEEGNEEGVDDQIRPSSPTPSTS TTTTTATDNTVIDPMNLTPQTFLVRPTRPDSNRNRGRKAFKRRPPQPPSTSSSQPQPA PPATGEPAKAAQPPQQSQRLEIDEDEVEDDEEDLFDESLVEEMEHLQLSLEEGLFLTL GLGVLRVFDPTSQTYIPNGSALLSLLLTPSSTPSLISTPTSTSLLPDDPMLVSYIAYH HFRSLGWVVKDGIKFCCDWLLYRRGPAFSHSAFACVVIPVYENPSEKESSPYGNEDWY EERMSWKWINTIMRVNALVQKTIILVYVTIPSLGSFNEVHKLQNGYLDPTKIDFKNLL GRYTVREVSLTRFGPSRRRD I203_05639 MIEVEASRPDFDVSYKPHYTKTAEPDFRPGQGLNGLPYSKEFKA SKDGFRSVIPENEEKSDIYMMMISGVTPRPVAFVSTLSEDGITNLAPISYFNVCAHSP PIVMISVAKGNHPDGWKDTNHNILTTKEFCISIISESFLEASNYTAVDCSPEVDEWAL SGLTQRSSETIKPPHVGESAFSMECNLQHSYEVKDDQGNSTSLIILGRVRRFHIRESV LDPSDPFKILTEKLRPIGRLGGISYSRTNQMVEIPRPVWDQVKDTPEVKEALKKGVKK L I203_05640 MAQQTEPLKILSIGSPLSELTTLVSKITAINTKHGPFDACVIVG DLFKEGSDGKEIGGLEFPVPTYFTVGQYPLPQLVKEQIIKTGGEVANNLVYLGKSSVL TTAQRLKIACVGGSFTEEGYDTIGDQFSPVISKDSISAILSHPILTGATANSSESLAS AKQEASALPSAFQGVDLLLLSSPSPHLSTLSPSYASSGVSLAQSAPPLEEVVRRAKPR YLLWGDGEGFWEREPWGWTGPTGKEERWTRAVKLGALGGEAPTGGKKARWFYAFTLPP QTATSPLPAKPANATPNPYVMPSTPSSSSTSSRKRGPLEAQNYIFGGQQAKKGRTEGA VPPDNYVCKICAQPGHFITDCPQKSNREAKDHSKPPEGYVCKICQSPDHFIKDCPQKD DKPRGPKPPPQGYVCRACGIPDAHYIKDCPVVLEREEAKSKRKELGPAECWFCLSNPK VTKHLIVAIGSETYVTLPRGQLIPTLPKHIAQGGQKPLVPGGGHVLIIPIAHHPTLLS IPAEDAMSIISELESFKSSLKACYASYGAVPVSFEIGRLSGRGGHAHIQIIPVPQELA DKVEESFIKAGEAQGLDWELEPERALAKVGQQGNYFKVELPDGKKMVHLLKGNFDLQF GRMVLGSLLGYHHRIDWKECSQSEGEDKEDAQKFKKAFAPFHS I203_05641 MSLGTSPTAPSLLIPSNPAFSPVETAPKGGILINVHRASEPTLS IDSSLGSYDAHARRPSDPSSIISEEEETASSYSRSPIPSPISGIHTPNVNAKSLKIRF APLPDPRRPRSLSTGRNIAWTNQVDENGDEKRQLSIKDHTTPDDDEYAVQDDSDEEER GGEEDEGDGKSGRRWSKSMGLSSSWKVSKKLLTGKNPIKDKEKDKEDGSMTYPQGAPL KKSVSTGGFIGSSPFRWTSETERKNSMQGSSPPTLTSFLSSRSDSNPNTASGHRRNSS PEPGTGSSYSNRLSSSPSTTPIKMMNGRVYGSRRASEAAERERKIREKIEPAFVEWGQ GQVAQRTTEGSSSGKGGFLGDNDDGGGMAWVKRRREERERQKREKEEQEKLQREQGVS GAGENGKEGIGVEEALSTSSSSFTSSLDLNSKKPTLGINTGDLKTPAIEISELPPTPI IRVSADSDSTSNSNSPNTNTHGQSEYTKSTMSMDVGPKGERMTPTPPNVSSEAIHAPK ALVDERKEGDHVVQAMRIPSGTTAQKSNKLKDPFAQEGQNNDNPSDEEEEEEDEEEEE EDDGDFDDDEEEEIDIR I203_05642 MTTPTQQRPDPASAPTPRPTSPSTQYHAALSPGRASSIRSFTTA TEDEDYYDSQARPRSAVPGLQSLESTPKGGMGSQRGPPPTAFGSSFGSPNTTWGGFNN SNAGSMPRPSRVPRFLSSGTYQPPPKDGGGSMSRSTSRARPNLQHRDSAGVVKDKTKE NDDEEEPEDRGAELIKKRQKERRQARLKKQHLELERRLAAEADGVTPLATPDLSAPTT GIPEETFSTQQNRGMMGRSISRSRAPSADRRRYPSEAGYFPRPSSVAGTETPRDGGLS PRDDFHLRAPSIHSSQADEEDEELLAAERASIVDEIVHDVVEEETGGEGHSDEEEEEE EGEHDDEGVTLRDRQDALNIEHPFGLPIWKPALYRKSRSVTRNAESALHSIPSAAAER HLLPGNILWILLFGWWIALACFFVAVLVSAAEVLGGGRGGYGKTLRGLAWYIGWPFGK YVEGEGAPEDDHEDSADDEEAGALRGRPDYGTWTSRSASSVSPTPKQRVASDGASSNF TIRNEPSRDSLGLHADLPDEPPVRPPPAPTSPAAASSSTIRGGNQGHDRHPTVTFSPN VKVRDEANERTALLNKQSSSTGGGSGFRRPRNKKAKFLGRLIYWPGFFLVVAPIMLFV CILCWFFVITIPMAKLTWALLRLLYYRPLEINFRSAPKVVVPLPSNSTPHGSPESADG SGSTTLREDGSPSGYTMKRAHLTAGQVAPTSGPTSTVLLCTYRAIGLQYYKYTVGGVN IMFINLLPLVFFVIIDGLFILPFVEKQEHHGQPISPFLKLITAQALIFVMALASVIPL SYFIGMAVASISAQSSIGMGAVINATFGSVIEIILYAIALIQGKGRLVEGSIVGSILA GVLLMPGVSMCSGAFRRKEQKFNAKSAGVTSTMLIMAIIGTLTPTMFYQTYGSFELHC EGCPEPVVHNNISVIPDMALAPLRKGDVWMCDHCYYEHPDPQNDPFYQEQVETLMYCC AGILLFSYLIGLWFSLRTHAAQIWQNPQQLMKSDQANAIQAMHPAVKATLTQRITPQA VMQHILPLHKSTTASPVQPSRSTQGSPKASISRLPSHLGINKPPSNATATVQEEQGDH GEGSGGRLTSSTFNLPAGYTPFLDSVDKDLKNSSNHLTPMRLPSTLTTEDFTRAVAVA TVSALRHQGSIIGSGGSQVEESEEEEHGGHEAPSWTRGVSAGVLLGCTLLYAIIAEIL VDVVDVVLQGSGIDEKFLGLTLFALVPNTTEFMNAMSFALNGNIALSMEIGSAYALQV CLLQIPAMVAFSALYQPDKMGDVVDTFTLIFPRWDVIAIILSIFLLTYNYIEARSNYH RGSILVLAYIVLIMGFYYAPARSQGDTSSDLVYGPESVRDLGSAGLSVALTKLWV I203_05643 MSAPPELNRQEDESQTDAQSIIESASSPTPTIRHSQQQSQIPTA AQTFADILKSQPPNQTGENMSSLAPELKRSNSAQQQEALGKGRPGSSGSNNTTKDEDE QFRI I203_05644 MPAYRLEVASAARAQCNGPKPCKGTKIGKGELRLGVWVEIQDRG SFKWKHWGCVSEKVISNLKADFPDASDVDGFEELPPNFQEKIVTAFEEGHVADEDIPD SARKPPSPEKEDGEEEGEGPSSSPAKKKKATPKKKKADQEEDGHVEEKKPKKAKGKKA KKEETESELSEEEEEEKPKKKKTPAKKAKAEPEEEETREKPKKRAATKKPKVESGSEA EEEEEEKPKSKASSNKRSKKVKAESEDEEEVMPPAKKSRKPKSKAKAEESD I203_05645 MKAADGSRKPLWLNQRTLTSHIFFGPYVLFPILAALTWLGGILA LLLLWVVAGKPRYQSDEASVVFISDVGATHQTLFIVICACVAGFYILSLFAERWLRHV DRLPTDLRKREVIFDWLAIVFCIIGSAGLVLLSAFNAFDHSTIHWTMTLVFIVGVALS AIFQSAEVWSLHKDHPDRKSLRRNSILKLTVVVVAVACAIAFGATYGVCGGNSTATSS HSAETCNSVTSAAAALEWTVAFILVFYFLTIAADLWPAGKSSPRYMRRLAKWQAKHEN VNHLENDFTGRGAFDVYPERANWQAREQEMRREMIDRNTGAAYVGNGNSNNGTHPSSI DDNRYSMGSQTPMMRQV I203_05646 MDLVQNGESSKSPRLDGDIVMGNDGSGYQSGASNLILPQWDVPP PRHLHSSHDLISLLHLDTLYNDYVRPYAEINPQDTEKGQQQHRRRKLEKGYWHLIDDC IDPTPTGTKLDNQSLLPITQDFMYPPGQSPYLYSEGIEMLPDDAFQVAKLEVGHKEDG YSAGVKLGVREAEEKRRRKKANKLSIKPNNTSTTPGIPSPSIGIPNNNVPGIPSPRPG STPGTPLLPVLPPGHIKNNSFGRKPSLPNVQLGVKPFGPGPGQGRPYNPNKRPGSVEV DNYQRQGSKKFKSGSVGPSTSNIPYKPTGTGVAGSRSASPMPSHSHQGGQHGGQSGQS EQGGQSGQGQGLKFKIGMRSKTEGVQ I203_05647 MALVLPSADSGYLPYFLLLSTVAGTYNAFQNHFVIWQSKEIYSR KSDEMTFLAGRMFGIWTALASLIRGMATYNVHDRVAYDLAIGTYALAAWHFTSEWLIF GSIKPNRGSIGPLIVGWTGLIWTLTQRDHYLS I203_05648 MTESFKYIQLSRPSSNTWQISLSSPPDNRLTPELLSEFSTALDQ VESQWREVGGGKPLQKQREGYEGKGAGALVITSGNEKFFSNGLDWERSLKIKNFFEEL FDPVMWRLLTFPLFTIAAINGHAFAGGMILALCCDYRIITSGKGFLCMNEIQFGSPLP NSFNALLSLRIPNPQHLRDTLLARRWTQKELLNIGLVDEVVEPTEVQSRAVQVGQRDG GKVASGSWGAIKRGAYHQVLEYSQSYRTLNLPPQEEKEFYARVGQNGSKAKL I203_05649 MSDPSHPGTSSGRPSTSSGRRVGTGKGKRRQPTARLDTAASGIS ADELPEQEFYKEGDDEDEDDFDEEEDEEDEEVFAFHRPTTAAVPALGTISDYSTSHSG PSSGHLPSTAGTTTNISTGPSDGHLNTPGLSLSDTPHSVSVDGKGPTPTGVIDVGGHL PELIYDKSNPPPFSGRYNPNNSSFAFTMSSADESTGGAPVIAKKSRRPHSGASLMDTL NRRRGKSSSSRMDTATTDFTTTTDMSLSRISEDSGLSEPGLSYRPTTSHKNRRMKSSA PLISESDLTSEHARGYSRGSYGMTEMTGDMTVPDGKTTWGDGMGGLHKEASDMGDESL GVLDPGMVEEDSPYPEVRASVSNIDDPEMPALTFRAWVLGMLFVIIGSGVNTFFHFRT PAPYISPFIVQVVAYPVGKFAAWLLPITTWNLPRFLGGSEFTFNPGPFNIKEHTIIAM MANVAIGPAYALYAMVSSELYYKHKFGYGFNIMLILATQLTGFTMAGICRRFVVWPAS MIWPGNLVVTANLNTLHAEEDGFQGGMSRLRFLLICMGGAFAYYFFPGFIFTALSYFS YACWIAPNNRVVNQLFGVSTGLGMGVLTFDWTQITWIGSPLVTPWWAEVNIGVGFVLF FWILVPILYYNNVWEFAYLPVNVIQAADRFGSAYDIFNILTPDIRLNTTAYAEYSPVY LSATFSMTFMLAFALATALLVHTALYHGPRIYRAIINVKTEADDIHMKLMKHYPEVPD WWFLALFAVVFALAVTALEVYHTDLPVWGYIVAVVLPFVYIIPSAFIYAMTSQQPAIN LLAELIPGYMFKGQPIPGMLCKVFTVQTVAAGLLFVQDQKLGHYMKVPPRATFIAQLS ATAIACFVQSATKELMFAKIPDLCAAGQKTLLTCASTKVFFTSSIIWGLIGPDRLFSK GSLYHPQTYALIVGAVLPIPFWLWVRKYPKSILRNLNLPVVFSGSSFIPPASGINYAS WLLTGFIFQFWLRRKQFAWWSKYNYVLSAALDVGTALSAIAIFLCLGLPGASISWWGN TVYQNTADWNGESANYLDAPETGFGPDTWKL I203_05650 MSIMTFVLPLLLLVVPAMTAPLPIAEPLPVPSETVKPQYWNNPG GAPAW I203_05651 MSRPELPHTITSHPYLSIFLGLPAHNDENQGDHPIFHPGEELMG TLQLECTVAHTISLGRIEVEMVGREHARTMNEVIQKTFWQTSLAFQGPGLPVSNACDD NPLSSSHSAADHPARKGYVLLSARPELFCIDLGDRITTFPIRVALPDTLPTSFIHPTA LTSYTLHAIVQISEFPSTRSILHTSTEIDILPRVGDGFGKLPLNSKEVVSQAVLNEEW ENEVLEINVSSTNGWAVEGSRARLELRVRNETRLPTLSPTLEIVQRVTVQQINGQMVD LDTILLERVYSDGYITSPFSESKYHVDFILPKGTRSIAPADGSSFRAGPFIRLGVRLV TTEAFNAVVVLPIHVFHPASLSADLWLKHHSLQTELRGAASPIPPIPVTQKRWSAPLQ SVVTALTSSTFPRSPDNRISAERVSTLGHRPKLQQQRHSISGLPTRADTHPFSAYRPP YHPLPVPPRINYVYPQPDWSLYAVSEEESRATRTSRHLRETSKNRGRSVSPPLTPMLQ SEVSPPIAKAEQTYTLSPSPRRATPLHGPRAPSAPRLNIIPATILSPISPEILSPKPI IFTNPDSYFDGQQATTSPESSPIKGGSHRCSKDTVKSLEAMVVDDGPMVVYEAGEIPR RRSTRRGSDHRRSILNLFEEENKSSEDGSLEGGLYRAREHRICDHHSASLGSVEEMPD TIPESQKISTTGSNNIGVLDDKDNAVESATESKATSNKSTDPFISPDPNKSARGGRGG RVTSARQLFEDQSKSSTGITVADRKKRYSLPPELLLTSSRKASTGSVQIGSPKEGVSA GPDGVYLQGRRSKTSGEASGAGTGAAVGKLRGLIERYESVTSATAK I203_05652 MKFFSVALVATIASVAIAAPVPEPTVAPEGFWNPGGAPAWKREA EPAVSAQGYWNPGGAPAWKREAAPTVTAQGYWNPGGAPAWKREAEPGLLESRWCSRME KRG I203_05653 MSSPTKHNPSIESVQANLRKFLNVYLQHRPLVQRTLTAGFVLYC LGTTFAALTGRTSNKSGGSGGSGRGRRDKDSKKTTASPNDPLFHIRLKRLLRIVIPSL KSREAAMLALHSAFLVTRTGISLYVAELDGRIVSSLVTAQPALFLTNLTKWLLVAIPA TYTNSMLEYLQSELGLAYRTRLTKHALQIYLDPIMPPTTINDTTHEKSSGSSSLLKTD KDAQISGEQLFYKLSNLDDRIKNADQYLTVDIQQFSNKLAEIYSNIAKPVLDVILYNY QLSRNVGAEGLVILTILVQTSAGLLRAITPPFGTYAAHEAKLEGELRFTHSRLLESAE EVALYHGEEFEKNVIERGYFALVKHANRVLRIRVWHGMAEEGVIKWVWGSLGLCICAI PVFAGEMLGMKGGDLGTRTEGFVTNRRLLLSSSDAFGRVMYSYKELAELAGYTARVSD LFDTMEDVKAGHYQKKLVSSAKIEDNAKMLQGRGKIIESDEIRFDQVPLISPNGDVLV KSMSFNVEPGKHLLVVGPNGCGKSSLFRILGGLWPVYGGTVYKPPARSFTYIPQRPYL CTGTLRDQIIYPHSQVEMRGKGVSDDDLRKILDVVEMGHIVEREGGWDTVREWRDALS GGDKQRIAMARLFYHKPKYAILDECTSAVTLEIEKVMYDHATALGITLMTVSHRPSLW RYHSMVLQYDGAGGYIFTELDAEKRLALQEEKQDLEHKLLTVPKLKQRLEELKAVKAE RERVK I203_05654 MSHFDTLSRTTSRTAGSTVSRNQSLIKKNTAPHELKPSDILIER FTAWKQIVKMLISYFEGVADIEANTSKELTKLGAVIQVPFRPGNQFLGEGGMQDVFYT IRDKTRVIADSHSSLARTIESSIVQHLQKLRAEIKAHIKNVQNDTGKLATSVAKEREL STRAIADLQRAIGAVTHTPMQVSAKEDPFAVNQAVHKQLQRQVNEENALQKSIIIMQQ NSAHFEEGIVRSIQSAWATFDEWQTRMSSSVQETWRHLGVNMAQLMPDREWVSFAARS DHLLDPETPLRNPEMIDYPGKTDPAVTPVHTGLLERKKRFTKTYKEGFYVLTPAGYLH EYASSDPTTATHPVWSLFLPACTLGPPSSATTAKSHKFHIEGRKDGTSAYGKTPGGKG LFRGSETAFTFRARSHEEMMEVWNDLRMLVARYLVASEQMERHGDVQRAVLSVGYRSD EEEEEEEEEDDEEGSSVEEAEEEEEEAEHAGDAHEESEEVPAYTSGGAAPLEVGPNGY VVDKKDKPELGAETSSNAGKGVERQLSRKEEKAPAREEPTTGEATLSSPSTLPTTSIG EGEGVSSSAPATKPEDTLGEVAQAPGSVTGDSQPTSTELDPETPPATNTTGGGEHKGL FSRFTENFGSATKKPEA I203_05655 MSADNKEPTPQEIVNQIRELEKKGIIKLDSDRKGAVEKIENGSI SPSDPRVNGIGKLLSGLPFLGPGDTKMNVERALAEYLKSIKNP I203_05656 MSSLDPNKSLPPLPPLKSFSLTHILYDPTHPLSIPLTLLSLSPI FLFVSYFTLLIFTRRLTILLLAAGQLGNEVLSWILKRLLKGDRPYMGHGEVGTGYGMP SSHSQAAGFLVAWGIGYSWTLASRGQREGNGNGRLGVVRKVRNGIYILGLVIWSIGVS YSRWHLHYHSPIQIIAGYSVGLVAGAAYFWLTEYLPIYHSGSLVGQIRKKVEYLWEGV GGVGGWELGDAKGGWGEGWLVVGKDETGQKRKKSR I203_05657 MLDYFGVQCACAQCGYPAAKLRSFNWGLKAKRRKTTGTGRHAHL KDVNRRFKNGFREGGAAPKKVKATSE I203_05658 MSDAALFLRKRKDKDRRNRVPSRSVGGPSTSTSTSASGPSPYTR PSNAAASSSTSTTIKPESSDTTNGKNPNITEIKIFSSGSDGGLRFNFMRLNHEKEIDP SQIGRTVLLNRKRPGPKQPPLFALDGEGKIMGKYVYDASGKPVLDAEGKPVVEKKPEG MDMSLIGTAPPGSNEDHPPPPTKGKRKMKKGTKEVFHQDVEVMRLRREEAQPWILENS KPRSSTGANSNIPETWVGRMQEPSALPTVLLINDGTTDGFEMVPLGRTYRFDPERPFK ALDSDEAIKLFEHQAKHKIHDRWALRPEGSDTNGNGSTSEGPVLNIKAERDLEQRAMR WEGRMRLNNGNLEDRKPKIEKYEDDYVKEGRRAERGLEGGIDEELDFDEAEHFQDDDD VNTFYRNAEEEDDAKEAEEQQKKEFRLANANVGDKPQIAEDDDEDDLFGERKKYSEEG KQLKKIMKKRREEGEDDNLFSDDDSDDSDTESIDSKTSQNKDQDKDKDKKPLADGDRP SRPPSRGPGSRGTSSPTGKRPNGPMPGKASTAPPGSGAALLAQRAASRGASPRPSGGA RAGSPLSGRAASPEGRATSPVMRGNSPVPGRGQSPGPARGSSPVSGHSTRDASPAPGS SGAAKGNKSGKRKTTSESPGPSGPSGPSTSSPSSKRKNSPSEAGRVGKKSKKSGSNTP TPGPEEIEPFPGMITKQDVLDWFKGLNKKTVPMSEAIAAFRNRIMNAGKNREANQKLF LGWMKMLADQEEKMLRLKDEYR I203_05659 MASNLDSVLKKNANDLAKELEVERILKAFKLNPYDILDLPITAT EAEIKKQYRKKSLLIHPDKFKHEKGLEAFDFLKKAEDQLSDPAKRKDIDMIMTHARTQ VLKAILGSGYSTNIPDDDPRITNLTPPFDQQVRAKGREILVEDELARRRKTKLAYANE GAEKAKQEAEVAARKRKVEEQAKWEDKHDFKINILPKETRSTRSHYLPCFLHDMV I203_05660 MPNFASCFPSSSDRADYPIQDPLIPSTTGDSKVVKGCIFCDASK ENGFNIVYEDNQLIAFYDRTPRAKTHLLIIPREHVVSSVKQLTEEHLPLLGSMRSLAT SLVPDRPPPKMGFHIPPFSSVPHLHLHVFSGPHTIIGRLKYPIAHRGRDRGKGWSWFV TIDQVEKILQDGGKVGLGRG I203_05661 MGFNTPDHDTMARLRDEAENNHKAYWAENGNGYQPTGKYFPGFE AGWADAVAALSSGNDIPGDVAGWAKQRAQESGHSSDDDWEWEHGFKAGAEAAKQAGSD I203_05662 MLSPIPMERRNSQHASGSSSTDRMGHGRTKSLGAINFGVGLGTN GYGGPSSPKPIGSPTAGGGAEYEWADIQARTFCKWLNKQLESQGLDPMIDLVRDFSNG VKLIQLLEIMSEESLGRYVKKPTMRVQKCENAAKALNFIRGKNIKLTNIGPEDIVDGN LKLILGMIWTLILRFTIASITEEGLSAKDGLLLWCQRKTTPYNPEVDIQNFKSSFANG LALCALIHRHRPELLDYHSLDKSDKRGNTELAFKVAEERLGIPRLLEVKDLCDVEVPD ERSVMTYVAEFFHKFSSEDKAETGARRVEKFAELMQGLWTNKNDFERRMALLLSSLES TLHSWSLIPQSTTYPEAIAHLNKFNEYKKTTKREYVKERQELAALYSNIQTKIKTYSL RSWEPVNGSRLEDLERRWQEFLVVETARSRGINATIRDIKDALRKSFAKAAEDFVLRM QEIEQAIGALRGSLPDQKQTLVKLSSTIPSLRTTLTTQISSLNNSCQEAKVEENDYTV LTYDDLEYELSLAEAGVKKKLAFVDNQLVSAQHTNVTPAKLEEFEATFKHFAYEDSNT LGVWEMHSALASLGIVYAEEEIGIIYTELEQKFGQVTYEAWLDLLVVLTKDDASSPEQ LREAFRGMAGDKPYVTDIDFQYAHLPKETIRFLSEIMPEEKDPQPLDEGQERAVSEGQ KAFDYHAFLEEAFTF I203_05663 MITQECLEKFQELKTGKKLSYVVYGLSEDKKSIVVLKTSEDKDF DTFVGELPEKECRWAVYDFEFTLPGGEGVRNKLVFVVWSPDDANVKNKMMFASSKDAL RRRLEGIHIEIQATDFSEITKDASKLSFYL I203_05664 MTANPTQCPSAAQRIVGDCPHCQKCFCSTHRQPEAHNCSGMQAC RDAAFQANKERLEKERTVASKIAQA I203_05665 MSSSSLNQNVPYKPYTPRRQRSARPDRPLPPPPPPDEDIGAVNA LDLAQGFASPPSPPLPHPTSSQSKDKALPRPPATAPVGGGFGRQIDFSQPPSDDQDGQ NIDYSQPLHTAPLPNAGASNISGAQSSAAHLHPYHSTYPISASNPPTTLTPLRAHYLK KTLVNLQVQHELNLITDPVLGANALGLLGDPFVLPESAKQEALQKISENSRLEGRLGG DLPFLRFMFHQFLLPFPFLSTAPPTFWSGKVQPFLSSFLATTGGSSTTASSLSEEERE VMESLMTKEERKEILEKKKLWNKIEKHTSLMFGVGIKLISGEEVVRIGQNELNRLEAL QQERRRKWLERHPQNQGGQGSFDPTAGFEVNVVGVRVVVEKGRVRSRSHEEFIIRTRR NGVADVFVSRRYGDFKRLADELRLAFPDYPIPPPPPKDKSVTAAATSPPPTAGYSSYN PLRMIYGSGGNDGGSSSGYNTPPSSAAPDSPSSPTTNTTPLSREKNRLTLRAYLNSIL ALPFIINSPVLRSFLLSAPTTLTPPEAVDCQRRLEADAVREEGRRRFRLEAEKRIEAL REGLAQFKGDVLSKEGGLKGVFEVVRRVERVEDLPRAEASVLEWGRISLAATIFQLFV ASDTASDTLAQLKRLHGLMPYFVLKGILKISNPMAMIRGVLDLFLARPFGGQSLIQRM FSSSLTEDVRLLQDDIEAVQEKIDDPVLCQKIEQYANAPFEIQEIYRKDAAQERIDLL VTILRSPDMPSLSRPQFQRVARATRAYHEYKSAQAELDDSDDDLGPDSEDAWLYEDLS VLLKLWTRKREKEGLLALIFEGVTAELLKDIITIFYAPLATVYKAASIADSLGDMQAF INDMIRTVEQVEELSQEDPQRTVQTFIDLVQRHEQSFYTFVHNVHSKGQGLFDSLMSW IELFLTYARTGLPQPLDLEIILPASEEERRIVMKEVDSVAEYHYKLKIAHEEKIRRRF RSAAATGPEAPGVGEDEEAALLDSVMASLSIGETALAEGGEMADEESEEEDEEEEEEL EDIRNLNLRVEDQDESERSSLNSAGFAEEPQNPDEGGSGSGTRRRKSSGGHRTSLEKI RNSLDFKHASKEKEKEQDKDKRDPANEPGRPARSPQIPDSAISQGHGGRRRKRKGRNA DLLLVPPETKAIKELRPLFVEIVSRSTDRILSVELRLI I203_05666 MKILTEDDVEARIVKLKSAEPDKKVDIIQAFGLDFEDVTEIPDP TIDPLILLLPPLIRSSHPLLQISTLTSFLPFFIPLIPDAPTSISHLRLVLLQMLPALL EKLNDPKERIHSASSNVIFLIGRKCFIVDPPHPPSLGSSGAGAGTKGKEKEKESLSQT FERMLKDTLNSKMWRPKVESLKILSRLRLELGPKLGLKGWLGILVDLLEDSDGNVREQ AKETVVTLLSPSSTPPAARSELKKLLLARNVRKTISNDIIARVLGGGTGVESGRSTPA NLLSAGINTPKDEAPMNGRSGAATPALSRGGAGDDIQVVFIASPHDLSNELAAMLPHF EGKETEQNWAPREKAVVRIRGMLRGGVWPKYSEAFIQGLKGGILEGVSRTIVSLRTTV AQQSCYLMKELAETLGPSFDQFVEHLLPILAKMAGFTKKIIAERSQACVTAIIIHTHV HSRTFISHIAAGVSDKNIQTRHFSTGHLKTFIDIHGAKSKHAIETTPGMLDQLEGAVK KSLLDVNPAVRDLARQAFWSYHSVWRSRAEAILNSLDGMARKQLAKANPHESNGIVAP AKAAPPAKRASSTMSALLAEKRKAKAAELAAGKMAQESPRIVSGPVPGSPSLQQGMPR SNSSASLSAKASRASDELERGIKSPETPPHTIPLPSSPTPSHRGAPRPSIKATLGSPK DLSSQTRDRTSSLGRSPPSRGSPSRDSPLRQSSTYPLSASGVRSPGSSTASSVHTPSG MGRSSISHEAEVEGDEDWQSGTDTPTRVLPPGSGIVEDARRAQAAQAESAAQQLMEYV EDEQEVIPSTSTLNRLHDNPSTTIPATPTRPANGNGNAYKTPLNVSKAWEDSPRPEAV TPLMMERLKERKHERSWWVRRQELMDKASPLKSTTPATSSAITEDIQGLLSGQPTLRN LQKLALFSTSHPVHDTEDVEEEKKVWIDDRIFENILQGLLEFLKPNENKGLLEQGLVV LWEMVQHQWILFDGHEQELLETLFRLRASHDAIILESTNALISLLTQISDPMYFLTLL RSSLSRFLSEHPSTSDQDTANGDGVSRLSLNGTQQETDKMRNCGWLFGLTSLGMCVIR LPEAVVEVEGPKLGQIIMESMSSPSSIIRQASQTLLLSIQTILKDSNKTLSLVPHLNK GQKDLAIYYMAQNGILENTHTIEQATEGEADGDEGKEKMLKEMHGLMGRGISRE I203_05667 MSDRGRSRTPKSLTPSPSPTARRRPLSPSRSRSRSRSYTRSRSR SPRKTNGTNSNAKDTGLKVIVVSGLSKNVMRGHLEEIFGEYGRITGVDLPVFKVSGLN RGKAAIEFAQSSDAALAVKNMNGGQLDGSFLNVQISEHPLPAPRPPSPPIRRRRSLSR SRSRSPPPRRRRSPSYSRSRSRSPPRRRSFRRRSLSRSRSPSRGYRGGRDSYVPRGGG YGGRGPGPRGPPPPPRRDRSPLIVRRPGERGAPRRPSPEYGRGARSISRSRSRSYSRS RSRSYSSRSRSPVRRKRYTPNSSRSKSRSKSRSMSIDSRSRSRSRSMRSVSPRK I203_05668 MSQAVPPSWKDLGKSSSDLLLKDYPIQGTSLEVKTLTPSNVAFK VAGIKDDKSGAINGDIEGKYTDFKNGLTFTQAWTTTNLLRTQLELENQIAKGLKLDLA TTLNPAKASKSAILTAIYKQPSLHTRATVDLFKGPTFTADTVVGRDGFLVGAEASYDV LSGAITRYAGAVGFSAPEYAITLHGLGNLSTFAASYYHKVSKDVEAGAKAVYDTKSTT GGVSLEVGAKTYLDNAAFVKAKINNAGVLALGYTQALRPGVKASFGLAVDTTRLNEPA AGQAAHKVGASFTFNA I203_05669 MDPHGTWRASKSKAHLAQVDDTRSSSESSHQGWSGTEWNTSTNP RPSLSSSDEHVPPSQPSEPYGSIPSISHRRSTISRPSLHTVGSNISLDALNNTQRPNG SSGSAMLDINSTSPSPPSNGYTYGQPGPSNLGGSSSSYASSSYARSRRRETEIEMESE DENVHHPSTAGHPGTGPGTGISKHQKRGRGGSFSLPFHRTKRRLSSSLPWPAKWWPGG GGSSSSTSGSGRNQRKTLRNLLVILLLFGSLLWGISTWRSKYEIQIEFSLFSKKWIKQ EIDSISSLKGCFQNPSPYYNMTKHHGAKLHMLNPGISLKRGMSCYDFSSTIQPNPFQL SSNEDGDREKLIYHTYWRSDLIEFGSRQLTTFQSFLATQPLQYAKIILWTNGKSLLEN NPTLQPYLIKWGEYIEVKQVDMDYLTAGTDLEKVMGNGKGNVFDGKGWVDGDAIRLLV LWHHGGIWLDMDQILTRDLHPLIEEEWVTQWDCYDKPYYSLNGALMHFERHSPYLCEA FHLMASSPFPKPNTFTWGSHLYSKLHRALLAAHIRPFGVLPWCFADPRNCRTDNRFPD PFLPDPPIFAGKKWHSEGGREELEERVGQVWSLHLHNQWTKSFPTDGWVQRLVDGYIG QLERLEIYARAKGLVGTDGKIRLEEGE I203_05670 MGLNALPTSNDQLILNPQLSSIKDLTYVDSPPKGHINRTLPSGR EYVLFVPDGYDHQVEHPLVLSFHGAGGNSSRQEILTQLTLPSHRIDDKPFLSAFPQGV DNEIWSMKHIWRGAPYANQSVDDVQFVKDIIFDISQNYTLDPTRYYATGKSNGGGFTS LLACLPDTSSLFAAFGIVSAALYQEALSFAGCFPSRAVPIIHSHGIEDDDTLFKGRSR SENWRFGPEPHVDNWRKRWAIRNGHPSESNGEGKGGLPEPNEVYHPHHNTTEERWTLG KAEIIALSVGGLGHSWPSTEGLDRAGSPNQYANFNFTESHLLPFFSRQQLPEEYLRKS GN I203_05671 MATAIRPSPIHTPPTSSPPTPPTNYPTFPRLSSPSINRNASTSS SRSTATTSSTSSVQAAPMRPPPIETSTAATSRSQLPSRAESGTESDAGYSARRGYGGP ELGSVGGRGWGRNGPRSGRMTPSHIITTPSHSPPNTNTSNAHCNRPSTSDSAATVSPS TPRAPRYHGNSQDPTSPGPLKVTISLDPMDTVDHDHHSNTPPSHASSPIRGREGHLTA PSSPTDPSRSPAFVGGKQRTLSVDAGPSWAHPGKRSGSADRERERRQSQVSTHSHSGS GQIKKPSIRDFVLGEELGQGSYSTVFAATAASSSSNQSPTSAKLPRKYAIKIINQHHL VQEKKVKYAMIERDALVRLSTPRQSTSSTAARGHRRGLSSSSSGGYGPSPGTASKRKS IASIGSSAGTARKDSGATVTPGNNRDRLSIVTTDSGLSSSPLSSNAPLSPVMKTLAGR RPSRNLEQYPDMVPEQTEVLSSEDIPTSSRSRPPSPVKEEPSNLYSTPTQSTRSKVDD HPLPPPSTGYSTPEIQGSPNIGYESNHSRSTRDNRGQTPKKRRQSLAPSERSVKSSSG RTGQAHPGVIRLHSTFNDSTSLYFVLDMASNGELATYIRKYGSLDLISVKYYAAQLID TIEFMHEKGVVHRDLKPENILLDDDMRIKITDFGSAKLLNKDEEPVDDVKKRSFVGSA DFVSPEVLRNEPASAASDIWAFGCILYQFLTGKPPFRGATDYLTFQKILKREMEFPDG FDEDAKALVDLILNLDPAQRPSVQDIKSHPFFALTDFSNIWTIPAPAMATGLTQPVAT LANVAPDSDLWAVFDDEVSDGGFEYDRDEEDEHEQPHEQEGEHDESIDHSKEPRLDRH AAAHAVRNVDHPHKSVTYSPTEGPHIDIAEQLDPPKPSYLSHGNNSRDERKSRGWSHG SSSSGGNRSALTGWLESMRIGNHHSPAGIRSNRTSRTSVRSEELRVMMGSQTSSSGTT PVNQQGSTKLVNGEMKRLNLGNMDDNSKWSSLLLSNERIVFSSPINARTSSPSLHLPS FLMPAFKKRHLILTDFPRLITVKDDTSSPTHAPGQGHSHSHTPSSSSAGDTDNGNMRV KGECVFVVRPSNATHHSSMSNGSTINPNQGTGTGTGTGGVSNKVIDVQEKGSKGFIVQ TAGTTYMYTADSTELKDQWLSTIKRVTGV I203_05672 MSRCKHCQDEYTELAFDDISGTWACPSCGQVDSSATEKHQYVDV SRFIGSIVDTDKQIQVREQWDKTKVQFQQEIEAIFDLYLGVRSQHTSIIAGPAADLKS GAKQWFERMREVEKLQCDRKHLSYKAQNRRIKYMVAIAIKFAIQESRIIVLQNKLELA GIKKKRKNLPGYTKGDDRISNPTLHEIFCQAHAFSADSFGHLDNEDYLRHLFAKFSKW VNFVMSPMEITLLHVMQITERLRFLVEQPHEERIKYLITKPTISKGKREWADSDFADL QGANWDQVLPHAFHLYQFQECTRLWLNGSSPSLAIALTEWAIQSSSEMIMNQYSAIQQ ELAAEYGNTHHVAAEKFRDMRNMIIGWSTSITDAGLPFPVLPLPHKGAFGDGQTGYKG DSRRPIPEIEMAVAAAPTIVKHWRQILKARLKHRLDVMTLDDEVWLCRKMFVVSGQVH HYEQDPLAQLTRDQVIQRGAQPLDGKRKKMKPRVSFKFRLNAEAARQAIVHFEPLKRA RARPSPVSCWTPSGSTLQYIPIHMPIPMFTSIYQQSQQFTAPPEERSLQRMIEEPDSS SDEYDGYSSDERDSTPRAPSLAQINANAKAGMNGKQPFAFTIGPTGFNIDTNFTPPPP VRPIIQDSSSDLSHSPVPMSRQSSTQSSSGMGSASEAETVIRHPHGRLSVRSMLNTPS TSGRSTPSPSPVSNYMQTNRPSPTPLREMPFSGSNKTISSSAPLIDYMNEEDSHVGLL IREREEYIQFRLPQEGRDGRICPSLVESYIWRWLREQVKNGSMPRHITPEYLQGIGII GDPRKMDLGGWVESRKFESSPLESLLRAGIRPQELPVQYIPHSVIHTKLLLEYYNDPS PSHLNREGTKIDLRMCEEELDFLCCKDAGEDYRMYLHTDKEVKLKRMRYEKNGLWDNL VEGGNDYNSIGPKNQKRKRANTEDGEDGEEEERERETPLLEFDDIAFDSPIYTTQELP DVDDDPENPEDVVMKEKEKEKSKTRKDLSRIRNSLLVNSPGRRDFEGISGLFKMKNRY RRPQAEEEEQEEEAEEGEIIEENDWGGVVGLNWDEIGLTEEFGGSAIGGIIGENEDDE EVDDGDGKMGKGDRSGNGNGGKRKKRRGSGKIISSSEVMGAPTKKSREK I203_05673 MLSNIIKAFSSTARTPTRAFSSSSRALDISKVILVGRLGADPVL RNTSSGKPYYTYTVATNVGAPVEGEGGKLHPPPTSWHTVFSFNEFQHPALQKLGKGST VYVEAELEMRPHEPNSASTDKYRYDRAFLKHQKLSVINRVKPESEQDGSDE I203_05674 MAQQIPSSSSRSTPDDPLKPGTFRSLLPLIDDILCILHSQATGE ERLTTAQASEGVAVKAKELASAIETMKIASINLPGGHLSIDELKVISERLDEESEKRL I203_05675 MTSETPSPSTHTQETKNKVSFIERLLRLYPIQGNHHLPPPTTQP TSPSQNVRLVTPVDIRALEAFLGPIGRPRRSSITQRRDTLQPTMADIESQSQPHPTTQ WSKPTIKQVIRASPRRMIYLSILLGMIVGIVVGWVIFIHQMTKLSKSKEKEEEKDKSG VDTLIMVGDGCFILILLTTLFFILRHSLKIYAHFRPPSSPLPSQSGNTTMTEAPWTLP PLPTYVGAVGRQQRTGVVEDRYISGECPPKYGDERGSKLLLRSISRMAEDQDLDGRQG RMEVVTRGAGQGPDNAQDRTQEDGRGLEVQLDADDDTQTQDPNHGTRSIRSGELQNNG K I203_05676 MGESSRPKRDDDSHRQKILVLGWRKAGKTSCIKTVFQQIPTKEV PFFGVTQKIEKINYDSIVPIQIWDTPSNFELDQLEVPISTFSTVVYVLDMQQDDSYHD SILRFVHLMIRLHLSNPSIRFHMFIHKSEVLSEDYRGENYAEIQRTTSEEIEDFPYKS LSSQYPNIDLEDQQTVSIIINNLISDVRYSMTSVHDVTLRDAWSRVLQGGMEMLPAVE SLLLDFTSHSSADNTFLFDIDSGVVLATDNRHRTDDLSEQVTEYLSSFLAFRDLYKHI KKRISPSNGQADVEANGSKENGDTREESNGNGNTGQEDVDEDEDGEEPRNWWDEEDPD EPWMTQSTRLMPNTTLALWQFTPHLALVVLLRTETWQARRGTIEYNLTFLRQGVREIL SVV I203_05677 MSTSSLTPLPPSDFRSAPSSDTLDLAPPKPAPKRTYGRARRLSP SPPLLGASSSSSIPSYIAPPIATTSPSKALLDRWSSANQSWRDELSKLDAPSSDKAEE LPDDDGEAIKREMEKMRRQARALKALQGQHSTLPVKDNRNLDVPTNALGKTSSLTSIP TTATSPLRSSPPPLRSSSPPVDNRRLQASSSEVAEETLFPVRKSGMSGRPKKIIISDD EEDEDEDEAPLLAKDTSRSASPTDQSTTERGSSPPPNRNHDREHEDEEDNENIGDYLD DLADKQARAEKERAEEESRQPKSSALEGLDDLFDDEEDEPREKRGRRPKGLNKADRAE MEKDIARAQRERPVAFSRPEPSRLPITAWLAQANVAVKSKEPIDHKNAVPGLTFAKSP QTSPSQPTPPDDDIIGFTPSSGLRRPLGTTSTSRISIENPNTPTPAPGKKDKGKYKVV VPGTSEGPEPDEDDQDFSTFMDKQEIRDKEKADRDAKRKKLLEFKQNMVKQQIAKLNL EPSKPSSSDHEHEQDESEDDDLEFDNDEPTPKKEAVKQAIPKVTGAKAVLAKNVNQST ISKQKQGFLARAGKLHKKVKPQDQNQLDISETYVDFAAKTFSHAQQKQLNGGAKPANQ KKGREEPLSNEDMAKLIQRKHQEQIVKLRQRKEEDYGRVKVLPERVEQDFQALLAASR NEHENENDKTGDDDEDGEDEDYNPEDEMVWSGEEQEEEEEEEGEDDDQSEPGEEGEGE DQNEDQDALPTTLEEDEDEESTPMIKRKPRASARVAFDSDEEDAQTPAQARIRSLPAK KAPLAELPAGLTATAKSTQGLGGFDFGGFGDDAGSQGFSQLFGDTQAATQAGEEDAFA ALRADHVGFLPADAMLPGVQISKTQVERDNNLIAAEIEEAAMERMQEMEKPKKQYINE RGLFTQTRPAYEEDTQVSDTRRQLGGLSDFSIGVTPFGKTQTQMESPNEIGSPTQTQT QTQGDKESFTRLRRRLSDPDDAQEPLNLSPTQPARTERTVFDRMMKASSRAERQEQRK SMRKSRMVDEQAEESDEDNGWAKIGGAEENDDDDDEENDGFLEELVDDQEVDEEVRKR QDELAAEKNREIQAADDARIEAEARKITEGEYRHKKRGKDFVDGEISDEDERGGKRRK LSRKERRKRKLDREDGLDKLHGEANVFRQVYEDDLGSDEDEVDETPLESYNLNLNFVV DEPEEVSQVAVESKRTFREKLDMLKNRGVMNRGMNYEEMAIDDADEDEIALDPRAPAL KKRRDTFIGEDEDQPMGDEGFSISRSIRNTTSVASASDHKQNNTRKLASYASYVQEES QVNRRVGGGAAGVSVVRPQNSSRSIGPSRSSSLNNGRPAPVPHPHRQSTGGSHGSTSG SGSVLLSKGNKFA I203_05678 MKPISGDSSSSRAPEDRIQVMVVGLGMVGIAFIEKMLTLDVAGK YFIRTCGEEPVVAYNRVGLTEYFQHRNVEDLYLNDVSWYAKQNPEHFAFHIDEQVIHI DSENKIVKTSKSKAFKYDILVLATGSVASLPPYMTPERAKSVKGVFVYRSIADLEAII KYGERPEVKRASVVGGGLLGLEAAKAVYDMKVPEVSILIRQDYPLNRQLDPSAGELVL KKIENMGVEVKTRCEPSSIVTRTTDERHELFEGFDIKGEKVESDMVIFAIGIQPRDDL ARDSGIEVEPKGGIKVGDDLQTSAKGVYAIGECASWRGNFYGLIAPGVEMADILAFNL TQTEGTAAHVPRSMNPPDLSTRLKLMGVDVASFGDYFADIRANQKPKPKGDPVADGEV AISQPKPSKHRKLAADGPIQCLTYHDPFSATYKKYIFTQDGQHLLGGMMIGDVGDFTK LVAITKKKKKLDVPPSDFILGAKKSGEDDGGDLDDDAVVCSCHNVTKGAIGSCVKSGL TDLSQVKTKTKAGSGCGGCVPMVTNIFKAEMKKSGHKVSTALCPHFKMSRQDLFQIIK IKKLKDFATINETVGTPGTIGCEICKPAVASILSSLYNEHVMKVEHHHNQDTNDRFLA NIQRNGTFSVVPRIPGGEISPDKLVAIGKIASEYGLYTKITGGQRIDLFGASKPDLPD IWAKLHAAGLESGHAYGKSLRTVKSCVGTTWCRFGVGDSVGLAIDLENRYRGVRSPHK FKGGVSGCVRECAEAQSKDFGLIATDKGWNIFVGGNGGMKPRHAQLFAQDVPPSKVVR IIDRYLMYYIRTADRLVRTAPWLESLEGGIEKLRKVILEDELGICADLDAEMDNLIGT YEDEWKKAVEDPETRKRFRQFVNTDERRPAIDIIEERGQKRAADWPRDFPSQKFDSSH LLTPENEWKWVKLANIEDLQVNDRNTTSVAVRYGSDTQLAIFHVPHKGFYATQQMCPH KRAFVLDHGIVGDDKNGNLYVSCPLHKRNFNLDNGDCTNDESLKILTFQAKIDESGQF VEVKLPPEEDLDSVIGSSKWMVKKATAEAFGRNAATAIEIVEPSGELEKGANTKTNGN GCSSGGCGDSALEW I203_05679 MDTLTPAEVTAVMLTIGEKKASQKYYITFFKAWMAAWMASLNFG AMSVQIFQGGAGTLRTDYPSIINLVAALIFPIGLIMLVLTGQELCTANFMIFIMTSIK KRTKLWELPVNWLIVFFGNMAGALTYVAFLAHYSKLYSTDALVKYSAGVAVTKTAEGW GPCVLRGIGCNFLVCLAVWLGAGARETISKIFALHFPAFAFVFLGFEHVIVNMYYIPI GMLNGANVSVGRYVGRSMIPSLIGNIIGGALLGVPMVLFYTPPELPFFHRKRANSVVV RDDVDVGGGTIGDNLHVEPVDKSTHNGHKKQ I203_05680 MTPTHPVTYTRDSSSILDQFSVWTGKTHGITGRGDIAKRIDDLL SSNRQELSLGADEPSSFWGRTDMARPDAAPEHDVISLQVISLQESQRLFDAFMKYLTN GSMYFDPQLHSLPFIRSRSSFLLAVILAMASTFTSLCASSLLHSQLIYHANRMLSHIR DNNLKSIEIVQGLLLLASWSEIKNTLSQDKTWAYVSYATALAVELRMDSPLPYCVQSD PIYSSGIHDLLVRNAHRVCLLLYIHDRNMAMVAGRYPIFPESTVSSPSNLNQWGKHEG ASRYDGPICASVSLRKTITGVHHKLAAHSLADFQADMRLIERAIADWRAKWALEITST PEYGIIAMFSTFVLALTLLKKDHDDENNDVEASKTCEDLAFEVVCASIHSYTSWTGIV NSATFDTSMVAFCAIYTLQSINRCDPIYLSDRSVFRLATVQELISELEKQAAVRHHTD RENSMTAVDAMARQLSRGIKLLFTKKQVGCPPISSDESDASQGQQIPEMINQQQGIVM NMDGAIQFNNENTILQTHQPNEQQFIAQEPRFDDLTQLLSSTNAIPFIPDWNLQSLLP DANFNWDQIDHSNHDTSSNIPFFDFGQ I203_05681 MTVPMPPSLVTIDASEPLEKIYEIIKRDGGIIVKNMLSPELLAE CMSAIEPHFKTRETYTSKATHDELGADFFPKGSKRVYALLSKIPDQLTKIMRLDVWQG IMSRFLSDEYYSYTGEKLLPQKSGYMLASTAALRLVPGAKPQPLHRDQIAYMVRPDPS NPLFTPMVGCLIAGSKCTYKNGATAVIPGSHLWGPDRAPKQSECTYAEMEPGSALFCL GSTYHAAGENICELTDEDALRTLFAVFAQRDYYRQDQEEILSTPIENARRLPEDILKL SGYHKAVGRAGYVEDHQSPHEFLQLDYGLGQFGHGARAIKNAL I203_05682 MQEDDKSEAYIVTQIVPLSDIPAGSSSSYDGDALQAKILHQVNH RRLNSRQIQLSAIAGSIGAALFVAIGSGVLSGPLALLIAFIFWSSVVYSIGQCQMEIV SLLPLDGSFIRLATRMVDPALGVAVGWNHFFAQTSYIIFEATILNTLVEYWGYTASPA ILITVSLLFYLAINVYRADLFGEVEFWLALGKVLLASGLTLFTFIAMLGGNPLHDRFG FRYWKDPGPWAGATASGRLEFFINAVNIIPRAFKTLMTRLVIFFIGGCFLTTGSAKTY AGRSPYVIAMSRLEIPVLPLIVNAALITAVISAGNAYTFNASRSLHALALEKKAPSIF TRVNRKGVPYTSVILVMLLSCLAYLALGSSPAKVLNWILNFCTAATMFNWSVMAFTWV RFNRAMRKQGIDRKEYLPVSSKLQPYAGYWALFWAPLFLFIQGYSVFLKGNWDVATFV FNYGIIALAGGIGLGFKIFARTPFHRSKDVDLVSDLEFFDALTNYYQQVKDDNPPTSV KDKILAKIF I203_05683 MKCTVNFLWKRIPLLAQPWTFGLYYIIVGLAVKQIPNWVNYECA FAGFVGAILSVFQGRQNVYDAYYQYHKEQGNVWLLNELPFGSFYAEQHRSEAANSTGY DQINTKSITSQERQLRSSRNQNCDAPDAIYHGEDFYGNADQFVEIDDDFGGKYASSNG LAEAAGYLSNDAGGSG I203_05684 MSGGVFYFEDDDNRNTSPNHSPSPRNSPTLSAESSSSAPKPSGS PSTSSSTNVPPQLQIPAPSVLSPTPTPLPQHRKHHGGFAATPSFPSPLAQAITVPSNS DTSSNSSQSGSDDERDVRPRRPSQQGSAGSGTPKRSIDQFPRPRTASPVLPSSRPASP SSSSSAQASSSRPDSPTTSTRPQAVTPTTLLMKSKRSASGSALTGNLPLPTPKQSPPI THKKESPTHPASRRFEPLSRPLADDARSVSSRERSESSGSSVGLGVTLPSSSPLNFGS PDLGPESSPRRRSIAIPSSSGIEGSKEGNVLGLGWGANWETTSTSSSSFGSGSGSLKD KGKSKDILSTSSPRRDRERTAPIGLSSPSRQRRQSEFLNPIGLDVRKMPILSTGRPSG AMTSLSGSASMVSPLNPLPSPWTSSTDNSAGFSPPNSVTSESSTAPTSTSSAGGAIRL NRVPTSVRLAAELIKNTGSAPTPPPFQTASPPSGASTASVPTPNPSPVANSPLVKPTN PPIPSARELAAFTSMPPPAIKSASASLAELGKGRPTSSVPATPAGLRSVSPTIEKNIP NTAPTSAVGPSSRTVSGPTLAPPPIFKTPLLPTQPRPRPSLPADPAGGVTEGFASSAR HHRYRTSLHEASLKSAMTAISPDFNDSPTSLPSAPETEEPSPTTGPKTSSYGLGLSMP PETAFLVPEGTTGTVTPGAPVGVGSSRTRSTTGQGIAMDLAGFPGLGDAASHASMIMQ SRQAKLQRWRPTSAGGQTHGEALLPPTFNRSTSTGAPAMLAAPRAFRQAQWGDLGPSP SAASSPGEDLPPIPASMHELTRAVSISAESPGPALYDRSTGPAPMHSNAGPSRFSAAP AGMDMSNFMERQSSASTVGGIEWVDWMDCYKRYKEAKIRAEAEVAKRASFIAESPVLP VVNAPIPEEPYRMSQDLDITPQPNYDTSSAIALTPTTSRDDFGPPPSQSGSLRRRSLS IRSTLSLVDPKLSPISKRSNLFDRPRQSSGSSVKTDSSSSGAGQKKKKNLVSKMEGWW NAVKSNFVPDTENHHSFRPSTLGHHVQNRIPSEPSSRRPSEVPTLHQPQPVFLAPEAR RDSSLSLRPVVSHAELRPRMIHHDAHETTSIAGSTSADIAHLSRQSSEETTMPPSLPH MPMRHPSTVPEEPSFPPSRGSGSLEARRRQPNLRLDLESNVLSQPSSHSDSSASLSQP RMVPLGKSAFDRPSQATSRSSSFGQSLGPGLTPGVPRWDQTPSPVYALGQERRGSDEN RPVAPGADITVASVRKHVKHRLNAAKEICDTTLRKTIEAITGFVERQREEEDRTEEIP VDYFDALNMNDSPLIDTETESDTGERMESEGNRSRAVSSSRGPSRRPSISHAALSPTK RLSMLPASPNRVTSRRRSSAIPRNYQPGRASRNMSLALDRTQSNSSSRSTSRSRSPMP PTARIIAQNQLDEADDDLLFLTALQELIVLATEALDSSVNALVTRPSLCTEIIQKLQT VGSKWDRHEDWPGRDWYVDILMAVANLSRVLDWWEAEKGFWNFDEDDENEPLLFVMKP SREEPRFDQEFRAALGDSRYSPALAPTQIPDRPASAISIDVPSPTSSGPYTAKATAAP TAGTPKAQAVEDLKFLAEHAKSVNIVMELSLQGEQIEYVNDAIMEVVGQEPEDVLGKP IADLLAPGDASVFSEATQTLVEDDNNTVQLRFRFEVHEYEDTDKGTRQPGPVYIELEG VGMLMRENNEPSHTMWVLKPVPATQVEAITDAAFPRDGIISTEGILCRICERQIVTWF FEKHNETCDAVHRLEAEIVECNDCLHDLQQTVVKLNADIDTAQPNQPAQYQGVLFYTL PDSIITNDEGASPQMPQGVEIRKVAHEHLQDVISILNVARHIETPSVQEDEADLPFTV QRYLSRESEEKLQRITRWQRPHTSDRALNLLFTHVEDQLRRKQKAIARMQSTIRYSEK TRHEWEDKVNQMLAERDDSSQSESGSDGAGDGVQSPTEAQISPTATGNANADNPETSP PGPRKIAPQARLPITQGHPHRQPSGYGDHSGAITITAPTPAVHTSAQTPAHSHTPAPP AFNRAASTPASVPAPSPIYIPSQSQPPQASSKPNSKTNSPLLVPHDKHGHHRRVSTSK NFRTGDGGPLSPRIPSAALQSRAAQPSIKDFEIIKPISRGAFGSVYLAKKVATGDYFA IKALKKSDMIAKNQITNVKAERTILMNQASSPYVVRLFFSFQSKEYLYLVMEYLNGGD CATLVKTLGGLSEDWARNYTAEVVLGLEYLHARNIVHRDIKPDNLLIDSRGHLKLTDF GLSRIGLLNRQVGGPRPAYLRGTSLRGSSRQRPSYSRTVSNSSSNDSPMISPELLNAQ PMSHLSQSYFAQQIQESASADESSGSESAGVIPKHIRQMSVANKVGDTSSGSGREPAR FVGTPDYLAPESILGIGQDDAAVDWWALGVVLYEFLYGIPPFHAETPEKVFDNVVSRR IDWHEDEVEISPEARDLMDRLMCTDVQKRLGARGAEEVKKHPFFNGIDWNTIATAEAS FVPEVTDPESTDYFDSRGAAHGFHDDDNAVVPQVLKQAPGLKPGPPLLSPKAAEDMSA VIDDIAEQDDFGTFTYKNLPSLKQANDDVIRKMRSDSMAPIGQSLEGPASVNTRRPRS LSIKLQNRSKRKTSEVNLPPSPTTSTSSAASTPSRTSNMPQTPGMMRAPQHVRRPSEL NALDRVKLSDDIHNDLARRNSTPIRVRAGSGSSAGSASAELWRQRRQVSLNAESIGSA GPGGIIPLDSPEGMVHSLGSKVMDRGLDVLIAEDNPISQKILETLLTRMGCRCICVDD GPGALAATMGSIRFDVIICDIHMPVVNGEQVARMIRSTNNHNQNTPIIAATSYEQHQV VTEEGTLFSAVLNKPVSKADLIKCLGKLGFILSSGGGTANTNTNTSSEPSSHSNSLPI I203_05685 MPPHSARRLRTVLPAMSRVRQDRGHRIPHEVREADIKSEVATLQ RLRAGGISVPEAWLPGYLDDSKDGMPTISPFPFDYFFYTFLQGRPWPIQKTPFYPMRL PEEDIKKYIEAYALHQIKMSHFVLPLNQIRCLQMNKSGEEVGPIITRGTFQKPEPPYL LGPFSTQKERYLSHIDTTLDYILMGAVGESGPIDSYLWHLEMRELVEHSKILARPITE VYIKHDDEKGDQLLWDDHGKACGVLDWQWAYTTTKAEAFAAPPLLYESINLFSGENSM TEEENFLIGCYRKYGRPDLGDCVEKGRLYQRLSFIGRWYDFYKKIGFREPFEPDLPSD FHPPLDDVDWQERAKVDQRADDTRLDDGGKEEVAMRAGCDEIANMVFDHIVQQADTSK EGCNTND I203_05686 MLNTLKKSGILVPEGFIPVRTCSDDQGQDIPFDYFFYKFLSGST WRIPKHPLKSLSLPEDKFLQLIEGYGQIQIKLSELQLPVDQIGCLRSGSQPGNIEVGP IIARGCFQTPQPPYLLGRFSSMKDRYLAHIKAALDYILLGAICQSDPIDAYLWHLELE ELVNHSAVLAQPLQEVFVNHDDEKGDHLMWNEEGKILGVLDWEWAYVTSKGEAFSSAY IFYESWKYIRGDNTVTKEENMLIDYYE I203_05687 YGAHNLDEKRAIKAQARKAYYGGVITQIAKHQNFLVHEAETLRP GHSCQLTIPQDLDAFAESSKIGIFNLHFLITFNDGVKWLLRVRQDKGHRPPAEIARTV IESEVATLGVLKEGGLPVAKGYLPLSLETSEGEKQKLPFDYFFCEFLEGTPFKVPRAD WYGSIDLQGDRLLHFIDEYAKIQIQLSDHPLPFTRIGCLCYEHVDNGDRPVKVGPIVC RGTFMKTQSPYFFGPFSTNKERYLANIDATLNYDRHYAPKTLRTLDRYLWHLELRELV NACNVLEEEPKEVYIKHDDEKGDHFLVDEEEKVTGIIDWEWAYVTTKAEAFASPWLFY RDQNYRLGSNELTSDEELLIEVYKRYDRPDLADCVRNGRLYARLDQIGHYEQTLPKSG FREVFGKDILGVFDPPRADVDWRVYMMKRCKNDKGLIGMMKRCKWPLERAEKEAETWN AEQGKKRADMRELEKAKNRKSRKAKPDPKAESQATEEQSLEATNWQDGITLEKSVSHS VL I203_05688 MSLSRRASLHSTLIDDDVQLLTSEEIQSFLDELDHNNDGIIQYS EVESALDRVNDELTPTPKQHNINHPSKEEYARHAFLRELMGTTSNVNPSSISRNEFTD RIRQWKIPSMTQSRSNEEADLEYMSSIGWWRRIRSWWAVKGPEVMFLVMVSASIVGMM IWQSLKYSLDTRYRAAFGWGVVLAKTCAGGLYFTTFFLLLSMSRHFSTFLRRWYYLSR FINRDLSQSFHIKISILAMTLSTLHAIGHLGGTFVYGSKSTRQDAVAAILSPELVPRP YHAYLASLPGITGLTALGLFYILAGLSIPQVRKWNYEVFQLGHLFMYPIIGLLMAHGT ERLLQFPMLGHFLAFPTLLVLLERMIRLFLGLGAGGLAKIKILDSDTIQITIPIPAST IKTIGRMKWKYKPGQYIFLQVSKISRFERHPFTVSSCINDQVKLHIKTDGNWTARLRR LITRLYP I203_05689 MYQFIPLLALLPSLAQAQVTATFPNGPTNPDKPEFYPIGSYVNS TSESRLITLNGVDDFCLWGPPDQSGAAENEIGNVEPIVVAYCTKPRNGARLIPDGAIT AAHFIKTPNYVQIYGFWDGTKVGIVDGDAGGELDPHGAENLGNPIGGNVTSNVGGSDV FYEEWMSFISYDQFCLRVCTAETGNVTAALQCEHELDIMGCQFVMAIEDFYQSNNTFT ECEGESAAPPGLYPQPDGSYSTFRQRYTGTYTADGTVGMFTVGQTVTPSSVAFYPATS NCKTYSTISNGINTGDWAVTATPSVLVGGSTQAGSVGTTSQSRPSGASAAASTSGASG SASASASASRSGASGSASAAASGSSTQSNANASGSNSAAKLTFNTGSTNLLLGAGVAV VGMLVGAVSLL I203_05690 MSTLPILRSTFASSSRSTILRAPRIIAPKTLPHLSNSPSLTRQI HSRSLSPPRTLITAHHSQRKISVRQLSTTSSKLNANTSSSQSQSQSEAEFEPSPNPSS VTARLKLLFKKYGWYALGIYTVLSTIDCSLTFIAVHTLGAEKFEPIFDSVIQFYRVKR YGEEEADNLKKVDEEKKAEELEKARVEGAADKEKNKWFGKTFWAELALAYAIHKTLLL PVRAGLTVAWTPKIVNWLASRGWVGKGGLTRAATHAQGKVKDASERVKDRVKRN I203_05691 MNVSNFVVHQDHPSTDSDESTLSQLLTGLPLYAFQQNYDEEDFP RPRSSTLPMKRPADTISQGDADEEELTATMSKKRR I203_05692 MSLRSTSTMLRPTSSILRQQLVVRAISTSTPKRASHDDSHHGHG EGADDAYTTESFFNPFWRNTLVLTTLAILAYPYIPSTSSNTTSPSLDPETFSKSTKDK SLPYVTRLLASITPESRIWTERNDKHLELSKDAAETKLLFQEAERPRVLRMRYPSSFE QASPHNIAVGSQTDLSDLKVQL I203_05693 MQSIKCVVVGDGAVGKTCLLISYTTNAFPGEYVPTVFDNYSASV LVDGRPVSLGLWDTAGQEDYDRLRPLSYPQTDVFLVCFSVVSPPSFENIRTWIPEIAH HAPGVPIILVGTKLDLREDPVTLQRLKERRFSPITYQMGAQCARDVGAVKYLEASSKT QKGLKNVFDEAIRAVLQPSIGQMNPGGSKKKKKQCVIL I203_05694 MTKLENQDTSARRQKKESPDPAAHERPDSPPLIDADYSELNHLW IGMYPDFEIGRTGLDLETYRKCIFERVILFDLQPPSQSHSTSQIKEQLLSPKAAPLDP LVTNLSNRILSASSSVVPQTMSSIPSQSDLSTQPSYFTPFTAYPSPAEGSSPPLKSGQ TPVESVHPAL I203_05695 MDQPKRPPSKRMAFCVDELVHVGQLWDVYRGDLIGCDGISKPQH LILKLMRPNAFPDEYPSDPDDPRSEEEGYHIKDSAIAAAFREDWIYRTRLVQLQGTIV PEYHGLFTWYDPLEDKPEWPYVFAMIMEDAGDADKGCREGSYYWEWEDIKSIELESPP LREVDYKKVDFLWIELYPDSPIGQTRLRPKAYPEFLLKRHFIPKKESTQSQQPQFASD ELRASLSQLLAPTSISSPTTSATTLTTNTAYDTPTVYATSPEKTILPAPVSISSDSKP LADDTSDTISNQPDIYAPRNTANPDSPNQMVFCVDELVYVGKLWDVYRGKLVLCDGTA KHKVMIMKLMRPGCFPEEYPISRGLHLPILSAGTAREYCSRILWNVHLLCEADESDDE EEEGGPPDPWLCAMLMEDVGRGNMFSNNEADFWKEEDRLNMEQAYRTLHEVAGVGHGE IAENHIIVNREKNRVAILDFQGADTFSSIRQPKRTKRMVEWDEGCLEEVLYPWRGE I203_05696 MAELQQVLELLKKLDTRQEELAAQVESLKSSSSKCSCGQSHSHP NPSTYPIHLAGSTPSSPSTPAAGTETIPCPPLPASPRSNSGGVEALRISRGSFTSLLP SALNNLGLGTSPPSNSNGTSTPYGNLSLEAASHGAGAPGPLSPSGDKDKDKKKGYPNR VVLTTYPSQAGINPVPINWGAGPTARERGPVVCSRIKQNLLIRNSIGAHSGSYSIYRA LSIAMGQLRPDWRPDLTNTHPPFVLPPTEGWFGNKIVSFDPWGAMSQEIWAKEYADGL DVRPTISQTKAHIKIEELDVLARKGEFPVDGDIVIKSPELAAFPGVDQGIEVNTYKAA VDPVWYLPGVAARLGIDESILRRALFEDTGGMYPELLTRPDIKIFLPPIGGMTIYIMG DPAKLQDPNVEITCRPHDSCSGSDVFGSDICTCRPYLIFGISEAIKCAQRGGVGVIVY FQKEGRALGEVTKYMVYNRRKRGGDSAAEYFNNTEVVAGVKDARHQALMPDVLHFLGI KKITNLISMSNMKYDAIVGSGIEVINRYEIPEELIPADGRVEIDAKIQSGYFSKKELT DEKVKATKGRDWGE I203_05697 MSETIIPTRDTSRKRRDASSGGGKGEIWWSNGIFFVSIHIFAMI GAIYLSPLTAIDPRTAVLCFISWQLASFGITIGYHRLWSHRAFTATAPLRIALAWMGS MGFQGSIKWWVLRHRLHHRFTDSNLHDPYSASKGLWFSHCGWIFRKPSYPRMKLIERG DLDADPVVRFQHKHYVPIALFSGLILPSLIAHWGWNDWLGGLVWGGAIARLLIWHTTF CINSLAHWTGLQPYTEEVTARGNYLLAVLTSGEGNHNFHHAFPKDFRNGPHPADWDPS KWFIWVLHKYTSLVPTIARTPESAVRKARARVFMAQADRLTEALPPHEMVRPKEYLPV WSRAEVRKRHGEYVKEEHGVRRRVLVLLEGCVVDVGGYLEDHPGGNDLLLSHCVLPLP SESTDDLDIDSGYASSELASPPLNKISLSSPEIDEVDQIVLKDATRAFFGGMNNHSGA AKEWMRCLRVARLEK I203_05698 MPVASVTTSAAGSLTLLEDEDKDIRVYALNHLLNIVGQFWAEMS DKLSYLELLADPMSKELPSESRPQAALLISKIYYYMGYLDEAVEFALKAGGAFEKEKE GEFRETIISGCLDRAIEQTSRGEKIDTALSNIVDSVLRSTSGENGKLAMGLALSLRRL DLVEMIYLTSRANSSSQPSTSSKPQELKNVLNFTLLNQLFNLLLRLFHHNPQPDWNSI TTIWAQNSDVESCGESLIKLINEQNHLDAYQIAFDLNEVAPQAFIDGTRSKLAEKGLA PPAENPVIILDNILKGVTSAELFLNFLNKNNKTDMSILKVTKETLEDRYSIYHSAITF TNAFANCGTTSDKFLRENLDYLGRASNWAKFSTTAALGLIHRGSWINGLKVVKPYLPG GSAPNKFSEGGSLFALGLIYNGRKELAEDELKKNLNENVDPIIQHGAALGLGVSAIAT ADEDIYEQIRTILFSDNATAGEAAGYAMGLVMLGTASEKALDEMLSYARETQHEKIIR SLAMGIAFVMYNQREKADGIIQTLTEEKDAILRYGGMFTIALAYAGTSSNKAVKKLLH VAVSDVNDDVRRAAVTALGFVLFRNHTQVPRVVQLLAESYNPHVRHGATLALGISCAG TGLETAIELLEPMTKDPVDFVRQGAYLSLAMILIQQSEASSPKSASIRALFAKVVSDK HEDPMARFGASLAQGIIDAGGRNMTLALSTRAGTLNMNAIVGLTLFTQFWYWFPLAHG LGLAFTPTALIAVNEDVKLPKIDLTCNAKASLFAYPSTEKKQEEKKKDKAKTAVLSTT AKAKARDRAKKQEAGEAMDTDDKPEATTTDKPSSTPTQKKKPSEPSSFTLSNMSRVIP TQLQYISFPPEGRYIPVRPSGSGSGNIVVVRDTKPDEATSEDGFIELDKSLWPGWGNG NGQSNAAEQVQEQNAAAGGAGGITAGQGVEEEDEDVEPPQPFEYPFED I203_05699 MSTPTSTTTNPEYKLGGTKPDRPFTIITGHSGRYYLTENDESFS QCDTSLLIPSSQNATPAAAATSSTGVDQIEKRVIRARSDDLPELWYVNDRDDQTYKMG FYVSVQTDRANGGAIYGCRVHGQSSIDQVDQLRLEREEWINEMSIPEIKDNTKVEKDM MSMMRSKLGLMWDYTIMPEITSRNYYLLQDSQTQSSVLDKYEVDDTSQTSNGAVINRS NDKYETTFTEHANGNLDITTTFNFDSDYKPSNTGEMKIKTRSTKDDISMARSRDEWIN KVYNRGNVETDTVTDRSVSVEKQISSLADDTDDPRLKDHLIQRSKSALGHLHDLKYYQ ARQ I203_05700 MSDEEEALGGFESVDELQSHGINVQDISKLKAAGIVTILGVAQT TRRHLLKIKGLSEAKVEKLKETVAKMLPPPFLTGTEIADRRQNVIYITTGAKSVDAML GGGIPTQSITEVFGEFRTGKTQLCHTLCVSTQLPEDQGGASGKVAYIDTEGTFRPDRV KAVADRYGVDAAMALDNVLCARAWSSEQQCDLLVDLAVRFVEDRTYKLLIVDSIMNLF RQDYSGRGELSERQQKLNQFLARLQKLAEEFNVAVILTNQVQADPGVSSWMFAAASNA KPVGGHILAHASAVRINLRKGRGDERIAKLNDSPDMPEGEATYVLKSG I203_05701 MVLSTSSRVSTLPKRVIRLSRGLATPSTLPIKDCTSITPPYPRL LKTLDQVRDVLPKGSKLTLAEKILYSHLRNPEESLGGNGGNGKIRGERYLKLRPDRVA MQDASAQMALLQFMTCRLPSCAVPASIHCDHLIQAQTGAESDLTRSIEANKEVFDFLE SAAQKYGIEFWKPGSGIIHQIVLENYAAPGLLMLGTDSHTPNAGGLGMLAIGVGGADA VDALTDTPWELKAPLITGVKLTGQLQGWATPKDLILHLAGKLTVRGGTGRIIEYFGPG VPAQSCTGLATIANMGAEVGATTSTFPYSDNMRQYLHATGRGPVAQAADEAAKQGFLS ADEGAEYDEVIEINLSELEPHLNGPFTPDLATPLSSFSNFLNTNKYPTTLSSALIGSC TNSSYEDMSRVASIAEQAKAAGLKSKVPFLVTPGSELIRATVEKDGLQDTLESVGATV LANACGPCIGQWKRDEHKGEDNAILTSFNRNFKARNDGNLKTMNFLASPEIVTAMAFS GDLNFNPTTDSISTPNGPFKFQPPSGDRLPPTGYSAGDLSYAPSPSPTPKPETEIAIS PESTRLEILEPFGTNFASGKGELPQMTCLMRVKGKCTTDHISAAGAWLKYKGHLSNIS ENTLMTAVNDENNQINKAIDIDGSEDTIPKTMQKYKRRNEPWMLVVDDNYGEGSAREH AALQPRFYGGAMIVARSFARIHETNLKKQGILPLWFVDKSDYSKISSHDKVSTQGLAN IMAGTSTSDIVVLRVEKPSGQVVEVKTRHTLSADQIEWLRFGSALNYIGAKAREAGSA I203_05702 MPPKGPNTLPLPYAQVQQPARREQRQPMRTSKLGTKLKVLPTQP ETPTIPEEDEDEDNERDLSNRDEGEGVEFYTPLSQIPKGTARRDAQRLTKSEKAKLPR VTAYCTAATYNLPAMQAYLSARPASYRTHPRIFDTECLHTPYLPPPTSSSSNFRSPHL KPLIQSNNNIPEADLLNLGNDYSSNTNTSSNTKRSSSPSRSNEAKRHTGFTKRPGGSR NNLSNSTTDKRISNSHKEESNGNGTDSERDDDDDFEEEEWVPDVFLFEYGTVVIWGMT EKEEKRFLSSIKKFEIERLSTEDVEMEDLNFYYADYSRIYNDVITLRKGSSYMTKLSL SHALSQSVKISLFEELITSTIEQTKDIPKSLSETGKIGLPRSEIMKQIGNLFILRINI NLVGSILDSPEFFWTFPDLEPLYGAARSYLEIGQRVDLLNARVDVLQDMLKLLKESVN SSHGERLEGIVIILM I203_05703 MNKRGPSGLPSNPYMQNNGPGPAPPLPTGPPPPVQAQPQYSQQG QPVDQAAHAAAWAAYYQAQGINPAATYSAGPQPAGPAAGPGTAGNPYANYGYGAGAQH GTYQAPVQPGVGVAGPSQPFRPPPGNQAYSPAPGGYGQQPGQYPQQAQAYSPNPTAGY STPQPQAPPIQGQGRPPFNQQPGFAWGAQQPTPVTGAAPGYRPPQPRSTTSYPQQAAP GPYYPQPAQAQVPQQQQFTPTGNQPYRPQAQHSPYRPPGARPPRPPMSTPQPGGFPPA KRPRFDGPGASGAGMGMGTGVVRPPNGPMQNSINRPPSAPAAFNTGVNGGQPGFNHSS SASSSSRGGRGAAPIPTSRPPIHLGSGGPPPFGIGLGVRGGAPLRGGFSGRGGRGGGM AGAPRGPSGMMRRDNNTPTGGGARSSLPPSKKDTPRKEKRREETRTTMTDFRIVGIEV KALGWSWGKVGGQEVEEEEEVVKAEQKDDAVTAGDEGIEVPLTEDSDVKEELKEASGT NGESVDLPKEVETSEEVKVEVTETNEASPAAENDVVEEKEKRGEKRKAKSPDSEEETA AKKRNSSYLLTHNKPNIPSTSEIPSSSIFGSNQNRFRIYFDSPPELDRIPKSARRKRG RESSSVAPSGAGEHEVEETEIVNEAEEVQRIKEEIAAESETQLADQNGEEAEADPQTQ TEDPSKKIETPAIPKAPATESIDVPTTESAEFETQTTAPAAEAPETTTEQAAEPEADE EVGDVSMTNDAAQIAASESQAIESEHTNTTSATADLAAVPNAAYTDVEPTDTSTDTPN FVHAEPVNGENNQQDEIMQPVPEVHETIVKSTEEKTRARRRSSVSSTDSRDYQSTIAG DQQNPQSQPQTAASDTTRSVPSTNRLSILYEKSLRRICIDSDVVEKVKIWRKEGRIEV ELKDLEVESKEAQEIRLPKGILVESYDSNDQRYITLNSTSISTFFGQPDFTVPTEDGQ SIPPFHKVLSATTQAASSSSPKITLTVYLNRKNPLSEAKWCRNNSADNWLYEQFGSRR IEQDEENGMKGLGFGSAGWKGKLEVMDPDPAPTLKSILEQWSSTSSYGTSSTRESFIS SLLSSPIETIEILLRLTRGDRNPVYSSNPTYFSKSFSSTIKKESPYTNHQTHISLAVL AMYRLTTDLVDKVSTDELEREKERQKLDENVNDIIRSLPLNMIQRSLDGLWKEWNARS KDSGKNGSSSKDK I203_05704 MPEANKALQEEELESLRAIYPDEWHDVPPKKTAWGTELDGGWWE VTIKSMQDERVSVVLKGKMISAYPQQVPPLSLRSPEYLTAKHVQSLHTLVQEKAKSKV GEAMIFELIDTVRDFITDNHALLPSPGDVNLMEEKARREEAQRAAEEASRLTEEENKQ REEAKTNRYLHERIQANMIQKKETVDQVKQQQEERRRQESLATLDVGDLESRLLELDQ PISVDGYEGSWKRWILFGGKKEVLWTNYMAEPETARNEDQNPNNTFVKASSPCLNIQI IDFAAPYYLTAQGIKRIDACTVEALRVKEIKSEHVLKVYAVKRCKSPKGWERLILMTE ATNEGGRLRSWVPREGFGEDLAREYIAQVLLGLSEIHSRNATQRQIDLDFTLISTGSN GDKVIKLAGTGYSRRIADMHRSNTFLKTKIEVIPEEWISPDERDSPHTYSKQRDLWHV GLLLLQLTYGPETLRNYHNLPTLLQHAPGLSDSMRDILTGLLNQNPKKRLLADDTLTR LRAAEDETTRRGFKRTHSGYAPHNSLSGGPSDLTQSYLGISPVNNRGLFGYLPSTPQP LAPRLSRYRTDFEEVEFLGKGGFGEVVKARNKLDGRSYAIKKVKLRPEDNEAKVYREV NNLSRVNHQHIVRYYSCWLEDANPPQLTPTADDSTPGPALTSHSTEEDIFALDFDDAS FSRRDQSRSASFPRIRFANEGDDEDGDSDDDDSDSESDSDASTAADPSEMRERGRSSN IPIPPKPSASVTDATTDDGNVQRILYIQMEFVEKQTLREAITAGLTEEEVWRLLRQVL SALAHMTSLGIVHRDLKPSNILLDGDGNVKIADFGLSTTEMNAIEVSSGPATLADEVD RTSNIGTSLYIAPEVAISRSYNEKADMYSLGIIFFEMCYPFKTTMERVHILNAVRQPS ITFPPGWPSTHKQNEREIVTRLLAHDPSKRFSATELLRSPLLPSPEKKKEDWDAAIIE LTDPKSSQYQPLLNALFDKSNHNIADVDHRLVDYTYDNDSDDQLQVWLTVVIQRLVEL LQRHGAVESYLPLLMPETTLLNTFTGLDPVRLLERSGQVVQLPSSDLLAMARSATRRQ IERIKRYHVGRRYMNDQVGGQPLVSGELSFDILSPLRSGAAEAELLEVVDKVISEFRG MRGSSSVEYDFHISHQSVLETILSIVPDRPDKPRRKVLHEFKKLGASHPVSNASHSRS ILGSIPGLPKSVLDELEQCCIADEFEAVRTRLESLFPSAKRKLDIAFEDISSVIKLAR SFGISRKIVFRPTLAKHSEFFRGGFMFECVRRGKQKEVIAFGGRYDSLLEHFKQPAMH SQSRRVFGVGMTIAVDQLARVVSKYESSLSRRLMEKPNEDERSFGYWSPARCDVYVAA FPHVDLSVRLNVIGELWRAGIRADLQYDDDRSVEDVALECQEQNILYLVIPKASKTVV KIRSILRKSEEEVSRHDLCNHLRIAISDQRRIDASYASAEGSIPSAQAAAMSVEPKQA EVDIKLLLPPEPMSSKGTKGRPVRKFRHGTKSVYYEKASDFALQTHSTLPILGVDLPL SMLCQMTFDTSWISDDETWRSLLTKEGISTGDRRYAETVRDAVKERKMGGGAVNGTVG SGTTGGGNKDNSAWCWLFSVREAKGFLLQIGSGK I203_05705 MTSKSAKKPVRLILFDVFDTLCTPKQPIHEQYYDEAIKGGLSAD DISPSSVRAAFKPAFKAIDSKWPLYGKHSLPALTPEEWWTKIIHQTLLEAGAPKDDLG EKISVIGPALMSRFESDKGYRNFSETIETLSQLCELGIKTSIISNADPRILKTLDSLK ILPLLTFPPTLSWDVEYAKPSKEIFLSACKVCNEEPGEGVIMVGDELKADYHGSTSAG VEGRLIRRQGEWSDGAVRKSSENLEGVKTITSLSDIVDEARERNG I203_05706 MPYINPQRSERPENDHPRSATSLQARSGSSESSSSLPPDLPSED YRDLWETFNGEWMLPQRNVGGQDFGMLVNGVSNQDTAGGATTRFNDNGSNTTATTSFA SAWRSGGRHHARQRFRSIPSDQDETGVSFARNRSNGTYELYLTLPSTLRLGSSRSVVL PSVAEPHQVDEERWFSSATELLPQIGIDLEEGSELESLLKSGLRRFWGYINSVGNA I203_05707 MSSAGQSQYTEGDVSNGWQLQGGRWVPYEAPVVDAASPSAGILA IASRAPSQVASPGGSQGDQTRSSRGHSRSTSGASAVELNRRFARPMMWDSERYPNGTY LITIDYEAEHTGNDEESQLRLYVNDPRNSSHRDTWLQQSETYGGWDQSTNRRMDLTQA VETQLQPMYEDFVDRIVDSARTALNSFYNLRQRGEI I203_05708 MAENLMPLLEELGRLRRGRGREGEGRRPHSDEAYDASRGSTMPY RTLYDTKVNSTAVPNESRALSNSNILQVELYHEVDLYQPASVGRTRETFEQYLNTSID LGTLYLLRNRDSLLPIGSRSAGTGPGIDNVKERIDMELHRCAHYASDLIRDPQSYSPS SSLFQPICKREQRYLIICPSANADNRSASTGNLSEDLFNSTWDYIRERMKYGIHTTEE EIRELTSLATDQPFFFEGVN I203_05709 MLIHATDGFSGRLRRITRPIRILTRRILGPSKPTSGHTELPGPS SSLTLSSTIGNRSWVYHPDSFFNSITIPYGLYPFLLLWIGCFIILVRQQYYTPNTPQI ISCNAAPWDDWPPDICGINGGNCKDDLESIDNQSFRCLGGCANSKLGNPRYVGGEKVD GTFLVIGGGDDEGTYRADSWLCPSALHSSLISPTLGGCINFHSLPYPNGYSNYQSSYS NNINSTSFEPFYPGAYRISSYGTSNGCLDLHYIVTGFNAFCLLLTVLLLKPPSSLLFI ILLVGGYFHLVLFANPPSIPPNWETIFAGLPPILLAGYWFWKLSFKRTLAGFKDLPVE LALWQGLGYWLGLESSTIFSKLPITRLGYDALDPAGVISLVCIVVVVVIVVAIQAWQM RKYGLLRYYLIRYIPLVPLLIILAFLPNYSLRLHHYLLAIIAIPVLSLPNRISLFGQA FALGLFLDGTGRWGWDGLIQLIGSLAGDANTGSFVPSFWSNLTTSTTIHFDPIESIEQ IYNVTGFSVLVDDIQHSGNYANSSIDMNLLNLTQGIDHYLRIAYIANGTSLDFTDPVV WYANNSWSELWTGVSDGIGNITTDL I203_05710 MAIDFEALAEKYQQYAQPAGTALLILILAISYLINSGSKNRKVL DPVEWRSFKLVAKDHLSHNTALYKFALPKSTDSLGLPVGQHISVAAEIDGKQVVRSYT PTSLDDDKGHFDLVVKTYEKGNISRYLSLLTIGQEVKVKGPKGKFHYTANLAPALLMI SGGTGITPMYQIIKSSLKNPNDKTKLSLIYANVEEDDILLRKELEDLEKKSGGRFTLY HVLNKPPANWNGGVGFVTKEMIEKHMPDGGVGSPNHGEGHKVLMCGPPPMMNAMKGHL KELGYPAPRTVSKLEDQVFLF I203_05711 MLPQIMRSSDSSEKRRHQFKAIPDMQKKMNDQQNQNDGVRKRLM YDPILGIILLAFLGGANGQSTNSSCTLSDDVNWMFNDAEESPCLIWSKVQSLCIPQTS YLNVPPLLDQSYSYNLPSERSSECQCNSISYSLMAACAICQYPTTSLPSENDWSASCR NYVNNGLGFDQSVVSIPAFAYHQWSGSTFTGDIAKTPTTTPTSQSYTTTLSRTFPTSS SSSSSSSSSSTTASASSNPESNSNSEDHKSVSWGPIIGGAAGGLVFLVVLILLIRWFI TRNHTKPKDKTNTNNRIPYPYPYQGHGKERSHAHDQDDSFLEMLNSTKTKTKTRAISI SRPKSLSKSTNAKNQEKEREEMLKRRTAELMSDPSSFAQPRTAPVPFTPNPLNQPRAD PPTPKFPPKSTKRSIRFTTDTDTDRPISTSSSLLQNRNERVLIPPRQRYSTIFSDSSC DSGINGGSRILSPQDFHQSESAFRTRSISPLPPAKFNEMKQRQSMVGSLSMDFSAPPS IISDSQRPISEVRTLPSLYEPVIGAREFRRSGLRSQMFSPESQYPLTGKSTSKYPESQ YSYSLDNYPAQELLLQKLKGHKRLSEMGEGDTETIGAALGSGKRGSYWGRENRWSGLS SDTAGKNR I203_05712 MSDIEAVRDGSAQGQGDIPDYIPTSLEEIDKVGLRLPHLNIPYH TKPYQTNTDFVHRSPFFVYTTQIYARLNARFDSLATHSLAYRLYNLKQLGYLIKDNEK RIQAAVYTDLGKGGFDVSSGDLWPILNEIDLAVRRVKGWMKDESRIWDAMFSFKFMRP RIKKQPKGIISAWNFPWQLTLCPLIGAIAAGCPAVIKVSEHAPSSSALIAELLPRYLD PEGYAVVLGAAEESTKLLEKSWGHILYTGSGNVGKIVAAAAAKTLTPTTLELGGKSPV VVSSCANMKIAARRMFTIKQMAAGQICIAPDYVLVVKDKVNEFIDACKETVDTLFPPS PSPWSFLHTPQSSSMRNTGDFDRMISYIDRAEQQGKLVHRGEINKDNRRIGISLVKMN PNAEGESGGLVEDEVFGPVMAIIPVDDIDAAIRYINARPHPLALYVCASKRSVFKKVI DQTWSGSATWNDFAFATYARNLPFGGVGASGWGSYHGKDGFDTFTHHKSVLEIPYIFE PLMALRYPPLTNLRKILFKFLLCAGVGYSRPVSVEGEESKLRRKKVVKWMTTILVGLV AVYLGGLRWRSWKG I203_05713 MPSDTSKRPLTILPTFTSSSSSSSSRSPHIRTPSTSTFNSSPKR TPLSIPLKIPRSILKLFLFLSLVVGLVFLSQAGRDSGIEEGIWDASSYSERRGTSPGV NLRKIQNKFGLIAPPKAPIRNNNNKNILLDDDLYDDPDERSSQDSQDPFELSDSRVYK HQEEDVPKNYISSSNQQPNLNRESPSSTKVNHPGKSRRVIPGSSPEKYTTGPLPNLEE AWEFLHPLLREVKEKYQVIPREHELTAPIFPPFLTDDLVSRYRHLREEWNEDTGEWKL VNDRRWFMVTVCRQVAGMLADWFAAWTVLADFLGPESLVFSLIEGDSADGSGEIISHA MRAHLLNIGVPPENINIKTFAPKFDWEQIHRIEQLAKMRNEGMQPFYDTLPSGLSPDG HPWTGVVYYNDVYLSATHFLELMHQHFKQDADMTCGWDHAGKWFYDGWVGRDMAGDLY TPFPVKEEDKDLPQKLFPSSPQTLRRYERMLPFQVFAGWNGITVLNPQPFYPPYNVRF RRGQPRTEKYWECQASESSFISWDFWKYGFGRVQVVPGVHATYGKEDAMLRGWVEWPN PGPGGNGKEEIDWIDQPPNKVRCHDWPDKPGKGYWAWDTVRWVDPPKLEAPK I203_05714 MSYSTRYSSTRCTFYPGVQCSHPECTSFGLPRYQSPPTYGYAPP PTVLGNEDAFLAGFAQGRRASMQSNGPAGTSEYRSGSSFGGPQYHPEAHGRRNSMSRG SNGNSSIRHSPMPKKATPRSSRDTPHRRPSGGVFDFEIEVEEMPDDDVDEAIKNAADR LSNMNMHDDNRSDPSKISSVKTSSSKCKGPRKTPTITSEPIPKGYHGVAFTIPPMYRE DLTGFSEDTFIRCTIKEEYDNISDPDAYWDAVGGKVESTMGKIFGPEVTSKSTGDKQI KLSYPKDITKTEEEFHETFLLDRHTAKNLHKKPKSEFMNTLQASIKSDLQSKVERELI DQSVVHDLEVDTTKQLEECYNRFKETFR I203_05715 MFPYGQYPPSGNTYGDGYYDPQGRRGSMPYPSGGYVYDNPSRTL PSARRASLTEELSAARASAMYGRQEYSQYALPEARESRRDNERPSHTSQMTEDRPRKS ITSGGSIQPNSKHE I203_05716 MAPPTPDHKSYPKTTPPSILLVILDIHPLSWSLLASPPPLPSVP DQPIIDKAQATPISLPDFVTLLMVFLNAHLASRWGNEVVVYGASAGRAKLLYPPPSNA IAGPSKPRPNTYHPFQLLDTRIEEGLKEMAEEEQRRIENGHIKSLNQPPAMVSALTKA LCFVNRIIPHTSASAALVDPTATQDPSAATTTGPDKPETRILVINATPGENVSNSSSS DLNQTNGNGNADGQVDGTAPNGKSGNGGQMRGGYVGLMNCVFAAQKAKVPIDVLSLPP PSIDASPPIFLQQAAHLTEGIYWQWNGRGGLLQYLHARYLPPLSLRHHPFAVPPQDAV GFRAVCFCHHKTLDVGFVCSVCLSIFCEPRPVCLMCKTRFPIKSIPTLKSLATYIEPI PVPDTVPPPPIPKGSKAHNNNNENNKKDKNRSMINGGSGRGEPIEID I203_05717 MSGVSTTYPLHRIRFYDHTPSPITALSFAPLPLPPPRGPAASSS KGKSRDGQQQHTNHKDEFGVLILARENGEVEVWEYVRDEEGNISGNWVLKKTLPPTLT HPTVSSIALVIRDPLNFHRKSYSVPKLEDLRLFTAGSDSNDITERCLITGRILQTYDI PSPPLWTLSVAPTQDLLCLSSSSSTLHFLSIPPPTMFNKSPALEPPPSELLRCDTLPS RTRTVSIAWGVPKLVKSSDTVTNPEIEGEYEWRNTYLITGNSDSSLRKWELPPPGGKK AGTPAGNQRGTIVWGVGVLPDHNFVTSDSLGNVTFWDGQSMAQQQHFRAHKADGMCLT IGPGGRSVFTSGPDQRICQFVNVPSTSGSGSQWVLTTTKRVHSHDVRALAVFPPYTPF SGTNINPGYAPVIASGGWDMSLTFTSAGAPDFGSSLLMRNLLGKPKGASGSRVTFEES FSRKMGYMTDGRVQFAPQARLLLGRKDRSVGVWKVLEDEQGWEKVLEMELKLRTNLTS SSISPNGKWLAVSDLYETKLFQLSSQGNAIRPSRIKSFLSTLTSSTQLEHLSIRSKGC GSSSISFTNDSQRLILGLVSSGQLLVLELPQDANEDEIEVVKCFTREDKIVDGRVVKP KPNGTIKVNGDVDMETNGHEQSEESEESEESDDDDEEGFDGGSKKEENADWISCLAVS DDNQWLAAGDMEGRVGIWNLDTLQLHATLPTLPYPPTSLSFPPSSSPILILASPTNTF QLYNLEQRKLLVPSPTGQLNELHRSLASLHTPLSGLTFAHGQGGKPGKVKMLIWGIDW MVTCHLNLDEIINVKNRRSSISIAVNGSPAGGRGGSKKKRAREAKLARDHLDTPSVAE EGEGEMEIKVIRDRFKSILAIGWLGQGQGQGELGVVERPFGDFAGELPNAFWSGGYGR S I203_05718 MGSSTVEDDDWETAEISLPSGSSSSKTAPLPSLRPQAQTFQPRP SQPNPPQQQQPQPQASSSHPQQPVLLQRRPNPQQQQQQQQQENDEGDDWFRGNRPMSN RQIWDSANSRPAPTQIISPLPLPTPKVQLLRRPASSSPSNNDSANKGGNGKMKSLEER EEQYRLARERIFGPGSGSGSGSGSGTSADVDEQEGKISRGNSKNGSGSGSGSKRGSPI PIPNKDRAQDPWDGLIPSQIRSGSNTPNPNGTRTPNDRQRQTQSPKVSDPRGGGVVRQ PIGPGDGVGFGGAR I203_05719 MRQKRAKTYKRVMALYVQTFGFRHPFQILVSHDVLLESSKFNMD IVKVLGDVVQGECKPMITQCCMEALYALGKDHQQITNLAKTFERRRCNHRTAIEGNEC LKDVIGQTNKHRYVLASQSQALRTSLEIVPGLPIIHFNRTGVLVLSPPSTATIREKNK GEEARRLEGLKEMEGVVDGGNVVGANQAVSQPVIGRKKVKGINPLSMKKKKKDKQQQQ QQRQQPENQNKKNEGVDVGKKRRREDDEGLEDVEVEEKEKEQIVQVETDTSGKKKRRK RKKKSAVADAIAELNAMNDSGSEGE I203_05720 MSSPNQPRRLICCIGTGGTIASEPTAGGLAPTRQDTFFRRIRSH PSLTSPSHFDSSSVSFSSPVLTQQVGKNIRYPRLITPELDDKGTNVEYEILDLDRHLD SSEMTPSEWNIIASLVYENWDNYDGFVILSGTDTLAYTAAILSFLFLEAGKPIIVTGA QIPLSRPRSDGWSNIFDSLFVTGTLDFAGVGIVFNHQLLRGTRATKSSPNLFSAFTTP CVPPLINFNVKITYDQSYPLTTRSANRPPPLVPLLTSPSVLSIHIYPGMTGSLLKAQI DAVPTCKAVIISAYGSGNLPINEHSGVLLSLKRMVEKEILVVVISQCGIPNVYPLYTQ GRTLLSIGVLPGYDLMHEAAFAKLIWLVSRPELTFKQRQELFETPIVGEMSK I203_05721 MSAGNRTTFLSTTRPPRSITYTSSAVGGTNEETLTVDVEPGYTG TGQWARFNLQVTSPQGQGEYMWKTSMMNSRAHTTNAQGALMWGSLEAAMAAQGIRGDL QNDVKLDVSEALSFYHAGRNPQR I203_05722 MIISTSLLISILSSTAVAFDPSKRDLHPHNINNPHRSNFKREII SDASKVDGNAYDFVVAGGGVAGLTLAARLSEWSNVTVLCIEAGGDGSDVEAKIDTPGY SYLNSLTGSAYDWAYNTVAQTDSGGATKHWPRGKGLGGSGAINGMFWGRPSEAEFDAW ATLNPNTDETWDWDEINKYIKKSENYTAPTADVKSKFSMTSDPSLHGSGGPIQVGHTQ YIFDEVAKWIPAWITLGFQSIDNAAGISRGAWLSTSTINVKNQTRSDSKAGYIDPLPP RSNLVILTGQQVTEVLFNGTKDANGNIIASGVTFQASKGAQSYSVQANKEVLLAGGTV GSPQILQLSGIGPAETLDGLNIDTKLDLPVGFNLQDHVSYSMYWSTPQGTFTWNNLST SADLKASAQTEYDNSKTGLWTYVNEAVGYPSMNDVMDSEEEATSYATNIADQMDTTVA SVKSWLDLPDTVVTGLKAQYNIMQQWFTSDVGQLEIILTMLGKGGNEMGIQVALQHPW SRGKILISSTDPFTQPDINPDYFGVGYDIDIMSYGSAFARRLAAASPLSDVMITEVYP GPTVTGDALNNYTKTNCGTEYHPMGTCSMLPKDSGGVVDTTLTVYGTGNLRVIDTSIA PLHISAHTMAVTYGIAEKASDIIKKKHWKQVIQQNTTSTTAGSNEASTASAGKATDTS VTNANADAASSSSSLSSGAKIGIGIGVGVGAAVGLAALILFFCLRKKKTGAAANEKGW YDQRNVQGGWDDGAAGAYKEGYATPSHQPQAYPMAAFDNHGSSSVAAPFAAHSRTHSV DTMATADLASRIPQSHSGFGLSGSSTPYRDDHSDDGHHYPAPQGAAAAWPNQQTYQPV NIR I203_05723 MSNVNITFPKPSPSKPGVDGNPNTDENEENESIESIENKLGIER RVQYGAEKMLDVIEKKGSADGGDQEKVKENITAQLEAANERIKALEHKLEKLRGTPQR TRRRQQPRLNGYPSNSSLGAGGGGGGGRYQPSLSSSLSSSLLGSTVRPKPPRFYSNLT PEKSDRDKDLFEYPQYQQSKGRPSTGRRPRSKSAGEDAFNHNHSSGDGNGVDENFEIL LDNSRRSIKRLRELGGGKGKGKGKVRAAVQESRRNEVDDEPYDVMIYLSEISKANEGL RMVIDMDEVIQSVIPFLGDVATPRQRAAGYRLLRFLLTRQAWGKMIASGMEWLIIRTF TRDSKAVHEREQALRLLRAVIVLPPPPPSRPASSPLTSKNERVPLTDGLVRAIVSAAE NPDDAMRTVCMETLVEIGVLDIRCLVHSNAFRTVLLAFKDGPSELGPAITGLLLYLVN QPSTRELLLPGGDLEAVLVGLTEAYGKIPTRQHARHLENLQNTVRNIGMLLSSWCGVL YMCMDDYRAVKSLISSLHVPNADMRNALMDLLFVSLRIKSPTWTNAFLDGKRLTVYTR TQEAATQQLVDDSIEEEDAQGLTLVDHFVALLLTVFLEAGLLEALLAVIEEDSGLLNR KATLLLGEILQMANRVLPLRFAAQLQSLPQLFSEATDFKNPGERMAALSALSSIDSLN RNQSKAGKKAARDKTSALASQQDPLERGQRQVQSVKLRLGLQVEDKQFQQMIVDSGLL LHRDHTKWNYEIIVDLIEGPLLNPKRLDEAIKATKFVRRLFSFFHPYNYRFADIKRTR PNHKWVKLGCSLISTMLSSPEGIKYITEDKLLKQMLDCFNELDQYVGQPTAQPIFARD RLENTLTYGYFEMIGTLSRHREGMKLLEKFKFFTCFYHLSEQRSRDDIIRIIIECFDY TLDAHPRIVLSKALTSSYMETRLFATHHLGRLIQEQPNLLDWGLQLMITQLYDTSMEV CDVAVMYLEEACTDPNNLEKVVQLRPTLEHLGDVGHPLFMRFVSTSVGFRYLHQAQYI ERELESWLVERNLLYVIEAETFVSKTIRPWSTDTVEDYWIYDGPAPTHFLGEMTKTPE GCQLIKEKGIVAEFAEIVRLHGMEASDQGVLTNLKSVLWALGNIGSTEGGLPFLEDEE IIEDIVEIAEQSPILTIRGTCFFVIGLISISRMGAEILEEFGWISTRTPLGHTTGLCL PNDISRFVHIDVWQRPDHESSYPSLPKLTGLEAEIMTSIANLSNYVLAAGAMNNLKKI RNRHPRYFSSITLFHRALRSVSTNHYQAPVRRFILDLFEVKLDPEILTQLVGVESMSW RIRHDSLNDDKDQDLFARHTTTTSSVDGRSPTGDIERRSRSRSSPGPLGLIPKPKSNE GIQIQNRGRGKTFSGSSNHSNGNGNGTIYEEKDTSTPTTATAKNGKYEFENISPQKER EKEDVSRPQEMTRQNTNSSISQSQSQRSVSLSASSTSELDDEEKERQRPISQVRGFGI PSLAPPNDDQ I203_05724 MLSSLKSIEPKRWFTKDYWYLDTPPASYATRDGWSNADVDVVPV DQRNWRAIHYLFLWLSDGANVGTMQQAGSIVAMGLSWREASAAIAIGNIIIAAAVALN GTIGSRHHIPFSIASRASLGFYFSYFAVVSRLVLGLIYFGINTFIGASCTLICLEAIW PQLKTYPNTIPASQGVTSNKMIAYFVFWTIQFPLVLIHPRKMRWLFFVKSIVAIIAAF ATLGWAVNTAGGSGPVFSKHSTLTGSTRSWAWVAGINVAISGKTTLAINIPDLTRYAN KTSASYWQLLFIPIVYFTFSFIGIVIASAGQAIYGTLYWDPTMIIAQWTSRAGAFFVA FAFALATLGTNISTNSIAASNDFAFIAPKWLNIQRGAFITAIIGGWATCPWKIQQSAK ALTTFLSGYIIVLAPIVAIMISDYWIVRKTKFHVPMLYQNEGIYKYQYGTNWRALVTL LIAVPINLPGLINAINSKVDIGNYSFFYKASWLTSTAMGASIYLLLSFIFPPTSTLVD KTVESMDEDFALADSQAWETEQDKEKRSSGGGELPESSSSYPIVNRV I203_05725 MDPTQAGPSSPKLQSSSESGASTPSPPIFASIGTVLIDAFDSPP RPILDVEPAPEASTDSSALMKGITGLPTPPTPNTDTPSSSTSTIPQPQTKSFFRPIAV PSVSNLVTPKTSPVPSAGPSTPQLSLDDVPIPNADEVYEMLGGGGLYALVGARLWVTP DKLRTLVDRAPEDEESDVPKEAEDKLNALGEDIWVWNRGKGTKMTRARIRYEGDVRFF QHVVKAPHRTMEQLLASPLCGAKYLHISPPWSPEDVSGLLDEMNSLKQKKSNGNSDIN TTWEPKIVFEPTPPSCHPGQKEWLEKIVPFIEVLSPNHEEIFSILGISPIKITDPRLL TTVEEVIKHFIYTIGIGKNGKGIMIVRCGKLGSCVGTKDKGLKWCPAYFQEGESHSKV KDVTGAGNSFLGGYCAGLSLTNNDPYEALLYGTVSASFVIEQFGLPTLTISDGVEKWN DDSPQKRLEILRRRVDP I203_05726 MGLLTIIRKNKAKSKEMRILFLGLDNAGKTTILKKLNDENISGI SPTLGFNIKTLIRDGYTLNIWDVGGQRTLRPYWRNYFESTDAVVWVVDSSDRMRMDDC QSELKGLLKEERLAGATLLVFANKQDLQGSMTLEEIRDALDLKSIISHRWIVHPCSAY TGKGLEQGMKWLINEVAGRLYWSGIQSTTTSTNQGVALSQVGPVS I203_05727 MAKTYLLSRTLDPILGVFTGLLAFHLNETNPRSAPSPGHTLREL VAWKWTQSKEMRQQRDKEDEGDWERVTRELGVAEGERNLQFIHGKADDN I203_05728 MFLPSLRASSSKLPSAILNSRMISSTTRVMNKAPLRASAETPTP QDLLSKIGRNADTKLEAFAESWEKLNELWMRTVKLYDVGLSVKERRYLLWAFSRYSQG SAPSTFIRPPRPPKKFRG I203_05729 MDANTLTGLVKELNTANADGKTDEVLRILKKLKAEVEPSEDLLR SSKAGVAIGKLRLSSTSSISSLAKEIVKSWRDVIEENKKKRKRDDGDATNTKKEEGSA KRVKAEGSSTAPSPSAASPNPSTPDIKNETNSTSKKSPSADSPRQPLSTIDSSRTTPR TAKSDGVDKSLRADSSDGAADPVRDKCVVMIYDALAGDSTAQNKILTERAVGIEKYAH KALNYSTGNDYRGKIRSLYLNLKDKGNPALRNEIVLGYISTEKVANMSKDEMASESVR ALNEKIASDNLFKAKAVGETQAETDAFKCGRCQQRKCTYYQMQTRSADEPMTVSENFR PNMEIENAD I203_05730 MSLSSFLDTLRSQRYSLLPTNSSPSSPDLLKTSSSTKKRLFQAT AIGLVLLVITGYTLRSGGKNGVQKQVVDPYDQYTDPNFHSGYQSGEPLSPPEVDVGSE EPPTSSSGKSSTSNQIDTTSWGLTEEMGWRPPKVGLKDLGEVAESRYRLGFEAGEEGT REYYQRLYDFALALPMPLHSPLLSSLFYHSPPHYDAVVPSYPLSSGTRRPPTSMISYK YIHQTDKEFNLDNDLTKIWTEMNKPDGWELNFLDDNQAHEWMLKHFRKSDVSWAWDYM HRGVLKADFLRYLLPLIMGGVYSDVDTQPIRPIEQWGHNSVEYLDLSSTDGQAWKSKL STNPAVIVGVDVDVHAYEGWENGWPRALGICQWTLSSSPSHPIFLDAVRRVVNATRVV ETWENWRSAEIEKLLGEGREADAQELQNQHRDMAMNVMEWTGPGLFTDSVLSFLLARY NVTWHRLRGLNHPLRIGDVLILPITGFSPGGQPDFGAEGPDSVQANVLHNFRGSWKGD GARKK I203_05731 MGNLGGGLHSIDWNHTNLTKFEKNFYVQDPRVTARTDAEINQFR AEKTMKIQGQNVPRPITTFEEAGFPDYILSEIRSMGFTAPSSIQCQAWPMALSGRDLV AVAETGSGKTISFALPAMVHINAQPLLAPGDGPIVLILAPTRELAVQIQTECTKFGKS SRIRNTAIYGGAPKGPQIRDLQRGVEICVATPGRLIDMLESGKTNLKRVTYLVMDEAD RMLDMGFEPQIRKIVSQIRPDRQTLLFSATWPKEVQRLAMDFLHDFIQVNIGSMELTA NHNVTQHVEICTDYDKRQKLLGHLEQISKENAKVIIFIATKRVADDLTKFLRMDGWPA LAIHGDKQQAERDWVLAEFKSGRSPIMLATDVASRGLDVKDIGYVINYDFPNNCEDYI HRIGRTGRAGRKGVSYTYFTADNSKQARELVQILRESKSEVSPELEQMAMYGGGGGGR GRGGGGRGGRGGRYGGGGGGGSYGSGANGYGGGGSGGGYSSRW I203_05732 MCDGSADPSSSVTNFVNTPGDCFTACRTYGQAAFSVYRPTSQFY CLCAASSTPTNTQGTDPKNCGESGFFLYSHSAASSASGLARRQLREKLDVARKERQRR EKFCPKGLIACAVEGWDSYECIDTSSELESCGGCIYGEYGSFFNTTSTGTDCSALPGV SLGAGTCQVSKCQAHACKQVYELIGGQCESQI I203_05733 MTRSISVSLYLAILSLTSLQASSYTFIECTDIYKYKPQPDDPKA HYAGGTSAGCATYCSSLNTPYFYNQYNTGTCYCSSVTPSANQYTYGSGDQAGCEGSDY EIYAMKDPIMSFKLEGCYTTVTTSQHPGNKPTLEACFASCPSSKSVIFSPDSDTNTFD CKCDPGSNINSSGGGTTTCGEYAWFAYSRSLSKRRMEGKRRLDKQMAFDQHEKECREE EEDTNDTLSDLTNVVVETVIEEIGC I203_05734 MFLSNKLTLSGLALIASVALHVSAASYTGCVSSYDDASIQASEI DVPLGLCTGICSEVGSPFMLYQSSTGNCYCSSTSQTANRYVQGADNQGGCANSANYQT YALKTTFEFQGCYSNMETDNAPDNVDNFEQCFASCATEGSVMFNPYALAPSFGCRCNA PNTIQGGSGNEVTCGTYTWYTFTHTAEAAASDLARRMMKERLLQLKRESQTLCPQGNK ACVVPGSASYECVDTRTELESCGGCLHGEYQATSNVTLGTDCSTLPGVALGAITCSNS QCEAFACKKGYELASGLCVPIA I203_05735 MEQYPDGYVEVKRPGAGGMDDDQYSYRSRQYSQDAPQPPSPTKP QLPHASSSSNATSSSHYNHHQDNRHHANTISNPESANASRPSLSNDRRLSSGLGGGMG SLGSVKTAAQEDVVPVGFDEGILRGLCEIDGALPLLADRIKQSIASCKQVAVFFRSRS EIEEKYARSVTELCRTTGDVYSRADCKAGTFVSSYQSGLKLQEQLAQNRLRFSQRLNE MSEELLSLAREGEKMRKVHKDNGARYQGILQESETVMDKAKSRFDATAEELERLLVAK EGESFKDAGMRSSASTSSTSTSSNPQGGGKRALGKAMTKGGLLFKGKGAGSIQRQEDD VRSRMAQASETFRKAVLESQALRQEYFNFQLPKILRLLKECADELDLGTQYHLTRYAF LYESTLVSEGTTLNPMSAADEGPGLKTIYESIDNRTDFKSYMQNYAVARGTPKGPRRD GPYEEGFNPGQNHTYDNGFSEGWVPPGIPASTGATFGVDLDEQLNRDGVEVPKVVEKC AQAIEAYGLESMGIYRLSGTTSRVQALKNALDKDIDNIDVMSDEWSADINVVSGVLKL WFRELPEPLLTYGLYHSFIEAARYDNDRLRHIRLHEQVNELPDPNYATLKYFMGHLDR VRRKESINQMSVSNLSIVFGPTLLGAPPEEGGLNLEHMSFQCKAIETILEKYNEIFVE EDGGSIEGEQPQSAQA I203_05736 MAEDKSYVLQTAGFDARFPNQNQTKHCWVSLDLCLPSHPSVTGD MFILNGSGVDQNFVDYHKCVNAKGEEFAPCQQFKKAYRALCPNEWVGKWDEQVEAGTF PASLKP I203_05737 MSRKLDNDNPTIFAPTTSKTSKRIQKGKASLWSQDALSDEDELG YSSGSGSGEEREDIDSEEVYDLLRSITDPEHPVSLEALRVVNQDDIHVAGNRVLVYLT PTIPHCSMSTLIGLSLRVRLLRALPPRYRVDIRIKSGTHQSEHAVNKQLNDKERVQAA LENKHLLNVVEGCLSTADKRGSA I203_05738 MPDTTTIPPSNSPLPISTSSEENKSSPTPVPAATEKKGLMLPPP IPSKETKGDGAVIPGPPPRMTRLPSLKQLSDHLHYTPSSSSTSQFPSPSQSSIPATPP SLRIATGSLPQGTPGGVSQSPLIAPSPSSRLRLPASAMMRSLSSGSNGIGTPLETVHS PNWASRGMPRGTDIFGNNPNSNSDSKSSSPTTSKNADALKESPISNITPQTANTNAGV KIMPGRENAPSMSRTNSSNSYIQGYSNVPSLDQIRRRISISQGSKPASNDVPAAATAA ATILPSGIVDSPATATIPSGRKDKVESPPQSGSLTASSSSSAESELVNGKKKKEHPLR HAWTLFFDSKTYKPDASTLAQSQKEGGKALTEYEMTLLTVGKFDTVEGFARHLNNVRL PSLLNKNSNYHMFKNGIRPMWEDPSNANGGKWVILFRNSPPTLDVAWANLTMALVGEM LDEEDEVCGIVASNRPKIDRIQIWTRSRDDHEKLNRLGRKVLEIMNLDGKDRECMSME YQYNATNSHPPSGLFLHIPFPDARTPLSTPTPNRLSAPVGLGISASSSSPRLGTLTPA GRSPTNATFSSSGTGMLEPPTNGAGMTHSLSQRRLSGGAGANAFAGPMGGAMGRIGSS SGLGGGISRSSSPALSTGSSPTPTPRALKG I203_05739 MTISEILNTLPLLPSWARSSPKTGYAIYTVEAIIALLLAAYLYM WPWREYRLPYKNLRGPKSDHWLWGNVRTFIKSEPMVPHGRWIKEFGPTFRYRVIAGMP RFYTADPVALSYVLNHSDMFPKPSQTRKGMADMLGNGVLVAEGHDHKRQRKLLNPSFS PLAIKGMIPIFYDKAYELKEKFINLIENTENTEGDAASPTPPKEEDLVKGTRKIDVMS WLGKCTLDVIGLAGFNYDFKALEDPHNELAEAYRKMFSAGMEVTVGAIIQALFPVFQI IPTQRMKMVKESSAKTKEIGQKLIDEKKRAILASHEHGIEKKEDIGHDLLSHLIKANM ASDLRPDQKLSDDEVLAQITTFMSAGNETSSTALTWILYTLSQHPDAQKRLREEAMGV ADERPSLDTLNSLSYMDAVIREVLRLSAPAPATMRECIEPTVVPLGTPVKGRDGKMID SVHLPKGATMMIPILNVNTSGLVWGPDAEEFNPDRFLKPASSTESNANSVPGVWGNLL TFLGGTRNCIGYRFALAEIKVILFVLIRGFEFEELPSKPEIERKASVVMRPRVKGEEK AGLQMPLLVRPLSG I203_05740 MDSSNSPTSSSSSSSAPIQPLRNPKYRHVSKSAAKRESVQMLGS IKDLQLHFSRAGMVEYRPGAGVGVKGISLSSLGEEAEGEENRPPSALGQSRRSSDRKP YKEVELPRIDPEDARREAKDIINDVRGIWGLSLPVPISPNSATTSGLPSSKSLYFPVN FDDEDLGGRGEMRTSEDIQNALVRTAQSIRRIRFLALSISHQHNSVRKVSGGSQASSL FPSRLGGAGKLRSSLSTPSRPSTSKPLRTISNPNTANERNSLGILDEREDTLGELRKA ALEVLTCLRELEERLRVSREEDRVQTPTQERPFSSNSEEQEQEVFSEPDNYDSEEDEL YNPNNLAMNSEDKSTMTNWEDRILSERREYKDLNDNDWEKEARNTRENMGKWVGIVER LFVFVDESDGQDGGQGLENWARDEDWQNKGLEQLHAFLISNLPLDLALRLPSTHSDDF ESSLLSRLSDGYILIDAYNSSLMKSSKPWGFIPDGDVHDTLTSLSISGQVSPSKIASS SSEEGKKEKEWTFRKVGNLTCFGAALRHRYQLPISMPTTSTNLPLPPVAPKSSLRRPR PSIVTSSSTTAAEERIEFDPMIVAKKGQGWDIMLKGLIERWLSELVKEVRESKSHLFI PEDEAGRRAERGGMI I203_05741 MSAAGIPQLDEASKKELEVFLEQEQAKAKLQASIHELTNTCWNT CITGSISSKFSKSEAQCLENCVDRFLDSSLFIVKQIEAQKQQI I203_05742 MSDYSHVPGGSLKFKGQGDKKKKKKSHSSGGRTKVEHEIKLKEA QRRDRDVSDNDEEEEDQEREGSERERPKKDESKMTEAERRFLETQRKRREQRVKELAK KTHKDRVSELNAKLDRLSEHHDMPKIGPG I203_05743 MAPIPNGETAIHVVEPKTKGEKSKKQHSQVVIIGSGPAGHTAAI YLARANLEPVLYEGMLANGFAPGGQLTTTTEVENFPGFPDGVTGTEMMDKFRAQSERF GTKIITETIARVDLTQRPFKYWTEGEEEEADFMTADTLIIATGASAKRLFLPGEETYW QSGISACAVCDGAVPIFRNKPLAVIGGGDSAAEEATYLTKYGSHVYVLVRRDELRASK IMAKRLVSHPKVTVLWNTVATECKGDGDLLQSLAIKDTKTGEEKDLQVNGLFYAIGHE PATSLVKSQLETDVDGYIKTVPGTAQTSIKGVFAAGDVQDKKYRQAITSAGSGCMAAL EAERLISEEEAEDDEIKTEDVHVPSEGYMGADKE I203_05744 MDAGYDALEEAASSYAKTRDRDDESRGHRSHRDRDREHDRDRER DRERRHRDREDRDRERDRGDRDDRYRPSERDRERERERGDRYDRDRERERPRRRRREE DEFDLAAEPMSGGGGGGSGHRDRRPRHEDPYAQPMRGYSPPRRSRRGDEDDWRASRER SRSRERRRRRGGDFARSPTPPGTVPLEERHVRNSHWDIRPAQFEGVGAMEAKMTGMFT YGPGRVPPPASLGVPNQLMAGSFPPANPQRQTKRLYIGGINDRMSEQQIQDFFNKLMR EHNLAVDMPGEPVATCQINNEKNFAFAEFRTSEEATAALQFDGVMYEGHPLRVRRPKD YSGVDPLASSFGVPGGSMVDSPNKLFIGGLPTYLNDEQVIELLKAFGELKTFNLVKET GNVSKGFAFCEYVDPNVTDMAIQGLHNFALGDRTLVVQRAAVGRNQNNHHHNHALPVP NILQSSNNEGPATRVMLLLNMVTPEELYSDEDYQEILEDINDECSKYGEVEGVRIPRP TPKNKKWAPGDSAAVSAEKNRQIDEENGVGRVYVMYRNVDDTTKAMQAIGGRQFGGRT ILVANVPEEEFLGPAPPPPPPPEGEEPSAPADSDSPPPPPPPPAEPEQDLDAAAADAV KDIMAGIL I203_05745 MSSSSRVPMRKAPASASSSRGKAQIPVRKGQRVGTNAKGTEDGY LYVAGVRDPSKRVTEYRTEQDVCPICHTDRQFNQNLRLLVSPCYHKMCESCIDRLFTL GPEPCPQCGRILRKVNFAHQTFEDLKVEKEVAVRRRMAQVFNKRREDFGSDKEYDNYL EEVEDLTFNLLNDIDVEKTEKRISEFEQSNASLIATNQEKAALEAMSQAEREEVERRA REERMRMVEEAERVEKEEEERVKKEVTEALAKGDSRLARELEMQSRTAKQLRQEALFK FIPPSLLLQQSTQDEIQHLSPLSPNYNGPFVPLPYSDPDTAHYNQWYELKVDGDYVDG RLGVIFAKTDERVRGGGWDLGLFWKMEIRAAVEAVGVEPLV I203_05746 MASPSTQGGPGPSTTSQKQKRIQATRSHSSPSLTHWIGTPEGGM KRSWIPSTSPGVAITCMEDKKGVGKVDKGKGKDTGVEEGLSQLYVTHEKQELSSTSST SNTRRRSPIKKFIVIQSNESRTQSQPESGLEPIPDKHGNVIADEVPKAQAQRNSWGSS LMGSVVTAGVFGAALGLTAYRLLANQQPNGTSVSEVLRDADVPMEAEGIENGEAQIPT DEEVQISRSTHQSQDEARISQEEEEAGLGHNGFLASDIDPDVARATASIPDHRIGEPV GNNVDIGQNPISDLPPPPAYEETVQTDSKVKEWEDVEIDDHLVTPSSSKISTLRSKPS SMFLSPSSSPSPKPRRIRHARIRRSRSSRNGWMYNHPLSDSRSLPSIEIYRLTLDDEE IESTFSASPNEIVKTRVKSPGTDETRDNEGDLKLSRDRSDDLNGQKEEGQADDERSSE MISRLDLMSLQLTALIEEGKKALESTPGLGTTPRWEEEGNLFTPSRENRKNVGVDELR ESNIDRSNTHKKTRRESKIPMRVGSDVHLKHSSSSTRLDTDKGHRKIASSSEVEGSQR EVSKSKIPIMSKSRSVVSGLNGV I203_05747 MLPTLPLTSLLLFASITSSAFANPTTIPLTLHHSRQYSDDLEVR QEWLKGQASGLRKKYEQHLGERSKDLLKRDRTNEELSKRSPLGRRASGSVQLTDVGID ASYSGQVTIGTPAQDFLLIMDTGSSDLWVAGSTCESSSCTGVSSFNAQDSSSYNTDNS AFNISYGSGDADGYLATDTVSLAGFTVTGQTFAVVTSTTARLISAPLSGLMGLAWKSI ASSKATPFWQALAASGSWSDPEMGFYLARYRGDNSASSVESQGGELILGGTNSSKYTG SINYISIDQSDLDYWRIPAQAVTVQGSQVSIGSNPQAAIDTGTTLIGVPSQVAEAIYS QISDSQALSASSGYDGYYQYPCDTTVNVTIQFGGLSYSISNADMNLGSFTRDNSMCTG AFFAMDLSSQSPIQWIVGASFLKNVYSTFRYNPSAIGFAQLADGFSTVSNGTSQSTTG GGTSGSGGQSSSSGGIPVLSISTVGLVMMICGMMVNLIQ I203_05748 MTLAGPRAPGPKFGQSVAPNLNVRHLCPNCRTDPPNIIEEYSKG DLVCGDCGTILGDRIVDTRSEWRTFAGDENGDDPSRVGDAGNPLLGSNHLDTVISHKD GRSGIARDLNRAVQRANNLSNGINGKTNTAILSAVFSRIGEKCDAMQLPRGVRERAQH VYKIADEQKAIKGKNENALIAACIIYACRDAGAHRTFQEVCKALKISKKELGQVFSVI KNAVQASDTFQRSKTGTGHGFSDGSNNAQESTEALLGRFTNYLDLGNAVFNASKHIAA EATAKSTIDGRSPVSIAAGVLYFTCVLLSKNASAKDIAGMGGVSESTTKLICKMVATK LDEVIRPEWKPEYAAGYAQLAQLGRVNDSARNSRSGTPSNGKSGKTSTPAPAPNTNGN GNGNGEVKSSSTLSQEDAKVDGDSKANGNGKT I203_05749 MSGSMNQFTNDEYVLAYHGPLLYEARIILAENWDENNTLLGTVG PHYFIHYKGWKQTWDEWVPEQRLLKLNEAGFAKRRALLDAQAKKNRPPSAAESSTASP APATTTTGKGKEKGKKGESKKRSRESGVDTEAAYLNRPEVKIVIPEILKLQLVDDWEN VTKNNQLVTLPRKPNVRELLEEYRQYVNNTKKAQERSARATSLLSEIISGITLYFDKA LGNNLLYRFERAQYVEQKRQNTDKPMSEIYGAEHLLRLFVNFGPFIAYTNIDTESLNI LREYINDIMKWMIKEQKRLFVKEYEATSTHYQNMSRT I203_05750 MAETKPPSSAGITSLRGQNLNAVIKSVDMSEEMQQKAVDIVVLS LEKHDVERDMAMFIKKEFDRLYGTTWHCVVGKNFGSFVTHETKNFIYFYLGPVAILLW KTS I203_05751 MSSNVIHKTDEPSLTFGHPDSSVTELNIVGLHLRVYGLKELEGS DRPLAVLIAAHGRTNSQKNMITFSQGILGEVYAKPKEERKRDLLIVTLDQRNHGNRIT DRKANLAYDENPRHLIDMAAKVDSLRSDGGAQDIQLIIDFLAAYLFPMGEKKVEEWIV TGISLGGHVAWRLLREEPRIRIGIPIIGLPFESFPKYMGPRAESLNIPFEPPTYPPSL VPVLEGDVDHSNYKGKKILTIHGGHDKLVPLEKGLKDIEKILDAVNDSEGGKADIYVD DKTGHAVSKEMVWKTAEWVWEYGLRG I203_05752 MLSSYLNTLFVTSAIMSSLALGAADHWIVTQLQTLTISRMDPIV NPNDVSGHVHRVVGASNFNWHLNDPQTQLDAACSSTIIGDDKSNYWAPQLYYRHPNNT FTPVLGGVRVYYFNKNKDVQPFPPGLNMISGLAMKRDLSATQSLGIKISCDHGLQTQW LPNGTSHPGGCGSISMGIYFPSCGLANGATSSDDHFSHMAWPQSYDGPNLVDDPNGIV CPASHPIKYPTIFAEFNYYLDAAHPWRNDECTLVLSNGDCTGNNFHADFVNGWVPQTL KDAIAQCGFGKGPGDNLDACAPLKKTKSEPNSWECRLDGKIPDEEVGLWRPISQLPGC NPLWKNGVTQKPGCSSTSKPGYVFPNAYFENLKFRNKIPVALAELKDNADITTFIPSV GDTGAGRLGWWGSEGSNKNQLTKGNWQAIINSLAPGTKPPAIGNMITLTTGQTEDEEN TVEAQDHETSADTDDESQSEANTPPVLAADPPASEFTTPATTTAEAEGSESTSAKYCK I203_05753 MLKDIVERPSKPPSAPSAPTPGGAGFPVAVHRSQRPSAFAKARQ QQAARQSGQTSRVLGGGKAVDLVPSIGVSTTPHNEGVSSQGKLSEMEQVRQSVEVENV RRVEGMSSAEREEEVEELKERFGSGIVDLMKKRKEAREGRSPHVVEDIPASNESGPSS RPLDLTDSHKILDEVSEENRRKVESMNDLERDQEVEELQDRFGGKLMDALRKRAEARI ASRKGKERQGDGQPADAAPTTRSSDRSPTSSTTAPSTPAKPRPQPRTDPDDPSLSELK AYFPSVPSESSKLAWLQTLPPSTSSSANSPRFDLSGNILSAAEQSELPSHLGLHHHGS SPDLAGYTIHEILYLCRSTVPSQKITMMGLLTKVLRKCKEGKYDDGVMKEVEENESMK KAIDLGVEILAGLSRGIGVIESGVELLYEAVNGSSWTWIDDNRDQDGPVRFTVDENIL SIPFEDVLPRLKELLSIEDGLSTITIWQILRMLRRATYTSKDLCEVICPIISSIIKVQ VVAKPWPPTTTTTTSSLNRSYPSIEALRLLRDMIVSSRECAEDLVDQGIFEGILKFVV TATWDDEQSNSEYTSYSLQLALEVLQIFTSLGKYGLASNTLTSSSELWTLLGKWVKNT VNKPDLIKGEKRLSEGHLKLLEIWITCAIDPHRTTPEHDLTWAQVSAMNYQDEALSIL QSSKDYDILAAGLELLGAWIKGAKINGVRGGEEEKGSLLEALKETPLESLVLEITQKD ACARSDGRLLAAAVQVHRLLLSSRGLFSIDTIDGLQAKMPTSSRHSSRYFTYLQYELL SHTVPTLITSEWLSHAFNLFRQFQIGDEPLALDLLDIFLKSDSSSLLPEIKEIGHPDG TQILRPLLQYSILPSSESVVGPHQPTHLYLKATTTLRPPALVESEEKPALPGLPLRGD WFFCPLDELLRSGTSEALQQIPPDWNATEVQITRATLVLAKLFYVNTNGVKGLGSKDR ASLILGLMKVHMLEHGQTSSNVVDEVEVFRDNAVSGLMRDLMGLLTTKTVTKNEDEDQ DIEEVGDGDVNAQGQGELEIISIPFLGTGVPFYQFYQDFLQLYESISFSDPLFTQLLL PVLSMDYSRDYRKLIWVDHHSLLKNIRTTLSQVPIIGRMKDYYQPLETDQEILTSYAR AVVSGMINREKNEFIWSIAINHLSGLFWLTHDEEMKEVRVGLMMMVLSKGSDDLVRSV LKWDFDMLKLGMSGDLSEEEKAKRNDIAGKLAGARGSKRIEGMI I203_05754 MPAEYTLTKDAPAPLPGIYSQAVRAGSYVYTSGSVGMTKEGQMV EGTVQDRTRQVIQNLDAVLKGSGMSLKNVVKANIYLSNLSRDFNAVNEVWKELMPEPK PARTCVGVAELPAGGTDVEIEFVAYDG I203_05755 MKSASIAALAAIGAASVSAMPSSYAAFKNALHRRADGPTDTQVL QYALTLENLEKRFYADALSQFDEAAFEAAGCPNWVRGRLSQIAGHEADHVSLLSTALG NDSVAECTYNFPYTDVKSFIGLATLLENVGVSAYAGAAQYISNKDYLTVAAVILSTEA RHQAWESSAVGGSNPWGSAYDTPLDLNMVYTLASAFITSCPDSNAALPVMAYPTLMVG DGYAAGSQASFTFDDPHNATNYVIFYEGLGSRAVQLDENDQATIPSELQGVVYALVST SSDPMGVTTDNIVAGPAILNFPFGAWAENPAFAG I203_05756 MTTKHTEVVSHDDDKPVIEQIDNINTELPPHPDLEDGNTNTKKK TANNQLDEAQEILARSGHVEYTQEDNKRVLRKIDIFVCIPMCIVYCIQQLDKGAVAQG AVFDLRESTGLKGSQYSWLNSIVYISQLVCQPLSSYALVVFPVKYWVMFNFISWSIVT ICSAAAKSFAGLMVARLLLGAFEATILPSFVFITQMWWTRREQSYRTVAYQIANSLAS LFGPLLAFGVGHVKSGIKPYQGIFICMGGLSLAMVPLVWYLLPNSPTTAKFLRKGDDR LIALERLKENQTGTKSSTWKRNQVWETYRDPKTYMWAAMYLCTATPSGGIGSFSGLIL KGFNFDSFQTTLMHMPTGVIGILTLWIGIWFTNKFKARWIVILIITLAPIAGATAICR LPRDNTAGLMASFYIAYILAGIQPLLYSWANLNAAGTTKRVVTFSTMFVFQCAGNIIG PQVYFEREAPYYYTGLYVDIGCWSVLVLLIIAMRIYLAQLNKKQAAKRAAIGMPAELK DMSIMTIQEAEVYKNELTEHMKVNGLDQAKLYENAFDDMTDFE I203_05757 MSNHPFSQHRLKSEPTTEITTSHTSLLEHTKSNEHGSSPTSKKD IHIHAHDGIIQTGHELPLLSLNPNAINPNTTPAPPVQTKLYKRRFFVLAELSLLNVIV SWCWLTFSSVSGTSSGFFNVSESTINWLSTGFLFAFVVASPVVLWVLNRSTKAAMVIA SSLLILGSWLRYLGSKVPSNHNRGFGIVVFGQIIIGFAQPFVLSAPTRLSHQWFGDRE RITATALASLCNPLGGALGQLIGPFIATEPDKVPNMVLYVAIITTVISIPSIFLPSSP PLPPTTTPFNDTKIDLRTLKVIFSNLSFHLIAWPFIIYVASFNATSSLLNQILEPYGF SEDQAGIDGAIMIVVGLVAAAIASPILDRFTTGRPGVKLIVIKLCVVIISIMYVLFIF LPSTRKLVGPAIISALIGSTSFILLPLALEMLADTTWPIGPEVSSTICWAISQLIGGC LLVSMTALKGHKKNHPDQSMYRSLVLQAVLCCVVAPLPLCLGLWGTGRNSRDPKRVEG SDGIDTSESTENSAT I203_05758 MSTTAEYVAKFPRRKKLLETVEKYWLEEARRPPGSLDSSLKKHT TLLNKLKSSLLVGPAETFIKEIDGLTLTKYLEEIVAAVVEGSTKKGDSEIAVDIIVHL HTRLTPDFLPLLLQPLLSILSSPNSSTTSNSAPANKDAEKDKEKEDKERLSKQRPVLR VLAELAMIGAWAEGPTKGASEVGKILKGFMTGDTQYTNLPLLTTFLKYFVRAYLGPIP SSSKTTQINGDATETVPLPEGVTELIPVEVQKKMRDLFENYFNSASKTLVKGQIRLLE QDRRNHEAYIKSGEIFEDRQQAYEKMTKAVERLTTGVNTLAELLGLQPPVLPTAASLA KSGLQIVESASSFTVREDGPIAGGIWDDEEERRFYEDLVDLGEVVPSALLGIKESKNT NDTATSEEGKQEDEQKQKADEADLQRQLEQMELQHDKNDPTPTTDSQAQEMSRTVSAS TSGEPMEQEPLVVIEDDEQPTIQDTSVNEDDGLQSGPAARLTALLAALPEANNREVVD KLAVEFAFLNSKAARKRLIKFIGEVPKQRTDLLPHYARFVATLDKYMPDIGTGVLQIL DEEMRYLQRKRLVRELDSLRLKNVRFYGELAKFKVAKPYTILHVLKVFLDEFKFNIEN ISNLLENCGRFLLRFEGTKETAKKMVELMRRKQGNSHLDQRHQVMLENAFYMCNPPER VAREVITLSPMQSFIQHLLHDILMKRTLDKVLKLLRKLHWGDSETYEFILSSFTSPND IKFGNIPYLAALVYDLQRYHPEFSIAVVDQVMEDIRIGMEENIFKHNQRRIATIRYLG ELYMYRVVGAGVIFETLWSLLSFGHADPFPVPGRESPIDAVDDFFRVRLACTLLDTCG ACFDKGSQARKLDQYLVMLQLYVTCKAELPMDVDFMLTDTLDTLRPKMPHLRTFNEAA AKVDEILALGTGEGQSAPSIYDESDDEEGSEAGDRGGHEDPIESNEAEVIALSEGNEE EEEDNVVLIRNKEKEQHSEMDEQAQSEFDREFAKILADTTDARRDQRKNAPPVFDTAV PLIRKKDVGEQEGKMQFTLLSKKGNRQQIRSLDIPLDSTIAMNSRSHQAQSKAEQEQL KRLVLQNEWRLERAEVQGQSYLVM I203_05759 MSTQRLLQYDTNYPLYGISFSNSSSHPFRIALTTVSNSPSNKLI VVDHPRSHHHHHHHHHHHHHHSAYSTSSDFQQIASTSLNFPATKVGWEPKESLSGVGY EDSSGRGELIATSGDALRIYEVAREWNNPNDGGYINKNNNGWVDPTSSNEGSYTVKSR SVLTNSKVPHASLPPVTSFSWNPVSPQSIVTCSIDTTATYWDINTSQAKTQLIAHDRA VYDLSWLPDSSDIFVSVGADGSLRAFDLRQLEHSTILYESSKDTPLARIAFSKKEQSV ILFLISLTYKLMDRTCRHMMACFGLDDSKILVLDMRSPGRPVAELVGHSAPLGALAWG APGRGDSTGGGWIASCGDDSQLLLYDLTSPLPESQSRSTSAAKPTRPSTNSNTNSNTN TNSYGNLSPPITPDSRSISRGESSASQRSGNNSSDPMEILPVRGWTAENEINNLAFSE KGDWVGCVSEGRLNVLAM I203_05760 MEMYQGSSTQQPAAICALKSPVDAIHILEAVRLGIVPRVTRRLT GHERAMIRPGTVWVWEEEETNMRRWTDGRRWGASRVGGGGFLVYTESSESLSPPPSRS DSPYGQHNGYYPGPSSSRRQESLIKQTYSTSMTHPVTGKLKKFHVVAYSSKHNPQGDV HNPLPLPHQLPALASLRVTPGIWPEWEHRREQEFGATGRRPPSASSSSSNFIPPSQSA PVTAVSSPNGPPYSVVVPPTAYPSSGQRPPSPRNDGGGGIFSRPFPPPPIYPRNGYDD RSSPALPPLPPQGNIRGPSAPYQQALPPRREQYDNSGYPGRHMSVPSMYPNQPPPGSE RFHPYGMQARNTNRVTSYPPADDTSPATGSPSQGQYPFAYSRPDSRGYETKPNPERLS PETKFRVGLGGSDGNTDPRRYPSNQNDSSNSPNVNGGHSRQVSNASNSNSRGVESSST HSSPNQSLRLQPPQPSNGHGHGSSDLGLAAASGRSPKMSISSTLLNNSGNTGNSNSGG PGLTLPPLRTTLDTPNGNVLPSPNGNGGGVSPRIPSGGSTSNSPNNELKKDWTATTTK GANEDARQLGELGRRVIL I203_05761 MQPSHPHHARPITRRLVNHPQLEIIKTQLGPSADINHVEYRVYN FQRLNNGRVLRGDGWGLRDLVIISIWVWFGWKRSLWLDANTLLVNRHLYRNPQTLMIL GWIISLLMYIYTKCITIIYESIIPLPNLGTQLSTIRGLSFPWPFIPSKRIYIPVRSSN TFVPLSDISTIILNQALFRFSVRYYLGIVKKDGQGVVVAFNNIRPGFEVLREVYHGAR EIMFEEYATT I203_05762 MQSSQGSSSGSGFNSGPSLRPQSQNGQYYSLPTSVFPITGSRPA GVDLQPNNNPQPPIIAHGLTQAEIDRHQRPAHVTGKEAEGEDYRAGFFPIPGNRWSWS TAGNGNQDQPILAGNSVNQSQAESSSMNYDGNMQGEQSKPSTSLGGGGGNVDPISAFL SEMPTRAEDPTRHVLWTELIRLKTRTLELQIAEAKKKEKEAELEIMRLKAGAAAKDSG AGSDNARPNDYENQDMLAGSSMNSHNNEVDHYPVNPQSTPSNIQQQHQQSHSSNPFVP HSQTQIQAQSHPVMSPFDLEAMLSNENLDNFLSWLPDLGNHSLPDISSLAPNTDYSTN NHNNGNLPFSLEHPTDLLPQSTTFPSMNIPITEPNTLPQLQSSPRRRRSASAEETSPA QLQPPNKKSRRGTEKKIVSEHTSSCMGCKKNIARIMIRSPKSTMPEPIIVQLHCTGCK PIDQPSSTNSGIGTVETRKRIRVQMEIDDEEMKVKDRKQWCDVCQRIIASGQILGGDE NESVSSMTEIVCGECDSKYQRCTDCGGGGGPRIGIGKWRMKQVFHPGRKTCSLNHTRL GDRIREMGVHVTPSDFTPEQIKEVLTRCKALWNEKTLARLAVPEMLEVDLPPGLSNPL RDFADVDDIITRNWPSREAMIRADDLDPNKFKRMISLIWSHSKPRRSVRTVDLEEEWS KDVENDDDLSTVLAHVKRTNVVIPPGSELIGMWGGEWNLQNGSLLISTFIPFEGADGE DSTALSVGEMITKVQSLQQEINAERTEKAMRDAKQPELLPPCEHLWTVSGGYIPLVRE RFADILIRKRSFVHVEEYLTRHPEFIESIRARPVGLHPDIHRPLPLTQSASDDEQREK QAKPLILVRWLGKEFDAAKMLEIKQMEFGGGAKKKKRKL I203_05763 MAYTPGEATPLLGPASAGPSSKILSETKVYPLIHLIRVDIMAHI DAPLTYEQLLAPESTYTIVRPLTEKYLELQNQAAVFCLLLNKIQFTRDSNQLSISTLS TARANLCEILAIRVLRGWSERSLPLATVLLTPWALFQGASEEVLERAKEEGDDDLLTQ GGNALEMAIISGSKRFIRSPSCQKVIEGIWSGRIIYSALNAHALIADNYKKKPIQMYN PHKAPLLDHYRLKVPRVRSMLEYVNFLVLFTLYVIAIEGLDANRLNGRELAFIIYALA FSLDKLAAIREHGLKVFSSSLVNGFDLVFMLIYAVYLGARTYGFHYHDQNALELGSDW LAIGAVLIFPRLAFVTLANNLMVLSIRSMLTEFFFLMSVGFFCFLGFLYALFTLGQGK FALSQIGWWLLEVYFGLDASGFEHAHVFHPFLGPVLMVSYALLSNTLLLTVLVAILGN TFATINADAAAESMFRKAVSTLEGVKADAVFSYQLPFNLVAVVVMWPMSYILGPRWFH KVNVFMIRVSSLPILLVIALYERQSYREQTLMEQFGDFAERYVGNLPRRIKAAAGFDN FGNRHDIETVFEIEREVGDFYSGWDDDVFEESDFQLPPPIDSTSPSSSSDEAEEDEVK RRASRKLDYGSAPTSATPTKLMFTDSAAMTASPTGLEHDLETGKPPSEGVPSPKPATR KRTSSMPSQPRSPNIDIRSRHQSNAHALAHSQAQGPITRPRRNSSMQMHGPSPLAQLF MMSPDSDRGFHNRRNSMAGMALSSSHPALSSMLGPNRRQRTILQPTPHQQQQQQPQHV KSGSEGDLLSSGPIDDVDVASKVAARLNKPRLNRPDITPIIESRQASFSGSHTMGERT ISEGSTTPTPTISKATAATSSASTLDQKEDSILGSAATIKSNQSVPFPESFNASAPST TRSVRFPGSPSITPNNSNPPTRLASPSTSNSTLRIPSSSGTGTLSGGGPKSPRPNIIK ASQVEAMQLNRPEEEIGAVNMGMEKRLDGIEKRQKRIEEMLERLCRGLGEKDRERERE SSRGR I203_05764 MAAPAVGVLPVASININYEEETERIRDFLTTYVAPPRSRHAIPS NDDELAEDDEDDQEDEDDLADDMSDLNVRENRSKAKYVKVLRKVANRQKEEVVIDLQD LRNFSNDRTLLHNITRNTRRYIQLFCDVIDKIMPEPDHELDFTADVLDLIMQQRREMN EQVQNGERNEEGGMFPPELMRRYNLYFKPLRNNDVLAVRAVRGAHLGHLITVRGIVTR VSEVKPLLLVNAYTCDSCGNEIFQEVAQKAFTPLTVCPSAECTQNQTKGQLHMQTRAS RFRPFQEVKIQEMADQVPVGHIPRSMTIHLYGSLTRSVNPGDVVHIGGIFLPTPYTGF RAIRAGLLQDTFLEAMNVHQLKKQYHAMELTPELQVQIEEMKEDPNLYSRLANSIAPE IYGHEDVKKALLLLLVGGVTKTVGDGMKIRGDINVCLMGDPGVAKSQLLKYITKVAPR GVYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGICCIDEFDKMDESDRTAI HEVMEQQTISISKAGITTTLNARTSILAAANPLYGRYNPKISPVENINLPAALLSRFD VLFLILDTPTREDDERLAQHVTFVHMHNTHPDLDFEPVEPTLMRHYIAACRRIRPIVP PQMSEYIVSSYVQMRKQQKEDELEEKSYSYVSARTLLAVLRLSQALARLRQDNVVGQG DVDEALRLMDVSKASLYEHQASARMGEDQTDTSKIFRIIKDMASASAQMRDEDDEDYE QDDELEELGMNEVRNRVLAKGFTENQLMGTVSEYENMGVLVRTANNTRLRFVAADEY I203_05765 MVRPTSSLRFIAGQALRAERAKRQPMPENFVEATGNQSRPKNVL LHDIPRTALPSDITRALRDVGAFDESFSVSAITSLPPSLPKSPSLYRTYHLTLPSSKK ANQISTILSTKPIFTLDRKGQAQLTHITSDEWTNTLVQRTLNDRTTYEQQRDRFEKTF TADWCNKSGLSGRRVIIKGLPPSVRSEDIKKLGKDCGVLDEVDGCTKLPSSRSSIVST YCLTTNTVNDAHRLARKLHMKWYKVDIHGQKWLMRAHVHY I203_05766 MPIASPLWALLAIPILLRLLKSKPLPSRETVVLPHEERVVLLGA SSGVGRDLAHAYAKRGAKICLVARRSDTLERVKAECISLGAKGENLLVVPADITSTDD LIKVREAVEKAWSGLDTLHILAGLPSTSMLMDLAGVSLNKQDANKPPSGAPLSFSNSA GEEDSAGPSKAGLDKVAFEARAVSEVNYVGTILALTCFLPLLASTSKSPALHHLSSVA ATVPAPHRVIYAATKSAGLMAVESCRVECEGCGVRFFSFCPGTIDNEFRLKTSTSQSG GRDETKLPIKHKWEKLLLSPQKVIDIILYNLSLSPKPQPLIPYRPFSWIKSLSVPPKH LVHAPWQYRMAMMVRDTPIGWAYIEPGARRKYGLIGRP I203_05767 MASSPAIQINGSSSNADGGLSLLDKLKQRYEEAGQSHVFTFFSK SSSEEQTNLLNQLNDIDVHRVNRIYMNAVAAEGDGAHTPSNEDNINYDDTLVPGLNNL LGRSRTPTPRPPEEVLPLPEEACASILNNPEDENKWREIGLKAIANNQVAVLLMAGGQ GTRLGSSLPKGMYDIKLPSGKSLFEYQAERIGKLAKVAEEKEGKEDGSVRIKWYVMTS GPTRGETEKYFKEKNFFGLKEEDVIFFEQGVLPALSEDGKLLLSTPSSLSVAPDGNGG LYAALRRPLSPDSPRTVLSDLKSQGIEYIHAYCVDNCLVKVADPVFIGCCIDRKSQAG AKVVRKTVPTESVGVLAAKGDAFAVVEYSELSKEKAEARTSDGQLAFRAANIANHFYT RSFLEGVEAMERKMAFHIARKKIPTVNLQNGELIKPSEPNGMKLELFVFDVFPFTKSL CVLEVQREEEFSPLKNAPGSKADCPETSRRDLLAQQKRWLVDNGAEVGEGVEVEVTPK ISYAGEGLEWVRGKKFVKSGVLARKEDLKDLTE I203_05768 MSNVSEFVAAAEQADPSLTGSNEKEKAEIAKLAGETEGYVKDLS ALNEKLTPLTYLYSNSPSSADVSLYAHLHPTLISAPTTQHPQQPSLLRYFLQIQSLES VQSAQKSLPNSFPSLEIDISSLPTPERKAPPPKVKKEKKPAAPAAGGVTETVTNAVSG ASAAPQEGGKKKEKKEKKEKPAKAQPVKEEPTGPLPSMIDMRVGKVLDVKRHPDADSL YVESIDVGEPEPRTVCSGLVKYMTEDQIRGATIVVICNLKPVTMRGVKSYAMLLCASS KDGKDEGGIEFVYPPEGSQPGERIYFEGEKYENVKPEAQLNPKKKVFETIQPGFTTLD TREAAWIDPETKTVHKIRTKNGVLTSKTLIGASLS I203_05769 MSGPPPPNGFNPGAFEFRPGQGAPFVPRAQQQPGQGQGQQGYPG QGQGQGYGGYNQYGGHQGGYGGYPQQGAGGYGGYPQYGGGYPQQQQQPQSQAYIPPNA RGNFQPQAVRNVQGIQPPNLPPTSSSPKPDSTPAGKPVSLSIGGGAPKAAPSLSIGGG APKAAPSLSIGGGAPKAAPSLSIGGQPKAAPSLSIGGAPKAAPSLSIGGAPKAAPSLS IGGGKKLEEKKEEEKKSEPVEDSTPAPAATTDAQVKVVASTTDAPTPAASSTPAPTSV AASGTSTPSGTNYTKVSAKNDADAILKEQAAAGAEALKDLYGDDVKDTNSKSHLNIIF TGHVDAGKSTMGGQLLFLTGAVDKRTMEKYEQEAKAAGRETWYLSWALDSNKEERAQG KTIEVGRSYFESEKRRYTILDAPGHKTYVPSMISGAAQADVAILVLSARKGEFETGFE REGQTREHAMLIKNNGVNKLIVAVNKMDDPTVQWDKERYDDICKKITPFLKSVGFNPK TDLTFIPVSGQIAQNIKDRIDKKIAPWYEGPSLLEHLDTMEVVERDIDAGYVMPVQEK YAELGTMVMGKIEAGRVKKGHKLLMMPNKTPVEVSAVYAEAGDELEQGFAGESIRLRL SGISDKDITPGFVLCSPQQPVKTVTAFRADLSILETKNIICGGYNCVLHVHTLAEEVT LTALLNYYDRKTRRKSKKPPQFAKQGMLVSVLIETTAPICIDTYKESKILGRFSLRDE GKTVAIGKVTKLIEKAEDLPNVAGLTLAGNATA I203_05770 MVQIKSSVLVAAAAISAASALPTSSSQSHNLVDLVVGGNGDAVI DLNVNLGRAQPLLEARKQRKGEEEDGGLRRSVKDMVKNVEDKAKTKTGGSGAGGMMWK GKPSKRGPWRNGGDLIDLNIGHGAVDVDGNHDGHLVNGNLLDLAVRSPRHDEHLILTG DNHDYYRNSGHRGHRHGNVHDHTHLHARHSGHGRHRHSVVEVIGDGYGRHRGGYEFID TGRHGYRHGDVDVHEHTHIHDRSHHDDVMVVDGGRHRHDDGVVVVDGGRHRHGDDVNV VNKGHRHGDTTIVNNDGRHHHGDTTVVNNGGHHRGDTTVVNNHKRSPKLGISSKLAGL GRAFLKRSHSDDRDRHWRHGGIDTTIVNNGRHGDTTLINNGRHGDTTFIDNGRHGAAT LINGGRHGETLVVDNARHHGHGGDTTIVNNDRHHDTTVVNNGRHGDTTVVNNGAHRHH GDTTVVNNHKRGHHHSDRIIVVGDQRRYRDADFYYRNSWDSGLSYGKPIFFSDGGSFN RVRMIDNYPYRDGSGGVDVIPADAIPLRGGRYNDYPYGYGYHYGRYGKRSEQEEASAQ VDKRHHRDDHDDVTFINNGHHRHNDQDVTVVNNHKGHHHEDKECRHGRCDGDDVTIVN NHKRQMMQDNGMGGAPGYIDVTSPVFNSTTATRIASLVLSTSNGTDANSSFVLNASSN IRTQVYLVPLNQGNSTVASAAAGTGSNSTSPISVNLKVPIFVASTATVEPYCATFDPS PENPAPLTVTPCTNDIVSSHESQKFLYNPDTGVIHPDWQPSADAQQLLQAVPDSVDDT SDPAQAQAQDVDDMSVMEAQADPEIDPTDSASATLARRATSTPPASGTVNSPPPLITS GPQISTDSTALPQSNPASNVTLIFTPVNPAIVNSASVNFDPASSSAPAPEDESTNANG MDSQQATMTKRGFHAVNPVNLDINSGSFDAADSNGPVQSQSQPGSSDVNGNSNSNDNG MSDNEAMTSQAIPTSSSSSMPVDSVPAGPKGFHADAKANLNLGDSLLQKPMIAPESLT APYEWRWTKADTVDESAFSKATTVV I203_05771 MSPAQALADSISALHPNTDQSHPTSSYTATPAMIASSSKTREQD PSHSSYEIPYDQASSISSSSFLSPITPQVQSPLLRAPSEIIQKVLSTSGGEEVHAIAS AAKVCKELRSMIYENPDQALWRQVLVQHYDDPRTAAAFPVPRSSSSINWKKNVQHRER VRRIFSDWAEDKLNQVKQHIDLIIPTLLNMYLDISPTTPSSDPSTADDSPNSTLLSTW LRSSLFKHIYESQYFTEPEPTTSYQLRSSPKRIKRKTVESVISQLHCLIPPAYDDDTR EDREWRGFMRRTVYNAKNFEEENDYGPFTPDGKVNWELIDAISSVMMCNAQELIKGDP TAWLPAVQPLSYGVEPVRGWGYTDLKRPDSLADGQVWDWAGIEGTWCGCYAFMDYVDW VALNYPQSAFLHRLSSEIDLSLYHEALGDLMQLDLKICNDPYSSNPRENRCEYHEFTT DRFPQPLPSMETDLPTSSLLPPLYFHGSSVPYAGGEAPPLPQNAIRGVVTLTADDPPQ VRWTMIIRYRNEDRWRLECVQVGGRGSKRGFFGIWSDASRGEQSPCGPAWYWKV I203_05772 MIHAVLIFNTHGKPRLSKFFTPLPPLVQQSLISQIFSLISDRPA GVCNFLDAPDLVFPTPGSTIVEKGKRKEGDDTRVIYRHYATLYFVFVVDGAESELGIL DLIQVFVESLDRSFENVCELDLIFHFDEVHHVLSEIIQGGLVLETNINEISACVQAAS RNRKASAASSNPLIPSVLVVPGGRGGSRGGSADGARRWLTSIGV I203_05773 MKTRVNTICPGIFPSKMTGVTVGKHSYNINHHAEKAALGCKAGR PGRPEEMVGPVLLLSSPAGGYMNHALLTVDGGRLMGANINDGIRMPEKSYTDEIRG I203_05774 MIGACFMPEFPHNARLLKPIEREYAGWRLEMEAGAGEANEDTST LGGFKLVLLYPKVWTMVWCMGMGQAIGSILSSFPVIVNTLDFNKTGTLLLTAPPYLLA AIVFYTISYISDRRNMMYLIIMSCLGVAIIAYVLATATLKIPARYVAMVLMPSLTCQY PAGPQIMLYKTLNVHMARPAGVAMMNAIGGLSNVWTSYLYYDAPHYHATFGCERQRQA MKSGVTQQQVDLGWRYIGY I203_05775 MTSITEEQNGIHQKKPFYRSVPFQIAVAAGVSFTAPGMWDALGG LGAGGAAEPYAVSAANAILYALFAIFCVLAGAINNRIGLKWGLALGAIGYPLYGAGLY TNNKTATTWFMIFGSVCCGISAGFFWAAEAAIIIGYPSPKERGFYLACWQTAKASGPI IGGAITLGLNANRETAGSVGSATYIVFIVLMCLGLPIALLLSPAHKVWRKDGTRVVVH KHASWWAELQAVGRLAISRRIVLLVPAFFISYFYNPFVSTWLTSYFTVRSRAFSSFFT PFAGIFSSFIIGTFLDRQSIFIRTRAKVAFATIVTMLVGTWVWAIILQKRFYDNPPVF DWFKGGFGSAYALVFFWTFTGQAFQQYCYWIIGQYTTDLTSLSHHTGILRGLEALGQT VAWAMQSEAGVNHFVSIGINFGILLIAIVPTWIVVSALDHTHEEVVTEEIVGSDKADE EGSVGPEGLQAAALAK I203_05776 MSNKPSYAAIVSNSPPKSDRQGPQGGVEGAGARAGPSDAPPPYT EPGHPHPSSSIPHQTILPSPPAQQQRYIQDVESHQSSSGWTPPPSYAVARSRALKRFW MAFFWAWLIWIFVGLLIGGGVSDISNDRSRGHHGHWDKHGDWHDDNGKVYFEDVGVSS LFVKVKDKAIGVLYDYS I203_05777 MSNLHTAPSGSSRLINIDLNGGWDLDMDMDLEDEQNVEDSLPST PLEERSTSTQSRACKYATMPDEGELLGGTSKDTSDPTHHTHSQQEDHIPFRGDTDERD NDKTCRICFSGPEEEDMLGRMISPCLCTGSMRYVHVKCLNAWRGTGTNAVKCPQCHYR YQLRRTLISGLATSRPILLLSTILTFSLLTLLLGEILHLMLHHSPTISRTLLSRSSRP TVKSMFDLLDDPYTAVDGGPVIVVGGGGALIWDIFIGAIQTFVDLSNSFLTQKDNLST KIPSPIANLIFELAVRYLLGLATLGSMSFLSLLLSLSLFGPLQLMNGLRGFGFLGNFA RRRTAAGGGGGGGGEGRLSVGTIMMIVLVLVGAVNTLIQFYNGLQILTQKLLIYVETQ ILEVNSDEIHKRRREQRKKREEEKMEQRWWIRWIKDGQFKRVDGYKELAVRFSLMLDR IWERVKRLFRMEGEGNHDHQE I203_05778 MGSFYTDLPTLNLPPISSQTDSETEMSSSNRLIQPLDLSRYQLP SLPPTEASSPIGVGLQVSHDRSCQIDHNDTIGTPSNLSLSPPQLVQNSHSAPPSAAPY QSSFSFPQIQPEQLPRRVFAQQPPTQNFPWLERGGDGLSTQIYETPYQSYRPSPQPNS NHIQHNHSHSTPSFFSMPLSQHSDTSTPFQHSQLCLTPYTLPVDLQDATTTNSSNDIP LPLQKNESDDYSLPEGIWESNAPYPQPDVTPEKRGKNRDGLVKSRKHFCPVCDKRFNR PSSLNTHMAVHTGAKPYMCSRPDCQRRFSVSSNLRRHERTHEQRAEKERQAYDIPSRS THPHPYSHSHAQPFSMGHSHSNSHSHSSYSHPGAFPQTHPHQSFNFNYYQPSFATASI SSGGYSERGQQPPHAVPSSVFGSSSTTTTSAGSSIDLGYGLAQYQVVAAATATGQEL I203_05779 MTISITYDYKTPQSQSTTKKSTSISPSSYPLPKSMNLPSLTLRD NSTSDKMSPDHHHHHHHHHHPPSMRRESFHYSGERPHPPPLKSTYSFVEPSSSASTSN LLLRTPQELERKQEWQTSPRKQTSPNNQEKPAEKEELTTKGRKRKRLAKACSACHKNK RRCDGFAPCSNCEFSNRPCLYVNAQGDPIPPPRTRDSSTVPPRPNKEDAKAANNHERK TSGESNWSRRESFREDAEHHRPPSLGPIQAVEHDTSLSAELIDIFFRRCAPLSTMFHA STFHYRLYMNQVSPILLDIIYAFASRLCENPNFVSTFSPSQPAHLRGETFIHRAHGAA QRMIEVRKTWNEEERRMDRGTWQETELAQACYLLSVYFSCLRQPKIGMFYLDSGLDIL RSPSLDYIPPPATHLKLNSIEYNTLMEIRIRTFWSLVFHDLCAAANGRPRRFSESELG NIPLPSNESQWARWGGNAIGGREPGRRDGLKPGSGNWPGEEGQIGELGHVLRILSIFA DIMTLATDSKTDRSEPKQNLASRYESALKSWAMTLPRHLHFDEHNLSSAVNKLSSPVV ETKMTGWMYAYMHAVAECGMFYLQAAVAQFTDGAYTAQRQSQAVDNLSVIMDTIGRNG REGCCFLFPLFVISNWQEHLQMSNLIVPGPPQAIMEDRLSLWWNEMKLEWGIERHELL QRGFYSLNTIGTVQTPTSLATPRFSQSQPTIELPSSGLGLSLYQTSPVRHMTTSTETG SAISATSPVSTMSISTPHMSTYSRFSMSGSSAPTLPPLRPRAQSSAATMSVSYTSPSP PIGGSRNILPGMIKSSSSGRSELISLPPLSGEFKSNLKITSPRHHPYSNGRSPRMSWA GPTTSETANGKSPGGESGKRDSNHLMGIAALVSVAENEREEREKERERERERKTLTTV I203_05780 MTTTTLSEALSAARQSYASKNPNSLKAYERATESLPGGGTRSSI FIHPFPLFIERGEGSEIIDVDGHRYLDFVSDFTSGIYGKTNPVLKKAIIEGLDNGLQL GAHTLPESSLSSILCSRFPSMDLVRFANSGTEANILAISTGLHYTGRKKVVVFEGGYH GSVLSHFGEDVGDLKVPYDFIVCPYNDITGTELMIRKYAEEIGVIIVEPMIGAGGCIP GDPEFLQSLRDLSTEIGAVLIFDEVQTARLSTGGRQNILGITPDMTTLGKFFGGGFAF GAFGGKKEIMNLFDARQPNSISHGGTFNNSPLTMIAGLTAVRHILTEENLRKLNELGD SLRKNLNKVFDDEKLPFKMTGLGSINQLHYTSPTQKREGLDLFFFHLLDRGYWIAQRG LVSLNFAMTENEVKGFNDAVVEAARGVKNSL I203_05781 MKDLRPVEKQWLFPHSALNSTPSLDDGINLQTELKRRKVVIEYM RSLALRANVFVNVDDPECSRLRGSMLVGSTLVHRFYMRRSLKDFSEKLIAPTVLFLAT KIEEEPLKLRHIVNSCIAKFEPQGTRGWYPDNNPHEQPPREYRTWEKSILATEEVLLE ALCFDMAVDQPYPILLRSVIGLDEVISKGSSNGIDYNGIENGTKSKENLSEGRITELG WTVTSESSLSPLPILYPVSIISFVIFTIIITMIDQIPPSQGLWNASELSERFGLDLGR KGDHVLGCDLDMVKACLEDLIRYIKQGLILDDLKKYIVEEPGQQTEEPYPKRFTLDVA PNKENLGTDGVLHGKMEIDHEVNMTTNGNAQNTESKAITDVVY I203_05782 MVTQSAASTSHPSELAQPINKTAHEFDKTALDALLARRFFFAPA FEIYGGVAGLYDYGPTGSALQANVLDAWRKHYIIEEDMLELDTTIMTLSEVLKTSGHV DKFADWMVKDVQTGEIFRADHLVEAVIEARLKGDKEARGVKDEPVVEEDDKKKKKKKN VKSVAIKLDDEVVAEYESLLAQIDNYTGRELGELVRKHNIRNPATGNELSEPVEFNLM FESNIGPTGQIKGYLRPETAQGHFVNFARLLEFNNGKVPFASAQIGRSFRNEIAPRQG LLRVREFTMAEIEHYVDPLDKRHARFNEVKDVVLTLLPKDIQSEGKTDLTQMTVGDAV AQKIVDNETLGYFLGRTQLFLQKIGIDPKRMRCRQHMANEMAHYAADCWDFEIQSSYG WIECVGCADRSAYDLTVHSVRTKQPLRVQQKLDQPRKVEKLDVQFDAKKFGMTFKKDA TMIKDTLLGLEKDKLQCIKDELVNGKSSVKCADGKSYDITSDLVKIEPITVTEHMREF TPNVIEPSFGIGRILYSLLEHSYWAREQDKARGVLSLPSVVAPIKCLIVTISQDAELR AKIHEISRKMRRIGIASRVDDSSASIGKKYARNDELGTPFGCTVDFATIQKGTITLRE RDSTNQLIGQVDDVIAVVDQLVKGSIDWKGASEKLEPYSGVQDVEE I203_05783 MRLLPVHYTVITLLPILLYSTFVSAQTSLHDLSAIKDFLNCLGS SKEQYKLNLDEGEILVLPAAGRHLNATQQDNTLVNCIADSNSHINVVMYGSAYEDDTV PSSSAADQLVERLDRYGISTIPRRRPRGGFALNGHKEL I203_05784 MISSAFPRLVSRAGARVQPLAKARFSSSSSPNPSTPFFASKTAV ASSTLLAAGSLAWYNHLYGAGFGSLLPEASANSAAENGMHPTTLPFEHYGPFETFNHA SIRRGYQVYREVCSACHSLDRIAWRNLVGVSHTVDEAKAMAEEVEYTDGPNDEGEMFQ RPGKLADYMPAPYPNEEAARAGNGGGLPPDLSLIVKARHGGADYIYSLLTGYCDPPAG VKLAEGMNYNPYFPGGGIAMARVLFDGLVEYEDGTPATTSQMAKDVTVFLNWAAEPEH DQRKKMGLQALIILSTLTAISVYVKRFKWTYLKNRKIVYDPPKPARHGPL I203_05785 MTRYIASPFPSPLYIGSSAAARVWSIKHIRVSILENLSISDLAS NLRLSRGIFHDVARVLYRSTDYVNYEKVQKACTNVSRLRYYTNLIRHINLGDNLEVYL NQSLFNAFPSLRSADRDDEHLILQDQSPSSSPDDPLSFTRTKMILWERYHDSLDIACG YYFRDLVGHKIPMDEWEVDRRISYTFIYEDEEYTSLSANGDSTFVLNSFYKCWNEGTG LFESIIDELDLSCVLTSRRLLREMTDIYQKQKDGKDISMPRKLRLAIFEEDDNLTCLT EVFSGILEELEMYYYFTGPVDGQPPLTTTTIHSFFSKSLNWSIGNKLKSLTVLLKVEE LSPDTRGSLDDLNLDHSDKRHLLNLEKFSITLDFHQLPTSQKSLEGVLDRIPDMSQIA KGMVAMGGTGCVYTLDATGSENDKEVGKGLTKALKVEIMRLWVDSPAEIGWEHVKTK I203_05786 MRRGDVRHRIFQEMNIHRITNCRNIDFTNGTDDKATLATIIENA SFSVKKLIIGSSYLYSRSQTPPEDLGMNLVNFLKTFFQPDLRFTSAFRELVHLKHLTL RLGCYPSSIRDLSDLDMKIEAWENPFSFQKLRSLRIDLSLWHDNTLDQPMLTDQQSIV IMASAMLKIGGFGCKYELSWDSAYFDFGTPTEGLAQLQSFCREVGNEIKRMISDRKLE LDKQQPEASVGWKLVPPEESI I203_05787 MNFSIDSQYFWDKIRKLKEVQSSATAKELMITLNESKSGSSLMT LIEEMFTLVEEVTMTTQPLTDTTIHGFFSSPIQCPETTKLRRLLVQLRAE I203_05788 MLVPILTLLIHLTCVLGWTIPQHPFQAFSKSQCTILSSELIDKI EHLRTKWEVKGISIGLAASSNTSDHSATRDNWALEAITFGEADRYGKKVKGDTLFAIA SNSKLFHALSVGLLMNNDTLLPDGDKLRWSTKIKDVLPEWKLMDDYASDHVDLVDLAS MRSGLPRHDIWYGVVPPVEVVSNMRNLRPSTELRQHWQYNNNHYVTIGLIIERLSGLT LPEYVKLHIFDPVGLTSATYNATQARESGHRSDGFSRQAVNYTACKASIEQGSESVDR GCLGEAGSIDWWIDGDGLWQAAPGGVIMSANDMAKWVKELLQPSVLPPSLIQAVTTGY SVMDGLPTYPQEGIKVYGLGQWMYEYRGHRVHGHTGSVPGQMSRMVRLPDLGMGFMIA INDDIFGLFLHEAIANLILDDILQVNDPIDWETYVANQSVASLPSYTDVPLNPQLFNT TIEGNYYDAGYGNLNIVQVQSLEPSLADIIVRTPLNVTGPIYLAQLNSLWISHMVFTH FDGQLFNWTLVYTADKWDEHDQKAGKLGKTEGTGTAIFTEDGLGMFGDYWGKGSTVPG SVVDEGKVKENAEVWYRRL I203_05789 MGSEKVVTTHAALSNIDNETLELIQQAQEADAADQQLTIKQALS RYKKAVFWSMFLSLSLVMEGYDLVIITSFYGQTQFKERFGDIQPDGSKIITASWQSIL SNVALLGQLTGLVVNMWVQDRFGARHTMMFFMAWMAAAIFIPVFASSLPVLAAGELIC GISWGVFQTLSTSYASEVVPTVLRPYVTAFICMCWGGGILLSSGVVRAVVNVPGDWGW RLPFLLQWVWPLPLFIGAYLAPESPWNAVRRDKPDVARTALRRLRDPASTTDREVEAT LAYITHITNLEKAEIMGSSILECFRGINLRRTEINCVVWAAQILCGNAILGYSVTFLQ RAGFDEIQSFNLNISLSACYIVGGILSWFLMTRLGRATLYMGGLAFMFVCLVTLGALG FRDDKASNLAIGILLVASTLCNMTTVGPVCYPIVAETPSGRLRYKTITIGRFVYNLTG IFNNSVTPRMIQATAWNWGARCGLFYAGTNLLCLIWCWFRLPETKDRSFGEIDLLFEN RVPARKFKYTKADQFAHTAEELDGVHLDDTKVDIQHQERV I203_05790 MPAGAAPVADSSSLSDSSDLLSMLSQAVLPSTTLDVPQQFYNNF SILGSAPLRPTSVPWSMPFCPPEDVSPILTLTLETLVKPQLEIFFERVYPMIPIFSRS YIMGRLSDIESHQNRTFVALILSMVSLSLIHPLKPEEISHRPTRAKQSKTLMDEVIRL RLKWDWASQASVEGATTSFMLFGTMFELGHAEGSRLRLKEAIGIGELLRLDEVRTYTS VEPDEARRRMRLYWVLGITERAYALQRCGSITFHGSLHTPAFKSLDPSQDLASRTLLH LAKLFSFVDSDIVSCWNGKCDSTICKILTKERVQSILRSLNGTPAQVFGSEIAFTGLS EVQQADLLITWQWLRNRVWRLAAGHGLTGDELGEEFGVGYVVDVASTTVLICRRLSSN ALEAHGCGFVEKLYDIASIVTELLQTSTKLQSRLMDVAKTDQWTELLQNLYTFVASHR SGISFVQPMAQALSIASGVKLLCAPT I203_05791 MVQVKINGTVNGHKTVNGVNGVNGHAKEDYSSILTRPRPQETYD LTSIEPDSFYGKLRDLYAEKVLKTQLEQLKKQGSYDAFKLQWHPAYEVRRLYGGKART DGIPPSLFWESDVGKWVEAACYFLSCPDAKRFTHYEEFDGAIQELVDMIEKAQQPDGY LNIYFTVVDQEGRFKNLRDMHEMYNAGHLLEAALAHYKYTGSRQFIDVMIRNVDCLMH HFGLADNQLHGYPGHPELELAMLRLYSLTQDRRHLQFAKYLLEARGVKRDDQRGDTYF VWEAKHRKDEIVPPTMDTIEDVWYHQAHEPIRDQEDVLGHSVRAFYLLTAAADLGGSF LNDAKRLWSDAVDKKMYVTGGFGTEPRIEGFSRIPHHLPQSTGEGGCYAETCASIAVM MTSERILSHELNGKVRDVLELCLLNNTLGGGSLKGDQFSYANKLASWGDEDVIRHDWF EVCCCPGNVSRQLGMLGGYTWSVNIDVHERIISLDIYILLSATRNIPLPNGQSATVSM RSEMPWQGKTEWEVNAPEGWNWKLKLPNPDYAENVKVSEDIEERDPGFLNVALPNSST VTQSFDMPIRLLAPHIATGQDTLTVSRGPIVYTAEAYDNFEIEGEYKHFEGVGITSNT TFEELQETIHGIPVITLQANQPAYALNEVNQEQAYRVVNGDHPSRSWKRLSKGLKFVP WFARGNRGGVGHLRTGFLRADEVLSQA I203_05792 MSLYSEDDLGGYFLPDDPAPSSSSTSTLGDPLHTLTSALALPSE STAQQEGLNDAARKFEENPSKLPELVPQLLGLISEGDDTMLRFWTLDMIALAVGRSGL KLDVKLTVAQQCLEALCKLLNSNSITTIKAVIPIFSTIYPLLFRLLATSRPQPEIVEM FNTSKMRILTFALDPNAQPNNIGIRAVSWKFLQKVVLAGTRAAGADPRHRATNPNDVN FTMIQPDCALNVNEVEEEGSALLTQLVTHLYSLSDPALLHPIISTLPILCKSRPLIAD ALISSMTLWTPSALGAAGRQPMEIRAVEKTMRLVMTHLLRHPPFANFAARLNEALLRQ KQRMESAFATEVSMRREMRKAKTPGKHAMEVNDNEAESSEQAQKRARLQGELRVQPGS GSGKGPEFDVTNLPVESVIEAVMQGLDVAPLELLNHAFDNAREAIVGGTSDSHLLLAS VLGVGIAKVEPKEEESDEVLNPLDMDLDDDDLLLEGDDELPAEEEDIPLTFTSFTLPP PEPLDPEDKVYVLSTTLQRIWTTGADLSTLPDAQDDVDTDAIKLAVKPKEMWMLLLAR LATRVKGENDEERRKAVADFVVDDFVNRSKFASIWLSEEWYNSKIHKSPSTTYTDNLL SILTAYLPKIDAKDKTLFAFINGLPEIPSQLTQLLEDLCQDTDRNLVGFLALRDIIET RPPARQLALDTLLGLCTHPERKVRVPAIITTVRKWGPDSPMMPKLVEYALGVLWRLKN GKKSEDEGEAENGNDNGGGGEDGVKIKTEDELKPKDEDITMMEVGMDIPKPITSKFLP SSPSEITAETVQQHVELCFALTKRKQELLDSIFTLYPLLPSSDIQDALEAQLIPLIQS LGPTEKLLDILKSYKKGTEGLSMRIIGILTQNGSSPALVGVIKSLLSEKDVDGKFVAS VVGDLDRAEIEKQLPRIVSLLANPDDKDLVRTAFASMLGKMTPADLMVAIHQDESSQL RYTIEAIGICFSMTTVFRSDILANSMSRIADLPTQLPVVFLRTIIQVVTTYKSLIPFV ANHVLPKLISKKIWENKPIWDGFIRLVKLISPASFGSLLQLPKEQLKEVVQKQPSIKN GLKTFVMNKPGGNKQVLAEIFGEETPARE I203_05793 MAANSQGIQTLLEAEKEAAKVVQKARQYRVQKLKDARSEAAKEI EAYRAQKEEEFKKFEKDHTSQTSSSQSSIDSSTTTQLADLDKAVEKNKAEVIKKIVDR VLQSDPKLHANLKKIEA I203_05794 MSNNNTNNTNLLSILTSLFKLCCGSTSEPSPPPQQQQQGYQGYP GQYQQQQQAYPPQQQPSWANVAGGQGQQGYQQYHPNQQQQNYQHQQQQYPPIQQQQQH QQQHQQQQWQANGHGLSKPNSPPGGVLGPHHPAQNQDQINATNQRYTDLRDRARKEGD EAHRCFAESQAAYQSGDGARAHELSVQGKSHQRRQDELDDEASAWIFNENNKSSPSDT IDLHGLYVKEAIERVESAISTSQRNESDELRVIVGKGIHSQGGKAKIKPAVEGLMVKY NLTAHVDPSNAGVLIVDLQGRAGGQRSRDAGGLVDELDKDDEGCKIM I203_05795 MAQIIPPITSLPIDSSAAPSVEDIQDRLPSICVDYLSHDWSEED VWASWRNMTRHKHEIANGVRLENASWRTWQKQRNKLKTISPETLNWLKDSDVTWLYGP LHTANVEPVRPLKVATTDERLGIDRPNPNPPVTTKPILKHRTLSEMLTGPRPSSPILE ATSRDEINSDSDLDRPMLLQSKSDTNVMRARTQPVTRERSPPRDFNLDVGTATALANN QDANNKGATISSPGSATDGQNTAGGKKHISFNTFVEQVIALDEPREQQNNNLDSSDDE MLEMKPSSLSSSSRSSRPSLSRNSSSGSNSDHLTIAKIAPTVLKTLNLPGSSGAMIYA PPPEYQSPSLSHHSQQSFDFPSPQVDRGTGKWADHDEDEDDYGSAGFDYFGGPNLAGE DDRKSSAQPIPIHVGASPNKQAQAQQQQQQQSQSPSVSVEPSSVSSNSSSSSSLNAMS PQPGRSILKIRSPQTQTDAIPEPSSPPMAYFNYTPSAATGIGGMRSSSGAGGPYDYST PNIGPTGSPQTSPTAPVNVGEEQRGRGRTPSRDRGLNDRSTSRGTSTSSTGSFGSASS ARSPTESYTSGSQQVLPRKSGISASSPQLDKVQEGVSWEPPSASGSNDEDKSKDHANY VPDRSDTPTPHSSPQISLRPLKDTSPASLPHSNSTSSSSSKPTISTAANMNTSDLPKA DPPTIVSPTSTSKTSFSHDQPSSSSTNAAQASTNAGKKATIAHVGTNAQPTLSHQPLS GPAGVGAGVGNDDDDGASIMGRAANIASTAKDLLGALWYGNEGGVNTRQQHGGSGVGG GAGGAGGAGGGRAGGHRRALINALLSTPDIQSKYVILALTRDATSPKAQSLLSRSPKI IKVIQGDLNEPQGIFQRANEFSPDGKVWGVFSMQDKDPKIYPDYLDSPEVKQSFGLID ESIKNGVEYFVYTSGDRGGNEHSWENQTTVPHFRTKYHIERYLLDQIKEDGSTMKWTI FRPVMFYENLQPTFDIKIFMTAYRDILKDKKCQWISTIDIGIFISKAFLNPEEYNGKA ISLAADEFTFEELNEKFRKVTGKGVPVTFGLLGKTLGLAARDYQLMLEWFRDDGYNVD LEQCRRIHPQMMSVEEWLREKSVYPKKV I203_05796 MSQSILVTGATGKQGGALIKNLISQTQPNRYTILAVTRNINSTS AQRLLSSSPSIKLVQGDLDSPSDLFKSALKVSPNDKIWGVFSVQTVSLREHDIATSTE VKQGTGLVDEALKHDVEHFVYSSVDRGGEEKSWNNPTPVPHFKTKHLIEQHLKSSTEG TKMGWTILRPVIFMENLVPGFGAKVFLTALRDTMGSKTMGWISTYDIGFFAAQAFIHP EKYNGKALSLASDEFNWEEMNEKWKATTGKRVPTTFSFLGSALKVGVKEMGVMLDWFK DEGYKADVEDLKKFNPNMLELKTWLKTKSDFVKR I203_05797 MNPYNLPGFLQYSKWNPHENRWIPFSSTPPPLLIASLIRSSWAV KPPSGESDLKDPKGWWEKDVAPDGNQWEDVSWAKGKTVLLLGDSVSRFHVKYLCEMAG EPLHEMPWGHPFSPPKMEGEEAKPEEERLPETLEEIERAKSNSQTEKNLRRRDFDAEE LRDIQPEPVAEQSNNGTVYSYGKRDGSRHVGNEGGYMGHYCHIPGIDLMVIQVFNYGL DEKNFWTLRNDYIPPYTIESRLTLLARPYIRAAGRSSTAPELTYVGSALWDTTRWMRE DAADGKDISEGLTRERLNWYRIRIRQVLMHARQVFPETRLKWATHHYPLRAMSGWFFE GNQSQKPQRPQQKLNRLSPLHEAAVSAIEDLGDATSEQRSVLKNVELGLWGRKMIGME DHQKDDLHQNLLPGGYLWADMILYDLREAVTKKWWQ I203_05798 MGNFTSKPSSPPATMKSDFLKVEGTKITLRGEEVILKGTAIGGW MNMENFITGYTGHEHQVRAALKDVLGGEKYEYFFDKFLEYFFGEEDAKFFASLGLNCI RVPINYHHFEDDMNPRVFKKEGLKHLDRVIDVCAKYGIYTIIDLHSAPGGQNFDWHSD NPTHKAAFYDHKDFQDRTIIIWEHLARHYKHNTWVAGYNPLNEPTDEKHTRLIDFYER VEKAIRKIDEDHILFLERTERVELTLDYLLDSYGFPSAPELYTGTKEQIKLHKDAYER KTEYMRKHKGPVWVGEFGPVYQNAHDGFPNWDKINDSRFDVLNLQLDIYDKARASWSI WLYKDVGFQGMVYAGEDTPYVKLIWEFLQKQKHLAANKWGADDSQVKDLFKPVVEWLA TNAPTIKSRYPASGGVNDSWNVAGHLSRLVRNILLSEEMVKEYAELFRGKSKEELDEL AKSFSFGNCTQRTRLNEILKKDSKRGEKGLNEKV I203_05799 MQKSPPPFIWACPEEKNILDWHFIIRGPPDTPYEGGEYHGLIWF PSDYPFKPPDVKMFTPSGRFETGHKICMSMTSYHPSTWNAAWSVATILTGLLSFMLSD EITAGAVKTSESDKKILAKQSHAFNVANKKFREIFPDYAKAEMKDLPDMGKGNPPSAS VSCSVL I203_05800 MEELAERIIYSDRYSDDKFEYRHVILPKAMLKYIPKSYFSSDDS GLLRILEENEWRGIGITQSLGWEHFEVHAPEPHILLFRRPLPAKR I203_05801 MTSFYLKNTKEEGEFYLLTARHVVSDIDFDKTDVPVPVPVVLCN HLFEECMEQIEYLTRKNQSPLDIKELGELRKELVDQWSQESQRRIGIAHHHPPTNHQN KVEVDVDVDVDVDVDDGRGLNDWGNDWALIKLDKDDLPCSTRKPLLNIDKAVMLRLSS IRTEDDMEKSSLAVIMRGAKNGIRLGQSMSVFSMVRYPYSSKKWIQQWAITTMNEWEF TFRKSSSFADQGDSGAGVVSRDGKFGGIVVGATGRGTGDESRAIIDIVYVMPMFHITE RMTESGLGDFPAVIGFYVN I203_05802 MKYLASYLLLQLGGNASPSAADVKAHLETVGIEAEQERLDKLIS ELEGKDINELIAEGSSKLASVPSGGAAPSAAAGGASAAAAGGDAAAPAEEKKEEAKEE SDDDMGFGLFD I203_05803 MTSGTPILRRRPLSTKNPSIEHGSSPGHVATPPRQTNRSSPHRA ALSSTLRYDQVIEDTPSPLRELERGWKEIRRNRLGIPQPMDGDETAIQLRHTQYEQSQ NPKNIDEEHQKVLRGDRMRQIDSYDGIKRNVRQRNKDQVNVRFVTSSSSALLTPPQTP PESPKSPHVHLSSTINEQSEYPPPNSMTVGPRLSTAKSAFVKSPILPNQAHKEQPFTR KALQPILSYPLPPTSHPVPIQKNKKDTIYIPSQPSSYEMILYLVGGRIIKVKKGGSLV DFTTEDSMGKVRERTLYTSRAEQWDEEDRRDWKNLRGVVEGFKRITPRSPLTPPDFRN DKMETSELSSRTESTKRVCKVRLVYSRSIRELRLDTASTNVLREEKIRTRRIVRISRQ VGSTSMKEQKTRRQDDFEGICRYFSLDTELLDWKEEEKEALRTLWALRDEWVRWDGG I203_05804 MSKHITRPLRAIGSSSRIIRRSASTVAESSTSSSPSSSSDLPPT ASSSSSSTPSESTSTKPSGLPRQAFSIPYSPVPRLPNFPNTYNSPIHKHYNHPSPLFS PPPPSKYPTTLEDQNALRKEQKLSAVSGLSREELRGLNRFVVRSRKVQHMTKKGKMGV NQAYVVVGSPERGLVGLGRGRGHNGAAAADAAFHKAVLSMDYVNRYESRTLWGEGKDL TGKWGAAKVHLRARPPGFGLMVPPMIHRLFTACGIKDASAMITGSRNRPDVLKATIQI LHGGGNPSGFGTGLFGRKGPRENKGQGMRSKEEIERERGRYGVDVGRRT I203_05805 MSSFDPPSPAALVWSLPHIRVRILSYLRPQDLLNTLTLSKENFN VVVGVMFREMNHWSYTRLKDHCRSIQRLRCYTSAVRYLETAAELSTAKGPSIFMTFPN LLKAYTSDCAHRAFGEIQEAYEYLELVQPADPTAAGYFNFIRNYAISPISAPTLVYGE HETFPPGWDIQVKAGSIDCSDELIGRDQTELTPSGVVDKLLEAWLDEKGIFSQPFKKF RIQAPVTRRKLVDTIKKVSQMGQELPQHLSVTLVNADGHDEAQSQQGPVLEDANQDGN ANDIQANVQGETDAIPPTPALDDLRMVEILCEHFEGLELYIHENSDLYPKYSLHDLLC HEPIDWSDKQSKLRRLLLSIDVSENYSLFSIPRSTRSRSSSSSSSDPSSPSPRSRSPS NTTPQQPSLHLDSLTLLLYPPIPSETPPEWTFTQYLPSMSFFAQAILHQFGTSPNCEY TIKVKQWGPRRDELLSRSLTDLLRKEIREIEHRESVDRRPGWRRIGGSGRENRFVGEK RIKKARARNYTDW I203_05806 MAPRSSKKALTLCLFQSLAGVLFGWSNSEGSGLFNMDSYQRRFG VCDASGVCTLGTTRQSAITGLLSVGATIGAVGSGSIADRFGLRLTCLIFIFIYLIGAA IETSAMNTYGQICVARLLTGLGVGATSGLVPVFQAEAAPPRFRGLVTGSFQLCVTLGI WGVAMTNWGMSSYAGDVSWRVPVGLQMAWAVLLLVGFVLSPESPRFLAKKGKWDHCRK NLANLRGLPMDHPDIETEMEEVRAATIKDQEQGDARYLECFSTKDRILWRTMIGIFVQ IGQQITGINFFFSYGVQFAQTAGIDNSYIFQIILASVNVLFSFPGILAVDRAGRRPVL LIGGALMFTGQIVVGSVSKAYPDDKIAGDVLIAFTCLFVASFASSWGPVAWVVCGETF PIRLSSLCVTLGTGANWLFNLIIAFAAPQIQAIIGTGITFVWAGCLALSFTFAYFCIP ETKGMSIEEVDALYLSHTPAWKSGSFKESQASSQKLAYEKAYARSTHREGQEGKSSQQ TSARTSAEGAMV I203_05807 MVVTLSSPKWIVRLAKIALSLLTILVVVDFFQPVWVTKGTGIEA KTLDSYRLRRYLKLDAKRIAREENFEQHVFHGKFRPASISQSAPATLQSTPAVLVENF PPISSTPSESSYQSSIDHSPASEAEETPNPLVLTTKPSSSQSPFKPYFHTNPYKGTMY HMSTSIHPTKDLDKSHYQLSSLWFVEQDGYDDWMSLHVQARLPPSVAYLKFACVYTTA DHTQMEELEATVTNQARDEYLLVECQMPLWTQGEMDTSASESARTAFMDLIRNGQVEL KSWYDLGECAIEDTAFDSLYLAWRESACLEQSDNHDTLIKQVTGPVHTISASDWSAAA PTDQLTICVSPVRLQPSDEEEGIVPLKHLVEWRVWHMYQGVDSVHWYSRDPKFHSWID QLNQLLDLHDTYLDAPNLSQQYAFMAKDYADQAIYAADCLMRYGFEDKFQAYIDLDEF ITIRQNPSRNATIQRLESLDNNIGSIAADHTYYGGEPLDLSLPYESDTFPPNGHTRWD TLEKHDGFRRQKSIHRTSATKMLWVHSSAGLGGYYMRKDDTISSMDEDGSLEIVHNRN NKPDKLTFDIPVDHQTIDLWKDTWMDLARILDSIDLEPLHVFRL I203_05808 MLRIFSPLRTIHSQLLAPATAFLDSRGLYSSLSRSPSEKPNELG AEGSDNGPSRSVVFLTVSFHITIALSVTLLNKWALNSVPLPQVLLAFQTGICVLLSLK VRMFSPDSIGPLLMDIEELKQLWPYLFMRTVAVGMKVWCLNLVPASFYQVSRGLLLPV TVLLSYMCLGSKISSSILKSVLIICAGFVIGSVAKYGGTSSLESYSNVTQVASAMVDL GFILGIASTFTTAAETIVVKVYAPKLSIFRAVYATSLVGFITFTSLSFFSGGFAEFSA LLSHPQSNSTTILSSIGISSIAYYLVSIAAVLQITVTTPVTHTISTAVRGVLQSLLAV ILLPNEQLSTWQVVSICFILLGSIRYTWIKEKEKREKEVQTAKTDHEKESGGIAV I203_05809 MLFSPSSRNRLILLSTIIAASILLLYSQAEQASYVYGSVSHYVT SLSTPCLDSIQHQRQILLSSYAESLSGVTHVALLDAPWHHNSGDSAIWLGEVALLEAL GIEIRYVCQHDDYSVPELEAALEDVPNSQTAILLHGGGNFGDIWGGHQKLRNRILTDQ PNRKIRHFPQTFEFSLERPSDLLEESLKAYQSHPDVEIVARDSESLRLFEETFTGVQV RLTPDVALFIGFNQDSPFTPPIMRAPGSDMTILNLQGSFYTSFEQSSALQQVSSPFGW PILSLQSAAQYDVVVVARGDKEGGQNRQDADVWSGLSDYSVAIRDWGDEWGNIKRPVK ANFGEIDNGAGHKTTFMDYWNQAALLRVQWAMSMISTGKLIISDRLHTDIMAILLGIG HVVIETGSLRKVERVSDTWLTSCIISEDTPGQPRLTDANTIFVHSENEAIRAAQRLLR WIEGGANWSHVHGN I203_05810 MVSFSPHTINEAVAKTFIGRWFRLEGSGHPLARPGSKFLTELRA GTVTAAAMLYIISVNASILSDSGGPCVCEGTADDPICAANTEYALCKNELSRDYVTAT SAISLISTFLMGLFANMPLGLAPGLGVNAYFAYSQVGFNGTGPISYSEALAAVFLEGI IFFALTILGLRQWVVRLIPRSITTAIGCGIGLFLTIIGLSSSGLNVITGGISTPLQLG GCKSEFADATTGFCDSHVLQDPRMWLGIFVGGVLTAFLILYRVKGALLWPILLVSVIS WPRPTSVTAFPHTEVGDSNFDFFKNVVAARGFKLLGPSNVDWKAYSNGKVWVALISFL YVDLLDTTGTMVAMSKQAGLYDARDGDFEGSSIAFLVDSICIAFSGLFFGTSPCTPFV ESASGISEGGKTGLTAITTAFWFFISIFFAPILSNIPAWATGSVLIVVGAMMMENATK INWDYMGDAIPAFVVIAVIPFTYNVAYGIIAGLILFILLHNLPKLAGMVSPQLLPPGW HDLKEPYNSTAFLKQPNGKGRVSFLALLPPWLRKLVRGERRFWAYTDDEIQTILEGRA MSKESDNAAAKMRQDERDEMRKRMGEEVVHPAEDHKSDDHTDDVNALEQGMMASSTYE MERK I203_05811 MSTQNLNTGPAKHSGHKEATEDHTVAAKLESQAEGGDYEALMKS RILDNSQVSRAALYDESDFLCVASSPKQFNVEPHDIRKILDGLLTFARQGDPTAASGD GQAPQNLKLGSEGSASVKTGNADYIVAELSGKLIIASRTAKLVLIVEGADGANQASLE NTVQSFTEGLQKLTDRVVNLTTKIGG I203_05812 MNDRSPKHQEKTTPSADSECDLEKYKEQTPTQSAFPEGGRDAWL TILGAWFLVFGTFGTANTFGVFQSYYVITKYIVRDSSDLAWVGSLQMFLLFMMGGVSG PLFDKGYFYPLVGSGAAIHIFSFYMVSLCKRFWQTFLAQGVLAGIGMGMIFVPALGVV SQYFKAKRGLASGIVVTGSSAGGVVLPIMLNKLIEKHDFARGVQYTGVLLAGCVVVGI ALIRPFGGVRGHQVVDGLKPDPKSFFREPGYVALCIGVFFVAWGVLFPIIFLQYFAEF NHTSESLTFYMIAILNGASVVGRTVPNLIADKLGALNLMTVMSFLTSGICFAFFGAAK STAGLVVVAILYRAFSGAFVSLLAPAVFSMAKSQQEVGTRMGISMMALGVAALTGSPL GAAILDSRGYGASIAWSGSMGAAGTALFAVATYFTAKSKSHWKV I203_05813 MDGHTPATQPPQDTAPATSSEVDALKSRMEQLENQIVRLVDLVN NNGLSIESSSTSLTTFATPIYNGTPTASQSKSTALDRTFLALDDLSRGYTESPSGTPR NESAARSDISQIAWPSVFLSNSFPQSSARPTQNLFDIASALPKQEIGTSSKIMYFEPS SHNSAKFALLPVSGTIQQIDEMLVDAFDSAMYASIFHRPQVRILQALLILESPQQQGR FLDSRIDHGIIWHDLAVSLCKHLCLNSLEESIPDHQLPSDPAFPPHLPLTYPSTPVNE TDRRDGAPSHSVRLAAGEVITPRPRNFRDEDLWSPGAVTQRTEPHPSFVLTEVSWQIH AFQVAHYWKIVSDLIRDPTAMTPELVKSIDSQLRQGDYELLSLRASHQLSPIQDVVVE SFHGSYQQRVLRLHRHYFMRSYSDQTYDFSQTAALTAARAIIKGHKDVFEKKNMYYLF EQAQPPNSTDDQSFWHLSVGRGRLFIGAMLNTLSSNPPTHLRSIENYMMELNRREVER PAVNTASPSPEDLQQFSLPALFGFLGGSTQGDIQDNDMLQNPTQLDMFMGF I203_05814 MSAFVARPTKVQSLLTSQGVEDINTVSSFLASPSHAFDRLDPAH TVYVLIGSAILPTAEAVFDHVSDSAYPITLVLAGGIGHSTSLLYDAVSRHPRYSLIAS RVQQLPEAQVFKLLMVEFWPDLVDKLNNGTLTLLVDDRSANCGGNAIEAKRELDYHGV KPKRLFIIQDPTMNRRTHATFKKVFNEDEVEVLPWSFFPKLSLSDDGSVAWEVQGQKM NKRELWEPARFVSLVLGEIPRIRDDAVGYGPKGAGYITHVDIPEEVEQAWRRLNNALE SA I203_05815 MLYTSALLPVLAYLSLVEASPIDRRAAVQSTTTRASNDLQTYNL GLGAIYAPAVTKSGNYWYSSGQQYNFLTEALSGSCYKQMDQCQLKANQQGNTPFAVSD CNGWQIQACLNSGSGSTSSTSTAASSSSKASSSSSSSSAKASSAAASSSSSTKASTTA SSSSVASSSTSTVKTSSSTSSSAAASSTSSSVAKTSTSASATTSSAAPTTAPSATAGN YQTYTGAAGGIAAPAVTKSGDKFVQSGTTYDDIAYALQQSCYAQASACSSSSASFDKN VCWGTQVNECLSTASSAASSYSASAAAYSSSVASANFAAATMARTVSGDLQTFTGALG GIPAPAVTGMEHNWKVDGRSDVFWNLIDALSTSCYIQQDKAGAAGAASNWAYEMSTNN IYNVQTPNCLSNAQNVAANWSPTSTSVVATSTTASSTSTSKASSAAPSSSSAMVSSSA STSAASSSVVSSSSSASKAVSSSAAASSVAISSASPASSSTSVVASSAAPSSSGPASS AVSSSASAVVVPTVTVPSITEIVIPSTSSSASVSASATPIITSVATPVGWSAASTSCI AEGSRGRALTGPTLASSDMTWQKCATFCDGQGYSIFGIEYSQECYCGATLSNGASLTL PSTNCNMKCGGNNAICGGPSALTLFVKDSALLAGLSSDLQSVKVTLPEGWVAASSVCV QEGTTGRALAGASYYDDNMTIGKCLAYCKTQGMQWAGIEYSRECYCGNDLVNGASLDR IGTCDMSCPGQLGTTCGGPSLLSLFKDSSLLYSLTTIGNWEKQGCIQEVSGRALNAAS LVTDDMTLEKCTSFCSASGFTFAGLEYGSECYCGNSLANGATLDAFSTQCNMACSGNK GEICGGPNGITLYSTVKNALVGA I203_05816 MFAKILIAAALAQSSLAAVQSITSGGQCLQVSGSPSDGAAVSLG NCNSANSHTTVTGQQWVISSGNNAGVQLFGSNFCLDAGSNPAPGRAVVITSCAQSGSQ TWYLTGDNRIAITGGTQCLDLSGTTPQTQSCAPNIATQAWTASFLFDEPSSTSSAASG AVTNSTSTATGVAGGAASSAASGASAAASGASGSSASDASDVASATSGAVSGASSAAA SASSGAVSAASASASGASSVAGQATSGAGSVAGQATSGAGSAAGAATSAAGSVAGDAT SAAGAATSAAASAASGGSSAAFPRFAMAPVTAILGVAVGAMFVL I203_05817 MSHPPTVIAAYEDQKVLEVRLSGGDGMEASIVTFGASLRSLQVN APEGRRHVVLGFPSFDDQLANRNWHFGAVAGRVANRIAHGKFTLDGKPYQIALNENNR HVCHGGIKGFGLKNWSVKKQTSNSVTLGLESEDGDEGFPGKLIAEVKYTITDESTLQM TWSATTDQTTIVNLTSHAYYNLNGTGGENTGTHSILFESDSYTPVDDGLIPTGEIALV EATPFDFRQSRPIELPDSFHYDHNFVLRDHTGRLRRAVELVSSKRDLKMEIWTDQPAI QFFDGKPLNLAQPGHDNMKINSRAGIALEPQVHPDAINQPTFPNTVLKPGEVYKHYTE LRFGVL I203_05818 MLRKIVGYRHIKIHSRDGIIAISPSAHGWLGFYASPELNPDLTN GPQRHVKNYARSRDLILRVALWLAGDPDQDLMTYEKHNGFQLINMLCQSSIARRAESH ISNAGEVSDMTGSSFDMSLASAIPPSTEETRSTSQSALFRAATEPATFAWADVEEDIL INRHRKPNAKKVAAKIVCKANLGPIPPKIRNTMMAALPLGL I203_05819 MNVCLIDAHAQNGSTEIWLGSHREQTLDDYVAVTSGDVKKTSLE RRRQVRPPVHPTLPKGSLVLRDLPLWHAGMHNETDEVRVMLALVYTAEYVKSFSPDEQ VYWNNLFRWYKNPLLLWPQSIQTEVEALGAINDTWVEGAFYDDEDGKKEYLAIGFDGN FGTSFEI I203_05820 MSNNIEWENKDYYGGASAANEVNYIAQRSDVEVALGEEELAHRN PIFRKLGGLFNRGVEARGIERVPEDERNGKHTIGLLLLWWSVNMVVSTLPIGLLAQAY YTLTFHSAVAAIVTFTALGAAFTAFIATLGPKTGLRTMVITRYSVGYVGATIFSFLNI LTQLGFSVTAIILGGQTLTNVSNDKLPLEASIVIVGFLALVLCFVGYEAMHYWERYAW IVLFIFYCCMWGLAGHKGFNMGAQQAEQDVGKSYAGDFLSFGGIVFSSASGWAPVAAD FNCRLPSTIRPWKVFLLTWFGLMVPLLFVEILSAALMTVPYYAAAFETGDAGGVLAAV FEPWGGGGKFILVIFAFSIISNCIPNTYSAALSCQCLLPAFQKIPRALWTILVFVIYT VAAVAGREHFSEILSNFLAILGYWVAFFVVVVFEEHYIFRYWFHRQGIDSSGPARGYD LTVYDNWRRLPIGAAGIFACCCSAGAAVVSMAQVWYIGPLGAVFGEFGGDLGFEMSAG VTAIVYPPLRYLEYRLVGR I203_05821 MILCAGFPDRVRDRGLWTQVGWALEIAAFAIWLGVPSSAHKARF AALVLAEVGHYVCTPLIVTWQANNSGNKSRRAVAVPGAVSLAQAVAVGSGYLFPSTDS PKYSMGSAVI I203_05822 MATGLQPQPKKPGFFRRMSLSTSQNKPTVQPSTNDNGVRVGPKS YGRSVSGPGPSFGRDIVAGPSYESLPAGAAPSALGRRDDLGSNMDQRRRTQADHTPNS QFQPRPNKPNSTPIASALRNPLAADPQSPPAATPRSRYPIHPALASPPISTPRRLSAT SPYDPINPPVVSAASQGGQRYDDVASTVKQLPSNQSVNLHGKSTEQLHSQSTNHHRQG HSLSPHTPRHVQADHVTAISSSKPQSPVHGSETTFMTPNATHQNGTTRSTPKRHETAR FSRFDDADIPNSDNLPAGAQASVYAHDKQSELRPMVSARRSASSSRRTPMPKVDKNSG SSARQGNSSKQDNTAITLETPTTMDKTISSLPPNSNSKAQEQLSEAGAYAKAIAATIS NHRSAEAPREITVNPSVTATPPKSRATRPLPAPPISNSRNSSKANVVVIASSSKLQQT SPKQIPKRETSTEKGQRHLATPSSATLVNKDKRSSVRPLNFDFPRSPTLPPLIDPRTT PNKSSDPISQWSRDTPSPVSPEGQASLATSQLPPRSSSAQPRRAVSSPLTSPTNEVRN KFGSPHIASPTIPPSPQTQARPRTTARERPRATLTFLLLHAPIQAALLSHVSINSFLS LTGASDLIRKRFTGEAIGRWVMKEWGVQVDREKGRSWPNLTVWEGFLESLLHDPLTYS TYPSQWYNLLQHLCLSHTLIVLHLRQLPLTAFPNPPPLPFEDDYVNPAPNMPNLPFSS SFNSFGSQRPRSRLGSAAGSDAGSLAPATKMPRQERLVEIVMPEPLAAQAPQDDEPTS LPNTQKIRRRGSIGSIASAASFSFGRRRTASISAEPLADIASIAPAAPMPSGKAALPP VSYPSAKRYGFKRHGEPSRSRKSSESSRPGSIFSVQSTPSFSAHQRVPSVYSGRPSFA VDRNAPPVPGFPAGLSMPPPIGGGGTRSSFASSDGGRSGRRSENGGNSPISGVFLSRK DFGTPPPNRPEPCFERPIPFTVGRAPILRVFVPLSDRIQRWPSAEGAAAAAKEMEKCG ALRRMKLGDIVVNTAIRQPKTTEHVLVYVPFVRHLLVPLDYTFSPNGNPPSYVNGFDI APSYYYPFLPTPQIIFLNLAPFAERALKSIRLAYDRRDVTVASGARLSAKRYLHVAGF EVNQADPVAPEWHGMVSLEAEGTAEGKQDIEARLMGLNGSRPVMGPWELVREKSMMGT IWLRLIK I203_05823 MPKDTFNLPEYFALHSYLSALICGAFVLLPRSTPWFVGDVVQSS STDRPEYAFLTPLTSRPLVTMVWDILGMLICMSWWGSIMRRWSQAAPSRATVANEEAI NERTSRNKRMLNRIGECAASTLVASLVLYVLIISLGAPIDSHHLHTFLLSLHISILTV WPTVHALGVPSIYDSGTYARFRMTRLFCEFRPETPLERALVYPVIGTLSGAWCGAIPI PLDWDRPWQNYPLTPTVGSILGFIVGGFVSWLHSALIDTVDEVLQTKEQVGNTSSEKK KKKRTKRT I203_05824 MGYSALLLGALVAAPAFGQTFRRTAACPQLGCVFPPDQTDFIAG QTFDLRIEVQAPVNGSEAYNNGQVDKNFSIKIGGQGAELVDAAQFFGLSDPEAEKYNF TYYEDLFAEDAHAPTPVNVVAKSYRNLQLHTPGTYEVVLTYNNGDTTKATWTVADLAE KKKAKNVIFFVGDGMAGSMLSAARLLGHKTINGKYQSKLKLDEAPGYGSQMTHSLDSF ITDSANSASALFSGKKMTVNGLNAYTLIQNSSTGKAYGDPKVETIFEMFRRIEGGQVG VVSKAYIADATPAAVCTHTSQRSQYTAIIEQYLSGVAGNYSWFPWEGVDLLFGGGGEN FLPGPGNGNISQFDRWASEGYQVGYTKTELEAFDNAQRALAIFTQGNISTWLDQNVYT DLLDLAVTPQGKRGARDQPGLKDMTLKAIDILHTRSKERNTGFMLMSEAALIDKEMHV LDIDRALGEVLELDDTVRATLKHLEEIGELDDTLVVVTADHGHGFDVFGSADTKYLQQ QNSDRAKRSAIGTYQNSGLSAYQVPQDVLANNHTIFQSPQGDGFPVTWDPRYTIAHGW AAIPDHREDFEVNKDHERVPAVKNTTGYFFNPEDNQRGFAMTGNLGTGDGQGVHSLVD VPVYAFGPGHEYFRGVMNSPDLAFKVALALDLGRNSNVTASYRK I203_05825 MAEYDLTQKLIPHLDRHLAIPLLNHLSDIAIFPAEQLAKAQYDL VKGTNMVDYVEQLHEQAKTGESRDFAKLREEATAKYQELQEKAQPVMKVIEDPDAVAK LRSGVDKDKNLDLLRSEYNIDIDQINALYHFGQYQYTLGAYAPAANFLYHFLIFSPSL DLNISAHWGKLSSNILSGEWEAALVEIKDLRDAIDNPHGTSMAKPLAQLQARTWLLHW SLFVFFNLGEGQGCQGLLDMFLSPAYLNTIQTSCPHLLRYLVAAAIISRRAPKPAGTR GNRDHVKELTKIVQMEEYQYSDPVTGFLKDLFVDFDLNQAQKRLTVAESVVRSDFFLS GFADEFVENARWLISEVFCRIHRRIDIGELSKTLNLTNEEGEKWIVNLIRDSRMGVEA KIDLKENMLHITRPHATPTATLIETTRGLAFRSQAIQFAMQSSAGDSRGERGERGDRG GRGGRGGRTRGGAPAREETAA I203_05826 MGRSKPRTNKRPPPKSRLPTTLPALPYPNSEPSESTFSTALSNW SANALGRLDNIPKLTQSQLTALTGAAAGIGEANFLANPANIPPQSYNPNLPIDLPASL AALFEAKLTLDREKAKLLRMQQELKGQKEEQERPKGKAVAVVEEECTCGRSHSYPPSE HSECEYECEEDCDCDCHSYIDEYDHTCDHEYDEQEDPPKRPLAEDPERLVGVSSGN I203_05827 MASAGLPRGLWGAARSQFTPIAPRSSIAPIASPSTLRAFSSSSV QSLRNVNARPQPFKLPYFTPSARSTFFYPSYGFTFPRSVTTAPSLPEIPRSLPYWLYG CSALVFGIVVVGGVTRLTESGLSIVEWQPFKGVIPPITAAEWDAEWEKYRVSPEGVMM NSKMDIHEFKKIFYMEWAHRIAGRALGLIFVIPAAYYVARYKLPRPIPAKLALIGLGI GFQGFLGWWMVKSGLDQEIVETNSVPRVSQYRLAAHLTAAFLLYLGMLSTAIGIQRDV KLFNNPSIVSQLSLPSVKKFRGMVHGAGMMVFLTAVTGAFVAGLDAGLVYNEWPLMGG RIMPPTDELLDEHYTRGGTKSIWRNFLENPVTAQFDHRMLAYTTFGLVVSLPFVARKL PFASTRRLAGLTAAAAVTQVTLGITTLLYLVPIPLAAMHQAGSVVLLTCIMALGGSLR RPSRMLKYLRK I203_05828 MPSVSLQQLRKVYDILAEREYIRLRTSQVPRPSAEQAFYSVRNS LSHRADNRYSNILAYDRTAVSVEGGYINANVVTDGKGGEWIAAQAPLPRTFDTFFRAL YLGSATGRRSDNAILVQLTGWEERGMLKANPYMNHVMDHLPLKIENQQRRDEISCDVT EILLGADRPVKVHHYHFDSWPDHGVPQGRGVEALSKLVDEIQQRKDSLGCEVWVHCSA GVGRTGTFIALSSLRQPGLPKHSSPLGPLPEELSEDIVAHTVDVIRECRGILVQTPEQ LGLVYEMQ I203_05829 MTALPPRFTVPPLPPRIHRQIKLAITDDGVALAPESGEGVLIRW GVKGKVETIDCEPGEVVLGGVLGIVRLWETSYLLVFLPRLATPLFPCHDVHDESRNEA TSSHEVFTLEDIHAIPLNHEIALKAHKKLLDIQISRQPTAKSRWSITLPLRSAANTAK GGSEESSSDDDDEIPEADETPLPSKTREWTKFMPKLRKKQPNDPKTNETLPDDPPQRE ELEAKIVRQIIREFSNGFFFSYDFDLTHSLQHKRKILAQRNASHTALTHLIPREGSLF PPSPSSTLPRHNLCEDDFVEPDMQVPLWRRTDRRFFWNEYLMKDFIDLGLHAYVIPMM QGWVQSASFTIPIPPNPLEPEKSLGAVPLDLVVISRRSRDRAGLRYQRRGIDDEGHVA NMVETEMIVRAKVEGKSSLFSFVQVRGSIPLRWSQSPYSMKPPPVLNEPVDQTYAVAT LHFNDLTSRYGPITIINLSEQEGKEGVVTNGYRDLVDSLERSDIKYVPFDFHAKCHGM KWENISELVDSVDLGGMGYLWTLQGEAIREQEGAFRTNCIDCLDRTNVVQSALARRVL TNMLTQLGLKAEGSIIENIFNDIWANNGDTISLCYAHTSALKGDFVRTGKRDFSGMLH DGVSSISRMFYGAVSDFFAQAVISFLLGHRNLSVFSEFLQTLNSTDAASVVKLSRIRS VAIETCSARVLNDGEERINGWTLLSPDARSVKISPGLEEKVLLLTREAIYVVSFNYSL EKVMEFTRIPVKSITSIQKGAYILSTLQEASRDPKENYGFLVNFSPTDESTRYSTYSL RNKLLSVPQDSAPTPGTPKPSVKHALIDPDVTEFYAFKAIPGHTSDEGTCQESVNNAV KQIAEACKDEELIVEKDIVSLAEAESATSILDKMDYAFKRFLWL I203_05830 MLKDVLLVTMASRWRTKFSTSTQTHTKETKFSNVSAKQTILVFG ATGKQGSATIKALDQTKFKILAVTPDASSEKAKAIGVDLIEGSLENPESLFDKEPIHG VLLVLANTDPEGHLQEGLAIVNAAAKHGVKHFVYSNGDYCGRSDTGIPFFEAKRKIEN AVKAQSFQWTILGPVGFMENFYWPLYLDQVSTTWKQSPHPTYKVIATSDIGKIAAEVF ANPDRFEGKKINIVGDELTPDEIISIWKEVTGQTLQAEETPVFPPFIAPAFKFFDDNQ FEADVSENKKLFPFLTDFKSWLQQTPFAKK I203_05831 MTKSNIRVAIAQTAPIVAPEGPAKLEKPHSTSPFSTIDQNLIDV ISYVERAAAQRAEVVIFPEYFLQGIVNQNRQYLTFPSKHLLTFLRDLAKTNRIALCGT IVHGKREEGAAPIPSSSPFSHIPLHTSHKSPIISPAQLEWGKYLEAHPLSTEESAQPT LYNTAFFIDDQGEIVGEYTKKNLWHPERDYITPGEDDHKVFETKWGKIGMMICWDMSH PSHAQELASQGADIIFAPTFWYATDSEPTIHKYQHDPQYEHNMVQSLCFARCAETETV WVMCNAGGDPLEGFMGGSGVWVPLRGKVASCEVGPKLEVVDIDLGVLKDSRELYKIRE DAAKRLCT I203_05832 MPFALGHHPPSRPLTPSPPKNQPARLPYDPIPISREHRSSIGGP PNFNMTSSAFVSNTPTTQLAWQASLNTTFNEMTPEQKFFRKTSIIATIGPKTNNVDTL VKLADAGMNIVRMNFSHGSYEYHQSVIDNARAAAAKSPNGRPLAIALDTKGPEIRTGL MKDDTDVPIDAGHEFWVTTDKSFAESGTAEQIYMDYTNLPKVTAPGKLIYVDDGILSL QVVSIDGEKIRVKSLNSGTLSSRKGVNLPKTAVDLPALSEKDKSDLAFGVKNGVDMIF ASFIRSGNDVKEIRKVLGTEGANIKIIVKIENEQGVTNFDEILKETDGVMVARGDLGI EIPASQVFMAQKMMIAKCNVAGKPVICATQMLESMTYNPRPTRAEVSDVANAVMDGAD CVMLSGETAKGKYPIEAVKMMAETAYLAERAIAYPHLFDQLRSLTPRPTETAETLALS AVAAAIEQDAGAIIVLSTSGVSARLLSKYRPECPIICVTRNQQTARQLHLSRGVYPVW YPEPRGIPGDKWQIDVDNRIRYGLRVALQLAIVKPEATVMAVQGWKGGLGHTNTLRIL SVPADPADLDLHSIDRED I203_05833 MPRHDTFSPSQSQLSLSTVSTSTTSDTDPCNSASHGSVVNPDTS DTQVQVPRSRPKRDKTDPLDPYEEYFIQQAKLFKHLEKRYSKFQKKQKEEQARARDNR LNRKHTPAPAPVKPKKKKDKQRIASNSDSDTSNSSSTSSAETDNMIGSSVAAIRGQAG KAVAQAVRPAISITRASSPAASASFSSTASTSVRSQKLQTKKKKFVNPDAMTATEAVR VLRALEIANPTSSYSLTLSTKSTKSSLPIRGHFHLPLDPRRSSETILVFAEPSSTSST LAKQAGAAYVGGEELFESVLSGKISPTRCLATPGMMPQVTRNLARYLGPKGLMPVAKR GLVGEGEELAEKIRDAAGRMEYRADKEGLVRIPVARMDFEIPSIENNIRSFIQTVRDN QSAGTTDDAVTNAAKKKKKGSSITAARIETTHGPSIEINDVL I203_05834 MATVARSLRPILCQQTTLRLQARQIPRLVIAARCRGEIVGRSYS STSVVRAVVQDGPALTQTNRAEVTLRRFWKTVHIKQDDSGSFQVTLDHRALKTPGGAK LLIPSERRLLALLIANEWENQDEVLKQHTLPVTSLASRAIDGLGEGVIRAGVIDQMMK YLDTDTILFTNDTPTSLVRMQKEHWEPLYSWLKETYGVKLNPAEGFSPPQQSEDARSK LRRVLEGMDGWELAAFERAAYASKSFVIALALCNGRLTANQAAEASHVEVRSQIEQWG EVEDTHDVDYQDIRRALGSVACLLVKA I203_05835 MAPPIKDTNIGPSPTASNASTGNVPNASDAAPKPKQRKLNRTLP QLKRNAACIPCRRRRIKCDAGKPHCSSCVRSYHFLARTQPDEERDARGIQCFYEEDAE DVDEDRHHAVHMHSKGDNLKGASGSSPMEMPRGTKRKGTSVDEDPRDVIKKLEEKVAE LQQALAATSMFSNPPGSSTTITSNAGFGPAYVPPQQRSQPIIETSPWAGPTPNQFPAD FLSAPYNPPDVAPTVSDTAAIPPFNAPFVTPLGLPQDGSAPQPTGPPRRSTLPTSSDD IDAEAGRFSGPFLDILFPGWPPKLPTPSMLDHLVETFFNMVPSVPRVLHRQTFLTRLA LPPTHADFPHVALLHAICATAARYTAAVKCRPVPEAIEKTNQDAKRANGKGLPYDDPA DETCFSERNARYAMNAMKFEHVSGRGLLDMLQAMIVMGHWGQSSAKWIEGWIIIGSGG RLAICLGLLDYQPDNFGMPALRQSILGPPKTDAEREERRAVMYYIMTYDCISAASSGW PNSLPIEELDDIPENPQSYHSPDLWTYHPVADGFNMMLKGVILLSRACRFIRKCRNME PADRLIARQVPEFKQIDSDIAAFNLHFPPALRDPVQYLQGHAKGVDADLIAAHLIPRI ASIFLHEPFADVSDPSCVSAARILMEARAVLNIVYLVVSSNADISYMVMPICSCNYFF TAARTLLLFYQRALETGDRSAAHSFRNEIAVFKIAFQALSSRFAMGARHLIMIEMVST HIEEETLGHPLPESEVVPRLPAQPPAWHVAYPDMRRGYDPSGFPAEEEPSEPTTSRPG HPDAMAGIKLDQLRSQQRQGSAMDHIHNMSNNEGMDHRRDYVSSPRGNFSASASASAG STSQSPNGPIVYVNWQSVASHTTEGHLPPELQDPNMRTQAQIHDPKFSLL I203_05836 MSGKESTEISDPGLPQLPKKVESQPEAFVTDINGDRGEKAGYNG ENQKVDGPAANYVDGQMHRTLKQRHMAMIALGGAIGTGLFVGSGSALSTGGPVGLWLG YIFMSSIVYSMMVALGEMASLFPVAGAFTHYAARFVDPALGFATGINYWYSYAITIPV EIVAAAIVISYWDADTNAAVYITVCLVLIFAVNLFGARVYGETEFWFSAIKVVTIVGL ILLGIILMCGGGPNHDAIGFRYWRNPGPFAQMTINGGDGVIEGAWGQFLAFWNVFIQA AFSFLGTEIIATTLGEAENPRKTVPKAIKRVFFRLVFFYIMGIFVISVLVPYDNEDLL NGSGNAAASPFVIAIKNAGIKALPSIVNAVILVAAWSAGNSDLYAASRTFYALALERQ MPKIFRKCTKKGLPIWSVVITGLFGFLAYLNQGGDSAVTAFNWLYNISAVTGVITWWG ILLSYLRFYYGLKKQGLSREGFPYLAPLQPWLSWYGFIFLTLVMLFNGFPVFLKGNWD TSSFFVAYVSLLLFAICWIGWKVVKKTKVVPLDEIDFITGRRELDELEALDRERFATE SKYQKFMSILF I203_05837 MEKPLSASRFSEDSNANPNVHRPELKPRDSRFHEHIDNAAPNSP AQPDYDNGQEKKYGVEEGLALGSERRRVVEKRLKLKLDGRFMSRLSDFLRLCRGNTEM T I203_05838 MLLNRIGKPSLYLPAAMLVWGMISVLTGITKNFVGALLTRLFLG MVEAAFLPGALFILSKWYKKDELSLRYTLLYCGNLISNAFGSLIAAGVLANMDGKLGH AAWRWLFYIEGALTMFFALVAIPMLPDFPHNTKRGFTAEEIRVAQLRMLEDVGEIDQD SHEEKWYSGFIMALSDWKIYILMLSLTACVTGLSFNIYFPTLTKTLGYGTTETLLLAA PPWVFSCILALLNSWHSDRTREKFWHSTWPLLMGIMGFIISMATRPTNKAGRYVALFF QAGSYAGYIIMYTWMSSSFPRPPAKRAVALAFMNALSQTGNIAGSYVWPAKYGPTYVK SYGVVLAMFVATILLNIWFRQILVSANRRLEEGERAFNEHGDTLEQAAKLESTTVKDA QQMQKGFRFLI I203_05839 MSRPTTPSTPVRPPRSERRLTLESIASLPTQVPSDRSTPPPPLP SSSPVSSEESEPQTPKTATTPTSRPKLANRKSTSWIKRKPVPSTPEDLSLSLESAGLP SSKSFDSSLIAITRLSREEQPPRYILPVDVPVPLPVFAQDQPEAGPSSSSASQTSQID FSASRPPSYANENHQAPRPIITASIPTSENHQTSHDELYFDPTAGLGGHQSPTFTLQS SDALPCYAEETQTEPKTLARALWKWGWICPLLWIIGMCIMWIPLKPIEEESDPEKAQK LEEMIVILRKAEMKYAKRCAYGTLGFSVLLMTIIVIAIVLSKVLE I203_05840 MPATPLPSPRRILTATDSQGQPVVLDDTTPFSNDNEELKAFVGY VQPDLIGKPDQAIKYSEYKPAKISHDDQVSLRWVDIPPKYKGDQHYTNTFDYVIVTHG ELELVLPDGQSKIVKVGDTVVQAANIHAWNNNTDQWARFVGVVVPSESVKVNGKTLDQ PPINGYHAQF I203_05841 MGKHHSSRHHSSGRQRSHRDYSTYDRYRRGWNPLFCCKCSFGGK TAQSILLIFLPFSIAVICFLAGFWLLPVWVYDGGYQGDFKIAAQGYGVNGQDSGTREF LYSTSSWPDPMSGFPLLLLFHLILSILLITYLVLILATCAFASRHRRSDSWSDIMRDP KWWERGICVLLTLITGTVLVLDNVVWGLARSKGHDLSPDILGYFVNIVALCLWVFWVV VQCASTHDIKEYYVTGGGRVRTR I203_05842 MEAELSTYKDQLAYVNLQLESDPNNEGLKTLKTELVELIDLTQQ AMGHPAAGSTTSTSAGTTKNDKPKGKGKEDAASQYKAGMDCMAKYKDGKWYPAKINAV IGSPESPQYTITFKGYTTSTNVPLSSLRPHDPSAPIPTPVEPTKRKQEELTEKEKEKK KKKGEKWMESQKAKADEIKDKKSAWEKFGKKASKKGIHISGLEGKSVFRTPDNPFGRV GVTGSGRGVTEYERMGKHKFAADKEE I203_05843 MSDVEDAASSLVATWNSTLSSVSVKAVGSQIALMTGISLGTILA FSFFRPREKKVYAPKIKYQLPRPADPADDPDYEPPPPPISNGFFAWFSPVIRLKEEQM IANVGLDATTFLRFLRMLRNIFSCITVLGVILLVLNIIYNLKYVESKNRNALSLLTIQ NVRGSWMWPALGVSYLISNRADIFEVWRNWQAMIQLRMRWFRSPAYQTKIYSRTLMVT QVRKDYRSDEGLVALMGLLKVDGPEIDCTSIGRRLEDFPEMVEDHNKAVAELEEHLVK YLKNNQMASKRPQIRKGGFLGMGGVKKDAIDYHAKEIRFLREKIDTKRQAIDSLLRKE RHARKKGGNVNRIEGENYGFVTFKTIAEAHRIARAHQGKLKELHGAQLHLAPMPQDIV WENISKDSAEIGSRRTFGFIFIGIICFFYTVPLLVVSLLANLSALTLYVGFLNTWKSA GQWGNWTFSLVSGILPSIISSGFGYFLPFIMRRISKYQGAPTRSRLDRAVTARYFFFV IICNVVIYSLLSVIYTAVATVVAEIGKHQSVGTIFKTLEDIPDRIQGTYVQQSTYWLT WLPLRGFLVIFELIQLIKLALVSLRRFMFSHTPRDIREMTKPGYFEYAIVVVNLLFVC AVGMIYAPLAPLVAIGACAVFWFSSIVYKYQLLYVYISRAESGGRMWNVYVNRLLACC ILMQLLMALTTGLIRSRWLDTVAAAPPILIIIAFKIYISRTLENQFRYYNPTQQELEQ ERMYSMSEKRTKHSEMEKRFLHPALQQDKLYTIMVHKSQEQLAREVLSAYPWFAGKHQ HDGVEIKAVREENLEYDPNRDGPADVAHQADWDARSIASTEMLGGFGGGKSELSSTAP SPGVDTDAYNHYPLPGMDSHVNLPLDNPSSDYLLAQQRDRSDEYRNDTQPPRRQNSRP YPLSHARGNLSETDIVASSPLLEHQQQQPSYDAPPYSNVPYPPSAYTQPPIGYTPPTT RRTASGNEDDIGQTRWDQGDLERGYTRPQSNRPSPPQRSYSNQSQDDQGPYGGGWPQH DRRNQGGHGW I203_05844 MSDPSVPLVRGKTNHKLKGNSLLYSVSVFLSIGVWLFGYDQGVM SGIITGPYFKAYFNQPTAGKVGNMVAVLEIGAFVTSLAAAHLADNYGRRMTLRTGAFV FTIGGAIQTWCIGFKSMLLGRVISGFGVGMLSMVVPIYQSEISPASHRGLLGSVEFTG NIIGYASSVWIDYGCSYLQSNLSWRIPLFVQCLGGAILGLGSFIAPESPRYLIDTDQD VEGLAVIADFQGKELDAHSVQEEYKEIRDAVLADRAVGDRSYKALWRRYKGRVLIAMS SQMFAQLNGINVISYYARECSQNAGWIGRDAILMTGINAMFYVASSLPPWFLMDRAGR RPILLTGAVAMAIALTATGWWIYIDQAITPNAVVICVVIYNAAFGMSWGPVPWLYPPE IMPLPFRAKGVSLSTATNWLFNYWVGVSTPVFQELIGWRLYPMHAFFCALSFVLVYFL YPETRGVPLEEMNLLFQDEPDLDDDEDDEDGSDNDDDEDASAGSGSETSSLVGGSDRR RRFSDGSSLPISNKSTADQRTGFFGKILDSVEGVFGGGNSRRRGSELARLNARGKPIR KSRRSKGKNKMERNPVDLEAAREFEFENLPDLPEHDIDIDHVEGEDDIGDVELHPSKI GGVELSRRNTNSVEPR I203_05845 MTKRTIRVALLINDTPVPAVLEEDGTYYDIYKRWLLQSLSTYPD TAIAKTTELIIDGYDVVDKREYPPEDRLLANSKNGYDAIMLTGSKHTAHDPSNPFAPP LISFIRRLTSSAEYSHLKFIGICFGHQILSLALGGECASGQNGWEIGVYGCKLTEEGK KWWTWHGDEDEKKEDGGSGHEKDGKRVNGVIENGNGHVRVDGQGGEDKVYLEQMHRDH VPSVPPSCHLLLSTPQYPVHSFVRYHPLSSPSNPIAQILTVQGHPEFTPSIVSHIIDA RSSTGVFSPEVTKEARRRAGGKGTGGEGFGRIGWSIWKVLLQNVPVQG I203_05846 MSGESNNSLIPRPGPTPKLISGPKTGQKSLYQQHVLDEDTYGDA LSHIITRDFFPNLPHLHATNDYLTALTENDPELLSASIRKLAYLAQEKDNGRSTPVGG RRSLDDIDAERVRRTEFAMAGTPYISLPGSRARPNRTPVGARGWETPMGGESSRRKYE DYDELDGSGFRPTNSEAGPSSRPFKRPRQKMIRDDLSLDAFQRNYTSEDNASFVQIVD EENKRRREERWGWAWEAEKKANQRRLEGEEKRKMILDAATSGNWRVNGEGTRLIGGLA EGGRDRNEGEAWKDIKLIEGTKDRLSSTNPDDEDTFTEVESGALIPHASGSTSSALVK IGDTTTAPQSKLAEFPLPPKHPLTQALTDAGLPSTALISTEDGQIVPHREGASGGSEG RGRGDEEKALRIRVENAVMGEEDNSISLAGSGADQWGYKTRNNLMFPADSNSDPYPKP RPTNAQPIANPPTITHANTRLPDEEEARPSRGEGSSRRGSSPARSWVDAAVKGTPYHR EPSMPVINNYPLLPNDPSPSPQDLPSLLTWGTLLSTPRALDGTDDPLESTRSFKLPET KRRDEIGRKLADKASRSISERAKSFTPHHQRGALSSTLRAAADKSQRSVRGERTPGKM LPPSSATPRRQAESLTPAARKLLERSVGRSPMTTHGGIGMSTGGKNRGAVMESRSGWG GKPEKRMTWTPSPRK I203_05847 MSSIFPQRPTTHYRRISSAENANTNRNGNGKTSSPTRQSRHSHS KSPLSPLRSQSHTHGYHHHAPSITSHSPKPHSLPPLRHSTTPLSLSSPLTRPPITPRA NSMMYNNPYQWGGQSANGMMSGGYNPYMMPGSTMNGFTPSNAGGGGAMPAQSGMTDGG LKPFDSSKMKQGRMGHYGYLEGRELAGPPVGFLPAGVQPEQYHSSSPSAHGQGQISPS HRAASTARQSYYTAPAPSGGGSVNGSMAWGDMGNTPRARYDGSSSAAGYVQADLDTPY PRTSGGGASSAFTRYGPDGSVVNGIDGRMGINHRRLREGRV I203_05848 MFGTTPPVHPSALQAISGNHYGMGYEQPMPQAQSSPYGGVGFGL GGGGSGGMMSPGSGGGQAFPSMRSMGMNLNMGGMGGMGGMGGMNGMGGMNGMGGMGMS GMGMGMGGMAGFPSINNGLVFLLFFKTFDSPFSSSFHHSFGEMLGDESGL I203_05849 MTYRDSFISTTSSDTYPSTMSSLSHSALGLTDSPLRPRLRSTLG TSTPASSVPGTPVRTKPSQQQLRQAPSRNSLHQEATTNAARRVVQQQSTEGLRTVAPR SSQTNLTNANGSPPISRPTSRNGTATTTSGGIPSRPATTPVKTTSSPQATNPTRPAST TQIAPPNQPQRAQGTQLQNASQLSRSTQRPPVQRKAVSPSPARTETTLAEEWEAELIQ DTRQLNVRPRPKPTPAPLRQAPTAIDREEQRLKDMEWERSGMWDTTRDPVREAEDRVR RDLGRDIAYPPETPRVPVRAAPSGVTSVHIGIRPRLHPTRASESMTRNQPDFSIPLPL FSPSSASIDLPDNATRRNQNPRYDSALAEKARKEYEDWKARKAEREGGVGDDGDAHGT RDWVPKSREVARPGNVEGIAHSDAYEDTHHRMSAQDQMVLHQQMQAMQQQAMQMQIPK VKTTAHDRKVPPQGTPKSISKVQPQPTIQNQVQDQTQNQAQKQDAAQLVSDATVGNEQ DQIQAQQGWGYPYPYGMGYDPMGMGQMQGMEGYYPEQGYWDPSYWWGMGGMMGDPQQL GIAQSQGESQDGKKVQFVEPSITAGSNSSQEGSASSAQAISTPITPEDPYSQM I203_05850 MSNNDQYKQEAEKGETIQLEEAGAIEEPLKPGIKLPSQHADIYH EAIQRYPGDSHINPDDEKRLKRKLDRRIIPLLGICYFFYYVDKTTLSYAAIFGIKTDL HLGKQDYSWLSSIFYFGWLAWAIPSNLIMQRSPPAYYLGANIFFWGVFLMAQAASKNF AAIAALRVISGAAEAIADPAFMLITSMYYTRAEQPSRISCWYAFNGVGVAGGGLIGYG IGNIKGALASWRYEFLIVGAACSAWAICLLLYLPNSPATFRGFTHEEKLLMIARMRKN QTGIENRKIKWSQVREAFTDYKTYMFCFLGFIGNIPNGGISNFSTLVIQGLGFDTLHT ALLGIPQGVFVVIWIGAGALINDRLPKNSRTLVCALFMLPTISGALGFLLAPKDAYVG RLICFYLTGSYQCSFVLSLSLITSNTGGQSKKMLVSAMIWFGACVGNIVGPFFFKSEQ APKYSLGIGAILVCNILEFSLFFAFRFAFMYENRKKKLAIERVSAEGNGESGERDLNQ TAFADLTDKENPHFEYVY I203_05851 MSNPQTPSTAGDFSSASTPLKKFKLVFLGEQSVGKTSLITRFMY DTFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVY DITNRTSFLNTTKWVDDVRNERGQDVIIVLVGNKTDLNDKRQVTPEELDKRAKDLGVM SIETSAKAGYNVKTLFKKIAMALPGGSAEVKETANTKIDVSAPTQEVPQASGCQC I203_05852 MSQGGYAVVDVDDEINDQGNGLEFKTFLPTDSNAPRATSPSPPD VPYSPFNLAYYQTYFDVDTNTVLKRVGMAMIPRSGFIVENCDGQIDLYGPFWTLTTLI LVLYITSTLLSSITQYLQSSHASSNLPLLSTAVSVIYFYGLGLPAFLWGATKWLGVGE WGVAEALGLYGYSMGVYIPVSLLCLIPVGILRWVLVFGGAASSGYFLVQNIYPVLASA DNKMTRLLIVAVIALHGGMALAIKVLFFS I203_05853 MQYLSKAYSYYSGINPATLSGAIDVIVVRHVDTEGTVTLSSSPF HVRFGKLQVLRAAEKTVTIRLPNNLPAPHVAPFVMKVGETGEAFFVVETDEQVPADLL TSPVVMPTHTDLPTSHVTHDETDTSADPHHSLTQEPFGETETQIPHESPLAEVEFLDL NATSTTDASQKEANTSKRSSLVDSASSLLPSIPFLSSPSNSRSGSPPKEDRSKVHPVN DEAKAKEADEPVISTTDQQPNPLATEDGESAKMPDRDHRLSSHEALIAGENASAAEDL LPKVKPGQGEGPAVLYGRDVVLDMAGYHSGKGDTSSGPGSPPPEDSDPQTELFIQDLL AAVQPPDDSRPALPPSRVTDSEIPVNDPDDDTPIPPPAPELASEPDLPAALANVHISP QSISRRFDRGHSEPPQDPEMDISRSPTRVAMDMQWDWSRTHAVEMNRTRSGHGNPTPG VVGKLKNVEENPYMFVLDVNDRSHRFELSLNDGFTGDDETEFKRNRMTFRKFIEDPSV VDDPRLVIKYNSIYRTWSTDYRLLYALSMYRRTLLPPPSSPTLPSLSDTGISNQPPNR PSSGSGWSRWWRRGQSSAAGSVAPPTRSNTTPTEDRPVSPPPRQPAEPQAAASELVET PEGKQYAKTLRLSSDQLKSLHLKPGPNTIQFSVTSSYSGLATCTSRIFLWEETDQVVI SDIDGTITKSDALGHLYAAIGRDWTHLGIAKLYTDIGNNGYKMLYLTSRAIGQADATR QYLKTIVQGDYRLPEGPVIMSPDRLMASLHREVIMRKPELFKMACLRDIQRLFGEQAK EAFFAGFGNRITDAMSYRSVGIPAAKIYTIDSTGVVKTELLSAAGHKGSYIQLNDLVN EVFPPVSTKFKPEFTDFNYWRDSIPDIPIPDLSPPSPALSARSDTSGRLSVLGKITGI ARRSSKQPLLPTSEPSSRPSSPLFAPSMTPDELSELDAEAEDDDDRKSQISMPGSFDE EEEARRNTMGDSFFDERTVRHQDDIEAQNQNQNYNDQEEEDDGGNFDFDDDILAAGEM QHVPF I203_05854 MVKNSELIGKQAPPLELPSIPNGDLYKLPIGEKPIALFFFPAAN TPGCTKEACSFRDAQTQNIVFKRSPNLLVVGISGDATTKQSLFADQYNLPYPILSDVD NSARKLYGVGKAFLGLTPGRETFFIDEKGIVKGVCDKALDVNAHIKFVEQHLLEIEKS QASQAGHTD I203_05855 MKQTLNQLLVELDGFEQSEGVIIIAATNFPESLDKALTRPGRFD RHVVVGLPDVRGRIEILKHHMGEVAFDVDVDASIIARGCPGMSGADLQNLVNQAAVKA SREGAKTVGLKHFEWAKDRILMGAERRSHFVTEESKRATAYHEGGHALVALHTPGAMP LHKVTIMPRGQALGITFQLPEQDKDSYTRREYNAMIDVALGGRAAEEMVFGHDDVTSG CSSDLQRATDVAARMIRSYGFSDKVGLVAHGDEESVYLSGKKQDEIESEIRSFLDTGM DRAKYLLKTREQELHTLANALVEYETLSLDEVRQVLSGQKLNRPTTEGESLKSEEEIR NQAGGQIVDGI I203_05856 MAFRQVYSLDVLLAGPKCLRSPRHVPNRIPIRLQNKPFIPFSRP LTTSSSIKGIFSSSSSSSTSSTPPPPQAVIDSDSPLTPFQARIASLESTAQNSPENLE AQLNLLRELANGGEYNGLVAYYQAMALEESEDGTVGSVNLLKDEQGWNLFLDGLARVG RLGEASSMVRKRDGLLKNLSSSNATISSESIINPSNNGIPSSTSVLSHLSSSTSPALS TPTSTNSTSSPLSSFLSSSVSSSGNPSSSGTSASSTSGSALNPLYVQMAPATPQANAW KALRWLGGFLLWGFIILTVMSMIMENTGLLKAGPGPAEFEPEEGKVVKFSDVHGVEEA KSELEEIVEFLKNPEKFSNLGGKLPKGVLLTGPPGTGKTMLARAVAGEAEVPFLFASG SSFDEMFVGVGAKRVRELFAAARKKAPAIVFIDELDAIGSKRSAKDQAS I203_05857 MARTPTRTTLNNVLRTPPPTLRQRQDDQSAATDEEAPPSDDQVT TTEEASGSDEIETVTVTITPVTTVYPDEDQQKTETMTKTYKLTPATTPIPLADKMGLI MPNPWSHLYTGLNYSFGFFDPVPRPAPTAGGWLRVVQPLLVFPNYTVAVFPSIGTDDP TSVDAIPVGSDGSCGATAENYAVAWPFIFLEHGWYMFVINQTYMQVNVTSNNQCTVPI LQQESFFATQTFSIGPAPTYSPGPVAPSSGYTVFAEVSTHTPSDLPIDPHHASKGEKL AIALGVTGAILGIAIIIAVMWFIRKKRKMERESLAFSRLSPKDQEAFLRENPDSFLNP NHPRYTAKNGGYGNTGPPAPPGTMAYAIWWSQQVWNNQMAIWQNPNMAQYSAAPQMAQ TRNPSTMGHPQWTGGQGIYGGGNMAGNGYMGGNGLYQYGR I203_05858 MSSITDGLVIKLVNIVVYAVSLGSNVYSVAGPEDMYGSSKVTYI TPSYYAFYVWSLIHLLLLGTIIFQFTSRGKAVIVDSISWRFALLGIFNSVYIFFWSRH WYILAFVLSLLVSATVSQIYYVVKRDHSDRESLGEEAFVHLPFSLYHGWTIVLVVLSL FEAFGVNAHTHKAGIWTKIFVFLAFVFLETTAAAYAFASKEGDAAGAAAITWALFAIF IHQTSSKFIHWSAFAFFLLSLLAIIKSLIATVRGNGTLLHDEERAPLVSGSS I203_05859 MPWLMKAEPDSRIVKGKDVKFSVDDFEKIGTSPWDGVRNHEAKK IMKERMKLGDQVLFYHSNCKVPGVFAIAEIAKEGYPDYTAWDPKHPYHDPKSKEDDPT WYMVDVGFIRRLAHPPTLQLIKHLATLSPSSALPKEISYIGKDGLEAIRSMQLVNRGR LSVQPVEQLAFESIVKLGQHGGWEDLIDQKQKGKSKSSTPAMKKSKGDADAIQKPKPS VTTDSTKKERPASVTKRSEPPSKKGAKQRDPPSGGSQGERRSKRIKVN I203_05860 MSSNHVTFDENPHAQPEAGPSTSHSAGPSIHPDRLALNAIPVEV KKSKNKSEAQKNREKKKLKKRRKIEKAKKASAPKTNKKEWKPDPVLEALKKSTTNNAV TNLSNGIDEKQSLQKDEGGDEDGEKKVDGTINGESEREKRRREKREKRERRERKEKRK LEREAKLSAASTSALPETKSGVELQDQQEDVPMEEDSQPASVEQHDDEVGSDDEEEKG EDQAEKQPSPEPLEAFPLPRSAPAPAPEILAKQGLPSGLEDAEFIDQSLRIGLDDLKA PVKTVLGEVKEIKTISERMKGKLRETGVEDLFAVQAAILPHLLSLRLNPLPYDRLHDY LISAPTGSGKTLAYAIPIIEILSQRITTRLRALIVLPTRDLVIQVRETLEVLAKGTGL NIGAVTGQHSFTQEQSQLVADMETPLLGGSSKLDILIATPGRLIDHLQSTPNFTLQHL RFLIIDEADRLLNQSFQNWLSQVLSYINPPDPPRPLPEGFLVQPWDKVAPSWMEGLGL IDRKEEWNERPPVTCQKLLFSATLTRDPSKVAALSLTSPKYYIIQSTNLPSIPYNIGE TFSFPSTLSEKMLILPSALKPLNLIHLIYSTEFGISNGLIFTKSVESSQRLLNLLQFF NDAFISGGKKVVVKGYTGELKSSERKRILSDFSEGKINLLVCSDLIARGMDLPSVANV ISYDVPLDMRKYVHRVGRTARAGREGTAWTLVEKQEALHFKGMMNTAGHLKAIRKVKV KEDDLSDYKESYEIAMKRLKEFYGRDT I203_05861 MSSSPIPRKYSEMPPRSTPPRRISTFTDSGGGTPGEMRRGSSAI GAASAEQRKARREQFRNFYGIKEGPGSGQTVDSPSDTANGKPLDIDSNSFNPSAYYED LISKSNLKKLMEKASILNADIGNLEGSRHSLVYNHHHQLFSAGDTISKLNSRTPQLLS IVGELQESFSKIEQLIDSISVNDIKVPHEDIPKGDEIGLVLEELKRGKRRLELMILAK ESSEKIRSTGDELVRKVKDTNTDGSLASNQDIQAILKEIEGLVGDLPRNKDQDAA I203_05862 MSAATLPPQASTANANALADALAAASISSSSSPKPDDSQELEDG EIRDEDDQEQDDGKVKTVFDDASRFNVKHPLYSTWTLFFDSPQSKLLPKTPSSTPATP SGTHGGWMEDIRKVVAFDSVEEFWGLYNNIIPPSQLPGKANYYLFKDGIMPAWEDPKN KNGGKWSIQVPKEKSKGAIDKMWLYTMLAAIGETFETPLPGPNDKEAPAPTQSDLVTG VIVSSRPAFYRISIWTRSAPDTALTDSDPLMARILTIGKHFKVSVLGYELDQKLVTGG FQSEIMFESHKDSEKKGNKNKIII I203_05863 MSPFDLSCIGSELDTARRSRAIPTSTSPSPTPTADRPLPALSSS VESDTPTILDDIPSEDEVIVSKHQNRSVNKEVEKEKNHERPYPLCLLCLDRPPSAVLL PCCHLNLCYICAPLLIHRFTKFKYPTPPITLSSNDRIPANISERQIESETETETETDC SRIPYNQILYRATLNHPKSRKLGLGGYRPPVENHLGGEYTGQGILRSQQDRGKEESMN QGGRIDMSDTWNGIGRQIGGGDDEGPKCLVCRAGVQGWLRVYTG I203_05864 MTHPNLTHHAITTDTSSLPSPTTQDSTSAVDNNSTGSLPVSYSK SSELIGDTRFLRYRPVPSKMQRRHGCTQGLLPSSSTYFPSSGGGERGITEIPESEIEM TLTAPKVDAWMRAVEHSTFPSEEELKVIEGTTEAKVFRKKSVLVGMIGDILEEDGVSI QDAVKTYRESSAKNKDDWDWNLFTD I203_05865 MSTDTRSPSSLNPSDKASSTELGEILPVQHDPSKSRYYIETQWG SISALSDEQVRIVKGMRRTESGTGYGSEGGSHPNTESKNEIDGVNDSVDKDTVQPDSQ FLRDGENILGTSDHANMHPSYVGRTTFPSKMQQRRAQQMINTQRIKNRKIHTTRSRTQ VEKDSLGSGSDDPTLVIQSDHDVNTTILSSVTSSDSGKNYKIGNREMKALLKS I203_05866 MSTSSTSDTPLFAGTATESGEASSTRGLFPTQSGSRGNSNDDRA GSPNVYYLVFLGILVLFLILAGCLALRAVRMRRRYRTATQIALARGEPIPQPVIDPYW RLAGLTTWTSEGFDRLGNLESEMNRRRERERDKEKLKLKPKIYDCVVWENENRLQDGL DSAEPISIQSLLLSSPPPSASSNPPQVSSPRPLFGFRQRSSPSPSEVLVPQITQVNKN VSAGEPVQMGVIIQMPVPPDQQHMQDQQRYGDDEEERVGWEMGMELGVWQGQIRNEAK DVRDSSESYQV I203_05867 MTAAVHNGTPNGVASSSKFASYLADESRFASIDKILDRRGPWTD ERFEGGQGSKDFLRNQSKILVIGAGGLGCEILQNLALTGFNDIHVIDMDTIDISNLNR QFLFRESDVGKSKALVAAEFVMNRVPGVKVTPYHGKIQDHPLSFYEQFNIVVAGLDSI SARRWINATLVQMAQEDEEQLKPLIDGGTEGFKGQARVILPTVTSCYECSIDMLTPPT AFPICTIANTPRLPEHCIEWASVLEWPKVHKDKKLDTDDPDHIEWLYQQASARAAQFK IEGVTWALTQGVVKNIIPAIASTNAIIAASCCNEALKIATACAPYLNNYMMYVGNDSV YTYTFEHEKRPECPVCGGESLTADAGKDWTLERFIESLTNRQDLQISKPSLSYSKGAL FWPSPPDVYKATKSNLDKLLVDLLGDEEGIVVTDPALPVSVNLSINYV I203_05868 MKLDATDLRYISADEFRVLSAVEIGSKNHEVVPTSLIAELSGIR GGNVNKALGELAKRNLVGRSQNIKYDGYRLTYGGLDYLALRTFSRRKLPSVHSVGTRV GVGKESDIMMVADESGEKRILKMHRLGRISFRAIKSKRDYLGKRKSASWMYMSRLSAQ KEFAFMKALYQHDFPVPVPIDQARHCVVMSLIDGYPLRAIEQCDDPADLYSKLMELIV RLAHAGLIHGDFNEFNIMIQRKSGEPVVIDFPQMVSTRHENAEYFFNRDVNCIRRFFR RRFRYEGLSWPTWKDVLEDGDEETKDEEEQVAKVVEENKAESSKTAQKRLRIDLEVEA SGFGRALQRELEDYMLEVQDLPPSDDEDEDDEVEDEDEDEDDEEEEEGENTQEDKSAP FDEAAFQAEMSARLEAIRLHKALGNDDPDDLELEHGSEHSLSDLSESDSEDSDDSVGP AQTDYTSYMPSQRNTRRHHDRVQIKKLGDTAKKGSDVIKETVARQVSKERQMTERKHH SKSGGAKPGKRKGSKWKNSDKYATGGGKDGGW I203_05869 MEEYSSRPENQGGYRTRLILLWDENRKRKRSLNDQKRGKSLETR RNLGTAAKWSERHRLKRREWARDYERVASGMNEAQLDTKKSESCPQTDHKFESSLGTV GPNTDTFAADFEVPLAAQNSPLSTHYNENSTWGMTSGHSYYNQPISPGMEAGLGGMLV AGLPQSDLFGDVTPSDWSLLNPAVSNTEIPTESSINFPNLNNGYDTYANASEIVDMPE QSFGGWQANDVINFSLLDTQLESEAPSAPTEEQQGYTGY I203_05870 MRYPLIILPCTILLSFAWGLHNPHDISMDAAQWLMENQGYGKHL VRSFFGGDTSYAPEKADCPEDQEWLWTADHLSKGEEEFLKKREKVVKEAVKRMMDSQQ MPTPPRTPVIGYAISGGGYRSMITGLGGLMGLMKQSEEAVRAGTGGWADAITYMAGLS GGSWGTASWISNGGMLPLDLIEKVWNLESNLILPDDDKLSFYSNLIRQVDAKRKANFP AQLTDYWALALGEQLLPEEYRMKRHPDLTISSLPAKVKKLNDGELPMPILIASQREEG EYVIAQNATAWEFTPYSFGSWAFGSKKKIPGGFTPVEYLGTTLDNAQAKGQCCKGLDR LAFVMGTSATLFNGLLLELNGTNSDNILVTALKGILNEIGEENFDVARIPNPFKGWDA EENPLKDFDLLTLVDAGETDQNIPLEPLLVPERNLDAIITFDASRQTETSWPNGSPIY TTFERAVILDERDDTRIKMPKVPTANGFINGALNTRPTFFGCEDTTTPLIVYIPQYPW TYYSNKSTYQLDYDLHAATETMLNGMRSLTLNSTVPTWPKCLSCALTDRAFAYTSDNR TDECRKCFDDFCWDGTSDDSTPPEYKPVLGVPDWLENKGLVDPRFKDGKEGTNNWEDD EASGLKKGGFKEIAKGFLEKIGLDF I203_05871 MASLTELFQFLDQPNPSVRHLALQNLVGHTPKASAERHIFIPSS FAGTGASASNGGGLLPNKRKEGQESDEIKIKALKDLANLCKDQAAIAHDALSALINLS DTLAVARHLVDKEFLVWLVSYTANTTSPLSPLTSMLLSNLTSHPSLITSLTNLTIPLV PLPKSKHYPPYFLPASASSSSTTHPDYRDPSILPPNQEADQGDEIEMDSIRALVQAFE DGASEGVKEGKSGSKRKGECHFLASVFANISMAPSTRPLLLTPRPPFPLPTSAEPSEE DEPLLSKIVVYTEHPDTIRRGGALGCIKNCAMDRGSMGWLLASERVDVLPYVLAPLMG PEEYDIDEMETLPPTLQFLPPEKEREKDTVLRMMCIEILLLLSTTFTGREALRTRGAY YVIRELHKVEKDQQIVDSIERLVNLLQRDEGNDTKDDHVQELVKGQKVEEVQDDELDV VEV I203_05872 MLSSAFRAPAKDIELSSPPPDSVSALAFSPTADILAVASWDNNV RLYDVNSQGQSQGKAMYSHQAPVLDLTWSKDGQFLFSAGCDNTAQMYNIQTQQAQQVA QHDAPIKCIEYAEVPGSGPVLITAGWDKKLKYWDLRSANPIATLDLTDRAYSMDVAVS LLVVATGDRQIHVVNLSNPTTIYKTIESPLKWQTRVVKCFPTGDAYAIGSVEGRVAIQ KNYSFKCHRYDIPNGSLPGSPAVVGSQHVFAINTITFHKTQYTFCTGGSDGSLTFWDG VARTKLKTFSCKDLNNGDTDARPPQFGTPIVATSFNHTHEIIAYALSYDWSKGHGGVP PQGSNITKVMLHPVKPEEVNKKPPKR I203_05873 MSKLTLATLLRPLRPLAPLGRRTYATSKPSSSASLNIPSTQGGP QAPLEYCSSLVQRLDPEAWLCSYFWPRRERSWYLAYRAFNLELHLITTTIAQPALAAI RFQFWRDALKIIFSTNPETASKVPQHPVALLLADLKRNRPVQRYYLSQMIETRAKILS LPPSSSTLESHLSTHTPLSTSLLLGPLPILLPPADPASSHISHTLSHLSTLLTTVSLL RTLPVLVSSKRQINIPSDICEKHNIVEEEVLRKGAEARGFRDAALEIGTRGMDELITA RRDLKDTEGKVKPDRAMPIFLSAVPAENYLQRLENLDFDVFLPDLQKHDWKLAPKIWW RFQSGKL I203_05874 MNIPYQTRSRPGEIKRILDIIPLEPLGDLKGCRDIMVDEIHPEG VLGVMMDKIEGAKEGLEGDLPSLKDQDRMQSYNGPKKAERSDQDQYESVKDTSASDRL SPDTGFVSGQDEYSYKFVSDGILFWLTRLAKSTK I203_05875 MTKRAKLPKPPYPLPSKLQHKLKKKRSVPSAQSNPESSQSHKRR RLSTSQDVQTTIKPALEEEFYNDPSSLTDITSLLSTTPTPPLRGPPAATRIPAETFEL IFYHLKDIIMTLPLPDLPIRAKTPTTYNEDIENQRLTNEEVMTYKRFLVKKQLNDLGK VCKNWRDRTKDVRNGNEVIIIGENDGHRAFKRPSAWFPLDFNRPLDLTVQVTLQTFIK IINSLTQLHPMVRINKLTVLHSFRPEGRPNELYDPPQEEGGQPMISNDRIDRLLSKIK PLSVHVEWYAGEDILTKNCINSIAKTLKRNFMDPEILEEKMNAMKVKTGNYNLPHDWD IKNDLSKAMTNRMLIVWRQCMIDFALRANHMMILQELRESANFGVIDNFDYHFVSVPL RTIKKSHPLPVPYDSPIPPMGSLRSIDNILLWDLRWRDPKWMRRRNKAVAVERGETYS WKDNIPSPPIEWTVWGSFDIWKQQKDRRQFKGEGKDIPWKGDTREIDEALAQFFMERS KKWIKPYDWIYKSEKENFQEMIRFVVERNHKVKKPPKSFNNNTFLLALHKEGKILDED VIKARILEVKMKGREEQLRNMNEEMFLLNQPESNQFEYNELYIREWLKLQTEQEKKLL VEKLRRKYKSKGLYTKMNWPLYHPNQPGVKFCVEPRII I203_05876 MATSAIFALFALPYILASPHPPPYSPSKELALRDISDKSYAPYK VECPTGWTWIRNATEGLSQGEKDFVANRQKVTGPTINTMASNQGITNPPRTPTIGVAL AGGGYRAMLTGLGGIMGMMNESSEAAASGTGGWLDGVTYWAGLSGGSWATGSFMANGG ESPINLLNNLWNLDSNLIFPSDGKLSFYTTLASEVNAKSDAGFPVQLTDLWGLAIGSH VLPTEYQLTNKPNLTLSSLPSVVEKLGNGSLPMPIIIAAEREQGELVIAENATVWEFN PFEFGSWAFGSTVKSIGAFTNLEYLGSELNNGETNGTCYKGFDQLSYVMGTSATLFNS ALLTLNNSDSGLVTNLIEGFLQDLGEDQYDISRVPNSFANYNPGGNPISSFEYITLVD AGETNQNIPIEPLLIPFREVDAIIAFDSSYDSPYIWPNGTALRTTFERAKVLAENTGT QIRMPEVPSENGFINGGYNTRPTFFGCNDTTTPLIIYVPSYPWSSAANTSTYQLEYSD EQAREVMYNGMRSFTLNGTVETWPKCLACALTDRSFEYTSSNRTAECQSCFDTWCWAG DDNTTQPNTYNPEIDSVPPWLTVNSLSSGKADAKGVENTTSSNTSSSAGSRVMSGTSW SRSLLLGLGLGSIMLI I203_05877 MAEEKRAFGAQASELTYVKNEKNSSLEAETEDMGSDKNIYKDVV AEAEGLAEHGGLDMTGEDILAIEDKLQSMSLERTRIIMKQVQQMHEHDQNFPIHILQS IEQFLGNDDIMKNPHNPEYAELIKEMKLEALMVTENSPYSEVRAVVENTDDVDMPSFT FRVCFIGTLYVIAGAFINQLFEIRQPSIQVSSEVAQLLAYPAGKLCEKLLPDWGFTLF GKRHSLNGSKFTKKEHMLITIMATVGYNTPYTTDIILSQYLPQYFNQSYAAEFGYQIL LGFGTNFCGYGLAGLARRFLIYPSYCVWPGSLVTIALNRAFHSETDPAVPGPFKRIYS WSRMKLFGVAFAAMFVWFWLPGFLFTALSTFNWISWISPNSVSLNNIVGFNNGLGLNP FPTFDFNVLTAYGFNPLVVPAFGTINQFIGMFATFFMIVGFYWSNVWNTGYLPINSNH VFDNTGSAFDVQKVIDDRGIFDAAKYQTYSQPWMAAGNLVVYFWFFAQYTCTISYAFL FHRREIVHGFKGMWNSLRRNKKDDTVDDLSEDIHCRLMRSYPEVPEWWYGLVLLFAIG CGMAGIGAWDTYTNPAVVLFGIAMGLIFVVPVGLVTAITGIQVTMNVLAELIGGAWTP GNALAMNFFKAFGYITTAQAIYFSSDLKVAHYLKIPPRHTFVAQMVATFISTLVCTGV FNFQMNNVPNVCTSEAPFGFSCPGINTFFTAAVFWGTLGPQKLFGSTGQYKALLIGFP VGFVLPFIVYFLRKRFPRTAWLRQIHPVMLCYGGINWAPYNLSYFWPSVPLASFSWFY LKKRYLAFWSKYNFVLAAAWQCGIAIAAIVIFFAVQLPAVEVNWWGNTVSYQGCEDTA CRRLPIPDIGYFGPAPGNLP I203_05878 MKITLAFSIITLLASTAVTAIPVPMTSEAAAPAPAPSPTPAPAP APAPVEDCSEDCGESTPGTTEAAAVPAPAPAAPDSGSSGGDTSDTGSTPAPAPAPAPE APAPAPAPAPAPEAPASAPESPAPASPPSSPPASEGCTGEDCASSSPSPSYGCEGEGC ESPSTPELPCDEFGNIIGGGGGGKPSGHGGASPIGGGGGSPGGGGHGGGGGDHGGGGG GGAPC I203_05879 MRLSATIFLMAFATSAFAAPVAQGPGTNINTNTVPPIVSGGTAI SQGQIPSSVSINGPNASQSPGGLGSMGDAAGSVSPGSFAGATALETVGGAKP I203_05880 MHFTAFVASLAAISGTQAASLFGSLFHHNSTSSGVNATATASAR FPTYTGGQFNLTQVLEEHNIDLSNLRDLNVTQLLGEFGITLPSNIDLESIIDDLETKF NSTGGGNSFQGGNFIHQNSTSNDDKKLSGGFFSGLFGHHGHHNNKTSSAVTSTRIRTR TSTSASATSSAASDFEPSFTSSISFSVPTPSFSASDVESFSISSASASESDSSVLPSA SVSASTSFGDFIGIGSEILPSITSSFALPSVSASSASAAASFISTVTDDDAGVPGPTG TDDDLLDLDATVTADLLGAEITADVNLDL I203_05881 MKFQIPLLASLALIAGVRAAPVPGLLANILSPLQQQRPSACPTS NAAPSQPTATGGGLLESNTGSSLSSILKSLKITTPEGLDTTLNGIKLTGLDDLQLSQF LQFILLGPGVHIPSGQDINDIVNQIILDLDNELTTGNENGNGKGGILGNIFNSGDAPG AQVSHHALQDIDAIFTDGAFERFQSTYKATPTSKSGNLPAIDPGPLANIKPSATIGLL PSGSDKGVLGLANVDVSADVNLEGAKITLGPKIHL I203_05882 MTDSTYTRPHSASPSRSTNRKRTASTSEKKHPLAGRRTPSGSSH KNEGQSEKTYQREGRPGDVGGRAVKDKDSGRNTPMNGLGKAPSLDVDIRSSLILPSLS QRFSVLLPSLSTAPEESLRSLLASQRARHHGPALTEEEEELLFAEMRDAALEDQWDGR PPQMDENWVRSGLGVSNKPTRVGGLPSSASSPSLLTTSTSSPSVLSTSDDGGQSYAPS TPGGFLASPPPTSNSFSSFQTFGVGSSPDTSANAGFKTKSYGFSGGSGMREAEYIRKV KKSFSHKDLKNGSGSGSISSRKSEHTPTRDNIPLPPAISPEKANAYYQPTKRPESPTT SEKTATPTSSIIPDISSSSLPIYKKGHSPSSSLNLNPPTPNSAEDQMSSGKQQRTRKR QSRLDGLSPAQVKRISLALQEIGGQLKRGSMTVQAVPERAREQSTSEDVEEMLDPESR RVSRHDIENESGRARRPSDLRSEDSCQSATSSVFPFQMSPTNSTFTGTTTEPPSPSKL PPSPRTHNLLAHVEEPLPPIPMPVFTPTRSQPVRHQPTLSNSSTSTVAPNQPVYIPGQ PRPIRLTHHSQSSVSSRSATPSNQSPLDAIRTAVSPDNTPSPIKGMPAIAERSTSLGR SRSVNQAQATPTKSILSGDYDGSSGSTNGTRRRAGTIGDAPMNRRLSSSLSSHPTTPD IIEEEAEETPSDRDEYSSNPQSIEEFVPEVKQVVGRHSVANSRTTSSNSIHQLHHNLG WDLAPGQEPFERSVSAQGTIDESPNSTPPEANDAPQSPTTTLRRQTSSTSISSSFSED APVPGEIVWASVFSGDSPNGYDVGSDSMVSLEPGQEAEVLRKMSGMGMEELMLLQERL VLKAKAEREALRGDVEDSAFVPYSPPMSAEAFSPTQRAMSPILRATSPDLARPISPPP PSSWRFPPAELPQPPSQNTTPSQDKSTLPSSVDTHIMTPPLTGSITGASVSRSGSKSN PVMLPTRPAPPPPQLPAETPSEHADDIQTPPSGDLPRKPSNRAHFRLPLEQDPEIRKD FEARIAAATAALNRTPSVSNSKLDRKFSKKGGPMVISSPKLVSSTANVPTTPLTPENQ VEPGLAKSLEKSSGSGSKMSLKWKKFTGLRSKGPSFSGNEVTPYPPAPQQPVQSKLSP NKQLQQQQQQQMQQQQSKLSPIQNVLVAQGGLQRSASASVAKKLEDPISLTAEDHPEA PPSAPPNLDAFRSPPPPERAAHSTTSAPQQDVPSPPSSIGHSSGLKHVMSKMKRSKEV SPPPLQAQGRSQSPIAAQNRAMSPLPAIDAITKQPPRHTPTSSDEDARNKFIEAGRAL GLTEEQLNEMLTAKGMAGTAPSAPAVPSPLPAAPVVSDEKMVKHEKEKKGLFRSLSKA RRAQPSPTPISAPVPISGLAPSAPVTESLPADVPDRVVVRRTMILPEGLNIIPSTPQT TSTTPKIPESPDSSSLRPGINPQRKQSIRRKPLKLSEEDHELVSNSPPAHRRNFSFSN ASVDSNGTNTPTNPSFTPSPSNSQNQVPTTGRGAGELHGLGFLHPNSTPLNKKSSAPS LTPSPGNSSTGGGDDESHARSSTGGSLIDMYRNDDDEELLESPEKKTSATFSHDDEVV VPHARRGSEDALGRGSLDRRRMTQAVEITEYADGQVIWNIVDALRTSVTGSVDGEEYT FDTPGAPHSRSTSYSSSVRNSVIPEDEDVFQAAGNSAGWPKALGNGTAGLNFRHRDRN GPKKPRPPTDVYFTSHADVADLIDHLSRDLDASHGRIDIISHPNTDSREWPPSNTSPF AFQDSATATIPTTPERVQRRSSQIQSPAHSQFEDAPSPAQMSLRPTISPVKAKQHHNK GNMVENLSPGPQRQLFNETDSRGQSYISTGGSAAGFSPSSKSFASSVSGQGKSVEDRL QALLDRLKGDGIGRT I203_05883 MVREIDPPSIQKEFLLAALAEGKRLDGRIPLEQRKIEFVFGEEL GNVECRLGKTAVLAQVTASIVKPRDDRPYEGFLLINSEIGPMASSVYENGRSSDSEIL ITRLLEKSIRRTEAIDREALCILAGGKVWQLRLTLHFLSDSGNLLDCASLASMMALKH FRKPDVEVIGDEVIIHSPEERAPVPLAMHHTPLCLTFAYFENLSPILDPTHLESTLCS GTLTVTMNAQREICVLSKAGGTPLSVEEIMGVVRVGVDKVRDMVKIMDEALEKDRENR VVEVR I203_05884 MQAVANLVQDSMGPVPVAANPEYKHRPDGATMKALAWFGNEKVQ IVDAPIPDISEDKDVILKVTGTTICGSDLHLYHSEMLGMQKGDILGHEFMGVVDKVGP GVTTLKPGDKVVTSFQVACGTCRYCKKNFSSMCDRTNNSSLMASMYGQRDAGFFGYGH MTGGLPGGQAEYARVPFGEVNCLKVPPGVSDEQVLYLSDVLPTSYHAVVDTRVEEGDI VGIWGLGPIGLACVKWALLKGASKVYAIDTQPARLTAAAKLGNVVPVDFKAENVSKKI SGEVPGGLDVCIDATSFHEPKTLLHKVEKALMLETDVSETPNEMIWLVKKFGRVGLIG AYAGYTNHFNIGALMEKGVRFIGNGQAPVHLYWHEILNDYILTGKFDVSMLVSHRVSI EDFPKLYEKFDKRYAGVEKVFVQTQFSEPPAPGFPQLTKVDDWANKVL I203_05885 MPSSPSFDPLSVFQQRPSSSSSSSRGFKPPPPVSPSATRTHSQS LPPLLHTQPRRPPPPSATPPLSSSYQPRDDPFSRSHHLPSPSTLQHTTPRPPHPSASS ARPRITNSSVSPKTPRTAQEIYEKSREKIPTGVDKILAHLSALDKKSSERDVILNQKI DGMNKEIFALKTDNRNLRDQLHELKLGQNSLATREEMVEEITTQFTSVFSDIQGCADK IATLPSDIASLSASKIIPHIPQSQIPSGNDTALINLLNHVSNKLSGIDNLIVLLGSLQ GLPEAITSVAVFKDALDGLTTKVEEFSQHSQSQSAAAPPGKSLTRPPPTPISPPPNTE KPDQNDERLKKCYEILLGMEKLMQKHAATQEEIKQILLQEIRTSNNSNNLLVRSLTPS SIPTFEATRPNSLEDLAEAAVTQERLGTTTKIATQDNPGRSFQPLISGTLHVAHTSNF GSIDRNRSASLEPQVDLLDLPFPASPDFSQNQDIITSTPRATRGTTTVSDSSLALPPA SMISSGPDDTPQASKKRSVSSSSSRSTKKAKISADPSDRPVTRLMSNKVREPIRWNPS SHMPSTTKNKTSPISRKGSSRTTICGRSIQEVIEISSGSSSSPPCPKSKYRSKKSRDP TPNTRDIAGSALFSETITSTKDKENSLNSGVESIPSGNSSGGSPRPLRSYGSAVKGQA AKFQPRQSDIRAQARAMELEMNKRRMTSVPTLGSSVNHMRLDRNTKGKRKMDCDFDDS F I203_05886 MASSPLEKPGNVLGGTQPLAKYGGLNGNALLYAVVAIATCGFSL FGYDQGLMSGIIASEQFNTEFPATKQRDANDVHAGTVQGSVTSCYEVGCFIGALIAFF IGDKMGRRKMMFGGAVVMIIGTVISVSAFGPGDTSGRGNVGGFVQFIIGRVITGFGNG ANTATIPSWVAETSKAHNRGFLICMEASTVAVGTVIAYWIDFGLSFVDSSVSWRFPIA MQILFALILIGGVAVLPESPRWLIAHGHSAEGLKVIAALDARSIDDPVSIADHKKIVD ALAAQAAVKANKTRDLFKMGKQQHFRRALVGASTQLFQQIGGCNAVIYYSTVLFENQI GLDTQLSLILGGVLSVVYMIFALTSFFLVEKVGRRKLFLWGTVGQAVSMFITFGCLLP GDAQSAKGAAFGLYFFIAWFGATWLPLPWLYPAELNSMAVRTQANAVSTMVNWIFNFT VVQVLPTMTASIGAYTFLFFGLINLVFLPFIYFFYPETTGRSLEELDVIFAHAHLTQR RPTLVAAELPKLSDHQIQEMTERYDIHGGDNAEDPEAIGAPVNVTPDTTLPPIHPHDH VGSGEATRVPTPTEGQVKPAQ I203_05887 MPQLTLSSDPKSKSLALSPKKPIHTSSLSPGSSRKAAYTKKGDE PNLWELHHIQEDMAATSKKRHAKDAVESSNKKRKGAEDEEMKGQPDRSDGHDPEGGGV AGEKGSKKKKKNAYEIKGKIATAEDAARADEDPPLNQLERLIEKGQKEVKKGESVVYW MRMEDLRIEDNTALHKASEKAQDFGIPLIVLFVISPGDYKIHDRSNKKIDFILRNLRD LKTKFHELNIPIHIASYDRRLQIPRRVITEILPSLGAKHLFVNIEYEVDELRRDIATV KLGRESGIDVRCLHDRLVVPPGKIKSQQGKPMSVFSPWQRAWAKLLDQQPHYLNMSPS PKANDKSIKSNDKFNKLFEEDITDHVEGFECPESEKLKEVWPEGTDKAKELLDRFLHT KPRQTQFQFTSPLNTGAEESQKESRVAQYQTGRNLVDGDNSSRLSPYLAAGVISARMV LNEAKKLKGGKLESGRDTGVGMWVQEVSWRDFYNHVMATWPRVSMGRPFLEKFADVQW ETNEEHLQAWKDGRTGYPIVDAAMRACKARGWMENRVRMVSASFLVKSLMLDWRLGEK YFMESFIDGDLAANNGGWQWTASTGTDPQPFFRIFNTLTQSEKCDPTGAYIRYWVPEL KNLKGKAVHDPFHQMPKNEFKKLGYPEPIVDHKKSRERALFRYKNVGEKEEGAEVD I203_05888 MAPIAPPAHIVTPSQPIAPPSTSASGGLLTRTQKFIEENQRLIL LGCAVLAASGAGYYLYSTKSSGAGPSGDAGSSSSSAPGTSSSAKKNKKKKKKASSSAK DEKYVKGEGDKGPLLEEIEQPKEKKVEKVETEVKEEGFLADVPDATTLESMDESARNT LGASLKDRGNKLYSKKDFQKAVECYTKAIEVSIRKDAVFFSNRAACYTNFSPPEYTKC VADCDEALKLDKTYVKALKRRATALENLGRDEEAVRDFTATTIIERFQDEQAAMSVER CLKKLAQKKAKDILETREPKLPSPTFISAYLSAFRPHLKPTLPESPSQGDQTLLLAFD ALEAADYSHAVSFVNEAIDQGISSKDNEAEGYNLRGTFKFLIGDSEGARQDLQKSLDI RPDFVQSWVKIASVHMELGDSASAFGDFEAAIRHNADDPDIYYHRGQVYFIMQEFDKA IADYNKSTALDGSFIFTHIQAAVAQYKQGNVGSSMAAFRRILKEFPDKGEPSNYYGEL LLDQQKFQESLERFDRSIELDKDRKPRNVLPFVNKALALFQWKQDIVGADELCQKALE IDPECDVAVATLAQLSLQQGKIDEAIKWFEKSAQLARTEGELVNAITYEHASKAQVQF LKNYPEFAERLSQMAQGM I203_05889 MGHSNLTPEIWSMIFSFCMEPSHQDKQISQPTLVKLLRVSSTFF LIAGRLLYRDVVIGNLGGLLLGINKTELPDERKNTEHLSDLKLIRVGNTKLPLIRCIR NITVTPYSSEDEVNNISEIILDQQICYIQVKSILKVLSNGSFNVDVQSLTIGSGSAPK SKEIERIQSRFFCEIHSIRRKLLELFKPEVWTEYSPLHGYCFEPLQSDFTNVKRILPE VVNIYTTLEGTFPLVWGTLNRTIVRRKENRPVQGMPDMSVLMVNPETIPEELDMISYP RFNEKTKIEIYGLEKLIAVSNPIDGEHDPDDRKEESEMRTLARVENRIREGSNVTSEG RWIRSGKKAFSIGLSLDVDDQDQSGNDESSG I203_05890 MKNNNSLTPFPEEVLRNILQYCLEEGRDGSFIPQPTLASALRVN TVFYLLASPVLYHSPTAMDINSFFLGSDALIPAVDMSSNPHQSSDAATLQHLRKGYTK SSLLKHVTRFRFLPRSVPVEGVDLEEQSSLEYFNRVKQDPRYYQGIGQTYGNGFELIQ RLRNIKREYEKEGKGFLIMPRLKGISVGSDLTVYNSEERRIGEISDKLYNNWGTALVN LRLHLWEIFNRNEDGMEWCEWQNGGLPLMELENPEYIDQTRLLPRVFTIHTDLMDHIP LLWGCTNRIVIRRKDDEDYWSREKYRSLGRRIPPGSNLDDDTPEPESYGGEGLLPQDE HNMMEEYEIISLILNSIYDSHPKIFKKKIRKDILQKEIENKTVFEIYGFEGICLPQDC SYDYHWTARGSNEWDRDPDVDMKYVDEELRNAGFAISNTEGPSSRETPADLTSTPHLD SDDEVDPMQAVLVSNPSADSDVDHECDRIQAVPLPGHPATSREHDAKMKLWLQSFDYQ MIKLLHDCFESSRSNWKNGENSAGPKIRYLPFRELPKCNGCKGKSKKKENEKEEERNT IIEFYGFEKLFLARQLPLSNQESDEEETELLEKQIKEDMLWSEDELKERPEDHKKRIH SPWEFGVKLPKVKFLPASASIPCDACGCE I203_05891 MAPAKTATPKKGATKKTPTKKTSLTPKKQNPPPTKSKAKGKAAT PIIKTKGTPLQARKGNEAEAEDVPRVDEEKPRLAPFSDEILRNILEHCEEEQVEGYYV AQRSLANLMRVNSVFHRLAGPVLYRCPNVMDFEAFFYGIEKSLAWDYHIYFDPFNCDN TYRYHYPVGNRYTKLFLLDNVKHLKILSCLVPRISSEDGEETKIDNHYLRELVKNPKY QLCDGHYRKMKDAFEKSRRFKKGRMTPNLQSLRIGSTERFKYEGIRGDFIND I203_05892 MEQSRLELWRRLQPKEVIEYQNDITGSIRYENLQMLLGRGQFQL PNVGTVHSSLLEPFSVIWGCTNRIVLREHTEQDVKWRRMRRKMSKDNGRIRSYPTFGY IPPPQFSETGHVNIDIAVDIDDEELVFDYPGDGDILYYDDYNLKSPQYTINIIRNTLI QHHMTRMVSGTVNSSLKRDLEDYSTFEIYGFEKVHPYEDLVYDDVWKSRKKIVADWRN DNKVDMDHVEKQIESHNQEQGRAVSSSAEAPPSLHPSEISSEREESKKCKIQFWLSAM DYEIMKELQKVFKYSNRIWMNSETNANSSGPVIRFLLVEKLAAADKKRKKDEEEEDDW SMD I203_05893 MTSELSPEIWDHILSLCREKDDEGLFVSQKTLATCLRVSKTWYL LASPYLYSSPIIKDIHNFFVGSDKPLSSTLSESLASSKVSSHDLYLKSIEEGNTKLPL LHQIQHLRIYPHSLPEECDDEKLDQVINIQRDSYTQAKEILSNCQGVITPRLKSISCD SYLIPTGDREREISDMLFRSIRNIKLNLLSHLQPEHWCEFDSPTMSLSGDVLKMTKRG VLPQMVEIHTTLEDPPVIQWGTKNRLTIREKEDVRKYLWPAVNNYESTNTSTATGERN KVGNGNENGYDNIDWPVDSVSVIDQETWIECICEMIEYSMPLEPEDRNADGLEQSKSE SEIDGDTILEVYGIEKFLTISSSMEGVGENLPFIDESTVIEPKVLYRDIDMDCLASIT KSRFTAEELSRRQSIYRSISLKMEERIRECLEINEQGQWIDTGKKAPTIKLYLASDYP GCSSCGQGKGDKWELDTRPRPPPRDDDSDWTDDDHDEDDQDDWDDDDDGHDFDVDDFI EYDDDDIYDGGGGDYEPDGDDDDWQDEEYDENGVQYYSDEDAVYQFIGGI I203_05894 MAVRQKTAKTTSTFKSNSTSNKKSSTTKSKKSSTRTPLKKQEGR EGWYTPQKTLVNSLRVNSVFFTIAGSVLYHSPTVMDLGTFISGSARRLPLDKFNFDFD PDLTKPDNAALARKSGCTKLVLLQYVKHLAILPCTAPRTPTDTEHSQLGVEEAYQSTQ DNLEHLRKNAGYFKGQTYERAKTIIHEQYRHITPNCRTVTIGNDMDIHSSLKDVLERI QAQEDEKERVKTLKSVKNLIDKLHGHFEEAIGSLRLSLMSRFKPGEWYEYMNPDYKIP FKPEMSNAEYKSQYFPSKWMINTDLSDQFPLLWGSINQIIVRPGQNTPTIDDLIPPPR SKDIKRKRRHSWDSDNDSEEDEWEMNMTPPPPSDLIHGIASSTHRQQGDMMADLMGLD HENVQTILRSIVDSHPRTFSRSLLHADTKRELEDKTVFEIYGIENVIKLNNDVEGLGR PRRKEREKEVKSKFDYYTLEIYQSLQRQFKFKDRFWQNKEDESGPVVRFGMMRESRDG I203_05895 MCQKHQEQSLITQPPQHGHQHEEPDQWAGKEYLSDPEILKLAQM SYDFIIQSITFAGIDEEVYRKWDILEIGCGPGVVTKHLLPTFHTVHSIDTSPSMLTHL TTYLPPNTYPNLSFSLHTLSPDSGRLFAERVPLKSPTAEDPDRQLPSPRPRFDLAVVN WVLHHVDNVQSFMAGAVGLLKDGGWLVITEMGRRDGGHMIDDKSTEQGSFNAPDHYRS AYTPQRLVDLFESHGLIDVHADMTQDFPAFRPASAPPLKEGEERKMIPALIVRGRKGI I203_05896 MPRQRDSQHHDHVHSPHSHGHGHGHEHTHHLHPQGEHDHWAEDD YLGRPGVFEVAEITYESILNSLVSAGIKDDIYKTWDVLEIGCGPGTVTKHLLSTFSTI HSIDVSPSMLLNLSKYLPSDLHPTLSYSLHNLSPNSPQQFKGKLPLRSPTVENPQREL SPPREKFDLAVSSYVLHHVDDLHSFMLGAIGLVKEGGWLVFAEMGFIPNGNGDGQLNP TKHDSFNAPEHHRPSFTIDSLTRLFESYGLTDVYAEQRGELPIFGTEEGKMRIPGLIV RGRKGKE I203_05897 MALPASSNPITSVAPSSSPITSVQAPTYSSPLYPQSTNPQLLTR LNLDLRGQMFPVERETLMLLPESVLLGLFPQGLILSKPASWEGADDGVFTVDFDPDCF RYILSFWSTAQSTFYGTPTSPGLFHAQQNIPINPNDPTSDQSQNPLLSKQAIIVLREE LEYFSITKPGGLAKTDLKSGLPNEELRALKRNCGKALENKKSIFAALERNVSSVGNAA EAHLIDMLCMSGFNRDDSWGFRACEPSRNVITSMALVLLKTGIVHPTPEEQKDGHNIP WIDAQQMSTAQKLLLFWRKPARKCWWDGVEVQIPASTTSKDEMINVKVWARRVWTLEL SLVSLHFS I203_05898 MRPSAPAHSGMPGPKTYIGWWGDMGSLPQKGIKTYGVSPYRQRA MAGALNGYIFNGFSRVMGHLPYAAPPLLFFYGVYYWSKKKYEYFNSKQGHYDNLINEG VIKPGQYERPTVEPIGH I203_05899 MTLLSTILGFSAFGFGARCFQLGLQKRPIFDAPSGHLISTAAFG VLGYGAFYADKKQTALLAEKKKILLERREKENLEWQATKGQGHAV I203_05900 MVKTDHPSRFVAPSSSSIPKIQSSFEHHAITLGHERSLTQIVFN SEGDLLFSASKDSVVNAWFTSNGERLGTFGGIKGDGGHNGTVWTVAVDSQTRFLITGA ADNTMKLWEIATGKCLFTWEFLTAVKRVAWNEDDDTILSITEQRSGQPSIIRIYKINR DEPTSQTTTPITTMTLSGSKATVALWTPLSEYILTGHESGKVAKYDVKSGEEVNYVDD AHSGEITDIQSSPDGTYFITSSKDKTARIFDSETLEEMKVFPTETPLNSACIAPLRPY IILGGGQDAMSVTTTSQRAGKFESRFWHKLFEEEVGRVKGHFGPINTLAVHPQGKAYA SGAEDGFVRVHWFDESYFRSRPFGDLEPEVEV I203_05901 MAHKSHRQKALQAQLEAQPTLSLVSQKTKKPATPKVVEQSMDVD DDDVVISSTTSVANGEPSSSTAGTASGSGSGFAPLPQSQANGVLKGEFRRIPIPPHRM TPLKKEWVNLYTPMVDMLGLQVRMNTMRRAVELKASHTVDSGAIQKGADFVKAFSLGF DVNDALALLRLDDLYLDSFEIKDVKTLHGDHLSRAIGRIAGEGGKVKFSIENASRTRI VLADTHIHILGSVQNIKIARDAIVSLILGSPPAYSAFGIIGKVYAHLKMVGARMKQRF I203_05902 MPPTTSSSSAPHRKAAASPYQKSGKPNTHTHTRKPSKKTKDTIY AQKADDDLVGRNENRNDNGKGNMLVLQVQVPPSPTSTDKTSRKKKKKRKSNGLGEGTG PGEGKPSIEERITAMVEEDKKPSKISRMLEDIRAPPAESPSSSPEPGVDLESQLVAQN DADIEMDSHDHTPNGPLSNIPDEHGNNAIPSSVSTKLPIINKKQKDHIRGKKTKETRL AFLAEYHARNEQEIIQEKEREERERANKIAQEELEKVRSRTEEVRREAEKVKKEIEEL QNRKKQMIEEESKAKLKSEEERRRRTDQELQKVREEKRKYKDLQDKVDNAQNQLDEMK VNYEREKMSRTEKERLVEEGKKQVEESQRKIIEIGTTVKKHENIKNAIIHALECPICL ITIDDPHVLSCGHMACRQCLMEWFRSPTALKHEHPEDITPETDLSYRTKLCHVCRSVV LRKPARLFFLRAILEPLGLFQHKDVPPAGSQDVDLWDKLFPVESYTYKLYDPTDDTTR CPECLGEIADSVCQGCNLGFSDQSDLGEDLDLIDGEEESVHGSVLGDGDIIRIDNDND DGSSTPSSSDSSDVEDGLRRRAGTDTPRSRRNRNAPPPPPPLNNLLNILTAIDDEAED DDGSYGSSEDEREPNSEDEYGGSFIDDDAEEEEEEDGGGDVSMVDCDEEEESEDEAPR RRIKTRSRRIDPDEDERTENEEDEEEDDQPVFRGSRFRRQRSQVIAISDSE I203_05903 MSQQQNKQVVLHERPQRGPITDTTFKIQQSEIKQPGEGEVLVKV EYVSIDPTMRGWLNDVRSYLPPVQIGAVMRATSLGRVVKSNDSNFKEGDLVFDTFGWQ EYWTGPGKAIQKRVTPEGGRDIDHMGLYGLTGMTAYIGLFEIGKLKDGDHIVISGAAG AVGQIATQIAVAHPKCTVTAIAGSQEKLDYLKKLGAHNVLNYKDSDFKKKFKEVGTID VYFDNVGGEILDLALGQLNPYARIIACGAISAYNAEKPAPIYNYFNLVSMKSTMRGFI VLDHTDKFQEGAKYLSELVKEGKLKADYHVTYGLDTCVGTLRDMFEGKNFGKTVVSLK DQKEESEKSKL I203_05904 MAKRRSGFTLVEYPCPGDHSSSKFTTKNHGSSTTASTSTSGGRH MMENYGTGKETICYKCMTDYSHSHLFATECTKRGENNLLTEAVESYPSYQRECLIDEL YPSPWAKYVVDIVAGKKPEGMKSMKRRKGSHRQILDGSRSKNWSDRCKSQSTIRSRKT SCTITKESRLKKSDEHHQDATGRTKNSITYQGRCEGRRIVDLSNSNRTKSKGSGTSLN ENVSDIKDSNQYKKYGRGVDEVGRCRVNCQLYGDDQVTLCARCVQKPGTVRAWCKSHP RSDRSNGSNGSDRSTWLHDLMELYTERVEAKQDQNGIPIGNRQRTVPWRILPSIFKSV YHPEYLQYIQSSGDDTLGRQLSQLPPEQMEDVTGIMFEYARRTGRDGADEKEEGDEEV NLTDVKYSPTMRNSFDVGFTESHMSSPASNSSPMTSFSDMVEHKEDAEKFTDDEAMLL DYESFTQGEINYIDHPENMDLLYDLEAV I203_05905 MPRKESSKYRIVPCPCPADHISQQFSSKRLQNPNGDDTQNSGLA HCMRVAEGHEDKTVICTNCSDRWGHSQASLAMFKTDSQTNSLTQAMDYYPTYKGQCSS EGGNPLEWKLYYRKWLSQGEAGATNVADIAQSTRKRRKYGSFDPTKFKNRTITHSENA IRGWQSRRITNEAPEETTSIGVPGMISETYTTLQPPICETDFNVPQEGSTTSYGRNVS YPFEAFIDPNLLQDSTVEGRLYSALLSEGYGAFDSTGYGHGMDDYSMTGMPSSCGYST NPDYTEPGWDTP I203_05906 MACHTYINVFPVCVLLSTLITLRSALGAPSPPQEQDIRARQESG EEGVQLMKTQGPSYKDIKQYDTIGDFTGIKNSLAALALHPPPKWVDDHIVDLTNGVGQ KGMKTTNGSFLLHNITSQTETEEGGRKSFLYDIVDVKSKYSVEQVQDNWWWQGLELAL LENMPSQLSSDFIDRTSPSVVLNMLTGLQTESINVKDISDDEYMKILNDNKDKVIVVE TTDLAYTYYRIILDVKSDTEITSTELYGEKWAVPYTCTSKDLRQKYGGITLLKY I203_05907 MSSYNTLPLHETLNLYVSPTAYVFEPASSSSPTHGFDGPAFVNE KDSRESLYVDRRTGRMSLNESSQIPFGKEKVITCYGIIGTLSLATTDFLLIITSRTPS CRLLSQPIYLATDFRLLPLSPLSTSSTILDHPVEKELISLVEQGLKSGRLWFSYGWDL TNSLQRQNELSQDGERKNWPLWKKSDERFFWNRYLMSKMIDLTENGGVDLSRFILPVM YGSVELRSTTLNNRDLLFLLISRRSRHRAGTRYFSRGLNSQGHVSNFNETEQIVLFDP LPENGNPNSSRKGRVDGRERLSFVQTRGSVPLFWAEVNNLRYKPDLQIMDYTETPNAL SSHLHSMIDSYGDVHLVNLVNQKGHEQPVKEAFERYMSLVKSSDSRINEKAHYLYFDF HHECRKLRFDKIQLLIDRLEPELEKMGCSDNGENASVISRQEGVIRTNCMDCLDRTNV AQSALGRWALNRQLRTVGIINIKERVEDHEEFMTMFRHVWADHGDTVSRAYAGTGALK ADYTRTGKRSKEGMLEDGYKSVMRYIRNNFFDGDRQDAFDILTGAWVAKRGGIPPLTD TRPLLMRAMPYILAFALTMILAAVTLPRTSELSIYSFLFLWVFLAFFSGSYIWGNGTS YVSWPRLNPPLEVLSWNGPGARSIARGRGLSLANVIPIFHKKNAAGNNNGGIGGRPAV YKMEEVELGKRKGALID I203_05908 MLEARVKQAAVLKKLLDAIKELVTDGNLDCTDEGIALQAMDNSH VALVSLKLVAEQFESYRCDRNMPLGVNLTSLTKILKCAKDQDVVTLKAPDDADSLGLV FESPKEDRVGEYEMKLMDIDQEHLGIPDTQYDATITMSSSEFQRICRDLAALGESVKI EASKEGVRFSSEGEVGNGSVLLKQSAGTDRSGGSSSKKAVKRDPDEEDEEEIEEDEKP EIDDEEEDEQDDEDRSKKRKSNGKSKTAKKAKKDESTSEDIGVSIILEKQVSLTFSLK YLTNFAKSAPLAREVSLHMSNDVPLLVQFDFEQGTLQFFLAPKVGLSSLRDTDI I203_05909 MQSVVDVAKSATNTVVSGATNLANTAVSYTGLGGHSHAEEHLHK STLPPDSTASEVKKVDSEGLAVFEDEGVRHEVLVKINKLAHEDIKHGLKEVASLDLVG LDGIKKGISYYHPLRYFTVDRPLGVDYIGEIEIEEGKSIHVRVHKAGSGNTPLFHSID TRPSEEGGAVFKTGEPLGWFDY I203_05910 MPPRSRYAVDPSLTGGPQPPPQGPNLGYQQQQQQQQWQGQSTSP QPDYYQQQQQQHLQGQVGYDPNYVPQLHHVSDRPAPIPTGEYAPTHAPGPAQSSSYHH QQPQPQQYQVPVPQQPLNHIPPPPHSSGPTLTGPRVRIDPSQVPNPVEAQELDQNLYD DEDFFTCQTRGLVPLVGTDYRGIDQGNSLPRHLRATLPVIPSTSQLLDTTALPFGLII QPFAQLRYDENPIPTVSNWISGQNGPPRCEKCRAYINPWCKFVDGGRKWNCNLCGANN IVSPTYFCHLSPSGQRLDHSERPELQFGTCDYVVGRSYWALQPPPSGSLMDVAVDAGN SASDAISSTAHDLLGGLQASLGQEQTSSSSTNYREKEKERKRELAKYRRPQGLARVFV IDVSKPSAERGIVREVCEGIRKALYGEKKVDGNQDEDEDEVKIGKGERIGIVSCAENV GFWNLSSSLSSPSQMIVSDLDDMFVPFTTGFLVDPIESRTQIEAVLDLLPTIAERGGE GNRVAAGSAVKGALAGLRMQGGQINLFLSGLVTHGAGALTSREDPTIYNTDKEKSLFS PSNSFWRDLSEELAECGVGINTFIFPEVYCDVASIGALSAVSGGEVFFHPKFQPVRDR TLLHQETQSTITRETVYNVTVRIRCSNGLRVSDHLGNFYQRSMTDLEFATLDSGKSFV GVMKHEGSRLDDRQPAYVQVAILYTTSQGERKVRLLNMNFSVTSLIGNVFKFADQEAG VGVLLKGAVSQMPTRSLRDIKKALTERCNRVLLMYRKHCAPAVQQGQLILPEGFKLLP LYTLCMLKSKPLKGGNVTSDVRVHYMRQFKSLSATRTINLLYPKLLAIHDLNESVGFP DDRGKLVLPTFMRASYGWMVAEGAYLLSNGEVAMLWFGSAVNPQIIHDLYGVDNLQDL DVRMTQLPKLPTLLSTQLRNILTHLERILDHTLPVIIVRQNMDGMEIEFANQLVEDSN NDALSYTDYLMTAHKSITNELSGNGGKSDSWKPW I203_05911 MPPESNEPTTNTSDTSSIINVSSVSLQANSPSSTDTSFEHVSDP LSNTGKSQSKNGKARLIYCKSHVSIHPTSFNKDNISGYLGIVEVENVVPHSLAPNLDS DHGDNSVGRKETLITWVPNELLERMDEEDREGYKRVEGRVLNGNGIESDREEDGFVFV SLPSPKGEKYAFSIPITSIYSVLVYPPSLSHWYGSATFNLMGGISLPTLYFHDDESPL LASPTIPDTSQPLPRAQWGFPPFLSLLESRATLVRSRLLQSRKNMGAELWLVNPSKSD REVHEAGLEEEPEAVPPQRQPVPPKSPGPAPYPPKQQYPNSAVLNTTTPKQTLMTSLS NLTNLSRKAASQVLSHPLAQPVVPHLPPAVRSLVHVPGEWERTGRLPAKTSKTKSGST DVASEFESARLYLARWARVVAEEGEKARRDEIASKARLNNRRNSMSSSGVSGSDMEDL ESSLGVFSMLPKSYSKRPIPNPTRTPQHPITFREWESFAAQGRDELWIRREIFKRGFS DSPEPLEKQARREGWEVLLGIVPWNIGGLGGGEVGKEKRKNQREQARMARRQVYSGLK GKWKKESEISGGRDDWREEWHRIDVDCRRTDRNQPIYVVPAEAAHKGDHEKEGGGANT SLRRGSLGDDGQGEEEEGGAAALNPHIAALRTILMTYHTYSPELGYVQGMSDLLSPIY VVFDANEADAFWGLVGVMKMMESNFLRDQSGMKRKLSTLQQLISVMDPELYAHLERTD SLNLFFCFRWILISFKREFKFEQVIKLWEVLWTNYYSNQFVLFVALAVLQSHRDVLIR YLTEFDEVLKYANDLSGTIDLDTTLAQAEVLFLSFRTLVEDLDKENASGSSAVDFEDS NNKDGIRHRRVNSDVTSSSKSKGKGKEALGEDDDEEGVGKRKERKVISEDLRELLVGW KA I203_05912 MPPRQLTKHQYSSGLSYVANTPKFLQNFGQLKPPSPPSSGTRDG TGREAIPSRPREGKWAEGSDNEQAPEDEEEEDEDEWGETFGGGGDDGPQVVVLKEGRH LDEEEVKRLRRAAKGETSSPPPIKGKKNTNQDSSNSSSQSKDKSKIIIPKSNNNTNKR KLVGNDEAEEKQRKDVKTVNDKGDEKKKKKKAKKGMLSFNEAEGED I203_05913 MEASSSSRQDLIRNAVLFLNDPKVQSSSLTSRIQFLESKGLNES EIQQALSQASQTFSSSTVYNDNGPERPRDPSPRYGYGYSQGVMHPPEPPKRDWRDLFI MAVISGGVVYGLSVLAKKYLLPHLKPPSTTTFQSTSQSLTDSYDEASKLLTNLTEQTG KLQLSIEEDKERVNNVIEQVELAMSSVKSNEEKWTGELRDIRSEVENVRELVPKLIEK HSSSQSSALTDLQNELRSLKTLLIARQGQGQSQPAGSSSPSPSNSGVSSTTAAANALL TPRGKGIPAWQLPAASPSPTGSGSSTPLNGSGELDGEGKGKGKEEVKEEVKEDSR I203_05914 MLIILNALSILGLIQSVLGTAVDPSNNQDMDKRTVTSSQLMAKE GPSYTDINTAANPLDWDILLGVQSALAVLTKLNKAAITDHIKDHGEPGTPTEKATFES TASMSGEDAFQNWWWRGSMQAVMDVLGTTYDELGETGATADTVLEILTGRKTKTILNP TKEELKYLVVMHFDGDENDSASFSSIQIVDRQWVTRSNKIEVGNGDSTLIFLEDEGPV P I203_05915 MPPERSSGSTRTCQCPHPSHSAPTGAKVCASAVQGLKGCEYCVV TDGHSRSYHTANEDYPEEGDKWFKVYDDMLKWKSDPQTIRPEGSGTYWLGFLKHHGGY AELGLRRPRLKADGASNASNRDESSSEFRPTSAREVVDLTSDTPSTWWSGAENPFDLN TPYTQYSHDTDYTVPDGTPSTLGHGSYDIYKTLPEFQGHFPPIQRPQIQSVTDMSIAP RWQDHFPPLGMQQPQQQQQQQAFDFVTEGGDTTMSEEDQKWWEENTRDFQS I203_05916 MAAAMASGSAMLDPMHYYRAKRDRERRTVLKTYKILGFISSGTY GRVYKAVLLPSPSSSSNIKSSSTNKSTLPSSARAALSIPKDKLLSPTTSTSSNSNTPI LDPLNNPELCMRPGDLPAKEGDVFAIKKFKPDKEGDVLTYAGISQSGAREIMLNRELN HRNLTALREVILEDKAIYMVFEYAEHDFLQIIHHHSQTTRSPIPSPTLRRLLHQLLCG VHFLHSNFVLHRDLKPANILVNSAGVVKIGDLGLARLWHKPLAQGGLFGGDKVVVTIW YRAPELILGAKHYTAAVDLWAIGCIYAELLALRPIFKGDEAKMDGKKQLPFQRDQMGK ICEVLGPVKADQWPGIVHMPEYKTYLSSGPYPNPNPLPTWYQHRSSSSQGYDLLTRLF EWDPARRLTAREALAHPWFQEEGGVAAKSVFEGSTITYPTRRVTHEDNGDAKMGSLPP SMAQPRLPSSSNFRPASASLTAQQPVRKKIRM I203_05917 MSLASKSPRPRKSVSLAEHNLNLENVTLPITNREFKIQKQKSSA DSGGEALFQVGKGDDDLSPRRKARRHAQPRKSILKPLPAPTDDGDDTQQYAHTINFGA SQSLSRRVSFAPNAHVRMFDNPEPSRRPSFAPPASSSISGPRSSLSSHSRRSSIQNIA SVAKPNVFTPSVFQGEGETQGEESMEIEEQSDSGSEDNGAVEMGRQFNGQRGNFSLGG TSNDRLSAANDQSDEEEEEEMDMDITQNIYGGIVRRSSMAANTTIDSDVTEEADISNR SADEEKTMDFTIAVGGMLPPKAPDGAMSNRNSIGYSFPIPEGSSAQNFRPGDAIEGEI EMEMEETVAFGGIIGPDDTISSGGDSFRNEEGREKTMTFTFNHSIIPPQDQDAEDDGM DMTDAQGGIISLPPPSPAPVATSSYPTISPARPLPTNTRPISGTPSFARPTVSSAQKS ATSTQKRNVFAPSPSPTKSTTPKKAGMQVAREVAKRLSFASAPSSASKKRSREDSPEA GLESAKKNKLDSQVKEVFGGTPVSQPAPRTSLGVSRLSLGAPRASLGTPMRIARSPAT SRHNLVRVADPEPEPEPEPEPEPQAVEEEALESEWEQPQTISLASFLEMAGVQFLEGL PGLNRRRSSVAKGILGQSYSGGDREFALHEYAEAQVNSIFLNMYTWAANKLRDDIRNG QTELDQCEARCDEDSPPVIQEYISASDEDKQLFELAFKSFKTNTQLKAKERWYDWKLQ LMQTIKPDVEGMLQDMQDDNDRLTALQEQTESILPDLKARQAALQAELEKEREIVAEI AACDQQELAALKEGITEQETQINVFSSELEESTVKLTALTNKLEELNNTKTECVTAIQ HAKSQCDQFTRSDAIRLKEEYNSLQHIHLWRPTKISSTLLELEFDNEISLSFQCKDYI ANLRSAQLEYLYDKVKQRKGGPILKGNSSQSPTEALFEMLKIALREGVKADSFDNLSS LVQTIGQLWSVSQRLRAELHYVNFRHPINYKLQPESNSLIASVTMVLPSIKSKVIVDI GISQETIWGYPGNLNGTDIEIRKVYGKADINLLTEAARRTISSTTPQGCLGTFLQVCV DVAAQCIV I203_05918 MLTQSSRILLARSSATRSLPVARAAVSTWANVPAGPPDPILGVT EKFKADKSPKKINLGVGAYRDGQGKPYVLPTVKKAEKILSDAMQDKEYLPITGLADFT KLAAELAYGKDSKPIVEKRLAITQSISGTGALRIGTAFLARHYPGAKTIYLPTPTWGN HIPISKDSGLEVKQYKYFNKETVGLDFEGMKADIKAAPEGSIVLLHACAHNPTGIDPT EAQWKELSDIVKEKKHFPFFDMAYQGFASGDILKDAFAVRYFIEQGHQLLLCQSFAKN MGLYGERVGAISFVCESPEEKARVDSQLKILIRPLYSNPPVHGARLVSTILSSPELTQ EWLVEVKGMADRIIEMRERLYNKLVELNTPGEWGHIKSQIGMFSFTGLKAEQVDALAE KASIYLTRDGRISMAGLNSNNVDYFAESVSKAVKGEL I203_05919 MPPTAAVKSARSSIYGWTKKRSVSPSSSSQQSTSQPKAKMTQQP QHHYSQPAMPAPRRPEESPYFEEDYSDEIIAYMHSMDNSTLASSEFMDMQPELQWFMR PYLIDFLIEVHQQFRLRPEVLYLAMNIVDRYVSKRVVYKKHYQLVGCAALWIAAKFED GKDKVPLVRELAEMCCKAYDESAFIQMEGHVLSTIGWNIGHPSAEAWLRINTTGHNYE DPKVQNMARFLMEITLFHREFVGIQSSLVASGALMLARFICGKARKPVARHDNVAVRI ALAIDKHFAEKLENVSEIVIRKYAPTYYGRCSTICREWYLSGRRFVYNPEAPATPVST NLPTPGLAPSGSGGWPSKRGSWVTGSPGGSISCASSEAGDDAPLTPITPIHSHNIDPF SVASKENIAPAVYGSNPSASISKDSVSSVNVSVTTAKPLPQPPVYTRPALHALPPVGD LSLPNRSMRRLSN I203_05920 MSDDDFGDDSFLVDDSFLREVDHITASASTSEQNKLRTLNNGFT RSASLSNPRQAAALSASKGWTGIQRSTSGPSSKPLHKINSTPVIPSSLNQNLSQGKST VSLKRKVPTQPSSDDYDIIPLGPESLAALDSLTAHRLQPQPHSSASTSHSRTSVTSAP AIPSSRIGLGRANGTTNNNPTSFGRTNSNPSASGRANTISNGNNSASFGRTDSNGFLQ THLNFRREKQGTKGKVWDRTEFAETGRRISTEKGKNKTSNNKGKGKRKSRDLEDDEDD EEDLEDEDDWSNVLAPLPKPLVDMNAPYEPQRHLPNAATIGTYIYPTNRSKRGYQYEI IRACFRDNCLVALPTGLGKTFVAGVVMLNFYRWFPTGKIVFLAPTKPLVNQQIEACQL SCGIPSKDAAVMTGSSVSQKERARLWEDRRVFYCTPQTLDNDLKRGSVDPRDIVLAVF GKSNLDEAHKASGGYAYTTILAYITAHHPYFRVLALTATPGADVPRVQSVVDALHISR IEIREAEAPEIRKYMNEKRTEKHVVPMGDVIENFRDRWAALMRPNVAKLVDKDILTER DLDCKRLRPFRLTAKRMEIGRDRNSGLKWAFGSLTALEKMARAMGHLLEFSLGMFHTT LVEIAGGTDAAGKKSHNRGSANSIRNNTEFQKLLRDVEVEMNMIRIGKDGRTKADKHP KMQKTLELLLAHFTQAEEEEKTLGQKNDTRAMVFCSFRECVLDIVDMLNQHSGLLRAT KFVGQSQGKQEEDKGFNQKEQKKTINEFKDGKYNILVSTSIGEEGLDIGEVDFVVIYD MPKQSIKLLQRIGRTGRKRDGKVHVLMSENREDTNWETAQQTHRDIQEEILHSRNLEL FEDVEPLLPDGKFPECIEQEMEIDPWDPDDKKFQKTLAEAERLVRKEKAKSDKALSSE SKTTKGQGKGRGRGHEVPDGAEGFKSVADLLRDAGKLSSAKKKKGRQVEHDSEGEEDE EDTSTKKRQNPKKRGRPSPVQSESENSEEQDLDSLFAQTGSKKVTLGSKPKKKVTKRS RKAVEEDSNKSISSDKNKGASLADIDLHADSDAEPASESEIIPKMHRQSSKSKSIKRK KEEQEEIDRKAEQAERDKSALDFFNTVGPIRRGMTRSPTPTTPTPPSSPPPQPQPQNL ISPVNHVLSSSELTKHPAVGGQQLTPRTTAVVGFSQIAPVDLWDGDLEMDLDEDFISP VLRKTPQSGSMLPPPLPSTVSKHTSSPLYINHHGVGGSSTPLPPTQPVRRLGLGRPRL KTNNVVLSSGSKDSPVARPAVGRRMIPSSEPDSPVVSRRQVVVESSSPVLERRRERRA RDAGMHQKKQKKKGPVGDYMDMDAVLSGSDSGDSSEHSDSSVASSSDLKFAGNFQPTQ APRGYNQQAIYLAGLGTQARGHGLNFKRDLGDANKDFLAKARKAVYITDDEDDDDEEG LRLRGSRGTARGRREQASSEDEYELGSFVVDDDDEEDL I203_05921 MAVKSDTIVEAKEQRYDFFFYGTLCVPAVLTRVLGHKCDDLTFQ DALLPNYTRHCVKGESYPAIIDREKTNILTSRGDVLTSEEVNVRGTLVKGLTYKDINL LDIYEGIEYLRDKLPVQALSPPTAISSLAQAISDPTSRAKAEYLKQVQDSIEGKEKEG GVDVGMTEAWTYIWAEDLDKLEPRIWSFEDYMKAKESSWRDLPDDYFTDVERQQAIKE EPGSTGHERSSSAVGTDDLTTDGEECKLLGRTAEGFPDFGRGMRKYWGFADDYVNLNH GSYGSPPKIVIDKMRKLSDQIESNPDRFMRRTWLPILNKVREQVSEMIGARTEEVVVV PNATHGINTVLMNLNWDEGDIIVIYSSTYGAVGQMVKCLCDKNPQIRLEIIDDVFPCS HKEIIEQSEKVLSKYNQLAQPNYTGLSKPTGVNANERIRAVVVDVIASNPGVIYPWED IVRLCKKYGAMSVVDAAHAIGQVEVDVKKSDCDFWVSNCHKWLHSHRGSAVLYVPIRN QYLIRSTFPTSAGYESTRYPTKGIDRPWQFVEQFQWTGTTDWAPFFSVNDAIDFRKSM GGEKRIMQYCHTLAVQGGKRLRKKWGTEVMDTPKGELTAAMVNVRLPHVPDPKDLEDQ FKQLRYFEDKCFEGNTFVAAFRHGGKWWARFSAQVWNDLNDFDHAAEVVEKICIGIKN GEYLDKSLEEVIVEEEIRELPTKDT I203_05922 MIGTHFTNFWSAVPQGPPDPILGVTDAFKKDTNPNKVNLGVGAY RDENGKPYVLESVLKAEDILHQKKLDKEYLPITGANDFITLASELAYGKESKPLQEGR IAVAQSISGTGALRIATGFLSQFYNGPKVLYLPDPTWGNHVPLAEGVGLKVQRYRYFD KNTVGLDFNGMKEDIKNAESGSIILLHACAQNPTGIDPTQEQWKELSELIKAKKHLAL FDMAYQGFASGDIIRDAFAVRYFVEQGHQIILCQSFAKNLGLYAERAGTFSIIVSSTE EKERVLSQVKRVIRPLYSSPPVHGAQLVATILGTPELYEQWLTEVKKMADRIISMRDK LYDLLIELKTPGEWGHIKSQIGMFSFTGISPEQVQALADHAHIYMTKDGRISMAGLNE HNIKYFAESLSKAVKGELQAKSSL I203_05923 MSTPNPPRSSSPLNFPSSSVAGTPRASQLRNAGNAPPGSSSPLH FPTSSPRPAAGRSGNAIPSSDGLGRIRGETPLFFPASGGSTPRRQRRGDIHSSFPLSS PSLARRTVQNTPLLVRGSSPSGNTDIDIDGENGDPTTPRASNRLHGSSAPTLSAVAPS QDNAFGGEDADGEGGMVKYIWGTTISLQESMNLFRDFLRGFKPKYRALYNSNLNKTIA ESGGIIPPSMPLYDNLPTAKAEVVLYENYLKRMQMTGETNLNLDSINLLSYPPTKKLY HQLMNYPQEVVPIMDQVLRDTMIELSEESLDQARQKYSEGLIEKLALDLLTDESNTIQ SKVFKVRPFGGERAVNMRDLNPGDTDKLVSVKGLVIRATPVIPDMTTAFFRCMVCQHT VQADIDRGKINEPERCPRDVCSLKGTMSLIHNRSEFTDKQVIRLQETPDAVPDGQTPH TVSLCAYDELVDLVKPGDRVIITGIFRSIPVRVNPRQRSIKSLFKTYLDVVHIKRTNT GRMGYDPSTRSGEGKPPGVGVGGEDDEDEILSRQDKDLMDQDDNAEEDEPIFTASAEM EQKLIELSNNPEIYEILARSMAPSIYELEDVKKGILLQLFGGTNKSISRGGGGGGPRY RGDINVLMVGDPGTSKSQILQYVHKIAPRGVYTSGKGSSAVGLTAYVTRDPDSKQLVL ESGALVLSDGGVCCIDEFDKMSDATRSVLHEVMEQQTVSIAKAGIITTLNARTSILAA ANPINSRYDPKLPIPANIDLPPTLISRFDLLYLVLDRVDELNDRRLAKHLVGLYLEDR PETAGEDIIPLDTLTAYITYARAKVHPVLSEDASAALVQAYVEMRKAGVDSRTQEKRI TATTRQLESMIRLSEAHARMRFSESVDLSDVVEAVRLIKSALRESATDPLTGQIDLDL LTLGAGSSTRRARSDMKKELLNLVDSSGSRTGLKWSTAIKGLENQSTVPIDHSEFAEV VRGLVDEGVLKVVGEKERRTIRRLAD I203_05924 MPQIPFTISSKDYTPSSSDERKDFLGIFPPAPEPKTELGRYRLL APTAGVRVSPLCLGAMSIGDQWKGFMGGGLDYDKSEEFLDYFYKAGGNFIDTSNNYQD EQSEIIIGEWMEKRGIRDEIVLATKYTTFPLDRKEGRFQGIGANYVGNAKKNLRLSVD SSLKKLRTSYIDILYVHWWDYSTSIPELMQSLNDLVKSSKVLYLGVSDTPAWVVSQAN EYARNHGLSQFVVYQGAWSLAERDLERDIIPMARANGMSVAPWNALGGGKFKTPQEIE ERKKAGTLRAGMEPTPQQVKAAEALKEVADELGSDVKLPNVALAWVRHVVTDCYPIIG GTSIENLKSNIEALKIQLTPEQVNKLTNAVPFDIGFPYNMFGTDPHYLPGGKSNSALI NSAAPLKYNSTIA I203_05925 MVRTQLLTSAKDYTPSSGEERNDFPSIFPPAHEPPTELARYRLL SPTAGVRVSPLCLGAMSLGDQWQGFMGSSLKYEESAKFLDYYYEAGGNFIDTANFYQD EQSEMIIGEWMESKGIRDEMVIATKYTTYNLDRKENRFKGIGANYVGNAKKSLHLNIE DSLKKLRTTYIDILYVHWWDYTTSIPEVMQSLNDLVRERKVLYLGISDCPAWIVSEAN CYARQHGLAQFVVYQGSWNLSKRDMERDIIPMCRANGMSIAPYAVLGQGRFKTPEENE KRRKEGKFRAGMEPSENEIKISEALQEVAEEIGGSTKLTSVALAWARQTMAYCYPIVG GTSIDYLKSNIEALKIQLTSEQMTKLNNAGPFDWGFPYTGFGRDPHHLPGGVPNNALL NTAGHFKFVEYP I203_05926 MSLSRSLLQQSARPFAAIRSIHTSRAVFNKPDAFTTPVPTAQPG QNAAAEFDFAGLPQVETVSGAPTEMQYRSVRIYRPTKSTMQSAKGKTKRWLLDWDVLQ GAGRWENPLMGWAASADYVQGTSLAFPSKEAAVRYAEKQGWPYKIDEPTKVVVPPKNY GGSAMEDGRTRLERDLLGRVLGGFDGDILELRHLDARWPRFDRA I203_05927 MLALTYSFVLLGIVQLALGAVVPYSHNRRGDSVVQLWNTDAPSQ DDFTKGKSTSPAPSGGQALTDKATFTIYDNGEKKDVEVSTDEVKDGFDIDYVQANWWW LGMEYALVKTITNGENDLSVEKGDPENILKWLTGKKVKRLTDLSDKDYLENLKKKIVI LSTGENEVDQHDEEAEGIKYRVITNVDGEGSDVDSTTVDTLQMGDPYWVGTLNEDLKE LKDEYGTVIVLED I203_05928 MPSKKKQSSSSTPSRRKPISCTCPRGDHKDPQGNFGEQKLPDNT TSEPVRCRTDVYKTYEVSGGNTTCSKCSENLIHALSYTTDSKTANDDYLKMMMDDFQV VYPGTYGNGSRWMQYFRENRESYMASSKKSRQESSLGTIKQDEKADRRIKITHSVIST AVPDNIAEQDRPAILWRNDLLPEALHVSRDEGINSMSGTQMTSPILQMVSTMRASLSR SALR I203_05929 MAKSSSKTPSVIKIDGKGKGKAKALPPSKRPRASTPSSSNSSSH SNSEDDEGDIHGNDKSTMLALLEAQSRAMLGLAPLPTQGESSTASKGKKRAIEESDYE SESESGLDNDDEEEEGEEYISDDGWGAEDGFVTDSEDEFAIDQEALEEEASKVPEVIF DGGVGSKRDMGMSKAERKAFMNGNSAKMMGLEPEDDGYNTLRKNKRARAGSEENDLDE QSNLKLDQTLHKMLLTNLLPSDTLDKANRPSEKRNHLQGRLRELASFNLPGEGISSLR STELSKHPAHIRTAIMQKAQKREKAQREEQRAAGNIDKRFGGLSDGGGKSRGGREVKR ADIGKEVGKKKGMQGRTKDDSERARGLSLGVGKFSNGMLKLSRSEIQSVNGAGRGDGG RRGGRGGKRGGKRR I203_05930 MASTSTSTAKSTKRVGKENAPPSRSTKNIDVEENGDDIGGELNG ISDDGYTSHIGVSEEDDRPRKSKPVAKPQTPKPLQSINGDEDDIKEQELKRKLAMVTA ERDRFRTQRDTYSSQFEELTKSRTEGEGLFEKYKQKADLQAKAQNDIIATQTALTEKL QAKVRALEKALSSSTSTVSDKDKDLSIAGGPFDSGSGKSDLKDMKALKDELIKVKNDN KSKETEILELQKQIQAEVTYSKSLIEKQQLNSSTNKHPSSSAAANASAEEAAKDAASL ALYEDLTLLNIANVKIRPARIGKEEIFNCLVCVDGKSLNFKLRCYLELDKSNPSQPKY NKSVHYQPELLQHESEEFIKQLDYFANEFVVPRDQLGGFFMEMRAKVSGEEE I203_05931 MTTTLSKTKDNVINTPGGVIHVRPYRSSSNAQLKAVLSFTPRVS SLDRTNERSQTDEFRGFFVLFWIGLALLFLRTSMQSWEENRTPLSWTFGRLITGDALV LAISDIIMVLTMFVCVPFVKGLQNRWYKYHWTGVIIQHTFQTIYLGTAVWWGWHRQWY WVQSGFLVLHSMSSMMKMHSYMSHNGMLATVYFQLQEEKKQLEEAVKNAPGGREQLLL EAAERKAHLEALEGPTPVGTPAPGTPALSSRSSFSASAPSSGTTGYEDPSAALRRHVG GFTDASKEGTPEGSGLGPSAEIRQRKISKPKKKNQATDALPPPRPNLPLGTSLEPSHS TLPHEPSPPSLLAWSSNEQVALLARNIDAMQEELVSNGAKGLKWPQNVTYRHFLDFMF FPTLVYQLEYPRTKTMRPLVVLEKVVATLGTFSLIYTITEHYILPVIPKPGDPLIRSF INLALPMMVNYLFIIFECVCTGFAELSYFADREFYQDWWNSTSWDQFSRKWNKPVHTF LLRHVYASTMTGLQLSRTSAAFVTFLLSALCHELVMAVVTKKIRPYLFLMQMAQLPMI ALGRLPIVKRNKTVGNIVFWAGLMSGFPLL I203_05932 MSDNRPSTSPPVDQDPSAATPTIGGGGGQGVFPTTTGTASSSGS STPINYDDTTLRSPSPRKPSTINFLGLGVPSQTSSGSAGSSASPAPAPTPSLTVTTYP HLAHYIFGLLQNVNISPTKPTTSSSTGQHPLSVSSSGESDEDDSPSPPLTTSTPSADG INPTLQTPKKQTNSRSETARGISGAERDSLVKKIVELLDNEEEEKVKDVLKPFMGDLA KDEVLMDQVCLDCMHRRKDDVEQVPYAPHFTPSRARGSPNPAVAHPLRPFTPTRVPSF RNRTPLGRPHSPSPALAQPAPPTVPSNPAAPASSSGHSASSGSPVISPRMLNAKAATF SPTARVASAGSSTGGAGSASTPFLPSDPWKDIASDNPPRSASPIAIAAPLFSDRSSPF HSPMANTPTFSRTSSYKGVIPDDDDDDEFSPFGKGLPKLHHQDPSSYLNTEAKPFLPF GSSSGLNTAYSNDGYSESSFDSSMGQQGEMTDEEFAGSGMTPLDVLCSVFTSVPRSDL EDALHRSGYDFESAMGYLVSQHTHHPRSGASTPQRVSSPRPLLGVGNRGGVGSVMGHH APERGYFQQGGRSFRGDMSPGFVGGTRSPGGNAGKMCRYFLAGECRRSDCRFSHDIDR ALCRFWLRGHCAKGPNCEFLHQLPNNLDPNALSSAMSHVEISSDGYAQQSSYTPADEF PDLLSARIGRGARFDPSRNRFANAVKRAAPGPAPTFQVSGMRQSPLLAHGQVSSPNIS PSLVVALPKPSSRIKLRPPALLPTLKTGSATNEQYMSTRSTAIRLGHARNACLARAAD AFRRGDGAAAKRFSREGKALNQRMLNESSEAAQVLVRERRMEAQLAIRERDPLWSDDP SDRSERGKECAGGLGVIMGTAPRSVLGGELLSSAERVEALLDLHTLHGGEGQEITGQF LAELERENFRGLAYIVIGEEKHVGSQDPLRGASKVRLGTSIKQVLGEWGYAWNENAGV ICVDPCRF I203_05933 MSDPTIAGSSPSQITSAEVQPQAQSQSQLANPNSQPTQNGTTST ASINAEAGPSSPRNNGPSQSEDVVMTNGETTEADTTVDQSKANGTMDEPNANGVEEED TRPDLTELFAARRAEELERRDRSLVDFLKMLDGYKPLIPEEVTEYYLQRSGFECSDPR LKRLLSLSAQKFISDLSRDAYQFAKLRVNGTNAGGRGRPNTGGVVSCCSSIPCRKLGC GIVKILE I203_05934 MFALPSTPTPKIRQTNWSSVRYNSTAAGTATATPTPNTTTTTNS LVQPIDIEFEIDPQLTQPQTSSPPTSSLRHTVPSSSPFVHHQRIISTSPPPSLERNLH TSPMQPPYPNTPSPQSYGLSGTVIVNEPSSIMQSHASASDCGSHSHSHSQSDVFMSHA IPKSSKPALRPSLSHNGVQNTPSPSWPRRVMTTGSSFPPPAPPTYSFDDRLNGNSNNN ISNVRQQSVPLIAPAVNFSASTSSSSAVSDNNNTSNGSIVHGSSHHQNQNQSTHEVNT PYLSNTSSNNSTANMNTNTNTSHPHHHLATHPYPHPHPHPHQTYYTHPHEEHQHQQPH YPDPISYDGYPSSTTSPWQQPMSSILPSHHHQQQQQSPYHWGMHHMAIPQKQDEPILA PGELPAPRPPMSYAALIGEALLLAPPPHQLYVSQISDSIKKRYPYYRQNPTKIYNGVR HQTSMCKAFVKLPRPFGDQSGGARKWAIRAGCETWFAGGGYHPPSSTPPSTKPPKVGG KAKSTARSKHLIIGTSAEDKKARGMFGGGYPDSGSSGEGPSSGPAYDGSSRQLMPYSQ YVQHQAPSGTTGYGAPAPPPPNGQHLPPGYHYVPIPPAHGHPQPNQPVYVPIWGPYVQ QQGNPNGNGGSYYQQQGSESPEQTSWGRGGANGLETQSNDGTHVSSSYDEVKMIPHSQ SQSQQQIGSPAPSVHSIGRSIHCSQGASPETM I203_05935 MADPTSSMPPPPVAGFQSASSLLKTQNTNSPSTSSGSLRNASGG QPPIPIPVPDLPGPSSAPINGNGTTAQAQAGPSTSRPINRPAAGKNSIIYNSVQKRNP VLGSIKNVSVEIGDIVADYQVGAHNGVLFLSLKYHRLHPEYIHQRIEKMKGNYNLRII LVLCDVAEHQQSLRELNKIAIINEYTVFVAWSNDEIAQYLTCFKSFEHKSADSLKERI QQTYHDQLQHVLTSGRKVNKTDADNLAAQFGSFANISRQPSKILSNVKGLGATKVTSL VDAFNKPFLVGGLKTTTDTTSLRTEGGSNSNEKTSEDIGSPDWPADEEEEEEQGEEEE AIPGSRRGRRRSPSRSPGLSPEPRDQDVWQDPLDQEDEDGEPSSKRVRVD I203_05936 MSMLRTIKPKNARVKRALKEREPQVVENEKTAIFVRGQSTSDIV RNVMKDLYALKQPHAINFSRKNDIHPFEDTASLEFFSNKNDASLFVTGLHSKKRPHNL VFTRMFDGRVLDMLELGVEGFKGMGDFDSPKSSVGIRPLMIFHSDLFDTHSKYQLIKS FLLDFYNGHALSEIPLIGGIEHVISITAGPLPQDHDENTDKNLPKVHFRVYTVKLLAS GSKVPKLQLTEMGPSIDFAVRRVQDADEEMLKMALKRPKIAKSDVESGLGKKKKNIET DSMGDKVGKLHLERQDLSKMQGRKMKGLKFRKERPSAEEMQVDDEE I203_05937 MVRSKFKDEHPFDKRKAEAERIRQKYNDRIPVICEKAEKSDIPT IDKKKYLVPSDLTVGQFVYVIRKRIKLAPEKAIFIFVDDILPPTAALMSAIYDEHKDE DGFLYVLYASENTFGDLAQYEVKDEE I203_05938 MALYRNAPVAHAQEPTAAPIEHRFNPYSDNGGSILAIAGKDFSV IAGDTRQSEGYNIQTRYARKVWQLTDKAVLATNGFAADGNNFVKRVKQRLEWYEHAHH KPMGLKSIARMIQTMLYGKRFFPYYVYNILGGIEEDGSGAVYSFDPVGSYEREACRAA GAAQSLIQPFLDNQIYFKNQQPEPGSQPFIPGNLPLANVLSLVVDSFTSATERHIEVG DGMEIYIVMNKGRTTDDLLGEGKLQKGMQIEELGALGEGSGERTFLVRQALKRD I203_05939 MSTQIQPTPVSDGFVNAKAQSSRRVNSTSYDMNGSRRGSSTLAV VPENHSHDHHHNAQSSPSSPSAPSNSQPQKVSSRKSSNSTPSVAGDLREMDKALEMKM RRSHSSTSASTIEKGKSKELPGQQQRQRSKRADWVIDLLETQRGAESWIDDQRVILVL GDPTPASLAPILYDPAFSDTLLLVGSSTPKPDIEALLSPSHLMSSTPDQQIFPTVQPF TPSGQQSGDTESHALAVLLSQASALAQQFRARSSIFARSRAESFASTGSGSNSPKRVF TGLSNPSSNRTSIESTNSTSNDRQQQRSVSMFDTSTGSADSTPKAKNRLSSFSILGGL RRNSESSDITPSSIGTSSSSSATLNDNLFDAIINFVPEMKNYKPERCLQDMLHQSVVI TTGIVPLLTAKSTSTLKTASKKESKSTSTATAMPISLIHVLPKLIPTPLPNVIESFLL SLLPTFQYRCSREIFGCVITTQTWLSPFVLLKSKTDQGEDVSGAQVLLFGGVRCPYQV LGEEEGQCKPRAFLAGWSGCLNMPGLISESRNPSSSSSTSKVADQYIKHTRQLSTPSP ASIPYDTVKARTPPQSRSSSPSPSSQKGRLPRSASMPIPNNITNTATTRRSRLHVSHT PPMMSDEELPDHEEQQEQQGGTSPPTPDLDSSIESSRASSIALAEAGRRGSVNDNEEI KIVNTNTNSTGSEGSHTHTQDNAGTGTGTLGNSKKAKLKKWFQRK I203_05940 MDITRFNQVLNLGIYLRIRLKTGDLDCLDSDWEEADDKTDTSRN TIGVVTKRKLPIHEMTFKKKTKIDRVTPPQIPERLSLQYKTENSVYLPPTPISAFPRL LADGQAFPLDTSKGHNGRSSLSPDLASCSTDQKDKPSTTHVCQILAREIYDHPDEALI SISKRLETRYQGRKW I203_05941 MSGQSNLFDGLGFYVHPIVEEHIINSPIGKAITSRQSIIYPLPS LDQVQIIVIPLMPFSGPMSKGLKPLDPNFVWLDPDTLNDTRSWTPELLVRYFEDTIRK GEVIEGRKVVVGYGWVEKCLKAGRVLGSEDDWDGWRVRPAFHVVRHSRPYLQQTYTSE SQPPVLQPILSRALPSGTNIPIPELIASETAKDYTTRQSNLKKYSESQPPYYPKERYH PYPTPSGASLTHERARPLQPLLTLDKPLHHRKRGNKTPIIVPSQKIIKAVFVPPTPLS PLTWNRTSNPPSSRHVPIQANNLSSAIQRQNRVKSSTPNAILQPTSMVGLSKPTWWDM SRSRTINIPNTPTEWQLAMNQNGKVERREANSSETVTSVDTHTQAQTKILEKENEMSK RPKHLLDTRAVLVTQPLQGEKCQAQTKFIFIDSHGKPMKMYLAPNIHPVYQEKIKTKG GQLCTRLHASIAIFYGTATDPSPLMPKSIAENEAGWNCGKKGQVTVTLDWLKVCLEKG KLIDTKGYQIVFQKSTRNEGYSAYTTLSSKNRKVVPFTAHSQVTVPSSVRIGAPVHKM RDS I203_05942 MKSTSILSQSSSFPSCPSLTEYDSISWQVEKIARRLYQNGRTGR LATLSDPDANGSGKDVGTNDYLKRYESIIQDRVMELADQYERAGATVQNNKTKNVPIS MSAPVLIPSISSSDSSSIRKSRTEVQMRPGIANMQDHALDSGELQVTCSLADRLLTRL ETEGNGTVNDNDDGCDSKMEIGDGNEDEEMRNLMDEELKGLDEFFDFGGDTPRTVDTS TPTDRLETKAETTSSSSLSIKRVLTSEDRYDAGVGSQMVEPASHSDESDDSINVDANI RMGKDEGK I203_05943 MSDVKPDITITPSDSLAGPSSAPLETIFPFLQGQDERLEISDKK NGSSVWALKIPRFLLERWEMVEEKGVELGSLMVDNSTTPAKITLRLTHPDTKLTNTEE PLRERSSYDTSGIPDEYRVNVPEERARNLYVFTEKKRVYGKGSTSVAMPEGGDEVNEG GRRKRHKAQPKLVAKVDHECQVTPVQNQTYMKILEQRRLESEQSKRPVVRMDDTGISQ AEQNQLASGYRNAISTFGSRMISSAKTNNGERHARLERHELTDRIFALFKEKPYWGIP ALKATLKQPDGWLREVLRDVAEQIREGKYVNMWQLKKTWRGGGDSQGKVEDTEGKGEE DVKPGVIDNEDGDENMNEVDEGEDDDDDEDDEDDFEEVLA I203_05944 MDYIEKLAKEAQNLTMYDVKSYYNQAKNMVLNVSEMEAKVREAT NDDPWGASSTLMQQIADGAQFNEIMPTIYSRFMEKEAREWRQIYKALTLLEFLVKNGS ERVVDDARAHVSTIKMLRSFHYIDEKGKDQGINVRNRASEIASLLGDVDKIRSERRKA KSNKNKYQGGGNDGGMSFVTSSGSRYGGFGSDSLGGGGGGGGGGGAGGSRYGRNDYDA GDEYRSSSRTFRDTSAKTEYDEYEGADDFDDQPPRRTAPSSSRATSGASHRAPPKPVA KQEKPVEKPKEVNLFDFDDDEPASSAPAAAPVPPAAAAPSFGGDDDFDDFQQAPSSSA PAPAPASTGNANANLFNLLNSNKSFVPAPQPQAQPPSFGQAPPPGYNFSSPPIAQAQA PSFASRPSYSSASSQVTAPPVTSPAAKPSGASTFDDLFTSSLTSMGGQSTNKQAGGQK TIKDLEKEKTMNSLWGSSATTQSQGGSQAKNQQAQAAQNLSGGDFDDLLL I203_05945 MVRSYMRHGPIQAFGIVNSPTANSAYDGRLAYVAGWEDVLVWDV KRGEMVSMWHSPSHTSPVTFISPSSSPSSSSSSSSSDTPQTFAVSYQDGSIRLWSFNL ANPETETSEIVTFNGHKKSISHMSWDISGNRLASGGVEGEIVLWDVIGEIGLFKLKSH RGAITGLKFIPHPNPNKSTHPGFLISTAKDTYMKLWDLETQHCIQTIVVGRSEVTSLE GIKGRWIVVTGSGDGESKVWTLEKEKLDSGLKESENGELPTLIQPLCTLPLPSSSHAI TQIDFHSTLPLLLLQTNDKTIIVLRIRSEEEVNAKRARRRKRDKEKSKKKGKQQVEEE EEEQLNDGVTGDVKWEERVTSWCVIRANAKVKSFAMAREQVDSAKGGVQLLVALANNS IESYTIPSPSTSSKKSSKLPDGSSPEPTKTHVVDLPGHRNDIRSISISSDDQVIASCS NGTLKIWNARTTQCIRTIECGYAICSNFLPGDRHLVIGTKSGDLLLFDIASSTLLESY KAHSGSIFGIDVRPDGRGLVTGSEDKDVKFWDFELKEKGEGEKVVDRLGRETIYKTKQ LALVHVRTLKMTDDILSCKYSPDGRFLAVSLLDSTVKIFFSDTLKFFLSLYGHKLPVL SLDISQDSKLIITCSADKNIKIWGMDFGDCHKSLFAHDDSIMQVQFEKGQERNSHYFW SVGKDGLLKYWDGDKFELIQKLEGHHGEIWALATSHNGQFVVTGSHDKSIRIWEKTDE PLFLEEEREREIEQMYDTNILDNLNRDTDLDGGEGGETVEGVTKQTSETLMSGEKIIE ALEIADSDREATRTWEEENAESQVDLPRPSRNAELVAYGDLDPDEYVLKVIQKIPTAN MEDALLVLPFRYVISLLGYLDEWALKGQQTVLISRILFFLMRTHSNQIISNKIMRTPL LTLRNHLRESLEKQRNVMGYNLAALKFLKSSWEQERTAGLYEQEGMDDEAVRKRIEEG RGKRKRVVV I203_05946 MTRFVLKVTEVEEAPSKKISLSSEGSSLDKREMICYGSLKLWLK LPWDDKRYFNIHDLPQPEKTYRTKEMCNILIIEIANWAAERRRAVYQDDVKAFLVIQI GKRRPGIDWYSVWLTHLEKILIKLHKLGIDTNAWLIDETHSHDPMEVISISSVPDGDQ NDIEVIFSKDQNKTNVQEESSMGNQMGKKKLKGYSRLEDESSDGLSELESEQSSGEGG GNDSSFEYSRME I203_05947 MPVNNNQIFFIKRRPLVFYLCEPGEGIRFAIEPEESIINTRPDI STQPNLSKITKIEAYAILARELHRFAHKRSTVTVEDIKEFIKDLDTKRNERCWRKFYR REHRAVDSLIRGMAIKPTWLA I203_05948 MTSVKPSKLFADGDFPMTFFLIQESIMTRMVIQNKGGRIIDNPQ DAHHIIFNRDDGSPSLTPRNNEAIRVLNQVIDWQFVLASTWISECCKQNRLVEEGNYQ IIPSSSIESNVPPTPVSSLHAQPLITPASSPGFPYQHAPILSQHEQPDSPTQSSSQTC DRKRLGEEEAEDISDGESLTSKKVKVNPHLELISYGPLKVWIKDSKEYTNIRGLLEPE KTYRKREIFDILVIEIANWAKTQKEVYTGDVGRFCMDDLKKKRPWRDWRWTWQSQREH VMEKLRKLGIDTRTWNTKKGQVEVIYVSDDSDDDQQGGGEGREPGDVGITFTVDGTTH DRDQEDITRVKIEQDLRYEQGEASTIPFDLEPHASSSLRSVELAPADSASNISTSNQA QQPFSGATMINVDRNASAPFTDENESEVEMKPDIESEYGDGQEGEEPPISLVQKEDTL DTDHWIGEPLRRDAS I203_05949 MTLIQNSPPRGVFFGTRRPLVFYICGGGEGIRYVIESNGGVITD SMPAAQIVIFNRDITPEILWPISVEERKVFEVVQRLGGVQPVLSSLWIYRSCRKNKLL ERSEYTIQIGVYQIIPPSTIAVSTTPIPTVSAGSRPTTSATTALSIPSTPGQHQPLVL SPPPEASHTLPAPPVQTELGVVPIVYPPALPQPITRERQTNNSISSTLIGARSSQQIV APQTIRPLSGAASHEIRTEQAHSILADELYRFAHKRKQVTENDLKVFLKDLESKRKER YWQRFYPRHHERIDTILTEKGLNPKGWHNYPTKAVKDKVSKSGMKGWAWVVEPVDPIV EPRSQP I203_05950 MDLNKVFTPEFEERLKSLMEARQVPGCSIARVRRNSNANTWEQL NRTYYDGTSIQEHWKSLCRYRALTLWIADVQLGLSVMTNGAAGEDVVNLIKMMVLEEY TEGKKVGLDDWVRRIELERAAKTARICSDYPAISSTYPERSISGTFVCPGFPVLKLDE TNFKEVRPSCVTWPFRPQLYGKLRPIVCHMGNGRYEGCFELAKAVDGRNSFGLPFHLQ VEEGENDPLKVFGLPGAGCGVDGEECPAIFVRV I203_05951 MGFKVIEDRPTPSAVYNWRVYLMGCCIAFGALTFGYDAAFIGTT ITRPGFTAAFGIDKMTASEKTDNSANLTSSFTAACFFGAVFAWPMMEAWGRRPALQVS AAIFNIGAIVMTAASHQLSMIYAGRVLTGLGVGIITAVVPSFLAELSPPPIRGVLTGL FEIAYQIGNLVGFWINYGVTHTIDLQSSKSYRIPLAVQLIPGGLFAIGCVFFKESPML LIKRGREEEAIRNLEWLRMLPGDHQYIQEELAMIHARIEEENKVAGGEGKGIKGYFMG CLREMKVSSIRHRFVLVMGMMFLQNFSGAITINYYSPSIFKAIGLTDVTLWTGIYGLF KAGGSIVFFIWGIDRFGRRKPWMLSAGLCGLCLIYLGTYIKVGHPGTSTILSESTKKG GNAATAFIMIFGLVWSFGGNGLPWVVSAEIFPVRLRSLAGAWAGCCQWLSSFAATQAF PKMLLRMNWGVFIFFAGVCAATVLFTFIWIPDTKGIPIEAMDALFSGPSKHSQWRQKK VYPPDGIPPLDFNLPTQSGTPHEGKSKDDFFEHAAV I203_05952 MVLPIDNPTKSFWIEGSESPLRNQRSTADLPKQTDVLIIGSGYT GASFAYWLQKFACNGASPDMVMLEARDVCGGATGRNGGQLRPHFYSRYRNWSTRFGAD GALKVIQHEAAHLKAFDKLLKSEGIAKKVCFKLGETFDAAMSEEAWVRLKGEYELMKE DHGENGPIIGECRLIEDPKEAEEFTQMKGCIGAVVHPSGQVWPYKFVHALLEILLTTA KFNLQSHTPALEVSARDADGYITVSTPRGDIKAKTVVHATNRWAGHLLDEFQKLIHGG RGTIAAIKAPEGFIKNTGAQHWDAVINNYHLQLPPPYNTIIIGGAKPLTVHDPWQYIN NDKEDEQFRGVLEFYAGWPKRDIVGWQGNNPADLEKKVEDGGVWSGVYSSSIDSFPFV GPVPRRDGHFVAAGFAGHGMPRILGSTAHLAPLVLRELGIDYDTPEAAAIFPPLPDPF YATEQRIDLLQSVDAIKKFQDDVNDHLASSKKPFAAPYPQIVTD I203_05953 MSETYENAVITVELSDSKLEEVKKAYQNVYYHPDGQVPEHHLKV ADIWYANWSGFPPCVAALGQIPRVKILQLSSAGANDALQSAIMSSDEARKQIKVCSSS GMHVLSIPQYIVGNVINLYMKLHIQLHIARSRSTWPARDQVVEQCGASGEAFPGNRSL SGKTVGLLGYGHIARETARLFKAFNCKIIAANSKGDRRAEEGYRMPGTGDADGSIPEQ FYSTNDELSFQEFLGRCDILVASLPSTPQTTNMLKQKHLESLPHGSVFVNVGRGDLVK SEDILEALDTPRGLWGAVLDVTDPEPLTEGHPLYTHSNVIVTPHTSGSVEGYFDVGAD ILIAQSAMLRENKEALNVVDPAKGY I203_05954 MPSIDPAPVTIDFTKYFSRESTGRKRSQLKELRPYFEIPGMISF GVGIPHPSTWPVNGMTLSIPFAGKSVFIPGHESRSPEDMLPLAPYSDPVKGDHLFPDL SGELQYSATYGTPHLLGWIKEHIQRVHAPPYNDWVNLCTAGNTDGVDAVMRACFDRGD YMLVEEFAYPGLLSPAATLGIKCLGVPLDAEGLVPEALDEILTNWDDKEREGPRPKML VLVPTCSNPAGVTIPAHRKREIYAVCRKWDVLICEDDPYCFLQIRPNGADSPIVPSFL SLDTDGRVIRVDSFSKIVAPGSRLGWITGHSTLVEKIMNTRESATQCPSGFSIAAIAA ILRAWGSHDGFEKKYIPYISDIYAKRCLMMIDLLKKHVPDETIELPAPSGGMFLWVRL KIECHPSFPAEDAEAISKKAFKSMIDEKVLMAPSEFFKAPSISTWTKEEEAKRIFVRI SFSLPPPEEMEEGCRRMGRALAKAWRL I203_05955 MTTEMNPIELEPKAAIDHLDVIEKTPSAIKMSIMDHLTLPRRRK EVVRRKMDIYILPVLCFMNICAFLDKANIGNANTAGMSADLGITKKQYKWPEMLLLKF IPPKFFLTGIVTFWSLASTLQGVTSSYGGMLACAMGRQSLSISPCCTLEKNSVFVGPS SKPSLVLQTPSQAAAYGLVQAKTSITPWKLVFIVKSTPTFLAAALIFLLLPSGPDRWA WLSPRENEIARERVERSQSKEHHGGYSVAGFKAALKEPWTWWIAIIHYAGGSAFNSLS VFLTAIIKELGYTSVNAQGLSAPPYLVAYVIAVITAYWSDRLAIRGWFIGIANLVGGV GYMMIAYVGPRSVRYFAAYVTVVGVYVGQPLMFSWCATNADTSSKRASSLVIFLITGQ LGSITGSNMYVVPYDDAPRYHKGNSICAGLLFLSSILCFTGT I203_05956 MTITNGASDKAYQEGLAAASKAAVPNTVKERILRGELAHSFSIK LVKSVEIIHYAAAAGYDAVLIDLEHSSLGLETTNQLSCAALQVGVTPIVRVPANTSDW ISRALDGRAAAVIVPHVNSVAEAENVVRYAKFAPLGERSVTSGMPILKYASVPAKYAN PVANDATLVIVMIETERALEIADDIAAVPGIDIVLIGSSDLTSDMGIPGDYDNQRLTD AYAKVSAACKKASVDGRIVTLGIGGLNPRPDLIEKFASLHSNARYAMSGADKSIMLNG MKAGAAKCRVMTEKIVSGRSQ I203_05957 MLPCSFDELKSRSGPPYNAWGVYGADDQLGRLNLINEQAVKRGR VPIKRGICVNLNLPRAAQPLNPARKGLEHEIMHRTHCNDDHVSFNTQASTQWDGFRHH PYLNYPEKGSYVYYGGQSNDDARDKSVARNGIHNYAKRPITSRAHLLDIVAYRARRGL PPMSAFDRSTPITLDILKECAKESNVKLESGDILIVRTGFTEALFELSDEEQAQLPNR KVRGYCGVDQSEEVLRWHWENGIAAVASDTAAYENNPSPSSPSIHEVFLAGWGLPIGE LFDLRELANECERLQQWRFFFSSMVLNIEGGIASPPNAQAIL I203_05958 MGLEVSSGFNTARQDHVNKILQIFRLQRRLSKSQAITRNTLQRS NSYQNEGQSKSSLGQSHRIDSDDEIWATDENSTTTGPEDEAGILKGNASMLGGWESLA YSKLELESDAANARMIVHLWKTYFCWQYGSHCVVYRPVFIRDMALGDYHQQMSGFMGG NKNGLSTPALALGADFMNRAKLLLAAEMDKPSNVPTIQGLLLLGQRECACGNLSQGWM YTGLLIMLRLSDLGIHLDCNRLPIFGFGTMSAEDREVRRRLFWSAFTWDKIMSLALGR TPTSNAWQNASPGPIVDDTEDDSEWKPYFWDDAMPPELTHYSVQKSLMTSNFRHFIKL CEIIQKIIMRLYNGRVTRIRSHNFVDQMKHRLTEWMDQLPSGLKLNVNALPEHCPPPH IFSTKIYLPNAVPVRTAAPPSITASAAATCTRMAEELHQLFLLYSKTFKLRNMTYTLT WSMYSAATINAIDFQSTDPAVAAAASPRLSMSLHVLERGMLTDSWHPEGK I203_05959 MAIEPVPQPVSEGVRVAIDRGGTFCDVWAFIPNHLYSPPIPLEI LGNADIIPGDEQDGGIQVTFKLLSVDPANYDDAPSEGMRRLLQIVKKEKVPRGELYDT SLIGESPQYGQSVRMGTTVATNALLEKKGEPFGLILTEGFKDMIEISDQTRPDLFDLS ISGKVKMLYKPEDVVQARERVTLEGWSLDQNAPAAEELIERAKQAGDVDVVMGVSGEA VRILKPLDVEQITSDLQNLYDRGLRALAICLIHSYTYPHHERQIGEIANKIGFTQISL SSDLSPAIKVLPRGNSAVIDAYLSPVLRAYVDGFNSHFEGKKAGKRSEFMKSDGGLVS SDKFSGLRAVLSGPAGGFVGSALTAYSQTRARPVVGFDMGGTSTDVSRFSGEFELTFE SVVAGVPIACPQLSIETVAAGGGSKLTYKNGMFVVGPESVGAHPGPACYRKGGDLAIT DANLILGRLIPSQFPRIFGPNADEPLDLQASRTKFEVLTKEINASRPDKTPYTVEEVA AGFIKVANEGMSRPMRQITEQRGFAMSSHDLCCFGGAGGQHACAIAAGLGIETVVVPR FSSILSAYGIACASLSAEAASPLSAEVVEDFEQSKTYSEASKRIESLKSDVLRQLQEQ GAKQDEVDFTVTIAVQYDGADTILQIPFTPSLKNDFIEAHLRETSFSSNRKVLMSNIR IRGIGKTFNVTPTDYAVALQQVVSLSETSSKAVKPSTFNQAYFDTSSGVQGFQTPVYI LSQVPVNSQISGPAIIVDSTQTIVVEPSARAVVLKEHVIIQMQDSTSISRKEEGELST DPIMLAVFANRFMSIAEQMGHTLQRTSVSVSIKERLDFSCSIHGTDGALVANAPHIPV HLGSMQYAVQAQHNHWLGKLKPGDVLLTNHPQWGGTHLPDLTTVTPVFEPGSDTKVLF YVASRGHHSDIGGTGVTSMNPIAKELWEEGVIIDTFKLVSQGTFNEEGIRDLFGKVAE RPGCSATRRIDHNITDLQAAISANVRGIKLVNKLFEEFGTKTVLFYMKEIQIIARETI KDFLRNTYDRFEGKPLRASDYMDDGTEIKLEVRINREEGTAEFDWNGTGPQVLGNCNM PVALTYAAIIYCLRSMISPEIPMPLNQGVLDPITNIVPVGSYINPTGVVAISGSTLAS QRLVDIILQAFKAAACSQGCASSTGFGSGGKDASGKVTPGFSYGESLGGGSGAGPSWN GANCVHVHCTNTRLTDTEIFEQRCPMLLVESAIRRDSGGNGKYRGGDGMSKLFEARMP LNFSIVSQRRVFHPRGLKGGADGQRGKNTVYRLNKRLDIDENPQGYTEIGVGSNGIAK LNSGDRLRIETPGGGGWGSLEGQ I203_05960 MSDPYYPDQPLEATPKRTLWGKIRRIIWDDIQDPEERAFVRRLD CGLMTIAMLGYFVKYLSQANIANAYASGMKEDLNFKGNEYNTLLTMFTVGYVIGQFPG TLATVKVSPSIWLPTCEVIWTALVMSCAAAKNVHTLYGLRFIIGLLEASAYPGMLWVL GSWYGPAELGKRVVMFQATSSVGTMFSGYLQAAVHSGLDGVGGYKGWQYLMFMDGVIC MPIAIAGYFLIPDIPTRPNPRARWYLRDQDLKMAIARMERYKRAPTKGFTLDIFKKTM TSWIPWTFFIPYTCFVVGLGSYAYMNLWLKATPPWKGDVTAINVIPTGGYALSIVMSL VYAWSSDALGTRWPICFIGGFPPLVGNIILSVWPAKNSTKFAGFFLNFTATPIGAIML AWVNEIMASSGEARAITIGFLNTSAYTINAWAPNLIFPASQAPHYKAGYKVTTAFFAI WIVSIPIILVLLRTWSIPKYQQDEVVEEEEGAPKSINEKNSTENERLPDLESSLAKSA VISGVDGKATEPQ I203_05961 MSKVIYHEPDKPKDRTFTPQDCRQCMSCGKCWPWVTFDVEIVHH TSNVCTRCAAPEIEAKKWTELGQQMQKDLLRARQKLSDHRTAVATPQHRQSAIVQPQR QPQTQPETRRAVSGEVKKKFLSDEQLAAIRTNLPVLRSIKEPQRAILWIELTFRPQSV TPPQAPSSISQLTIYQAYKAFFDTLSSHPDSHICPTDTPLMDGSQLIKLIIKIYQEVG VRMIGKPQYSIEGLEWRPLDLSRTNQAQPQIQSGMGYNAEVSQVPVMQHQHHQQHQQH QYQHNGSLYQLPPPRPQMRHNNVPSPTEFFTQSLPHLIHQHQPQYPPVLPQTINPPQT QPYPVSHPTHDRPQAHPQLNPPTIPSANTVKSRLTPKIQDNNAVNPDKNSKVDSKHVM MEVDEDDWTVFSFKPLVGRSKKDNISQSLSKDQKINAELSVQPRHSHTKSSQSPKDTK TKNDQEEEIDELDDDNDDDNDDDNDDDINMNVKLENGRDRAGSEDEVGDMLI I203_05962 MDLLDYGTSSSSRASSFFNGPGGEYDPTSNDQLFFNMLFFNQTF WIVGNPHKAEDLRVNIVNGGTMSSSLTIASRVIFLKPDGLTEALKAAHDLKAVIQSHE SDGVGLPLGEGWINDTLFLARPIETKPYLINEQNLFVDGFWSGGGLGRERQNASSSLK KKRSKRQKTVHFPMSPTPTPNDPVSLGPSSSSTSIGEPNTACSQSHIDDLIQFDDLCT TDLNKEDKESEPKTIPEDVYKNTASQNVKPFIVCQQPGDILTPPIHKESAASVYRSSN VAHPTQSATHGQNQDGPAIITIQNTVTPTGPIHLGQTDKDVNQDETSTCTAGVQLSSY SQALEIFQGEGTSFSSPQAIQGDNDQQYCPHSHPSFSGKEQFPKGQVDVDKIRDPDYV ESEPEFDSPMAEDKQQKVASGSISKSAEYNRRWRNQTTVQPQDTVAYRALVDDLRSRV AGEGFPKGGMKAYLIGRGIHSLYTKYGALIRQQVPGLPNSRANFKKNAESAVNPKWQK FIDEQNAKTEVER I203_05963 MIIPPDPEKDPRIFDPSASTHSLVEAPSEYDEVTSRWDGESLPP YERRRTSRGSILTDHEDDQENVFSDRHATRQPPALIIHDLPTHPRQIHHHSPASSSSS LTPRPSLVLPHLTHRDNPSTIASTSKLWEGSSTDLRNGKQRACGCLPIPPAIFQRWWK RWKRWIQVILVLVLIGIGLVVGLLVGMNKNSTPKPPIAPWKRPWMDQDTDGKRVAAWA GNGSFNLTYIESRDAPSSSDGNLTECNNFTPLNLTSSPFTSLFTPFPQSTVSLASFSF PFSSSGNAPSDLFVNARGFGSSGTLQFLGSDGPQAVITSGEEGKILIDVVVRYSGGQD LSTMMRVCKMTRGEDGVGVGIYSPRETDGKMINPFKLNPNLVPTNLVIIRLPPSIYSV NTPPINLPSFSLDADHMIVRLGKLNDVASFDLLNLETDRGGVYANYAQVTKGSVVAFS GDVKGTWNVSEALIVNVTDGSIASDIILSDPNSSSAVLPATSDYTVFRRSPHALPSDD PAETPEDDDDAFEDQVHALFNSTSSGTSVIQANDRRSMIVTNLFTTSGYVDVKYLTQP SSIDLSATIGTQQGNMNVKFHPNYIGPFISSTTWGQINIPAPASIPQYDPSGKSRVRQ VLIDPIEVQANTTFSILGYTQQGLEHSPDTLSGFAYWASTKWTAGGEQVVINKTLSQL QDSNSVDTDNDNQVILLGNWGDVDITFDGQ I203_05964 MRSSTFSGQSFWVVGHEEEMKSQVEQKIRDNGGLICPILQMATR IIIIEPDIKSFRKSHIPEAFKAIEEAEGMNTFTATVFHWQKIGWKDQ I203_05965 MSDAFYPPGFKPPVLQKLGSDAPPVDNEGKRLFEGEKFHVIPND REEGRIGEAHGLDIFEKVKELITDRAGGTVVPLDKASYILIRHSDINRFAETFDPVSA RRMIHFDWVMDSLIVRHRISRDRYWGIPREREKAEKHRAQGLALICQDIPRDPRKDQS MRNPGRCQDQYDLEVSNRVASCSSSNSQYHATKGEFSWYEARRASESYRGVPGYSETR FYVSGPIPAKRNIERKIRECDGTLCDIIQQASRVIVVDPRFLFGFKDGNSGTTVYGKK QLFGDFELSARAGKIILTEGFIHDCHHRKRMLDEKEYMVEKEDLEGFQCWARTKDRNL LRSRQNPNFMVCGGREVAKSVDSERQRYVSRFYATPESPERLNQPVESPDNTLSPEDA FLTSHSRNLNNVQTDADEEKSSVAANRSPSHPNPPMPKYDDEDLDICCTDPEDESEEG GKGIFQSRCRLVTSKQDSRSSSPLTVDEDVDSNEPDDEKQNDPSYVEKKVGSRKRRVK ENNSGDSLKRDRKWYRIQHDTVHPSDQAQYDQLIDILRSQLKTNKSIPKGGMRAFTAG YGLESIYRRYSAIIREAVPGLPVGGPQKKLRKTR I203_05966 MSRAAPGDRAMAYQPGVQPPNGPSYHSRTGDQNQSYGDSRKERL LFRNERFYAHGRDEERMDMERYVVSVEKGVIQSRKLFWAIPREDLDAVYKSGPDNHVT QDSPNLARRLDINRSWEHKRGDDGFGQNGKSRWEKDNGWKDRIKKDNYDRIRSTSSNT PQESNHHNDDPKGIFRHHIVWVVGAPGQHRYLKNLFMSHGGLKSHNLNSTTQMVFYPP NRGFEEVTKNDHILGENLYGKGVRCLTYRWVEDSVKAKRLLDEKDYLVEKKDLFDNDF WRRVRERRFEDVQRCIDRESGFSTEIHQSEKENRRESCFGGKHQEIDGSDDPKSTIHP DQVASLLKQLTARVGTVIEAAQSQIQDTAPAQVPSKLSHSGYEPIDRELPADLVADRY PTPISPVRESSYQFSKSWSGLTNTDGFLMNNGEDASQEGSMDATQLQALATPRQSISS SESQNKDMYQPLTGKLIWVVGPGSARDTLHPKIMQLGGSLSTHLPSSTIVVFCRSAAK PFIMSRMRDFERAAESDCLLVAESWIHDLYFVQTYLDPKPYLINGPELLSDVQLWHGI IPWSRTRAEGQRYIGESDKLSEPWQKYLGAEHGDDRHRSTKEGQVREQSIPAAEQAER REPTGASYKHVEPQHIARDPMGLAVLERSRQGDCVPLSLQPLALDPCRAPKPPLPNCH DTQAASTRLPAKIDGPLPEERTEIDGLVVPAPTTVHEQDDSDDYADLAGIFSSDAEED ETLGSNRILHLPQPSRCILENRSTFDLHLGA I203_05967 MSTESTDKAGLATAHYLERAKKEGWAPASLQVTVYERNEEESAS IKYPMQLSPDARTALQKILSSQAFSDLTAQANYGITHGGVNILNPDLSHLFSNIKCRN EQPQWVHRKVLKDILSDGVNITRGRRVVRVRQVEGKVEVVFEDGANELADLVIGADGI GSIVRSQIDPSFPDFPLLPYLMIQFKLATPIAKLPLQLNKDCQNIILGSHSNCLHVIS LHGTDLPHMTPRSAITLSEQGPFAEPDQSVLKVQDAIKDPQTGYVLVRMFLNVSGEGA AGWEDLTEGSLIVWSTAATGF I203_05968 MSRQAPLVIDNGTGYTKMGFAGNSEPSFVFPTVIATHQSGSSGS SNTSGGSGARAPPPIAGKPSHLASKRGIEDLDFFIGDEAVANSKTYSLHYPIRHGQIE NWDHMERFWEQCIFKYLRAEPEDHYVLLTEPPLNPPENRENTAEIMFESFNVQGLYIA VQAVLALAASWTSSKVNERTLTGVVIDSGDGVTHTIPVAEGYVIGSSIKHIPIAGRDI TYFVQQLLRDRGESAQIPPEDQLRVAEKIKEDYTYVCQDIVKEFKKYDADPYRYFARF AGEHSVTGRKYDLDVGYERFLAPEIFFNPEIYSSDFLTPLPEVVDTVIQTSPIDVRRG LYKNIVLSGGSTMFKDFGKRLQRDVKAIVDGRIAGSEERSGSHMKSSGVEVNVISHKR QRYAVWYGGSLMASTPEFYNVSHSRVDYEEYGPSLVRRFSVFGSAV I203_05969 MKVAVFTVTGDQGSSVAKYLLEDREKKYQVLGITRNVNSEKAQG LAKLGVELIKEGINAAYVNADFWTHYLSNRYDAVKALESEKKDSIGAIDACVKADVKH IVYSTLDEVDKGECPHYESKNAVSRYLKEKGIPHTLLFTFNYFSNLVKFGQLKPPAEG GDEWLLDVPAPDDLRIPSYPAEQTGLWVKEAFDNRDKWLGKNIYASTSSPTPLEMAQS LSLKFNVKVTTAKVTLEQFHTDEHKQKIGEELWLAYKVILNGKMYHAPETIAVLPGAW TFEDWIDQDQTLKQWFSKE I203_05970 MGQSSFRTLSKWANLPEIDLSVAFLAIAVLMISNFASNGSIRKR ASRSHMIMPASKKGKWQKFVSFIWDSDYYEKSDAERKLVFKLDCFMLTAMTIGWWLKN LDQNNLANAYVSGMKEDLNIQANQYTYMGTIYNAVVAAMQIPSSFIVMKVRPSLFLAI CEIGWGVFTFAQAGAKSYQAIPELAKRIGLWFMAGPAGSAFSGFLQAAIYKNLNGVLG RAGWSWLYIYDQTVPCGFLLLFLVPDFPENTKVWYMNDEEKALAKERVARNGAQPMKG KINGAVILSALRDWKFWILVPFYCIYGFAVQNGTQFGVYLKAFKYSVTLRNVLPSVMY LIQIPAILIYCYISDRTTRIQRGWIMLGPLIWGIFPTGVLAFWGHSNSLRVVAFMVNG TLYITPVFYAWVAEMCGHKTELRAFITGCTSCLFYTFNAWLPAIVFLQTDGPRFKKGF RTTFSCCVASVVFVIVIILMRRRELRQENNKMVDETSVQQGRIDADREGDIEEKL I203_05971 MGEGSETPPPAKRRKARRACDQCRNRKLRCEYPDDDQSQCVACK RSKSQCESTQPAKIDKRRLRTLVDLATTDEQKQWVAAQIASRGIYVSSTDTGALRKAR PSTAGDPIQLVARLSSPSTSNNPATGISPTAASSSSTPIDLPKSSIITSDTSRYVQRD DDQPEASTSTAPPRRHSDEPPNLKLSGPEPRMQGPTATINLLADLPEDSGALDRRYGL FRVTEGWVYGANPDIPMSEGQLLEQQVRDDVIAKLVSFYTDQIAPLNAVIPPHRVSSA IDTSSFVFSSILAVAALSREVPSSIYASIRSKLSRELDEEPGKGNGSTLQQVQGLLIS GMSHELHGETNMEGGSNCWIRIGTAIRQAQDIGLHRLNSNHWLPEMFADRARAWLAAI ITDRWYGGAFGQPLAINLLDCEDPLESSDLLQTSDLTHSFQVEMYHISCLLGDIMQLI YRPRSLERCTDDILESLLRQIDSRLSLIPEPFRFTGESTSVQGGLLRLVTVSTEVIFF RSFVKHNRKLPSHLTFRPTPQRWSRTVQRARQAISWMNQHGDHILDCWLIAKYAIVYA ALAQYYNYAAERDIGSLQSLSIAKDMMNRWALGHNQLRPIAARAKIADIVNLFYKAAL GMSGNTSSVSITALITPTLSTPRTSSNASPRFHPSTSSIPPRLEQYANIPMEMMSQGA SEDDTIPRLDTSTLDDWLADFFRQHDIPDVGLSTSAM I203_05972 MNHLTASCHCKQFSYQIDIPEDVSFPLSETTCSCDACRYRTGQI CILTLSAKLTRSIPSPEDQKKLSRYDQKCGFAIDQDTLPDEEEDNSDSQGGLNKYSSN ATCGGTIITSFFCGKCGTKVYLQVASLTSEVEIGMWMLGCLDRLFLEVDGKKIPIVDV QGHHFLDDTVDGGISNIWRTSNGKQLKKYHDGLVEWEPHQDSVRPDEMLNLHCKCKAF DVYVRRPKPRLPLPEGCYRYQHPLDDEGIPQRYMASWCSCDSCRLTTGSSLPAHPWVQ IPFIDIFTSSSPNSTPYMNGTMTPSTQLAGLTLYHSTPSNPGISRYHCSTCGASILYF DEKRDFIGTFAVGLNDSQYGALNSNWFSWWTGDDKITMPITNGRSDGDKRWGEEMMEE FEDGLKEWGRSIGQRT I203_05973 MSSSPQPTTTWPDLPSAHPLLQFHSRLPKVIEESGHPHIWGVTL TTSTPPAFSTLLILQKYLRSVSNDVDKAAENLTKTLKWRKEFGLDQEDNTIEEFGPDF EGLGYVTKLGKNDGKEDIVTWNVYGAVKDLKKPFGDLQRFLRWRIGLMERAISHLHLS TTTRSIPDYGKGDDPHRIDQIHLYGGVSFLRMDPLVKAASKATIEIMQAHYPELLLRK FFVQVPLIMSWMFTAISLFVSAETAKKFQVISYKENLAKELGNAEGIPKDLGGNGPDL ATLEKRLESDKA I203_05974 MPFDFSHVFSGHHNNHDDGPHSAHKKKEYTFDHDVIGQGGYSQV MRAHWKARDGMVVAMKVVRKEAVKDREGYLKLIGCGFSRINTHPNICAGLDWFESTHK FYIAFPLLTGGELLERLNKRGRFTEDAVRNVMRVMLNTLSFIHSHGIIHRDVKPDNFL YRTPESEVDDIVLIDFGISKVLDTESEDDPRDQFEVGGTPGYAAPEVFCGIGYGKNSD LFGAGVIGYNLLSSWSPWESRDTVALIQETSRTTVNFPPGPFEGVSEQAKSFIRHLMQ PPSRRPSAKKALQHVWLAEPVKPVEQDHKLGSHEIEQTGIQPLVPHPTIKPEHPIARQ LTAQPAELKL I203_05975 MLLFALFSLLPILASAVNITTYVDVQLAPAQLPPSAAAKNADAI VSAFANLSRNTKWNLVQNITLEGDIGEPEGMVRIGDDRIIIAWGDWIVPTKSYGKDVH GNSIIKDGTDRENGQGYAHLSIFDGTGKRLADTTLNELSDSEYHIGGIDYDGECLWTE LSEYRPNSTATIIRVNPYTYQFDPVVHINDHSGGVLHDTKQNTITALNWGSRNATKYT LDSEDLWRAHESKIPNPSFFADYQDCKWLGYPSQFDGERSTALCAGVAAYSIKDSTVS LGGLAIIDVDTMVPLMEVPITLYTPIGTSMTQNPIDVDVVDGRMRLYFAPDQYNGTVY VYEAL I203_05976 MSISPDQGTDEVWDLLDALERYNSALDVEELDDGEMASLEEALA YDPTSRPIASRASSGVLDNEQIEVPHFPDLSERLLANVRKGEARTSEPISKGVLQSEE SALVDMASKARFEELLGTQMGLTRFEEWINHEGLPGSKELMRYYKDLRAYMTLFKEVQ AIGSGMQEVYSEKTAHGHGPVDSSPVRTMDYTSGLKSASLSLRSAQQAATESLYAAEF RRFVAGKLTEQARARLQFIPDHDKRGNLGEVFCEFTVILAEAFPDYSPGIANPRLPDQ PLVYISDGFCRLSEYSRDLLIGRNCRFLQGPKTDKSSIRALRDAIEEGREHCCLLLNY TRTGKPFWNLLNMIPLRGVNGAVELLLGAQIDVTSAISSSKAFKNLEDLVDGKGVEDR SANAFGFSDELLVHAEKHLSTPSIGRQLQRPPSSVSASTTPSLPYPGPTAVTQRYQTS SPKIGSPQISQDRRSSWISKLKKRTDVPISMTSNIITTNGNAATPQIPARLRDRISDL SAAHAKLIIFDAKCGRIQYVTPPLLAYLRYPIRKHKDRLASSLLRMDIVDLLTGETPS ETNSIIKSIDDIVSSESTHSVFAGLLLFQSGRDAPDHIADAVTEGGKRYARSLLHLTP VKDRRDKSQLYVVVVG I203_05977 MNPADGWSQETHPHKLALIFIILAIGSLVNPDSDTDNFEESETF LDAAEVALSISNFMKDHTIASVQALHIMANFHVYSDRLRGGDRAWPLGGLAMRITQAM GLHRDGMKWGLPEDIIEERRRLFWDIHTEETFQAHCFGRPGGLSSRQFDVECPKDDAL LPFSTIRYQLVRISSEYVVVHGLTFSCVCYCSVGYSYCYIFSEALEATLPENVKWTPV TTASPSADLPWASTPADEKGALLINLKHNLLCLNINESILFLRRTHFARCLRENPDEP SKGRYRIAFESVFARCQTIIAIARSLYTLHPRIALAQWFFWYHACSAAVCMASIPMIA PLSPFALQGWHELQSACRLFSALGHQNKSSRASLALLLRLRKSAFDKMSGDRSFASGG PSDLTNIVPGSIDGSHGEEADESSHLMGLHTRLIERRSMAPTRSRENLVGVPTGMRSP VPEGRSLLELDNSWQPSFPSNVVNESELPAFSFDIIPGNSDRHTVSARPSRGLDRNCP ASSAISCTSVLWSEDREPDNPDQAMPFNFNLDHTLLAFLMLISLALSPWTLNDIDFWL GPGAGTSHGAIPEPLLNDQPT I203_05978 MPIATSNIPAFLNVGVIGLGRMGQRHANNVAFATPRARLVAACD LQKDNVTWAKKALPSSTKVYGDEDAFFNHERLDAVLIATETSTHAPLALKALQYGKHV LVEKPISVDQHTAEQFELQAREFPYLKVMVGFSRRFDQSYQEAKQLIDSGVVGKPFLV KPSTNDQYDPSGFFIAYSAKSGGIFMDCGIHDIDMARHLLEITPADRVKRVFASGTNV RHPELADSGDADNALGFVEYESGKSFTFHLSRTAIHGHDCACEVHGEEAKLIVNQNPR LNRLELADQYGVRSLSTPTYYERFREAFVTEVNEFVACVLDDKPVPSTIHDAIEAGSI AQALTYSFRQGKPILFDQDNKAILA I203_05979 MSAEKPQRSAGTRTAIRSASDFGIELHPGVEDLLYDSTALAKAY GGASGHHLSASIILVMPKFLGDFPAIDEASSSAASFNKGLMTAMLELGAFLGAILVGF VADKYSRKVSIGVGLAWFAVGSTIQTASFSVAQLIVGRTLGGIGIGMLSCTAPIYVSE VASPKVRGAFLVMEQFMIVIGIVLMFYIAYGTRLIDSAWCYRLPFLSRWYQVSFFLGA ALFILPFSPRWLASKGRDQECLDTLVRLRNLPATDPRIQAEWLTIRVEAIHNHEALIE RHPTLIGSGMKTELKLEAASWVDMFKPAVIRRTMIGIMLMFFQQFVGINALIYYSPTL FETLGLDSALQLHMSGVMNVLQLVAVIAVFFYFDRIGRRPFLLLGSIGMCVAHTVVAI MIGLYSKDWASHSGQAWFGVSFILVYMLAFGLSWGPVPWTMPAEVHASSYRAKGVALS TSSNWLCNFVIGLITPPMIKNIGYGTFIFFAVFSFLSGVWAWFMPLRQSEGRTLEQMD QGFHSHAAAHDQEMKEQITRVVLGQTPAQTESPIPNASNEKVADVFIERQETYRLEKD YGKEGHIEYTLFWWGQ I203_05980 MDTLRESFAGQVIRAITGSKLLPYPDERPDYVLPNRYRFSEKTA QSVDRASHSPPDHPFHERNLAPGGDAEQYGRASTTSQDSDATMVVDERLVTRQGGQGL SPEKIKELMGKSQIVTWYGVDDPENPLNWSLFKKCWLVVIIMLMTSSVYMGSSIWSPA VMQGAEYFGVGQVTSTLGLSLFVAGYGVGPLFLSPVTEIPAIGRTIPYIVTLAIFCVL QVPTALVTHFAGFAVLRFLAGFWGSPPLATGGATIQDVFAAHTTPYAMGLWGLSAASA PALAPIIAGFAVEYKGWRWSFWEMLWLSGFTLVLAIFTLPETSSGTILLRRAKRLRKL TGNDNLKSVSEISSEKMTGAEVAKMTLIRPFSMTFTEPIVLAIDLYIGLIYAILYSYF ESYPIVYSEMGYGWSLGVSNLPFAALLVGSLISYAGYCIWNKLYFEKVYNDRNHNAPP EARLPMSMAAAMCFPVSLFWFAWSANRTHWIVPVLSAVAFGMGTTWMFMPFLTYLPHA YPEYAASVLASNDFFRSMMGAGMPLAAHGLFVNLGIDWGNSLLGFLTVLFIPIPFILY KAGPWLRKKSPRALHDNPEESSNKDNNQA I203_05981 MTQEPQSEYLVVKGEEITLQGKPILLKGAGLGGWMNMENFITGY PGHESQARAAIKKAIGQEKYEFFFDKFLEHFFGEDDAKFFASLGLNCIRLPVNYRHFE DDMNPRVFKKEGLKHLDRVINLCAKHGIYTVIDLHAAPGGQNIDWHADAGNHQALFWE HKDFQDRTILIWEHLARHYKDNTWVAGYNPLNEPTDSEHTRLLDFYVRAERAIRAIDP YHILFLDGNTFGADFSHFGDPLPNSVYACHDYSRYGFPNPPADFGSLEQVAYHERSFN RKVEYMKRIKGPIWNGEFGPVYQNAHDGLPDWKEINERRYNVLECQLDIYAKSRASWS IWLYKDIGFQGMVYVGEETPYMTRLKPFLEKKKKLAADEWGCDDIPVRDVFDPLEKWF LDAVPSLAHRYPQTWKPATHIGRLVRNILLSEELCHEFGKYFEGLDFDELEALAKSFS FGA I203_05982 MNNGYDGSMMNGLQSLDTWKGYFGTPTGSTLGIFNAIQSIGGIV GLPFAPFLNDRFGRRWTMFIGAAIQIVGVALQTGAQSVGMFIGCRFLIGFGLAFSCLA APTLLTELAFPTHRGPITSLYNSTWYLGSIVAAWTTYGTFRINNTWGWRIPSLLQGLP SAVQLLLIFFVPESPRWLVDHGRDEQAIKVITKHHCGGNSDDPLVAFEYNEIREALRL EKAANKSSTYLSLFRGSGNLKRMRVIIAIAFFSQWSGNGIVSYYLNLALNGIGIRSAG QQTLINGILQVWNLGTAYLGALLVDKLGRRPLWLISVGGMLASYTFWTICNGVYAKSA THLDADGNPIGANQAAGHGVLAAIFLYYAAYNLAMSPLLVSYTVEILPFRIRSKGLMV MQMSVNASLVFNQYVNPIALDALQWKLYIIYTCWLAFEFVYLWLTVVETKGKDGPLPL EEIAALFDGKEMTEQMKAATRAQTNGPEVTTAISPDFDEKALHSKDIPVHQEVQTLSH ERSY I203_05983 MPLVHRPTFAEQLATRRDLVDNDFRALLLSIVAYVISQLPTSRL VNEKFDIEALKSLQRKCHRTCRALQRTCYGPTTCTQISTIIFDTFYLLSIGLGHTASA RLGHAVQLAFSMGMHSDEKTDALGLDPIEVQLRRRVFWQLYATDKTRAISDLPMMIND FQGVCSLPEPVDDEFITIQGSFLQPPSRPSAICGFIVVSKLFKILSECLFHHRCIMAK IQLTDTACTETLEDRLQEVLRDFPDGSYKLSGNNDGIVQNMLAVQRANILITAAICKF ALYDLRASMQSHKEQLAKEREAIAREIHSSLMK I203_05984 MKVKKSTRKHAQAPPDVLALAESIVNAPEDGLTQVLQTFDCWKY PRGDLHTWVDVLDRFDSILEEQCKSYELEKLQTNDFTPKTKDLILQILRVQRLLMENC TSRKLFSSYDRLADLLNTSDLEVLQSTIFVILRPAQQYANSTPFEPSQRHVILHRLLT LSRGWERFTNAGIDLPSLASSQDMSLPEELCTVQLQYYPTRWSSVSPESPIKSTISQE TPVRLRQTAPMTPVAASTKSRSSHSVGPSSLDFGDVSSWPNPVDQLTLLSEENHVPLD DQYIALNKIRLAQLRDLDTRRQLLTIRLLALATYVYVSTDDAAQSGLFLYEPELVSQL AELLRASQQVGERVTIGTLHALDACAHHRIKTGEVMTAVSANVNHGILVTFFKNMVEQ LVKGESVPNDLFDAAISFVAYIPSSQVHINMLMGAGILRLLLDILDSSGERRESYIPR VTGLIDSIIYSSSQALSNFSNIDGVNFLVHRIKAEIESRDQITLPSPSSSLSEDTILA YINNPLKGILRSVHRLMQASGGTEGLRNLVDSDLPKCLKQIFEQPAKYGHRVFAMAIN IMATFVHNEPTSLSILQELQLPQTLYAELEKGIPPSPEVFNAVPTAIGAVCLNQSGLD YTVAHPGVISNLVGGVNLPSHEKIFNDRDNAKSLGAALDELSRHQPSLRPVIMKAFID LLRRATDVGASFEPTEADRHNYILDEMTSAGTDRAAIINNPCLSTFARIFKVLTGLVR NAATVKDFIKEGGLEYVLGVAELPCVPIRFHSTDASMSLAYLLKHIGEHDPTQLVEKI RLSIQDTMTKSASVWEDQNVKQFWSTLHSGNVDAVSRQAFEPLRRLGIRLSFLLEVIG HLVINNPRYATSLITALAVDTDPSFIANLGHIHRVAFEQNVLLRRDKRTKSDDLDPSR PSGSSDESAKDTGAQYLANRLHDVLTRLFRAFIKLIHVKRNPDANHLKLANTLSDIVS DVMIEHLHGKDDSITIDTASLDVISSLLFDHNRGTVLHTTLFLAFYEKQGMEGILSSA SRITEQMDRVSRVPVDTRDQHQKDSVIEATAGMKTVLALLGPFASTKSLLDNPETHAL QQRPQNPLKAVNIFVTIRLAIFPLAHRIWNASWLLDCPVPTIKLAVKCFSALMEGKSE EQPPEDDPLPAPRYPIAAPPARPAPVTADPHRVDQLVDMGFPRRAAERALLRARNNVA AATDMILTMPHVFEEEPAAPAGLPGDNAGAPAVPSESADNITPVQPESIQTDSDTFAA DRTGLQRLRDEYRPEVKTRALTLLDHAEDLVFDVLPCFPPDDEGVIYLIDRLAEISKS YDHKHENAISARLRLIAVYLRSVEGVILDEQSVVTATQILSELPLEYQKARPRWLPAL LLFAETIAASSNIVNKAKLGDEPGCDVSVPSTAFASIAPKISSACFRLISADDVSHNE LVSALRLLALVSREKTFGIINETDLINLLKPFKRPTEKLQGCHPLLLLIFRHVLEDPG TISDLMRKEIRHWLTPTRNKVVDIHHFLKQLRQVAFREPNAFVRVVADECALVDPTPP QSVYHIRAIDQPQEPSPVARSSDPFQDDPEEKRNPFIDHLVLELGQAVRLSLEADDTN NSTEEEIKHAHAYAGLLMSILTELLGSYTTVKKSFIASIRAQSLGANKVKSGITSLIA DLVCCVVLQPDVTGLPQFERDSKPARRLALSSWSISMVLALCSEATPATTVKDVTEDM VNIRRAVLDAIAKVLRDPMPNNDLPTRYGRLWALGELVYRLLVSRPIGPTRQMNDATL HIAKTMLEKNFVGLLTNALGEIDLSYPDIRNVLISLLRTLDHLSKTSVKWGKVNKETK NTPGDPAANDDSQTSESDDESGDSDVDMMEEDQSAPDLYRNSALGMIGGEIGEDDEDD EEDEDDEDDMDMGDDITVILQDDDGDTDLQTSEDESMPSEMDADNWTDEHDEENDDQD SEEDEEMEPEVILGSNEEDEGEMWDDVPDDGESVMTEENEIMDEEDAMDGEVEGFFEN DDEQPDLEMDEEEFDEIDMLESFPSAAHVGSMHRQSPEITGPWAWDQSNFPGMSRSRR LLCSLFNSSIAEDPTVSLFGRPSPPSGGQVAQHPLTAHPAPSQWPPMRGLSRSFGSNY QELLSAIEVMGGVEAVQMIENLITSRHLAGSEAIRIDFAQDHNGTVGLSVGGQTFALR PPQGRQTHQQQLADSDVLAEFFPVPTMQRWQESMQLAILARNELTSRLVIHVVNRLMP EARRRAEEEEAKNKKAEEEAARAEAEEQKKNLESAASVALPESRQPSPVEDADVAMEA EASEDVVPMDSASESLARTVISIRGRDVDITDTGIDLEFLQALPDDMRADVVEQHMRE QNRHLRPPSSTNVPETASQINSEFLDALPPEIRAEVIMQEAMENARRQQRPPAPSQLP LADRAAGFLRGLTDELRDVMLLNQQPPGALPRIGGSSVHQPAQDQAPSKKSNREAIQL LDKPGIASLVRLLFFPETLKKGHLFRVLVHLCENSTTRSDLLNLLLSVVQDGSGDLPA VDRSFQQMSLRGMITPKATPKGRSIDSPAAAVVPTGLFSHLHTEHVPTFIAQRCFEAL VHIVNANPSAVNYFLSEHEQPVGLKKHPLKKNKGKEKMLPQTKFPIVVLMGLLDRPLL AKTPGMMETVTSLLVTITRPLSEKKKDDKQADTEGNSAKPPQPIIPAPVLKLIVNCLT SGECTSRTFSATLVAMQNLACLPDAKEIILQELRTRCKELGGVVYQQLSDLSIALQDP SAEIGSLTLTNFSPPTSNQAQLLRLLKTIDYLHLNKVDSDPPAQQLTDEERAVSAVFE SFEFESLWDQLGQCLSIVESKGGTDQIATVLLPLVEALMVVSKYRSRLSREVRSPSVQ APGAAERTDLFVSFTTTHRKVLNTIVRNNPSLLSGSFSLLIRNPRVLEFDNKRNWFFQ KLKRKRDPHMPVSVIPLNIRRQYVFEDSFHAMQRRTGEEIKSGKLSVKFYNEDGVDAG GVTREWYSVLAQQIFDPNFALFEPCAADQQTYQPNKASSINGDHLAYFKFVGRVIGKA VYDGRLLDAYFNRAFYKQILGKTVDMRDLESIDPEYHKSLQWMLDNDITGVIDQEFTI EDDQFGEKKIVELKPGGSSIPVTEENKEEYVRLVVSYRLDNSIKEQIKAFLEGFYDII PRQLIQIFEPDQLELLISGITTVDVDELKNATQLSGWKATDPEISWFWRALRSFSQEE RSRFLMFVTSSSRVPLGGFTQLQGSSGTQPFQIQKLYAKEGSLPQASTCFNLLLLPTY ASYEQLRDKLQFAIVETGGFGKA I203_05985 MTMAAQPTSPFQPFYTPSRKRRALSPPSLDTSEYQTRKDTNDLD LRYASPLTDPSHRDKRRRPNLANGFQGLSISVDQSASNLNDDLPRNGLDDDEGIGLQK NINNDVTVEVLPDKSTRSTNHHHHHHHHSNTHHWSIPSRAGPSSPSSSSTSPTTSSEE DYHPFQCHRRYAGVAQQADEIVQPDQVEAVSDLSVEDVTVVSPRNGRRRREEETGMDQ ITNTRNKRARTGDDFDVEMNTEEEMDEIGNGDHDKRRRRKTEWHEPEKDRIIITSLSD ASSSRESSRSASPEPTADDVRNLSQPGMRGFTISPSLLTHLLKTQRDQLSGRKVSPNS NSLILYRPLGIPPGEWNDVIVKAWKPDEEYVDSGRFEILDDDEAFDNVTPSANGDTQM DIDGDVEMA I203_05986 MVEKTTSPDQDGWGEMTSEAIQAMLVSQATPCFSSLSSRMLMQA NIDGERGAIISLSTSILPTPLFGYLFVGHLAHPSSRLDYHIHTDEEPSKRELDVEAMP QSRDLSIDLDPRKLKYSHPVNIPPAASDILCGHPKEALDLLQASRGRWVPQYIHRYKR EKEGIWGKWNNSDDDIVYELEEVKAFWYTGEKSTAEEAPPSRRQGDPVMLHFHGGGYV LGTAAETSLSSNFPKALVKHSPIHHVLSVDYRLAPTAPWPLPLLDAISAYHWLVKVEG VEEGDITVAGDSAGAHLALSLVRWLRDQGQNVGLKMPRGMVLLSPWADLGFTNVWGEE AIRHNKDSDTIDDTFGPFACSLLLRALPASIMHTSPYISPASLLLPESSHGENSFEKF PATYIVYGGAERLSTNIQLLWSRIQLSRKIESPIVPDRLFVSPDAIHDFMIFPWMAEE ASEVYEDLDGWLRELLSADLPEEDEQSVVESLELEESPAASWKEMVRQRRLSRQMTRE SIKSHKSPVMGPVQDDLGMIRMMEDMSEEGLRWV I203_05987 MADSSDRLQTLIAYYLAQNYPSVLPPFLSASHTAPPDLTQPPKP DLKTLVEDYISEQLVKDLGHVEIDEDMEPATDGSWRGWSNKDIVKVSLAPEVTLQGVR RSIEGISAMNLLTVGAERVPRRLFDTTTASYRATYTPSIITTSVDKTVRIIDYNTGEV DRILEPHKAAVLSFALHPQNPRYMLTGSMDGTTVLTDLITSQPLQTFKSTKFVVRVAF SPDGHFMATCSYDHHIVVYKANGSAVPPPLDEDDIPLDDTDDLLLASEPTLRYHEVHR IKVDSNPEAILFHPESTWLMYTLRSSHLLYYARLPSSDDTMSEIKDWETSTKSFNPHP MDNHVSFSILNMALHPSKKIVACQTGDHRGNTGERILLYGIEPEDTERLAVLWTGSEG DDFVLPRMSWLPDGSGLITTTPNGYLNLISLSGENRSSVKIHGAVNLGQASSEVVRDC TVVKTGEGDWEVVSVGYDRQVRISR I203_05988 MVLTPHPNFDSPDQLSPTPNSAQSTSTTSLHPGSTDSYFSHGSA GPSAPRLRKTSTSGFSKLSEFSLDEPLLSEKDRYAPQSDPDTPQHSILSGVRHVPLSV DASEWRHPVFKQKVLGILRRLAVPLWSSTLLTPSSIHLQKVSGALTNAVFFVSFNPAP NPTSPSESPMLTPTMPASDPDHPPPLTPEQYPPTLLFRVYGVSSDALISREEELRILY VLNTEYHIGPKIYGTFGNGRVEQFFPSRALTAKELREPHIFRGVARRMRELHSVDLRL LGYENGKNSEPMVWKCLLEWIATAEEVLDTLSASGGKWESWVENYGLHRIRQEVEKYR KWVESEPGKGKGAVFAHNDTQYGNLLLLDGDLPPNVPEHHRYVVIDFEYAAPNARGYD IANHFHEWRANYHHPTHSHSLKPHFPYPTLEERQDFYRAYLSVEMDGTEEVVHRRRDV LSEKVDALEREVRIWSPAPSILWSLWGIIQAQEQVEAIAEQKTDYVPEFDYLAYAVER LEMFRKEAKEVGVPI I203_05989 MPGQLTKRLVVIGIGGASCSGKTLLAKHIRRALPQGSHIIHQDD FCPPEDKVLYSDRYPDLQDWDDPETCIMWPEFRSILNQVRKTGQHGAHASHDHLNKQV EVGIQEDIFTKWKDRLRRYIDEQESKGVELVWYIVDGFVLYWDKEIVNNLDVRIFLRV PYSVLKARREERQVYVLQHGGVWVDPPGYFDKIVWPGYVKAHREVFEEVERGDLKKGW GPEGRNLSLVQPGEGEEGMTMAFDKACEAILKQCEEGAGTVISA I203_05990 MSCSSVSRKLIAFGNNVCGNLDPTCSSIIQRPSDVTTHCDCDDI NWSSWTCTIGGDPLRIWGNDPLVIDDQPTKIDFSGRVIGFERPLAFLLEDGHVESTEG KRSSTTWDQVVSTGLGVTYACKADQIYRFDDLEHLMRNDPSFGPIHGLPPGALQLYAT ESRTFTLVDGSAPHLYEMIDVKSLPPRLVKTGDPVQIEHIDDLEASGNLKVIAGSGNR FGVVTEVGVAYLLPSNGDIEMVSFDDEEIRLMGVGADFEMVVTDQNIYVRGSSK I203_05991 MVAIARIAPRVASAPLKARAFAVMSTRGKHTLPELPYAYDALEP SISSEIMTLHHTKHHQTYVNGLNAAEESLQKFQASNDVKAAIALQPALKFNGGGHINH SLFWKNLAPHGSAQTKFPSSGALYDQVQAAFGGFENLKKEVNAKTAAVQGSGWGWLGF NKGTKKLEVVTTANQDPLLSHVPIIGIDIWEHAFYLQYKNVKPDYLNAIWDVINFEEA EKRLKEAL I203_05992 MLLPSSFPTLKRSTSPKQFYRRFLQHLQLLPDPHIWSILIPCFR KLLKQSSDGFTGDDATYKSASEMIPAEESSRAASERVKQWKRERALKRAERELQRLRA AVACHPHALTRLIEEAYGQRGVIRHDLLKVISSPYSVNPHFDPLPPPLQPLKPPPPAP SEAQPRARKAMPPCRVRAELRRSIERDWSMVKPPLLLSFTALDKQDIYMGSNGWDRRA VENLRLLSTGYDSSRTDQLNKLDFSSLQPHIRRLFPVKRVPRLREPSFAPPRPKATRQ NPNIWGFARQLDWRLLSRTYRRFWDGLVWVRPIKVGHQDRWTKCSYEETKNPPEVVLA GPDKQGLKAKKSSKKRNNTPRIEPDRWTEATEDDMKFFNLRSGCE I203_05993 MSDQYSAASSASPSLTEKLTTLRAFFKAQKAVILTTRDSDGSLH ARVMAVAEITPDWKFRFIYDKESHKDVEAQQDSHVNIAVDGTQNNTGWASIAGKASRI EDHAVVEKLWNPTIKAWFADKGDGVHDGTPSDPRVVVFEVKVDEIRHFHQEKTTLGTV VDVISSTISGSTATPGSIRTITGEEIAGAWARNELKEP I203_05994 MTEPIIFPRARRDIWSELNEENELDSLGEGKEEADFFDEEVKKE IRWKETGSQAEMKQDCLDDNITVEGPEQTDAIPHLHVRNVSTIAMEQIPEDPPSSPSS APDPSIIADTTFIPPSFRPSNILQTSTPRAHTSTYPSVPTPSDYGTPKLPHPALVNQA STSRTRSSRIHDTPEYIASPIDSPSTSLPRPSIDPTSAGEGRGKRDDPSTPVPIGKSA LSDIKSKRDHQAISKHFDLLTKVLVKDPTKGKGKGRQPIGKALSVAAGLGGKVFDGLR FCLTSEINQAAKLKQRADIITNLGGQVVLQPDTAITHVIYDVGKSVSLLAAKLGLETL SELPQGTVCVKWDWVVQCKMAGRKLDPTPWLSFPKTSFSRAVCTNNSLCQGNVLTPSI VYRKREPTASDSDTEESLRKRTRLGQTKSITLFPPVIERSETNAIAGSSKIHQMANMD ITVATEHNLPSGPGWEKASRTARDALDDMIAGVIEGSLEDPELLPAFCKYELQLNPIF RLQGVNTKSNGYKCSQKNDGKGYTGPNEWLAKKFEELHDLYQGQVGKNSFAIRGYQRP IAGIDYPITTGAQARAIPGIGQSLADRIDEFLSGAQGRAFYENTEQARCIALFKDIYG VGRQHANDLYRLGARTIDDLRSGRFALTAGQMIGLQLYEDLKARIPRDECRQIFEIIR SEAQAIDDQLWVEIMGSYRRGQETSGDVDILITRNGGEVNSKKGVLGQLIQRLKKRGL ITHDLGTPTDWNASEAKWMGVGKLSSVHKHRRIDILCIPFEHWGAAVLYFTADDYNQF NRSMRLYARKTGYSLNQRGLYKGVLRGKDGLKQTEGELVASRTEQDIFDALGLRWRHP HHRTP I203_05995 MTTTTWTCSELLRGESSKSYALIVVNQPIRSDLLEKAWKSAKIK LCADGGANRLYDIDSEKKYLPDFVKGDFDSIRDDIRDYYTSRDVRVIQDKDEYSTDLM KCIAEVPEDYALVLLGGLSGRVDQTVHTMSLLHKLHREIYVLDGESFAWLLREGRHDI QIDHDTMGQTCGILPVGIDSARVKTKGLKWDTGKSWSERAPLTRRLGNVA I203_05996 MSTDATSPAPAAAKPAAPAASTPNAEAPAAAPAQQQPSASASLY VGELDSSVTEAMLFEIFNMIGPVASIRVCRDAVTRRSLGYAYVNYLNAADGERALEHL NYSLIKNRPCRIMWSQRDPALRKTGQGNIFIKNLDEGIDNKALHDTFAAFGDILSCKV GTDETGKSRGFAFVHYSTGEAADAAIKAVNGMLLNDKKVFVGHHVGKKERLSKVEEQR SQFTNVYIKNLDPEFTDAEFEELVKPFGATVSVALSKDESGASKGFGFVNFESHEAAR QAVDELNDKDVKGRKLYAGRAQSKLERESELKKSHEEKRMENEAKSAGVNLYIKNLDD EWDDDRLRAEFDSFGTITSCKVMKDDHDVSRGFGFVCFSAPEEATKAVSEMNGKMIGT KPLYVALAQRKDVRRQALESQIAQRSNMRMQYGPGGFGGMQGYMGQPVYGYPPMPGYG QPMPGMPPMRGPMMGYPGGPQNAMQSRPRYAPGGQPMPGAPYGGPPPMGGAYGGVPPQ YPVRPGGARIPAAPSTNGPRGAGGPSPVGAPQGLPRSNGQARPQEAQSQAPRLDSQTL SRANPAEQKQMLGEALYPLIFETQPDLAGKITGMLLEMDNAELLHLVESPAALQDKVD EALRVLAEWGKGDEKANGDAIEAKEEVKEEKAE I203_05997 MAYNYSNPYQSTSAPPARPFNPPSSSSAAVTSQARQVLFSGLPV DISEKDLRELLLSDPLRLSPITTSVTCFSGPDGRFCGIALVYVANAADAEKIRTNYSG QQIDGTYTMSVQHILPANQSLSAASTSITAPSTSVPRPTNPAKAPKNKTNGAATPNPK VDEKPAGLKLLARLSKPAQGKDKQLALLEKQKANLAKSGASGAALLSRLQGSPKGHAS AKTKGKATKLSTGNAKVGRAKAKAAGKDAMDVDKPVPVANAKKEKPKQKTRAELDEEM RAYERARRFA I203_05998 MSTIEGKLDKPGTYADGSLVHVPATLPHTFDLDALVNHFNNKPL PEGACALIDLPGNVFLETEDEVNVIAASLWVLCVKYDNLPAGERPTTRDNLRRWISRH RGEVINRLAGKVEPPFRE I203_05999 MSKSEPRRGGTRKRASCPDYFADTGDCCPPQSTSNPSKPNVPHP VSDPTGYEYKGKFESVGDYEKVYVTGPNDAKHALVVIYDIFGFWDTTIRGSDLLASHL LLTSPTKIYMPDVFKGKPFPKDQDGNKEELKKFFAGTAKLDDRLPEVLNFAKALKKDH GINRVSILGYCWGGKLALLSLTSGTPFCCGAVIHPAMIAPEDGENLTVPFGFYPSMDE PKDVVEKIEEAIKSKPFASKSDYHLYDTVHHGWAAARADLKNPENLKQYEDVYQRTAD YFAKVKC I203_06000 MSDESYRPALQSYTAPQEILDEHAELADQDDTPDVFEDNARSKQ VAARQSDYHLRRFNRADGQGEGEDESYEDRMRRINLQREEERVRRHKEQLEKDKNEDE KMVLDDKTPPRALAGDETPPRAIAGGDTPPRDTTPPKKRRRWDVEEVKEEPGAEPAAA PKRKSRWDQTPSEAVPEVKKSRWDQTPAASATTSAITSTPSVGGMMMVEDKRYRRMTD EELDGLLPGGEEGYEIVPVPDDYRPAPSVRKMVPATAEHGFMMQDDSDAARARSAAGG MQGSQEQTEIEGIGTLQFLKPEDTQYFAKVLGEGGGEDDDNSYTLEELKERKIMRLLL KIKNGTPPVRKTALRQITDRAREFGAGPLFDKILPLLMERTLEDQERHLLVKVIDRVL YKLDDLVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLAKAAGLAHMISTMRPDID HVDEYVRNTTARAFSVVASALGIPALLPFLRAVCRSKKSWQARHTGIRIIQQIAIMMG CAVLPHLRNLVDTVADGLQDEQQKVRTMTALSLAALAESAAPYGIESFDNVLKPLWLG IRQHRGKTLAAFLKAIGYIIPLMDPEYAGYYVRECMPILIREFQTSDEEMRRIVLQVI KQCASTEGVTPTYIKEEVLPEFFKAFWVRRMALDKRNYKQLVETTVELAQKAGVSEIV GRICNDLKDESEPYRKMVMETITKVIASIGAADVDERLEVLLIDGIIFAFQEQTLEDT IMLDGFAIVVNALGDRVKPYLPQIVSMILWRLTNKSAKVRMLAADLTSRLAPIIKSSG EDGLLSKLGVVIFEQLGEEYPDALGSLIAAEGAIANVVGMTQMNPPVKDLLPRMTPIL RNRHEKVQEATINLIGRIADRGAEFVPAKEWMRICFELLDLLKAHKKAIRRAAVNSFG YIAKAIGPQDVLSVLLTNLKVQERQSRVCSTVAIAIVSETCGPFTCIPAILNEYRTPE LNVRNGCLKALAFVFEYVGEMSKDYIHSVVGLLEDALTDRDHVHRQTACAIVKHLAIG VAGLGYEEALTHLLNLVWPNIFETSPHVIGGVMDAIEAMRLGVGAGPILSYVLQGLFH PARRVREVYWRMYNTLILGASDAMVPFYPNLGSAADLATGQDYTRHELMMWV I203_06001 MSAANKILRTANAPQTPPSEIEQQIAQAFVDLEQNVPELKSELR QLAFSEAREVDVKGGKKAVVIFVPVPMAKAFHKVQQRLTRELEKKLSDKYIVFLSQRR VLPKPARNSSAAKKQKRPRSRTLTAVHEKILEELVWPSEIVGKRTRVAQDGGKLIRVF LDSKDQNNLEYKLDTFSSVYRTLAGKDVHFE I203_06002 MADKKKDNKKKFTFGPFEQALLVLVVLGFASHPSIPYSPFSINI DGSVNSLPFLSPPLMMLVMIAYIGYHILTRQEAAQDRAKALAKAKSSSSSSSSSRSSS SSSSQKPLSSSDIRAGGMPSSSSSSKRVGNPAPKQISGLSSKEDPSSLGFRKNYFLTM QGPGRPALVPFQDGLRPKKGDPAATLWWDNVPDDAADLMAPPLDKDKLKATMKNPWAT EMIEKDIQLLKIAKAQKEEEERYSKVANLLQTILGFLLCAVDMRLGTLAIVFFLWRHF TKLHDESMSDEKDALDKEKKEISEKLREAKKAGMSSQEYAGLQMALERLG I203_06003 MQLAGRLYSAWSLVRERLKHRDLLIFLLLHIITSAILFILSILS VESNAEASHFLRHITQTANLSAVVFYNPDNGKFGDWKTAPALVLTSNETISKQKEDGI MCNDDGKKCKAVGKGLHRHEDEIEPTFVQQFQQTTDTSTMTMTTQGQTITTRVLVVPT LTVIAAEAITTEVLLNEQGSLMESATLATATTRSSTATRSASIMSSLIASTSTATASS SVAAVTYTLTSANPTTSSKALIEEEEEEDEEEEESDDDSDHSSNSSDSEDEVEEEAKP KVLRVSKNEYISAWKRDIQLSAAQDSGTVVGVNIAHLSGSNGPSVVVTQQCALHYGWA INSLDNLVREETVLAAFFIWILGLAIVGLLNVSIPHLLALLLSLIISMAWTTNGLRLS FKFWSTFESTTSTHCDGINVLPSYWEKRVGFQSAVLGSTVVTLIASGLLCWKIRDHFG WQTFQRIGASSKINKIYKLVLALSILLQLDAFVLVAFFALFLDQALLPWLNFGWTWIR QEQRKRFNIFVGLSVLIIGGWAVSFANQIFRIVFTTWAFFTCMGSLAVGLTAITVVLA IIRRLTFGKGLADFLYLEEVHERPNSGLLVEKPSLPLAMPRSNLPTFSTAFGPGPAPP RQMFPPMPVYTTATRVASWNTGDDRRAL I203_06004 MLRTSLLRAVRPTARSITSIPPLAFIPRSYATLSPHTPPPTTPY EVFDEPSKDSQKDRAIARLREAGNSSQSKSAEIDEDPVKVVDYIREEIAERLAERIED LRIPPSSILELAGHSGQLTQILQEVIADEVPSSSDQQDRQTERRKWWIVESSKEALHR DDDSLFASPPTRIQASASKLLEHPEIEKMREQVEAVVSGGGLHWVGDIVGALTQIRHL LKPDGVFVGAVLGGDTLFELRTSLQLAEQERRGGIANRVSPMINPTDAPSLLNRAGFT LTTIDVEDMTINYPSIWELMSDLRDMGESNAILGRRAHVSRDVLLAADAIYKELYGNE DGSVPATFQIIFLIGWKPGPNQPQALERGSATTSLKDVL I203_06005 MVNLKQQKRLAASVAGVGKRKIWLDPQEAAEIGQANSRSGVRKL LKDGHIIIKPTVIHSRARTREHAAAKRAGRHTGFGKRKGTAEARMPTKVQWLRRMRVL RRLLKKYREGGKIDKHLYHTLYLEAKGNRFKNKRVLMEHIHKAKAEVIRTKHLAEQQE ARRVKNKAMRERRAQRLAEKRQGITEVEREEEVKE I203_06006 MNIDSSGGIAYTIDVLIGENKNSVPVLVDTGSADLWVSANPCGN CTKANMVDTKVQTSDGCTLESKKYGHGAVHGCLVKTDVAIGQYSLSDYPLLAARDVEG FDGSYMSGILGLAMRQNSIDNQATPIDLMLSAGMITSPEIGFYLTREGDGSEVVFGNA HYSPDADQNKKAALPKKGQDGLYRVNLDAFISRNQPITSQATEMQNIEVIIDTGTTNI LVSESMMDPIYSVLGGSDKGSDGLRSVPCKGPDNPDKALALQFGGRSFNIKWEDLIAM PTSSDPDYCYLRIQETPAENFILIGSAFLHNVYHTINAATGEVTLYGLRK I203_06007 MPVDVEAYMAGAIPALSRGGSWLPGQGPGNGTSLPPLHSQSAYG SSPISSYPQTPPTALGGMSGSPVIGGPLQFPKSPWARPPASPASVHSARSRTMSQVDL AGSASTHGLKRESVLGPVKHNGWLKTGSEASDSVGGSIYQESIGPSASARAITPSHLP PVHLHRASPNAGDHWERQSGSPKPSSREPDYIHKNQSHPPTEVSVPITSPYRKISEQN ILQPSPYRRVTELLPPSPSERSSSSRPSLARRHTHNPLHAPKPKKHQEEDLYRSAQLN NRLARLSLAGRTCHTPDPHSTPNSPTVPREASHSSRSRRHSSSDTSSSSSLPSESAHH KSHKHAERPRHLSTPHGIPLVPSPSRASPDRRPSAVSALDFTSNISRHLPSSPQLSPQ AVSNLGGSYKSPTTSPRSRISSVTGLSARKPVGYISPMDNEGYNPYEAHPAAPVPDRT DRLVNSAGLNVSETSRSPQTPLFPTKKEYIPPGFAKPTREVPWNREGPAMKTHGIAWL REGDPHALPTAPKGPRWDVARPPRVDQTQNASWWESSGGRVNSYNVG I203_06008 MTKHESSSSNPPIVGALSILRRKGAPDLAGLEERAINLKMTPHT ASHHLEKKQGEPTFSNQQSTSSNDPYAFPTSTSEYYGSQVDRPSSSSDVASQYQSSWS TTSTWTSSWSGSATATATANAIESSASTVNNTESTSSDTSSASTSPSGTVSANNPITT LEVEDPSQMGTIYTIDVTVDGVELPVHIDTGSSQFWAAHDSCRECKLNGMTTINTALP SDCQGDNLITITYAMGWVKGCHVNTSIALGEDTLQSYPVLAVIEAGGGTEQLGGYYSG LIGLASAGLNQNDIPTVVSALYKQGSIQQPIVGFYLPRASDNQESEITFGDPATSEYA DGSKVVYLSRQGGQNENYIVRMDSFVVGSETISQGTDCYLDTGSSGIAVPQNVLEQVY ETAYGLNSANSTESPPCQAPSSNSGVWVTFGGQGFEIPYQDLVYQNDDGTCGALITSY SGDVSNIWLFGDSFLHNVYHSVNVETGEVELFGLKNATNSQ I203_06009 MVPSTRHCILFIPILSILALFATSPVHAATSLKLSKHITSSASG PLRQMQQRALERRRMAERAIHIPMHGHSKTHARRNDKRRQDFDVDLEGVITKLASPLI RSTPTSVAAVKDTDDPEEAANVIISQVTRTRTRTVSAIVPTPTDTAKAEGPALGQEPD LNLDNTGGLAYTIDVNVGGISVPVIVDTGSSAFWVPTSSCRTCKEAAMTISPLIVPDG CESQNITYGIGSAEGCYAHGAVSIGPYEVPDVELMGVTAIDAALASSGSVLSGILGLA GETNGDGNPTLVKAMYDLGLVKAQTVGFYLSEDENVDSEITFGDVTTSEHADPNHKVT LQSVPNEMNLYEVILDSLTVANETVSGSKTVIIDTGSSYIYITEEDALAIYAHLPSPK TSDQGYLLPCAPENPPTLTFTFGGKAFDLDYKYLIGADVGSQDGYCWAKIGSLKGMDT WVIGDAFLHTVYTSFDVGTKEVTLYKLL I203_06010 MSTSLLSAISNLPSTSYHHPIATVPSGSSKLNPYLPIPQASGNV TVLFTNPTFLPSVPSASLKRTVVQVVDAEEVVTPRAAKSLSLISRSAQDAYDHSLLAL RLAQDEDALVYHFIASGLDGSVQQVDDAESWLSGPLGSPNPANGDANGEAVDDLLSSY EAISLSLLKLTRRAQRSFVHRRGDSSKLIVNFLPSAIEAENVIDVVLAIPAPKEKLRS SLTGVQEVVVVEGGNGKYGSGWASVVDALEGSDVNIRSVLVAGDVSSSEISPALSASA PITRLGKPASHSIPSSSVAIPSPESSYTSLLASSPTPLEILNDPSHLAANESTSPLYA FGKAVALRKERARLVELAKKILKASNTRKEVHEALSAWLLVRDEQGAAAAGKKVAQVV GAGESADEKELAQLGEKGHWEKRALWIVISNSWAVDLASSGLHHALASGLDINLLVYE TASSPFSPNAPAQPPNERKKDLALYALNMGDVYVASVAIYADYAGVINAMREAENYSG PGLVLAYLPWGEKEDGESVSEKEKAGPLERLRETKRAVSGGWWPMFRWNPSLADEKRF TLDSSYIKAALSEFLDRESHLSQLTLSQPSIDASVTSSVGNDLVAARKEKARKAYDAL LNSLDGPGLLVLYASDGGNAEKVAKRLVGRAKMRGVGASLRVLDEIAPSIVDSLAEEK NVLVLTSTAGQGEAPQNGREFHKALGKLSASDKLAETKITVFGMGDSHYWPRPEDAGY YNKPAKDFFPRLLALGCAELCPLGLGDDSDPDGYMTGYKPFEAGLWRALGVDSVEVAE EKEEVVANEHIKIASDYLRGTILEGLEDKTTGAIGASDAQLTKFHGTYMQDDRDIRES LKAQGLEPAYSFMIRVRMPAGVCTADQWLHMDRISDEHGNGTFKLTTRQTFQFHGIIK SHLKPAMQAINRSLLDTIAACGDVNRNVQCCVNPAYSKTHKAVYDFSVAVSEHLLPST NAYHEIWLDKKKVYGDATQAFSADHEPLYGPYYLPRKFKIAVAVPPDNAVDVFTNDVG FIAIVENEEVIGYNVSVGGGMGVTHGNKKTYPRLGDVLGFLSPEDGTKVAESIMLVQR DYGNRQDRKNARLKYTVDRLGVAKFKSLVEERWGKKFGEARPYQFTSNLDKYGWHQGH DGKWHFTMFIENGRIEDSSRHQFKSGLQEIAKVHKGTFRLTANQHLILSDIPSEDLEE MKRLLAKWGLDNIDHSGVRLSSSACVAFPTCGLAMAESERYLPLLIDKVEKICEEAGV RNDDLVMRMTGCPNGCARPWAAEVAFVGKAPGSYMMMLGGNHNGTRLNKPFIESATEP EILAVLKPMIKRWALERHDGERFGDWTIRAGYIKPTTHGTNFWENGFPSANQAAQAIT A I203_06011 MPAFLRKSQIGLSGFTPERLRGWTSTGALWGIAGAGFVSLFLSD VPLFKKDVLIKLPVNGDGDGLSSGYSFVSLITDGRGYLDLILCMKLSYIIHQCLRLRF TSLVIVASLLGEDVEGLPPVDDDILLTLVDGSLDATEANAGMFRYDGLSVAEVLVAGS LSGALF I203_06012 MSAPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGV DFKIRTIELEGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDSDTYANVKQ WLQEIDRYAVEGVNKLLVGNKSDLATKKVVEYAAAKAFADELSIPFLETSAKNATNVE QAFLTMSKQIKDRMGSTSMASGPGAKSTIKGLGQNVEQKTAGGCC I203_06013 MSISISPTTIQPSTVPTSLPIPDSHALSQSRPSSSRRDSQSSSS SSSSTGPDHSLDLPSLQPLSHSHPLLSSENFNADEFLLSRLHIPLEELRGELRSYLNE LKEELVRLINEDYEEFISLGTGLRGEESRLKGLQEPMEVVRGEVESVRDVLYEHQQKV QTKLDERSALREEKALLDLLQRLFDTLTRAETLLDNTPDEEHGSVKMITRVAGEYTQV VYLVNKARMEGCAIVDIVEDRIQIIKSRLSKDLSALLTAELAEPNIPRLRQCLKTYEL IEGWEEAEGVVRKAIRSYCRSTITSTSLTVPTTPTAPKTPHSSLLNNPLEKPLRMPAE DQSPIASLYNRILSQMETYSPLLQISEDVSEKFDFFPKIIWPEIAGALIDNLGSTIFA AGRPDELHRHYTTTHRFIALLETLAPSTRNVISMRASPSYEAFERRWQLPVYFQLRWK EIVGTLESALASTSNEKSGSGEWALPASASVWKALETTWSEDVFIDELTPRFWRLSLQ IISRFGTYLKSTLNTFTIKEEDTAQEDAALKFTAAAIVDLDRIKEKVAEIEVIKSLDL QEHLTIPITPYSDVLLQILNRRCIDPLKLIRSIASQFRSSTSTSSITSSSSSNQASYF IPNVLKPISNLYNLQPQLKDTYGKEWSIQILDSVLQNYSSILSSVRKTEDLLRKHRKS KKTGLSSFFSSSSSATTATDPEGGKEEKEEERFRSQMLIDINTLREDAKRLCGVDIQE LSSWKELVDVINKPSE I203_06014 MSDPLSPPHSTDPVPLTSAHVPTSIPPPASTAKTGRRSVRLFAP DGDSSSDEEGGPLIGGNPHIQDGGVDGAPPANPRSASYPGPTTHPSPTSRLSAIVSSA SAAQPKLARAATFVAPSSSSSTSRPPITHTSTHGAGSSQSAGLFHHHDGWRSRLRPDS LSKSLHTPGVRTPGSAGGNGVLRGRSDMYNDPEASYFHSHAGPSKRRTGTTDIESDDE DEDERPRSAGWQMGISQFKGLSHDRKNDLSDESKNGQSQQSQQVQQPQQQQQQPQIAL TRAENSVSNEEKQERLDWQGMLESVLTSDVLKIEEARISQAMPTESFREEFGQSLWWQ IRAKLRGRTEAEEKRRVHERRARVVDTVLEEVATFKARSYMPTITNDVDGTAKEGEED KDLGPQHTALEQVNLILAKLAAIKALYPNLAAMRADKPTYASPVFRAKTDALTAWSTV VTALQTQLGLLQKWTGSDDLDITKPNTTKEKALTDKSTYTYHPLDSQGGMPMTAGKDA ADDSSFLDRMMKEDNLQRMFERRAFIDLINLVRNAKETVISYLPIFEEQNLPDFQYEL VRLIGFPGRLIIEALKVRLDAASRLVDPNPMVVSDFIENLRLSISLAVLIRKQYDEII APDDDGRWKIPHCLPPEYNDVMLDAMRAFFKLLHWRLRGVGRGSYFKETEVLEDEGPF LYEAAEAIEGGDMVVAEHSCALSNKLLIRSCNYLDQQLRVPQTSGNPNAYGRDGSAKI MKTEEMVSWYGKLLDSARMRHRKTQRYCRRLTQRFDNSAEYSLEQTEVDALVAHLQDS GHFLVYTNVFEGKGTYIVADGSLWAQPDEVRHLLQRAFSVTIPGSRARPRHDGDSDNE DDGHIAAYLLLLSPRQNFVWTGAVMTLNVDYIEYMLQDNRVRLIADGPTSRLALCKHL FAESLIDPETGESLYLPCLVEAQAHLPSIQGQLLKIAKSSYRLSECIVQSAPLVRNAF RGAPGSQDLVENWYSFATDHGTRVSSHIDPSSWERFSRLLMRLAISWISFICQECNPT DRKTFRWTVAALTYAFTMTRGNNILALDRAEFSLLRRHVGNCVSLLISHFDILGARSS LEAKKEADRIEAMRRLQRLQENLDDEFLPRTPSPSGQPRIDRSIRLTVEERLRLINEL EQRRNEAAPQPVGTVLDEEVSEDRALVFLAASKSNISMRWQQGAYIGGGASGSVFLGY SLQDNTVFAVKILPTVDIQSSPALYESIKRESDVMSLLSHPNIVGFLGLEVHRNRVCL FQEYCEGGSLAGMLEYGKIDDEEVVGAFTIQLLRGLDYLHQNRIEHRDLKPENILIGA NSVLKLADFGTAKIIKGNKTLARTRGGAHAKMEGLEGTPMYMAPEMIKNIRSNKLGSC DIWGLGCVVLQMITGRKPWSFLDFDNEWAIMFHLGATTEHPPLPEPNEMSELGIEWIE RCLSLEPDERPTAPELLADEWLEPMLEQMAALEEDHPDVLAPHETEAAPPPPEDLSTD ATPSLISEEATPPLEPDNYEV I203_06015 MSTLLAFPAGWIPKAHTFIAYTAFSTALSVGWISGLWKEICINS VAKWPVEWFPSVSATIGDHAPPRAPFQILIALCATPRFLLLLVQWLVHRYPPSRPPKS SERYPLDSDLTSAGHSSAIKAAGIKTRSAAAKIEKEVEEETLRPVEEALRDEIGSKTL VDIEVFVGIARTFCCGGWVYITSRDHHDIHDLFMILYLLLTLPWMFFSTQNCSNTKTR STRRVPFFGFLAMIPPLIWFYYEHSVMRIPGAYTYYSMFEWSLVVWDVGFDALAVLEL SHLQIAIVDTTSSSSTKHVATSNGHSTFYMPKIRTATHTKLDKDAKVDWTAELPTPSP RWRQALAFASDVYWAICFWTVFTSLGLQLFYWSIWKLALAGSELALLANLSGFTFSMR NTYEFCTSKQGLLTHRIMTVVFGMGFYIFPWPIVRLVGIFIGVWTGWQVLFATWERLK GSEEIVAEGQILGLGLVITWLIKYINNSTNPLWAISHPASGGWNKTGLILAALAIYEY YQRPVDLHPAPPLNWHIRKESQPYTLTKTTKWQRLSITVGFGALIHLIQTFVTDSGTI ISWTWTGYPVKGPTLHPFAGIVIAVASLGILHQSRKFHYHLTVLGLIGAIALYRYPDW IGYIGGLLLVFYLQAIFPSYIRVLSVFEPGPTYGYALVTNIILDVISVVTAAYAFVPL GWIFRERTDLVLGFCMVVTVLGWWSTRNIKLPSISKIPPRAQRRIRSTKRYTIISSVL LSIVSIGYSYSKMPRGKPVPYYPDHKIFSGGIWTVHFGLDKEGRDSQWRMMQLIKEMQ VDVLGLLESDLHRFVYGNRDLTRVISEELGYYVDLGPGPNKHTWGAALLSKYPILNST HHLLPSPHGELAPAIHATLDVHGQRVNVMVSHNGQVEEDALDRELQTTEIARLLRETS DAPTVFLGYLVTRPGDFRPWPYQILMEDGQMWDIEIEDRRRWCEYIAFRGLWRIGFAR VHESDISDTELQVGKFMLPKPGHPVHYESNKEMYWHIGESDIPEPWHMPSMFRGNGTR GHRYVVWDGPLYYLPPERSGLQRYSQGWTIEP I203_06016 MRVLSSSRTVASSILCIVILCLLLFGLQSDLPRYTLSIHDQLTQ STITETKSSDFFQPLHHLIDSLSLRPLDELSNPKPSILIFQHLPYFTPLYRASYATRK LYSEVWGYGYKCSRYSKIGDGDGPRKVNNGKSAKKVYALYEVFKDELKKDENESIADV DTIISNPSIPIHFLLPPPTLDPPPMFLGNQNHNGFNAGVLIFRIHPHILKLLEWVIIE FGKSLEGLKKKVNYHVRIKFFSLLRRTSQSCRKTGEECWKDAKDGIENMKFLWD I203_06017 MDSSPAPPSHPLEPSTSLNPPTAEQHLPSSMLVPSESVENGNTS DKPPSSGTKITLNLPSNPASASASRSSTPSDELTPEPQEPPREDAEAQGQDADGSSDV DMDGSHQNGQQALQNPSEGEEEVPSSDEEPEADVEQDEGYEDEDADMEEDDDVEEEEE DDEDEYEDYDDPSFGSKKKSSSKKAKVKSERRESAGPPKKKKPSASFPMRKEKSISSD EDYGTKSHKKKFFSKSGASTANGGGGRTTTGTPDTPYSEADGAWRRGAAKKVVTYNEA DVDYGLEESEDEQGEYYGAAGVEEEEADEIDQVLYHYRDEARKEAPKDIPQENLRFHI KWKNYSHIHNTDETYAFLKTYKGFKKVENYINKIWTLDQQYHHPSPEQTWKPTSEELE QYEIDKERIRELQESYKTIERVLDEKEEWSYEKQETLTKFFCKWSNLQYADCTWESYE EMKECPGALESIEDFHKRAARNTVPAQSINYGINNRPAYQKIPEDPDYLKCGGALKPF QLTGLNWLAYLWTKGENGILADEMGLGKTVQSVSFLSYLFHTQRQYGPFLVVVPLSTI SAWQMQFRVWAPDLNVICYMGSARSREVIRQFEFGPLKNLKFNVLLTTYEFILKDRQD LQQIKWQSLAVDEAHRLKNHESQLYEALKSFWTASRLLITGTPLQNNVKELLALMHFL MPEKFQLANDFDLNDADQESKIQDLHEKLGTLMLRRLKKDVIKELPSKSEKILRVEMS AMQTHYYKNILTKNFAVLSKGGTQQVSLMNVAMELKKASNHPYLFDGAEDRNKPLNEV LKGLVMNSGKMVLLDKLLARLKADGHRVLIFSQMVRLLDIMSDYMSARGYIFQRLDGT VPSDVRKKSIEAFNAPGSPDFAFLLSTRAGGLGINLETADTVIIFDSDYNPQNDLQAM ARAHRIGQQRHVSIYRFVSKGTIEEDILERAKRKMILEYAIINQMDTTGAHINGNGAP KEKNGDFSKEELSAILKFGAQNMYKTDDNAQKQKLEEMDLDDILTKADAFDTESAAQP GGTSLGGEGFLSQFAAIQDVKADADDLSWDDIIPVDERTKAEEEEKAAQIAEAQASTS RKRAAARPPGTYEGMDFDDAEGGSSKPGSPSSKKPKAATAQPRKTTAQRALELKERDL RVLIRGIQKWGDIRLRYDPIVKEAKLEAKNRVVIIQTCEDIITQAEEAVTSHKAHLRD LQEKGEPISSSLRQKAILFTYKSVTAINAETVVARYYELKALVEHFKRIEDTSRYQIP YDSLKPTMNWTVEWSIQDDAHLLVGIWRHGFGSWETISQDPELGLKDKIFLEDPKSVK ATDPNAPKPGIPGPIHLVRRGDYLCGLIREYEENRRMLVEQQAVIANMPTKEGFGFEH PPLPPIAPGKASPAISAATSKAEQQAKGKRRKTPEYTDSDNESSYESMDEDAVKELLR PAKKHLKKLKSGTENLSREDKIAALKECLAGIGLRIDEIVAEKQSSGEDPNKWRKHCW VFASFFWPRQGVNYSKLMEIHGKMVGTIPAEIPKKSKAKPKKKAEPSGERPKKKVKTE VKSEPAGDEI I203_06018 MVLIDLQKVLSTLGLVSSIAKPIPLVGGLAGGLLDSTGSVVSGV QSGGTLNGLLGGGGNGLIAAVNFDDANSTSDNYTATSSTDDTSSTGDGTISAQDACSF TPYSPPSPVILEPFASYDANKALIYRYRQQQSVNLGSWFVQEQWMNPSLFTCASGNKQ AEFDIANGWGTVDNARQVLERHWDEWITEDDFKYLAGIGINTVRLPIGYWSLGPVYCQ GTAFESVSAAYINSWPRVVRAINWAEKYGLGVLVDLHGAPGSQNGQAHSGVSDGQQNL FNNPTNVQLTINILTYLTQQLVKVNNVVGIQILNEPSNVDGLPAFYTQVLSVLRQVSP EASVFPFYLNDAFDMSRFADYISTRKDFVVLDHHSYFVFGDQNSQATPANQLTASLQP GQGGLSQQIIGASNEGRRNIVIDEFSCALSGQALSNSQDQQADRRSFCTGQMESYTNA TAGYSFWSYKTENCASDVNWCFTSAVGYTLPSTFYSYPKSTIQAIQGGVASSAPHDPT TDTSFLSFGTSSPSSENDYSPPTTDDWLAAMGASDPEYDQVTEALATTEFDSVPDSNS ASSAAASADTTGEATSSTNDDSTLTTTTLWPVASTPTPASMDTGDIAQAAFALGSRSE SGTATIPSSRQQLSSSQKRSLGLTSLPQRAFLLSSQLQRHRFVARSARSHSRKHSKPI KSKSKARRDESAVVYTPEQAAIAKGYSDGWKAAKTFAAFDNSRLGFTGQFISDALNAM DNKIVSGDEGFYKTWFMKGLADGEVQVIKLLAMQAQGPQ I203_06019 MLSFLPRNTRSRMLDRTQRSSAPAKTLLVIFVVIVYLSLPLGTY TPPSSLSDPQIHKTVSHATLAELVHIYGQARLDTLQQKGFHFAATAEKLRAPTHLPDG STNFDPDLNRYVGRLCRFVEDYFKNTPEPIQTGARNALKDLLRRAPAKSRPNHFPATV WSTHPNVPMVWKKDSIYGRVFYLFLYRGDF I203_06020 MPAKDGEKWEVIVPDDDGLDQLMSEWTSQQMARGVSGEGRWQRL WGSFEKGVLRADFFRYMSMFVKGGIYSDSDTMPISHPYLWGLGAPSILDSDIEILSRH IMQASSSTYNGPANRGSVSAYPQGGLHIPPNSTADDVLPSYVPPYYTRARRAPIPQID RVPTTILNPEISIVVAVEWDSMIGRTLGMWRQWTWWRFKRSWPDCCFPRGLEMVQNLL VSKPFHPIMLDTLATIAGMVDGGQAKDLGPLELTGPGPFTDAVLRYLLVQYGVTPSDL RVLRGPVRVGDVLILQEEAWHAPDKAIRQLLSRVRSLGYDLLEKGQDPWLFGSGWENW QSGGKKVSYHGLTGIVSVRVP I203_06021 MSFDGSGSHPQFPGANTTNGFYTEIHVPRDGRNHYTRSSSTPGN IPRATPPRARPRPASSNLRYNSRGQVDPDGHTINEWQSRFGEWFDENYDASDRLTRER LNMDFVDYITSGRAVELNGYESPYPSGPTRSFKHDNSNHHHPTDPNFSTYKSPKARKS YPPYEDDDGYDIFPSRGKGSRRSTWSDSYRRPSHRTSARSHRSSGSQSSGFIGSVFQA VGDLFVSPSHRRSSPLDKGPGSTKVKYETRRRSNGQGAFIRSRGR I203_06022 MALVRRRNHPAEDDRIYTDTSSPLIRFPTTDGTRNSQRQRPRRR RRGAEQSIGGNYGPPHRATEDYHRPSRQAGNPYEGRSIPFSNGPRRMPNSRPTGGFAP GFLDDPRDGFDDLYEDLSMYDPTFEDDRYYDSDDGSDYFRGDHLGFLRVPTVRSTLSI MSGRFPAGPCPRCGRYH I203_06023 MPFSRYVENFSRFFTDRYGQGRDEEGRTLQESLTQLHRLIQAGR IHPGILEEASRSDFLNGSRRAPPELSSPPAPGGAQRRRHTFEGPTPTEGPGERHQAPF PTNDEYYDNQDYSDDVYGDFDNDNYDYDSEEGPPPHTFRRNVTNPSREPVRSATWSFG IGPESSVNPGLDGSIRITPSMTSNGQFFQQGDSHRHHVNLPPGFGDGYGQSRPFAGRG YMRAANNPRYFGGG I203_06024 MVYHDDDTESYNGFDPYEDDKGEDRAYHSDNDPRYSRRAAKGAR SRSGPSFGPPPRASTFPSTNVPHGQSGRGRPNYSSTGSQSGGNLFERHLKETEWAKIA NSHIGLPPPFEHQSVLSARSKFKVEQDGSHHAAISVHRGANSTMQIDLASETFTALVH GRARDFNVPDTRLLYKVNTMASYNPEEPSTKKDEEQWLANLTLFQALHEMYPELPQPI KVAMSKDALQQARDWRRAYGAKVSRSRASRSVYSNGPYGQSSAYVSADFGGTRHMYPP TYDYHGSPQYGPAPSSGCLACDEMSAYHRERSMRKYGSISVSTRYNPSCAACLAESLD HLGL I203_06025 MESHFDRYGSCSRESRRPRGTDRNSDETAGQSETQTNSAEPQRF DEYGNPSATGYTVDEMLQRFRQWANKTGIDLNELHDDRLYDMMTDHLYRKQNMATGQG DDEWELPRSDDHHTALAGDEEEKRQAAEWNSYIGLPPPYEGQPALSRRSKTKRHLTRG VTTRVGVHKDWNCELVMEFPQETIWASLPTGGSTDIWVPRSTIAYRVETQRLFLESPD KWLASLTIPQVIDSMLPDIPMDDKIARSRTAMSMAQTWSEQKRREYLHNYQGGSHSSR RRSNTTFSPPPRSAAPRVPPFCDICGELDCWGC I203_06026 MGTPAPTTTTCMINASGGFTECTDENTAYIRQGLQRSTLMLIRF RPSELLQDSDNTLPLPDRNAVYYITPDKTSETVQDFIEYLNLPMIIDTLYGENIIPET TRGMLLGQGESEASAWFYNNASRQPSYTVITPSSRLRSSDPGRSRRSRRIHR I203_06027 MTFANKKETYTPSVHKIVNSLSGISDGLNDEKLSRCHSLKYISD HVDRPPSVLAKASLIFGLSVILLNPSDSSSSLTNALGLIPASYNTLQFLGHTESSSNT PGLKKKENLVRKEKLKKWLDYWVIYSTTCIFEKLVGEDLILSVIPLWSALKAGWIVWF LVGLLDDDLENEVRPEPLRLRSRSIIATKDSPFDSSSTSSDDTSVSGGSPYSPSTSVP ADTPTETETGFEESSISPDPEPASSESPTAISPGQARELRAELAELDSPPLSRTPDLP RRADRAVTPITGISSNPDNPDTSDVTEHSPQSKRGEQSDSEDTSSGSDETSGSDDSDN SDDVVLPAGTPLDELALAGKKTLELDSSDEEYQDDRNRYRKSSQKMIDILEKEEGRVA PGSSQDDKHDMKSRGEDLDQCETNIEVAKTSPANTVDIEKTNDDLTKGTDSNETKLAI TETPSPARSQGSGAKKSDERPNLDGEVVKLSVEELLTMNGGDQPQCEHTDLIDGGEKD KKEAMRGTPPLMVSQKAGIEKSGADGKED I203_06028 MPSDPKSKSGSNSKTSSSSKKPSSSSSSSHSRNLSTSSSSSSRS SSSESRDDKLTSSYLKSHAGFLRPLILFLSTTTILSPTIISLILLIILAFLHLYLPLF LIPHLSAPITLLIPIQNTIHCIVAENGKKKSDGAQWCLYWIIYCLTGWIRGAVQIWWP GYRGMVEIGRTSVLVLMGGPWFGRMGLRPESTEDRPSGSSSEKRSRSGSSGRSGSSKD EKRKNDDSKRSK I203_06029 MDYQYHQEGWGPLQQPFTTFPLYSSLRATDISDNEAIGSSTSFV HGDHQGFSQLPSYPFSTFPTIAPQTNSDINLYQPRYVPTLTEIPQTPVNSCNSALPPS ISPRDIHIPRYNGLEVAIPDSSFLPDSAPSPLFTYSKKNDGQAMEADTDTESDSDSEY GFEPARQGSRSLLNDLTASNSPISSSRPQINGYADQPIVPEDQRTPGKSTPIPAEELP VEMSQIVLPALVNVPTISNDKKSLVGKRKRQSAFDRKKEDMITQTPGDKKPTSGRQVL LAKKAKPGRRPRPTKAAGTKKGSANGGKQKGKTVTSPLPASSSDSLSSYPQSSLPGQH ATTRKANASSSLARSRTIDAGTLPPLSSPETGPKPKLRSRSKSKPTSTSKSRAKKKVV AKTMTVEPKSVSNTGKKLKEVSQLGEKDPSSPISSCPSSDASDSDGEDEDYRPSPSPA VGETKDQLDPDLPSPVNHKKKRSRSEEADDEEWGAIKKKGKREMDREPQMLIQEAGDI PVIERKLNKARNQLYHSGRQEQNVRAQSKYRNKIRARSDLVLEFAQEIFPKVRNTPAA KKMMKKLEELDHNFAEEKFGKL I203_06030 MDTSNVASGSASTGGTVGFPPNPSANTYNPASTSRRSEENDNDT VSNLPHPGVNLGLPQFTHRNNSVSSLMDAVMGPNQSPQQTSPAHYLPTPTALKPAGSI SRSSLGHGATAGAAGGLGDWDILSDVMSRINGVGHGNGSGKPQVEEANVKELQEKVDK RRSQLPHLESQLAALEAQIKAAEERLNRAAGSGGAGSLSTGGLANK I203_06031 MFVPKLLLLFLPFLLSVSAIPGLFSSDDSSSSSSSSSSSSSSSD TSSTSTSSETEEVAFLQTLQAAQVSSMSCLITLVNLTSSPVGSCLGLTDLASLISNPQ QNTSFSTQLDTYLDNVCSQSCSDQDLNDAKGQIEGSCDTSYGLISVLNKVIERYSSSY KTVACQVYFNGTSDLCLPSVLNTTDQANNNNFFDSLVTGTNLDQFTDSVFKGAKCTGC MYELFKAAQYTIPDIRGHDLTNLLASHLKNDCPNDPSNPGDINWDDVDNQQIPNSLQV SQNTNPNGGSGKSSSGYRVNEIGLGMGSIVEGLVLLVGIVYWF I203_06032 MSHPENHQVHTGHTQTHLLRHPYSNKVFERSVLLLEKEIPYDAP PGEDGYDDGSNDIHRKRWNSALQIWRRNDEEKSGGGYEVDEVERELLSELDNDYHTRG W I203_06033 MSASRLWAGGPSVPLVTAMNADESINYDALAKQTVRLAKAGLGI VLLGTNGEASHLSNEERRKCTVVVRKALDDNGFKDEPLLVGTGSGSAETTITVTKEAA EAGATHSIVITPGYFSFAMGRDRKAIKDFFKKVFDNSPIPVMIYNFPGAAAGIDLNSD EINELADHPNCFGVKLTCAMIGKGHRIAAYTQSEEFLAKRASNLKNQTVTGQFQVLPG FSESTLPALLSRHTGCITGTGNAIPKTIRKLWDASVAGLKGDANALAEALKLQDRVAE ADWTIVKAGIPGTKYFLDHYVEEGLGGPARLPIGSITDDVKKLIEVDLKEAWEYEQSL I203_06034 MSNINPDRPTSTSNIIPHPASRLSPPPGTDLSHIYGQGFATVGP SDYDMISGGSSIPPQVQPGTSGIGGGNAGSTSDNKKKKDDKDANVPKRGYRACVHCRL RKAKCDLGDVNAPSEPPCSRCRREQRDCVFLPSKRRRKTSTAAEGALREASVDPSQAE VYPAHGSTTSTTTTITNPNPSQENPPQPQPQRIIRPPFENHPSTSEWDISSLSHIMQP QVDDTSRNQALYSHNPPSSSSSNWPDQYSQTQAGPSTTTSGLSSHSLSQNQMLPPPSQ TSLTPQHHPDTTTPGSMGESSVGSTTGLSPNQPSQHRVKKRRTLEPDGTRKIVNASLS NEMDALEILANAATDENGEIDPNMPANKGKHPQQDGGSNGDTKRVSWDISEEASPVRE LEEFHLIKNGILDEETLQELVNIYFRDHHPSLPIFQTSRIPRTREQLLDLTHNDSFLL TCIVAVASRHPVDPKYREIHDKTWPILRETMADYSFAGLPGSVGFVEGVLLLAEHLPR ERAAPPKSYSLNMLAGPGTEVTGEHGTDNRRSWSLTGLAIRAAYLLGLDQIALEINED ERTPDVERVRSVWTWCYLYDLIVLLRFIRLRTGLAFWSRGPSLCFIGYSHISQTGEAA ARFNFPLQLSPGNGNDNEDSTHDDSASLMQGLVELTQIMTNAHDILYPSKSRTAVLVR QGEYFLFLDHFRRALDSYRTIWKPKKWSNQTLQELSWMTYQFVRLYISSFGYSAHVKR AQWRAETETQAGRDGGRQSVQLFPRGSATSPDAIYIYESIGSANEIMAIALRLSQMGS LRFLPSRYLINISYAAVFALKSSYSGAVTGKDMIRIRELVDHVCAALVLACPDKDHPA NKYGQMLRMLSKKLEQLSDASAVPSRFPSPEPMSSTPLPAAHSEPTPLPWTLPTETLD TQPKPFHFPPFEFNFLPATNTTNNIPNNNGNNDSSTTPTHFQYNSTPAGGAGDYVSSL GLGLGAASANISQNSLNYIQNGNNGNDSNPAEGQEGLFDFDTNFDFDLKGFWDDFTLG EGSGFPFR I203_06035 MSDRGTTFQPSSYDLSEIPAIFLPDEIDLSETTLSNHRDLSSSS STRSKRPSILPKRDSLPPPPRPKSTSSSRRTSAQSSPVTYSRTDEAGCIDFTKDPFES AFQKAKTQRELDRKDEMRLTHDQQGAFEILQKEELHRTKTVSILEGRFKPSDRNISSN SNGLKLEFMDKDDHKSFHNMEVDEGNEKDQKRMKTPKTPKKFIQDHFTLKTPRMPVPF TAKSLPIPVPIPTHINLPTPIMPIIHLLLVISHLVLSALLPHLLFKNFIQPLVFISLV VYGFGFSRSVPVYARGRDSAWLQSGLHLVMMILSLAPHAASVFLMSKIIRPQCQHEAT LKYVLKHHADLAVTSYLVKYYNLEPFVTHGRMPGKDQQLDYSKILFGLIGQLGQNVED PDSQKWLSALTSPKAWHHIKKLAAAFEQSEIGKRAGVKIEGETICVCQHNVIQIARRY KQKIKAIDSQPAAFTSADTLDRRWVTAGIQFDPDKLPTLYRNEHVTIDIDFFAQADEQ TDTVCRVWHNTVFGIIKDSLKKYTESDPAIMIATRRLLSNKTLSYLALHYGLFDPSKS LPSQRVMGQRMRKYVALLVEQNAEHGSRQDLMSWVHRLWTSEAWPTLQDVILEAQNRQ SFNFDVKTSEMSALATVNNENGSYISSPSKPKQDDDVNPSASSGGLRKSELLMAEGET ANPEVYEILKPDSKELVSSDYRTNMDFLTQSLRGRWDEENAILLASKILLETSSLIPL PPFYGLDRDQRNQLLAPEVSVQLFRRYTALLLKRAAKESRMIKLNIEDWLRQVYSPDS RPGLRLHVRAKLREQR I203_06036 MSASQPQPLGTSTAATDISTQQATYLPPSELAAKYNKGIHRPIT VQPHASASQPGSTKIKSIENFYVRPRWLFVRVETEGGVVGWGEGTLEGHTEAVQGSLK DITRRLVGWDAMNIEEIYSYLYRHRFYRGGEVLMSAMSGVDIALWDIKGKVLGVPVWE LLGGKIRERCDVYGWVGGDRPSDVLEQAKVRKAQGFTRVKMNATESIGWLDSPHALDE TVKRLAEVKSIGIDAGLDFHGRVHKGMAKQLAAALEPHRPLFIEEPLLPGYVNELKDL YNKTTIPIALGERLFTRLDCRPYFEAGCIDIIQPDIAHAGGISETKKIAIMAEAYDIG VAPHCPLGPLAFAASLHVGFSTPNFVVCEMSWKMHYNTGGFDLFTYMTNPEVFKVEGG SVGLLTTPGLGIELNEELIRKEAAEAEKLEPWINPLFRGDDGMIREW I203_06037 MSSLHEDTYKEAVKHTEDVDHPYLGDNVDRHLAVQVPESLRGLS EDEIAKIDKAATRKIDILLMPTLVALYILNYLDRQNISSAKIAGISKDLGLTTTDYNT AVSVLFAGYVSLQVPSNMLASKIAYPGIYICIMCAVWGIISACTGAVHSFASLAVCRT FLGFAEAAFFPGAVYLVSTFYTKRSMALRTAILYSGSQIGNAFGGLFALAILELDGTH GLEGWRWLFIVEGVLTVGLAAIFATFIPNKPQTVRWLTPQEKDRLQYRLELDRGSKDA TDEVSVGTAFKMAVTDPKTWLLCACLQANYIAASVTNFFPVVVNTLGFNRTITLAITC PPYVLCCIAVIVNGWHSDKKSERTLHIIVPFIFTVLGNVIAVATTNVAARYFAMCILP SSFYSASIVILSWISSSVTGPAVKRAIVYAVINALCNTPNIWTSYLYFNAPKYTAAFG VDLAASVLLIIAAASTHLYLKRQNSRLERGEDTGKHGPSAVQIEAGFRYQL I203_06038 MTTTFALITFFNILSLLSVLTSAAAIHGDHNHVNANQRHRYLAK SLHQPTSRAGPEGQAAYTPDTSLHFEYLRRNFDINSNKYKKLTKLLPKILRNANSITT HSWELGCFTETLMEVYNPSLTPFEWDDEYGFGGGKCEKLEFGEIPWNVLKVAKNSLMA YDWTGSPSSSTNGTTESSSNLQDYLFNSTSPVPHISQALTNGDGALGDPVSLVPAIWI LSQFSKNHLVKLGLGGKSAEDYSWALGNQLDYLFSGPKAPTNNTISQREASFELWADM MYMIPPSLSYLGLSLSSEEYLKYGIEQWDGETTALLDTTVNIYRHIHDWDARLWATGN GWGVYGGIRNLYSVKASPFATTFTKEMTEAENTLANVFEGLFNELDSQYLIPNYMRQD NQTLAVGDTAGTALVVAAYYRFLKICPDKVNDQLTRLAERAFDAVVAKIDKDGWVTHA VDPMGTYGWVVYPNDSNMHSPEAQAFAAKMWKARTEAGV I203_06039 MDKWAPIAPSKPGGPSNSHILSSRFASVIKPSKPSARGSVSSGP LVGRWPENVILRIIEFLPIPDLPSVARSNRSLARLVKDERGWEGRCKWLGLSPGNSTA SPRKDSNQKTIPFQTPKKSSFSIAAKSRASIDDDFGDFSSQAQGINNNNNNDVFEDVD FGDFEAAKPNGSVAGSSKENSLLDFDDLPLPSNSKSITGGQQKTGFFALTPTPTKPKF SSSSSFSSFSATGWGNSPGPYYKSYKNHHLSMVPYCNHLRSSPSPSSTLSLLFPPNRP SSLNEQSTLLLSLLLFLSSKLQPLRDWGFLRQALLAAADRFDSTCLVAFEVSDSKKDE SGMRLAAESSWKVWDAGGGSRDQWECGRVWVEKREVFYDTARWDSSENIIKVQTTTGA TTRQLDFTPMDAFISHVLEAFRMDAEFAKRVFPQNARVVLSFCDRVASDVIGEYIHPL LSQARAVSQDLFLQATAATFVQAWKLVELVMEVLGNDQTTISKTQVEDTVFRMFEQHL DEYLDDETEMVKHHLEDICKTWDQQVSHPHTRQKRSHGSGPTFLTSENPDQVKRNVLA GFKDALLLPVTIVPRTVTFATNAIVTGSTQAVSGLAMLNPQKWTGSGSNGKLVKKEGA GEEGGDVVFEVPDSAEIKEKFRENDDDGDGINEKSDSSLSVPNDDNTTRTNTPNPEGP KEDNSFDKLQLLVSLDIALELIQADRDSLKRTETFAKYPGRSGLHVREAIEEIFILLL KAAGDRHISPGFRIATNQMSTYKPSEHESTTSVAPLLQFFELVHIGDTIQSMIQVYFD KELSPYVDKTDFLNAVMREKKRFESVLDDAVAAGLNAGIEVLMNQVEHIIITKTGPRE YYPVEGTPLELGPTKGCQEAIKCLEMHCNLLRGSTSKEVLEVFYQEVGIRLQAILQRH LKRQIISLEGGFQIIADLNTYHAFVASLRQQRITEDFANLKMLGHVYIVSDAKDLAQI VRDVTRYGGTFTPEDIYEFIQRRSDWKKIEKTVDKAMYALSVREDCTIM I203_06040 MKLSILLTVLPILAVSSAAPAQESSKSISSSKIQNGSSTSSSRI STSTVITSSRVSSITSAKSSALSNGSSKVISTSKAPSSSSSSKSSSASTFKSSSSTST IKASTSTAKTSNSSSSIKPASSSISSSKLASVSTSSTKPTSSSVGNTLKSTATSTSTS SAAQTTSSSSSAVGLGLSSASYSKLMTTKGLGWYYNWSPSGFSGMSSEFVPMIWGEGS IDPWDGTVPSGSSHILGFNEPDQSWQVGGSDMNVTYAATLHQKWTNKLKDKTVKIGSP AVARGGEWWFNGWITACNGQCKFDFVPIHFYGTNANDLITYIKSFPSQSKPIWLTEVA CLDFLSGQICTLDQNKQFMQTAITWFRSTEGQKYIQRWSWFGAFPDQYDKPYGLENQD STLNDLGKYYLSI I203_06041 MIRTVRQTTIPIHTLRLTRSYASPALASSPSSSSKSGLSPLAAT AAKEVSDKWRGTSAVGGNTKNFIGGEFKESKAEKWLDVNDPSTQTLLTKVPETTASEF TEAVDAASQAFKTWGKTSVMRRQRVMFELQHLIRQHSSDIAKSIVLEQGKTFADALGD VGRGLQVVESATAITNTLLGDKLEVSADMDTFSRRLPLGVTAAITPFNFPAMIVLWSA ALAAVTGNTLIVKPSERDPGATMIIAELCERAGIPPGVINVVHGSAPTVNRICDDPAI KAISFVGGDKAGEHIYNRATPLGKRVQANLGAKNHCVIMPDANKNLSLNAVAGAAFGA AGQRCMALSVAIFVGTARQWIPELLERAQALKVSGGFEENTDLGPVISPQAKAKIENY IASVEKEGGKILLDGRGLTVPEYPNGNFVGPTVVEATVDMTAYKNEIFGPVLTIVSAD TLDDAIEIINQNKYGNGASIFTNSGSTARKFELEAEPGQIGVNVAVPVPLPMFNWSGN KGSFKGDIPFYGKSGIDFYTYRKTTTSLWPAADAVGNRVSGPISWFMLVILTLVI I203_06042 MCYRPGPIEKPDLSEFSMVNDAEQVAEQVQSELPSTSPDQPPSA VDKIDNGIGDIKLEASDIRGSGVLGKVAA I203_06043 MSRVTPTQIPIPIPFRQPKDIECWGHRGASAHLPENTLASFRAA IKEGCDGIESDVHATSDGVTLMFHDPTLDRTTTGKGLIKNQPWKGVIEHVRTTKEPIQ PIPLFEELIALLMEPENRHVTLNIDCKMQNDPEKLFPEMARIISKYLNHSTLLSPRLI LGLWHPLFIPPSMKYLPNCTRYHIGFSLPIIREYFWNHCSGFSLAFSALMNSTGQAFL NECREKGKEVTVWTVNHELEMKTAIGWGVKAVLTDRVGRFVELKNEASLFTYMSY I203_06044 MPFGFTHGPLVSLANSAICSFFRQIEVYGAENVPDHGPIVFASS HANMALDPAVLSNTIPHGHYLHYWVKDSLFKNPAVGALLRNAGNIAVDRKTKNNQMLF RGTFEALALGESIGVFPEGTSHTEPRMIPLKDGTSWAALEYVRYLKGTEENAGPKKGR KAVVIPVGIAYVDKRKYRSRVVVHYGEPISMDQFEAQFLSEQEGESKLAVKRLTWMID IELKKMTVNAPDWDTAFAAQMARELLWVRQDDLALKDYVQVSQTLVDLFTTPTESIQS LKTLLATYHRLLSSSRLSNSVLSGISLSSTLDPSIPVSLPNRFSTLFYFIKDTLVVLF HLPFFIVPMLINIPIYVVGYLGASLVEEELETQAQMKVVFGLLLSLITYPILFFVLWS VLKGLALGVVLAAVTVWGLGRYHGALIDENYNAMKRLVASWRLLIGIWTPRRSEFPLP SFLESYKSFAPDPPKVAGLPPTTKPEKYVKPKKLPSRVLVKHVLRTRLEAAKQLAKVL LELEARDEQVNASFWLAEEFSGNILEVPKDEEGLNEWERELPRARRSGKEVVGFLRGR GARLGINRGEEGHWVGSSGGEGESE I203_06045 MSQKDLDSAVLAYLVKRGFTKTVKALEKESDVKAGEEGKLEAVW NASQVKNEDVEMSSSSSESSSSESESESESESESEDEGKKNVKAAAAVPLPASSSGSS SSSSSSSSSSESDPESESGSESDSSSSSSSSSSSTSSSSSSSSSSTSKSSSSSSSAKP QPASALSSVTLKGDTPAPAKSSSSSSSSSSSSSDSESDSDSSSSSSESGSESEDNDSE KSVKKFLDIEASESESGSESDSESSDSESDSDSSSSASSSSSSSDGESESEKEEAKPV IGQKRKAPSASSSSSSSSSSSSSSSSSSSSSDSSSSSESESDSESTPAVTVVTTKKRK LEDGTEAITFTSTTTTPAHHHHHHHPSRAGNFGTPGSSAAGTPTGSGKKQRIQGQRFE RIKMDNVTYHHDGLKDNSFAAREAAGANPNDYGARASRDLIVTRGAGFRKEKNKKKRG SYVGGEITLATHSIKFDD I203_06046 MSSGSTPTTATPEELYRNSSDGNFPWVVQKYGGTSVGKSLDSIT KIVESYISNKTNVAIVCSARSSHTKALGTTNLLLQASREALAPPSRSTLSEKSGTTTP FFPKRVGSGFFGKDALSSSISSLTQLDLNNTRSSSPSPFTSSSNRSRTPPKSPTAQED EANEEEEGFHKTVDLIKKGHLEAARNSLRAGPLRDELEEEIERDCESLRGFLYAAQII DEISPRSQDSIVGTGERLACKIVAAALRDRGVDSELVVLDNIVDSSFAAVGGDAALTA AGDQGVAQLGQDFYDHLAVKLGERLRECGQRVPVVTGYFGPVPGSLLAQIGRGYTDLC AALCAVGLKASELQVWKEVDGIFTADPRKVKSARLVPIITPDEAAELTYYGSEVIHPF TMEQVIRARIPIRIKNVENPSGGGTIIYPDESFPRSLQTEKPPQAELTIEERMPTAVT IKDSIIVLNIHSNRKTLSHGFLARIFGTLDRAGVVVDLISTSEVHVSMAMQSFTHRHR LTRLLSDLEKIGDVSVSKEMAILSLVGRNMRNAIGSAGAMFTAFAKAGVNIEMISQGA SEINISCVIEEKDAIKALNVIHDSCLTYPPSPARFSEGLQLKA I203_06047 MSDDTNNNNDAPPHTTIDDCDVNWQYMGDTWGTNHTDDPLVGEY HHGTFTSTTIHRASARLCWTGTNAEILGAKRKNHALYTVTVDNGEIQWLNGYSEKAQI QATLYKTEGLEWGNHQITLTSMPKKNMTAKDHIWFDIDYAEIDGWPIDCKDLPENTIL PPTGMIAPAPSTTYPISNSNSTSTSANSTETGTGNSKSSTISSFRTNSTATLTEAPIA TSNGIATLANSTAVISPTTTIMMSSPSSSVQASGVSSSTTTSSGASSLAHSPRSNWIH CQLALIGMMGFYIFKWIF I203_06048 MSSPSSSAGPSGQHALKRVVHIKHPSKTKMEKFTFTLGKLDAGM AILLGPNAHLLEFPSLLLPTPSPGAPPLGPGSILTITVSRDVSAELAAQQHFHDLQSE ILSTFSNPPSSPVLKLRNVTQTSVCVEWEKLNIGSAAWRGLEMYRNGQRWGRVGGDFG QGKKEKREWKTGGLQSGEEYTFQLVLKTTAGTYPSNLIRVRTHTMDNLTGLLIYFGPI HPPQLLSQLREGLRQIGARESPTVALDTTHFVCTTPIVGGDDSGRGGQIDPEYQEAAR MNLPVVGPGWLMAVAAERKLVPISNHLLPSLPQASTNTSDPAPFRRPSPQKRSSLPFT SSSPTSPHHENPEEIRRSPSPETIARMSMHASSTRPSLSRNGSMEGRRSREMSLDVDS GRPRSPKPEADGKLDRGFKFPLSSSASNSPAQSPTASDTHRSSSPSRRATAPAVPSIQ EIPVKEGEQSTKPTIEEATPRSRQTSLPTINDVPKTSEQIVQPTVDPVGGDAVVDAIP EQLGTTAEAALPRRLEAENEVETVEVPETTPAGESTSIAEATPAIVEEPSEIPQISTA SGPPPVVDEEAAPTNANAKASTIDEAVANFESAIAKTPEPEGTAEPSKVDSPGIKASE EPKLERVEDKAVPAAAIPVDLSTQRSEPEHANATKGSSTVTLEPSSTATPQPEGGAEG ASTTTSSKNKKKKNKKKKSSTSGLTPASSNPGTGRNTPTPDPLEEGDEGMDEIDLS I203_06049 MDSQNTLVDNSKTQINLEPDSNDRSVPSPNGDQLKIGQPHFEER RISIRRISINPLAPDEYTRVNSFCSSLNQNAKTSSESLPQPAPVLGMADHLEPGSIDF GRKKSSSSLGLPDNLSIRRISVSGKEDILTIPQDYRVDSCWEDIPIRRSFTENRRNST QELPRIVTSFSPLPYQSPIDPGESGRRGEGAGDLPSPHPFSAASLRRSSFISQPPIQF PIPHIKLPEKVMQKSKQNRPGSLNIPSHTISSPSQFTSLSGSTYVDGIGGRGDSQSLN MAVRSASSTQAIKSCVTPLPKSSVDTCSSFGHDALEGTEIQREKYFEDGWNGFAPQTS ELETTFRDGLIPKIEIPISPTTPTHLINLDIPASNIVQIRVESKSPQAQVHNIDSNEI STTLDLIDDKQNNHKRKTSHLAISSPTRLQSNTHKRTSSNSSRITYLSDVVKENAEEK EIKRRSVYSTPKALLQPEGGLAGKRTSPIEHKEKGKEIIGSTGSIRDRPVSNGLQSAL ATGMGVGMVVGMSQGEMH I203_06050 MTSIPRPNLFSRVWTFYTTSLREKPLRTKMVQSGVLYISADLVA QLGIEGKSIRRSMEGEQGEEVYDPIRTARLSIYGSTVFAPLAHYWLNNVERIALSSKL KTLVAKLSLDICLWSPFVTFMFPTCLGLLEGKSVDEVRRKVAHGWFPTWQKAVCVFGP TQIINFSLVPTQHRLLTVQSVGLCWNIFLSWQNNRNNKLLAAAQEHLLNAQEHMLDVG VRPEHVAGLELTEEEVRSKRNLALAEKEVEDAEKRRKGLKDQAGEMGVGVKMAWS I203_06051 MIRSVLPCSKVLNRPRVLPRAIISPSRFTTPLLFQVRLYNHEPY PTPKHDLKSVPSKGDHAESRITPYKKGKVWVDAKHAIQDIKSGATVLSAGFGLCGTAE TIITAIHERKDLQDLMVVSNNAGNAGTAGLSPLVTSGQIQRMILSYLGTNKGLMANYI NGKISLELSPQGTIAERLRAAGAGMPGIYTRSGVGTFIETGGIPRRFSAPDSEGKQTV VQEGVKKDVKEIDGKKYIFEPAIHGDVAILRAWKVDRAGNCVFRYTTKAFGPLVAKAA KLTIVEAENIVEIGEIGAMDIDLPGIYVDRIVPATVDKQIEIKTTREQSENVSPSSGD EGHKSESPQVEKAKEARERIAKRASKELYDGAYVNLGVGIPVLAANYLPEGQKVWIQS ENGILGMGPYPLEDEVDADIINAGKETVTLVPGASVFDSSESFGMIRGGHVDVSILGA MEVSANGDLANYMIPGKLIKGMGGAMDLVSNPDSTKIVVVTTHVDKHGKPKVLESCSL PLTGVAVVSRIITDLAVFDVDRTNSNGGGLTLIEVAEGVSVEEIKEKTGASFKIAKHL GRF I203_06052 MQLNEKEVPQQQAVAVPLATEQDDDVISLHTSDAESIVENTAQL RISDPKSDEEVGTRPERGHRRPHGFGRGHGRHGPRHHMGPARFGGRYTPFYPGGPGGR MFPPAPTGFGPLGHDQEHGQGPFGRGPPPPPPFEDDFGFDYNFFGPRSHHAHGHGPFG RRPPPSEFAFGSRGPRPPFPHGEHGPVGGRPPPPPPGFEGEFDDNFFGPPPHGHRHGR GPPGRRPPPPPGQEGEFDDRFFGPPPPHGHGPCDRRRPPPPPGFAAQDADHPFEFGPP PPRPHHRHGRGHGKEHEGAHKHKHRHARAYSPPGSRWEGKHHHRGPKSHKRDKAGYDL GPHHDEEEKAQPEGNSDEKEDQQEIASSSSSFESTSASESESDSFPPPPHFHHHMRGR GGFGGRGRGRGAQFPGMPEHPPHQHHGHGARGGRGPAGRPGPPPEMFRDLSPLPMGCD FHPGRRGRGGFGSGFGYGHPSFTFA I203_06053 MAKGPIHLPVHASDHSPERGSARRSWPLVVLGLLATAAVMSSWP VGVNQLSSKVNLHSFKGISEMRKGTCEQAEPILPDGINVTKVWEDKEIIIKRLQEAIR IPTQMYDEMGPVDEDPRWKIFAEFHDFLERTFPNVYEHAKVTKTDWALVYEIEGSDSS LKPLMLTAHQDVVPVLPETVNQWTHDPFSGDYDGTSIHGRGSSDTKSSLIAVMSALEH LFGTTDFKPRRPIILAFGSDEERGGQTGAPAIAKYLLEKYGKNSMSLLIDEGNGLVET WGQQFATPAVAEKGHVDIGLTVSTLGGHSSVPPPHTAIGLISLLIAQLEAHPHEPTIS AKSPVYEFMTCAATFAESMPAKLKKLVIKAEKGSKKAWKDLPLEIISTGMDGSSHGSG QGDPLRSLLTTTQAVDIINGGLKVNALPESVKAIINHRINVLSNHVELQSRIETLLLP IAETYNLTLNGFDGTTVFSGSPNSKVDLDLAFGYFTDPAPHSPVTLDDPAWNVLAGTS RGVWASRKEVSEDGKIVELQKGKDLVMAPFMSTGNTDTRRYLDLTPNIYRYRYTPMSG GAGAHTINEYSNADDLIEFTRFYQAIILNMDQSEEVA I203_06054 MAKSFQDCVILFGDSLTERQDVPQALFERMCQAYARKLDILNRG FGGYTTTGALPLFDKLFAKKGDDASRVRLVTLWFGTNDSVHFPNPRAVHPAQFKRNYE IILDHLTSSNSPYSISQTPVHILLITPPPSYLPQVPLPAKWVRSEERSLEFVNVVREL GKEYRNKETGTWTIEVLDLWKAMEVKAGGLGDGLAPFFHDGCHMTPEGYGVLWEEYRK IVNGPWKGHGLDWEDENDLPMRVPSVRTIDHTRPDSVLELLGLPSYR I203_06055 MYLLPVLTLLAVTSAAPAPSKRKNCSVRSKSSDTAPAVVGALQQ NDNAVVIPTGTDGVTPYIPETVLPDSSSNSDVVMGAWDRGGWSGWNKPQSTSAGAAAP TTPPATAVASAFPVSQAVPSVSQAQSAPVSQAAPPASQAVSSPASQSVAPPSSQVASS PASVPVSTAPSSTAVSTPSSEGGQTGLGLDETSWSQLTNAQGLDWYWNWNYKPFEGMQ AEFVACIWGEVMANEFIGSGTGGLPQGVQYVMSFNEPDMGADVGGSNIPDVAHAASLH QQWTANVPEGVKIGAPAVARGGDVKWFTPWVTACGGQCKYDFVPIHFYGVVVEDLFEY IKSFPAGGKPIWVTEFDCQDFSTGEVCDEKKQTDFMDRAIAWFEGEGSVYVERWAWFG ALPKFSETTFGLLDKGGALNEFGSHYLSL I203_06056 MESLASSPPPSSPPLGASSPPPVSMTIDSPNLPPPQSDPTEPKP APKAKSGSGNKKKRKSKALVEEVDDEIGDLLGEEELNTPGPSSGNGGKGKTLKVKKEQ SQVDEEMDELQDQEVQAEGDVGGGSFKCEWGECGNVSGSHDRLIDHVKEDHINALKDS FICGWINCPRTGQKQASRHSLSTHMRMHTGERPYACTYAGCPKSFTRSDALQKHIKSQ HLERPAPPPKPTPTPSQSGKSSKGKSKSRHPPIVSTPLTRAPLGVPPPSDEDLLLDED LAEVLPRIRNRDHLNPTTEEIEVLSYLRSIFPREALDPKDPIPDSLDDPPAELGIPEE AQLLQSVPDPDLPGGELDLLGRSEWQARYIMIKARLMLVEEENNMRRLELVREFQKDG HPVDAEQIVN I203_06057 MQAINDTVQSIAEKVVGPTKVPGPLRVGELVHDMQRHTFDAHQH KLRGTHVKSLAFVKRTFTVSPSLPPYLRHGLFSEPGKSYNCIGRYANEPSFILPDNTS APRGFSLKIFDVNGERLSPSPDGSTNHGTQDFLFNNAPIVELTDLNTTIEIFELRTNY FDSPTKLQVELAKRNDRLKQFAPAMLPNEYVIGSTFYSQSAFKFGPYACKFSLIPIHP SQEQYKNQTVPKDSSTTFHRDHLREYYSANSTEYAFRVQFCSDLSNQPFEDASVEWKE SLAPWYDLAMVKFDRQDTFTDERRVWWEDTIGLSPWDGLKDHRPLGSINRLRHKAYEM SRQYKAKGNQREGYLPLSVDDMPN I203_06058 MIFPDRTSFTPLSIIPLPSSHMLHPRSCNPAMDLIVLLSLPSPS TSTSGSLWKGKGKEIGSKTQISLWRTGGSKVWQVGVEGDVQGLAWNEDGLILSLLSSS SNGNFVHHLSVHTGEIIWSVPVPSDLIDENRKGRWVEMKWKVSQNGWAKVKNGSALDI IDSLPTVTPVDPPKPPNQLPFMRQAALTQTPPKPTIHPSLSTFPALLPSTIPLQPDIL HIGSSEYSLLTGTFASPSDSEPSQEMMELSKISDKIVHLLDIILRGIENAEIHFREGD KQTMICREDLETCAKQQAMSIQDVHSDLFRFLMTGRSGVAVNEWMGNRLTGRTITKWD QTLDTSFRTIQNLIIESISPALERLVLLLEEMRGWSRTPKYQTQLQLNENDISKAIDL VLGFAKLVEGMRRDAEHELKAASEFMKWLKYASQDPSSDDLPLPTHDLKSVWSFMSNG FVRSSFHDHFPYLLVRPPKDTLPSDHTTYPRKTTRSLDEVLKETSQELQIDHPRNVGV VDTPSVQANESLGSEDTSMDMSISGINEVQDDDGDLTPTGILSDDEEENEGISRFSSP DTVGNKYVSSEEEEEEVKRVMEKEPWVWANTLIRDLEGLVKSAVGRAEGDGAERIERD DELELNLRDKRLVDGGLWEVVVLQQDDMQRLWLLSIGNANDSLTISKFATFSISEARC LSIRFFDDEEIVLLMESENGRYLTTLRYPDISDEMVELPAMREWRLVDVVREYRDAFE DIPSIPIGRSRYLGPTPQSDDILERDSEIALNGRKGRRLGCILYEDGKEVQVWDLDVD EDDEEEEEGEEMDGVEE I203_06059 MLIYELLLSNFTFHCTSGISDQRNQSGTADPPRGVQVTCFGDHR SSPSHAFSKFIPPKSSNLHHVTFHFYKSAVLLSLPSNTTITSLKNQLLPALSPLSSTI PVDSPTSISDLELWEDKFLIEGQDQTDKDIQKLTEGSKTIAQSGWGRWKTIYVSFRNP DGSFSKPIYTVPDVEDEEPDGSEV I203_06060 MQSQPQASTSSYTPPLELESEIWGSIPPIDTENGSGTTGGSPSS SPEIGGRRTLRSRKNVETPRMNHPLSTLITPPTIYTDVLGDVSRPNLKRLTSETERQV AESSTSGSLRGSLDLLRMTPTDGGGEGEEREVEVLIHTIKSNESLAGIALLYGIDLAT LRKSNKLWSSDPLHIRTHLYVPLEACRWNKAKEILVRGPGEGQVTLMPKTKKDKGKGK EVYNPNGGVGQNGLSHIMDLSDSPPSASTSTLSLASTFLPSTNHSYTQEISPPTSTEP LVDEQAATPRVLDVVRIPSSQLRFFPKPKPDKPPDRRSSDYFNSASRSSPGSNTNTNT LSSPLSRNGRPSGEIERKPIEDALHGISNHGRNSQSHESPNVDGPTIINDLSTLPPPL RPTPTSPTHPSSLSSSISKGRSKSTIVKIRPPIIQTASQHQRNHSHSNGMSLNMEGIK GSIKDFFTVPPPPPSNYGTLGRSKPRNTDVQRERMVSLPSNLSLGQNSPISKSKSNGS PINGNGKVVRKQESMELKTRYSDLGLDLGLGGLGINVGQPSSTKGRGGRKDSSGGGGK GDKQD I203_06061 MVLPKTISSPSPLDPLLLLPIPSPLPPSPQPDLDPLVNSISSHI DSTEGQIPITVLTSAIRQITRKSQILLNAARVNAAQARDELDNVDEELREVEYESTRV NDEMRKCSEYVPAYEEMDLPSIEEFLSSADESILSSLPSQDSEEYENQLMIARLEHEL KEIEKRENQVNQLSKQRDNLIKTKKEIKMKFDAVDVHLGGFSRSANAVASKLKDVAEI PSAKIPQISLEGESTSST I203_06062 MAVSATLKPTTLLNELFKLWCQANNQTEIPLVTLILGIHHSRVR PTAENLSVTRPITEGGAGNIIPSIGRLFPKLHNLSITSFSGNGYFFCDIPFTIEDPLK VPEKSDDPDVDFVDCLSNLRFSKNLRYLDCGISFLPGAKRPVTFNAQVSVSKKVFIDQ LRSFDKASDEYQEHLTEFRDRLQELIGIVLLHLPSLEGGAFWEPALGNTYPEPWLRWP WSLEAETKTPKDDSDAHGKRSKDGKRCVVVDTKPICFKYSFTVAQNGVSIPSSPSRSF LTDSQGVEEGKKQDRDGDTSRWEEDDNW I203_06063 MSSSTSQATRNLTEADLQSTDPTTISPPPRPNWRLPNRQARPQY YEGWKTALEKYLPKVNYVGSSLVVFLISLPFTLGNFSFVSLGIKPGLTQRPDIEKWTL DDIVDYTNTVKGRIRGLRDEKS I203_06064 MPEPKVREIARHTFGESLENLRYNPDRINVKSEGEIITRDAMRI VHDVETLYSDWIENYKLENGLDDKDVIAHINKHRSRDKSHTEEEATKAANLRKIQGQF VHSIKDYRSTAQMVVDAIISKINDRETGLDHYKDKTQDDVSKDLIEPRRGVLSEDVRT WEHFVGLGGEERQKANIALTALTEKGTTNSLDSVVISGESIADTISQAEIVNPMANSS EDGSQDGSTAGELSWADIDEDEDKVWETQFGAQIQAGQISSI I203_06065 MPVPDDKRLPVTLLSGFLGSGKTTLLSYILKSKDHGLRCAVIVN DMGALNIDASLIKNHKLTQKEEKVVQMQNGCICCTLRADLLEEVANLAEMGSFDYLII ESSGISEPIQVAETFTPEFAESIEGTSVEEIEESLPADDGTTPEARRRLAKLIAEGGL SKVAKLDTCVSMVDCTTFMDDFDTTDFLTDRHGKEVDPEDERNITDLLTDQIEFANVI LLNKTDMVSKEQIAKIENLVKTLNPGAKIIRTSYSKVDLKEILNTNLFDFAKAATGAG WLQSLRENTLMEITDSKGIKKMVPKPETLEYGISSFVYTARRPFHPHRLWDLLSSPFC IIQSAEEEDEDEEDEDGEGEGEDEDVEMDEEKLKAQRLEEMKAEKEALDLPSKVKFKR ESKVWKGVLRSKGWIWLATRPNLHGEWSQAGVMCTIDGGGPWMCRIPEDQWPGGGDQE TIDAIKLDFMGEWGDRRQELVFIGQELDQDLIRDTMDKVLLNDDEWAQWEKIMKSRRL SDDKKNEKLFNLFDDGWEAWPEPIEDDEEEGEGHNHSHAGHNHSAVPKKKAKISGEEG ETLLP I203_06066 MSENILDDASDLFQYGGDTWGVDHKDDPFTPQYQEGTFHTTTVH MAWSRVCWEGTGIKIHGAKRANHGFYAVSIDNAEPELLDGYSKKEQIKAELYVADGLE WGQHQLTIWNVNKFNFIKNHIWLDVDFATIDGNAISCDGLPEITAVPQDPGNSTSSVS ITATDSCTFSNSTISAFTVDPTTTVTNTVGLTTTLSSGVSPTTTVQLSFTAASSASNS LASNSNSTAPSASSTGASSGAQRLVAPGIEGQIAFAGMIGYYLFRTFM I203_06067 MSSTSLRCDHRHDRQHSGEIELDQLGDDTNIVPPPLTHRETTWS STGQTRVNTWGSADNTESSKGKEAIKFTDFDDVELTELEDMVPPLPTHCDTASSAEHN NKRRPCGQNASRTSRKTLDQIAPVIHPRSNEPIETLIFDWQWGQGQSYSKKDPQTSLD EPVIRRDTYLSVLPQWRAIADKYKQKADDINNSKYKHGSDTAEFHYSIMEEHKARENY WEASVAWDTCMSELYGRSMDAAVRSTAKMFTAIKKEQKKRYGQSTELADEVLAKTWKE AMYNHFDETLSDFLQTWNHQKPVKKKELKKADEQMRKLRELHHALNYGTISMSGDRDH ITCTWISRTIEERGLPGPGILVGREPKDKSRIVAETGWTWKDGQVTFLGAD I203_06068 MSKSQHAPAREKIPTLESLGVELHPETVTYVNDPHALAKALGGS DFFELFKNPLVLAAAVSACLGGLLFGFDQGIVSIVLTMKQFLSQFPETDKTVTSAAGL NKGVMTALLELGAFLGAIQAGFLADKYSRKYAIAFGMIWFVIGSILQASAYHFAQLVV GRFIGGVGIGILSSCAPMYISEISPPNIRGALLVLEAWTIVFGIVVMFYITYATRHID SDWSFRIPFTVQMAPCVLLAAALWKLPYSPRWLAFKGRDEESLQSLMTLRRLPASDPR VQAEWIAIRAEAVRNREVAVSAHPQYQGDSFSSQLKLEAATWADMFRPKFFRKTQIGI MLMFLQQFVGVNALIYYSPTLFETLGLDSEMQITLSGILNIVQLGAVTIAFFITDRVG RKPILLWGAVGMTISHVVVAAMIGKYQHNWPAYPSQAKVGAAFIFIFMLTYGMGWAPV PWSMPAEIHDSAHRAKGVAITTCSNWFNNFIIGLITPPMIQNISYGTFIFFGAFAVMS IVYTIFFVPETRGKTLEQMDEIFGTHASQDNAIATEEILTIMCGLSQSASQPDSNKRE KDLQTEYIERV I203_06069 MLKSDLRLKGEIMLIYTKAWSKHTNEKIMLPGNVVLPGGSTTDN APASTCTDRDSQSKAIPGATGHITNTHYSISEAVKSRRAVGGTLQLVRAGRLTQFIQL ALLVMSATSTTRYIGIGIYVTDSNFGHIQQHRPILLVSLVEYGDSRI I203_06070 MPRLNPALPAIPKTYSVQGYRHFLTPLRKLIFDYDAESPSQQGV RSFIKKPLINLARENPDVEFVVRRLKRGKAAVLRGHYVNGRDKVICVNKLEMDGVSNK VNLLLNSSGAKIKPLKNLTLEAAPASESARGVWSALHDQTKAGQGYGI I203_06071 MPGKQLIVFDFDWSFVDQDTDRWVFEVLSTELRRLLQTRKSAGT GMQCTPDVVNDTMKDLYEKGFKKEDVLEALRILPFHPAMKRAVTSLQTRSKDTTFLCL SNSNEVYIGTILEKHGLNSLFSQIITNPAHWNPSAPDHLIIGRRLPADQPPHGCSVGC LANMCKGDELDTYLAANGGKDSFEKIVYIGDGGNDFCPLLRMRKQDLVLCRKNLELHE RILNESEKAGLKVDVKYWEQAWQIDE I203_06072 MHPLTKPSSFLFALSFLFAPADVSAHRNLPRKPQHHPHLDERLM PITATTTVVVQRTATHTVWVQPTDQVPVVLPGSGGDSGSDHGDQSISSASVVTSTASI ASSSVAQTASATASHTAIPVSSSIPSASSSSISSEWDTASLTSSETSSSSSYPSASAS ISGYDGESALPSSTFLSDSPSISLTSSLASSTIDATPSYLSSSSIYSSDIPSSSLSHS PSITASASPSSWWSDYESSITDSQHTSSSHSGSKSASTSLSSSSASSTEGSSSSSSII SASASSTASASSITASDTASITPSETASVTGHETASFTASGTVSLTASETASLTWNET EAITSTQSSLTASSTAYPNITSTLTSDFASSTSSNITSFEPSRTISLNVSATASVTST SSAASATASTSLDAIGSTIMAAYYPDWAATHLPPESVDFSRFDVVDFAFALPTSNNGL EFTQDDSEDLLNRLVTAAHSNGKRVKLSIGGWTGSAYFSTICGNSASRATFVQNILDA YNTYNLDGIDIDWEYPGTTGADGNAISSNDSANFLLFLQELRKALPTGALITTATQVW PFADSNGSPMSDVSEFAKVIDWILIMNYDVWGSSSTPGPNAPLSDACGNSTQPLANAY AAVSSWTGAGMPANQITLGVPAYGYLQKSTSSSLKQRRRSSFPSPPHKNSNSTRIQNR ASDVTIYNDWGGSSDGQIMFDSLIDQGALVLQDGEYVGSGGFTRHWDSCSSTPWLKSS SSGQIITYDDTQSMNLKGQFAAQVGLRGCNVFSIDGDWTGSSWPLTDAVRSGLGL I203_06073 MPSLLDLEDETIRAISRYTNKDTYIPIPSYGPHYQHFKSEINSR VSRDLLSFRSTCKRIHSLSGLDGLHVKATQGTKTAKWMSEAPREVEQGVRRLEICAPF LTGSDLISTFSALIMFLHRFEDLEELIIGNNPNISCYHLHGYTTSPDQLPGMLKLPLF PFLPKLTSLSVEVACPSCAEQIPKILVPAAPNIQHLKLAVYGLPKPLPLGQFTDADPW DESPDPSEVIRDMVTMWCKKNQKDTIGLKTLYMRYWPRPDERTRDRYRSTFKRASEAI PSLEEFRVAEFNGITGNLKSGISVKAEFIHDIWTFECDATTDEGDESRCCSLEETLVA LSMPPKIKIFDPIFVLNLPLKRPTVPIASTGHTRSSYLASRSTTHRPTLEEVKSYENT CRDVFEAAAQTMVDTVPSLEEGYFWEKGTEISKKDWYLWKWKKVIVNGASQVEVDQFP EMMSSDFISGKPREPNRFPRISHTPSGIPMPMDDDDNDSERDEGEAEVDLAIQMTMGF DDEDLMLFGPHLNI I203_06074 MTATPPSKISLPPRPTATDLLGDSALSTETPSPTVQRNLNNLNL NSPNGSPPFQARSGGTLPLAASKSHRRSVRNSFASYGRGGRRMSRGTTGGGVDIGSPL VDDEDLQPKLPTLVPGIRPAYSTPLPVLPMIVMCIAMLSELLSANLCTPFLLKMVEGK QKNSETEAAVGLWTGNLVSVFFITQFFTSLLWSSIADRHGRRAVLVASLLGSAIALTI FGTSESLPEAICVRLIQGIFGGAVGVFRGSIRDLTDDTNASRAYAVLGFSWGFGGVIG PIIGGVFESPVDNFPGTFLSKIGLFQGFPYVLPTLIASVILVAGAILACFLSWDGGVR GGSRITLTVEKDEPLAGASPAVERTVSPAPSSRTAIRVPSFSIKRNAALSPGETEAAS HGAGYPALSTTPHARRDSRASLGTAYGYGGIRSKHPTLAARAALEAARRASAAIPRLE DEEEDEEEGAHARGALGLAQKLLLANEENTFNINDLWVSAAVAQDTAVFEDEDETEGE LTEEEQAIDEENETPQPSPGLTPGSTIDDPRGSPGHRKPSVRPNRIVSGASSIYKPLG GHRLSVSHGGRRFSTSSGHMPAIFSNTGVRTPPAVAAAYEGESPSVETGGDPFFPAPA DRRPGQAAGGLSVIAEGQTSTAIVPTSPGGTQQVSEKQPSSFALLPFLMIMQYGLVAL HGTIHDQVFLPYRSGGLGLNPAHFSFLVAIMCCCQLVYQFYLYPRLGPPLGKFNHLQM FRIGSALYLPAYFALPVLHKIASPDSEGGSMLMIGLTLITAIRYCASTFAYTSVMVLI NAMSPPHVVGLANGLAQSTVSASRFFGPIIGGAVWSASINGNPTGYAYGFYFCTIACF IQLCLSFLIR I203_06075 MSDSSSRPLKSVHFAKPRPYLHLIPDELLIEVGKYLLPTTKLPL PSLQPHFENYRHPFDRRANYGDYISFRESCHKVSCLLKPIDKDFEIEIKSKEGLEKWF NAPKEKLNNVSRLRLNFTLDPTDDVATVSIRYWDIFISLLLKMPNLVELFFTSTPFCY HGKHGHTPLFELPNSDQSPLNSIISFGNEVKCRCCAEHLTRLSTCMPNLKHLKCTSQD SVSQINTEDDFEPYDFMINNDTENLETLYLKYWD I203_06076 MIELTSGSPKFKKLIYSSHAIESPYTLKQKGLVEAVQDDNDQWH FSVGKEKGLDLNLSGLLVSDLSLFAEEWSQFNNMEEYDPGLVLNPVQYFTRTINGKPP KRNSEPMFPYALQQVPPEVLEQIRIVGPEEYERVLKEAMIEATKIMKANWTSLKKVYW WQDRNKRPDSPTRDYLRWTAVLIEGPELMYHDLIWSDEGSIPPDVEEPLDERSVGK I203_06077 MKCKYWLNESSLEVEKAVSRLRYHPQRPGSDKTSAWTAFSTLLH NLSSLKELLMDESFSCSHDYYDYNLSQLKKAPRLPRYPILTNLKSLSISIDCPACSII IPHMILPAAKQLEHLKVRLVAPGEYTSDTVEYSPSESFKKIIDTWCKDDESGSRRNQL KTLYLKYPPLRSDRIKSGWTGTIKEALNSLPHLEDFRTTQYYKNEDMLAAGITVKGRK NRKGEWNFKVKKQVVEAGQDGYQSFEDVMKEICPESSHTHLKYFDPIILIELDYRRLM NKEATLTQTRRSWLKGRPDNDPIAIKEDKAEQKEYEVNFQHAAAAIATTLLKYSPTLE GGALWERGSETNELDWLQWNWTRSGDEIVIENSP I203_06078 MSSTIPAYDEHTGSIKLVQDFQVINDLTDPGGSIEEALNRSASS STTPPKSHPLLDKFSKFTQLNMIDCFLEVTLDTSPVEQNFPCMVLTKTRYLASRQVHG TIEENRLARPVRLRAAVREAALALAHWIPTLQSGCFWAWERVGEQDEHYYRRWSWFID QAEDGSSHVRLDPFTERFPAEFLANTDGTRLEHYEPHTEG I203_06079 MMPSRPPSTSKSSPQPMDVPLPADDQPEAGPSTASSLRSRASTL SSIKGKERAVVDDQDSHNDVEEEDESDGSDEDDEKVTVAEDRVHQIQNEKDPASGQDG NDYEDDTIATEEEDDQDEDTEEAEDDDEEEEEPALKYSRLKGRIPEILAKDTASTIAV SPRVIALGTHNGMVHVLTYEGAKVNSFRPHAASVTCLKMDEDNDFVATSSVEGRVVIH SLTSTESYAFDYKRPMRAIALEPGFAKKTTRAFVCGGMAGNLIMQEKGWLGYKEQIIH SGEGPIWAIEWRGNLIAWANDLGVKIYDTTTSQRIGYIDRGAHAPRAELFKCTLQWKD DHTLIIGWADHIKIVRIRNRAKSQTSTGLPALTVEMTSIYQVDCMISGLAQFNSSYVV LAYIAPDTYENEATDNPMEQRRKAANRPELRLIDRGEEVNADALSLTNYHMYGCNDYS LIKSQRENEHVFFVISPSDIIVVRPRDEIDHIDWLVERERYSEALESAEELRKKHGNA LDVKAIGLKYMNHLLAQGHFEQTASLAPKVLGQDSEAWEKWIYTFVQHHQLPTIIPYI PTKDPQLGKPVYEMVFGHFFICLTLQALLKTITSWPTDIYDMNTVMGAVQGELEATKD DPVLLECLAELHLINRQPAKALPYFLRLRRPHVFDLIREHNLFTAVQDQALLLVQFDQ EREVNKEEVKGDKSKHGAAIELLVDHTHSIPIDRVVHQLEEKPKYLYMYLDALFDKDP QFCLPYSDRMVELYAAYDLDRLMPFLRASNFYDLEKAYEICKERDLVTEMVFLLGRMG NNKKALMLIIERLGDVQRAIDFAKEQSDEDLWEDLLTYSETRPSFIRALLEHVGAEIN PIRLISRIKDGLEIPGLKEGVVRVLMGMNLQASILICLSWGLVSLLEGCQHILNGDCS NLALELQAAQVGSTRCSPTSTCTICHSPLFLPSTKYPSQTLVLLYLCQHLVHAHCALP EDVELPQRQENINVTYLLANGGSGAKGQNWKSRAIGGKLGYAAAVRVRVGKCPVCEKG GGRRASKIG I203_06080 MTTTPTLPKLIITPSTPLPPNTSYFDFPPSPLPSPSSQTFTAND EIQSRRRSQSFDNDKVNEYTEKRPAPIRSYSLPTDSYLSLPSLTRPKSGKRPLRSPLI SILFLAFAFLLVLSTAMCTSSSAGKLLDIQREAGKKINDLLRLKGCKEHNEGSQVTVT GLAELESDQGGAGGAGGVKSLFDFLWHREEEYQHYANSLITAGPAISLDGSAIWDFDS QF I203_06081 MSAVHGNLSHAMRDNYNEHGVDEYYRKVAATYRNPFYPGIKKVI WTFMNRWWEAEGRSMYGSQATDDSTLRVLDMAAGSGEATLCLLEWAQSTRDFTSSSSA QSPVSLPPTSQFSQAVPPDPLAALRAMRSPVGSGSSRPAFIPSNARRPAQGQSSNRKG KQVFGGMDPPELPADFGIGIEATDPFTSPAYTERTSRSCHSLSFTDLANGLLPDDTPQ PKDGGPIWDMIICSFALHLVTSPSELFALLYELSGKAKWLVIIAPHKKPEIKETWGWS RYDIASWSAAGEAKLYGGSGKGSIANADDDEEETELEIVRDNLFSPYRSAQPMTPSSP SVL I203_06082 MYGISSSSSSSRNYFRLLDLNDEIIALIGYHLNGDSSLAITSYR PLWENTKNEIGSDRSRDLRNFRTACRRIRDVCKLRNLHVIIRELKSTVPRNGKPRGIR GYEMLDKDAISRVCILLYGYLPDQKYASSVYDEMNAISHSLPNLKELVVDRVAKCHHQ EEKVEDKKSISGILSKYEGLFPNMVSLSIGISCADCANDLLLHIELRSPHLRHLRCHL QDQEFPEDAQAGDVTRSIVQTFREPFDQWFQNYRQDHLPLRNLCLRYAVFRALFPKLE SLSVAQSREASHEMGFRFLITSRTILDAIDGNEDSADLEEIYLMDYIGSAEGGGPKYI LS I203_06083 MPITLFGLSDDLLGEIAYWADDDSILNFPRFTPQHLSSHSSIEA HTGGDLLSFRATCRRIRAICKIKPTKVVIGRFSQMQNWLEHAPMAVVSPVRHMVIDCE ADISPYINPDPFSGRDRSLSESVWRTFTKFLSRFISLEELVLLNTPLCVHEHHPSDVG IMKIDPPGYEYLPSLRSLAIEVNCDTCSDHLPRLFVQASPRLRYLKMRSSDDWRTTLG VLSMLWQDRYSQNPFPLKMLYLPFDGTYDLSKELRDIQSAWPLLEELCTNHIEYSGPE DLGPPNQYVMSNKIGNGDSWSHIWTHKTIGLDSMIEQNVVDWPPQDDPTFKDVLNSFK LFKYLRKIDCGIIFRLYEEKDLPPVIMPTAPWTSQQQDTYLQQIRLRAEASKSINFED MLPEAMVHAAQILFDELPTLEECVFWRAAPEYYTYPDEYWCKFVFRRETNDRGESAVV LDPYKQYLSKRSMGSQLGGITL I203_06084 MKTGLLNLSDDTLQYIGSLIHGDTDIPLPSFHPHTLNFATEIDP VVHKDYMSFRATCKRLFEHNNDAPQSVREGIRRLHIDIFRSSTGNDSLVVWQTFSTFL MSLKSLEEIVIKQSHLCAFRHAHSDFIGRHPDFRFKHQVQAMAFEMNCSKCAKSLPKS LASGSKPLRTLKLAHLQGVNQDETLIKELSNRDVYFSHCLRTLYVKIDGDTDGIASLE KIAEDLPYLQTLALSSFSHVHDLLGLFHLFAHTNSLSDLSWAFKLCCDESYDHLMDPE SIQSFPVDPRWSSFLETFAKFKHLRSLDLTINVIICNKPLSDAHQNRNLKRSTVEAQN LARRGQPSRNDFLLSAMKAAAVLMVKHVPTLESDYLWSHHFDRVYSEHDDRSYRYFWK RESSEDGNWDITISDTMECFHDDAMINEDGSA I203_06085 MSTSLLDLSDEVLQLISQYVNQDDSIPIPSFAPHWKLTTNIQIA TATSRDIRAFRATCRRLRNACTLKGLHVVLGRTNGTISAGRWDDSLAGDMRKATSRIC IDVPTSSLESGLQTWSDCASCLEVFSNIEEMIIKKGPRCHHIPNDQTHTPVTQGFSRY MQPWSTQSSFDQLTSLSVEVDCPDCSFGFPTFFLPSFPKLKHLKFYLSPSSNTTSWDP SKDFRLLFEGPNSSEEKGCLELETLYLRYPWSSRGNIWKNWGKIIKESFSLFQHLRDF QITAFNDKRELAFGTYLICSRDETGKPNLDAKSVEKGRGKEWKFEVMNLEDGDWRNSW SLEQMMTYLSPPQTLKLFDPVIVIQPGESRPHHLTSPKGTSHQKSVYHDTHSYEETRY DSLLKKYESLLKRQMTAAAEELIDLVPSFEEGAFWERGTEISYDDWYRWTWAKVVDDN GEVKVQVVDKPFVLSKKSIKAK I203_06086 MTPGLLNFSNEIISHIGDFLHQDHDIPIPSFHPHWAGFKHDIVS HVQKDFLSFRSTCTRTRNAIQLRDLHVTISKWSDVLRWNMECSKQVRQAVKRMIIDIP KNVGPEIYANDHEHRLQEKYHIGGIWSTMTHFLFEFTALEELVIVNIPLCNHGEGPHN LGPDSLNLPSYDFLPALKPLAFECKCYKCSIELPRLFIPAASNIRHLKLVHTYQEQFS LPTMAEEWMALRKKSEGLEDNGIGGNLPSLPMETLMVEFLDRSCPRVSTAIEILQPHC PNLNSLYISMYPENDDAPYSGMCLGAKPLDHAASRSDSGSDWIYTILENDDATAEDHL SELEMNRDWTTDTFWQDFLISLSGMKKLKTLECLTCVHVPLVDGKMFVPESNTRGSTY EYQLNGLKERSMSNYTPNGQPRMAMTGAAQAMIDHCPSLEKGYFWQWLEQDNRHGSPG PEAENNGRDDEPNWKRWTWSASPTLSSKKAVIEPWVEEFSVKWTYSTDGEEGRPEDDD I203_06087 MPLTLLHLSDEIIQHIAYYVHLDNEIPLPSFNPHWANFKDEIDP ANQKDYISFRSTCKRIRELCPLRGLNVVMKSWVKLLKWSVEAPVAVMEAVRRMVIDID RLDLPKGEALAKYSIVPIWHTLTSFLRSLHNIQELIIRKTPLCQHGPAILTPSAIYGL EGMYFLPKVESISFETRCRLCCNQVPKLFVPAINHLEHLKVSPSKSRQELYGTKKARL IKTDNNFYENIHTLHLKTFVLVDRLEVLDQISKNCPSIHNLYLTAYDADDNYVVGSCT IFCHQSLTTGEWSFKMNAESYLDYFEQADPVNEWGSDQTFDTFLEVISRFEYLEELDC LIDFKLKGRTLDPVYPSDSKKSEYYQYRSSLLSSKSKSADEGDVDEKSKSAMIAAAKL FAATVPTLRIGYFWAYIPQHPLFEKGFWRRWRWTCKRGENEVSVELNDLPEEFKDSWM NNDNGSRDWDFVAQQGEDEDD I203_06088 MPYKGLHVVLKKWETLVDWTVKTPNSVLAGVRRLTMDIWPENDS NIATKWPTIIHFLGSLTNLEKLNLIRTPLCRHVRPRRGPTLEELRSPGYMVLSKIRSF AISDHCDDCQDELLYLFLPIMPSVKHLKASGRFPDGQLMTNEQELRLSVPNHVTTFFW KMCGLCDLLLDPETRFSSIEVFLPDLSSLMVSHYDFEENNGCHLRARPSTGIEDQWDI EFSYFGGFCPAFVTFVKPSEDGHWFDLIDDPDGPVFTNKIELDTVSYEAKVHSAMSAG ARLFFTHIPSLQTGYFWEPYGEFDSRDYLQLKGCYRWEYRRVTHEDGAWDVEVLATPE LLTLEFMANTDGDNVFDTEDL I203_06089 MFYIRLFTLLLPLSTYATPLHLTAKQDPVPTVANIANEYGHNPC ASPTDVAQSWLCEHPEISTAPVDTATVIQGNYGTVNPETVSSPSATASEVDGGPSEPV QPSTSPSDLAAVAASHSLPNSGSSAATRTVGVQPTQPTTPVSSVPIAPTESTHPSTSV IEDGYTITSSQSSEGTIPNEPSSTIAEQSSAAIQSTSSTSSSNSTTASPSECGCGYTF SQNFNSAYYPKAKIIDFSTIADGTDVTSLGFSTSNGDKIGASSTVTPSSICVGSSENV HISGGVLNIKVPAGQLTGGEIVFEEAVTGGVFSMEAKIDKTEGTCQSLFTYTKTEGTA DELDMEMLGKNIFKPSENGDKGIGLSNYAPDGSKDGEHADYTSDPTADFNRYTIGWFS DSNKFYYNDQQLKGPQPHLPVNPSSIIINNWSNGDPTFTAGPPAQDNILQSGWTVLAK ADVQLWHQAGPSAIH I203_06090 MTHPIDPVNLDAIPPMIDSYTYHSKLSASIDGQIKDEDEGWMMG IDEAGRGPVLGPMVYAAAYCPISFKTTLEDMGFDDSKALSADTRQSLWESFDVHPELC YSSTSLSPQAISAGMLRRIPINLNRQAEDATVGLIKAALDRGVNVKECYVDALGPAPQ WQARLTAIFPTIRFTVCPKADSLFKIVGAASIVAKVTRDRYVHNWTDYEDVGVDGSIP VKTIKEGGEEEEEINRGSGYPSDPKTQAFLKNSLDPVFGYKGIVRFSWATVKVLLDKN GVECKWIDDNSQPSALSYFRADNDNAKPKVWKDLGVSGVGEL I203_06091 MGRPSAKALGKRKAPPPPTKGGNKKTKTANSAEQELGPTGKPKR KADQPKKVKLRDQKSIPIPKSTYAEDQDGDDEEDLEDLGEEEGLGAGSASARFLMGMD ENALSRSVKETKRLHDLSKSREPLPKQKRQKLKIPLPDSAKESDSDDYDFDSDPEFDS DLASDVDGDDDDDVELGSDDDQDEEDSVYDSGASLDDSDEDQDDVIAEFDNLPSEEEA EYDSDTANSKRRKRKAGEEEADYETSARNRWAADQKDQKENEDQVEVGRLPIKLPTGE IKMVEGSTKISLPPSKKKQPPPPPSDSEEEEEESEDEGSDDGEQAERMAGLKGKFGRM GVSEIVSQKGWKNTQKLESAKEQMAQLGAEILAGGELVDIGPVLTRLSTFALPTVPSL EEGEGTLPVPASIRGLAFLSQLAVFKDLIPGYRIRQLTEIEEAEKVRDEVKRLREGEK MLVRNYKSYLKMLESEIKRRSPLASLSLKCMCELLVGVTHFNFSENIMGVLVGKLGRK GWDSDSDLVLESFISVFKEDISGVHAQTLVTLIARMIKERHFQVNPNVLTCLLHLRLK NELDQMKRGKNAKGGSGGDKKEDNIKGKKFKSEIRKKWATKNQRKKEKEMKEVEKEMK EAEAEIDKEERAQIQTETLKNLFVLYFSILKSPTRTPLLPAALEGISAYAHFINIDFF RDLLAVLRKIINDQEDHDEVDGSDDEDTKVHQIDASERIRIRLLSILTGFQILSGQGE ALNIDLSEFINCLFGLLRPISLNTGVEDPPITSATTKNTNSMGELSISELMFRCLELT FFSRYSGKSPDYRTGSFAKRLIECSLHFPPITARRSLEFARKLISKEPKLESLLNTEE KINDGVYKPEFNDPQLINPFNTNVYESGILGDRYFERGTREEMKKLRDNKAV I203_06092 MASSSKAPVKPIKLEPDQVYIHVSYSSTEPPSAVSLNNVEAKYL GPLGELSGEGIYQIQSHGQPVKRNDENWQNSQKDLLERVTRSEGVKSVKVMDEPKQRA KRDEF I203_06093 MDDPSLSRLVVDREPEWLRVKDNVSSAMFKVMETRLATMPGGKD GESAKVMRKELESRLKRIQEQMFDMSKYNLQVNGQNYENYVEATEGFDESLDRHIWAL QVERTDWESKTAEKRKRWPEMFYSVEEDLEMRRTDVEWLPDENDGKDENKHQPQDLPP PERHEEVKETFKVVVDNLAEVAKSAPIQLQRAQRAQTVREEISSLPP I203_06094 MASSSGQQVKDLDAQRGDEARDEESLGADWERQLARVSEMSSAV QQMTPILTNLRKECKALQDRQRSLESDINQRQDHLTQLNEEIEKARNERELLKQTDNS WWNSRPLSASASMTSISEGDVQGKLNRRVWLLDEQVHLLKQEKVNMKREFDDELERER AISSGLRDRISDLEVKRKKLKDDNQAYQTRERELETKVGNLDLEIKEIRDSRNEDIID MKENMVKGKKESDDLKEEIGRLKEERDNAVAEMMTMREQAVDQVLKSPKILQSQDLNV PSSQLSSQPMTPTKPKSFKPFTPLLTSPSSRIAPPSPTSLARQYAVIEARCNHLQAAY NHQSEAHKLLLTEHNKLRKIHQEDIDHMKKYQASQIERKKKKDERRAQKKARQSQEMR EMTGTPGDITSSGKTVGGQDDGPIQIETVHNVVLVEESGSLPHVRKQESKGNDQTMDE EEEYMAYAEQGNDGNPNERMMDIDVPPHMTSGQLVDGINEYQLPRSSLRPAYNTSTQQ AGSHEKSNKPNSSHQVLSRYDRSNSSHSAPASRRSGSPPRPPTSQRPRRPNTSSSACT PQQSRRTTATSQFSKRIVRPTHVTPWLGVENAGTFSSNRSRSQSPTKKQRVKQTTNED DDFASPPDQFTATPTTIRTPLVRDRLGGGSAILCGDSLRKKVMRQTVHDHETPRNATP GPSTGTGTGTRTPTQSSGLMSTEKKRKIIDIETEGLSPSEKALKLKSLAKMPVNEKRE LYKGYKGNGRYLRPEEMDKTFGEEYEINPSQNEGNNFAYHDVRRKKAERRNMHGGDCE CCKDWYEEIGDIPRFNQAPKWRDDARPHEHGSDRSVSDHVREHQNMVSRHRETWVKPP TPPGYWKIGFPSTQDVRDQNEQADKMIKEKEVRIKKEAL I203_06095 MSAGLSTLDLPPASNGVGNASVHKMPSNSTMGWEDEEEDVTFDS PQEEIAHYREKYRQAIDMLTETRAELEEFQQSSKELEDEMEQELAANEKVQGELKEKI KRLEGEKEEWKSKQIALQKLHSSTTAAMQREMDNLRSERDKTLVALRDLEMGNDELER NERVAVSSLLDLESKYNRAIEEKTLLEQEIVQKQELEEGCQRLKDEMRDANNEISMLK DQLARMTLPTPPSSISEPISSSPTQEPPRELAGEEERANDIDPASIPLPPPVPYKSMH NSSIPQSPSRRLPRSATSSSIPISSPITKKFAPTSIPQSPTMSSLSRSTTSRDLAAAA KFTPTPVRTRTKSGLHQSQGLGGNSPGTVRVAAVQQTKSRGFKLLHDLQARLKATDDK LGVAKVPRRNVSNPLSNFGGGGAKSRSTSAASSAKEEKSQQQPQPKLTNPRITALSQS QSQSQAGGGTPMASSNSSSLMSPNGWVLVDGEEDDIQNTPTANSNGFRQREEPLSPID QTFGIGIAPRAVSSASNSSQRSLPSRPGIPSPLTNVNGLNKSTTTTTTTTVDGRISRK PTTTVPFPTTNHPNRTVKYQNGISRIQASPGKSKPRPTVTATPMTPGDSRPLSPSTST SRPMSPSMIPTSTSSSRPMSPSLMNSMTSASRPMSPSMLPRVQPLRAPSPSISAVASS TSSSRPSSRMGIGRGPPPSFHNRNPNPVSVSTSNSSSGQQGLRRSTRRSSVGAHELPT GIPAPSSRGDGSRTPVRPVTIHGDTPPPVPRIPSALRKK I203_06096 MNADLKRVRDNAIDDTPSPSAKRRVLSGHASPQANDSDGDGIED WMKVVEVRRKEAIYRQMLEYRRASEREAKRANEVEAQRRVLEASFHAVELCWNQVVAA IRELGGQQEMDLNEQEVLEPYLEPQTARPELEKAIQSRLPTTHQLVSRFVDLANKNQH RSSTTEELQKRCLKLEAESSALRSNSKLLQTQISSLSESKDVIQKDLIKVQKALDRQR MEHDKAIQEWKEESSRQRVGTPGIAGPSRSNGGSGHATPNGKLEEDVKPFGNGPSAGI AAGPSASGALQDTAELEQLAESRLQQLQTLRSEQAQLQQEVDRLKILAHHPSEAALRE SPFFQVYLQQLSTHINRANSLQSRFETSEKKLDNLRDSNQQFHDQVISEAKAENDALR SQISKKDSDLARLRGQRDEMNSELMERKSRETEKLKYAEQFENLSKTRQERINFLNSE VRRLKGYLASHQNVDSEHYLEFLRVEGNSGAADKDYVKDLEEKVVSAQDQINALNSQL SRLSDDSTGVQEEAQIIVEMEETKRSLLKYQKILGPDSQVSEDVGELAKKLEDKEKER KLLEMRLFEAEAATNALYTEVEGLSKLWENLDQTVQSKVFELKDGELKISRLSTEKAK ADNKFFSAMRAKEAVEAEWKLAQRTVEKQLKLLERAAEVEQNLRVQVTANEKGMTSLK NSAMDLQNQLASTTSEKTQLELRLQQSQAALAEAQQIMHQRVAEATAEKEARAKLQDE VDTSAKTIKKLKERQEAISVAERDKDMSAGEWQMKEERDKLLKLLRCSCCEQNFKQQV IVKCMHTFCKSCLEARIASRQRKCPACGLAFAKEDIQTLYWQ I203_06097 MLYLTADKFPVFVPFGVIGFYRYLWYIIRLIARAAYRPIPLPSN PTYVAAEDVTIIVPTIDAGEEFREAAFSWLEGSPKEIIIVTEDKMVGPLQELANSVDP SRIRVLTVPFANKRLQMAHGIRNTTTDIIVFADDDAIWPPTLLPYVLACFEDQQVGGV GTSQRVKPCGDSMTIWEVLAAFRLTIRNIEISSSTHIDGGIPCLSGRTAAYRTVILKD PDFLHGFTNDLWLGKYHLNSGDDKFLTRWMVSHGWNTYVQVCKEAELLSTMKPNWRFL KQVLRWTRNTWRSDFRSIFTERYVWTKHPYVAYTMIDKFINPLTLLVGPVLVIYLIVK STKNVEDGGYHLPAWKIVISYLVWLMATRTAKLLPHLWYRPSHIIYVPAWILFGYYFA IMKLYALFTLHETGWGTRAGIGDPATATTAAQQEKKADPVFGGGGTGDHGMYDAESNG LARKG I203_06098 MSTSQDLGYLSAARYGKQLVKIARVVREGDQHFIVEYVIRALLE GDIETSYTEADNTVVVATDSVKNTCNVFAKTSPHVLNPPVFALHLGLHFVTKYAHITK AFIDIEQLKWSRIEVNGKPHKWSFIRDGDEKSLVECVVDASGGKESVKADLKVGMKDL LVLKTSGSAFENFYRDEFTTLADVSDRIFSTSVSIQSTITLPPNTPLTIDNLSDIAKE LDFPKLTSQIRKDVLETFAEDESASVQATMYITMQNILKSCPAVKETSMQLPNKHYIP INLSAFKLDNGLGYEGGAEVFHPTADPSGYITATVTRK I203_06099 MLSPILRTAAMSTTRSQLNAAAATRLSSIQRHLSTSPSSSAPKI ERLTVFGAGLMGAGIAQVGAQSGLKVTLSDVTDKALENGLNIISKSLSRVAKKKSPDD IEGFTKKIMDNIETTTDAGKAVENTDLVIEAIIESIKVKRDLFGFLDSKAKSECIFAT NTSSLSVKEIAESCGKERQERFAGLHFFNPVPAMKLVEIIRTPQTSTSTYESLKEVTL RMGKAPVTCNDTPGFIVNRLLVPYLLESIRMVERGDATPEDIDTAMELGAGYPMGPFK LLDFVGLDTTSYIAQGWREKAASGQISKELVEPIELLEKLVKEGKLGRKSGQGFYEYE NKK I203_06100 MTSSLTPPDPTLNHLLTLPPLLQPISPPLAALHLARVRLSLSVP TSSSYMAKSLDDWCNLCGGLRLHLGGAQPQQSTKKRKRKGGICGTCGEMYKKPLSEKS TLADYPPARRTRRIKRDEQQQQQQCEITSKSQKGQQSKDIGVDRSEETNKSNSDLQPV PTPQQDIDFHAIVNLPIPTFIENDPPKLLSRPSLSHIPNSSPNLPTYPPPQPKPTSFV TSSSGKKSITGTGVGASASANSKKKKKSGLAKLLAENKEREMVSKGSGGMWGLG I203_06101 MSRKWFNLEAQTDDAIFGGNQSAYSSLGLTRTQRLGGFAACYVG GLGISLLGAILLFLGATGAFATLFAVGAILSLVGTGFLIGFKTQLEKMFKPVRVVATV LLFAAIVMTFVSAFVLPAILCIVFVIVQYLAFLWYSLSYIPYARTLVKGWVGM I203_06102 MSLNSVVNNLVRAAAGISSTISDTDLDAHVAKLLGEEAKARELK WSELGLTGLLGNSLDRNDSPDPSLPKTNKRFLASVIRTVDGHNSALLKAQAQSARDAR GESSRSGKGIGGSRMFGGALRDMSRNGNGKGKEREREKERDDRHTRRGDDRPSRDARG DGGSRRYDEYDYERTSTKHGEDDARRSRGYDDDRDLNRRRDRSSNGHKDRSSDRSRER DRNRDRSKESRRKDEKRARHHGSEDELTEGQSRRKDQQSISDISDKPNRQSRSPLRTE KPQGPAKASSPSGSPSPDPPSAPISKMDKYFNSSYDPRLDFAPIPKEGMIADVGWDNM LAVLKEKGRKKRQQSPTLSDTIPEPPQGVLPSRRLSPDRDRYDELSRKLEKKDKKKRK EERKRRRGSSYDSSEDEREKRKERKRKEKQKLKEKEREDEEKYGGLRKEGGVGVSKSG ILEGYEYVKKGGTREWDVGKE I203_06103 MSSSIELINPRAESIRRTQALQVNTAGAVGLANVVKSNLGPRGT IKMLVDGSGQIKMTKDGKVLLSEMQIQNPTAAMIARTAVAQDEQVGDGTTSVVLLVGE LLKQADRYIQEGVHPRVIGEGFDLAKKEALKFLDDFRQTPTMDRSNLISVAHTSLSTK LHSKLAQKLSADVVDAVLAIQPPENEHGSKRDPIDLHMIEIMKMQHKTDTDTTLIRGL VLDHGARHPDMPKRVENAFVLSLNVSLEYEKTEVNSGFFYSSAEQREKLVESERRFVD AKLQKIVEFKNQVCDVTVGSDEKPKNFVIINQKGIDPMSLDVLAKNGIFALRRAKRRN MERLQFACGGVAQNSVDDLTPDVLGWAGLVYEHTLGEEKYTFVEDVKEPKSVTMLIKG PNAHTMTQIQDALRDGFRSVKNAVEDNSLIPGAGAFEIACSAHLQTALKSTKGRAKLG VLAFAEALLIIPKTLAQNGGFDVQDSIVALQQEQEETDDPVGLDLKSGEPINPVLEGI WDNYRVKRQMLHGAATIGVNLLNVDEVLRAGRSSLKPEGPGP I203_06104 MSSLLEESHEVIPPTTSSSSTNPPPIPSFQLRQSICNVDTELLI QTFDDRVLAIVTQNGKVGCLTQASLPPHIPLPPPPKPSSKSINGDSSPLDILEILPTP SPSLTLTPLLGSPPNPTLYELHITQIATLIFWALEISGQGRRNVVVGLSLRTQRQTSE GQDEDDGEVLDEDERLRYAGIMDLVSQWSGPSE I203_06105 MTETFASALKTLRDTLRNPNSLSPDDLAFQLSSTLQSLHIHPTS IVPDLISSEDLRSITRYLPSVQDLLLNQIVPTFYDTLNSQDRQNLKSFFVPAKVPDGL QIRRNIALCSYTTLPTYFNTPKPGQNALIKPARKFLLDILGELVSIYSIDDLYHAIYS QDSKGKSKEDATKSLQWEEAIRSCVGLPAKVGNAVGGWKAEGGLVDVQKALEARLDFH RLIVKLECLMYELSQGSGSADTTPLRLVLEKLCAIGLLSSSPSSGDSRTPSLFPSLLP PLLQHLHPPLTSSLRPYPAEYLPPIFLQLPSSTLASFVDSLITHLAFNLIPPSSPLEP DKPDNRIKRSLEVLTGVIGSPKLGEEAWDAVLRTVLSGKSSLKLSDQSDQARNRLIVG WIAKSGEDGIKSFIGSIIEAWTDPKYVKFTLYSQQFNLTHILILALSLLPPLSPWLVA LSHRSKLIMAFQSYLSHPDAAIRRLGMLVAEIISQLTIPEDNPFTGIWDGNGEGREEC RWLRRIIGIRDERAVIDETETGEEWLLGWKEQDEDVGSTRATSPVSLPTEPKQPKRGR TTAPNQPKASSKSKPKIVMLDPDQLDDPMEGYASSSPSSSRSPSPTPSYLEEVAADPS LAIDATQKKKVTRPVYIPQLVALLKERDKPESIEMGLRFGEGLIRAKREFGTELAENA VAVTLMTLGLNDPFNLEGFDEKRQGLMNALVACSPKEVAPFLVEQYFSTQYSLQQKSA ILTALAMGARESAGLTVPTPPTTRKIDFPSKTLPPSLHKKYLTIADIPPSRRDTIENG QLEETMDVMRNKLLSKGAKKGDEVPEIARERRLKVGNGKKTLVAELGTLKDSQMISAT AQSTTVSKPVIAYKAIAGEYFVMPLINRFWQYYKDTSLRETRGGSGGYKGTGTGMIMS PIGLEKFLITSSVLLHASRHSPVFLAVLAPEALELALTLGIRFTSTSRMTGNDDDTNL EGSDSLVVGSSLELCLVVLDTSYDLDGGRSLMMERSDLVMGVGEWATTIFQNELEGNQ VSGGQGGKSEGRIKANAAAVVLKVGEVGEKWGGMGMRF I203_06106 MPPQRQRDLADDQSYDSDAQTIAVSEPEPEVEDNRRATRTPRKN RQSRSTNLQLTNNDTSDSDPERGSKSKLDKPKRLTYEEFQNRSRAIHSKYLKEREESR WSNQYEYGRRFMAFWRKVWRWWFFRWFLRKFPYLLALLTIVAGGLIALTGPWLDMWAV EVEGVKYGAWGGCKDGGKGQCSTQIFYDGPKVGNWSSKTISVVLLCFGIVALLQLLFL LYAFLFIRHHFVQSCCTDPESLDGGSRSIRARERRASKWFERTMDMSSIGYLTLSLVL AGCVSESGAEGETGWDLSICLAISPFLWLFFRVFYRSRILSKASRRFKRGMDVVKNGP DSYPDSEYDDESDTESESDSGRDIVYSRKSDGRRIEGPNANRRRLR I203_06107 MTSYRSVFPDHIHTPPQSPPTTHLDLDNKPKLPQTSGGIRCDDH QNAVTSSPTPTLTTSSSSHGVEVISPTSIHRRQAFSRPTSLGESRRSSYIKRLELSAA LEKEKFGLDHLQLSTSPYEEEITVELPSSILADQREIDVSYQPGCGESDSTMAGSADM SKNDTIRPSTPPSRPPTTAVNIPTSNRIRNPPPPSPLSKVSSSSPPKETELHTIPPPS AGAGAGTEITQSSSSLGSSVSPPSLKGLNIPLHSPCFIHSHLDKHGHGSLQDWLKNKS TSGGGGSGNPSGNISSSSTSTTSNTQGGSSASTYRAPQTNHHTGTNHHLGNATKPIRQ PSYNHFKSHTSTNTNTPTHSLPTSPNGSSKYASPTLGKDKDTGYDSDQSSMNGHVLRN LSGSALLDGDLMDGSEEGGSLTKQLAETAQGVREMSKELGRTKVRSRIQHVLIVTKAR DNRLIKLTRELALYLMQKKPANSPDGSSRAGHEGRDRGMVVYVDAQLRTSKRFDAAGI QRDYPDLFIPISRRRSSSSASVSTLGSLSAYPSTTNMSDFQKRNKDEGQLRYWTSEMC SSSPHLFDFVITLGGDGTVLFTSWLFQRIVPPVLPFALGSLGFLTNFDYAQYKQTMDK VVDEGIRVNLRMRFTCTVYRAIAPEEAAEAASAKGGKKRKAIKKPGGEILMSHVDKGG WESLEGPPSQGSCSEAAGKDKEILCFSTRPVEQFEVLNDLVVDRGPSPYVSLLELFGD EHHLTTVQADGLTVSTPTGSTAYSLSAGGSLVHPQIPAILITPICPHTLSFRPMLLPD SMELRICVPYNSRSTAWASFDGRGRVELKQGDHIKVTASKYPFPTVCADKASTDWFSS ISRTLRWNEREKQKSFVVVEEDSEPPADTKSLQRSHNKRQDYEEGRQAAEAERAAVHI EGEEKGQEGEGEGEGEGEGEDEDDEDEDEEDEEFDIDDKSGGETTEPSSPPIEPQNPP APPPTNKIHSMLGHPHKCGVETPNRFMTPYEAPPPLSQRHLVEALAKAEIREKDNELG QRDNIREDGSAFRYNGKHGEHLIPPGRSNLTSPTESENVGEGEGEGDETERSSMMDQK TPRPIMTSHNSHHHHHNGERVRIQSPTQHKHNYEHHHAHSGRSRNEAKGKAKAFAFFG QDDSASDLSDDHSDA I203_06108 MRGRVSNGRKERPPNSSSDLLSPVILRSLSNIKITKLITGPSAN YAVVLDMFGAAYIFGKPPSPSLATSPDGIISEHAPVKISPSAVGLPKGAKFVLGAAAR GHVLLVDDQGGVWGCGNNVVGQIGLPVTMLINQFTRVTGPWSKDPENKIVQVTAGHTF SLFLTSTGQVYAAGSSECGQLGNGKTGERIIKNGKVAFDVESPARLIQGFEKRKIVQI ASGNQHSLALDEEGYVYAWGYAGYSRLGLQDQKDRLVPTLVPHFAGNNIAWRAAQILC GPTSSIVVDRQKMFLIAGKFKLTGDGSTGQPYTYFKYIQDIMACKVIKASCGGCTHFL TTPEGDGVMTVGFGQGCLYGELGLGPDAGKSATKPVKIEPLSGVDVIDVAGGAFFSLF LARPDAALSELDRYPEHIESPTICLACNEEKDDDPMECEKCDSPYHPECLTPPLSEPP EGEWFCPACESQADAGPDDPFEPLSIDGPKPKKKNLPKPPLSVERASTPKSVSSPKPE SVRSNGSKGTPTRTPTSKRKADSESVHGM I203_06109 MSTTTTEIEPQATTSRPASIGERPDDLELMGITSVVSREAGERD PLLLRDKLISDAHLEEIRQRKKGGGKLADFYETQNSRINDLLKPLHTLSQEAEQDAAD NALKVKLAVNISFGCNIVLAIVQLYAAISSGSLALFASCVDAVDPFANLILWAAHRAS EKAEEKKWPVRGSRFETTYDFSYPVYGSIMGGVNVILIVMSIQEFVTHKGDDLQNFHL PSIISVCVAFAVKFSLFLYCMAIRKASSQVQVLWEDHRNDLLTNGFGILTAAGGAKLA WWIDPMGATIIAICIITVWTRTVYEQFTFLAGIAAPTEFINLVTYKALTFSEHITSVD TVRVYHSGPNYFVEVDIVLPPDMLLWKAHDIAQDLQDQIERLKQVDRCFVHVDHEVDH KPVSG I203_06110 MSKPLPLVKLPSNALIHRFGSPNTSSTNALLRFPTQGWTINKSK KEGWAVVGDSEGRKLAVETLLSRHRIHPLPPPPGPFPYITSLISSPTSSSTGEISSYK PIRHLAFSRPPSSGEFTDFTARYGALQEEDKLSFRETLLSTLHPTPSNDEIERVAGLM KITKLLDLPMVSLSSGQTRRSRIAASLLTKPVLLILEDPMAGLDIPSRKEVSHTLGEL NDSGDIRIVLVFRGKGTEDVPDWITNVVEVKDGNVWIGDKAQYEESLRTRAKIQADHE KASIQELVDVRADDKKDLVKLDKVSVSYGEGSRPVLKEVSWSIKEGEKWHLVGANGSG KTTLLSIILGHHPRSFSLPSTSLHLFSKPRREIPTTTLRGMIGHTSPEIYSSFPRGMG LTAYSAVGTGFKGIFSRSESDENQKKRVLYLLDQVKDLLQPTVGLGATERAKGMDLKE IALRPFAHFTPPQQGLLLFLRAIVGRPNLLVLDEPSQGIDEFIWERCKAILEREWKDN EKMATIVVSHYEDEVSTAYNLTIFVISINENRALADGLNGSNKVPWKKGRGKILKLDQ GVANVEEPV I203_06111 MQSSQPIASSSSSAIQSSSTSTANGKPDRLYVGNLSPTVDEYTL IQIFSKYGKITKLDFMFHKTGVLKGKPRGFAFIQFTDKDDALKAMIKLHDRLLRGRKL VVTYASSAPPENLPLINTKGRRPNDPSKTTTLSLLKSSKKPQSAAAQIAAMEAKLAHM KRTKPADEDYVPGLGGSTPSSRNGTPGVEESPMPEEQGEEVEMGEEEAERAAEELERE MKDLVNQERSSTLLPIDRSRSSTSVPVGDEAKKIPLPPRPVDDAELEIAIPPTSSTTE GRSKRREEAFKRGLAGLPKKPVF I203_06112 MDCLDEPLHLRILTSLASSYAQDAKTTEDENSISVLLDGLPNNT LSANDVEELIPHDEDDWTEFEINHAISYAHYVSSLPLTSPHLGESLSRLNALLFGLLR HANPSPSGDNSPSSSYKSLEAGGLGSNSQAQLCEGLLKALLWVAWGKAKLREDIGGVL EDFVEKIEGLMSGSTGITFPLVLLHSLHSVISRCPLPPFPTRTIASIVKSLLSIASPG NLIKLVHQPTSAASTPHIRMPPTTPFTAPHPITPSGVVMEVTEIMNVLLSTPLLPYPN VGEYQNAFAHQSGAYHHPFSPIYGQEGNEHILKKQTKQLVDNALVMDDIKRLSTDEKD HAVLDQATKMALRWWTDLVGGSSIVEEFPYSRRGSLLSIGGQPDEEVELMVSVLYLLN NLSLHHSEPDSTHLARLKLLLSEDSSVVDPRVLEAVFICTSIQVRNDRSLGTAMTHHI RRLLLSPIPAFEGEMGGSASSVPPAVVAASKCLAICIEMSANDDLTSSTLYSLLNTLS HSTSVPGGMSIRSTPLHYHFKGETDASTVKTNATSNRATEEQRRLVAVTAVEVVSRLA LEIGKEDIIHLSISMLIQRLRGVDLTTESTIVTNLVPLALAAPNGDLVEVYRAFSQIS RSSHPEDPRNSSNAVLAAQTTLAKGLSNRLDCADGYLVELLILFADKGTQTQMVAMAS HGYDSRDKDAVAQLKQEGIKRVGDMKAWLAALLIPIATLLSHKSYHPDRSATPELIAH FRNLWFLCVVFGLSGPEGQKRLSEHETNALRTIAVKTPALVLESSNDFVASDLAYNSI LRKDYAASIQQSQRAALTQYLPHQRHSYDIRNMTTPQTTLLMAISDLEEARTMRYRPS VLLQYFCNESINESPLIGSLDAIAQKITSSFMKQVYLQVVTHSLPPIVADEVCKILVA CTHRMRKVREIALNYARQLLETFSALLCDRKVVFTLLEILTLMRRSCELQYTDEYSPV YNFTSDKMDLTLHLTDDYAVRNEITSQLHSVTKHWLTVAISRAPIEVQSTLQSYLNES RDVLLIDSVEMGAGLALHFSKTISRLDRQETIMPNIGGWPSDSSNLVASQFASKNYFN GELSGARLILSHGINLQEGSPANSSPAELLAFKSQLAQATSNIRTKNKPFNIPEIRRI LLRAVSALIASPKMDRDILHYLVELPMAAFTPLAIAAGVDAWTWLLRQRPEAEVAIIG AITGGWLQTIRQRKGLFSTSMNYQDPFEQPVEYAPSDKKILDLELAKARKLLRPHLLL VQVLSSQFQAIKYRERGIMVCLIRLMMRSLKAHKQMSTHSLAREVRFSLLLFGFQMLA SSRMEALLELRFRDRLFHAAFSWFAFRPQWSFGSDRIQVGAEIKLLQDLLQIVQEDKI RGDHSTSSLSDRAPAFLIRGKLVKLLQLLIENEISRLSVWCNPLNENGRPNAPPAGAL ERSITGDEWTRLVGKGWKVNPAMAIHMGERFKNAPVQAEISRLVKSDPKSVIDVPEAL HFLLGDRLENNAKAALKWLPVWSAVPPVTAIVYFQPRYGNHPLILQYAMRVLEQHPVE LTFFFVPQVVQALRADALGYVERFIFETSKISQLFCHQIIWNMKANTYRDDDASQPDP MKPLLDRMIDMIVAGLSGKAKGFYDLEFTFFDAVTSISGKLKPYIKKSKPEKKAKIDE EMALINLSVGVYLPSNPDGVVIDLDRKSGRPLQSHAKAPFMATFKVQKERIDLPSDSN IEISDETRLVKTKFDVWQSAIFKVGDDCRQDVLALQIIAMFKNVFTSIGLTLYLFPYR VTATAPGCGVIDVVPNATSRDEMGRAKINDLFSYFVDKYGGVDTVSFQKARLNFIQSM AAYSVACYILQIKDRHNGNIMIDGEGHIVHIDFGFLFDIGPGGIKFEPSSFKLNHEMV ALMGGRDSQGYKMFTELTVKAFLAIRPHADQLVDAVHLMLGTALPSFKGEGTITRLKN RFQLQLSERQAADYMMGVVENARENMRSNVYDGFQKIQK I203_06113 MNTSQYTSHDRPSFAAPSQEAISNQGTSAANNSSPARPGENGSS TAAPGGSRSSQAAPGSSRGALTTCMDHGSDCVVRNGSLIVVGSCGCSVAALTIGGVVG GDTAYTSQEAPSYTQGGTTGDGAAGTDNTSQGDSSSCWDDCSCEAKWKVAGCLVIGGA AAGVTGCVFGGVCD I203_06114 MSHSDANIPLVEIISEPYTSTNPPQYARQDSQQLSATSTASGER SHTTLDNQSQNTTDQSNLTNAPRHIRQLERSLSEATTVVNVGAGQSHIDLPRFTARTT GQSCCQAHSDDISKCVCYTVTFACGAGLVFGGIAAASACCNGCPPF I203_06115 MVSLNLFRRRQVKSSVLDVPLEKYDQGTGLPAIINIGHVDYKPL VSLQETRDHLTFLSALSSLRDSLPSSDDTAFSSLCKESAKAYTNWAQHTLISRSFTAS DLPSLEILMAWHSHLLNPTIYDQEIAGAYRALEGVDFPLSEIASLISRRDDTAKAIRQ NTLPSFRLITSDEESSLKKTGWSYEEIGMAIGRQAKFVGHMKRIGWLDEKYWDKGLSK LQFSIVLYHAWLDLMQSTECKYFLVPRLDIDLAWHTHQLHHGRYKADTIMVLGKLLNH NDAAGDEKTGNGMEVTRKLWKKRFGWEYQ I203_06116 MASSGPDSSQTGDSTLSGRDTPSSEGTHSRRASIRSAIGAIQKF KQSWISIMVLKGGISLGQVIALITLLILASTLPSPLYPDQRQSTPSEACPRPQYFQAW MGVQIGRLVICWLNSVWICVRRRRAEDRAGDVEDSQRQNVEPTWRTQVTDRAIDRPSQ TGTAHYTLQRHSTPCSSTSTPFQTPLSHHPHRDESPETIYISTYTSTPSLFNPSPPEE NTRSKASGTTHPPDDSDTSPRMEVLLVDRMNTHNPDNENRIHHGDNNNIRAARSLVNS PETIYEGLDQARSLGRYMDSIAPKLSSFLGLLSFILFILSNILLFKPLPSDDMSCYNA SPMLWWGVMTVTGVGWVLLAQMIFVIVIVGIGGTVLMAILRNFGITLASSSTRSPSNR NPQPGPLTLIELNSLQYVCYLPTSDIDIQKESLPHPPIYLKADQITCAICQENFVPPQ QGREALAEWLRVLGCGHVYHAKCIDEWLLRGAASCPFCNRSVRDMISSSDGNHASGVE SRTRRTSVLGRWMRKKSR I203_06118 MVNHPSTKADAYFLSHGGPPTVEQTYSDPFKAWQTFGKIINSNP PKGIVAVSAHWENDKGLGGSEGVIVNSNPSNPLIYDFYNFPKRLYELKFRSTFTPGLE STVLDALRSSGISFSREDRGFDHGVWIPFKAAFGESTQIPIIQVSLPSSSDPRASVKL GRALSKVRDEGYTVVATGQSVHNLRDLFTGQRMPYTKPFLNLLNQSLSSPDPISSTID ILKTPLYKKSHPTDEHFYPLFVALGALDKEEYRNRKDLISGVWDAQGMPAEDEGLGWS MYRWTSA I203_06119 MSSRTTEQGKLKVSLRGPAILNNPRFNKGSAFSREERDRLGLRG RLPFAVDALDEQVERAYMQYKSRETNILRNSFLASMKSQNWTLYYALLSKYLIEMFPI VYTPTEADAISDYSHLFRRSEGLYLSPPEFDKMEEDFLDACEGRDLDLIVVSDGEAIL GIGDQGSGGIGISSAKAVIYTLAAGVDPAKALAVTLDVGTNNEDLLKDDLYIGYREKR LRGDKYDEFVDKFVGLVKKHQPKCLLHFEDFGVTNAQRLLARYRDQHSVFNDDIQGTG AVTLAALQAAIAVTKTKLIDQRVIIYGSGSAGLGIARQLRDAIVLESSDEKKADSKKA SSQFWLMDKHGLIKKSLEKNKIRDEVEDDFIRTEEEWGSGDEENGLLEVVKKVKPTVL IGTSTHTAAFTEEVVKEMSKHVDRPIIFPLSNPTKKCEAEQWTNGKALMATGSPFDPV DVPGKKKKYVVAECNNALIYPGLGLGAILSRSSQMTDSMIIAGSKRLAELAPAILKND PDESLLPDFGDAPKVNFEVALSVIKAAQADKVAREEDIPKDEDGMRKWAEEKSWKAEY REYEYDPEGLR I203_06120 MSFSAAELQKRHGLEGAPDPFPSLGGSTAPAPTAVKRFTNNNND NGPSTSVDTSSEDAFPSLGASAAPATNITKPAISAWASKPSAVKATGGKVKPNTSGGL GRAGTPTAASHPFSDTFSIPAADLTQGKTAQETIQKVREQTGVIVESSTQMRTGLKTF LIRGPDQKKLTIARRLIERGLSKPITISVEVPITTLGTIIGPKGSTLKSITESTQTRV DVPKRENLPAYDPKESNEKDQDADEDDEEGDEPLVSISVTGPSASCNDAKNKILSLIS YKTYQTSTSIKTIPSSYYPFIAGPKGVKAKQLEEELGQGEVKIHVPPPAVWKALEKQS QGEADDTDVIPKDRDLSIKVKGEKEKVKLIVQEILKRYEELNDSLRELKISIPKRQHR FLVGSSADEILDQTSCIVELPHVDDPSDQCVIRGPQPSLIPALTLVMDKANAISVEMV DVVTLHRPNTSDPLAHAKKVLRYLIRTSNLRSIADSHSGVKIFPPFASAVANTGSVVI EIVGENKSEVSKVKDEVASLVKSILPAGTTTVEIDHLIHSLLIGKRGSKINQFEQTHN VTTVFPPAQEESSEVTLIYTGPLDGLPTEKKARDAKLKEILAGASKAIEELAKDAADI KTETLNIEKKWHRFIIGSGGTVLNALIGEDQLVNVKVGSSATNGKPSQNKGVDEDSVV VRGPSTEVERVVGQIKQIVEDARNDDIVNGFTIEFNVLKTYVPHLVGTSGATINKLRE TLGVKVNFDDDESAGAAGKKGGKKPVVHCKIVGRKEAVEEAKKRLDLQIEKLEDETTE VLHIKRSIQPALIGQGGKYAIRLEEKYGVKLSFPRDSKENQKPDEVIIRGGKKGVTAA KAELLEAAEYESESRQEIQFKIPTKAIAQLVGKGGITINKIKDESNAQIDIEKTPDEK DATKTTVTVKGDKKAIGDAKKAILCLVEELGDEVEDELKVDSKYHRTLIGQGGQRLRE TIAAAGGPAEGFKQSGLVTFPKSGDANPDVVRFRGDSKIVKKIKAELEKQVLVLKENI IIGVVVPQSQHASKIGRGGSALQDLQRKTGATVHFPGSRQYASIGEIENASELGDAPE GDIVMVIGTKEVVGRAAELLQVSRSTPSNDYPSRTISIPTKYYHAIADQQNLIRQIRN VGAFITIPTAPPKPANHSKTSASNTNGNGLAAKTARIDLSGGDEDEPVDVEGDFELIE NYQNYPEGEQDWSVRAKEEQDLDRAVEVLEGALEKAKAATHVGFLTGLPRSAFPRIIG SKGSTISRIRVETGADVQVSKDDDLITITGGE I203_06121 MSSSNLAQARLHEERKNWRKDHPFGFYAKPVKAADGTMNIMLWE VGIPGKAGASTIWEGGVYKVMMTFPDEFPTKPPKCKFDPPLFHPNVYPSGTICLSILD EEKNWKPSITIKQIVLGVQDLLDNPNIGDPAQIDAYQMYKNDKNAYEKRVKQQAVERR QK I203_06122 MGVNAVIPAAVQSTLSQQSQRQKSSSYSDRPDPQSYANIVKNGN GLTTDQLRAESYGSTPSTLDDAEDIGVEGSSRRASPGKGKNPQKYDDHTDHLYDGEEE EEDDDDEGAEFGMEPEIPVTFAWPPTKREREAGQKYPHPILFKPFLIGIFASCTVQTI RVPVWVVVSWFKLSIGQTTFWSTSLHAIIHELLRLSTLPLITISPTSGFHSSYYLGLG WGLAEVTYGIIQGWEQIELYKEVMRPSGSLSLSSDPNDRDVEAQTTGGNGTARTNKTH EGMLSSVLERSDEEDSQVIYDDLNQQDQEEEEIEEEEEEEEELERKVEILERMRARRD LEEVIGLPFPNIPFPLHLLWRLDTLLLNLGLTLLLSSFYFNSTPIYRHSPSVVRHDAA VPDSQPHKWLWQVWALVSLLHVAVSLVWKVVGRVGIGAVTWGGLIVALGSVFAGLGCW GGLV I203_06123 MGDAQMKNNIVKKKKRVVQSDDEEDEQPVNGSSSSKEALQSSQP ERSSPKESSPPHKKPKTSPEKIASIFAPPPKKVEKPQSSSEPSKSTFSPTDKEKSKSN EKDSSKPIASIFAKASSSKSKLKEEERDSGDVDMERGSPTNDDEGEEELEEEDEEDEQ EEAAAVKLASIFTKNHKSVPVADKGWKDGEAVPYAALVSTFENIEATTKRLEILELLT QFFLVVAKRDTAKQAKDSNLLKVVYLCINRLCPDYMGIELGIGETLLIKAIAESTGRA TTKIKEDLRKEGDLGKVAMNSRNTQPTMFKPKALTVPYVFQNLTEIAKATGNASQAKK VGIIKKLLAACQGNEAKFIVRSLEGKLRIGLADKTLVVALAHAIVLKTMGDKKIPHDK LAAKLEEGAEIVKSVYSELPNYDLVIPALLEVGVEGLKERCKLTPGVPLKPMLAKPTK AIGEVLDRFEGKEFTCEYKYDGERAQVHLLEDGSIAVFSRNSEDMSAKYPDLVQQVPR AIKPSVKSFVIDAEAVAYDLETKKILPFQDLSRRKRKDVKAEDITVRVHLFAFDLLYL NGESLLTKELKERRALLQEHFQPVESEFAFAKSSDSQSTEEIAAFLEESVKDGCEGLM VKMLTTANSTYEPSRRSMNWLKLKKDYLSGVGDSLDLVVVGAYHGKGKRTAVYGAFLL ACYDQDSENFQTICKIGTGFSEEFLSQSYEILKPLEIETVRNDIETGNAKPDVWFEPK IVWEVLTADLSLSPVYAAAHGIVDSRGISLRFPRFIKIRDDKSADEATSSEQVSEFYQ RQVTAGGKKGGGGGEDDFW I203_06124 MERSSSQRDLRSERLSRTPYTRPEPSRLRKSASMTPFATLKSIV NYVSSPFTRTSSSTAVLPTHSTSNELAVRVTDVDIDQRSESGSEDEWNGEPPSKMEGQ DIFSLAAAAGRGGDDFDKRAASWRSKGEVPGGKRQLNRLALQNASSDLKQLEPPTPTA PGHFNFLKSSPSMPALSQSSSLQIPSASSKRPRSPLAAPESSTSALPQGTPLPSSFVK PAPTTPVNTQGLSASASSVALTAFLEAKKGQQMTPEDFRVIETLTENMKAESHLPGQT PPEKKYGGWAAGSYPSGSAIKSSQSFASFNTPAKRTENGNAQTPGTVFSVGSTTPRSI NQPSPYRQRYLGPGMSPRRLFPQPRKSSLKPLFNFGAEREDTSKGKKRKTNDEEEVMD VDQNTSSTSRGLSSSASMPSLSAFSTLSANDKEKQKLSVPSHTPARPSPLSRNISSPG SVASTTPVDEKARKKAEAEAAGKKRAAEIIMDIIDEEIGPVIPTRKAEPVIFNPYDRT SLNPSPAPAVPPTVPSTAFAGSTPRKSKGSFSPARRTPARGAAAKLELHKEAMKGSKP LTTIERIQGVKPWEKAGSPVRSSIGRVETPTPDEDIIEIDELVDESEASSSRAPTPAP APVSAPPKPETAAAAKIPAGETFKPFNPPSITFNNQPLPQSQPAVKDIDTTSFDSPMR KSIIQQSSTSAEGSIPKPTFSFNEPSPAPSPADEEMQEERREVTGKCSTGENNKLDLS KIYLSAKDSALKISKPALPFFTFTLPPRPMESTPKKQVLEEAKKRDQPTFEFSLPASS IAKVAAPAAETQWTCGTCMLKNPESAKDKCTICEEPRPKASSSSSSVFSTSTLVQQAK KNDDQWTCGTCMLKNPDSAKEKCTICDDPRPAPAQVSSSQSSSQSGFTGFGSGFGPKK TEGTWTCSTCMLQNPESAKEKCTICDTPR I203_06125 MPTYIYNAIHVKADNERPSKRVWRDFKIIVSRWRDLGVICQPLP PPGTDVKLVGLLKQFALGMVFIWAVILALLAKVCSHKWSALATLHRLKGTNRRVSIEG LHGKHAYKDEAWIFINGVGTDRASLELILKALNRLFGRKVEGLHNVTLGMPYDLATCF LERDMLLMTPILDDLRKAVIKHVGNPQKKRVVLIAHSQGAILLSLLVDILLHSNLDPK SLSKIALYTLGGAADHFGISNSDNNGGLCGFGNIEHICNERDFVAQLGVLASSGVYSH FPPSSLFTDAVGRYCGKIFVREAVTGHLPVFH I203_06126 MSTPPPPSYSSPILPPSASPSSNNSLHKQYPQPPNSTIINIDST PSGTSSAIPNHMKSLPLSRTNSNTSSLAEEGELFDDPLTSPGTPDDVGDRADENTGLL SNTKKAKWYKGPYFITAIKFSILFTIFTIVVVITFYFGMPKLDKEDRGLVKLPRSFKD LQDLNTLFQKYKKLYPLRILACAVVTYLFVQTFTLPGSMYISILFGAAYGIMYGLVLS CLCDAFGSLLCYTLSSLLAPPLLTMPFYRARVETWRTKIMGDPKKGKKVTWDSIFAFL LVLRIAPFPPHWVANFVAPHLGIGMFMFWSSCFIGIAPVSVIHVTIGSSLDSMTSAAD FHILSLRNILGLLAVVVAVLIPVGLKRVFKKDLGDLGEAEEIFNDTDRRNDRDIQVPP IEGSEIGGRRYHAIDSGVVLSWPSTGGNGENMGNKLIKKGKGRALEIIHDEDEEEEED GFYDEDGEDEWERYEGETATGASTSNSNITSGQASPNAESNTTPTPTPDVNEAGENGS DAQPQPQPQYQYEVYDPSGQIDSVRFNPTRTRSRSNSKLSQNGGIGVATRPKGYSRSN TNTSNRFRNYGAVEEESAPLVNGNEI I203_06127 MSISNPSHLLISRNSPRSPAHPQLAHASTSRHHHAHVPSSPLPS PRQNFLGRWHQSHSADEISSSSYNERTQRGSVREGFEEDMLNRQTTSDSQRQEEGEED VVIDVHPTFLPDASMPGKVKVIVGRNEFYCHKDVLWFASPFFQGLLQGNWAETNPLTN PTTPSSYAIDLSPTPSVEFPSSPPTDTDPPSPRQVPVPDDSAHHQEDVDQGTEQNDIH SSEQSESSIPIREDAHYSDTELAPSHQPSSARLSIRTTRTRSTIRHSFSSGVGMGARN PKLEAVVELHEESPGAFHDFLFWAYPHLECKVTWTNVENLLALSLKLIVPALQKLCEH FLMTHASGRPVMALCLAEEHCNAELYREASRFVLDQPSWDHNEMQYLSSQTQLKLSIR RNWFLERLLKLASIDVKKEYICRADCPDPLRCQTQLDEKWRQAYAAVTRYGPPQPSVA FRCLRQLETFPTNPSLVMSHPLCQSAAKTWVMSCTFPSAHLFDRMFQPKLVYSNPGTE KYWLWINMN I203_06128 MSLLSGSIQPPLLSLLSSTSAPSLSPLFRAVTDESKDSYIVSLS DSSPAQAPGSSRSVPHTQPKGCILHDVVHIQSSNPRQTYIQAGCSLSEYRKRLEKGKA RDDGGLPLGIELPCMGLQVKRLGRRHMSFEVGIVDNRGREGSVRLSSFKKNPTVHPHR SPALIHLPLQLPQQTPSTLTPWVHIPVSLAPLIQLFHSLPRPQRHASDDGDDDDEDSR KRRKVAELPSGTFASVSYVRVYANCRVRRIWFSVDGERTIQGMGKGVRDEWELYAADE MA I203_06129 MSRLPRLTRFVRYLHTEGRQIDHQGPTVTPPTHGHIPSSVSTIE PPIPTPEVVDTSPFVESARPTHTSKGKIIPRRPARSIPVALPNGDPEPSSYPPPKEYY DNIDSNKRGKHPLWQFFHLPTPAKARIPPLQNKSPSDMGSLEILIRDDANLHSGRSWT AAELRQKSFQDLHTLWYVLLKERNVLATQREERRRLGIGHRVDGELLTKRAFRCRKTM ARIKYVLNERRLGLIAAAGPRFNVDPIHVPWSASPTTDPAGATLAIRGESPIPRHILQ SKSRSKDQASPASEESFVEDEEVAKEEVESRDEGFGGAEEAKQFDEQIKVDESGKVEK KE I203_06130 MDTQPSTDDNPRTVLLKDAKGYPIYSFHIDTSLSKDTKPDSSSE WAQSAIGSISEAMSKVSDTGNRGFKLEEDRGYTVLSCNQCPNTHVFFDPEFRPDVGRL KLKLSQHTTKDGDSSETKVDIKKMMKDLEQLRTQNISVVELKDDDDGPSWEDSCLQCI QLLCYDAFVENDPKALTVCGIGSAVVIGSEALALGTGISSAAGA I203_06131 MSSSNPPTVDGQSIIIPDPEGHARITMYLDAHTSGTQSNQWGND TRASLEKVFLDHSSNHGPSGPKFTYKEENGISSLSCNGCGNTHVHFDPSTDPDLDKVN TMINGSDIVASQDGETQVDVKKLLQELQDLKNLDNPLISTRYSNDRQKVETVKFKSDQ DEYFRPNCIDHCLDCSQLWYDAAFNRKDPKAVAGCSLCSAVVVGGLTAFIVSGLSAAA ASQTGL I203_06132 MTVPVLFTSDSKRNDLDVLTEGFAASSKSPEPPLHPAASTTNRS DTNDLASIATSAKIARDIYSIYHTDPNCAGWTSQMKNFAESLEKYDIDDITRKRLYDD VHKILLCSDPGQPASHFTSSSANVVKSICEMEKSMEIQKIWNTHLENTFNQLKRSLRT SQDTKPPQHVSTSDNYNEDRMNDVLMHTGKDDVDTNNDLIQRISDLWDDHELSAPGSV FDIVLKRSLAVSGIAVAVATYLAMCGRESTV I203_06133 MERPEQPSTRSGECPSPPARSLSTAGQDQTSQASKDSSRDSYGT FSGVVKEQPTFGSPEDMKYQLRILPQRETSEGASTRLFAPCTSPSEVSQDGTSSSVDP GKDDLTGLTLRPTTRYTAASTSSIGDTKYIFNKEELSSYMNTLKRQLGKFTVDNEARK NSVEGLVRDCDVITKSIGESSTFKKENLAQLSESSQNLLKVIQDEKSGYFEFRDSITS LRRKVEELGLTPRQEVSLINLSKDRPEGEGPCTGCISDFLDGLENNELPNVGLCAAGC CWTICAVSFSVASALFVLTGKSESFWSLR I203_06134 MASLIRSTIFSTSSRLSRPTFIPTASFASSSRHGLDFTRPRQFP PSTPTTTTTTTLLEEDPTTEPITESHESPSTSNQNAEPIPTSQPSPTSSTKTIPTPTS TPTSTPIPSSPARARSSKGSGLRWLAETDKSNDNNKHLVPTHTLHVRSTRNNIVLSFT DGLGPVFTNVSGGSDRQFKNSQRSSYEAATQASIKMFEKILEYHQTTTPSNRRLQLRV SFNGLFGMGREAIASALSGPEGQEIRSLITRVEDRTKIKIGGTRARKPRRL I203_06135 MSAVVDSISEAVLPPPSTPPGGKKDQGKADVSSGPGGKPDTVSP TATLVDTSSSSILTRVDTPHPERSTQGDTRRVSISAASPIVANFTPERRPRQLYQYEE QEPHNLSDSEHSDDGNEPLLNPYRPVNPDNTFDSTATSGSDVNMTTISSSAPEVSFGP QEVPFPLRQRETHVDAHSTPSRPPTQTYQPRFRTTDAPPVVIRPITTEKIQPTSRSVT TATAVVSSGDDYDSKLLSTWRREQIKTTCTAKLMAGTTPRPIPTLHGPLSLPYARNPS GVDATVADESAYLSHVFGLRAAGGMTLNDAGVKTAARRVSSGTHSSGTTTSRSASGSS GLGNTTKSSQDRSVLTEGSSYTTAGGTHHARPIVIRDPYQNIGIKIKGVPPKEGPAVP LIRDSRTSTTEDKENLDPSSSKLRRRASETSLLEPRIGDAVIGPSRSQVNLRDLTNLS PIPGSPAESAARDPFRVLYNRALTSGVHDSNTEGLLPVHPEATHSGAIKLPTLVPIYF NPTSMTYEVAVPQRSSYEDLHINTPLGVVTPQTLKTSHASTVQPSKADSSDNWRKKGE NADTDQASRTGLVVPANTPTATQENNADDKGSTAEGDVMTIDTLFEKFHTTDEQPEGS TDPTASVACQASNKRESAKQPGLKSSKSNPASPKGTSVNVLGESTKKLNADAPPYSPS KTEKHTSSTKKRPSSPITTKGMPTPSKANSSSARGTPGAGKLLKKSGGGEEENTGSPS TSVTSKGKGKAKAGKK I203_06136 MSTNHHLPKKISLVPKKHHGFQFFLFLCGLLLPPIAVAIRFGIG KDFFINVFLCILGYFPCHFHNFYIQNIRNNQNRARTPKWAIKHGLVDNSDRERRARKN QWSKRFDERNAHSTLRDQELEEGEEGGNYDPSIRSDPEEVQRRRNEGLWTGDDEEYYN EDQAPNQRNWHYPANFEGTVGDGRSYKRGKSGTSGDRWERASARRSSNASNSGYPPVA ATDADVPEWGKDYGSKRRSSKNKKSNNKKVDWINDSPNDYNNNSWRNNGSQSSLSNGN AGGGRSNGRAQGSNGGGRAGGDPNWDHEF I203_06137 MSSSPPLTPPTAPLIPRSQAPRPNPPTLPGHSQSSPSVTTSISS HQRAITAPGLMNRAPSAPLFTSSDLTTSSSSYASGSGSSSKPLVTPTTSNSNTNFALG ALGPAFKEGVNQQNQNHTLSKQGLTASSSTSVSGLLSSASVPGLPNSNSNLHTPVTQP NALSASNAPSVNQTPQTGASKMAAAAKRGLDGIIPTPSAVGLAKMQTQEEEEGHPLSE KSLKERDYQTIHTLNRLFHLPSRWKLIRPLGQGAYGLVINVQDTYSGEPVAVKCITRV FDKIILARRALREITLLRHFGGHENLTGLIDLDNVWEGYNEIYLYMEPMEADLHQIVR SGQALSNSHIQYFLYQLLRGMKYIHTANVIHRDLKPGNLLVNSDCELKICDFGLARGF KPVTGEEDQNAECKLTEYVATRWYRAPEIMLSNKRYTTAIDVWSIGCILAELLGGKPL FKGKDYVDQLNLILGVLGTPDDETLARVSSEKALAYIRTLPHSSRVEFVDLFPEADPD ALDLLSKLLAFDPSQRIDVTQALSHPYLATYHDELDEPACPEIFSKWEQVEALTTIEE LREAITREIEEFREEVRTMDDEDYYEEDGESVEGEESWRVNGLAEGEQVVIHASPMPD ANLAHAEPGIPIPSDGEEVSAMPKGKTEISAMPKGKTEISPKANFSPLISSSPLAKRQ SIIAASPERRKSVGPNAAGNRSLPRTRDQSPATPATALSEDSFGNPFSASTGRSSRRQ SGHSMSFSMSGRRPNSFLFNPFGQGMTPMPTQGPAVGSVEMGAGSGVLGENGLPLQRT SSRSATGGGRRSRAPSQSGNISQLIKRLSVVDFEVPNIGDKHGEKKDSKEGNDQDEVP PMTVSPSDAPPSEVPKNFS I203_06138 MVKSRASRGILLTSNLPQLQNLIKRDPEGYKEEFLTQYNHYLSL LKLQQVSSVTSTSTSSTTDKSNELFGDLITFISQVAQCYPTETKELPSQLKGLLLGTE GGQTVKGDLRRTVVKNLVMLRNKEIIDSIELLQILLPLLPQVPSALRGVIRHTILTDI KTSNQKTKNHRLNRVVQGLLFGMVESGLGAEVVGDKGKGKGKERGGEAMWAVMMVKEL WKKGVWNDAKTVSIVALAAFHPNTKVQSAALHFFLGSDNEDAEDTDSDEEEIREARRG VKKMEHRMEVGKSGRKKDRMLAQMKRETNKKRAKHAAGLGNTPNFPALELLHDPQTFG EKLYDNLHKHDKIYSLDHKILIMQLLSRVMGTHKLCVLGFYSYIIKYLTYHQLQVTLI LVSLAQSVHELTPPDVLTPVIRKLAQEFVHPGVGAEVIAAGLNAIREVCRRQPWCMEE DLLSDLIEYRKSKDKGVVTASRGLLQLFREVNPGMLKRRERGKAASMGLIGNQVLAYG HSKDAAEGIEGLELLEEHFAQMRKGANGGVSDEEGSDVEMAVDEDDEQGWENWDVESE SGSESSGWEDVSSGDEDLEISDSDDEDDRKRDRKEKKLKKKGKNTKKDDSEDEDEDAK MDDEEDDEMDDAKSTVSAATTAISQDTKKLSLLAQQKILTPADFALLNELRLKAAKSL AESGGGSGAKRKLAALEASKRHVDADESERFLTEAEILGPRKKVKATWEERMELVQKG REGREKFGSLKGKKKKETPSSSTNREKARNQPIMMALHSNKVMQKKKASLRDKQIKLR AAIDKQKKLKH I203_06139 MSLPLNNNLRSGHQRTDSESTYELLPLTETSSTSPGEKQSRRTN MDSYQNQVKKSRHALPTRLEKVYMAVAAFEAIVIASIAFAVFGLVQANIKSQNAKVRP VPVYLAVFILAQIFSLLYIFDALRARHMVQLIMHLFFNLCMLIYSILQIPQTKNALSN DNAPGACDFFERCTGPDSLFNLLQKLMIVPPIIFGLCTIVFCVLIKYIHKVWGWSVFR LVGAQPELKRAHRHYQTMISLLKMLLFFGLAFCTAMLILASAWSAKRAEFIITIVAFP LVIIFMLGCGWALRKENRPMMAACLVLMVAGIAYFIYKLATLWLPRTEGLYSNTKITM AIFSIFSIIILLATFILSLLCINDFGKGLIDAHRNPENRTSLWSLPANARFERRMEYA EKYGKSEGGHTPMIGGEEGGRNERLVIE I203_06140 MISHPYPLGTLGTHHEPTSPRNAPSRSQSTRSIAGRLSYRSAAG RTEEEAEREGFRRLLSPSPGDQPSSSESTEVEIKPPKSSSILTGRDGHHGAKRLRKVI RVEGDWDLIRGVDTDGYDNSGNRT I203_06141 MATLSQAQAQSTPKVLVYTATAGYRHDSIPTAIEVLGDNAQKYG VEFTFSEDKSLFTDENLATYDGVMFVSNSDEVLDSSGQEALQKFFQSGGVYTGVHAAS ACLFNDTNYQQAVGALFDYHPPIQDATFTRLNDSHPATADVPDRWSFQEEVYYFRSDP RDNGAVVIMSVDETSYVDNGTSTGDYPSMGDPHPIAWYIDQPLSSQPLAEGVSKPGRS FYTSLGHLNSTWQNETFINHVMAGLKWALDGGSTKAYGVGLVGNGGGSNSTSAAGGSS ATSSGQSASSTTGGGSATSSAGGSASSAASSGATSSAGHAIQLDRRSMGLGIGMIGAV GVGVGLVL I203_06142 MPRIQLDYSLYLVTGREFLPPGKDYYESLEESLQGGVTLVQVRE KDADTGEFIEVARRTKQICDKYNVPVLINDRIDVHLAVGTAGIHIGQTDCPLPLARTL IGPDAIIGLSVRNIDECKRAIEQGADYIGIGSVWPTGSKDIKGRKCLGPDGTGEILDL LDRTGIKAVAIGGIHLPNLPQLLHGSISPQTSNALDGVAVISDIVSSLHPREAAVALR EIVDSFKRARKSLKDNKGLFGTSSSTLEELNKDGLVEKVQGLMRVLEQETPLINQLTN KVVMNDSANVTLAVGASPIMSTNPRDVYDLSPAIGACLINFGTVDDKEGMKVAGRQAN VNRKPLVFDPVAVGATSYRRETAEELLAHWQPTIIKGNAAEIGAMAESTEVASRGVDA AGSGFKDPAAIVRALAKKRAAIIVLTGPTDYISDGHTVLKVSNGSHYLEKITGSGCQA GTLIACFAAASRSFYLNENGPFEDDSQLVQGDMLVAALAGVLIYTIASEVAADRPDVK GPGTFRSALIDELYNLTPEVVQQRAKVEIL I203_06143 MDTPLNDEDNGDISLSSSHFHQSQPQPRRPSSSDQSSSSLQPQS RPQAHSRERDVSVPNQRLFGKSLFTRSPGVPDAGPSVPSPQQRIVNLNDEVDSLSLPI SGNVRSSSTSPSSSSSSARPSSSRRSSSGLGRSRSLNVKLPSLSTDVPRQSGPNSSLA PSSSSTHVHSTPSSKIGWSRRPGEPRPPPLVNDLTCRKMSRWVKEVVVCNFDLERGPV VERRAGDRRWGPGEKENVAFSSFPDTSLFSEGSILFSFKIRHIPPDPSSLTQPEPPSP MPDRVVKTVEEEMIDLKVGDPPGEADVLPGGPGNGNGVIGTPGTAKPGDKAEEYRKWD ERGREWLYGFVWFEQRRDKGITRGYMQKSLVILTHLPFPALFAAVLQKVAPVFFEYGY SALEAACHSIASWPDPTPDSILELPMLTDLINVKLPDTTESPQIGKAFGVSSPTPKQP ILAALPTSTPLRAFASFLPSLWSLWECLILAEPLLIIAPDPKTCSEIVWWLRDLLRPI PPAGDFRPYLHIHDHDFSLLVNSNKPQAGVIVGVTNPFFRNAASHWPNVISIPSQRTR RIVQNGTSPAMTAVASPGMRDTPEGFLSRRHRSVQKDRVLLKRLEGLVAEGNLDDPEG NEALRTHFQQLTERFLVPLNRYFQTLVPTLSSTPIPPSHSPISNHIPSPLTSTSSTSI SSNTSTGYTNQHTAGVIKPFSLPNFLTHLRNHGPNPLLFKTKGLSTKSRVENDFYASF CMSSSFAKWLENRVNSLGLALTNNNNNNNTLNVPGRPSTPQPQRPNLPRSISASVGLG ILGGLPSSDGRVSPNSVLHSESELSRVSSDRDSSRASVEMENGSGGKQQREREGSGMT IIPSSQNRNEQGGWFDTGRRASEGMVKFNGRGSVGR I203_06144 MHLPSLSLTSFLLLIVPFFSSVNAKAGDTLYTNSVTYCAEAKAV LVDQFDIAYHKSNGSITFSFSLASVESNLNVSANLYVNVYGIEPVNQTLDLCSYFQGV VCPLPQVNFTGYGTYPIPTKYSSQIPSIAWSIPNLEAYARIQLLREETGEVAACLQAT LSNGWSVRQSAISWATGMFTLVALLVGLFHTGAVNSPSPAQYRWFDILYLFQSAAASG LMHLNYPLAYSAFTQNFHWAIGLFKSSHIQNSINTMRSKTGGHLDSNAYSDVQYINRK FSPYNVYASMNEVMSSKSTFQTFLADNAIIPQDSNVNNLELHKRARIASALAQNATSE LSSGLPVYTNTLSIPTANAFTTVFFLFLAFIAIAIVFHVLLFGVLFLVERSSRGKREI GWAGRLRRMWWGFCAGNALRLCLIWFFPIFIFGFWQFHVGDSGLSIFFAVLSILLVLV PLATVFVLSILRNRRLSSTAPGISPLYTSYRWFHSVGVLYRAYRQKFHFFWFAPLILG MIAKSAFISFGPTSAWAQVIGNVAVEFIVFISLLACRPHKDRKGDWITSTLALFRLIA FGLLIAFIPSIGVKPIPRAVIGFVIIVAFGLPTILLLLGLIWNLGYGYFWRRHTHRIE DGLEVERFVASDDDSTENQPAMTQVEPTLPNVTSRDMNTDNSRSPPESMLNRRTSIME PVGDNYYEPTFGSKHLPSFSGTSDLSNSPPARISPDFNNNNNYIAETHGEEEQIGFGD RISAAQAYEQAAKGGYTEYNEKQSYEHQQPMMTRQSTASSRPLSGHSNYYTPSTGLHD STNDQYFNYNKQG I203_06145 MSAEESTTTAAPAVDASAPAETVEKPSSSSAPAPAPATAAATEE QPKSSSPNKKPPSSKPRGRPSTGGGASNKKSTAVANNNDEKRNFEVGEIVLARLRGYP PWPARIANPDTLPRNVLKQRPGKNPLIFCCQFFPAGDFSWLQSKEIKSLSQSDISAYL GESHRKSAGGLREAYITAQDPTEWDAQQADLQQAKEDAENENEVDEIEDEEEEEATTG GKRKRAAPEKKKDGKKAKTTKSKATVDDDSKAKSKSAAKPKSTATKGSKPPASKPENQ EPADDDPLASNPECVKVKDWRHKLQRAFLSKSLPSAEEMPSYDDLFKTIESYESMTID ALQYSKIGKVMKKIMTLNEIPRNEEFKITDRASKLMHQWTDFIASSENKPNGAANGEA PASTAANGEKKEEVTEKKDGEKMEVDA I203_06146 MRSSSSLSILTAALLASPALAFRDTSPLLLWNTDPSRAFEEASK LLSGSALAPADEVYGKLSSLGCYWQNVVVVHKDQLHSSQLANLDYPTDDAHLHIPYLT RPHKRGLDDGLQGWAESCGAEVVSSFDERVQGQKNVILIESAEGESFPSIPSSLPEPY ILLLTGSSSSSSSSSQEKRQERPFPTHITDSASATTTLSGPTSTSTSEPSSRPNRNST IPSKDAPLLERVQLLTTPIITSLLITFGIFLPILGFGISMLTSIQVPPRMLEIGKSLS VGKDRKDQ I203_06147 MAESSNIIFEDRFTVETVDKDGKKFDRVSRITAPSHNLNMFLTL DLANELYPLEVREMFTLAIARTLVPEELNTEEDEENEDGQGRSTKKRKRELWRSENMG LGEDYDYVMYGKIYKFDDSAQGDNQTTAYFSFGGLLMALRGSYRHLASVVVGENVYLL MRK I203_06148 MSHGDHSRDPCPYVILNDFGGAFAMGAIGGGIWHGIKGARNSPR GERFVGSMSAIKARAPVLGGNFGVWGGLFSTFDCAVKGYRQKEDPWNAIISGFLTGGS LALRAGPRSAFGSAVGCGILLGVFEGVGVLMNRMFAQPIPQMQLPEQAPASPSPAVA I203_06149 MSYFMTHLHSGWHVDQAILVEEDRVVCIRFGHDHDQECMALDET LYGVSEKVQNFAVIYLVDITQVPDFNKMYELYDNCSLMFFYRNKHIMIDLGTGDNNKI NWAITDKQELIDIIETVYRGASKGRGLVVSPRDYSTRQKGR I203_06150 MITNLRLSATLRHPLKTHPLRCIPASARVRTYHSTSPPEPPHHQ CPSCSRSIPLPLSPCPNCSALLPLPSTLSHHSMLYLSAPIGSSPNGPFDIPRELGHLP SHGFGLDKSDLRSRWVRRQRELHPDKFTSKGDKIVDLARELSGRVNEAYNVLGDELRR AEYILSIHDKATDETDKLDDPMMLAEILEAREELEEAENQEDIQRIRSDNHAKVEDII AQLKSAFSEDSPNLDEAKNLAVQLKYWRGLENAAREKSI I203_06151 MTDAPPRAYRAGLGLPQAVIIRSNPGPSRPLHIFTEGNSSAESE EGEEAIRNAYRGVIPKETTWKEWNVNPTSHGPAKLVLPPKFVISSTQYDELGRSSNDG VDVLVDKGKARDEGEKKESGRDVADWYMSLAKSRSGSGTPVNGISANTDTNTNMALEV KTIIQDPSFQSVSSTSASIPATASSSSSTLPSSNFQKPLRVHSRDWFIRRALLHSSSS TPEPPSRTTSIGSLLNIDSSAPVKRHYEPQYVLGPENKGYEILKDRLGWHGGGLGKPD GWEASQKQPLESGSSASGSSAAVYKKGKGKEVLEIDDNGQPIIDLTLSSDEDSDDSEE EEEKKYGPGRTAPIPTMLKLDRKGLGHTHNSRNKNIESLAKKVTHSHKEIEDARRRSQ YGRGKGHGKGLELGQKGKVKWKERDKKDRDERKAIKAALG I203_06152 MATKSQPSTELDALELSDRSKDTYLPTTDVNGQDTPQLPQTDYR YDEEAAANGRYRKYPNGVVGLAKRGMDVLVEHGVEERGIEPRPEDHRDTLTIWSYLPQ TTLWAAFNFNILSFSEGVIGPALFGLNWRASAACIILFTLASGIPVAYCATNGPKTGM RQMVQARYGLGYFPAMIFGLINCATMIGFMSLTAILAGQCLSLASNSTMSYNVGIVIA ALIALILSFVGLKALHIVSLTAFPIMIVVFIALTGISGSKLHLAVAQAAYDATGVSAS GVLGYGASLIGFTITYSALASDFTTSLPAHTPRLPLFLCVYLGLTIPIISITLLGAAC QLATYSIVSWAEASEVGVPNLMFEITGSGGGARFVMVLFCLSVVANTAPTIYSAGLSG QVAIPFLVKVPRYFLAVIVTAIYLPIAIVGASSFYVALENFSAVLSYWTALYIPPTLI EPMLFRNPVGRKTYPVEIWNKIGKLPIGLACICSSICGIPIIAASMSQSWWVGWIARR ITAGYGDVAFELGATVVTLVYLPVRYLERKYTGR I203_06153 MVCRYLVENQTPEWKRAYIDYRLCKKKIKVVAKRLGQVKERKEG EGEEDDQDGDSSGADDDHGPSAPLRERRTPETIKGSLKMRMTGNGVDTPRLNRWTSRA SKRSQKYGSTGTSPRPPQPSSERPIPPPLDLGSPSVDTNEPNVHKPSPSPRPQDDSSQ SSRSRADIISRSRKGVVFSPNLKADAIVETTIEEQSEHSRRSSASNDLRESDSGTPLT KPSASQKEEVSKGRRGSISTAKSPKLWSPRLSSSAATPRDSSSAADTPRGVRSPRLGP RSLRSMTLPSPALPLRPAGPPVRTADNFDDLYKQLEQDEKDFFDFLEHELDKVENFYM AREGEAMRRAHDLRDQLRELAEHRKIYHELYPHGTPEWETKVQRMLPIPQAATNVPAL NRFKQKLGLGSPDTDESQDATGNNLQVPGTQGPKRSNSPIPMMSENERSSLREAMRAD KDHQTYNPERYQKYKKELKLAVLEFYRQLELIKNYRIMNLTGFRKALKKFEKTTKITC LEMYTDERISKATFSQSEAIDGLIKQIEELYTVHFEHGDSKRARDKLRRQTTEKTHYN SVFRSGIMIGIGLPAAVFALIECDKSHVREEIPVWGALLQVYGGLYLPIMFGMLFQLN LGAYVAARINYEFVMELTRPTIDYRSFLEIPAFLFLTLSYCFYFTFAQIGSENVSPTT WPLAWLVFTVVFFLNPLPVLRRGTRYWLLKVLFRVITPGYSRVEFIAFFLADELNSLV YSVQNLYFLSCGYAKHWPTDVFSVCHSGKSWPYALLACLPAGSRFIQCLKRYHDSKLN IHLINAGKYSSVILQQCLFVYWRSRGSLISDKSLIVWLIFAIISAVYTSTWDLVIDWS LFRPQAGLLRKDLGYSQRYVYYLAMISNVFIRFVFIWYLPLSTRNVRLRSFFFALAEM LRRWQWNFFRVETEHLGNADAYRVTREIPLPYRRINNDSDEDGDQEMDGEVQKEKKKN TLSVHLNRLRLRHNIRQHRNTDDESSTPGQEQGEGRGPDALNAGPRGYRAERDYEARR PGDWSGVNSPRGGQAV I203_06154 MGVCYSTIAVVTIHVVVQSAIAVVIEVEYILGVQEVSLNISPEI SFISSRPRLDEDKDKEWFPSQDKKVLETSLLASSGQGNEKRTHLIVLPPPVLYRTPIG PAPGPIIVQPAPIQPPLIPYPSAVSQTFVQPIQVPTYPPQPQGYASPSQIPRYPSHSQ QGSYIGPQAERPAPRHSWRTDRRYSNEQYPHHHRDRRYSLEEKVIAGHSPRVDSRRAL TPEPQNHYRPRYHRSVSTSRRMPEHQYHNHQAVFEEEGTSSSSAEEQRRRYVRPSHRR AASTSAQRQQHYLSDPTQERKPDPPRRSFDHSSRDHLDIDHLHPHSHHLR I203_06155 MTHQEGTESVKQKHDQNPGSRHTRSSSIASNRPLITGHGRTPST DHLMVPERLYTLPQRKRKESLDTLRNHPISQHNPHIAQSHTHHQSSPTLSRDTKTPTP RQRELHPYGQQQHQKNEIKPSTTKGRDIRTPKPISKEPPPESREERHNRVRKLSSREV LYPTPEAAKEAFRAERAMNPPSKHRRPPTPYTSSRRASSVRRVESDRSIRSRVSFDST RSRRSNRSNRSNRSGRTYDSDSDSDGTMGSKWEPSFGSNVGIGPAGEAIEVIGLGRKK PRHRTFNAGMLKPALRSTSRLISTVDLNQNVQSSSTKREKPLPSRPMSYVAPLVNSLS KVTAPAGKKIQKRSSHQPTPTPFEHNHNGNPLLGASSSVTPTSRSVSRNNGNENGIRS LFSSLSLGPNYNTASSSRHDIVDSNSSLLNSGVGTGTRSTNIAPSRASRRHLITPADD LHSYLRHAEVQSWDRWPLTPASQKRGLGLWGGKRSLGFDEMSWEWHRRLELAEEARIQ GRMLGSWEMQSRWEKGILDWLDENVPNYPIDMANRWGTQIFALPAEGFDTLEFFDETI NQAEDYGLLSWVTGTLLQTAVSTLHMLRYSSSTFTFHLIPSPRPPHLPHSSSPPMTRG KNHFLWEGFGTMVLVNKNNDIDRAMILEIRPPSVVDSSVMKEFARGKNGEGWWGFYGD TCVGEVGQANLLQAQVYDDCIQNQCHFFAVTNLKYWVFGQFNSNWSRCTVSPVIHRQA RDPSLMQCLTAWVVRSVDERPRAGENHSIMSSQLPTPPQENEREHGRHRRSQSRPSDV PSYSENVRSRRSNSRSSFSLPPNPQGYDYSSPAPYPQPNMGPTPVYPISTPNGTQTVF PVNMNMNVPNDYSYGLPAYSDIPHSHPQSAMSPSPYSQPTPGPGGMMFPQWNGMHVNG TSRAFSPAPAPQHTGTPMPYNFGNSWYGGGGGMFPWAGMR I203_06156 MFGAFRQSSILSGGLLWKTPWRLSPTRKANQRKRLKQVDSVISA VAQSGVTTRSLEKALALPMESEMNPRDKYTTFSKHHRGYRKSQHKVPKWTRVS I203_06157 MSMPPPPPPNKGKSPQLSASDPPSANTNTKSPSLSPSKARSPRT KPLQMTGITPPAPPTNTGKSVDPEVLADAVSKLDMIRSAPPPMSQVNSPAVTPGASGP SSPRLFGIPGGANAPTGLERMPSTDGKSSVPGTPHFGAQTELLKTLDESTRILRQSSK APSRAPSVSGIGTVVEKPDYSEAKIVVAMVGLPARGKSYLSNKLMRYLRWLEYNVDVF NVGQLRRSKARSALQAGKGKVDHSATYFSHSNAEASRIREQLANETLESLISWLKKEG NVGIMDATNSTIERREWIRHRVAQEPNLQLMFLESFCDDPVVIAANVALKASSGDPDY AGMSREDAVRDFRKRIEQYESVYQTITEPDISYCRILNVGQRVTINRIQSYLQSRVAF YLMNLHLKPRSIYLSRHGESMYNVEGKIGGDSDLSPRGWEYARALPALVRDNIGDGPL EVWTSTLQRTQQTGSFLPFEKKTWKSLDELDAGVCDGMTYEEIEEKYPEDYESRDEDK FNYRYRGGESYRDVVVRLEPVIMELERQENILIIAHQAILRCLYAYFHGKTQEELPYI KIPLHTLIKISPRAYGCHEERYPLPIAAVDTHRPKPKGKKGNTPSATTPAAAENDKKE QPGQDGKDEQDFNADSNAARDYFGDKAGKAIGVKAESVSKILEQKVKEGSIKPTAMSG I203_06158 MSSYYGDRSRDVPSWESLEAPDEDDIVDTSEYAYASRDHILFCI DASKSMQTPFPDTINDDGELVRGKSALHQALEAVVKIQRSKVITGPADSVGVLLWNID PGNIPSSSQASYKPGTQVYQNLRTINAEEIKRIVKLMERAKDQYDAQGKDEDVKTEEP EVFSETFPPCDKSEEMNIADVLVTCNFLFRDAGTNLAGNKRVFLVTDNDYPPGSKMNR EPARTVYGDLSSYGISINTFFVDRPDHKFNPTLYWNDILQREPDENAPEEDAPPDADG LAELAEVMNDLVIRHAPKRVQFSIPLKFGGKDGEIEIGISGYAMVSTQGKGQPKLVRM RGQTVEEVQIKSEYTSAETGAVLKDTEIGQAFQFGNESTVKNVIEPNWWESAEHQVEQ QMVADEILRLDKERRQKEDEGEDAEVSETGGMQRKEKLQPGDEKPKVVARTRLQFTND EVAEFKSMGLKPQIKILGFQSPDHLAFEENLKHSYFIYPNENEYTGSTRTFAALLKSC IKFNKHALAISRFRTNTQPEFCVLIPQEETFTKDGGQDQPPGFHVIILPFRDDIRRPP KNMIENLLASDRQAKLMSNIVKRLRIKAGHYRSEAYPNPALAYHYAQLQSLAFEEDFD PSSAAGQDLDKTFPKWWGMHKAAGEFMSEFNKSIEEDDRAVESLKGGTKRTTKAAAEE VIDEADLKDLRGLWKLDKLDKAKVQEIRDYAKFHKISLNGKTKKGDMVGILSWYFQNE QTEDTSGKKKSKK I203_06159 MTGQAFEPKNMLYRNLGNTGLRVPVFSYGGWLTVGYQQKGDIVK ELMQTAFDAGINMFDNAEAYAGGESETQMGRVIKELGWNRSDIIVTTKIFFGVGRKEK HNTRGLSRKHIIEGLNDSLKRLQLDYVDIVFAHRPDVTTPMEETVRAFNYLIDTGKTF YWGTSEWSAMQIQQAHEIARRLNMVGPAAEQPHYSMFHRERFEQEYEPLWRYENYGST IWSPLDSGLLTGKYNNGIPEGSRYHSNLNGAMDENVKHLTSPEGQAKIEKVKKLTAVA ERLGGSMTSLALAWTLKHKGVSTCILGATKPEQIKENVKALDIYPKLTPEVLDEIEKI LDNKPALPTAYGRRSDDGDLI I203_06160 MASHVYSLEYEGTRENENVKVPSIEEKQVNNMFANAASATAKEH KMTLLEGIKLYPKAIGWSILISSCCAMEGYDVSLVGNFYAFEPFNRKYGVLGSDGTYQ VPARWQSGLSNGAQCGQILGLILNGLCTERYGYRPVLMVCLIWLMGVITIFFCAPNIQ TLLAAEILAGIPWGVFQSIAINYASEVCPIPLRGYLTCYANFCWGWGQLIGIGVIRSM FDRDDQWAYRIPYGVQWVWPPIILVGVIFAPESPWWLVRKNRIEDAKRSLIRLTSAKR NPDFDVDETIDMIRHTTELEKEITSGASYLDCFKGIDLRRTEIVCAIWAIQNLSGNTF SNYSTYFFKQAGLDGTIAYDFAMGQYGINMAGTFGAWALMALGLGRRKLLLFGLVGLS ISLFVMGFIGLVPDEHRHAASLATGTMMLVWAVNYQLSVGTIAYSLVGEISSRRLAIK TVALGRAAYNVVAIINNVLTPYMINPTAWNWGNYAGFFWGGSCLLCLVYTYFRVPEPA GRTFAELDILFERRISARKFATTDVNAFDPTLRHHFGGGKDGSAHVEEKETQSA I203_06161 MALPRRTTTSSGQGSSYADVSELDKYKLVSNIGKGSFGVISKVQ RVTDGKEFALKQLDYSKMTEKDRKQILAEVAILDSLKHRNIVQLIQKIKDPKNERIYI VMEYCTSGDLGTLIRKAQRSNQPIHEDKIWNIFLQITLALHHCHWPTERPSKLGVARL SQGTQQTADGGIARYQVLHRDLKPENVFLSDEFVKLGDFGLSKDMGTASFTSTYVGTP LYMPPEILAENRYDTKSDIWSLGCLVYEMCALHSPFSQAQTQAELISLVKSGKLPSLP AQYSPALKNVIRAMLTLNPIKRPSTKDLLEMDEMKLHRKLFTVQNQTSLLLSKRDELK SYEEQLRAKSSALEEREKALVAREAAIEAREAICESRDEEAKGTQRRLNQAAESLRAQ WERLREEKEKSSLVGGSQEVLVEEARPVKSSAPPLSRPVLEERSNTLPLPATSRFSRL AHPVYGDTPSKIPLAAMSSPTPLERFAHLGIQPKAATPLRRNATKSLGNLAAAAKMDA ERDAVTANAVAEATPAKQINRGIPFHSRQRSSIGSPDDLKNYCEDISMAIATPMSVAS PWLMRPRRSSLVPINSNNNDLGSTSSGSGSESSSGSASSSNPESVFPPTMIPAPVAKN NFIYREAATPAKWSMEDPDLPSPFLKRPSSAPMPSHTTSSSERQVLGSINPPQSQTTT TSIYPNLSRQQTDPVIKKPTGAGMGTGNIPRSKSGNLNLHQHVLRNNAIVAGRTSGDG LPGQVQGTIRRLGGGGGR I203_06162 MSASASSEASSSRSQSPFGSVSSSRSPSPEFDPSAPEPSESNKQ FSDLGISPELCAACSSMGFKKPTDIQIESIPPALEGKDIIGLAQTGSGKTAAFSLPIL QSLWENPQPFFALVLAPTRELAYQISQQVTSLGSGIGVRTATIVGGMDMMSQSIALSK RPHVIVATPGRLMDHLENTKGFSLKSLKYLVMDEADRLLDMDFGPIIDKILKVIPKER NTYLFSATMTTKVAKLQRASLNKPVRVEVSSKYSTVSTLLQHYLLLPLKAKDTHLIYL TNELSSSSMIIFTRTVNDSQRLSIILRRLGFPAIPLHGQMSQSMRLASLNKFKSGGRS ILVATDVASRGLDIPLVDLVINYDMPTNSKDYVHRVGRTARAGRSGKSITLVTQYDVE ILQRIESHIGKKMISFDVDKEAVSLLTDTVAKANREAALEMRELGNGGKGGKRGRDMG SKRKFDDGDDRDRDDDTHEAGMGMMKKRNGGGNGKSNKFSNAGKKKVRR I203_06163 MVSTSTPYQNFAKVISSYHDQYAEAGPSNSSSLDSPIRYEAVLD EEGGLIRAFMSAVEEVIKSKTPTTNSFEIDGEEQISLEEHQALLSEHRTWQLLRAIYE NQLHRSDPNFIPGSSKQQILENPYTSPEDIMQILINEDPELSLWATLVDHLQTRPFAS SAPPLEARHGYLPSTLRRAKTARSAGGISLDPDFTLRDPHGQGLAGEDQTYQTPLLET LWDLVRHGELDQAIKVCEQGGEPWRGATLMGGRRWTMGLMSELAQTPPLEGNRRRLLW KKSCRAIAKNPTLSPAERQLYAALISDLPTLLTSCEDWEDHLWAHIQHRIEYRLEQRW HELGGYWEEESELLGRDDEDVEIAQGGLEEVFKKMQGVQKGGVANAMSDPYHVAQRMI ILGRTDLLFNQFADQISKIEASVSPELVGPLVRFFAHLVLTLRTLGQSVPDSAANAII QAYLTILEREGNDSLVAMYAACLREGSGEESYARFLRAMDHNATREQRMEALSRAKQH NLDVAIIAKETVKMILSEAFEDIPSLSSSEPDITAVSVGLSERDVQLIRSIEWLTMMP ETLGEALIKSNDVARYFLALGKANAAQSLIRTLPSSLLEILSNSSMAEDEENHWIEHQ EYLKLFSIFRSYEVLADLDSDPNRRPKETSTKLEKHNYNKSLLGAIDRVWERTIGLLQ PPEEDDGWLRFRVSRKFENGSKRKIELSIIRRLFIPSLILQLHQLLIQKSTTFPELLD KALELIKIVAKEDNHLYEEFLPSSSTGRQGEGKLGLYLERVREVGMVALKVGKGDLFG FRK I203_06164 MSSDGDNDDFLYEDEYDDEFDQDDIDSTSEPDVYDAMSPAIEEA PQKKPYDVSWKVKTLQEIIDMQNKEITKVQSLLEVPASSAAILLRHYVWNSEKLQEQF WNDPAQALEAAGLSPPSSPTTHTSSLPSPSSPIKRSTRSLKPGPSSFLKRTKSAQPTG VFECPICCMEYDYSSTYSMGCDHRFCKDCWKEYLSGKIKGEGESAKIQCMESGCKRIV REEIIDDLVTHDISKRYHNLLNAAFVADSPTLRWCPHPNCEYIIECSQAPTRMLNQLV PTVQCKCGHDLCFGCGYSASHRPVLCRIVKLWEKKCADDSETANWLQANTKECSKCQS TIEKNGGCNHMTCKKCKWEFCWVCMGPWSEHGTSWYQCNRFDEKSGIDARDTQAKSRA SLERYLHYFNRWANHEHSAKLDAEFYQKTEKKMEQMQNSGNLSWIEVQFAKQAVDAVI KARITLKWSYCMAFYLKRNNMTELFEDNQRDLERAVENLGYLLEQNISDTDSIAKLRQ DITNQAAYVQKRHDILMDDTLRGYLEVSYSAGDW I203_06165 MSKSQDLTPQLHHARQLLSELIRHIDGFDLTQPMYRAPILDRIR YEPWGQDEIMGWKKWFDGVENHMRLLEAMIESGVPPKDDPIPTVSNLITYWKIIITSE SPIVGVKMSMGGDKRLNNTWPINKPSQGGKIKDKGKEKAKEKEKEVWVDVIAQGGREW KRIYSKKISHLLAEFREADSYINSDYDSDSSSPSASSSKSKPTPGMDNSLITTAQDLL RAASLVERIPGAPVPKITIHLTRIPSSPKDSYRESDTSLQRDSEEEVEWPDDRIPLTF QKIREMGVNLIFGDLSQTPLSSLNGPTGPAEPIPSLRFNLDITTLMGLCSDVLHHTLP TSKDEAASRSLRPTSHLLHNADGEVVLVSRGRDGTGKTKNKLKRQIDIDNDDEGEYER LKGQSQNSIELYKCILEEMERPFIEEFNNVIQEAWKDQRSISSRRLDDLKIKDDTQPQ VEFWTTVEAAQYTYEALSSGPAHGHGMEQLRMRRMLGLEQGDFFEGSRYKGNEGYLKD LKINIFDLDEKVFRPRSIEEVLKNARNRGEGLSTTNGNATDQIRVDQVGNGKVDVNGK TGFHQTLYSITSLFLNQYYLSLVPNIDTNPNARKDSDGDGKIKSQNLTINLPNFLQPR KLPTPPIAKISLPFPVVSLHSLNRGAKEGMTTIMMGTATLKEVWTQVTWRLRGWERGW YNWDDEERKTERGNAAVMIFPYRVFGEGKRVRFEKGDYSYPTKDWWDEDDTA I203_06166 MSKQHLTKLESQISSLKHELELATFLNKGILQTNTEYKLRIAEL ESENEILSKAESKLSKTEDELEVTQKQYRTLQGDHVDLLNAHNSLLRGHNELKDEVRL WQDRYQDLRKRIKSLLDNGQVDVDVDVEGDGQSKRNSITPITKSRATSASASVSVLAK RRTNAIPRNQEDQTKIASSSKVPLSVSPVLPPLRSQSKKRRRVEDSVSDQESGDEQID QGDHEDHEDRYHSHNLHTPSPKNAYSSRTKYHDTIRKPTEHPNATPKTNGKPRSSTTS MTNRRKTNNQNKSNAKVKDEPISPGSGRRDNEDTQYSDDGDDPLAMM I203_06167 MSESPERRHYRRDSRSPRRREYVSKGAGRSSSRRRSPGPSKPYD RRPRDNGYGDRDRVRDNESKKGYSEKDREKERVVDRKRYDGDVKKEDPDAPTVEPEKP NFGNSGLLAKETNTIKGVEVKYNEPPEARKPTKNWRLYVFKGSEQVDLIHIYRQSCYL IGRDTVVTDIPIAHPSCSKQHSAIQYRQISEKNEYGDVTTTVKPFIIDLESTNGTYVN DVEIPKSRYYELRGSDVIKFGTSSREYVLLAEDASA I203_06168 MEILLDDAAPEITFFSNANGWIDDHRAGTQYSDVYLSSYSQKTF HATYTDGDYMEYRFNGTGIAIMGSKRQNHGVYGVKIDDESETFYSSFSTNPVFQTELY SRNNLTIDKEHIIRVTNYPTRTDPQPSSTADSWLDIDHLVISHDISGQVYTTTLDDST PVITYDASWSKDTVDAMNYNSTIHLTGQAGASMILPFNGFSIQVFSGINVDHDGYSVS IDGGADHTFNGSHFERLTQVPHYTASGLSEGPHTLKITNTGKTSSPIVGFDYAVVNST VNPNGWTGGSVTSQQIKPTFTSADGGSEPSGSKRTNVGAIAGGVVGGVVGLTIIAVLA WCVLSRKPRRVSSKSNNRHKSYIDFPSGSTNSRSMSVVESKIIGSRMVSSTSSKEDSS PTPSSMFGSLRGFFKKKSYEEEGISTTRSSSPQGSIAYFYTPNPNRVVKPPSSASLTS PPSTGQGDSFDNQIYTNPPSSRNRPPITQHVSHGSRSGHSITSRSSAYSQGGETYLTD RLDNIASRGPIPLPSPPSQESSDYEHMPLPPLPAATTGIHNASRSSTRPALYHADASS SLLPSLLVRQSLAPSLPTIEQHNTSSTHVSPSSTPPTSQGWSPETPGDYKRSILGLTL DRRSPVERSQSVEGNDSYDPNDARRINMTPSVLDLEPPILSPPPEYGHIMAAQQQHER R I203_06169 MEIVIDDASPQISYYSSSKEDGWIVNHSEGQKYSDPNTDKYSQS TFHATFTDGARMEFRFNGSKIQVYGARRDNHATYGVELDGSNPIYTSGHTDNAQYQTV IFSQDDLPTDIEHVITMTNHPNGIDSKSNQTLKWWLDIDHILITQPIEDEIYTTRIDD TSPSVTYDQDGWPTDGSGDSQFYNSTQHTSVIPSSTMTMNFNGSSVQLFGSLNDNHDG VIEGMYSATYWEYLSGVSLFLASGLEDGPHTVQLTNLGKSNITTIDFDYAVVNSTIKP ANSKFDAAAVSISDGSDGSDDSQTEAGVDGGTGQSSKSNGGAVAGAVIAVILVIIALG LGGWYLYRRKQGKSVYPGSSGHSPIRDMSTRVINRLPLTVQRGSTDSKQEWARLTDSL PTADTTASFDASIPSSTKTSSSRRDTVIPSLASFRASFPSLFQMSFRSSNLPMVQPNT GDDKRGSKSNMSKFPSVVPRQSYQTNPTKAGENTPRSSYQTHPSKANALTPRPSYQSN GLKPSDVSQFTRSSRNPFGDNHGTPPHQARSVEEMNGSQQNLSSAIPSSAAITSPMFS EFFSGNHANNHLESDCPVSHPQPSYTPNPRTRISVAVPMRHLSVPYTATMVDLDSSAY TTTSIFSSRRGTGISEEEIDLGLFSIPEFAPPAYAQATRISLGPGRTEELMRAASNAS GASNH I203_06170 MIDQNAKHGAPTVHPLELDTKRDVASGAYLAKSMDGFLNLYPVY RLHPDKTSAHVTGCYPCPDGDGAYKTLDKTDSRKHNLVPVRSRLSGLGPRVKVKDIYD VQGLVTGNGSRICTEHGEPAKANATSIQALLNSGVQIVGKTQCNEFAGRGPNIEENSQ FCYPWSLRADGYQSVGGSSSGAASALIAADYLDAALTSDTCGSTLYPAGCSGLYGMRP THGAVSMQGVLSFCPESDTVGIMSRDPAILTNLARRWFSKSQKTKVHTRLPKEIFVPS DDLEDMNSEIKSRYQRLIEQVSNALDMTVRYISMIEEGPNEKKGIITTAGFSLLTLAE QWRDVGKKFVERYEKDNEGRWPPLGRYISHGWKQAKDNNWSVYDLNDCTDQLATTGEM INDLFEPDEESISRSIFLNLWDPSWFPYYREESLSAGHLSAPSTQPINPVYLPAWSGC PTIVAPFDQVPFNSVISEKEEMHPLAVSIMGPQGSDLMLAEIIDKLTSADVLKTVKTG REAY I203_06172 MRILTWNVNVLRTCLDYHPFSSMKKKNVEGLLDELGAQIACFQE HKTPRAKLEKSMAVPGSYDGFWTFPRSKTGYSGVCTYVDARYCVPLKAEEGITGLLLD DSKGSTMKPPWTPEERIGSYPDIDGMEWMDEVDGKEFEPKRLDMEGRAVVCDFGLFVL FNLYCPNETNETRRPYKMNFLKCLQERVRLLQQAGREVIIVGDINIMRAPIDSGEGGI RTSAEQHYEHPARRILDDWCAPKGPMVDVVRESWPNRDDMFTCWNQKLDARSANYGSR IDLILCTPGLRPWIKGGDILNRVYGSDHCPVYIDLHESIDHPEKGELHLKDMLNPPDR PPSTAPVYPNDIPREAPEPPRFATKFFDEFSGRQTTLKSFFGGGGNKKKDVAIHPTPS PTPATPSRSSATPVPSENTYANTPLTLQNTAKTSPSTENGASLATPFSLARAAFDSID TSTQITASSNSITLPSPPSVIHQVSPQRRRSPTYDAIDMTHDDDDIHKATSNAIAGPS KPKPTIKPKSNKLSQAKSANTTGSQTKLSSFFSQPPISTSKRKSSPSPSSNDTVQPKT KSARKSISSISPSRSPSIPKQNNHSEEVASGWTEQEDELINQAILEAERDRKAKNDSA KPVWGELFAKKLPPMCTVHNKPCKDFLVMKPGPNKGKRFWLCSLPVGAGYDTGRSKRP REDVNRNFRCDFFLWDSANSRKEKVKDLNNNEGEKESQNIQ I203_06173 MLLKAALLALPLLASAIPTQPEQLTFNDHEIGSADVPGFSLDLN DLRLVQFSGDEPPVWISELEKIEAKSKGRKFMDITDTPTLGFSSYLLPSTANVKYTYP TPGNYSEPIKKIINTIDLKRMKSFLKEFTSFRTRYYRSETGKQSQQFLLAKIKEIASI NPGITVKEFTHPWGQNSIIARFNPADDLKGERPVVVVGAHQDSTNQWPFLPAPGADDD GSGTTSSLGAFCALARANFTPEHPVEFHYYSAEEGGLLGSQAVAKSYEDKGTKVLAMI QMDMTAWVKQGTKESVGIIQDYVDPELTKFVGKLVEEYLAIPAVETKCGYACSDHASF AKAGYQSAFAIESTFENSNHNIHTTADTTNHPEFSFTHMREFSKLAISFAVELAGIQD A I203_06174 MSISTTSLSVKLNSTSSLLLERARIISLNLKPNPSSLSQIIRNL TSIKLDLDQLQLEHGLGQDQKGKLIDDGSGSEVQTREIEELCERYDKLLEMLSEDELG KAKVNELRREERRSPSPPIPTPSPKPIPSPIQTPTSAEVPSLHIEPPTPGIQKNDLKP FKDYPDDYDLDEEERAAGMSHNEMLDHQQMMMNDQDERLNLLSNSIGRQNDLSVQIGS ELDIHHQLLEDTDHAMDRTAHNLNRAKRRLDKVAGEARQYGEYT I203_06175 MNITIDDRSPQIIYKTTTNSWYIDHTSNNLTSQYFDSTFYASDG EVTTLEFNGTAIYVYGAKRDYHGTYGVQLDDDPLEELDGYSDEVLIQELLFKKEGLDY DKVHTITLTNLPSKTTHPRVSKGFSKWYLDIDYFVITVPNKAEEIVTTTIDDSSPYAQ YVGTGWNNSTFGRGYYNNTAEVNYGVGDYMSLKFTGTNIQVYGTINTDHGAYSISMDG NPAKMYDGYFFQARFSTVLYTATNLPEGEHTLVMQNAGGAKEGNGMEFDYAVVNSNKS SIGSGIGTSTGGENKSSSNDNNPTNSDSDASSSSSSISNVGAIAGGAVAGVIGVLAIA ALFWFFLFKKKKDQSRVNERELIDLTGDEVKPYPGQNQDSPYYDNPPLHLGMPYTSSS VSGNSVTNEGPIINTSANSTSFLTAIPAPPGSNASSYPITQNSHSQYASSSGDGNGDG SSTFAAPSANTFGHPPTASEGGHANAQSLSPISEHGVEHHQATMRPVLEGGSGMAIPR IYLLFRVTIMGRTNSTSVKVQ I203_06176 MSDPRSTASPDQETSTIKRVGGKANVSSACGPCKRAHLACDVAR PCKRCVNMGKEDQCEDVPHKKRGRPKVPKPALGEPYHRARPPQPDNGGAGKWRGPSAY DAPYMSTVDAPPPPLVSMGGRNSPPIPRPLGVEGDPAFSAPPQPNSPFTIFSTTEFKI LRASPMSYHLIGYHPNEFVNLNMLDWIHPNDRHFVENERIRLISVPYVESQLKSTEVT QAAITQRTEIELLSPAEGMREPYPNKNVRVLHSDGRFTPFNVRLHLGGGLGASLWQPN TLGRIYLVVSFLPIPQPRDLPREGPSSSTSTIQPVRRSSGMAPPTPVTPVPGQPMTAV QGLPGFSAIAAAADAPQTRYDQPPLPQAYYPPPLPQQQQGPSRQPTTAQPAYPYPRSG PMIIPPPGAGIPPPYGRRSASPSSTYRTPQTSTYPLGTPEYPQPIPHQTGYYPPQPEQ SFRRPSDDEQWRNANMGSSNGGGGIPAMTGRPGQGQGQGVPPQIHGQGQPVQPGGGIP NDGSRRAWEL I203_06177 MNVLIDDASPQIRYYKGDSLSVGWITEHTPKSKYPDTLISRYSE STFHGTFGERAKSTGVIQGDYMEYRFNGTGVTVYGAKRDNHGVYGVKIDDNPEERYDG KGKDNFQAVLYQKMGMDEGAEHVIRMTNYPSATPNVTRDIWFDIDHILITHTIPSTTY TTYIDDASPSISYDTSWVSYGYGTGGYYNLTEHMSSTTGSIMELKFNGSSIQLFSSIN TDHGDYTISLDGGEQEVYSGNNWQLLYQVPIYTISGLTEGEHTITITNQGVSPQNVVG FDFAMVNSSVKPPETESIGMSSTGYTSPPTSSIAAGAGNTSSGQSSSSLNIGALAGGI SAGVVLLALIIVLVIWYFKRRKKAEDTTPYYSEGYRPGEPSTGRLDLVGHEVQSPSTQ APTTASRSYSHSNPNWTSYHSALATGAGAGAASLRDRKGHNIQSSTPISSGSPISSSN MREINHHDHPFLQNMHSRLSPGAHTQLQDSSPHSQSGSSSLPDSHSHSTSYRSPSPGN GSTSPFQYFSNHSRNNTLSSSSPLTNSPSISHQYHSPLPSDQLQYHSPVSIGGSTELY HSHSPNSSGSRSRTLPRTFGGSPISDDGNKGIHRNPSSSNGHSHSHSHSQTRIRDAND NPLPYTAAHAGPTPPYHSPRSKTTTPTDAQPDVDDHVNDVRRKERVGGGFAWG I203_06178 MNITIDDASPQWQYISKNGTWIQDHTADDQTSKYFKGTFMGTHT EGDSASLRFNGTAIVVYGAKRFNHGYYSTQLDGGTVQYQNGYSAHQDIQQILFQAGGL DANREHVFVIANTPSKNTQSLKAGDGWWLDIDYAVVTTAVQDKVYTTTFDDDSSAIQY NGGGWARGDPNKDYYNTTGHVSAKPTDLLRLQFNGSSIQVFGGLFTDHGNYSIVLDDG PEKKYNGTYFRLQPQVPLYTASNLQDGPHTLTMINVGGGLAGNFLDFDYAVVNSTIDP SDTSSNQTSTNTTTSDPGSAGSSASNSGSSNVAAIAGGVAGGVVGLALVVVLAWFLFI RKNKNREGYPYAMKKKNQTPMDLTGDEVKPFQHNNQYHYPLASNSSSNGNEDNFQRNQ QDGPSPNGSLIQMQDSSYPREADQSNIPFLTTVPAPPPSSNTSYPRSVNPPSSVGRSN SLNEIQNPFSTAASSATFGHGQGYTDSQPDLMPTTPASAPRNTQKTQGVQLPFTALPP IPSSDLSSMDELPSPPPPLREREHSISSVNQRMYVPGREQDIGPIPINNDDESDEIHG HGVLPPDYSQATEPLPGQRPRPPP I203_06179 MAPPQTVPCQYKTGKTLGSGTYAVVKECVHITTGEYYACKVLNK KFLLGREHMVRNEISVLKRVSAGHKNIVQLHDFFETTHNLYLVFDLCTGGELFDRICA RGSYFEKDAANIVRTVTSAVKYLHDQGIVHRDLKPENILFKSKAEDADLMLADFGLSK VLDEDNFSILTTTCGTPGYMAPEIFKKAGHGRPVDIWAIGVISYFLLCGYTPFDRESQ YEEMQAICNGDYKFEPAEYWSGVSDTARDFVRKCLTIDPTNRPTASQLLEHKWLKVDE DTSLKDPTSPAGKAVDLLPNVKAGFDAKKTFRKAVLGMMAVHRFKDQPHQGGEQTAKE KEQLVKEVEEYKKEAESVSEVELKSKQPSIAITQE I203_06180 MEAKLQKLKVTELKELLAKHHLVQTGKKDDLVKRLLDNNVSLDD EPTEELFDPDITAPVPSIAQTSTTAPATSTTEQPTSKAAPTEPADEIPSEDTLTPEQK AMKARAERFGIPFNPKAASTKQTQKPPTSKNGDGNTKKEPANANAGPQKKESQQKAGS IDTTSLGISQDVLAKRAAKFGLPEKKDKESAPPAPAAKSTEKKVESKKEEITPEMAEK IAIEEEKKRKRAEKFGLNKPANGDGNGGGTEPDTKKVKV I203_06181 MDADIQASLEAVIDSNTASASPPFTKPTPKTKPSKSIPKAPKAP RAGIVRNSAADYRRREANRLAAERSRSRTAEKYSTLNQTFALLQDENEKLRIQISQLE NNNVNGDTNINTNSNDILVPDSQPQKEQEEQEGDSSRQQEQEAHSNTILAALTGITGV EFTDPHTQGEEEDNDHSWIHNIESTIDAETSGRLAELAVVATTGTGTGQDQEGHQADI ESGNVNPIGSDQIGKNQSYLSNENDQSLVSSPVNNPVIALAAAINTEIESIIMEDLAL TKAAIASIEKQIEALKQGQHCSSDNTEEIDKFLPASALIEDVDKLSVHSTEYAQESDT IQSKLPQLHDELVKLRDEKVNEEKKVVDLVNEVRRLDISDESEKNTLVDGMKALGGLV ESLLSDAREGDLSYAIGSYSTPAIARRRRGRPPKGDISRTFYQSFLLKHPLSPKSDKT TGNDDSKGNRIRGTRKPRRSRLKESTKDDEENHSENQEVEERLRAIQATLQAQDRDQT PIQSHSVAQDGEERITKEGVQDEGITSAAVDRAEAFILSQLASQSQDHDGENGNGSDN VDHQTTSFVDLLPAQEELERQVTQHQQQQQQSTQELSNSIDPALTQTHGISESVLARL KKGPPGSCDVCMRTSTTAWRKLVLGDLSLKVCNACGLYHKRFGVLRPPELWDDGNVIR RRRAGPRNSTAKDTLGEESGEGDDERPNKKAKVGGVDDDQVTEVVSNLNTDGMTSGML DGQDDVQIPIPPTTTQQEEEDGRRAEFNAAIMEGISAINAAAEREGDENGVLLDVQMD I203_06182 MANSPHGGILKDLVARDAPRHSELQEEARNLGDIFLTERQLCDL ELILNGGFSPLEGFMNERDYISVRDTLRLEPVHGQRQGTLFPMPITLDVSKEDIAKLN LREGARVALRDPRDDAALAILTVSDIYTPDKHLEAENVMGADDIAHPAVAYLHNTVKE FYVGGKIEAIAAPTHYDYVPLRFTPSELRAHFHKLAWRKVVAFQTRNPMHRAHRELTV RAARQRRANVLIHPVVGLTKPGDVDHYTRVRAYQALMPSYPEGMAHLALLPLAMRMAG PREAVWHAIIRKNFGATHFIVGRDHAGPGKNSQGKDFYGPYDAQELVTQFKDELSIEM VPFQAMTYLPGTDEYQPVDEVPKGTVTADISGTELRKRLRTGASIPDWFSYTGVVKVL RDSYPPRPKQGFTILISGLHNSGKDTIARALQVTLQQQGSRSVSLLLGEELRGDLDPK INRAITPEQKHINLQRIAFVASELTKAGAAVIAAPVAPYEKSRQAIKKIVNGNFFLVH VATPLEWCEKVDRRGLYKRARSGELKNLTGVDDIYEPPTDADLVCDLRHDTVPEIVHS IIMILEGENLI I203_06183 MVHGRHGHDDFEGNQFLGKELKYFSQAGFDLDRIHIKRNAPIAS LYEDAILNEGAVISSSGALINFSGKKTGRSPKDKRIVYEETSKDDVWWGPVNIKMDEH TFEINRERAIDYLNTRENVYVFDGFAGWDPKYRIKVRVIASRAYHALFMHNMLIRPTP EELENFGEPDFIIYNAGQFPANRFTTGMTSTTSVEVNFKRMEMVILGTEYAGEMKKGI FSVMHYLQPVKFGQLSLHSSANQAKGDNGDVTLFFGLSGTGKTTLSADPNRLLIGDDE HVWSDTGVFNIEGGCYAKTINLSAEKEPEIFNAIKFGSILENVVYNPADRKPDYDDVS ITENTRCAYPIEYIPNAKIPCIAERQPSNIIMLTCDAFGVLPPVSRLTPEQAQYHFVA GYTSKTPGTEDGIVEPSPTFSTCYGQPFIILHPGRYAKMLAERMEKNKVDCWLINTGW TGGKFGTGKRCPLKYTRAIVDAIHNGSLAKAEFETFPVFNLSIPKAVEGVPSEILDPA KVWPSKDAFSAEIQKLGGMFQKAFAKYEDAITADVKAAGPIL I203_06184 MTDDIERDGGIDRKSFDSNSHHVSPALMKSITIQDATHDNALHL ERMNRATHSRGDSASRIVGEHRTLSIDVTDTQQRPTAVIPQKGAAKDIAELEWHKYSV DDILQRLSSNAKLGLEDEQVKRKWVGYVLGGFGTLLLIASILCFIAWKPLGEPNPAAA NLALAVVLLIVIVIQTAFNAWQDFSTNRVMASISGFIPSAVLALRNARQLQLSARELV PGDIIYVSLGNKLPADVRFIDVSSDLKMDRSVLTGESEPIQGTVDMKDDNLLETKYIG LQGTLCVSGSAIGVVIQTENLTVFGRIAKLSTSGAPSLTTLQREILRFVIIIAACAIT IALLVIILWAAWLKKQHEGFITVPVLIIDVVAVMMAFIPEGLPASVTVSLAVIANTLV KNKVLCKSLMTVETLGAVNLLCSDKTGTLTENKMTVTSLAVLDDEMDLTEARDRMVIG KDNGKTIEQMAAVMGICNAATFDESTMNQPVSLRKVNGDATDSAILRATESLRPVKKW NSEWSEVFKVNFNSKTKYMLKVSNSANVAHFGPQDLMLMCKGAPDVLLKRCAYINDPS GGPAIPLTQKNIDRLTAVQEKWSSKGQRVLLLAKRLVPRSLIPNGMAFDQPEFGDLVN IELNQQLTVVGLVGLVDPPRAEIPETVRIMRGAGIRFFMVTGDFALTAVAIAEQCGII SSSKKLHHVQDLPRDTPLDQVVQFDKQVMELGTDRLPGLVLSGNDLMEMSDSQWEQTC QYTEIVFACTTPEQKLRIVKEFQARGNVVGMIGDGVNDAPSLKAANVGIAMGGGSDVA MEASDLVLLENFSSIVVAVEYGRLVFDNLKKTCLYLLPAGSFSELMPILLNVLFGLPQ ILSSLQMIIICVVTDVLPAISMCFEKPEVGLLTRPPRDTKKDKLVNWKFLLHAYEFLG LLESLAAMSMAFWWLDKQGYKFSDLVLAYGGLPPQYDPDAYNEAINKAQSIYFFTLVG MQFGNLLATRTRRLSILQSNLFKWSDESQRNYWIIPSMIVSICFLFFFSYVPFFQNTF LIRGAPVEHIFIPFTFALALLLLDELRKYFIRRYPKRFLAKIAW I203_06185 MQSTDLTRIQNDSVSLMFNGTGITVYGSKNSDHGMYAIQLDGMN MTTSDGYSATPSFQQILYSVDNLSSTQVHTLIITNVPSPAELQPARFTLLLFDDASSI ITYTGSNWVRGTPSDDYYGTTRMVSKDSGDFMKFSFSGTSAELYGAVNWDHGNYSVII DGIQSQNNIFNGSFYGLRPKTSLFMINNLPEDHHEIIVTNLGQGAKGNYFDFDYAVIN STVASCSSTPTNDVAPIASTTIDSSSSSSSSDSSNSSSTGSSSNHVAAIAGGAVGAVV GVALVIVLAWFLFRRKKYTQVDGDRYMSRKDSKVDLNGEEVKPYHSGFNLDSTSAYLD PRPAGAPFYTTSGQSPSASITARETDQTSLPFLTAIPPPPDAQATSYPASTVNRSNTM HSHGPPSTDISHNTFGVPISRGRGGPPFPHSEGIAEAEETPQAPIPIKPGMTLPGRYQ VEGREQDLGPYIPDEEAYQVQGTLPPDYRQATQPP I203_06186 MPVIASSIRLGLVPRSLSLRSLSTFSPSLAAAATSSPAPTTSRY VRKTAVPIKPSSTKATSSTSTSKTSKFNPTPTPTAPSPQANSEIYDDFPDDFPLPSNE PVIDDYSHLAPTTPSTSAPPTASPPLTNLPGESYTSLPSAGGITGEAPVGEGNDWSSS FAGLSERPFPKEVANELLRELRPEDVEIKPDGLLYLPEIKYRRTLNAAFGPGGWGLAP RGETNVGPRIVSREWGLVCLGRLVSIARGEQEYFDPSGIPTATEACKSNALMRCCKDL GIASELWDPSFIREFKAKHCKEVYVEHATTKKKKKLWRKTKGPKFEYPWREGA I203_06187 MSSSERIKGIQVHRPIIYGSHARLLTDEEKATAPPGHTHRWTVF LTSATSPPPNKLPSGDIEKDDIDYLPGGEDDLSYLIKKVTFKLHDTYPQPNRAFDKPP FKVTETGWGEFVVQIRVQFIPESSEKPLTLNHPIKLHHWGLPIKGLVAPPTEGRVVTG TGTANTSVVNTPAPEPIQEPTPAATPVPPEKKDDDNEEVKEEIIVTPAPVGESSNSVQ EGEGSIVLEPTQTSEQTHPVNDEIIVQQDLQQQQTAAMTAILPVHSWQYDEIVFSDPP KNFLDILNDHPPKGLPKINRRAKTQRDEYDPGSTGQEKSKKNGRQSVLGLRANTEFQS PTPSVIGAGARNELVGGELGSADVPLEFSLQMERAEFNKLAEARRKIVDQMDKWR I203_06188 MSQAGGYFKTAISLLSHLEEGKPHSSITDWVEVLSSDRYDELSL DGIPELVESVNIQGYQGTTEAARAIRKKLKYGNVHRQLRALVILRALTENAGKGFQLG WANSQLMERLREMANDSLLDPKVKKRLILVFHAWSLHYKDEPRMQEVARLYSKYGGAG PGVKKPTPMAPTKQSSTSSTSSAPTSANRGTFDDDLFSHDWAPSKSARGPDTYTDLAA AKADADARKRERESRILIEQREAEVERRERELKRKQDMAALEARRQKEAAEEAERRRK AKEDAKKKGSQPKRPPFDFQKEKPQVMVAIANAIQCANNLVNSCRLIDRSVESVLESP KVQDNLDKAKAARRNVIRYIQLVTDEEFVGTLLEANEKIVEAIQLYDRLSKPAVLDSD SDDEAKETEALAKRLAAQKLEANRTGEIDQLQSRQKKESARRELKRQQSHQAQPKSSR SAGAAHPDLADLDFGGSISTKDRGLPKPIRPDSDQESYGQGSLSDFSDYEYDSSDEEW RASHQKARSRGPSRRQSLAAEPQQYQRQAPARDYEALDDDFTGGAGGGNRKGLLDPND PFGDPFADDNDTPIQENRRMQCECTVRLKDAVSS I203_06189 MRANVSLLSVPRASGKTLCPRPLSLPSASRSSINHHPRSLHTPP SSLVGRDVRTSPCNPQAILLSRTSVGGTRNHSSSPAVEPPAAIPEISEENSYDIVIIG GANAGLAFACALLSQPTISSTTKILLLEGSSLDKTRNWSGEGDWENRISSLTWENISW LETIGVWKHIEQSRSCPVEEMIIWANPSPNSFPTIHFPPLGHPMARMTENMNLQRALL RRIEEIGKGKVDLKEGKKVQEMRLGEGQRWVGLRVGETEWIKGSLVVGADGPNSPVRL FSKIETYGHAYQTHAVVATLNHPPSPLYPNTTAFQRFLPTGPIAFLPLSSEASTMVWS TLPLHAAALKRLSPEALTLMVNCGYQLPESTLTALTDEMIRADQLGQPFSANRINELL ASLPIPGNTVGETDQPILPPTITSIHAPSVASFPLRLSHANEYLGQRTALVGDAAHTI HPLAGQGLNQGLADVRCLAEVLENTWKLGGDLGSITSLADYPRERYPLNHLILSTTDK LHYIFRARNGIVNWFRGTGLEIINELGPLKKLLMGGAGAQPNITTRGELSKSRKDDER EFGRVRGAGDELGQIGGWQMTAANGVESWFTIKGVAKMAGEVGVGLVREGMRKAVGAL DHRNK I203_06190 MVSLRERKARASYSNVADGLENLSSEDEQAGPTNHASKANSPNS EDEGDQGDDTSFSSGESSEFKPDSPEKKGKGKDRADGSQNGEAEDDEMDEDEDEDEDD EPDEGGIEDDDIDPSLREMSGTPAPRTKLPTKNKKVPPVRPGVSLAAPPIHGQSEISL LSLQHRALIQASSVLLTKPNTTTKNLDNERHQARDRDQSRVHAPSIFPSGHQVPFETR LTASPNNGWAGKGEIQWIDQSGPSCQRNERRRNDDWDRHMYLTFGSPWEEWRGEGWFP ELFVGNEDELEEKDRGKRENWLLRDEVRLGLDDIGRWTKEELQFLTEDEAEESYLPTP IYRNGESHITCHMGPHDQQSAHTFSLFQSRSLAETNPVVPHEGHTFFAGGPIWGMDWC PYPDSKSADFGFEQYIAVSTLPHLDTRPHMAEKWPQSSKGSIQIWSLSPSSADTPMDG SSQQSRMTCEMVLCVNGGPAMQLKWMPLGVWDDYDVSSIGQAESKIPKSGVLAAIQLD GSVSFYSVPHPRFVPRNQDHPRFIKMDEPLLRLEIPDAMAMCLDWMTGTRIAVGLSNG HLVIWDIYDALKAGNAQDIFPVLYTSVAVSAIRSISVGRLPPSEKQLGGEPFYVLMGA YDGSTTLLDLRDPSFPIELNKARIPCMAVKWIAQMASPALCDIDYIIQIIKTRGAHSG RSHTMSSHRGQVWDIASSDYHTMLVSAGSDGALIITNFNAGFFRKRKIPLAMHRLYEI DYSEKSDEYRIIDDILPESQTLENATSRRPPNIAKRAKHDPPTHLLKTAAWRPEVGIH KVTWNDGCGLARAGWLASGGASGLGRVEWIEGRWRGGRAP I203_06191 MAPRLPAALLHPRALAGPSRPTRRALSTVSQTSPSPKISSVPFK IRPEDAAQRMYINGLLASAALPNMILAGLLRLFGPSITPLANEFGLGSNLKMKDMKAV LYPIWRVDSILQASVKLEGTDQRVEPKMWISTREGYVPGNPFAPLSYLSFAVPPLPDD LPQYNLSEDLTQLGDGFDVVPVPFTVSPLGLASKLRKLIGTMKQWENVTIDESKWEEI MLAAYPIMFPIYIAEFEHDMGDDGIRSFNVIMDAHDENPTNCRVSWPPPPQLVESGRF DKNYFVNPAPFLPMANLLLYPSVAPHNVIGPNTSKLVESFREWMSPAPYEDDKKNIPP SPMLAVQNDEEPNGPNWDDVRIQSWSGEERLQNGDWIEQALKTQKGIETLETMSYFSS RAPHPEDLKGLVINTAGSRPTFERKSLSDMENQLRDDVERMKKELEEMKPEWLREFDK HKNKS I203_06193 MADQPASTPSPGEGVSKLISTYPHHWREIINGPLEEEFVQLALS TLSELVQPDFVPTVEHVQLLLYLTITPNVHPIPPELPLDILHRLVSLHHPMSFSQGIP IHPSSSSGKNRENEPIWLQWDYKRSDLHKSIWRCMKACRNEGVWALLWDKAAQVKAKT ERPGRSIDDDEEGDRKAHRNLTTEGWKLLEWLVQLWEKDRSERDLGENPIDYSPLFLK QLPRPFDRTGQLPRNDASIPISILKAAYATPSSKGEEGERRRSFAVNLLSLVIDTAIG PKAPFHPSSLSSSLVHSLRAFSTDDVLDVTKRLARSRHWRTACHILTLLIEDLGGIRT KKTEQRRAKRSSRGIDMDFEKNIDLDRPTAKYLLGEIVLLTPRDAHDVVKTTMFKVAL VSITKSHNYDAIAMEEVTARYKDDVSWWNRVENTWRIEAVEEKERLVYIGLLKRCIVD ML I203_06194 MSDDPMADFLAREKAALGDDADLFASGSAPSAPSGMDAFPDFTS PAPPAEAPKPASPPKGIDSFPSLDSPSIGGGEVRVTGVAGTGEDEDRAQFESQFPDLS GEVGYEAPPKPVFNALSPQPYGASPYPATAAPKPRSAQSILPPPTFNNILPSNSEEES EPIKAWREKQAEQIKKRDEEDKKRRDEMANKAEKAIDSFYEDYNKMKERNIRENKENE AAFLEKLNEGIAKGTAWERISELIQLENSQSKTIRPSVPGGSDLARMKEILLALRREG DKAPGAAGF I203_06196 MGGCLSTPSSPKHTNETKQVTAASSSKSPQTNVNPSSPAPATNN NGESTPTSAAGQGLAAALASTEPTAQESKGDRNRSNMIDRQLEDDSKKFKRECKILLL GSGESGKSTIVKQMKIIHQNGYSREELLSFRQIVHKNVLDSAQALIMAMRKIGVDPEE PNNRVYADRILEFRMDTDPLSVLPSDILHNVDSLWHDPVIPSVMDRSSEFYLMDSATY FFANIRKLGAADYIPDEADVLRARTKTTGISETRFNMGQLSIHMFDVGGQRSERKKWI HCFEAVTSIIFCVALSEYDQVLLEESGQNRMQESLVLFESVINSRWFLRTSVILFLNK IDLFKQKLPKIPLVQYFPEYTGGPDINKAAKYILWRFTQTNRARLSVYPHLTQATDTS NIRLVFAAVKETILQNALRDSGIL I203_06197 MGLLDFLPCCGPRKDKVRDVESQTQENSTLLPPPAREESIISAD GLVGSYGATEQGLTDEQRMRIEAIGREVGNLQIQRSSPSLPKPNGGAPSSRDSSRPSS PSPMRPDTTPPDGVLRSPDRPGGEGADDGVVRKTLFAGGGNMTGRKVSSRGKGKSRGG KSRK I203_06198 MLRLAKALAISNARYLSTSAILRQDAVGTVGTVPVKRPVGGFRG GILGFFFGFSFASALSIYYLQQDNKIASGLLLASVEELQQGTGKISSHLDRLQTVEKD LAALKSSGAIKDDVTKVRGEMKKVYDGLHLELLDLRAHVWGVEQDLQKVVKTGESLDL T I203_06199 MDIAITSSPTPLHMNGPQPVPGPSYISYGRPPPAIARGGRGRGR GSRGGGSGGGQQRQRQVTPPFVYLQRPNGHSHTNGNGMGAGSPSSNESMTLPPNHIHI VQTQPQAQQQQSDMNRTNSAFSIISPSLPPLSNGPSSTEGSFGEMDIDSPYQIHRNHI PQGMVSIFEPIPIPNTKDPEILDER I203_06200 MEYLVFSPIPTAPPITNHAISPIEHGSAIQAILNFAEGLSGMKD GTFDEWTSFIDKHFDPSARFQLQLTSNHEENEAKMRCYDIPASSLSRFFISLSENGVP IHRLILSDISESPPSPESGLSLVETDQVEWHCRDRIWKGHLSVEVGIEISLNIMVIHR MELILLLDKGDNIPENALRVLKMAEQMEVMLEIIGLTEDQQLNPNDALKQITEPGSSI I203_06202 MTYRRLLTSLASHSPSSTSRLIPPYAQHLPSLAETPSSSLRPPY SHQVPADVKVINRSLKSPKKFELLLSDNRNSTTELDQWRNITLSNDQSRSDIQSRWRE VVAGLQRLKDGHNEVPQIAFQQLTSELSSASTTNRIKDTGLVVIRDVVRDSEAIEWAR EILLSVGERGGRAVYWHPSLLSARSNPCILSANSLISSVLLSSPEVYVTASTIIEGLH PSPNVPSSTPRDLWSTPKSLLSHLTLTPSIPTSSTIVSPTILAAEYAALRPFFRSVKS KISFYSDSSSEYLNLDNWELLDPSSSASASGRELDLPHLSSVQIIHPELRPGDMVFHH SSLPITTSPNSGQVFLPLHPVLKSSAGSAEWIEEQKNAFEKGVPPPGAMVDDKEGLWL LEEKGDRRLIETRAGRDAMGY I203_06203 MISILHSLVLLLSFSLSVLATPTSIDRDTNAYRLSRGLPLKKPL TPFNATRTAVKRQQPSGAPQTGNYVITYNNPAKRKRSGNLYVAPNSAIGVLSYTSDQT SAALIKTPGTGAFSNSAIQIYDSTSATWQHFYTVKLSTGTSGYDISYITSSTGISVYK QSASGVLGYDWVASDTQYSFSPQMYVAIYAGYSVIVGAYSTSDLTNKGMTNIEPVTIT FTSG I203_06204 MTLHGEGIGGVVFLTLYTINFTILLYGFTTRLISFKSVYSFLLF HVCLRLAAQSVAIVTGTKDQLNGGLLVAFFVLGAEGYFSLVLCAYRFLIHHHEHVYPI SASWLEGKTNRNKDKDGQKDPWYVRLKRALTAKNKDGKKDPWVMTIIHWTLIGANTII IVGGTRATGADYTDPDFWDRIHDGEILRATGQAIFLAINILLAVFLFLSVKQDRNPNG TLPPGWNHFFRVDSTHGAIDAADRPLIRSISPDLLVLIIAWPPLIVRGIFGLLQAVFA PINYANPEAYTYTTVLAFTKVFIVMENLFSVLPEWTACCLLCTTMFFKPNHHGTSRQA KDFESTSPNAGPVDQGEVEGDGIHTVEPKIMSRGL I203_06205 MKTLLGKRGEPLQELMNFCVVVPVFLAMGFSLSFGGGVTGYKTF YTLFPKIDTTTTKGAVKSHNSLIQGTTISSLNLGAAIGCLSTMYLGNKLGRRRTVVLG AIVAIIGTILQCSAYYLPQLIISRMVLGAGLGMMSSTVPVWQSETSKVHKRGHHVIID GICIAAGIALSSWLTFGFSKAQTTSSWNWRLPCMTTGILAIVVLIFTFSFPESPRWLA LKGRYEEAREVIAIVDDVDPQSEHTEYVLASITSVNEASAESASFSSLFKYGKEKMLY RLILASATQMFSQMSGSALITYYSEQLFSTVGLSHDLSKILGATDLTFKLICCSIPFF TIERAGRRRLLMIAASGMSTCMFCLAICGSQVTDDNLVPAYVAIVFAFIFVAFYPIGF LGVNFLYSQEVITTRYRAPASGISTAVHWLSAFVVALTTPIGFTSLGWKFYLVWGSVA VSIIPSVYFFYPETTGLSVEEIDQVFIDSPNVFSTVSLAEQRRKEKAQGVDLLEEHVE RFPEDGKKAEERHEQALVDSRV I203_06206 MLKNGVRNTSRCFAQASRCNAHHSLPTIRYFTSLTTSSRLRGNQ TRSYVLALAGIITVAGLYAGSRSRIYLDDSVDVNEEQRIDPDGGVSGAKGSKKSGESS KIDVREDLRVEGWRTDKANGKEKGYITMEEVARHDLAHDAWVVVEGKVFDVTEFHKYH PGGSQIIVANAGRDVTEIFKPIHPPKTLENNLPPESFKGLVDPTAAADSLKAYEAEQQ RVEQARNALPPVETMLGLDELQDAAESFLSPRVINYYGASSLDGYSTAENRTAFRKCR LIPRVMRDVTTVRPQTTIFGVPSALPIYISPASNALLGHPEGELNIVRGASKTGIVQG VSAAASFPLDEILQEKAKMDQDTGTKMGMVYQVYLSRDRRKNVEQLKEVVDGGVQALI LTVDSNVGDHRQSTEKLKGARGDAQPGVRMGPIANSDQWHDASQNWDDLKFIREYAPG LPIYLKGVSHIEDVRLAKKHGLAGCILSNHGGRQLDGARTGFDSLRSIYVQDPQLIKN LEIYIDGGCRRGHEVLQVLAFGAKGVGLGRPFLYAQAAYGEKGVIRAVRIMEKEIATA MQLMGVTELSQLKPDMVECLQEIWK I203_06207 MSTRDSLSTSSPPDQAPSQPSKYPKSLEHAPRPITPYLGACQTD TPQIEVDDSQGGGSFVAFLHRPVSDPSSTTSSSFRDRHVGAILLSGAGGGVTGPSGIY LSIADKLASLPDGQAIPTLRMDYRYPARNKFCVPDVLSAMDWLQYKSGLGISHFVLGG WSFGGAPVFTVGGKDRRVIGAATIASQTAETEGIKQLAPSPVLLLHGTGDRTLSHSCS QRLYEQYGSTHGKRTMHLFPGDDHALTRNSKKAEEMICGFLVRCAGVKMGDPVKHKLE EDLGPDTKRDKVEFMEKGGDLNGPERIE I203_06208 MASTTMRQWKLTGRGNYDKLVIEKDQPVPQVGENDILVRVKAVS LNYRDISIVQGSYPFPLKDVVVPISDAAGVVEQVGSRVTRFKKGDKVLPTFHQAHLPG KPSLGGPTDGVAREYLVVSELDAAPMPKSYNFVQGSTLPCAALTAWDSLFGLEGRVLK PGDWVLTQGTGGVSLFALQFAKAAGATVVSTTSSSAKAEKLKALGADHVINYREDKEW GKTAKGLTPDGAGFQHVIEIGGPGTLQHSLEAIGFQGVISVIGFVAGGGDKMPSILDC LSYQCIARGIFVGSREQCEDMVKAIESNGIEPVVDDEVFGFEDLPKAYKYMEAQKHVG KVVVNFEQ I203_06209 MISCLRGIIGSSSSSKDGSSNQSPNGSESTLVNEKSEKVSMITS TRTSISSATLYTDVHLVGSEKEGLSSVLVQDGQVKWIAAADEKKELPENVDRINLNGQ WLGPSMIDWHVHSKLAAQSEHRVHLNSVKSAEGVFARMREALSDPKYDREDLIGVDMR NGYWPDNDQMNRINLDEKVSSTRPIFLFYNGYHSVVCNSIGLTKYGEKAAGSGILKEH AAWPLTHQLGKVDDEVMDGWIDSWAKDAASLGVTEIVDLEIDFNIRDWRRRAQNGFNT LRINLGVYENHFEDAIRQGYKTGDIVDEDGLRLIKIGPFKMVTDGGLGSQTACCHDPY PNTTDYGMMNDSPEKIKLWTRKATEHGFRLAIHAIGDQANTYCLNTMASNPIPPLEGS TIEHAQLLVLDEIPIFTKLGLIASVQPVHLVDDRETCLKYWPGREHRAWALKSLADAG VRIKFGSDNPIAELNPWEAMAVAISRKRKGGLPLSEEQAVGIHTAWVSSTSNGRASIQ AGDRADFVIIDKDPLKCDADGLRSISVQGTVLGGRWTYRK I203_06210 MSTLTSTDSRKRRPKSQFTFRIQPPSTIPLRTSSKPQQSITSSK SASRFADRATPAEKPSTTSRLPPPRVSFLTPREVWSPLATPIPDMNERPNLKMAAAPS RVPKYQLKDTGPVPVTSSSSIPKPHIRPRLVSRPSTKPRRQDSTQRPVLQIPKPQVRP LTTTQQISRLPIPIHSRPVSLPDAPVITIPIPKPRPASYQPPSCTSSSSYISQPTLSI PEPRPSLLPVPTIPNSVKPSRQASNQSTASSTCSSASSLARHKAIKQKGQDRSSFARL SAYSPPNHDALIRALAIDSDLENEDTESTISDHSIRTVATVNPPDREATIQVSKASYN GEPKSKSTSSCSTYTLENHSSPLPTDCLTAPYLVPSTAPPMTLEWESDESSGEIDEAE KVWRELELKLGRKVRGRSLRRGKWVVKPRNEGERASIQQQQPSFKNYEDNGEAIKMET TTSGFSVSLYYTSPSASCQSTPPLHIPHSQSSSSSFTYGSSPKTESPSSATALFDMSA PLVPGGSNNGYTPWNTPLMNKESIKRGNRVKKRYASCDVGELDAHHTDRLESLEDYSR VEFTQMDDYLPGSSTINSVHNGSTSLPAMSHSTFTPESMSTNDSITPQPQPDPMTVPK PNSIPARPSKTMYRLDSHVVSALTALQGAFDSPDLDLALQNCSSPDLSPILDSPEGEL ESGGLGLGLKLNLKTVYHLPTLSPRLRPSALGKRSIEVEISDRHNKGGRGVHEQLDNT GSTPDGSPLVENDDTAKLDKAIPLVEPVQTSSNDHDDEDGVLVIRDLDTGLERQVRVG DGLTLS I203_06211 MFNKSIVSLLAIVSYVSVNPVIAGQRYDNAIGTIYYDLNDACKV SGADATGDMSNMKTGNNACGYAVGSLGASRVVAISQSIFSNDLCGSEITVYKNGQPVQ FSEGPLFVGDICPGGECTGNHIDLGDTVIAKAADEINGGAGCKNPSGFSFEIGDQKIG PLYSSIAGASLETWKASGGGTNSQQNTPSTSASGPGLGISSASSAAAAPPPSSSPYPI PPAPSSSNQPQATSSTSQDCNPTTQSSVSSPASQPYNSTTQNGNPATTQPVNPATSAV AGIISTALSGGPTGTATQGQWAGKWNNGNALFAEKETLQGSNNTCRRRRRRRGLKSH I203_06212 MLNHVLSSTLGLLFLFAIENVNAGVSIDGARGTVYYDLELQCTG DGSDAGGASGLNGALTACGYSAEGLGTSRFVAIDGSIFDKSMCGQEVIIAQNGSPFSF SEGPMFVGDLCGGCEGGKILDLSGKQIAVEMMGGVCKNPPSFSYQITENIVGATLEGS LPAGGGSSSPVSSASAAPPASAPASKPEISQSQPAVSTPAASQPLSTSTQSVPQVSQS VTPATSQVAPATSQPVNPATSPFDLATAAATTSAVQSLPATTVAAAPTNTGGNRWGGG WGRPPALFAENETATNGTSCKRRKRRRRVRKAH I203_06213 MILPIVAATLALFKFVQAGVSSSGVMGTIYYDGSGSCGKEGDID WGAGDYSPIIESSVGACGYSVDQIGDNRLVAFDASLISGNPAEYCGKEIQVIKADGTP FEFSEGKLFIGEACPACAGGVRLDLSAKALVEIVGDCKTNAVGISYQVLDTMAGPEYS SIPGGSLGDGTSGNTSTSATSAVPDAAVSSVSGVTATSVAGVSATSPTDTLSSISGAP TTSVAGVATSVPGVAASVPAVSSVPVDPATQIVADPATATVPTGVSSSPAVLGASASP SVPAGVSSSPSIPAGISSDTTVPGVPPSPSVPIGLSSSPILAAGVSPTGVPGVALFAE GDVASDAACKRKKRRLGKSH I203_06214 MSNSNFLDKAIALVQKAIDEDVKQNYAEAYKQYQDALDYFMMAM KYEKNDKLKELIRKKFTEYLDRAEKLKEHIAKSEEKRTKAKVGVNGGGGSTAGGPDVK DGGGEGDDPEIKKLRQGLQGAILSESPNVQWDDVAGLAQAKEALKEAVILPIKFPQLF TGKRTPWRGILLYGPPGTGKSFLAKAVATEAKSTFFSVSSSDLVSKWMGESERLVKQL FQMAREQKPAIIFVDEIDSLTGTRGEGESEASRRIKTEFLVQMNGVGNEETGVLVLGA TNIPWQLDPAIKRRFEKRIYIPLPDVQGRRRMFELNVGTTPNGLTPKDFTTLAEQTEG YSGSDIAVIVRDALMQPVRKVLSATHFKEVSNVQSDGKTKLTPCSPGDPAAIERTWTD VNSEELLEPLLSVKDFEKAISVNRPTVTQADITKHIEFTNEAGGEGA I203_06215 MSIDEAQKALNARVRKLSVVLGISIAFFIVEISIGFKTGALALI ADAFHYLNDIVSYVIALVATLLSRKGSGPIGYTFAYRRAEIVGAFFNASFLIALALSV FLQSIERFINVEEVDHPLWVMIVGCCGLALNVVSILVVHEHSHDHQKSSFPDEPLDLE LSRIKAAATSPISIQHSQHHHAQQNDEERKGTTKNLGLLGVLVHLLGDAINNIGVIIA AAIMWKTSSPHRFYADPAVSLIISLLIFLGAIPLAKRSSRVLLEAAPKSINPKLVKED LMTIPGVISIHELHLWHLTESDVLASFHVHVNVHDIEGWLPIEKELRSCFAAQGVTHV TISPEFDTMVDNTEIGGSRRGDGDLCDPSCSVVPS I203_06216 MASASGYNHHRFARAVLKKSRKWEPSLTVQLHHNYWRFENSPIN FQYEGEMKPFLLALRSQVIPSSLIRSLYNIYPTISFVDGCLVVEIQDFRRSPETRSRV VMRPAAETLAQTIDVISERKGQVLDEGMGLELESRIIAATSPPLYLGTSILATRNATL ALALTSPANPNLSSDGTARSSSSTGDGTGNDSKSTLDKMRKLLRAGINDRSTSSGGTP FQANWVVLRAKEQFERLKMQREIEAREAANRPPQGMGNGNDPNGMLQNGAEGMGVGGD GIGNGETTGEKKKVKKKRPPPQTEEQEDEGVKEVKKPKKKKKLNNTNTAAEAEATKNE ETTTAPTKKKKNTNTQKDTNAAQNEAPPPAKKKVQKKKKDDGEKAKNDGTAGESGAGT GAGLS I203_06217 MNSHPPPNQSPHTPNTYPPPARTRQNTQHQRSRKRRRFLPTAKG FLSFLYHTSFYLFILIIAALLVGSAWSIGEQAWRNGGQRKWNIFVMVAAYVALGIISV LHVWSRVLSIKRILRTMPKPYIPTKRVDLPKNVAKHIATEYSRTAVIAHISQATTGQQ EGWGRPGTKWEDKHFRTYILSTLPIMGQSLAPTSTASPLSLQPLLDASSSINDNGAIR LFVNSYAKIIERARYGRKEPSQADAEAVEKVVEVVLLTLEVKRRREKDKHSTSKS I203_06218 MDNTPRQIFQTPNNVYILQHPWVFVKELGQGAYGCVSSARNETT HETCAVKKVTNIFQKKILTKRCLRELRLLHHFRGHKNITCLYDMDIVFDPPGSGQFRE VYLYEELMEADLHAIIRSGQPLSDAHFQSFLYQTLCGLKYIHSANVLHRDLKPGNLLV NADCELKICDFGLARGFQPGAVQTDQGQAGFMTEYVATRWYRAPEIMLSFANYTSSID MWSVGCILAELLGGKPIFKGEDYVDQLNKILNLLGTPTEDTLRRVGSPRAQDYIRSLP IKPRVRFDTLYPNAQPLALDLLQKLLTFDPLKRFGCEEALEHPYLAVWHDPADEPSCE VPFDFSFEREDSTSGMRHLILDEVRSFRRLVREQAPPPPPRNQNETLPQAMQAMNEPG AGVGPGYAGQDSEELNKGDDIEEHPSSALERQLNQHRI I203_06219 MPPARAPPKRATASAAGSSSSSAPAPRTRAVKAGPSGGPDVKPR IGTSSAAKGKAPLRPSITVSTTDKKPEINKGEEEWAELMRQTYGSQKGADWYAKGVKS VEDKWELLPAFLKVKGLVKQHLDSFNYFVNVDIKAILAANSHVISDINPYYYIRYLDI RVGQPARHDTNQVANVLTPMECRLTDSTYSAPIFVDIEYQGEEKKNRQRGVQIGMLPV MLRSDLCHLKNKNEQELARMGECPMDPGGYFVVKGTEKVILVQEQLSKNRIIVMRDPK KDETTAEVTSSTHDRVVKTYVTTKNKRLYLRHNSFKEYIPIVIALKAMGITSDKEILQ LICGSDERYQEAFGVSLEEAAKEKTFTRRQALEWIGARVSPNQAKDDGGSYGQKLTPV DIAQQALAAMVLGHVPVRNMNFRPKCIYLATMSRRVLMAMIDEHMVDDRDYVGNKRLE LAGQLLSLLFEDSFKTFNSELKRRMDKILEKKQRAGPFDAGSLIRQGGDPITSAFVRS ISTGNWSLKRFHVERAGVTHVLSRLSFIAALGMMTRISSQFEKTRKVSGPRALQPSQW GMLCPSDTPEGEACGLVKNLALMTHITTDVPEEPLLKIAFLLGVEDISLLTGNELYRP GVHLVQVNGTLIGVTHLAKKFVRTFRKLRRAGRTSEFVSIYINHHQRTIYIASDGGRI CRPMIIVENGKSRVTSQHMKLLKEGKVTFDHFLRSGLVEYLDVNEENDSFIACYESEI VKETTHLEIEPFTILGAVAGLIPYPHHNQSPRNTYQCAMGKQAIGAIAYNQLNRIDTL LYLMTYPQQPMVKTKTIELVGYNKLPAGQNATVAVMSYSGYDIEDALILNRASVDRGF GRCHVLKKQTIPMRTFANGSHERTAYPDPLPRPDAYAFIDRADGMTAPGATINQFDVM IHRETPIDTRGPSADGGGYKPSPITHKLPEPIQIDKVMITEGEDGSLIKILTRQTRRP ELGDKFSSRHGQKGVCGLIVPQADMPFNDQGIVPDIIMNPHGFPSRMTVGKMIELLSG KAGVLAGKLQYGTAFGGSKVVDMSQILIENGFSYAGKDMLTSGITGQPMEAYVYFGPI YYQKLKHMVMDKMHARPTGPRANLTRQPTEGRSKDGGLRLGEMERDCLIGYGATQLLL ERLMVSSDAFETQVCETCGMLGYNNWCPKCKSGKGVIGLTIPYAAKLLIQELMGMNIM PKLCMEDTV I203_06220 MPPSLIYHSLNPTLYPTNHANETLLVVRFNGPTRISSFRFIPEG VGVGNLASQGAHGNGNGNVGTTYPPQFTAQLLFNISPSNPVNALASTTIEVVPCEHAL DYPIDMPIGVTTRMMMLRSPAQKLSLSIYGYQGDSLNTEEEQTQARITQAQPVHSARQ TKKVDEDFSWLYTWSGPSPSSLFSLLDESVPLQISNRAIECLTLLDQVQAQSQAQPGA LLPSVISLLLDHPTALKYLMVTDSLSAVPLKDRILSDPESALHPNISPYLPTDHPLSL ISKAITKEERHSNAVKNLSKPELESHLGALIALQDISETELLNVEQGKQKSNLMRLME LANDLISKIDKGIERDDTIGCLGKILDILNKSYRNESLNEYLARNLPRLVVWYNLKKA DGKRELEIPLGYSHEVIRSLGEIRSQIINGRSTRSVCDELAGKYLDKVDDEDPSKKIF TNESSTQTLSQDVDTAIEVDADQRRLNRLSQSLLTSSNNSSSLTHSITPSELISLLSP ELYKSLSTSRTPPFGITPSVQSSEMEQGAKSFAGKVYTHHEFRRDRNDLSSSVGSGYG LGISGANMGGMGGLGVVSSAGGGGRAASRHVDSWTR I203_06221 MKKPILFLSPTIISGDPFIQPYEADILIKDGMISNISPPGSIQI TNETDVRKIESKGWVLSPGFIDLHAHSDLYLLTHPEHEAKISQGCTTEVIGQDGISYS PIDNPKQLTAIREQIAGWNGNPSPEDYPNLGLFKWKSVKEYLDCLERNKTATNVAYLV PQASQLAQLYGNLRLLACGPWDQVATPEEIRSQEDELRKAMKEGAVGMSSGLTYTPGM YASTSELGSLCRVLAKEFPGAYYAPHHRSYGFKAIESYAEMLGLGRMTGCPIHLTHAT LNFTENKGKAPLLISMIDSARSAGVDVTLDTYPYLPGCTTLSALLPSWASSGGPSETL KRLQDEISREKIRRAVEETGCDGGHGIPTNWDEIQIGSTNHPSIKHYSGRRVSEVAKT VNQLPIQVFFDVLIKDRLATSCLMHIGNEENVREIMCHHTHCSGSDAILHGKGTHPRA YGTFPRFLGHYSRELGLIPLNEMISHLTSRPAKRIGIYPHRGLIAEGSAADLVLFDPK TIKDKATFDEPKQRAEGIRFVLVNGQIAMDEGVLKGGRGGKVLRRRDDGVVC I203_06222 MSSIDTFTHTSLYALPSKSALQAEFVGKKIDQLRTPALVVDRSR FKRNCEEVTAKAKKRGMLFRAHVKTHKTTEGTRLQVQAAGGVKATICSTMIELWKIIE DGLVEEGLVDDILYSMPVGEDKIADLNLAQDKIGSKGVIRLMVDHPEQIRVLTRFNEK EGRKQKWSVFVKVDGGGRRAGVPPTSQQLKDIIQAVLSSEQVEIFGFYSHFGQSYASD TLKKGSSYFEGEIDCVQSAAKVARDLECKGDWILSVGATPTAHAAVQEVEKAHNGLEG KLELHAGCYCMCDLQQHATSLVPDSHLALTVVSKIVSVYPHRKEAMCDCGALGVSKDT GRYPGYGRLVSPERAVGKWDLGRISQEHGTLVHRPDLPEGEFVEGQDELKVGETVRLV PQHACLVCAGYPWMYVVDEEQGKGEEVVDVWVPWKGW I203_06223 MESGPSCFRCTYQGCSKTFTRKDHLFRHAANHSEDTFNCRRCTR PFKRLDLLQRHEKRNICGDGPYQPPAHKRKRSEENFTTHNRMSNPPSTISSMGQTTSD STGGGINPISSTSHTSSTSPYNSLTGTTTDHSGYMNNTANDLITTTSNQVPEFGFGFG LWAPEQWEALLHESLLPPFNEPIIPNISFQPHPQLQLTPYAQDNEIKEDETVMETLLS RLQMSFPELDISLSFMSQSLDLYWTRTAPTFPFIHRPTFDYSIAPSELIVLMIIVGSV HSLGTLDIGQKKDFSRLVSKIRSMLFQECGLEMPISILQSFTLCHVYDTWYGNSESLF VAQCMWPVMVAHSRKKGIGVLGKPETESQQEEAWTNWAKEEERRRSAYCVLLIDTQLS AFWNQHCSRQLSIFAHNLNLPCPKSQWEAESASEWVKIRQYAMTNNTPTTKPKHSKSG YLPGLHPEFTVNVVTEGYSSAIMSALSEEHKFLFKVDLDNSLTSQMVLIGLIAIAWDC RTRGGMGIRFREGTKHWRSIVFNAVIQLRAAYESAVMHMSASIESRDMRDTFAICIIS VLSDIPMLHVAAGATTFCGASIGPRQYSDAKRRLKLWAKTDDAWTCVWQSIRYLRQAL FSEWGLYSTWAVFNTTLVLWGYTTTISHPSTSSSNTTTTSSTLSSSEHPLNSTYPPAT ASATFTFTSSAPSIGNHSNNHDEEERQRRLTQWLESVLSTHGKLESMNDLDEAIRDLL EIVCEKLSGAGGEIDRNNSALLSRLIGQKKR I203_06224 MDQPMDIDLSLDEIIAKKRAEKRTSNRHDPYKRPTNASPAPPPG QPRNLSAAVSSSASPVKSTLTTLSFTDIKSKSFDPSFPSFAYQPLNPIAPNFKIPSRE ISVGIFPRDITPNQIRDMIQSTVGPVQELRLDSKGVAWVRMLRFQGWEVWSFLNGKIL DGSGCWQRLV I203_06225 MPKYTLYQLVGDASNPDGRVISPHVWKTKLDLAFFKQEVINSGK TFPQIRGELSELTKNPAVTVPTIEVDGEFTTDSWKIAEYLEAEHGTPERSLFGGTEAG KNFAKFIEIWSNTTFANELRPLVGVAITSHFDPSSKAYFIKSKFQGDIKKYSSLVDKF QDPEELEKQLALARSRLSVVNDLLKVKKSKNGPLWLLGGSEPSHADFCLFGWYTSSSL HPAVEKGVWRHEEVALVGEWLDGLLDSGLVDKDQLK I203_06226 MSLKAELTTWSKAISSYEAGGYEEAISEFSKISDTSKICWNMGI VLATLGKHREAVEEFGRAVELDCYFAIGYQQKGVSNFMLGNYQDALKDFEDALLYLRG NQTINYEQLGLDFRLYSCEVLFNCGLSKIYLGLMDSGISDLRDAQSQKMIKEHDVIDD AIRDGGSDYNVFSVPVGVLFKPSQKKLKNLASRDYMGKAILVAATDVNDAYTTFTGIT RLRRGQTPFGASLDASHPSLGRSASVSAIPQDIGTTPMTRSNTVGISPNSFSRSADPS SNRHSRSNTMGNELPSAAPVLNRSITSATRPAVKITTEFTQREKQPLSAPERQLSNGF DTNLVMLPKSSTAGPGEPPLRLGGGESPFLQTPQLNPRSTIKSSAVTELYDEYYQSIQ ESPEEDGIVIPDNLPPIGGAKKIEAWSNKTPLGASPSIALSRKGSATASTTIEQNTMN DQKRLQPQNQLPPISFGSRSPSGGGGGIPLTRTLSAATSNRESNYEVGSENGSFYDMV KIRVKVNYGTHKRGMSILPSHTYEIFINLLKGKFPELADQPLDVKFKDEDGDILSMRD EGDFEAAVDVARILGEGNGRGEGRLEIWVN I203_06227 MVNGKIIGGGRLPSQKVPTLAQMVEENKALHRKINAQEKTIQNL MKKFGNEQDQDRDNDDDEVEGIEGRSGSTESQNQRDIGDTSSRYASLDRERDMGRASA GTMEYDGEPEQEEMVRMDYLKHLSQIYGIESKRCKENENLPQPYSSFTNNGIQSLEAL LSTVPIGQSSQLVRYHCQTLHWIHTVFHEPTFLREHDEWIDSFNMGRPMVKSYDYYAL YYAIIACSLYFMDESSAMLIGFNQEAVAVLPRFWFDTSVNCLQWGNFLLNPTMPNLQT ICILPMIAHAFDASKYLGSLMHCALGSARDFDFHLATSATNPERFGGNIQTELVRRIW CCLAITDSLRPEAHHPFHLCLPTARTSPPANVDDYDLDDHKPVISRPLSQTTRVTHLI AMERLAALFRDFNHSFSTKTTINARFRCVQDHDARLQRLLDDIPDLKPREDETYFPIN DSQNFDYRPWSRYLWLTALPPHRIMLYRWFLGKSYMDKRWARAREVCLEAARATLSAR KKPVPILFQKNWHVSSYTVIAGMILALELTNGGHDPNERDHLKMEVLEVINFFRSLTD KNAIVNRGITLLENMVSEAESRSRNAATEVNGFSVTNQDGTIDQQPFDFSLDGFFLQG MMNNLDADLLNIFFQSDMNSS I203_06228 MPIAVDTAPVEQPDSSFKKRFTERTTPPPELPPFFYADRFDKAH FNDWRDELATKGYTVVKAIPTEKALEYRERAFQWMESFPLGFDRNDVKTWKNEHLPVH IKGGMFHGYGFYDISQLWDIRCEDGVIDAFAKVWGTDELITSFDGGSIMLPNRVDVMD SGKWEHMDQSHYRRGFYCCQGLVNLNNNGPDDGGLMVLEGSSTLVEEYFDTHGRNSYK SWGPFDWFGFTEEQQQWFFDRGCKWVKVCAEPGDLILWDSRTMHYNVRPKGDRDRVCT YICMAPAHLLTEEDRQLRVECFEQSKGTTHVPFAAIYARDFEPVKRSDGSPCPHDTGK PKNPPVLNDRMAKLVGIKPY I203_06229 MGIYEGITPRGALVMFFASLGGLLFGFDNGWWGTVLGEQAFLCD YGASATVDGVETCNLTTSQQSAGTGVGSAGIMLGCAIAMYLNNLIGRQKSIVALAVIS IIGIVIEMTSAVGSSARYGQFLAGKVINSIAMGIACNVVPIYLSETSVASARGFVINM YQMVQIVGVIVASGSVYAVATRTDKSAYLIPMGIQMIAPSLILMVVPFIPESPRWLVW VGRKEDAIKATETLFKTETNGFDAADYVHKLDAAFHEAKEEARATGWGDLARQPDLRR VLIAMGIQSLQQAQGSSYMTNYIVSFLIGVGVVNYFPVIMGLYCFYFVAICTGNFLPD MVGRRPILLSTSAFCAATLIIVSILTTAFADPSASVQKASIALIFLWYGSFGVQSPLI WIVTAEAAPTRNREKVLGLATFCGFGVQLIITFVAPYIQDAGYGNIGSKIGFIWGAFS LINIAFVFFFVPETKGHSLEQLDYLYENRVATRKFKGYHFDDEVLATSANVVDEIVVD DSEEKKQDLA I203_06230 MTKTLEVDIWTPIKIGDCQLAHRVVLAPLTRNRASKSERYPSTW VPNDLMKEYYVKRATPGGLIITEATPVSLRASGLFGIPGSFTDEQQAGWISIVSAVHE KGGYIFNQLWHQGRTTHSALIAGQQPESSSNIPMQGIFQWNGFDARPFEVPKPMTQED ISNTQNDFVNAAQKAMEVGFDGIEVHAGNGYYLEQLSDTTLCAYFSVNIRTDKYGGSV EKRCRFVLETVRRLCEAIGPSKVAVRLTPFGMLFGQTFGENRLEQWSYLCGELGKFGL AYVHLVEPRFDELKSASEKQDALKDMISNGQEEVSLKPFRKALGSSTPLITAGGFGPE NANEGIQNGTSDLVAFGRYFVANPDLVDRLKNKEALYKWDRSRFYGPFVDNEVGYTVF PSREFAKKGDISKAQLAD I203_06231 MTLDLCRLTATEVVKLTKSGELKVEDYAKALIARVEERDPFVHA WAYFSPSIILESARRLDAIPAEKRGPLHGVAVGIKDVIYTKDMPTQQYSPIYKDDHPE LDASVVLSLRAMGALIFGKTHTTEFATCQKGPPTCNPHDPTRTAGGSSSGSGAAVGDF QVPLALGTQTGGSTIRPASYNGVYALKPTWNAISREGLKMYSITCDTVGLYSRSIEDL EMLCEIFNLQDDVAPPTAPLSLSGAKFGFVKTHVWPKAEPALITLWEEAKNYLIEAGA EVEEVKLPEIFDNLGTWHRNILHMEGYSSFLGDYLQSPELLDPWVTKHAVNEGKTSRK EQLQAYDEIAKLRPVIDEIASKYTCLVTPSVTGEAPVLEEPLRFTGDASFNLMWTVLH LPVINIPGFKGPNGMPIGLSLVAPRYYEQDLLRTSHAIKDVFAKGGWKL I203_06232 MDASSKSSGSVAGQRRPRPHSYTTQAYDNVCRNCIESKSECIFS RPHEKFKRSRGESRLSSSRANSGEDDSPDLAALSSSQLTETPARAIHITNDDRQNVQV NAEIPLSFSPVDSDKPEISRSQNGTSFVSDSARSASIQPLQPEIDWGSWLMSLSDQQR AEMQTLQQQSSGLPQSQNSLFPQSQPAHRPDLPQGLSTTQLGQLDPSPRYARDHETYP PSFSTVIGNQQPTASQVNAASASFPLPTPSSLSILPVHAVLASSRPPMASRLPTSPAS GIESDEGSLEDAAKGLAMISLEAAAEPHYVGESSGSLWTTVISGGMHPQLQHHQIGEA SRRAVGNFAQRKKLHNSDIPHVGEHLGVLNIRQAIQQPLPSDIAIQIAETVFRHLHPR YPFMDWVKFEMQWEQRDAILVAVGQGLPLDRERSTGAFFILMILAIAAQLTKESPMAG LLRAENYYNLASPYLSTIVTLHNLANIQGLLLLAVYSLRDSKGPSVWYLSGVTLRLCV GMGLHRNAAGWAVRTLSKYEIEMRKRVFWSCYILDRMISLLLGRPPGISDDDVDVDLP EIYDPVEKPQLPSMRLSSMASAIHHIKLKCIESRIQRSVYGIKRQNQPQAIDYWELLN DLDEWETTIPVEASSPSYWMAPCNSRDWFLLKGVEARLHLLRPLCAEGQSAGAVFVSH LATHAARGCEIQKRMHQQGQPMSNASAHSAFICGLALLYAIFLQPKVMPLKDIFRAIK ATSNTLFAYTQHSHHSAEVLYDVFEDLSTACIEHVGRSDMMDPANSSTDRRASVDDWQ KASSEATSNLDPATAGEYVNILQTLGISVGQAPSEQIPYTEPLWELAAFSPGNFFTSD NISGSMGI I203_06233 MATQFDDAWMKRDLFGYGPDRPDAKWPKGAKIAVNFVLNHEEGG ERSVEDGDEHAETVLHEFGQLSAEPGKRDPATESQFDYGSRAAIWRIMRLFKKHDISI TFYAVGRAFERNPAVAKYADENGHEVAAHCYKWKPYTGMSDAEEEEYIRKAVNSYKTT SPSGKVPVGWFYGRPSERSAPLVAKVYKELGHELLYWADTYADDLPYYTTMPGGKEGE SLVMMPYSLDCNDFKFWQGQMGSDDAFAQHCIDAFTTIREEGLEGKSAYITVALHSRW IGRPGRFQALRRMVEHFSSFDDVWFATREQIARHWVETYPPKA I203_06234 MSFSDKTPDLNTDVEQVAVDINDHEDQNEVFKTHVEGENYRTVS WYSSFALMFKVLFSVGVLSIPGVFAYVGALPGALLVIGWGLFNTYQAFILGAFRLRHP GMHGLQDMAYIVGGAWYRELVGILYVVGYTLVTGSGLIGTATAFNALSEHGACTVWFS FVALIISTAVAAFPKFGQIGIVAWIGVTLLYIAVLVLTIAVGVQDRPFLAPEGVTDYG YQVVASGTTFITGMSASLIIFVSSSGTSAFIPIIAEMKNPKEYKKPITAAMGLLNVTY LVVSLVVYRYCGIYIASPALGSAGTLIKKITYGIGLPGLLVSAILCQHLAAKYFFVRI LRGTEHLQKKTKTHWITWLGSVILIGILSFVIAEAIPFFSTLIGLVGALAYAPLAIVV PMTLWLYDFGHYRKGNLWKKALWAFHVLFIFIGLFMTVGGSYTMIQTIIDAYATGSVD RAFSCADNSGSTQ I203_06235 MVKDVVKEGLTDQTTRLPKSKLLLLFLGLQIALFLSFIDSTSVS TILPIVGADLNATSSITWAGTAFLVANTSFQVITSRLSDCFGRKIVLLGSLFLFALGD LLAGFATNKVWLYCARAVAGIGGGGINSLSMIIMSDVVTLRERGQYQSLLGIGIALGS GVGPFLGAILAERVRWSWAFWIIAPLTALTMVLIQFTVPLKPVSGDFKEKLRSMDWIG SVLSLTMTVTILVPLSGGGSTFAWNSPIAIALFVVGGLAITGFILVETYVAKFPLFPG RLLSNRNVCLLLLQTWLVGIVYYGGIYFVPLYLQNVKGDSPILSAALLLPLVLGQVLT TTISGFAVKWTNRTWPSFVVGFILWTAGQGAQLCFEKETTNGVIIGCLILQGFGIGST IQSTLVLAQASGPSSDRAVVTGVRNFARTSGGAIGLAIGNSILQNIFLTSLPDTLSME VREQLGQNFDTIKLLDETSASQVRDAYMAGIRKVFIFFVPVVALCLLMCIFIKDIPLD VPPAPVLPMKIEAETIP I203_06236 MTLQTMKRYIISHREGIDGLKLQTDVPVPELCSPTDIRINIKYL SLNARDLQIVNNDYPAPHDVPQDCVPVSDGCGVVEAVGSEVTLFKVGDKVAPVFPQGH HYEEDLALRSLKRGLGGAIDGVAAEYFVCDQEEAVHIPSNFDCLQGSTLPVGFTTAWS SLYSHHPALQAGQTVLCLGTGGVSLCAAQIALSAGARVILTSSSQSKLDKVTELLPDL VPDSAPNNAIQTIDYSKIEAWDVEARRLNGGRGVDFVIEIAGRATLARSIRSTKQGGL VAISGYMTLPRSTDIAKVILYSAANVRGVFVCNREDFKTAVSALELGGVKPIIDKVFK FDDLKDAYRYMEEGRHIGKVCIEL I203_06237 MLKSSASQPVLRVLTSWQPYIPSAIILGMIAIIFGITRLVKETH RGRIASRLNQKKSDHRIEQSPRRHDAQLDILQPKITPTAEPDQKSHLATFPDLARVAD VASETDRHRLIKDKELYWQLQNLEDHREGVLQEARARLVGLFDQTLAESFNNPSDTIL SLRTFDSSSLRTFLQKSHRSAANRYETYLGRRRAGGPREMFPTREYALEWLRLAGVVK YVDGSWLGGILGVGTGRAACLGEPRDNADGKIRNGNLERLVSKMAWQVISEEFGDGDL QKNHVYLYEKLLNDIKAGSLQANGSTAPGHVEGFDWLRDDQGVLRCWEAAVAQQYIGL LASTREFFPEALGFNMAYESLPYHLLVSARELKELNIDNYYFAIHVTIDNADSGHSAM ARMAVERYLEGIRERDGEEAMENVWRRVQTGYILAEGLPTTPSGPVEFESTVGAGGAT FWRPRKFPDRPPTPAEARLVDVIMRKAGAAEKMHCTSRMKIGNLTVEEWLEPETLTQV KTLSFIERWRVNDLLSGLENHRGVGL I203_06238 MFGAFTGSESHVVKEWIISLGPKIMPERAYEKFAGPFFAKDEDL KSHTTTTAFEQRANDVCLATLSPSASSMLSYVQFALHLPEDICAGTDDLVLERQKQQK KGLWELGKNMNSASGITTSSDIKEIAKRTPAGETNTFCAKMLDLRAKPYANAAVIFGI CLAICQGLHSNQSVIDLLEEEQDRMSMQRIVDEQVTTISEYVRYQNDQSDTEWEEEFV RGFMWAKGELLAILF I203_06239 MVGNYRIGVDVGGTNTDAVILDVSSNSTRPILATHKSPTTPDVT TGIQGAIEQSLRKATIDKCSIQAIFIGTTSFVNSLIERDASKLERVAVIRLSGPFSRL APPFVSFPYELRHVLEGPCFFAEGGLQVDGSEITSVDPLEIRGICEEIRKRGTRTEEL VREILYAEIPGVKVCISKEVANIGLLQRENATILNAALLEFAQLTVSGFKESTRALHI SCPLFLTSNDGTLMTCEMAAKFPIKTFSSGPTNSMRGANFLARLGDQQRKKETALVMD VGGTTTEIGVLLPTGFPRQASAYHELCGVPLNFSMPHVYSIGLGGGSRVRKDSNRKTT IGPDSVGYRIHELGRAFGGDILTTTDIVFAAGRASSIGDPELVKDIAREEVFAAQEKI RQMIESAVDCMKTSVQDIPVYLVGGGAILVPDELKGVSTVYRPQFYDAANAVGAACAQ ISGVIDTLEDTSKLSIAEVQKIVEQHTTGRCRFYVKAAGEWTGAADNSEQGDTAKLLS LGTPRTWDTQSAAKPAKVANADRKIPDKDHYFTAEDIISYKPSVTNGEWTLSELDIEW ISTGCYILGTGGGGNPHNVYLALREMFRAGSTCKVIDIQSLDPDKVVMYGGGIGSPEV AAEKLLSSGSGNAAKSLLKFMGYEHSKLGALSALEIGGGNGMVAMIRGSSKDLNVPII DGDFMGRAYPTGWQTTVNVYATDDQASMVLPTYMSSGDGTELILTKVKHYKEVDSILR AACMEMGTSAQVVARPLTKVECERALIRNTVSQSWRIGRAVALANKQANIDNIGSVLV NALGGSDAAKVLFEGKIVQVGRRLYKGHSYGEIEIHAMGGDEATEDPNNPQRKFRGVM KIPFKNENLLAEHMINGRTEVVCGVPDLISVLDAQNGTALGTPEYKYGQRVIVIGITA APQWTDTPQGLELGSLTAFGYDLPYKPLGTYVKPRSVTEEYAA I203_06240 MLRSTLLSMVFAMGMVNGAAIVRQTSDETSADLVTVDGPIVTNN TSPSGSDSSSIGSSASASSSASSSASSSASSSASTSASASASALSSVTVPLPSGWSNS GVCISEVSGRALTGVHYSDSTGLTPYTCAAYCSSNGYTLAGLEYGSECWCGSILSNGA SLTKTSSSCKSKCKGDSSSICGGSNAVSLLISDSALSTLSADLTTAPLTLPSGWAQAS TACISEGTTGRALSSARYSDDSMTIASCISFCGNKGYRYAGLEYARECYCGNSLSNGA SFDRSSSSCTLTCKGDATHTCGGSNAVQLYYNAPTLPTGWSSASTSCIAEGTTGRALA SASWADDSMTVSLCLGYCETQGFQYAGVEYGRECYCGDSLINGASLSSPSSSCNKLCG GDSTSTCGGPNALQLYTKPTLALNLQVTNGYSYQGCIQEVSGRALTGDSIVSENMSIE TCTAFCQSEGFTYAGLEYGEECYCGNSFSNGATLSALSTQCTMKCSGTNKENCGGPNA IALWTSSQA I203_06241 MLASLMLVVLPLLAVVSASPVRRFTSAQIVAGRDGRCLGVQSPA GVGSAVSSVACTASGYLTRWDINPGSGSVILSGTGLALDAGSTPGNNGALKVWTSYPT LYQQTWYLTGDNRIAITGGNQCLDEGVNGIQTYQCTTGNTNQIFNVGGGSSPSSSSSS AAPSCTCPT I203_06242 MFNRPASTILSSLLLFAPLLVNASIFSQSDVKLTFYYDIGDKGG CGKAAGDPVPAGWADSSGINAGTTYCEQQRGMSLNQIGTNRIVAFDQDKVWADPAQWC GREVKVYGPDGIELIMPDGPFYIWDSCQNCAGGGKILDVSGEAFVKSKGGTCGGNNPT GFRYNVMDNYVVDPSVGLQNSGGGASSPSSPVIPGFSSTPAAASSASVSPTSAGSSFS PSSEPAPPVQPPPAFSSSPLQFTITTVQSEQAQPTAPTSTNTGGEHRPNNWGGWGGHG NNELAAAPTAGPVAAVADSNNAITAENTCRRKRKRRLAKLH I203_06243 MRFLTNSRLFGLLALLTLTRAEQNGTEAETDTPYFTIESSAATV YYDLKGGNTCSNIFGGNWSVVTGMTGPDATPPACEWTRGKSLTELDKVTPIAMNNLLV DNDLLNWCGRIVNVYKADGTPYVMDSGPFFIWDGCEYCGKDDIIDMSAEAIIGLQDQI PQSNSGCDNPQGLRVEVTNDYYWKLQYGGNVIEDPTEADKGSGTFGGPVPTAVTTQGV GSIDWNNPTATEAQSQQVSSATAAISTSSPVVGVSSLTSGAVSLSQTGAGDVPIGMPT SIPQSLSSSVPSTSSGGIESPIVSISQSATTEVESSIGSTAIPSAQSSSASGVVGGAA TSNSSLFGVNAFASDTGVSSVPTSAQSAPVASSSSVASGNAVPSASGQLDMEIPGSEE ADCEEGTYSCNGLQLRICGDIQSGSSKIGWIPTGQCPTQCEAECGVIMCN I203_06244 MSSSDDRVSQSSSVPLSNSLDRRPSGHDGEQPRPAKKRKQRQQF SCAECRRLKLKCDRQIPCANCVRRACGHLCPERERPQANIGSNGLLTRLETLEGVLQQ HGIPLPAEPGAVQPPQQSATQPPPSMTHSDTSKHHTSPPQRRPSPVPQTVVPTFSMDE FTATTMSHSPLARAGSALSELADAATSTQQAADAVPDQQIESDVGPPMPQPELHHVRF DFGTPTAETLNMYRHAQGPFQQDSPENQSHGTLVISQGGRSKYLGPTAASEWLKDQEI HEPMESPCISRMPSPERQGNSFVTPSFTALSTVPFPFRNQQMSTAELLAALPPTDEGT VLVDCYYRYFAWHFDIVPRAYFQPMFNRMYLAVVNTGRGQQPKVAPQELGLVYIVFAM GALHSLELRPNDPLAENYCNLAKICLAKADFLVNTTLAGVQALHIMAHYMLETERGRN GDSAWPLWGMAMRLLQAMGVHRDGARWNLPHEVVEERRRVFWESYSVDVFQANCFSRP CSLSLDYVDTAFPSHPGLSLEKDFFTLKFELSRISAAILDRAMKVNETPYSKVNELHQ RLCDFERLIPFRLRCRAALLALPSVYSNTEAAVRDSPPITQSNLILSLQQCTLAINIS EAVLFLHRPFYARAMYESEDPTRSSFGLSFLAVVERCHVIISVVAGIYALHPNVCARH WFFWYHVFNSAVCLGTLIFRNPNNPLVGFALSQVDSTIGLYTAVVQVSHSKRILHNLR WLLRLRAQAIRKVQKSSGEMGGTQPGADLGEESDDENVELIGLKTRLIERASRGTQTA KTILQVTPAASGITESPSNIINDTISLALQDILYSSETSGQNAPTVSKEITSNNDVND SSTNDLLHEFWDPMMLQDFSEKDGPAFTDSNWWNWDSSVIDSGTTENS I203_06245 MTASKLDSFRFGVAAASLGMHPSHTLEQKFAALRNAGFKYTEVG FAGYIDWLRQEIPDLPDSTCPEAWGEGGEPDPSDDELWEAMYKKADDLVALAAKYDLK VLMLQPLNQYEGWPQGSEREEWAWRKAQRWLILCSKIGVEQIQVGSEDKPDANAPYEK IAKDLYKLADFAARLNPPVKIAYESWCFGDRLGSWEETWKLVQLANHPNLGLCLDTAQ FPLSPDYGYKVRTGEGYTDQQFDEMLQRMSQVPGEKIFYVELSECVKPVVPLGQGSPF DTWAEKAQSPRGDRFVWAICGRPVPFIGRDAGRQVRTQEDYGRARVADCLKVILDSGF NGPIMFEFFEAISMERGDENVPEIYSNAAAKSLKHLKTLF I203_06246 MVRKVTVSEPLLKIGCTLGEGCVWDSRRQRLYFVDIDQNKIYTY EPSTGIYGYQTFSNKIGSISAMENDTGLVVDIDTGFAFVPFETSLPFPPDNTQKQLHH LPIEVPLNKEEKRFNEGTTDPAGRFLSGTMGHEIGTSDGRMFALQSKQDGTGLEAPLI LDNITCTNGMGWTADGKTMYFSDSWLREIAVFDYDLANGVMSNRRTFSILDDSYGWPD GMCTDAKGGIWSARWQNGTVIRFTPDGEIDVIVEFPKAWHMTCCVFGGPNLDELYVTS ASSNYIGENLPERSDGGDLFVVKGLGFKGVERNRFRGSLTK I203_06247 MGPDTQTSNHTQHIDRKVADNGEAADYSLALIDEDLVRAENEDK LTVYLCFLITAAAIAGFLFGYDTAVVGVALPLIGTDLGKELSASEQEIITAGTTIGAI FGSAILGAFADKWGRKWCITIADAFFTVGAVLIASSYSLGQIIVGRLILGVGVGGAAV IAPLYITELAPTAVRGRCIGVNALFIPVGQVVADAIGAGVQNMKHGWRLLFALGVVPS IVQLCLMHWLPESPRVSILKGRTDEARATMRKVYQDAPEAQIDFKIRVAEEYVAATTK LQRDLTLSQRVSKIWKTKAYRRPIITVSGLQFFGQLTGFNALLYYAGTLFGLLGLSNP ALGGLIPAGVNALFLFIGMSVVDRVGRRGLLIWCVPIMIAGLVWCVAGFYFMCKPTGG LLDTSYSYSTTNIGVVIGGIVFFVMGYGMSYSHLIWYQSEFLALEIRAAGSAIATTTC WIANLIVSVSYLSELETLTPAGTYGLYLGFVVTGYIFVLFCFPESKGLSIDEIATVFH DGFGIRKSTEMRHQKAKLQAQWKKENEQTPVHDRQRKAKETAHLEFAMRPTRGFRDDM NSIAGSDH I203_06248 MAPIAVSESNAPAAASQGLKTAKADQSKGHVGVGDNFMYDFKYN SPLPTLDRLGEDFPKDSDVPALVSKFVEEQLAPALKSSDAKRFASLFLDNGVWRDKVS FTWEYRTFNTQQKILSAATDLLPKVPVSEVRIIDPSPSLQTPYEDLHFAQAHLLITTD KVTASAVANFVLTKDGLKIWTLHTAIEGLKGFPELPNRDGHMIGDVSWNTQREIDTEF EGAEPEVVIVGGGHNGLMMAARLKALGVPTLMIERNARIGDNWRQRYEALSLHFPHWA DHFPYMPYPDHWPIYTPAAKLGDYLEWYCSAMELHAWCNSSVTHAQQNPDGSFTLDID RGAKGKRTLHPKQLVMATSLAGVPMTPKVPGAEKFKGTLRHSTEHDSSRAWVGKKVLV VGTSSSGFDTAYDFARRGIDVTILQRSATYIMSLTNSVPKMLGAYMPKDGKRPDLDVA DRLAYSMPVGPGEELGRRLCDELVSLDGPLLEGMEAKGFKTYKGQRGTGVQTLGYTKN GGFYFDAGACKHIIDGSIKVEQGWIDHFTEDRVYLNGDREREYDLVVFATGFSNTIDS VRKTLGDEIADRVGPIWGMDEEGELNSAWKYCGVPNLWIMVGTLQHGRYHSKRVALRI KALLEGIAGERYVK I203_06249 MKLEGKAFIVTGGAGGIGGEVAKQIIAKGGIVVSFDRYPKEKGE EITKPYHPERSLYVQVDIADLASVERGAQETLSIIPKGSLFGAVHCAAIAPGTTWTNK LVDAVKRYEDVLRVNAYGTFVVNAVIADAMNSQYPDEGPFGPRVKEERGVIINTASAV AWPVPARCLTYGASKTAVLGISQGMADFLGPYGIRVNSISPAVVASGLMTPNRIPYFQ KELAAGCIFPHRVTTLEEIGQAILFMMENSMVNDFELRVDGGWRGSSNWGAPEDPRQN ALSLE I203_06250 MSTHLPALGQDSVRPSTTELGSEDKQEVYHQEVTGDSSLPHGHL VDENLVRAENEDKVTPYFVFLVSIAAVAGFLFGYDTGIVGSALPMVGTDLGHALSDNE KEIITAGTTIGALFGALILGSYADKLGRKWAMAIADFFFTAGAIIIASSYSVAQMIVG RLVLGVGVGGAAVIGPLYIAELAPTAVRGRCIGVNAFFIPFGQTIASALGAGFDAGVP YHIGWRVLFGLGVVPSIVQLCLMHWLPESPRVLLLRGQPEKAKETLRTVYKGAPEEVI DFKLKVIAQYVEATTIMQRQFSVKERAKKYWTHKPYRRALIAVCGMQAFGQLTGYNTL LYYSGTIFGLLGLKNGAAAGLIPSGGNALFLFIGMSLVDRVGRRKLMVNIYPGMIIGL VWACISFHFLAKSTGGQLISGHEYPAALVGSLLGAILLFVAAYGLTYSHMIWYQSEFL ALEIRAVGSAIATCFCWLANLVVSVAYLTQLKSLGTVGTYGMYLGFISIGYVFVYFCY PETKGLSIDETRSIFEDDFGVKKAAAMLKEKKEIASQLHNQA I203_06251 MIRSINNHLSTSLTRPAITSGSIRYYAAQGQASQATNGSDDTDK SPSARQSRSAPSINPAPPTMEEPSLGESPLFLHTTEEAFTTPGIRFADGHGLKGPTGK GRQTRRMNLYQAIRDALGTALATNPRAFVFGEDVQTGVFRCTTGLVEEFGKKRVFNTP LTEQGIAGFGIGLASVGATAIAEIQFGDYIFPAFDQLVNEAAKQRYASGGAYPLEGGS LTIRAPIGSVGHGGLYHSQSPEGFFLGAAGLKVVIPRSPIQAKGLLLAAIRDPNPVLV FEPKILYRAAVEEVPTDDYTLPIGQPEIVRDGTDLTVVAYGEPLHICERAIDLLKSPP PSLIPFLPESLRPPNPAPSIQLIDLRTISPMPLGALTEAVKKTGRMVIVHEAGKAGGV GNDLAGEIGRRAFEYLEAPIGLVSGWDTPVPLTFEKFYQPDVIRIFDKLVETLAY I203_06252 MSQQPAQAFASHLINQTLSSIALLESLSIINPADASLIRQKLPS PTGPFPSLNPSSSSPSASFAGLNISQSPTSWTVRHAREDSIHSPPQQQPQIQAAPVQQ PPQSAPAPTLPPRGRPAESRAKALWDFNGTEGDDLQFRSGDIIVIDEEVNEQWYRGRV IPKGHTVPLPRSGLFPSNYIEKLPPAPYYSSPPPPQQPPQQMMVPYQGSAHSYYDQKP PPGQMQMMPPQQQMQGGVVVQEPQKDGKFGKIGGQLGTAFTTGIGFGAGSAIASEAVH ASE I203_06253 MLRLTTSATSSASRIIAGPSVRRYLATAVPTSPSSSSTDDAAAP PRRKRFEKLDDGLTFDDFVSGEELPDVNERVVLGNTTQPRLPSFLKHPIPTGASYSNI KKELRGLGLHTVCEEAKCPNIGECWGGGKGNATATIMLMGDQCTRGCRFCSVKTARAP PPLDVHEPENTAEAISRWGLGYIVLTSVDRDDLVDGGAAHIASTISKIKQKAPKILVE ALTPDFANKGKDTIHTVASSGLDVFAHNVETVERCTPFVRDRRAGFNQSLRVLEEAKI GAKKAGKEILTKSSIMLGVGEQKDEIHETLRRLRQSDVDVVTFGQYMRPTKKHMKVDR YVQPEEFAKWKEVAEGMGFLYVASGPLVRSSYKAGEFFIENVLKKRRAAAAEQAAAEL SSQSAGAIPNASVASV I203_06254 MYEPHLIDHASEQSRPRRESQSLLEELDKIAPIKSFDAFPKVQS TYTVQSRRGGVLTAVVGFIIFLLVLNDLGEYLYGAPDYSFHVDHELEKDLQLNVDLTV AMPCHYLSIDLRDAVGDRLHLSNSFVKDGTHFNTAGATSMKRTPNQKRTFTGIQRLLF SPPSRKGVKKSQAAYRPTYDSVPDGPACRIYGSVEVKKVTANLHITTLGHGYMSFEHT DHQLMNLSHIVHEFSFGPFFPAISQPLDKSYEIAKEPFTIFQYFLRVVPTTYIDAARR RLVTSQYAVTDYSRSFEHGKGVPGIFFKYDLEAMALTVRERTTSLYQFLIRLVGVIGG VWTVASFGLRVFNRAQKEVSKAVVGKEKEYIPSSLPSGSPAFERAGSGYFSRDDRGML RTTTWAGSPGGTEWKGR I203_06255 MSLSPTKHRTLSRQPSSPSLAVGLPPALPESNIMQPSSPSKTPQ TRSRKRIHRGDVSSSAASSENEQEDMTQITPKRKIINPVEAPLPPSTGKKSKKKMTLQ ERLAAAANAKAGASAEGGLKAKVKSSRSIADMSEPASIAESSSSVSILMTPQTRSEIL SSRSAVSSSGSSDKVVVCVRIKPTKNPFANIAYDISPTSLSLSDEHPKVKQRGGKAGR EDGYTYTFDKLLQYPSTTPELYGDKVAPLVDKAMHGFNSTIFAYGQTGSGKSFTMTGT SDELGIIPCAVDGVFDAITADTERAFLLRVSYIEIYNETLRDLLNFKKGPLKDDEKPA IHYAKGKVYVDPLVEEIVSTPQDVIDLLGKGNAQRSIGATDWNERSSRSHCVFTIVIE SRPRDGDGDDDIRLSRLNLIDLAGSEKAVSDSERRGEGKHINQSLLALREVINKLTEK TKATHIPYRNSKLTHLLENALGGDSNICVICTMSAEEEHCGETLETLKFAGRCSQVKT NAKKNVLQSSERALIKAKDQEIEELRSRLATLTRSESLPKVEPQVEPTSNLADSVAAM EARKIKLVAQLSKLNGEILTSELPRSGAGLPLSPPKPKRRRISDFSATVSTGTGRTGI GLGTPKKTVDRRAISGMSRLTEESEEMPGIIGALKQAAGGDVSKNFEQDRALAAARRN LAAKEEELSLANRNLASALSRASQLSDRDAKIVSLENELCEALDALASTQETLQRTET DLKDQNSQLESTRTELVSTIEDKAKKVDELENKVIDLRNSREELVIEDQVRLDEVQKK VEQTKQEKDQLKAELDTLKAQIVASEAKKDADVEKLNSEIRELLDQQTGYTAQLQTAS QKANQYEATISTLQSQINENLTSQLTLEAEMATLRTEKSLAERQTEEARADLDRFQRE AMSNEAKVLAELREEIGRLRKERDEDKLAWERMRVEMEASAESSERERKIQEQVNGLS GKLEDVAKANGQLEDQLQRESEAKTAIEKERDELQSRLVAAGGAEHQLQEEIATRTAL QAQLDNLTRQLAQSSVNLDTTHQSLQDLREKFSTAQKDLEIQEKRLEAECAARQEAEK RITELTQARDQAVAEVKDKDQLIQQERSTKSEVEKRVQQLIDQRAANLEGVKGMRRKL DAEVAARAEVEKQLSQLKEKEVAANLDEDALKKRFRDKVSAKEGLQQQLNEVTTQLKT MTSKLNEKEDNISAAMSIREDLEKRLLKLTEDLQASTTALQLKDQELNALALTAAQAE KKLAQSSSQDEHLSELQEQLFKETNARKAAELHLTKVSAELVNKHEAAQHVLEAESLS LRQALKTAQKEASDAKVEFEAQRIKLDQLSSELHDLKSRSEVTITTSISSRLRHSAPG PGHGIDKDMSATALDSLRSRSRRGVSSGTGALERDASLAMLKGREEEEIDRLEKIIEV QKEIIDEQREKIERWGKEMEKQREIVRLLTNDNSTPLPSPSPLPIRTGSPRGHGKSHS ISHSPMPSALPNAKGLVSTFTARNLALPTSPSPLPMHPTQFSNSSSRTRRRVTIEHDI DRLTETSKVNKAKAMFESPEKSTAAPATPPKVPLRATQSVSQDAEDELRDLLPEEAQE LRDFLEKRRWFEAKLKSLEDVPPIYPFIHPILISNDGDSARPRAFIREKESSSDYRLP TTDQIKEWQKERDRIEAEVMGFDGGDLERMKEKTRAATLLPLTPPSTHLVSITLDLIV LIDRLLTLLRHRGSLLELTAIRLRWDQIRWQIHLETEKIRDEVAQIVRDKGRWQPVME AQGSRKHNPQRKQSIQNIYMDQISIPQTPIACVPSLSERSSASGPSSPNISSPRPESH KSPKSHTTPKRSLHIPLLHSQLINLNIRQQNLQANEVKRSGALLDRMIDIAGPLSNLG GIDGPVDSAEKVDNGAVPDELLDLQDDIEEKVRDMGDRIAGGKQLEDHWKRSEEHHAA STDSLHHAEHLITILNLLLQEPASSERHREMCDLLEDAQKRLPIPINKSFPRPSHQAY PENDQHNEEVVAALTEVYNQAQSSLKTCQTGVERYGRLARSRDVILAQSSTATGIAAT SENAIHVLSNGDGTAIPPDLNDPLSLSASFAEWAQEVPRWIAKAQQQIEEGTTLSQKL SLAVVRYQNLLQQSCHGFQPLSVHDDLIEHAGKQSEDLMKLSMAVAAAVKATRDREQV FSASHPFLVSINAIQSSLAQLQHTISLNTRQAAWPTSGAVNLDLLKDEVSQLSQRVEK EVRIPSQSLLRRLPQIHEAFPRLWSYMEEQLFNFDEKEERLHQSIDLLDRVISQARAI RSADEDGALIRKKFEGIRQAIAASRPPTDVELDNMSEQVDEMDQDFMEWESSLAGRIP LLADTSGTLNPENGHIETDQMTLIGPTHVRIEDLHQLIDKSAVDQAVRKHINMSSLKL ASEIDNCKALLLRIKHEYWERRCHEAAAHIDNVVSRWQVLRKDVEREIARLDQQMDAE ISEASAPRNAADLEARYSSIFFQHRTASRDALEELKSRIKDMPEPNCNALEGKQWNST IGPATSAVQAVLSEIDQMQALLKDKSRIRLSPKTQVAIEQIEDIFGSSSTSNLNISAS PVDQIRQLQTELDKLNLESIVNPSSEDLTKTPRLQQLPDTHTAGNLDSSLKRISQKAQ DVKMDQANPNLALHNTLAASIAERQLLIPRLHRLARLYTVIKRCDSAHSDLLQAIDAE NPDQLEKLLMLAPSAYKAVIESSVEINDDQRVIREVKRVSQSWEELQMLMNRSDQSDK ISSRSPSVASYTTNRANSAISTASRLPRLVSSIPRATARSTSNPMTDSFPSPFTDSST ALRMRAVSDTPSRYRLSSLKKSAGPPLSTMGSITTPTSSDTGTWPRQSSLPRPSRSSI NSGSLTAQGSKVPRDFTKKEYIPNAKSKLDVAIGKVVNKLDVHVPVRPVGLNNADEWK DQSGQYWIGAEGRAKLCFCRILRSRTVMVRVGGGWVELSKFLLDHFADPVNSWQTPFG EAHLTRQSSISASLSNSSLFGSNLPIPITSASLSSQSMGRPVPTSYSHSSLASIASSS SERTPSNKPIALPNNEENYLSPEKFPRSTSNRSLPSQPHTPDSARKLSTTSPSGPGSP LAAFQFMRKASESPSIKEKEKERFHGRRSILGKELPAD I203_06256 MSQINSQRPSAAPLNNNTENNNGQPSNTGSSSNVPRNPPLPNQN AQSPLDWANFINFQTPPVSNAHGGNMFNNHRMPSQDEQYNNFAHGISMSRPSPSSINF QQPLPRGSNSSTASSRQNPHRQYSQSSTPSQPQLPTYHVPSQTSPQGPSPRSPGEQPM IGKGKSPATKSSETQDNGLSLDPSAFSRDIRFQVPSFLTNQVGGAPTFPPGGEAWSGF SGANLFGSDIGQLTPGTIFSNAFGFSNNNNSDNQQAYCDDNGNMQNMGGYVNENAGWE QWNHDQNKNDTNNNNHNLGAMFYVNPNPSPSILASRNPPARESSQRPPRNQMPPSINT NPSPNMDNQGQMQSPRTTYPHSSSSGSMPTSAILPQQPTFSHSPFGSYDHVPSASAPH NSASTINIASSSTAPYAPPSNTQSLLAGPMPPQLTDGPGLYSTTGFDMVGVLARVAAR RDPSTVLGPVDLSCSFLVVDIRRYDSPIVYASPSFCQLTGYELPQILGRNCRFLQAPD GEVVKGSKRKYTDNTAVAHLKRMLNAGKECQASLINYRRGGVPFINLVTVVPIPWDGT DIVYHVGFQVDLVEQPNAILRNMRDGSYQVNYTVSNPPEKPLRPPVREIGLTGLSGEV MEIMGQRVNTLSSGSGEEAGRMEWLKMVLDNTDDFVHALSLKGFFQYVSPSVRRVLEY EPEELLNKNISEFAHPSDIVPLMRELKDSTHAPTDGSSARHVNLVFRIRRKTSGYIWI ESVGRLVVEAGKGRKAVILSGRAQAVPALPWDSISKYGGLAETEFWGKISFQGLVLHA THGVEGVLGQPPEDVVGLSFFSLLPGGDNGPPAAALLQSDPSAPVSSLSAAIRRVLSG DTRNGAVSVQHKLVHKSGNQVDVLTVIYAPRRSIPDVPVGRGDDETSSNAESEISRMS SHDMASITGIPPTSLVIQVKLMVSPTPGATIQTITRARPVVHAPISNLFEELETTRGT SWQYELHQLRLLNRRLKEDIAAAKARGAGKGKNKKRKFESSVGDMGPPALPFANLHDQ YSAAPRHQLAPGFGLVTPGMGNGFY I203_06257 MPPRNRSTRVASSALTGISRTATKAKVKTDTQTPPVKRQKLSAP DPSPEGRLPGPSEPTQLIPPTLSFSLTDAISHLSKVDPRFGLFFAHLPCRPFVHLEAI DPFRTLVTSIIGQQVSWLAARAINNRFRALYGFDPECKDGFPSPHLVEKEDVAKLKSV GLSTRKAEYVISLAQHFTSGQLSHELLHHGSDEEISKALIAVRGIGQWTVDMFLMFSL RRPDVLAVGDLGVQKGLLRWALAAHGALPRKTSTTPKKGKSKAKVVERADGELDTVVR AATPDRAIKDTFPPTPSTPNTSHQAVLHTPDETTSAAQIPPTPNSPVPGEVAQIPAGT LPPPAPEEMLKPLNDNPDWDPHTAVPLPEGLSVDILKARLGGKKVKGGMYLTTKEMED LTQGWRPYRSLGVFYMWPAAEEQ I203_06258 MFQKVSDKFHRKQQSSASNKSNPSSPSASSRSVPTTVSSSSAAP APLAPPVDAKPTHTPMEGIEGPSPSPPPPGKSVAGSSASSSLSNVPPQARTLSSALGV HNSGPIPENQTPVSENRTMSIQMADASEPQPSLNRNDSGADEERIREKAREAQEQAAQ AQANLQMATQQARVAAINAAATQAALETVSTTPQDNPAPATTTQPQRKTAGRYALSDF YIERTLGTGSFGRVHLVRSKHNGRFYAVKVLNKEKVIKMKQVEHTNSEREMLVRVRHP FLVNLWGTFQDVNNLYMVMDFVAGGELFSLLRKSQRFPNSVAKFYAAEVALALDYLHS LDIIYRDLKPENLLLGADGHVKVTDFGFAKHVPDITWTLCGTPDYLAPEVVQSKGYNK SVDWYALGVLIFEMLAGYPPFFTEDGNPMKLYEKIIAGKVRYPSYFDPLAKELLKNLL VGDLTKRYGNLRAGSSDIFAHGWFAEVDWDKLYRREIPAPYVPKIEGEGDASQFDRYQ EADVSAYGKAGTGPYDHFFTDF I203_06259 MSQVQQMPTDGTGVLLSDPWLEPFSPALRKRYAAYKKQLDEIEA HEGGLAHFSEGYKSMGLQVDENGGVRYREWAPNATQARLIGEFNNWSHTANPMTKSPY GVWECYVPPKSPGVCAIPHDSMIKISMTLPSGDSIDRIPVWISRVTQDLSISPIYEGR FWNPPREQVYQFKHGHSTRSSEGLKIYEAHIGISSPNMRVTTYKEFEEDVLPRIKKLG YNCIQMMAVMEHAYYASFGYQVTNFFAASSRYGTPEELKSLIDKAHEMGLTVLLDVVH SHACKNILDGINEFDGTDHLYFHGGAKGKHELWDSRLFNYGHHEVLRFLLSNLRYWMD VYMFDGFRFDGVTSMMYTHHGIGTGFSGGYHEYFGDSVDLEAMVYLMLANQMLHEIYP NVITIAEDVSGMPTLGRPVYEGGVGFDYRLSMAVPDMWIKMLKELSDDQWDMGNVVHT LTNRRHLEKSVSYAESHDQALVGDKTLAFWLMDKEMYDYMSDLSPLTPVIDRGIALHK MIRFIVHTLGGEAYLNFEGNEFGHPEWMDFPREGNGNSFAHARRQFNLVDDNLLRYKY LNEFDVAMNWLEDKYKWLSAPQAYVSLKNENDKVIVFERAGLLFIFNFHPSQSFADYR VGVETPGEYKVILSSDEKKFGGHERIDLNGRYFTTPMEWNGRKNWIQVYTPARTVLVL GL I203_06260 MPNYYDELEIEDFAWDPVARLFHYPCPCGDRFEISKAQLRDGEE IAICPSCSLIVRVIYDYLDWEDYVTSDEEDDGESVDTPPTETTPDSEEPRPVSADAEA DVEDEKAAKKEGGGNDVQKQADPDIAEGIAGLGLKDRNNDSNDRKKG I203_06261 MSDQESTEPRKSTSTLLDGNHTFPSFYACYLLRSKATPNSNRTY VGSTPNPPRRIRQHNGELKQGAWKTSKHRPWEMQMIVYGYFSFPSKLTALQFEWAWQK PELSRHLRISDDHTGEDLGPIFSKDAKRNWVERKLAVAHALLSRPPFNRLPLHLRFFV PEVHDLFHNLEQNDSRPVSSRRTKKRVTQDWSPLPLPVTLTSIIDLGGVSGSTGARRH STQGVQSREGPIDVNDVDFRQGERVWGKWKAIEIRIKQEGHIRCEQCDGEVDHTNHLS FALCPSQRSCHYVSHLTCLSGSFLSNTTHVLPHQGVCPGCQDQLEWGQIIRASYARIE GIQREKDEAVKSARRAKRRGRRQKDEDVSTEDEHSSITPEIRSMTLETPPKRSLDRTA SDTLEEATITRAKRLPPKPPNDENERDEEMEWERFERELKAIR I203_06262 MSSYTYPGSGRSVRSRSRRGSRASGRPAGPSTRASTCVEDMNEY VVALSQSRGNGTEVGIAVHSLSTGHTVLTQVADTPFFQKTLQHLTLHPPCTVLVPENR NQAGENIPSGTQLIEEVEDSFELECVTLPREYWNREKGHDDILRLAVRNEVQTSTLMA AEEKYYALCAISALFKFLEVRQGLKYPKEALKIRYASSEGTMFIDTDTAKNLELVKNT LTNTTKDTLYGILNKCFTPMGSRLLRGNILQPSNIQHTIEGRLDAVQELLSSPEKLKS IRDGLKALGSTDLDTLILQASRKRQGSVSVVETEARISILLQLQKYIQGTKSIQEEMT LGKCRMLVEIQKEMSDPMLLEIERKIQECLTTDGITNSAKRRNLTTARLYAVKAEFNH LLDVARTTFKENLDDIEHTILTSMTERHSLHCTLEPVENKFRLALKPQDVEGRALPGE CINVQRKKTKCVFSSVLLQHNARLEEAQQEILLLSGDIVMKLLASVLDHISGLYRCSD AVSSSDGVYKFRLIYHLVRPMFGETIAIKLSRHPILDKTLGRDDCVPNDIYAAQGHAS FQLIQGPNMSGKSTFLRQVGLLTVQAMLGCYVPATYANFRLHDALLSRLSNDDSLDRN LSTFASEMATSAMILGLATPNSLILIDELGRGTAPLEGLGLSHAIAESLINRQSFVFF TTHFHDLATTLGSMRGVVRMHLRVQDNRVEDDNNAFSTTFQYKVEEGPAVIEHYGLKL AKLASLPADVLNRAKEVAMSLSELEAKGRDSTASHAMIKRRKLLLERREADNEELAQT LRHLQRESLDEFTKTFQAVA I203_06263 MSTLDPGRVLSVQSHVVSGYVGNRAATFPLQTLGYDVDVVNTVQ FSNHTGYGYTNGHKTTPEQLTAIFEGLSTNGLVSHYRVLTGYVPGAEALTVVAEQIKK MKEVNPEILYVLDPVMGDMGTGLYVSEDVVPIYKDMLSIASIITPNQFEVELLSGISI DSMATLHTALRQLHTAHSLPHIAFSSIPLPISLVTKLDLPAPPPSYMCLLPDPIPPWY DAVGVGEPEDEVLVCFASTWEEEKLETWAFALPTIRGYFSGVGDLFSAMVLAHFKNPE SQSDLPPLPHAVSKALLTVQQILLRTHLYSLIQTGTSGTATPRPLHHSSSEQHGSVIP SDAELDAIGPVNPKDPKRKAKRMRLRELRVVQERKLIVDGGQGWPGKRLDWQGILGHG M I203_06264 MYSIARSLRSPSTLSPLRNVARTTSPLLTSKRFNSGKVSGPVIG IDLGTTNSCVSIFEGGAPKVLENAEGARTTPSVVAFTKDGERLVGQPARRQAVVNGEN TIFASKRLIGRKFKDAEVQKDIGNVPFKIVAHTNGDAWVEARGEKYSPSQIGAFVVGK MKDTASAYLGKPVKHAVITVPAYFNDSQRQATKDAGSIAGLEVLRVINEPTAAALAYG LDKSDSAVIAVYDLGGGTFDISILEMQKGVFEVKSTNGDTHLGGEDFDIALVNHILAE FKKETGIDVSKDRMAIQRIREAAEKAKVELSSAGATDVSLPYITATAEGPQHINLNLT RARFESIVKPLVDRTVEPCKKALSDAGVKPSEINEVILVGGMSRMPKVVDTVKSVFGR EPSKGVNPDEAVAIGASIQAGVLAGNVTDILLLDVTPLSLGIETLGGVFTRLINRNTT IPTKKSQTFSTAADGQTAIQVKVYQGERELVRDNKLLGDFQLTGLPPAPKGVPQIQIS FDIDADGIVNVSAIDKATNREQSMTIASSSGLADSEIEQMIADSEKYAEADKTRRQII EEANRGESFVTDTEKSMAEFESQLDKEEREKVKKLLGELREISAKGAAGDATVKPEDI KAALDAAQQASLGLFQKVYEKRNAESRGSESSSDSASSSESESSSSEGEKKQ I203_06265 MSRNRRAAGAVRGPSSALTSFLANLGVEPSHRITTWGDTSGLNA NPTDDQPTLAHDGPVINPQDELDPAGAVTARNVVAGPSQAGDGTTELQNGNKKRKRDG GSDDGSEYNEPDSKKTRAASVDSDDLDAEDPSPTRPRKSQPPKATADTAKVTPGPLKP VGSFMDCGECTKRFTVTVYTKEHPSIPSTYLCVNCCYALGIDPFAKVKKAPQKKKVPG KKEDRAKVVHYEQKKGASALGDLCIQIIGRYIEDVEQLGDIGGINMDKVCKIISKSRR LTAETAQLFYSADRGDLSMYDCTRLTPEAFITISKLCPKLQSLNLQLCGQLSTDAVTA WGKSLKQLKRVELFGPFLVRKEGWLSLFKSVGKRLEGLLVTQSPRIDLETIEALVKSC PNLVELRLAEIGQLNDDCLASLQSLKKLKLLDLSAPGSPLTDEAVIALLSKIGGSLET LDLTDNPDLTDEILPAIITHCPRLRRLSLRNVVELTDEGVSAFFAKLKKQNRPGMEWI DLEKGHDLKDSSLDALLAHSGNTVEKLNLLGWKDISNDSLGGLAQCKHLKELDLGWCR QVTDFTIKDILDGCKEIESIRVWGCNQLTDAIPRKKGVRVIGVESHSI I203_06266 MASHRPAVLTDQPYTDPNPLPSSVPHVDELGVTSAPLKSASFFI GQHCKEVNEDFMLCKQENRDPAHCLSEGRKVTRCAADVIGKIKESCLAEFDAHWQCLE KNNQYFQACRKPEKALNQCVFTKLNLTKKIPGSPEGQPQIHEKSSPIFTRVQK I203_06267 MAQVVTCLDQPSSYRDNRSEIDRETERRLLAQSSTLYVGNLSFY TTEAQIYELFSTCARPDEGGGIKRIIMGLDRHQKTPCGFAFVEYFLHSEAVDCLRYIS GTKLDERVIRCDIDPGYKEGRQFGRGKSGGQVRDEFRQEYDSGRGGWGHQRLEEEKRR QEQERLRSQIQFDTYAAVGGLGMAGADVPRGEGAGADRQKRGRSEDEDIERREDEKRL RGERDDE I203_06268 MSENTELSDADKIRLKRLARLGTSTPTQQDGQTSGQASPSPAAT PPTTHTPASAGSRLLSTPQPTPSASSSPAASSSKPIQIASSSKPAAERNSTKTPTLAL GKRPTSSTPTSESVGPRVVPSKASSHLARIDYKQWETKKVGEVFSVTLSKQKAIESDW RLCWLKGLEEELIEENHPQPLETTIDLSDRLLIARLSLDTESMTSSNDPDALSIIAGL PPGETIFEYLTGCWKRLYGANRESNKNSFTNDEKTTWTAAFEKLKALVISYCGMTLED PTMFPQPKDKPVGPAEFLPLLLAIDVPSSTNGDQYTSSAQSSAPPKPGALSGADLLPF LNDLAIGFPSDTMADVITPTLSLFFQEWYKITPTPDIMGADWRRYLGAVALLVQVKSI AALLPTLPIWVAPGVTAPKLEWQSLLGPLTRLNVFPREFPEIWKTYFSNPTERKKEDI EANKSNLRYTLGGLHGSLFNIYNAIVRASPESREGILDFFALTIKLNEKRAGMRVDPR TVSSDGYMTNLAMVLLKLFEPVMDAQFSKIDKVDPAYYKTSKRVDITEETKIRGTKEE ADEYFGGSMEVDTKPNFISDLFFLTNAFVHLGIVKTIGTRSRAEKNMSEIEKELKRAE AQRSSWAGNPAMETQGEAALKKLKGDLATLHASLHAYDTQLLDPAMIRLNVSFLGFLM TWLIRLVDPSHKHPSSRISLPLPSEAPLEFRMLPEYLFDNITEYFDFLARYNPDALDD ADKDIVITFVVTFLSPNYVNNPFLKAKLVSILSYGLWPMGYWRKGALFDRLSVHSLST EYLMPTLIRFFIDVEATGGHTQFWDKFNFRRDIQRIFKSMWENPLHREAFVKTRHDDF DQFIRFVNMLMSDTTFHLEESLTGMAKIASIQTQQANSEAWAAQPQNEREDQESQLRQ AESSAPFHTQMGLENVKLIRDITATTKEPFVTSEIVDRLAASLDENLAVLVGPKMSEL KVTNPEKYSFKPKDLLAAIAQIYLNLGNEPEFIRAVANDGRSYSKELFEKFARLLKHR AIMTDAEVAEVVSFTQKVEDMKATIQMEDEREVPDEFLGEISLTSSSVMKDPVILPVS RVVIDRGTIRTVLLSKEVDPFNNVPLKYEDCKPDTELKAKIDAWLAEGNTQKAADVMH VDQL I203_06269 MPWQKTFTLPAHTKGMHLVTSHVVKECEEGLKGIDIGIFTLHCL HTSAGLTINENCDPTVRTDMDMAMDTIVPESLPWEHTDEGPDDSVSHLKTSLIGNSIT LPISKGRLVFGTWQGIYLAEFRHSGAGWGGRGQGRKVIATIL I203_06270 MIDRKGIWAKLQSYRTVILFGFALRVALFLGAPSLCHTLERRPE LSTPLTSIRSLKEGVYIYERGTDPYDGGVFYHSPIYLAFFTYIIPASSYFLTTLLWSL ADLASSIALINIWRAKSGLTDRTRECLVSALFLFNPYTLLSCLARSTTSLDNAILLGS LHFATHGQALPATLLLALATHTSLYPLLLLPSLIMILKQNTQYQQESSTLTSVIKYIS SFAALVGVNFFIADSSWIEQTWGVIINVTDLTPNVGMWWYFFTEMFDHFRTFFLGVFQ LHHLIYVAPICLRLSDDPLFATLVLVGIIGTWKSYPTLGDMALWAGFLGCFPEVVANL RHPLFTLTVHLYTSILLPLLHSLWLLTGTGNANFFYAATMVYGLNASLAVVDVLGAGM RVQVKKKATAMLLQEEMKDHNVDERADIKVIDKIWDGQGWSVVQFTGSLS I203_06271 MTTSPAIRQRPIVAVIGTTGVGKSNLAVALAQSLQPPDFPSTSN TTLSTSSLRYPAVVLSADSMQLYKGLDVVTNKMTQEEMGGVEHWGLSMVSPGEGGSWE VGKWCNEADNKIATLPEDTLPIICGGTHYFIQHYLFPPPELSFDRPSSAKGKSPMNDL RWTPPGPRPKIPENLNAEQIHLLDSFWTPTPKWPSSVIPDDIETSSEPSCSRPRRPTV SEDAQLLALHQLLCALDPKEGGRWHWRDGRKVRRGLERWWERGGPIEAPETLYEKLKD GVYPQGRKARFRTLIFWVYEPLEYLRPRLGKRVEKMVENGLLREIVELRDIAKRIYGT TEATDHTEGIFQSIGYKEFASLSLPQSNPTTDPAYALALERTKLSTHQYAKSQLKWVK KQLLPAVKEARSLGGEVEVYVVNGGEKGIDPALKVLKAFMAGEALPRAEDVGHPDTSS ILEILDDLSESKVPDTAERQDLNARKDCEACSSPGRPYSLSLKEWDAHVKSRFHKRNV NPIKRNKEEWIAQQRASGEAKRAERDRLKEELSALNQQQQQQPQE I203_06272 MSTSLVRQSLFRAVVKPSMLRASAPGLMLAQRRLVDFLVRRVKD SEEDHRGLWLAVVFVSTENMTPAESISYLNAQRQHRPNSPHAQIYQPQITWILSIANR VTGVALSGALYAGALAYLLHPVFPVIDSAHLISIVADLPTWVKGGLKFLFAVPFTFHT FNGIRHLSWDIGKGLTIKGVYATGYTVMAATAISSIYLAFFV I203_06273 MGSLIKTARSISSITPAQLHDHLSGSKAFPLHLTGLISSWPALT QWRLSDQLRGMRDAVGEDKSVEIELGKKRRGYLHPDWQRVNMGFAMFLDAFIFDQIPS AIPKSQLPSAYLAQSDLLDGSPKLAEAVPSLPHFYIGNEKSLYRRTVWIGPEKSFTPF HKDPYVGIYSQIVGNKTFHVLPPEAAQHLSPSNLARHTNTSQIPLPVSRILSATDDVH DLADLPRDILETCQHQLKQAFALDGACAVQLKAGESVLIPEGWWHAAEGGDGPGVGVG AWFR I203_06274 MSSAPTTREKLDVESSQAREARLGSLLSSISSPSSDSPKGTSLP SPSNPIPSRPSAVPESDVLARARAFLPMLQASNQELLAAAAQNPDSVNIEKINGGNAI AMDLGLGVFDAPSDSKSDLGPVINCEPPAELAAQEQQNAEESEESDVTSSSDSSSDSS EDESEEEESRTSNNSDQSS I203_06275 MPCKLSLEDEEHMRRNAQRVKLKQQFSSSSMTSRPQTKLPFAWA PGPRKAITREYSILESDSEIEDFQRLTLPDKRFNVYSQGIHPGRRSVRLALHRPSFAL KQIDEAKSNDLQDDEVEGGSGNAQEKGKGEMKEMTDVEKHVQKAPPPDHLILPFDTHL KTNDAQTNTKTSTLPDEDDMTVWQLGFLNLSRAIHEHREIDNSFLKLDLNLKVRDYRA AQASGNGGSTAIIEAFPNHEAEEEVSTASEVQKQIEGRDHDKPGSRGEEQVRSTAVKD RGDDEVVIEVQIGRGEVSEIVLDLSAMEARRVKVRVKRSE I203_06276 MPKRKCAPCDSLPTPPIQLGSLDAALDLLACDTFTRLEGLTAKF EACAKAKLEEGVVKARWEEAVKRYWVMKSMDELCCTAKKAAIEEADGEKMEEDGDSQA DGEKNGRPLPSRHHIGAIATHSLNAEEEREWRRWNPKLGDVVLVETAEDGFWPGKVID KKVFFQGRTVPRGNHFFPVRIYNEDMDPIITVKARLVPLSLRPNPPLLASPALLSAYH HAASPATFDMLAAARESLAAHNRTHPGVGDEPDRARIKADKDAWNQTVNWVMNERRIE KLRSVSEERDKQLKMVMKSEVLEGKGEGRDRLCDGDEMSNIFGPKKRRTFAVGMEENG SSSGTEGVPSSIFGPITINGGPSTPQRTASPSLASHIRPTLLTPQRPNSPRRSSREKR RNGIYIGMGESSPRGRGGTYTPPRILPSGDETAVRSSGSPVPTLQRFDFVSPLGPVKQ GKLTNGVNGESQVSPAMLGNIGRSGSLEVVREEEGEEDGWTVVEKKGRRRAGSEPAAE KKQLKSVDNIVNGSIKDDEMMES I203_06277 MGKKKMSLKPVQRGFATTSTASKKPEPAPEPAQKQEEGKDTSTN GTQNSQPEDQKPNIPTFNKQEPDWEDDEAMEKAALQSLVDKLHEKGEKEVARVVKTIE YDRRLATSFPELELDEKVRDEVLELASAENGKIAEDLSTVVPKTIPQSVLGTDTEKSL LRFYIIYHILKKLGFRDERIEQCLLEGLNENDSWEEALEWMWTHLTEDECSQKGEYAV KEEPSLVQPEEPLLELPTSDDTTPLPEVTKPKAPQQSSQSSTPPSTAPTSLFQSLDDS PASESDSDDDFDSNKINETWAKLSLELDNLKLAAGGNKGKGKKGKGSSVILETPEMRQ LKDKIGKVEKEYLFSRKDADVILKSFKSQRDAAALAARLKGTSIKPNEESKISSDHPS PAPDETSPQTEDIFSGSGDEDEGGLFGGMLDEPAEPQISEEPSTSNTSIPVRSMAIPK SSFAGNIPKNILKSAVTKQSKQAVFTYAKLSGWSRAARAGLEICWSTGRRKVWRMDDI ACNDMAEAENYVSTLALNDLSADGTLSGINWRTMPPDYRELWEELEVKRKEQEDVGKR EIWAKIKALYEKKAIEPVAGPKTDVAVKTTSTGTSTPTARGEQGSVDRFSQQLQDDFE KRRSSPSYQKMLQQRNTLPIASFREQIVSALDESQIIVLSGETGCGKSTQLPSFILED QLSKGKPCKIYVTEPRRISAITLAQRVSQELGDSAGAMGTNSSLVGYSIRLEAKVSPA TRLAFVTNGIALRMLESGSTGGSKGTAFDEVTHIIVDEVHERSIESDFLLIVLKNLMQ QRKDLKVVLMSATVDAEKISNFFGGCPFLSVPGRTFPVQVNYLEDAVQVTDWHIEEDS PYAIRGRNFKPVGQMVEWNEEGAKSDSDPSDDEDDDVKSRSSNPAKLSSTKYSSNTVS TLNLLDSRQIPYDLIVRLLEKICYEDQNLVPFSQATLVFMPGLAEIRKLTDLLQSHPA FGSNEFVVYPLHSTISSEGQSAVFNIPPKGVRKIVISTNIAETGVTIPDITCVIDSGK HREMRYDEKRQLSRLVETYIARSNAKQRRGRAGRVQEGLAFHLFTKARHDTQLAEHPI PEMLRLSLQDLALRIKILKVPLGKTIESVLLQALDPPSPVNIQRAISSLVEVKALTTN EDITPMGRLLSKLPMDVHLGKFLLVAAMMRCLDPALTIAATLNSKSPFVTPFGFEALA ENAKKSFAVGNSDFLTIANVFDSFRRASENPAFVRVFCKKNFVSLQNLQQIEELRQQL LAYLVDSSFVDATPEQRREVSQARFSRGVRTRFVNVPPEFNINGADVNILGGALAAGL YPKLLSLDPSSGGGLKTIINQQPVAIHPSSVNFKVPKSDFGTNYLAYFTIMQSKRLYA WETGPVDDKALALLCGDIADFRIPASSLHLDRKIRYHVSPKTAIAIKLLREQFAAAMS TRLRGKKSTEGQERWFELGMKCLRVAVNEEEARVGLK I203_06278 MSTQPRQQPGSARTAAQTEVEAGPSTTPSPSEQPPAGVLKLRGG PLKKQRVVWSDETVDNEGMGKKKSKICCIYHKPKAFDESSDESSSCSSSDEGHSPSSR RSNSHKHANGKGQKQRSRDGGDGDVKVSSDSQSSESDGGAGDGRARPSRKPRKHRHSH DCDHHGTGRVNKYDVQPKPSGETSGDQGQDRS I203_06279 MSEFRAPPLDVSSILHGGIHHPTLRSWQSNGRNLTKSMFIYPIF ISDDPDAEEVISSLPGQKRWGLNKLEGFLGPLVKKGLKGVILFGVPMNMEKDARGSAA DDPSTPVIQALHLLSKLYPQLMLTVDVCLCEYTSHGHCGILSSLPNPGHSNQPTIEME PSAQRIAEVAVAYAKAGAHCVAPSDMMDGRIRAIKLGLMHAGLANRCALMSYSAKFAS GLYGPFRDAAGSAPSFGNRKCYQLPPNGRSLARRAIQRDAREGADILMVKPALPYLDI ISDCAQYAPDHPTACYQVSGEYAMVVAGAEKGIYGLKEMAFETTESMVRAGASIILSY FTPQFLDWLDKEQ I203_06280 MFFIKFSSIVILGLAIAAPAPFAGDDAMAERDAIAPIDKRQEIH CAYHQVCYCARTKVRFTCQDVNGCELGCPNGPIIPKA I203_06281 MLFKLSSIVTALALATTISAVPAAIPVEGIVKKDIEERQVITCG YYEVCYCPRNGVRFTCRDPQGCSMTCYPS I203_06282 MELNGGSVVAMIGKDCVAIASDLRLGNQAVGVASNFDKVFPVND KLYYGLPGLATDVYTLKEHLRFRVNMYRMKEEREITPKTFTHLVSSTLYEKRFGPFFI EPVVAGLPTPTDLDPHPKPFISTMDTIGCITTPKDFAVAGTAADKLYGVAEGLWEPDL EPEDLFETVSQTLLNAVDRDALSGWGAVVHIITHDKVITRTLRARMD I203_06283 MTAQQSQTGSEIQPISILSIPRALKSALTDAGYKTLGDVRQLSV NDISAEIGIPKHQAEDLRQQIESFQGSSQQQSNYIPPQSQIQASTAADLLSSAYLPHF STSSTSIDHLIAHFQDPGRRHLPSKPTRKGKEKEDSAAITPGMAIEVSGPPGIGKTAV ALGIALNARMTGINDAEDDERDPAGEVLIIDTEGGITAERVRTAAEAITRTCSTLSRD ILHGIHFVRIPTQTQMMAFLLTLDEWLETHPKVNLIVIDTLSFHFRQPGLDMSTRRRM MELLWLPHRVKQKIGQATTLHHCAVIVCNQMATKLMTAENKPANFDTGDRAILMPQLG DVWTTGKTLRLCLFRGHPGDELRYVHASMSGSTRNLPWAAFDIDNDGLPCDIPEKIFE RPKTPPPKDLISNTALLDF I203_06284 MSRQQQNPFDDLLSDNPHPNQHTTQDDPFGDDEPDLFSPSGATP YNQRARSGAGRGSSKPQHGYALDPFFDDDDEYGGGGQSSGYMAPQSSSTVNVNRSFGR SDPSLLESHMPLAQSGAVPAGFAGPPDDKQYHPTSSTSKAYGMGGGDPFDDDIEGPSA YAFSAPGSGPYAAQKKRSRWQRIREDHLTDVDWTFGLNKMLGRRGKFDGVPREISLND AEGNRVKGYEKNSVTTGKYGPITFLPKFLFAEFSRSANLFFLFTAIIQQVPNVSPTGR YTTIVPLAVVLIASAFKEIKEDLKRHASDRSLNNSIAQVLINQAFQPRPWRRIRVGDI VRLESNAFIPADMVLLSSSEPEGLCYIETANLDGRTNLKIKQSHPSTATLTNPQAVSL LRGHLLSEAPNSSLYTYDGTFHLSSTHPGAAPTKIPVGPNQMLLRGAQLRNTEWVYGV VVNAGHETKLMRNATDAPVKRTAVERQVNRQILYLFVLLLILSLVSTIGGSIRTAFFN NKSWYLRLAGESPNKAKQFIEDLLTFIILYNNLIPISLVMTMEVVKFQQASLINSDLD MYYAPTDTPALCRTSSLVEELGQIAYIFSDKTGTLTRNEMEFRECSVFGTMYAQTVDD NKREMGQKTFETLRQRCMEDSEEGATLREFLSLLAVCHTVIPEEREGKMVYQASSPDE AALVSGAEMLGYRFHTRKPKSVFIDVNGRSEEYEVLNVCEFNSSRKRMSTVVRGPDGR IKLYTKGADTVIFERLAPDQQLTESTLVHLEDYATEGLRTLCLAYREISEDEYAKWSM MYDNAAAQMHGRAEALDKAAEVIEQNLNLLGATAIEDKLQDGVPDAIHTLQQAGIKIW VLTGDRQETAINIGLSCRLISESMNLVIINTDTATETSELLNKRLFAIKNQRMGGDVE ELALIIDGKSLTYALEKDCADVFLELAVMCKAVICCRVSPLQKALVVKLVKKSTDAPL LAIGDGANDVSMIQAAHVGVGISGVEASQLKHGGLQAARSADVAISQFRFLRKLLLVH GSWSYQRLTKLILYSFYKNITFALTLFWYSWFNDFSGQISFEGWSMSYYNVVFTILPP LVIGIFDQFVSARMLDRYPQLYQLGQTNHFFTPVRFFYWVGNAFYHSVVLFLFSVLVF YNDLIASDGKNSGLWVWGTTLYLAVLLTVLGKAALISDVWTKYTLAAIPGSFVFTMIA LPLYAIIAPLLNFSLDYKGIVPRLWADAVFYFCLILFPVVCLLRDYVWKYYSRTYHPT SYHIVQEIQKFNLSDYRPRQEQFQKAIKKVRATQRMRRQRGFAFSQTETNDQDQTRLI RAYDTSVARPSGY I203_06285 MSDSESDGFIMNDGGSESEGYIQPVKAKKPAAEKKAPAKKAAAP KLPKAPAAKKATTKKTPLASKNLPNESISEDDFDMENSPVKAKAPAKVVEENDEDLGA GPSAAVPANKKSASEVYQKLSQREHVLKRPDTYIGSVEAISQKMWVFDEESKGMIYRD ITFVPGFLKIFDEILVNAADNKINDPTMDSIKVTIDREKNTISVYNNGKGIPVEMHKK EGVMIPELIFGHLLAGSNFDDDQKKLTGGRNGYGAKLANIYSTEFIVETADKVNGQKY KQVFSNNMDKKGTPKITENKKGEEWTRITFTPDLPRFGMTRIDDDTNALLMKRVYDMA GTVKDIKVFLNDERLKIKGFKQYVEMYVNSASASTSAEGAAINKPPLVYEAVNKRWEI AFTLSEGEMKQVSFANSIATTKGGTHVDMVSTQLANKLLEQIKKKNKAAPVKPFQVKN HMWIFVNALVENPAFDSQTKENLTLKSSAFGSKCDLSEDFIKKVAKTGIIDNVLSWAR FKQDQIMKKTDGAKRSRVGGIVKLEDANHAGGRNAKNCTLILTEGDSAKALAVSGLAV VGRDEYGVFPLRGKLLNVREAGHDQIIKNVELQHIRQILGLKHKETYTTTDSLRYGHL MIMTDQDHDGSHIKGLLINFLDHFYPSLLKIPNFLLEFITPIVKVWKGKQEHTFYTMP QYEEWKAANNDGRGWESKYYKGLGTSDSKDAQKYFADLDRHRLAFDTLKDEDRGLIDM AFNKKKADDRKEWLRQFKPGTFLDHDIDVVPISDFVNKELILFSMADNLRSIPSVADG LKPGQRKVMFATFKRNLTKEIKVAQLVGYVSEKTAYHHGEQSLASTIVGLAQTFVGSN NINLLSPNGQFGTRLSGGKDAASPRYIYTAIPRMTRAIFHPADEGLLNYLIEEGMSIE PDYYLPTVPLVLINGADGIGTGWSTSIPNFNPIEIVENLRRLMRGEEQEKMNPWFRGF KGSIERIEQDKYKVSGIIEKIDDKTIEITELPIRKWTQDFKEMIEEMTTGTDKVPATI KDYEEHHTESTVHFKLHLNEANMKAAEAEGLDKRFKMTTTLSTGNMVCFDLNGKIRKY TSAEEILSDFYGKRLEYYGLRKQWLADELNKQFERLSNQARFVQMIISKELNVNNKKK AVIVDELRALKFRPFPKKVQAKDAGETEAALDEEDEGMASDYDYLLGMAIWSLTVEKV NKLLAERDAKEGELIELLKLSPQDIWNTDLDKFLEEWNILLENDALALKGLKPKTKGA IKAAQKKKKRAAGEDTDDEEDDFKPKAAAKPRAKPKAAAAKTSPVKTSPSKRDSTNVS EDGVDVKPPAKKVAAVKPAAKPKAKIETKMELDDSDDEMYTIPAPKASTAAASKAKPK ATISVIDDDSDDAPIKPKAKAPPKKKPAAISDDSDADILPSKPAAATKAKKAPAAKAK KVKEESESESEFNDSMLVDDYEASPVAPKKPAARAGRGATKKPAYIEMSDLDDDSD I203_06286 MTTSSSPVPSSPVSITRSTNLSARKTYSRKASIIREKRKAEALG EDTDDSDEEITYGSASTSTKGKAVTKDGSPITKKVKKGGSLVDRTGDISPQNRISRSS GGKRLTSARSLPSSPSGSRTPSTSPPPIKKSKSTNIVPTSTTTSTIPKSKDQPKRSAP VVDIHPPSSSPPLPPDARAPSTPPRKLRSLSRTNTPRGSPSAYEDLFSAVSPRKDYFD SPGKSTSPGTLDVNNRPKIGRPGGMRRMLTKSQSMTSVPISPSKGEKEERDVDEDDKS SFGGSMPHSPAQQTQQAPTTPSKSLLRTQSMPESPSRSLPRGTDYTSSAGISAQVASG SGGGSGGRARRTYGGKRSMLAEVSQVNLDIANVSRDELGVEETAPEASYAELRKKYET DNDETQNGSGNLMAELLLARAPQAVSDMRSKGENRRFMDELSCLIEGITDPSMGMSFK RTSAIDILDNMQDESWLAKMHICGQVEKVWESFMEARGVEPDLAMETICMLFLETLQQ SNSGIEHIVSNDAEKVLDLVLRNLRVRNGPLDGNVKGKLSNATQKIRRIFSSLQSVSS SVTPSTRLLASTVLIDVYQSNLLKDSSEVVEGAYIFQQVSRNLRSEVKTLGDRFDLNE KGLDLLPAENAPDFDHVYALLQIIGYTLSNADDRPKELQQRHKDLIEDLMIIIIACSS LVLNEEDVSVNTSRCVLQSLQLLLVITDTSPEWAAQIIQVQGGATSLARLILQREYFI PGARPSDDGNEEESQQTEIDVDMETQGVSTSGLLEDFLLTVLAVSIQCIQSGDETIKV IAVTKIDSSCKGKYGCLRKCHCLDALPLTHHLSSLYSEFSDNDKSIFARAIAGYLALV ITKLMSSREEMQEQDIEPLPGSSRREKLEGLRASLRGLKYELHQNLKRLLMSNSAAAR IEEQESEEEEDEEDEGEMQDIQGALDDIERMIRELI I203_06287 MSKTTTTNVNQASGKASASTSTSASSAWSRGPPTASATSTPVPS NPASGSNTPAQSNSSLPNGVNNQSTASNGPNPVPIAGGGHSRKSSMLVGGGMDIKRGN IAFGTVDHPNSMLSSSPAAPSTTGAHLSDAVKSFGSIDADASNDSAAVKTKRMSSLGP QSSASPPPTTGAVPPKKNLDLHSLFAGKPHQQAPAPSTLSPQQQPAVPPTHDRRQSMS QSGFQPGPNGLPNSPYTGTPHLRPPVSGLPNQQRSPVLNQAIPPNQFNPSAPTHVQQG FRPPQQGGMPPQQAQVRPNGVGPQGMPRPGMMMGPGGMGAYGMHPGPQGPGYPMMQYP QQNYYQGYNPYEQQQYNQQWAPQQHPQNQQYNSVGYNPAQQQAGPMSPRAAQGQLPVS QSPMPPSAGLPSSGGASPAPTPPTRPPSLMSGHQPTPSNASASSIPVTPQRPMPPTFT PQQAQSPSTPGFPPHLSGSAPTFTPRRTTAAIKISRPDGSALDLKAEAAVKAKTSPAP SSGAGTPELGSEPQQEAPKKKLALPVVVRIESEEQKKLRLAEEAAKEKIRLTEEKEEK ERQERREKKAKEEEERKAKEAAEKAEKEKAEAENKEKAAAEAEKKKLEEAFAAEQAAA EKAAAEKEANAKALREHSEKAEQEKAAAHAAAQEAREKADEQRRALLTPAASTPSSPL ASPALGAGLPAKPVAAINGARRPPPSALDLKPSSPSLADESPSASQSALNTAKPIADL SSIVYPGSMKSPQPQLNVGAEPGKFRYDRDFLMQFMGVCREKPESLPPLEEIGLEADA SSGFGSRGSRGGRNSMGPSSRSVSGAPTGLGIGGLNRPAFPGQGMGSFGMGQFGSGSG SLRGTTSEQRYQATLRAGSMGRSPSQGGPGMPGVAGLPSMGPSTSRSGASRGSQRGTK RAPQEPRASLSQEPAPPPLQVSGNAWTRTRLGGDDEGSPAFIERKVKSLLNKLTEEKF DGISKQILEWANKSQNETNGMTLKLVIKLIFEKATDEAHWSAMYAKLCRLLMVELDPN VTEVLEGKPTSGGVLFRKYLLGRCQMDFENGWKAREDTAVAAAAKSEEDKERLAKQKK DQEAQEKEGGAEGETEAVMMSDEYYAAQKAKRRGLGLVQLIGELYKLEMVSKGVIRQC LIRLLGNVTDPDEEDIESTCKLLSTIGEAYDEAAPDNMNTVFERLNQVVTSESISSRI KFMVMDIMDLRKAKWKSRNKQAGVMTIAEIHQQAAEEKAAAAAQVSQGSISRGGSRAG RSRDGPQPGEWQSVSANPRAGLGRPADFSNIGRNISSTGMPSAPTFGPSSVFARKGKA GAAGTVTPPLSRQPSSANMFSALNDATEPSPAEGRRGSADAGDNAPQRKKLQLAPRTK PLPGEGEGEGEGEGDEEEEEEEEEEASAEPAAPKELTEAGAKTKIASDMKELWGEKDQ GGSRDPEDIAEYFRTLPEIRRHLLAERLLEDVFRIAKEKDAKVVAKGWKAALEANVVT TDVLRTAIDARMPSLDDDSLDFPSAYKAIGFLIRPLSLSPEEINALADKIDVYGEPKI TPKQKFEKALAQVAEEEASA I203_06288 MNQLTSEQAQALWSAGGFLVFDGLSEGSEFGIDGSINIIRKFSG IKFLPPGMHLITWSPTSSASSSTTGPASPAGPAGPAGIPIRSGIIRYTKPKERFVIRY DSQSEHTEVQLDVVISDDRLKSLDGEMAPYPFDHLERWKSLTSHITEETIIQVIGGNN RVINGLIEVEGEEEDSVDRRKGNTNQGDENSTGGNGDKLNFVRFNLKKSWRDGAIGEE VTRYSKDKSWLLGDVVERQLGGDPIKLISQLQLSFILLLHLSSYSALLVYKRILTLLC QSTAILYTPSEYSSSSTSQKEVQETFVSLVDTLASQIQAIPDGTFDTELPELDVFYLD QIDSMRKNLSGGISISKDSDKWDENAREKLGSSWTKLKEAGKPWGWEIGDLSIDQVGQ NSDDDEESEEEGEYAPVIVEM I203_06289 MAPSAFFPTLLTFHVFPFHAPITLYVLDAPFGRFASKTSNLNVN GNIAWAVAELVSPLTFATTLLVNPPPTLNYPARILAGLYFAHYAHRAIISPLLLSPKR SPLHVLVALAMGLFNLFNGYLIAMGLAFYPPQAEIDWKFWLKVGGWAIGFIGNVYHDE VLNDLRRAPSERLVISHLPGDDDPKSGRYKVPRGGLYKYVSFPNYLCEWLEWTCFALA ATSSSTALLALPPLSTLRLQAGLRGSIVKLIAKIWWPPYLLHPTWMFVLAEITSMLPR ALRGHGWYKEKFDQYPKERKAVIPGIL I203_06290 MAESSGRSEVPTVPIAELYDAIPYNDGRSTGSESRAMKHFLNQH GDTKIGRRTLGAIISEVKQITAPGRAYFNIDRFHQNSSLSVLLTGQTQYSNGNAADQR FRVHGPALTSASTVKDRDALRTVLLMQALSHGPNDPNSTRERPLQRLTRESATALISG CDRASSRHGTVSENFERANPAISSAMESQDETGSFNLNLEQTPMLKELPGISVFIPDR KVRKRLKSNLNASQRAGTISAFSVTPEYLGADYEVIKNGLEKLQDTVLRSDTQRDPDG IMSYLAQRGFTSAATDLYKNSERDKRCAEWSFNLILAQAATGKKLPTNRKADMMSDDY MDEWNATLSPSTKYTKSTDRIWPKPSEFLTVNDQDVQSFFDDVARTQVAKSKASLDAL EYSQCIAKYPGLKRKVNTILAGLGSGILEAARQAGDNSITRAEDYAGQSYFDYAINQI RQEGAQNIEDLSEQDIVNMLPARALTEYNARFDH I203_06291 MLDGKLLWGNTPSAFRGQLQPSELLAEGEELLFGKSIQSVADRS RARACAATGNSNPGPTVSEHMRSQDPLEYALSILRKEYDEDELDTYDPLAIEPLLPHS ALTALGERQLTMA I203_06292 MGGVSSCCGPRRKNNYEPLLLENEREAVADLLQYLENRSTTNFF SGSPLAALTTLSFSDNVDLQRSAALAFAEITEKEVREVGRDTLDPVLYLLTSHDHEVQ RAASAALGNLAVNAENKLLIVSLGGLEPLIRQMLSSNVEVQCNAVGCITNLATHDENK TQIAKSGALIPLTRLAKSKDMRVQRNATGALLNMTHSDENRQQLVAAGAIPVLVSLLN SPDTDVQYYCTTALSNIAVDGTNRKRLAASEPKLVQSLVQLMDSQSLKVQCQAALALR NLASDEKYQLEIVKFDGLKPLLRLLHSSYLPLILSAAACVRNVSIHPANESPIIDSGF LQPLIELLSFDENEEVQCHAISTLRNLAASSERNKGAIVEAGAVERIKELVLTVPLAV QSEMTACVAVLALSDDLKPQLLEMGICEVLIPLTNSSSVEVQGNSAAALGNLSSKAAE DYAPFNAVWNKPDGGLHAYLVRFLSSADITFQHIAVWTIVQLLEAEDDQLTNNIRSSP ILMSSIRQLAASPPPSRGGRGINEVSQGSEGEEDYEDDGLDGEGEGEIATLARRILDL TEDGARDINDGSHFSTHHQGENSTSGVAGSLGSEHAALRASVHRALSGGH I203_06293 MYDGPIRGGTRGGQGDFRWSQVANDKHRENYLGHTVNAPVGRWQ KNQDIHWYNREVQDDDQERAAKAKAEEIRRLKQQEEDALNIALGIAPKPRHDDDENGE GTGSNDIPLPKSEKDLEIERLEREERKREKALRKEQKALRKAEKEVKREEKEHKRSNR RHRDDDSDYERERDRHRSSRYHDDRDRDRDRDREKERGYDRDRDRHRSERDRRYDDDD RDKHRDRRRDDRRSREKDDWRTPPVKRKRHRTRSISPKRERDRSPTPDRYRRRDDDHH RDVKPSRDDLDRRR I203_06294 MSWFSSQSSNSSKDATNFYPVTSYLSGYGELTSADTAWANTSNK GFQTETQIWYTVLEDGTWIMVQIIWSYVGIFLVPATTQMTFKVYNPHTQKSTWRSINA SGAKFDKQNLKTDQFEIKHTGSPTTEEVYHIVADLDKSVHLDVKFTKPAEAPGFKFGQ GAQGGITTFGKDREEAKRDGFVVHRFHPFSFSSGTATIEDKPLDVKGDGMFVHAIQGM RPNLVATRWNFAFFTTTPGADDPKLGGVRAVQMEFETTDEYGPKGSKSGRTKVNIGAV YTTKHSPLIVTGQTHLPPSSTDVYPHPTDSISSAVHKSPIKDKETGYLVPSSIEFEWS AQKVSGEGKVSAKVVRDVAGAVVGQGGLIEKVDVLAEIPYVIRKGLAAVTGTKPYIYQ YHNPATLNVEIDGESIPVKGYLFNEASFVSE I203_06295 MQFKDFNFYFFFLGFILTTILATAIPLDEPSSSLVSRYEGLFPR DSCICEYDPEDPSKWDDCVDRCQEPSKRVYFSERDLTYMTRAICYCPFNQTSCDCGPI RPRPPKQCCGIIHPPISLSERDDSINTTPVLHARACPPNQEQVCYIYRGIRYCVPCIE ERIVCPLEDEQIICLDDVDGIIRCPPCRPPRPPICPLCVSHRNGTIVCPRPCPTSPIV CPLYCIDYPNGTVVCPGCGDRPPRTYTNPNSLPSATTNT I203_06296 MLFTTKILGLALTSALLLLPSSLASPEDRPSLLEYRSWLPENTC ICPYDPLIPEGWLECVGRCAQHEKRVHLSTRDLSHHTRRVCVCPEDAPNCPCPLIPPP DCCTPTYKRDELTNVMPALHARSCPPEGEICHVVNGKIECIPCIQERIICPQYKRAEA DESSAIICLATTEGKISCQSKRDTEATSALWCPPCNPPYPPNCPQCWYNAFGTVVCPL CPLPPATSTKPPKPTITFCSLSRKYCLAQPDGTYKCPGCGPIPIDVEVTDIAGADPTV APAK I203_06297 MYLADRDLTEFTKRLCYCQDEDPYCTCIEERIVCPVSKRAQSES AICLRGLDGNFICPPCQPSCCPRELSRALHDSNSDVWCPPCDYPQAATITEQVKDITQ PTAK I203_06298 MHLKSLLALVSFLSLRNSAPIPDGIEDRAIAVDPTVVTARAIAV DPTVVTARGIAVDPTVVTARAVAAEPTVVTARAIAGTPTTVINKRQDDGGVRTVKHIA RQTAVLGGNAAGGGDPGTDDGGADPVTTPVVRPATSQAVGSKPWWWNWSW I203_06299 MHFTTISFLTLLPLSTIFALPTPPHPREVDDDAKINAIGRAIGA IGITQDPSTTSYTPSLSEVGINAIGRAIAVISVEPPSSSSTSKGVGINAIGRAIGIVP SSSPTPIPEVGPNAIGRAIAVLPAPSSKVTIEAIGRAIAISPSEAVKPNAIGRAIAAV TSEAEEGSTNVPTPTVGINAIGRAIGVVAVEPSSVGVDAIGKRAIAAIGVQPSGVTVD AIGRRAVATVSTHLTGVKVYAIGRRAIAAVGGVGVDEATMGVNAIGK I203_06300 MQGRLPQMQPTVVLLREGTDTSQGVGQLLSNISACLAVAQTIAT TLGPRGMDKLIVDDRGLATISNDGATILKLLDVVHPAARTLVDIARAQDAEVGDGTTS VTLLAAEILKEVKPFIEEGVGPHVIIKGLREARSLAIKKINEIAVTIDKSNPEKFREL LLQCASTSMSSKLIHSQTPFFANMVVDAVLSLDQKDLDESLIGVKKVPGGGMQDSQLI KGVAFRKTFSYAGFEQQPKSFKDPKILCLNVELELKAEKDNAEVRVNEVSEYQAIVDA EWSIIYKKLEAIVETGAKVVLSKLPIGDLATQYFADRDIFCAGRVTSDDLKRVTQAVG GSIQSTCSDIEPHHLGQCGSFEEKQIGGERFNLFQDCPQAKTCTLILRGGAEQFIAEV ERSLHDSIMIVKRAIQNNSVVAGGGACEMEISKYLRGHSRTIMGKQQLIVGSIAKALE IIPRQICDNAGLDATDILNKLRMRHAQGDTWAGVDVDSENVQDNMKRFVWEPALVKTN ALSSAVDAACLILSVDETVRNPQSEAPQAGPPMPRGAAQQALRGRGRGMPRR I203_06301 MSELCPPWAPFFGFAGVASAMIFSTVGAAYGTSKAGIGIAGLGT FRPDLIMKSLIPVVMSGIIAVYGLVVSVLIAGNISPTEPYSLFAGFIHLAAGLACGFT GLAAGYAIGIVGDACVRAYLYESKVFVSMVLILIFAEVIGLYGLIVALILNTAVGEAV CGAT I203_06302 MQPSIALLALFPLLGSISASPLKARQEVIGVSSPSAEAGGATAT ESGVIASSSAATEASTSAADASATSVAESSSVSDASASASSTDSVAVAAATAGSTADT SNDLTVVKFAALAESLEKTFYEMALSKFDAQAFTDAGFVDGQGIFDQLQVIATDEATH LTVLQSVAQFLGSSTSDVDSCTFNFDSALTDVTTFLATARVLEFVGIDAYIGGTTLIG DKSLLVSAAEITTVEARHNTVLNTLNGKSSVPNAFDMVLTPQQVLSVAAPFVSGGCDP VAALGLTPTPALTVTNTDVPQPGTLLTFGGAGLDGKDQAGLFCNMIVGGATESINLPI AECKVPDGLDGAVHLFITSSSTPLSPNIVIQDATAIVAGPGIAFIDTIANPQSQLLLG TNINSNAAATNGSSGTGKKKIVITQTIVEEDIV I203_06303 MADARKKQLVFNIIEFLRTSAQDGTVKEDDKESLEVAVQCIAES FGVDPDSSEDQKSYSIAPASLLSILDVFLKTKAKSAPSTAPSAAGHSETKVPSEPTAE DKKQAEALKTKGNSLMSSKLYDSAIEQYTQAIALYPNPVYYSNRAAAWGGLGKHEKAI EDAEKALDLDPNFSKAYSRLGHAHFSTGDYPSAVRAYEDGLKLDPSNSNMKTALATAK SKLAESSTNSVADREPPAGGAGAGGMPDLSSLASMLGGAGGGNGGGMPDLASMMRNPQ LMAMAQQMMANGGLERLMQNPALRNMAENMQNGGGMPDMSQLANDPSMRDLAQQFMGG QGQGQGRGA I203_06305 MSAYGAIAAPESKGPLEIRSWPLPVEGLVLITYPITGSDAPQEV IEYLFRVFEEELEGGRTYPQEGPLSLEGFTSYFFGSTTIVGVVQSTSEEVKKTIGEAL KGKKLEDALAGCYYIKPNYPGRSSHVIQWQNGGFLVPPTQRGRKIGLTLGKSYLLYAP ELGYRGSVFNLVYKNNVPSLAIWDQLGFQRVGEIPNAGRLKTGPNGAEEYVDAVIVYK SFV I203_06306 MSDSTSLKPLEYDDGPLVWVDCEMTGLDFLNDRIIEIAVIITNG RLEPVDEGVNYIINTSKEVLDNMNEWCVNQHGKSGLTQACLDSPYSYEQVTDKVLEYI ERWIPERGAGVLAGSTVHADMRFMMIGMPKVMKHLSYRIVDVSSIKEICKRWYPSVRQ QDKARRTEECAHRALDDIRASIAELKFYREKVFIPLEPKPERATTPEEEREGKTAV I203_06307 MSLLSSNPNDHDYGQNQQQVGESNTHNDISDFFSSDNFTSALDP SLFALAAQVQAVAHAQAQGIDIDFNIDPTFIDPIFPSHENVDVGPIDPSLLEIAQVVE DVNKGKIKLDEPTPQTQPQPLAQAQTQTHTVPEVNTANGTSAEGEGIHLDVEIDPTLR EIVNSLTNAQQSSHINGQSLSHAQAAAAIGAHLTDAEERERLQQSLQTTLEDLTQASF NSLFPPNFPQSPSNNFLNLAPDTAILNDPSRQAEPSTHEPTPVPSNGQHEEYGSKRGR GRGRPKGSKNKHKAVPIPKVPKGPKPPSKPKGRPPKERNPEEQADYELRRHERAMGIK RRKGRPRKFPGYLVREMRLKKNRKEFNELLRNYQLNKPDGEDDSEDEDEDEDDEGGEY QGEGIQIQNLDQMRMMMDVDVENMTNGINQALQVHNDNDNNDHNDNINLGIHTHHHHQ QPQQQARHHHDDHDNVNVFGNWSVVQDGQSLLDVVNGVGGVNDHTMEGVFGLNH I203_06308 MKTQFVLSLVLGVVISASSAHEHGIRKRHRLNDPAKRIYIEQNS IAPQNLYTRTNSSSSSSSNLTSTSLPKAPSSEVTTISKPIPKPLDLSISPSNLSGGCL TYLTSLLSSEDLQDCLPLALLLTTSSAYSSLLSSSIQSGNYTKINNLISYTSSSAREK CDEYFTSIQSSLSSNKNCGTDLSNKNQVVKDTDIAIGNYQLIKEASKLTDKETGVYCY IESMAAMRPDDAYLWSLPSGIPLPSKSIPTCSSCSKSLLNTYMSFIPDTSTLNSTIVK SAITRVNDACGQGFVNLSAIDVSGTSSSSMIKSIPPYKSYALLGVILSIFRLMFM I203_06309 MSQPPSSSSSSQPIPISPTTSLHRQPSTSARPTRRPSIQGSSAG GSSIVRRPSRAQHPPMVTPHRTLTHPEALEALRNFLKERSSYDVFPVSFRLIVLDTQL KVKKALDVMLLYGVVSAPLWNTSTAKFAGMFTVQDVIHLIQYYYHTSSWEGATADVEQ FRLHSIRDIEKALVVPPPPLLSVHPLRPLYDACRYLIRTHARRLPLIDRDTQTGGEVV ISVLTQYRVLKFIAVNCRDITQYLTASVQEMGIGSYVSPESNPDPINNPNKYYPLATA TMQTTVFDVVHMFSEQGISAVPIVDSDGKVLNLYETVDVITLVRNGAYQSLDLTISQA LKQRAVDFPGVVTCSPKDSLSAIFSLIKIRRVHRLVVVQGRDDPNPGRLVGIISLSDI MRALIGHDIPLGGAGVGAEVVDKALREEEAETAGDDSFALSEGSTSAGQSLEGV I203_06310 MPITSTQGTRRRFYTDLARDVRKLDTLALPVSIHTPSHSRGGSQ WLPESSARGNDDGWGSIPTSDRDGTPSPLKYDYSHVDVSMKKSDERDGEGKEQEKEKE KARASNKLQRSSANRPRDNTQLREKKGEEHESTRTPPSSEKVNRWINDVPPSSTIKAS GRDTRRTTPIGEDHPSARDQSDHTHSCTPLPHSRPNSDDTKREKKDKMNYLHEDMPST SYRRYTTGATGSGTGRTYDYPTNPTNVRTPTGGNSNFHLHHNNPFPSGNLILPSSRTG ENEDTYRMSNSNLNFRSDTTTDLERTLQAKKREFEDVQRLLEESRKERERETQRQSFL LPPRPPTAFAYHRSIEHDQIIQLRQENTSLHSSLSEARRTINTLNNRIDELELSHRSD PELLSKMENDLSKLSQENTSLNDVLRVLEGTLEDERDKNRKMELETQSSKKGQSACAK SLQASEHKVKELEGTLREVEERERIEREKRDLIEKRYRGLELDFSHLTAELETWKAKT NNAYREKEGAISKYKGKENDNAKLSDKLNLSRREKGALQARLDEVIEEKAQLSQRQVS EKGNSEDRKKLKDELHREVSSPAKFQYGVDADWSTEMKSTSQRSTSDRLRSERNSLRD QIKKMKLQFATLRELEIRSAGPNEVDVKLHHPTSTSSERHKPRFSLSFATSASGDDQA AIPTKKGRVEVIEDDEGDGVRYKPQRVALEDADGKQEYQDAREEVGSHSVVRPTQPIT SPTTSYAEDIQSIVSPQPLTFHSIPLIPQKLDTPMSKEEQLLFSTSAQPDKAEISEEK QTGGSDGADATRKRWLEQYAEMSGDGTSPQNVNDQKTEMDTAIEMNRKESKDPFADLD PLDHSLSSIKSHFQSPQSHPSTSTIRERGKTLKESLIDLLTSPKST I203_06311 MKGFTKALQRTPHNLTSRIGMSKKSTDVEFNDYERKFAAVEAAC EKMLKDSTVFRDSVSNLLTSGSSFSASLATLFSPMGAEYNLAGKHPQAEVTVKNITVY QGIMEEMRETLSPELELIDSRVVQPCKELHEICKKIRKTIVKRDHKLVDYDRHNNSLN KLREKKEKSLSDEKNLFKVEQDFELASGEYEHYNSLLKTELPQFLGLATKFIDPLFHS FYYMQLNVYYIMQEKLQSFADGKYDLSRKDVESIYLEQRGDTAEQIEEMAIVKRITST AKMLQAHRSASGTSTPNRAGSIASRTTSNSYLDRKNSYGSSAAAGEKSTFSPPSRTVA APPPYTSASSTTSIKKAPPPPPPLKPKPSFNRAVYATAIFDFEAQAEGDLSFRAGDRI EIIEKTENPDEWWTGRLNGATGVFPGTYTQVDA I203_06312 MTNQTPLPTYAKVLSLSQWITYFHPTEGIFRSPSDQGESIEHLD IDLRYVEHDYHQPLITKPAKNNDELLLPSGYKDINLPRVVVLTLRGGEYVQDDESRME SLAEVLMAINPVEVHWVNAATDPSEQLTFATHLVHPAIIAAGQVWSRNGSLRKLFVQG GFPVPNLTAPTPFSLTPAATPCPSPGPTRSTFGALTMSHSNPASPATSKFPSSGLPAL STTKPKLVDEERLKARAERQRLAEYTLKPRFEYAFGGWTVDELRWRLDGRYTPACKLS IITHIFRAFGTSFPSSSRKVDIDLPSMIIFTTVPRGLINDLVELPSKLELDDEVKLYL QDVTFVSIDKSHCPAARLFDTGMEVSEDRERQSRCIKSEMILLHSGSRLEFDLVSLEE LREVNKPTLHLPQQGVSPAVSDSSILPDDTEGPTEDEGEEAITPEMGSSPIVDPSDRL ATENNKGEPIVNPSLSNSLVL I203_06313 MSSTIENSTVQSITMDPMDAQPSTISASPETGTPFKESLPVRDL TTENENTQEKETEPEKEHSKHPLAQLSQSRKNFLLFIFSIATFVDICNVSGVAVAVAQ ISLDIKLGISQVVWIITSYSLCFAAFLLFAGRLSDLFPAQIVFEAGFLGLGVISLITS FVTSSKYGFLILRGLGGICGAMTIPSAFHLTVHMFPTPEEQQKKLALMSLAGGLGNVL GLVLAGLCMEASYKWFFRLIAIICITFTIATIILLPYTGSSYSTKHDLIPRWRRMDVP GVILMMGALICFILALTQGPIDGWSSASFIAPLVISIPLGVGFFFWESRIPPRTAVLP SSIWKITNVIILSLAVLIAFPFWATSQLEYSTWWQEVYGWSALHVAVAMLPQGIVCLI AAAFAHAVPQVLTKPRWTIGGGMILIVIAEVLQVFSDGGPGNKYWRFCFPAFVIGSFG AMITYFASGINIVTYGPPEMAGVMGAWVNVLAQVGGAITMAVQAGLQDTSSIPPQWSK SGSRTWYFMIAWTVVLGIQFIVFYKTPGTPQEEHELARKRIMEKDGDLGV I203_06314 MSGITLNPEQSAQLQSEIQRELERREWAEPEDNVMAEYITVLLA NGSARERVQTEMDDLVGSDFDPAFLDWLFSAAKNIITAPSPSPVPAPAPAPSSQSPVT ASRGSGGSGTRLLNTALAPLSSQLEKRKASDIQDGQNKKRVSDVGVNAPSGPRGMGNE GKSLADRISNGNAQRGRGVPIRGMAGGRGGNMGMGNHMGESTCRSQAESTLIKECCAD VGFRPNFQQQNQQQPGFAQPNFGQFMPQGQQEMVAQMMMMQASMAQMNNMVATMMQER QQQQQQLQFQQQQQAPATSAKPSRPPSVKVPHGTKLGAHSVSGIPPKSAATPGPVPDR PSSTALCRYSIGCSNSRCPYSHPSPVADEKTGMVLSEEPCENRKECKDAECIKSHVSP AATLGDSAGPSRLLCKYQNCTNPSCPFRHEDENGNSIPPPALTAAKAAPKPKTAPVPV PAASSDNEDNDGDGDVEVVMSHKGLMDGALDDTKKEVSCRYGERCTRPDCKFSHPASR PTPKFGKKPYTSASTRSTSGTFNGTITATSGGGIGGGMNMSKKFAGGNEKKLDANAGE FKPSEKELEVTY I203_06315 MDNSPYSNIIPPDQRGPAVSRQNYHNNIGDEAGGGDRYGGRGQG GDGNGNNMSNNNSSSGNSNLGEHRNFPQSNQATLPQQYQHFSAFAPPLSTTSTASSTG IQHHLESLQSRTLPHAHSFSSSFKTPHFRSSPHGYPEAMQQLSSPITPHRDVYPLHSY HSPLTGGHEGIQPWQYGGLSRRRESMPDMINKDTFNPLFSNRPVISGAESSHGNSTLL SEIPGGSNDGNNGLQQYVHSLTGLVQTSPVQATPSSLGIRTWQPMSSVPPHPQSSIAS STPGSASRRKRSRASTFGSRTTDDDESINPASGSASASVPPNTNTSVNSIDDDELNRP TSGPSAKKIIVDGQPPVTVKDFSGQIANIGTSGEGESSNIRGDMEVEEGQETDEKLDH RKRKRNRTIRSCVPCHNHKRRCDRKRPCGRCTALGLTGTCVYEIDEARDMNDPEVAEA ERLRRRIAELEQVVRELRQKAPTRSQAQANATPAPLPPPLPPLAFPAVEDSAGEDKKR RVIVDRFARFKIDEAKDVENSAAAAGTALHDNPAGPSVHHDNADYKSEPYQTYLLPGE EMSSDKTGRKVFLGALTGKTMLRRLRELAQDKGDGQLLSIPEDVAFTGVFPDLRKTYP FTTIWSHENFSAEIIGLLPDPEQAELLWQAWEEEHAVYFHPFHMPTIHVEYTDFFAMS TKDKMNVPLSSLALHLIICALGCVIRATAAEIFGHPDASMARQGHAQRSTKDPKDLTS SRLQSELYLSASFQALRLCAYLANPSMRTVQAQLLQMVYLLASERAADAWTTGGTLVK QAIALGLHKDPLSLDPKISMREAESRRRLWWSIAGFECMLCVFFGRPSTISYYSTNLP QDRPDANLSELPGSAQQYLPPSNVLSNETTEQTYHAAFYQLTIPSFELLDRIFTVDRR FSRSTIYGWFSPPPKDNNQSAAQSSHYGPGEDPNQHTYQDAVRLAHDLGQWYSHLPPG VKYKENDTPEYLLGSRNRRQLNQTLILSMKTWTIVMVLHRPYLRLDPAAYPESTDICL QAAHLLLRTYKSMAETKSTLAWSFWTMHYRAFQAGAVCAFLAIRQPGTEVANKCLNDL RGVIRVFEDRLSTWNVTHPVQADLCEGLVQLEKLVTAATQQRNTPQASTTVSTQSTLS SMSPNLFGVSPKSFSDSSFTTPLSQIQAFPPVSLPTPANVPGGSTLFSLPPSGPSNHT HGNDMRVDPNGGGSGSGVAIGQGVDGLMGGGLTADFNGPEPLALPQFWASMFGIKLEK DKANSSSFGASSNTNTDTNTNPNANTNANVNANVNIHW I203_06316 MATPGEEVDQDLSHIHWSWPEAIAANPARSLANADLALDYFAFS PFWDSKSNNNVLRTQRRVENPTYGHAEEKIELNAFKSGFEYIVSHSQPPDLFVIQKRE VDPSGKRDRVSGMWFILQERIYQSPTVYDVVSARLRNASQLITKTLTSLSESHPSSNP RSTTQWRSLPPEVASNMKAKSALTTAADRITEDQADQNGQTESKEEEKEEEEQQQQNT FDWHLFHSLQTTRLALSKLDELSTKPTKNADPMDELKSIEAQLSAQFGISSSSSSQQR QVRPPGSIRSNSVKGLTPNPMGLGVSPVLTVGTMGQTPNLGNLNVASPRNSLGMGMSP GGVSVPGSVIGAGGRAGSMGNVGTPANLLQ I203_06317 MTSSSNSRPITPLPPAKPNNGIHAVNGSRTNENVMARLSQDFPD AESLSRHQEIHARLQLEIMDVREEVARLKSELKRDQDPGKMSKIQNQISQLMLQINVI REKAAEAEAIVKSITTDIQRLDTAKTNLTSAIQMLERWGMLRNAHSQLKELLPTKRYI DMSQALSAVTHLLTPLKALSNIPAVSEIFRAAESDRKAIQEKVAVEMDAFFKQDPNRP VDRKTVSEVCYVIDVLGGDFRNHIIERYLQLQLAEYRRIFRSTDEAGQLDNVPRRYAW FRRVLKNHDEENASLFPSSWEITRLLVSNFAEYTRSDLANVLGKSTPAVNILLDALQA TLDFEAGFARRFDMPFEDITAGGSTSRGASTAPKWTISSIFDNYFNVYVDAQDRAIAD MLSAYRGPRSRSSMEGAMQQESDTPVPTVLPSSTELFYFYGQTLEQCEKYTKGEPMRK LSKVFAKWLKIYSGKSLSRQQEAGRRSLEGRDNLQEVKNACMILNTAEYCQNTSLQLE ERLKDKIAEEFKPDISFQNERDTFSTVISSCINTILRELETSCEPAFAAILKTPWMHL ENVSGRSAYIVDLVGSIKQVAEVVRSRVEGKKYIRNFADKAVGVVITRFTQSVIKSRP LKKIGAEQILLDVQAVKACLLDLPEPHPENSTNMYVQYTKYVTKNTGQLETMLKVILA PDDPPEGFVQNYCLLIGDRSFSNFQKILDLKGTPRTDQQKLLDIFLSVTSTNSELSDS SFLTHIDMDPPASSTTSGPGGNADIQRVTSPVSGSGIFSQHHGSSTGLPGLLRSASAS GEGHDRSETPKAFGDFRRLVNFARRETMNPLGH I203_06318 MGAFDPIITYEKGTYIETDTGNKVSRKATIIGATNIILGGKSII QTGSILRGDLRRSTAGQHVVITMGRYCLIGEGSIVRPPGKMYKGSFTFYPLRISDFVH IGPNCIVEAASIGSGVEIGKNCIIGKFVIIKDLAVILPDTVLPEGTVVPSMSIWSGNP GKLIDTLPETYQETMEAKCKSYYQRFRAA I203_06319 MSLGVSSSSSSPLTNHPRPLAPNRRRTSHMPSPRATPRLTPESS FVESTESAMLASPSVKELDGTMGGLRERSEEELARAGREELEDALRREWEDKENATER QSLEEKHEELVKGISALQSKTDEAFNEQTRMEADLEERDELLDRLRKRLTEAERLARE SQKRYIEQEQTFDIERQALQAQENHLQQRIKTLSNCKSSRSTTPVPEVENIASLKDEL ASINLSHSTLLAKLNTLTKELHELKILNEELQEENEGWEFLIRERTMNGKLMEKGGIL SNHLAQDPANDRNEEGIDSELEEEMSELNSDLENQSPIFDDDHQFFATDLGHTDTNDF LAPPKHSRRGGRGGRNRTASHSSRNEGDKGMDLASELSMVDDDKSDAGQSIASANGRN AEVIALRAEIKQLKESNKALTLYCSKIIDRIITQEGFEHVLSVDYKTRRAGTRSASTS SRPALKDVNGGSWGMPSASSPISEEPPTIVKPQTEAVKEKKARPLSMMVRAMTGPAEK TVVPPVTGGTPAVPDEIKSEKRARRGFSLDFRSLGFGTSSYTAPTESSKTSLRPLTLS SKSGTTTGSRSSSSTARKLEIHEEDEEDRKERHRMEATLKLMGINKSTPPPGSIPEQD EEEGEGEFPMSRASFRASSGRSSTSSQSHSHRSTPLGRLSSVLGPNEVDNEFPIPMES IENPDQAIEVLRAFDEKQNERLKEMSKGKRSSMYTSPPKIHSRRISIEEKDRDQEMRD RTISKSESIKTLWSLGGGGDSRPNSGEIVIEKK I203_06320 MGHETETNAKPQPSQRLPSSSAAFLHHLHTNPASHHISLSASHN GAGTSSSPRSISVPLEPTVSDPNKPISPPYRSPTKATTFPLSPAPQSTAGPSTFSGLS RNPSAKRARPKTAPGRKEGYASSPPIPIDPSPVSSTDQSTVGNEQLAEIASSGDSCLA TFAQEEAGLTEAVDMLSVSGGEKEGKTFLLGKAGSLASGSSDSAKQQARAPSIPSMDW TTFSHAYAHGLFDPNKIPNPPHSGDSPTDVHSAHSSPGKRYSSTLPSHLGDNTSSSEG YSSKSSGGSTMTSVSSAPSTSAGSVRPGSMAAAMAARKRAFELEHLPDRVHDQVLRPD KLALPSYSLAAATVRMAASGLRESDFAPLAMPSPERELLDPMASVCSTDSNGTTRDSA SSDPGSSRFPLHRSMSSAVGTNIFGNLRLPTIQASPVSTPNEPHGKGILKISEPEISP HKVRLGVVNSRIPPASAPLEIRGGDPETPTDYFGTASPLAAANSSSSSTADEPTPKIT TGSRTPDPPARPTPLRPSVEQIPNIAHPQDIGPLYDKLGWLPAPLPPDETARRRALYR FNILHTAADLNFDRIAHMAKLVFNPKIVLIALIDSETQWHKTQSGLGAEEAARISSFC SHSVLARSDEPFVVLDAKLDWRFEKNPQVIGAPHIRFYAGAPLRTTDGHNIGSLCIID DKPRAEFPPRSRLILKEFAAVTMREMELWRDKLQLRVRDKIQTSMEKFTRECLEMDAN SSASNAEAAAKMDQVYSRAAQLVCSTLDLDGSFILDISQIEMVHVDTPTGKKTVYRAD PYSTAEQQSPVLEQSETFGPVNAFPVLATIPSSMPSRSLSSHEHEKFSEFLRDHRDGK IFEGIAPIWIRYMFPQKFRYGMVVPIYGVDQQPFALICAYTTNKAKQFLEGYELQFLR AIGVIILTAVLRRRMVLADKTKSILISSVSHELRTPLHGILAAAELLSDTSLDSNQLA FLKTVQTCGNSLIETVNHVLDFTKLSGSGSGKTTSGKLGKVNLAALVEQTVEGCWIGQ RARFFMGDADIGSFYAPPTTSGLLPKSQRAQVGEKLSHVETVIDIDQREKGWMVRCEK GGLRRVLMNLVGNSFKFTKDGYVQITLREMPHPPGSRTIPVEMAVVDTGKGIGKDFLK DQLFHPFSQENPLQTGTGLGLAIVNSIVRSENVNGKVDVWSVEGMGTEIKVSFEVEVD DDDDDTSSNSSHISSSTTMTLGQGLNVSFLSFHPEHRGHRLSLEVLSMYANAWQFGIV EDPFISDIIVINEDEALFEEYRNKGKPVLYAIAVRGMEVNQCCEAIKKNGGFCHLLYK PIGPTAFYNGLAKAIDWLNHASPGQYDHNVDIDVDDTQSNHSQDNHSRPSISRGSSGA SAESNSTISELSSVRFAQPLKDHRLPLQRRRSEENEQVQQVIRPSLGPRGMTYHAPRR VTSASVASDDTAQSSPQQGSASPTSTISTISLADGGVMLKAATVPTETPRKGRSARVM VVEDNVINRRVLGAFLKKRGFEYAEAVDGRAGVELFESTPQNYWDVILMDISMPVMNG HDATRAIRKIEATRRDAPQDIPFVPPPGRPVSIPPVKVVQARAKIFALTGLATQDDKR EAFGSGVDGYLVKPVSLASLDTIFKTEHRPFNWLPLPVYEDGDLFKIKLQ I203_06321 MTTAQASSISTSITIKKKVSPLTRPSRRLLCLGIEGSANKLGCG VISHSPSPANATTKVTVLSNVRHTYITPPGEGFLPSDTARHHRDWIVRVIEGAVRKAG VRWSELDCIAFTKGPGMGTPLQVGALVARTLSLLHGIPLIGVNHCVGHIEMGRQITSS HNPIVLYVSGGNTQVIAYSQQRYRIFGETLDIAIGNCLDRFARVIGLRNDPSPGYNIE VEAKKGKKLVSLPYGTKGMDVSLAGILHSVESYTKDKKYISWDQPTTEDVITAYDLCY SLQETAFAMLVEITERAMAHVGARDVLIVGGVGCNLRLQEMMGIMASERNGRVFATDQ SFCIDNGIMIAQAGLLAYRMGQITPLEKTGVTQRYRTDAVHVSWRA I203_06322 MLWDPLFVRGSELLGAPPDQLKLIFSLLISYPLGSLYVRLPPSK PYIAHLFSIIVSTFVVVFLLEMKTGMLHLLFSIAGTYIIAATVQGKNMPWIAFVFVMG HLLYNHIDRYMIGTSASAIEITGSQMVLAMKLTTFAWNVHDGKQKTEDLDASQLETRL TKLPNPLAFLGYCLFFPSILPGPSFDYATYDSLVHHTIYRVPPPGSSAEQAKAAKKRL PYGRKRVAYLHLTIGLIFLGIYAAYGTKFAYARILTSDWYKWSIVQKLGFVQVAGFLA RTKYYAVWSLSEGACILTGIGFNGYDPKTGRTLWNRVRNINIISIETAPSFKVLFDSW NCRTNVWLRDVVYKRLTKKGKKPGSKQSMATFVTSAFWHGVDPGYYLAFVLGGVLTSL GRQFRRFVRPYFLPTPETAPPTIAKRAYDLVGRIIVQLTLNYTACAFILLGLKDCLVA WNRMWWYTHVLVLLTMAFFHFGGRRSLRKGLERSGKLPVPSSEKSKIKKSPPPTPRFK ISPPSPSITQDGNELPPPPEDERDSSDLRWVKHALDNPPYQDSGEGMGNGFNSPDGGW VDDLVERMETPHLEKMNPLSGKRD I203_06324 MIPNNQETQSHNPARADEKTRLHETHCDPCGDVTLVSNDGVEFR ASSFQFSKISKFFSDAFSLPPPPPSSSKESREPIPLDFPENTMSLFLDLALIPPHYHF TILNDIDDPLLLESLLDLADFAICEGLKPSIDSALFDLSEQYPFEVLTIASRRSHTLL AKRTVRNLRLLASFRIWEDKDRLGRIKDQFSVLSQEYQSELFILLLHQCHARGGNAAS VGFSYDDWCKISDRFDPEVPIRSKILEVPDEDESEIED I203_06325 MPDEHIVVEDDQPRPAAQAVEPTPDERHCDPNADVVLVSKDQVH LRAYSHHLKQVSKFFADLFTLPSKLEPINLDFDSFVISIYLDLVIAPTYCYSVIIDQH NHDSETLKQLLQISEYTTSEEITKNVWQVIREMAIAYPQALLNLAHERQDLDLARVAI KCINSDSIDLPHLCGGNECEGRCASYEWAETCSERINDFQRFFRPLDPAYQEEFLQLM LLRSKIKADNPNVEGKKEVMEWNEEDWTWIAERFDPDRSLDEKI I203_06326 MPLLVVLLTVSFLANPTSARTALYGACDVKNNHLDVDTKAFVTD CDSFGYCSSNGTCVPRQCRRDEYLLSSLLNTDTPIPPLCPSGTFCPDDASGCLPLVEV DGECQLNRDDECASPPDGTVFVVPSPYDEPEGDGSICLLGKCMWSNVTLGQTCLIENT TYVGYDHSGMSFTNTVIRDNCIQGQGYCDIGSNTCLSLMGIKQGCTTDRQCQSYNCER GLCTVPPESSIKVGKWVYALTGVSIGIGMAGVLSILLLMHRRAQKSRRIMLEEYYKEQ IGYRNSIISFHSALSHKVEDTSASTSTLEVQEIERTLSNLSEITLVNSRR I203_06327 MDRASSFTPSYPKTICKGLSVALCFFLLYHLILITTQRWTKIKL TFPDKPRKSPNGESVVKLVDRCCKSLKDGFRASWWLPNGHAQTIYSAMADFSKDDQIT YQRQLLRVTDGGTIGLDIYPPLSVTLPVESPVVLINHGLTGGSHESYVRNMVVWLTKP VEEGGLGGRAGVVNFRGCASTPLTSPHLYSTGSTIDLHTSALYLSTLFPAAPMFGVGF SLGAAVMTRYLGEQGDNCRLKGGVVLCCPLDMKVVTYGLDSPHPLTRLYSLSMSHKIL RSISPHLIPSSPLSHPTSHLHIHLPEIISLTKSLRRRITLKASKMLELVACTVGGGNE LFPFETLDGFLSWSCPGNWIGSIRRPTLAISAMDDPIVSSACLPYKAIRQSSHCVLAT VSQGGHLGWFEGPLVGENKHKRWHVKPTIEFFKGILDSNSTNVSNSKEELLVRREGDW TWIGDIGWMVLDEVDEKGWSGSEGEEGGELSD I203_06328 MSMKLESCSSSSSTTGVKSEPPSWQDSVPSSSATSMTSFSDITL GSAGYDSTSSTPRRNASRSSAKRKRNTDYRNDSSVYIVSDILARSFEKSLGPNRQMEN QYLVRWEGYGPKDDTWEYRSNLMGGASAVVKEFESRPLPFTILDSQRWKNTTRYLVRY GKPFPVEPSPMYATEWQTEKEMHLIGELETEDIDQAVRDYREGKLPGNAHVPRSPKKQ KAVQPTKGRRILEILDRKDWKKPKHGKGHSTRYLIRWKERKQVKEDWLSYWGISDRFG VVEGRQWLKEWNEEMGNGAQYKKPRMTESSTPLSEYEIERRQNMEANKELMRSLGL I203_06329 MSAEDVFEGAIGIDLGTTYSCVGVWANDRVEIIANDQGNRTTPS YVAFTEGERLIGDAAKNQSAMNPRNTVFDAKRLIGRRFDDADVKKDIKHWPFAVIDKD GSPFVEVDYLNERKSFSPQEISAMVLTKMKEIAEAKLGKTVTKAVVTVPAYFNDSQRL ATKDAGTIAGLEVLRIINEPTAAAIAYGLDEKTKEERNVLIFDLGGGTFDVSLLSITG KVFSVKATAGDTHLGGEDFDNTLLEHFKAEFKRKTKLDISDDPRAIRRLRSACERAKR TLSSVTQTTVEVDSLFQGEDFSANITRARFEEINAAAFKSTIEPVEKVLRDSKIPAAK VDDIVLVGGSTRIPKIQSLVSDLFDGRQLNKSINPDEAVAYGAAVQAAVLTGQTSDKT ADLLLLDVAPLSLGVAMQGDIFGVVLPRNTPIPSNKSRVFTTVEDNQTTVMFPVYEGE RTQCKDNRLLGEFELSGIPPMPRGQAELVCTFEVDANGLLKVSAQDRASGRKAQITIQ NSVGRLSSEEIQAMIKDAEQFKNADKDFSARHEAKSDLEAYLHTCEQSISAPELAAKI KRGARGAVEAEIAKALEVLEQEDATADQLKKAQLGVKRAMQKAMASAR I203_06330 MKSQELVEKAKVELTGRRLLWNIIWYGSHLAIFAYGWYSQQTNA KLAALNGLKFSVWTSRGAGLVLALDGGLILVPMLRNVLKLIRPKLMWLFPADENIWFH HQVAYQMVFWTMVHTTAHYVNFINVERTQVRKQTAWEIHYTQPGGFSGHVMLLIMFLM YTTAHSSIRKQCFEAFWYTHHLAFFFMIGLYTHATGCFVRDSVDPDYIPTFPFYSTEH CLGYQSWRFTIWAGILYFGERVYREIRARRKTDISKVLLHPSGVMEIRFLKPSFQYKA GQWLFLNVPDVSKFQWHPFTISSAPEGPYVSVHIRQVGDFTLALGDRLGATKGIASQK LDYPDEKGDYDYGRRKDFIEINPNSVGKGMPVLRIDGPFGAPAEDVFNSEVAVLIAGG IGVTPFASILKHIWYAQRSGQLGALRRVEFIWSCRDTGTFGWFQTLLEELEASQSDPD FLRISVYLTQKMDSDTVQNITINDVGAEYDPLTLLQSRTLFGRPNYKQIFHSLLSSIE SGSYLPGREATLKSRLGVYFCGPNALASTIKKEAFAVKSKSVDVKFFKEHF I203_06331 MEHGNEHFLLQLPSIDLQSPSKNTQPRRPVSITSSPSSSSTHPY ITSSTPGATSASSSATPSSQHPLAQPPINAATSATPSPAPLPFLSLAPPQVNSLRSAL VRRRSSFPPATTPGSGSASNIFPWVMGGTPGANGFDWGSALDSFPGFNLELGEGASGG SDITPGSLAWDTLLNVDTDAKPSPSKLNPSAVDSTKKSVLSETKTTTNPPIPRAKSDP LSGDNPLPTPAEPFTYRTIAPTPSMLPQAAVSPARVIQLGTDLAWRTALASVVEVEGV GQVTVARVLQEVWRRGGGELVTSQCLWPSIVIALSLPSEPGPGVRVPNPSARSAMALQ QLYNLSIRHWEPAILTGLLGAYGSATSPSNSAAEESTNAFAPNQHYGPSTPLKEPDVS QWMNLTPSGWNTDALFGGGSDNQTQRNVSGGFPFPLNADLGSETFAARRTSLAKTDNG EETNIKSIDEILASMEEGNVENERAMIAANLTSDLQMSNASLPPSENGVASGGPSRQN STYQFPTPETDSSNSPSSGKSNNKFPATTLSPVSMASPHVTAGSITSTLVGPSPTASN VQPQPHPQTGGKSFSQKPRPTQLSMPPVEFIPPPPMCMFFNPSFENLTDGKAGIWRGD LEVRGRGGGKFPILVIGEKDTEHLWQSHLWPSTLAYPLNQHPVESCTSTMIPVSHLAR EGLVPITMGMVLCNEPPEKLAPYVNMVHGLHAEGVGFHLPCETRLPIVFLPAKFHSTD PLLRLGIAFMGKTGFSHPNAPLPSSNAISRGRGIKNTGENEDQPKKKRRRQSAPAASG ESGGGRGRKRKDTGIIVMGTGSMSTTVGKIDEEGE I203_06332 MSHSSRSPRLVTSSSPKLSRHRLSSSNLNTPSASASREPSPSRR QSAFDLGLPVATNTNSQNQNSSSSETIGRGFTLLKNSIRMSTKRGNRYVLGAALVLSI FGFWHISQTSHEVGSTSPQAGGGSAWNGLGLWPSGAQWGTGSTHSGNVDSSSFVNLDS GSVPETEFVRGVAGFSYFKNLYLANGTFLAITSDPSHIPEVSHIMSAHPTDDNKYPPA GTDRWKVLELGKDDLSALGGVAVRKDGVSMFFNDEKGLKSVSFLKHYFHFIGEVFLGA WRVLTTAGEMELPRRLMYRTSPDDWRDRAALTPWFQQSVMPNTAIEESPIWEDRAKSG MTFIFDKITITDRWAAHQKGIDPWRFNKMTADLLYLDSPFNWMDPLRLSMKRLVQTKG TCSVYRKNKNVPIVLYINRQLTGRRLIAEDAEVLEREMENLDKEGVIEWVNAQMETLS RVDQFCLALKADVIMGVHGNGLSHALWMKPGSAVLEFMFPGGFARDYATVAELMRHDY YAIHNDTVFAKDKWLKEDGWGVGAIHGFHSTSIPLNGKWIASLIRKIAQDKKGMVEP I203_06333 MAAAEGSVRPGRSISGDKLPIYPTPESIPTVTLIEKPNPLGPYI AQSREAVTGVLTDVRGYLQSGVGSWIGFERRVEKEVKSILPADESLNPGLIYVLISGL SGSVLTRTRSLPIRFLAPPLFTLAAAPYFLPKTSHNIRKYISDLEDKNFPEFAARHDR FVNTGIAHTQMTYNRFKDATEDLKEWSEKSLNTLENKSGLQISNVISHNQVQKSLEKL KEKKTNYETVGYVVEQKPVAEVVVPIEKEGEKKLV I203_06334 MQELVWPPPPATSSSSQPANTSLPLPHPEAYEGEPREYARALMQ RKDDIEKEIEALKDVLNSHGVTQQTQLIDNEGYPRGDIDIYAIRHARSSLVRLQNDRQ TVSDLLATALQDAFSRPTPSTSSGIPNGHSHEPQSQNNSNQVNGNGDVGEWPEKPIAR VNTVTLQSPASQAGLQPDDLIYDFAGVTHTSQGGIQAIGAVVSRSEGVSLKLLILRGD QRVTLHLTPRNGWGGRGSLGCHILPI I203_06335 MSSSNLPFTRLQKETEVAILSVLRACYLTKNVQDTLVNQDTLIK KDKSPVTVADLSAQSLISLHLLSHFPEDPIIGEEDTSELRVNDGLREKVVKLVSEGFE REEGWGKDKTFTEDEVLKAIDAGSAEGGNKGRFWTIVIDGTSGFIRHQQCAVCLALIV DGQVEMGVIGCPNLGPEPAKIGEEIIPNGKGVLMVAVRGEGSYSRPLTSPTYTKLSLP PTPPASNPLTFLESVESGHSAHSIQARIGELLEVKRPSLRMDSQAKYACLGRGEGGVY LRIPTKYAGGKEYAEKIWDHASGSLLIHESGGICTDMHGQLLNFGVGRTLKNNEGIVA AGKEIHSKAVEAVKKAVEEVGVKRD I203_06336 MSADQPGTPLAARITTNQLLSEIEVGTAAEQVFSYRWFRQSTDY ITLSNLAFPFGPTFVESLDQLILEGRSHPGKSTLMRGDLVELVGSSGTGKTTFLTHLI FTTLLPQSLPDFLSTPLGGRGLNVTLVQPITHRSVIPLIRRSLRNHILHISPTTPIQM VDKVIKESLSRLTVYRPKPRWKDYALCMKKILDNATNYPRGISSSSVEGDGEGGGLDL LVIDGMGDPHYPTKWIEEQKGNNRYYDAVYKDKDRILGMEDIGLKQVMECIGRIRKEV GAVVVMSTQGLRVSKESSPLFHTHLPPPYPSPFSPSSLDINPNIKLSDLNPTVWPVNI QITFTGQLKALQFPMETTLVEVLQSKYQQRMKDRNEDQTKVYEGIVKMTQTNTGNVST MSGGKFRFGINNEGLLVQI I203_06337 MKNPIYRLEVGLLQNTSSSLPSLLHTTGASTCTLHGRDVNDVNA GLKAIAIGFIGETNAPAKKTGRTGIEEIERWYKARRTKPQMLLHIQEAQLIPSSVLGE LMHILSLHPSLPIRLLLSVPSITHFLSTWTPLEPSAIAISILSSSGGKKRNNGVEAIL RASDTAPLKISYELADELRSEEAKSGGGPILVLKAVKWLLVHHSVNSPLSRLASTADP EQLKKIQALVNAVSERPDDPVIPGRNLFEITTNKDLSSVLNPAPRTSILQALSNSNDY VTPGMVSEERERSLSPSPTKATSKKRKYPTKGDDRSSKLKRADTVEDDDEPGEKGKGE ELKELQMLYELWRSAGKSVNLWDWLEGFSGVMSERTQTQQEKDGADDDGDGTKKGEER DDEVGLHDEENEARLHAIFIRFVEEARMIGLIRARGKGRKADEVVKGIGFV I203_06338 MSSIQLEKANKAKHFLFVPHGIWGHLRPAINLIPNLLIRSTEAL VTILVPVAHHHLASQEFEKHNLHDHNRVKVVYYETKEDGDEKRHAKTNLQGMMVFYND IVKMLSENYEKILKSEPIHDAYLDKEVSTHLISPNVVSVQVQTTPYKIPMCEEINKKL GKEVKMALWSPVSGNYTAWAVCDLYEGRTYRDRVEKIFQVPEADRTKAYNEQLGENEN VVHVPDNAPIYVFEAQPNQPDNFLNIVLGCLPVLPRVTMIHSWPSFLGQGYKKGAADL GIKVLQMGPQLPKSSIHETELVEGALKEFLDKALNETGENSVIYISFGTLLYSANLQQ LSILLDVLITLDKRFILALGLASEEIQLVAKKKIDESDGKGIWLNWAPQYPILKHKAT GWFLSHGGANSTMEAMRTGTPLLFWPADVDQVWIANQFSRIYKAGYEFLQVPNGPSIG RITYTGVKVHGTEQAIRDEFTDVFGKLDDDFGKELREGIRVLGDRMDNDPFTEEDWKA FVEL I203_06339 MTSTKPKHFLLAPFGGWGHLRPAVSFIPSLLSKSPSSLITILVP VSNSIPTSEEFRRFGLDTKSNVKVIHYRSKENTKEIAYTKGNGLMIQIRELIGAINAN YGKIIKCEPVYDTLLEKDVETHRIIPHLAITSITTTQFTIPACEKINKELTKMLNWHF GHRPVVMCNLPQGETYRERVKKILEAPEEEKDKVYNEVLGENEEVVHVPGTAPIYIFE AQPNRKDTFFRIAYPILPILPRVTMVHPYPAFLGQEYKRAAEKSGYNVLQIGAQLPRD DKISFQDGHIKKFLDGALAEKGRNSLSILLEVLISRDKRFILSLGIASPEIQVMVQEK LDRQGNGKGTYADWAPQLSILKHEATGWFLTHGGANSVLEAIRTRTPMLFWPADIHQV WISNAMTRVHSAGYEFLQVRNEPNIGRTTYTGVKVEGTKEAIEREFTHVFGSLEKDEY GRKWRKGVERLGERMENDELTEGDWKKLVNL I203_06340 MIPQLLLRNPQALVTIIVPIAHSIPAQHELGKNGMEGDKRIIII HYGEEDEVRKQFAVTGMEELSKFMEMLQDAVINLYPKKLKCQPIYDPLLKRDITLHPI PPTLAFLDISVACTRGVVRLGENKDYIELPGYPPFCE I203_06341 MTFLCSKESVKTKLMNRAKLMKILHNDSFNTLMFPPKPHQLSIL LDVLIGLDIRFILAQGVASVELQLLAKQKILTKDYDDKAMLIPWANQFAVLSHEATGW FLNHGGSNSTMKGLKTEAPIVFWPDDVHQVWISSSLS I203_06342 MQPHVPNVSSPTLATPKPSSLNLKPSIPLSYPQQEGNGISDGEV KGVEDGHDEDFTANDIDGRMTPTADSHSNPSLASSSSSNIASPNPTTISLLNLSTNPP PSSQSTTNSNGVAEVGLGSIQCEEQLKSALQSKDRMFLLVLSKEIESFIIKLYSGQIP QQQQQVQTERQVPMIQLNASTTIGVTPTSKFQRMLVYKTAEWYGMKAIPGQDGGMIVG LLGELNEKSTTLKLSELVPPVPSSSSQTQKFRIMQRAPTRTGEASGSSSPAEGSSSSS GAKWKTLEEREAAYAAAREKIYGKSLEADSNEITSEAIGTVQNEEPPTAQEDEEIDLV PRQLYQQFDVVYPSLYHPPKAEPAAAPPINSNTTANQYQSQSTNIYGYQSSYTSYPQQ IDANGYPMMPQAYSSAQTIPPQQPQQNYGMMPQTYMDTSQNGYMMPQQNGYPVPVGWQ QVPPQQNTAYPNPMIPVPQPYGMVPQQQNGMNVPQGWQYTQAQIMQTPQQQQQQQQNM PMISQGVPYPTSYGYSPQTAQPQPPIPQYRQESYPPLVQPTPMRPPMQPHSSASSSIS SRSYQDGSRPHSRGSTTSTRSAASSVRLGAMYPASQGPGYRQRGLKGQGMNGLTSLGL GNGNASGMEHKRNRGQSPVSVSSTTTTSSRSSRRTSSIQLAPPTSSQHQLPQRPDWAA NNVPYHPSPLPDPITPNAAEFPPLLRQDQGTNAEPMQVERAKIKPPVGTGLSGGSVWN GTMVKNIHHHEQQQQPSQARMTIIPPPSSTRIRTPGPVHPHQADGAGVGIGGDPDFPR RLPTKNQPVLYDPTLPRQHPNQHSRPSSVNTNKSNTNTNTMSAEEIIEAKLAQISINN GVPTGSAPTASATSSRGGDGKSYAKVVRRD I203_06343 MPITHLPLDHLLSSTAPEDFTARRQLSDVSTAIAKARRVVVVSG AGISCSSGIPDFRSADGLYSLVKSRYPDSFFSGKELFSSGLFLNPQTTSIFYTFIAEL SAECMKAQPTKTHHFIKKLEQKGKLLRSYTQNIDGLERRLGLESGGRGQGFKKRETRN IELHGDLGRVRCVLCMKDFDRTLEWLEMFREGEAPDCPACLERSQSRINRSARATSVG TLRPSIVLYDEPHPLGDDIGSVTTYDLSRQPDLLLIMGTSLKVHGLKRLVKEFARSVH AHNSSTTDSKNKKKGMVVFVNATPPAAKEWEGVIDYHIQGETDKWVERVEEEWKKIKP SDWQTQTRLNGEMVVTAKPRAIKGKGKPKAKPLATSDIPNQPIQLPTPRPTASPRSPA KHQHQNQPSSSPIPSKSQSKSTSRSTQLDFESDSELSDIPPTPPTPFSPSKRRSNAFD SPSKKTKSFDKDIPITGVNATPGKGNLFAFNRNNSTAVTVDEKEKENEDDWIDEWEVF NDSSNKSKSTSRLDLLASGGQNHRSRTKNKILGELEENIFSSNSEENQSLHTAKARIR STRTTKAVPVGSPRVRRMRKVATKA I203_06345 MSFPSTPPNRSGVSTPTLDDASGYLLLTIEHAVVKQIYDEETMT LARGEFRLECVSLPIPKEIGHQTANPFSPSPADPPVPTHDFWLVIKVGPTFEMPILPN QPMIPSRDKDGLVYTVPSPSIPNGSISFVMHLPNSQADLEDLDSLEVLLKQYGCLDKS LTALNGINPPIQAVGNSEMLAPEELRGRIVLINEDNGQVVGELEQTFDVEEDKKLANE DKNKPVMLDFGHVREGSDILKVKVKTVPEEDLNDWLLRGAHKVSQGILSFGSWSSRQM ISGANVYIRNSTPRPEPMKISPQTKQNIVKVHNGSIKAATVTKTTIGKITGVISTAAG KTYTHGYKPAVEAYRRSSTSSHSAPPLPPRSATTATGNGNIPVPYAARTPTSVPPIPA KPSHLHDHATSPIPLQRTPSPTKPGGAAVGYQIPLPNQTETMLAEEQRFNAPPSYSEK YSSEPNDYSPGPSRPRTPDSTSIPQTQPKKKALLGRILLAGEVVLTSLEATAHDLINN GTIAASNAAGHKYGPEAGEATALVGGSVKNVAVVYIDVAGVGRRAVLKSTAKGFVKAK LADGETVKLQAEGHGDGQGQGLKGGEVEIEDRDPQVGGGEKEIVVGMPEIQPKKESGF RR I203_06346 MIHDINNTSLELEFGVLALNLRNMWYQHGYSGLRYFKQHIADIY KRFELLLDEDKVDPETVRAAESACNDLLALHAVPNETWLPYVTSIKRRLSQSGGSSSE ISPNSSLANSPTEEKDPHAYTYDYTGEPKGNRLSHRRATA I203_06347 MTREDELSEVAVISVPCLVGTNAIQDWTPNTDATLYDRDSIVTR TLEAGGKNTGQAVCEDFSLWGIYDILITPKLPMLATKLPSADASVQALMENAAGVSLN TSAFNLIGLPALNLPVGFLPSLVEGKTKLPIGRQIISKNYGETEVYKAAHAWENNNDW HTFA I203_06348 MSPPAEPEKRNYPAKRLKANSACRACRARKLACDGERPCSRCKQ DRKGCDDDEGSFRSPLTRRRMTELEDLIELHASIWNAAFPNFPLEQAAARSVSATPKE IAQEAFRSAGFHQPLPPPVVDALMPSLPSEETFSFLPDAPVMSHQSFAPPEPSMLPPE PLMTQSHVQPQPVNAAVDRQPPHVSPMSHLRSSNNATEKNYPPTRPASPTDPPFLQNV YEFQSAAQSNVNTWSEQHALQTGNTPPSHLDGMGATVLDTTMEMNAGAGYIGMSSGAM LILVLRRLLNRDSLLSPLNGYSLHTLKPTSHAQVPNILNHLPGSGVRRDPTSTSPLSI SARMPRYREFRPLVDSYFEFFHAIIPIVHEPTIRAQLTGALPLPTSGGSRVLIFMILA MGEFDLAQIEDDDNGYRYYEVARQAYQPEMMEEGSIELVQGLAIMAHYLQRNNKPNSG YVCLGTAIRMAVALGIHSSNAHHPKSNPLAEEIRTRLWWGLVALEAGCSTTFGRPHGF GHASYLVARLPVNCDDDDLTVTDTVLPEDADHVALYTALLMQTKLAKKMLQLQDRISR SLPYPTVEQIKWCGQSFLADVKSYPAYMQPGTPGPFRLARAIQIWRARDYASILFRPV LLSAAWNSSGPHNAGADLTEIIDDCRSLATETLQELHAFGGPGRDPHRGSQWYLLFYE VQSSLTLLLSVVWEPQHPAAEEWRTAVSQSIQRIREMPSVAKMGLSYAQTMENILQAQ STLGSNDRFTSMRTQEQTQPYTDPNGIDWNQIFQSSLILASPKQGQLQVETPRSELKD AECP I203_06349 MSTTVFVSGGNRGIGLGLVQKYAARENYTVVATARDPSRMPDVK GGAGSKVVVIKMDQAKKGGCVEAIEEAKSKGITQFDIVICSAATLLVEGYAPLRNVPL DVFEEHWRVNVLGFLAVFQATVPLIRKGGKFIFISSGSATIDQVPRGYEVTYGISKSG ASYLGHFAHYEEPDLIVFPLDPGWTQTDMGNASAKNAGVDAPPLTIDESTSGMIKVID EATRKTHGGKQMRYDGGQNKW I203_06350 MVSRPNSNFGDNKNLDKHIENTSDTVHSEVLETRFATLSKIQVV KVFWKCILFCGILLWSALNDGFQQQVPGNIIALPAFIATMGDTVINGQPANSAKVVSY WQGFAEMSKTLGMFAGGTIMDRFGRKKAMIVSLVVLLAGSIAEIASRNWKDWLGAAIL VRLGVGLAQTILITYVSELAPFQVRGFMLGSYQVLLTFGQLIVAIAAKLIEVHQPTQW RPLIGIEFMFTGISCLTIWFVPESHIFYARRNRHEQAKQSMLKLYGNAPGYDVEWEYR VVQEGIEEERRIMAEGGKASFFDIFRGTNWRRTLAGCVGICSQWAAGAPIVFSYSTYF FTVAGLDNPFLVSILTGCFLMCLFNVAIASTSFSDSVASGKAGLGCLLIWVICYGLSA GPIGFVAAGETSTPHLRAQTTSFNLGCYGLGFVVFQWSISYMISPDAANLGLKAIYIW AGLLVPTTLILFFFYPETYGRSYQELDELYERGVPAWRFKSTKTQIELSGRKNKALVH YGH I203_06351 MPCEGCTCGLRENGGNEEDILGHTSVGVRSFTAPADESGEPEGV EPAVPLRSKQWWNNPSDGLADMCGAYVERYLNGGLTMNEIANKHKPIIGIAQTGSDLA PCNSGHVQFAKRVRDGIIAAGGTPFEFPCHPIQETTKRPTASLDRNFAYLSLVEVLFG YPMDGVVLLTGCDKITPALLMAAATVNIPAICMNVGPMLNGYAGQRLVGSGTVLWDAR AALAAGKIDQLQLMQTVATSAPSLGHCNTMGTASTMNALAEALGMALPGSASIPAPYR ERGACAYQTGRRIVDLVREDVKPSDILTRAAFENAIALNTAIGGSTNAPIHLNAIAKH IGVPLSNQDWQDVGYKLPLLVNIQPAGEYLCEEYHRAGGLPAVTAELIKHNLLPHPDA LTVSGKSMGDNCRDDFSTDRRVIRSISNPVKTSAGFLHLSGSLFDSAIMKTSVINKAF HDQYLSDPNDPMAFEGPVAVFDGPEDYHHRIETEDDIQAGTILIMRGAGPQGYPGAAE VVNMIPPGRLIKKGIELPCIGDGRQSGTSGSPSILNASPEAAAGGNLGLLQDGDVVRI DLAKGRADIKVDPEELRVRREKMGPYKGPKSQTPWQELFRENVSELSEGMVIPKAVKY QRLAQTAGIPRRNH I203_06352 MPVHTTYKLSNGLDIPSIALGTRECRAKENRMGLTLLMYSPGEV EKAVKHAIESGYKHIDCAWGYGNEAEVGEGIKASGVPRDQIWITSKLFELHHKPEHVE LAVRDSLKKLGTDYLDMYLLHYPVAWKTVCPDGVLPQKEHTPMVDGRLVIDIPLSEDF LSTWAAMEKLVEKGLVRSIGVSNFNIYKLKKLIAAAKIKPVANQIELSIQNPQFEFVD WLKRNQILPQAFSPLGGLAGQHLRQHPVVLEIGKKYGVHGAVVLVSWLLQRGIQPLPK SVFENEIEANIKPVDLTKEEVERLSDLARSFPSKRVVNPSSEYEPFYDVYQEDHPEFS DTAQLLLEKGTA I203_06353 MAVKFFGSHQGRDVLAVDIQSPDGSTTATIITFGAAIHDLSVPT ATQPRSVILGFDELSGYVANKQWHHGAVAGRVANRIARGQFTLDSTQYNIETNEPTGH TCHGGNSGLGHRNWTLEKYDKSSATLMYKSPDGDQGFPGNLQVSVTYSIPSTGVFRLD YKAQTDKRTPVSLTNHSFFNVDGARGPAVHNNLQQKLTIDADQYTAVDKDLIPTGELA DVAGTPFDFRESRSIELLDDRSGSPFHYDLNYVLRSPSIPGKLHRGAELISSNGDLTM ECWTDQPGIQFFDGAPMDLKDRGLGGAMNGYRAGLCLETQLWPDYIHHPSFPQSVISP GDTYTHTTEYRFTKA I203_06354 MTDNKIKIALLGTGIYSESDYLPSLVHVSQTYIDVKFVWSLDQI AAARFAGHFKSAGRSEPQVAVGDAGIEQVLNDSEIDAVVIVLPFAFQPPLMKRFWAAG KHVLSEKPIERDVKAGLELIKEFEDYWKPKNLIWRVAEDYDHEPIHKRAAELLRDPAL GPVLFWDLQNQNYCPDGDKWQATSWRNVPDYQGGFCLDGGVHSVAMLRNILPDPPAAV IASASLHRKHTPPHDTILALVLPDSAATKEPSGSSSKLDIARQHRPNLPQPGKSSPAG TITFTWALPNIESKLPRDLQVLNITCLNGKLTLVNDSGTRTLELAPAAGSQVEAVKET SPKKGVEVELAYFARAVLATKAGRAIDPAEDYGKPIDTVWDVAVIQAMLQSDGNKVDI KQLIDQYR I203_06355 MVAQTIIQQESLLNYGCELGEGSLWDARRQRLYFVDILGCRIYT YEPSTGIHGFQSFDRTVTALALLEDDTGLLAVIQDGLAFISFDQLPFPPTNSASTYKR LPVDIDHLKGFNRFNEACVDPSGKRWLVGTMMHEEDFPASAGGGLYAVTQSGDGLAAD LLLDQLTVSNGMGWTEDSKTLYFTDSLRKEIGKYDYDISTGKVSNKKIFSNVDNENLG VPDGMCQDDQYGIWSARWGSGKVIRFTPQGEIDLIVHLPQALNVTSCIFGGSDLDELY ITSAKTGTTDEQIKKHPLNGDLFVVKDSGFRGRERTRFSGDFSK I203_06356 MSSYYERNNGIVNDCYEAEGKLRRAWGWGDSKAYERLQRFASWF EDIWLEIDDLNDDGQLNDRAECAALLACEELLTYTHIPCEDYLKYIVRIRCCLRPNEI WYDYPYDVTGLEDTSDESSDDGMMFHMEM I203_06357 MPARRKPSARAKGRNTATSTPAVSSRATSRDSSSLSPAKSPGIE VAADEDIDLEGGDEQEMDDAQFENGDDEEEQDETQIENDDGEEEDQDDEEEEDEEDGS DEDEEDEEEDEDEEEQGSDDNEEDEEEEDEEEEDEEEEDEEDENVTEEKDGAESDSDD TESDEENGNKGKAKSKSKPKTGSAFDNEDDLVQEEDAAFTKDDTSCPPSLKFYYPLPV ERLSDQPEPHRSFKVARFLKCQAPDCDCEGLQPPPDHVLEIGDSPTNENQNSKEAKSH RKQKKGESDDEDEDGDISMSDDTEERRRQMWINEKGWWKMCGRCKHGWDGEGHVWPKD LSTSEKRRRTNVVGRIEEILKDEKLLLTFPTPKIEQTEGLFKQLDHFHRPSGKRPTVP GLPEPSNITSPQGSGSEDGNEQRPRKRRRSGSSQSQDEIDIDDEANKPHKHGKKKPGK TAGKGREPRTVVRGTHGIVSMETDADGNQHVAGKPDQEKNEDGEEEDDEEDVPLAKRP ELDEQERKRRTEIKEKEKEKEEELMRRLTKGANADHGRDGIGEAQGIDVEIWEGVELP KLPLRPAAIEQQNEEIRLPVVSSRNPTPVATILLIGLKNLFQRQLPKMPREYITRLVL DKNHISMAIVKRGWKVVGGICYRPFESRGFAEIVFCAVDSSEQIKGYGSHLMNSLKDH VRAAHPTINHFLTYADNYAVGYFKKQGFTKEISYPRERWVGYIKDYEGGTIMQCTMLP KVKYMEVHQMLADQKAAILAKIRTISRSHIVHPGLAIFRDRKPGEEIKLSKEQVPGLA ESGWNPDLDDIIRQPKRNPHHVLLQLVLNDMQNEPSSWPFTKPVDGNVVVDYYQVIKE PMDLSTMEYKLENNHYETVEDFVGDAKLMFDNCRQYNGEKSTYTKQANSLEKALDKIL KKRQSVL I203_06359 MPQEERPPGVQTKATPPTPARRPSYPPTPPTPTSIELPLPIQPK DLIEPTIETIITLWRAWPLDWSVVRQGMALQHLTELLDGHWKNISLLDKSVTRGITSP IETVKKNKDTCKAGTIQENVKVAMKLNEQATEEFQLGRYPKSLTTYLQGLAVLCPWSC DDSIMTFDLAKNAGLSNIEQQLLLNIARAALAWSLLLPDTRQNKLLCPILVDSTLQAF ELFPYITFEGLIQVSDRKLMSTGNIDCVIGEKRLFAMRRVDRKEWEKQPPEEWATRDL SAVHQA I203_06360 MSEQPLDTSKGQVNLPLSIKPHDLIHPTKETMKILWDACAIDIS ESGRLFLINQLSPVIASRKDGNFPWAAHGQFLRLMIVHFLESRWPRLVSLVPSFGKLD EPLKLVKIKRETGPGYTADTKRSFEVASNFTKDLPLLIGALSLVPAHHEVLRMCFAAL ASLVPWSSDRSLMIYEQARDTGLAAMEETIFLTIAEVSIAMCKKVDTFPEALGDLVDT ALEIYKWFPFTSYGGMIRWTELLEEAMVRWPNLYKYDPIRSVLPTIRNQKKF I203_06361 MINIPFISLFVILLYVAPSAQALKSWYTPPGGTPAGSVAGDKVR GVNLGGWFILENWMMPSFFEDEVLKDTYINDEWVYCSYLGKEECLNRLEKHWDSYITE DDFKRFANYSLNTVRIPMGYWSWTDPEEYEPYIQGQLPYLERALNWSSWYGLDVLMDL HGLPGGQNGQDNQGYKGPIEFQNNATNMERAMDALANMTKFVTQDKFDGVVKAIQLTN EPYILEYSSNGMDFNVLADFYVQGYNTVRANEHILSGSNEVMVVIHDAFQPVLNWKYF WSQESMGLNWTNYALDTHIYDAFGGSNLKTYQEHLDTICAQAAAISEAQTYFPVIVGE FSLGTNTYCVDYQSCFGLTLDEVISNITSSYEANLFMRQFWEVQSNVYELGAGWIFWS VHHELAGPWSWTQSAAQNWMPEDPNEKIWPFYSNASSYCLDTYNPIQGDQNMPYFPTY ANNYTNIDISTVTRKYYVNSTGTEAIANSTSVTSTGDSTSAGNSSSSTTTNSTSSATS AANASQRELLGVGVWTSLLFVLVSITSIL I203_06362 MSSHTRGKRAAAAPAEITAEASTSRSTRGGGKRGKAQPEEDITL GDEEALALGEAEEDDDDAEGEEEEDYQKATPSKGRKGKGRAKAGAASKGRNRGKVDIE EDQDEGEEHEEIKPSRRLRKSVSYKEVPVEEPEEEEEEDAEGEVEDAEEEEEEVKPRK RQPPVRLSSQTSNSTPRKRSRPSIKATPSANAVPAATGEEEDEDEDRTDTPYKFEKIP GGSGRGGFSVKGAAAAAARARWDKVRREKIERGEDPDEPRSSSARKPKRRREPLVPDA DHVEMGSTMTIKGQEYTVGDDELVLDEDEKGNTKIDVEGRLLGGREYKLVTFTSSTRR NPDKLYTMTIDAARALGYTDSLAFLRRYPQILKLSCTADERQLLIDIGRIAGNLKHRQ VTMVSVRNVFKLMGARVIKGGKWVTDDYYETEAIERCKEMGYDPGTLAENEEVNSREN QMTRDLGLGDSSLYNTNGPGGGRYSYSLTPFYPIGGPTTTFAGNGSDPFTEAGSGNKK QKLKSAGVNDQNWIYLTSKDSLAVNQQLQAYRNERLGTLDGTDLEGNWVYTVEEKAEE KEEQVQEEDKKMLAPGLDRKRSGLSHDVTRELTQQLGDDVVNGDTLQEDIVMDESSGI EDSIPIPEEKGPEIVTKDPREVDSKYHWGLGSWTRGVVRAAYEPHTHIPHVPQYTQPT SSSPYDRISYHPIISSSSTNNHNLVQSTLSGPSSRGISSVEYVIENNHDYDKEREERE RLVREAEEWEKRMRRKRKSVSIGVN I203_06363 MLLLALTRPTRAVVQRGIRTLITTTTTTSTDSTSHAYLRPYLQS KDDAQPENEDLEGVMCLVLNRPETKNALSVRMVEEMREGIAKLDSMPISSARILLLHS SQPDLFCSGADLRERRTMSTSQVSSFLDSLRDMLRELEGIKIPSIAVIDGYALGGGAE LALGCDLRVGGENTKIALPETKLGIIPGAGGTQRLTHLVGVSKAKELIYTGRHIDGVE AECIGLINTYSPQPFQSALSLSRQIISSAPLALASAKTAITAATRSPLEDGLDLERRV YNKLLDTEDRQEGLKAFKEKRKAVFKGR I203_06364 MSSPFKMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPP DQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLKVLANKYNCDKQIC RKCYARLPPRATNCRKRKCGHSSQIRPKKKIKN I203_06365 MSSKEEREMKPPVHKRPRLHETHRDPCGDVILVSNDQVEFRASS FQLSKISKFFADAFSLPSPPEVDKCREPIHLDYIGDVIATFLDLVLTPTHIQSAFIES YGKKPAVLGPLLNLVEFALCQDETISKIRQTLKSACLAYPLEILLLASQREDASLART ALENVWRIMPVHYTHSSRWRAIRPTLATDSVQNVRQSQRGQLFRLETDQRTLRSEKTH EAQDSNGRTNSWVGMRKEMNMWKVKVM I203_06366 MSLRLPSQNKFRPTFKPGQKKAPPVRPSANTPTSSQQTIASSSS QPLPSSQKSAPSSSQPSAPSSSAPQLSSATPAATTQSTAPTQSSPQTTQSINLVPKAI PSSQIRAILSNKSSIPNVTTPTQSSPVTPPVTVKLNEASSAPSSSATVVPIPAPPTPQ STTANPSSQSTNSPKTIKTPLGPPPPPSPVSAPRLSLLDAARNHTSDTPSPGQIRRRT PSIASRSERGPSATPQPHRASSVAPSIRGSSVTPQNPREPSATPQPPSSFGAPPSLAV APSPRQPTSGLPPSLGVSYGSGFPPSLGTTSASPAPAPAPVASPSRAEAEALAAAAVG TIGRIGAHHTTKRPARQGGRRRVANSALTGSQTNVPVMAIPKSRATKKAPRTVRENTE DRRTSEEEEEEEAEVEDQSDAGTSRGGKRRTSAQLTEDEDGRPIKRQKKKKQKKAPGV ATISLQDIQPDELVGDQVDEVVITMGDLATTLAAQGRVSSRAIRIDEHRREEDQKKKE ASRLRAEHAWRRDQIKRRKVRHTKNRDRARRREELGKLGMDEGIVSQDEDDSEEEFEP EPERLTPESTPEPDARREESTRLQEEGEEEWDEDGEEYNDVPVDNNAQPLFVDGEDDG GSQPGEEGEGYDDGGAELTAEDIAAQEEADADMAALRELGINIVDDAGAGEGVDGEED YEEPNWEVEDDDYPDIEGYRRDLERDRRRIREQQERDDGEVVEINDETRFINAASFAK YTKPQRWTTTETELFYQVLEETGENYSLMKAYFPGRTIKQLKLKGLKENRLNPDKMTA AILARRPLDKEYLTKSSGFDPTRPWDKEEALFEEAKSDADRLKKIDSTRPDGEIEEVD DVEGGEGGFDETMLQELDAEEDVGEEQDEEEKDGQDEEQVDYQDNEEEY I203_06367 MFRPALLRTALPVSKRAVNASPMIVRMASNSPITTSGTPDVAET SYIPNRLTPGEEIDPQLNGYPQLPYVSIQAREPFGWWDRQERKNFGEVMHEEEDAIGM WGPDVHKTSPQSALFQLSVAFSLIGLASYVLIKNRPERPVAQRTYPYNGLEKELGGQS YARPEEVDEE I203_06368 MGVKGLWSLLNPVSRPVQIESMEGKRLAIDSSIWLYQFQATMRD KDGRVLVNAHVLGFLRRINKLLFHGIKPVFVFDGGAPALKRATIAERKKKKAGAAANH AKVAEKLFAAQMRREAVKAAQAIEEQRAARSAASANQYPDEAGEQISENAVYLDELES GAGPSRPRPQPIERASSSSTSVRPSTPVGFGEVPTDPEKRRKHFKKHDPYRLPETTMP SVSTTERPDARLATEEELKQFIDEVSPDDIDIESAEFRALPTEVQYEIIGDLRIRSRQ QSHRRLADMLRAAPSALDFSKAQIKHLSQRNALTQQLLTVTDMVGKAHLTIPVRIAAE RNREYVLVKRGEDEGGGWALGIREGSKEKPIQVEEDEPKVESESSSGISSDDSDIEEI DSSKPAVVDSDLRKHRRREILEAIARRYAPARPARKSLDVAVKPFGASRQAGSKPLFD DAEEEEEKEVVPTANDEALALALQQEELGSDEEEVDVDLARALALSRVEKERRERSVT EEADWEVQEDDEDMEEVDLVPSGATTPVHDQRDTATPLEEHDDDDEDDEFEEVATDYA PSRSQSLTDIPSAIPTDQYDDDVPIITARQNAARAKPDGVAEHKPIPADVIEIDGDEE EDDAPLFPAINQGPSSTEVSDPSQRQREKTTHSTLSQASPQQIEVDDSGDDFQIIPEL QPHLLPSTPSPKVPSPVTGTSLPANQASLSTHKPSPTAPSAPKQPSLAPVRNPIQRMP SATVKPSPLRRVTQPASSPISIETEDEIAHAPASAPALLPASAQQSTINMAQRPVSPV QTLPDIPLVASPSPSLAPTDRPSISASPAPAPPLFTHAESTSSEGGEDIDDSRSIEWS PSPPPVPRPALQPTDSATTIPSEVEDQDGDLTTGDMAAEEDDYARFLAQIKGRDLNEV RTEIDDEIRVLNSENRNAMRDSDEITQSMVTQIQTLLRHFGIPYITAPMEAEAQCAKL AELGLVDGIITDDSDVFLFGGIQCFKNIFNDAKYAECFLSSDIERELSLTRDRLISLA YLLGSDYTIGLPGVGPVVALELLANFPGERGIDNFKDWWIRVQRGIDNSKELDTKWKV SFKKRYKDSIYLTGDWPNPLVREAYKYPTTDESEEPFHWGFPKLSALRTFLHEELSWS ISKVDDELTPIVQRIARRGKVGALNKQSTLLPFFDVSVVTGNYAPRRRTTANVSKRLM SVIKSFREAEAKIKGEDVGEMGWGEMMVGLDEEDPKGKGTGKRRKTTMDSENGSQDGD GQVKKRRMSSASVTSRGGGRKRAGTNGSAVSVATSEGSTESGMNSAGTGRGRARGRGR GRGKGKGKVSEVQDV I203_06369 MSSSRPSHPNLPPSLQILYQKQQEYAGLQALREASAEMVTRVEK LAEMSNIMADGGEAAGGVLRNWPHVFSILNLFAQQQSERSDTSMQEDEQEEQEPLPVL VRLPYGGETATSSTSTSNKDGTHQQDTTR I203_06370 MIVLFLLITHLTFISALPFSLITRASDVEKEDESLYDQVSTSSS DWCTDNPENCKTVVSISSIIGLLLVCYLIYRFWKKRRIARKEEESMDRTLKLNKEKEE IKQRAIEEHQHELQGGLFKAFGLKRDTADKQNKS I203_06371 MATRKLKDTQLYDVLGVKPEATDIELKKAYRKLAIKWHPDKNSS EEAEIKFKEIGEAYQILSDPDTRAFYDKVGKDGMNRPEAENMDPTEIFGKIFGGEAFF DYIGEIALVKDFTTTMDVVMTPEERAEMEAAKEAGEIDPSVPGDAELAAAAAAGATTT AASEGTTVSPTAAAAAAEESNTSLAHHSSFSASASASGSASVSGSASGSVSVGGGSSG KDKKGKPKLTPEQKAQLEALEKKQEEEKKARVEALVQKLTQRIRPFVDAKNPGDKDDP ETKVFESRIRIEAEDLKLESFGVEMLHTISQVYITKAGNFLKSKKFFGGGFFGRLKEK GGMVKEGWGLLGSAIGVQSAMEELERLEAKGDATPEEMEALAQEVSSKMLLTTWRATR WEVINVLNAVVDKVLYEPGLHKDVALKRAKAILTIGGIFKDVKADESDDERRELERLV MNAGKKKEKNKEKKSSGGGWFGGKSSSPKPDAAVTGEKSEMTAEKKEDEKATTA I203_06372 MEDPTPLAGPSRPRRPVDNADIANKIFALQRKNASSGDSHGASR PSRRTESSERERERERERERERHRLPQIGVLPAVGPSRSFPNPPPSPNRTSNPRHPSS PRRTHPSLRPSPDIDEYSRGPPPHASSSRALFDPSRTAPPQVQKIDRSPRPVVEEERV RRRLDSRPSSSSSKKLFDPTIHDPMHFSPSPRLPFPDSSDIGSSSSSKLLLRRPPTGV ARTPEEEADRERERRKRREGSERGSTNTNPKKKDSSDTRSKGSRSSEGSESFKDRERG KNNSDTGVKPILKKIHDEIKELENELIEIHRIMSNDPEYGILGLLDGGNRNTHPRNRN NMMGDQESAAWIDLIAKHKRLAELHDHFLITLFDPLVPSSYHQLSVKYNIPSRLWQTG FHLLLERLRYAWMSGKGHSTATALDFLTDVVYDAYRFYSELLENQALSNFRTAWIEAL GDLARYRMTIASHVQDPPASTSSKDKLVRIDGLDDEGEEGQRPDPSGASIGAEVAQSW DVEDKETWRTTARDWYNMGINEKPGEGRLHHHLALLCRDVKGQEGRALHHFVKSLTVT HEYPTSRESILPLFDSALQNQRSLPEATAMDLFIRLHGMLFTRISLDDFDAVMSRYME RLEEDARLDGVSRKVVIGQTDWMIMASVSLGSIMQYGTSSGIVRKALSQEGAERRRAQ ALADNEDGEIDDGENQPNNEGLNIDGMAPLRTISPIPINGNGFIDTEDVQPITFTYAL KLAFAIFEFVLSHPNRIQGFHQVLNPFITIFLTFLATVFRQPHVGSSLVLSVPWERLC QFTNSTNLEIIEEKRLVSGLPLPEDWLLRGNEWVGRRVYERGFWKSKSTSATNGNGRG STGSGSGGMVQPRKGGNFESEMDVLLANFDPPVGRSLEDGVVDDLEGDTDSSSAINSR RYKRVVWTIGVMVKFVDGLELNGDGKVAVEGTLKERLMELERQKEREREEERRRDKKR RDREREEELEFEMEELDVDLDLSGEEDEELVALRNRRRELQGLLSTSSPLPTSKSRSK KSKRSNLHVVPGYTMLLFDTNVLVDSLRLFSKVVEGGQWSVIVPLPVVTELDGLSKEP GSLGTNSIKSIKYLESTIRTHSLTLKIQTSKGNYLSDLLIRTESLPTTDGDEKDSGGT MDDRIIQIASFAENNFMDRSTLLGMPSISSSEKETTSKVLVVSNDRNLRLMAMSKGLR AVDERELKSVLERV I203_06373 MRFSLTISTLVLSLGAVSAQLTVTEPRADHWWVAQSLNTLAWEG SSPDQFSVFLSNTDTNVLTSILALTSVTYAYDRSKTINPGGVTPSGGYTILLTNPLNS SDVYAKSETFEIKAVGSTYPPQGSSAGSSASASGSGTASGSAASSAASASASSTGSNS AGTKLDVGKGLMGIISLVGGAMALF I203_06374 MFSPSAEDDVLVSASFPETNPFGLVVNGEQNGLLLHLVNQGTKN YTLVSASASYHDVNNHWVTVKNASTLKYNVPIVAGSNLSAPYQVYSEFRPQELGLTVW VNLQETSDASKGLHQLTAMNQTVSVVEPTSSWFDPSLLFLYLILSTALLGGAYAVYQT FFSTPATKKGGQKKKVKAVVPAEQKSVYPNVKPYEEDWIPESHLKNRQSKLKKKSTTA GGASSGDELLSGGEVTSGNEASGTEGKVRSSKKKGKKN I203_06375 MASPGLSLPTRSASVKVFQNGEAGDPDSNPDLVRRDSLEDTKSF YGVRPTSPPSLSIHRPNSSLSKVSKRIRSSSLNSTPSSIAGVGSPPRSSAPLPTPKGF LSPKKPNLAIRLEKKERTSTSSSNLRTNYHTHEDGGLNGHNGIDKYSNSNIELISSRS ISPLQEEEDSEQPAIKDNRYNTFGESGLELSDIGSRSGSGKPIQQKSSNISLSFDNYN NIHTQNKLNTNTSNLSANTNWDKSIGRSITEMSTPPRTANSELSSDELVNSRDRDSDL EKGTVLDRSNSSKLKSRPSLAKNGNRGGNERHKRKYESFENPLTTFFWNGKLMTGGDN WWSILMITVFLLGLSGVWLGTTGVWLWVNGREYGMVRGGGVAITIIFVYLFGITTSSL IASAFRDPGIIPRKLDIDPPMSRNDDYWEAWPRDIDVNGTKVTVKYCETCQSYRPPRS SHCRLCGNCVDGIDHHCSYLHSCVGKRNYFSFLVLLISATIADIYIIVFSALHFSLLC HHDHVSFRKALSESPGAAVSFLLGVMLILPIMFLLWYHLRLLLYNITTVEQIRANTSS NLFVTSKRPDNPFGSNSLFDNIILASIGRPQFPSWIDASGIEEVDKREINPALKDLRW VREREGL I203_06376 MSSPLRIGYIGLGAMGLPISINLTKYIKANNFLPMTVWNRSPAK YDLLRPHAPATHFAESVEEVVEKSDMVFSMLIDDKAAGDVYTKAFNYLEKKERKGVVF VDQSSLKAITSSKLAEQASSVGATYLSCPVFGRPPMAEASKLLIVFSGPVEIKEKVKG ILIPAVGDRVVDVGEDVKKATALKSMGNMVLLGWIQLLSESYALGDGIGVDPEVFNGF LQQFIPAPPLLAYSNLISKGIFKSGSGFSIDGGLKDARNMLSLGEDLGHPVDLPTIEL AMKNMERSKELGGKDQDWSALAAAVRESSGMEPFREGTNGGKGN I203_06377 MFSSPLRSPRPQPPVDNQDISPLLAAELAIEFNSLKAPNGCPEG IYITPSREGLLRWNGVFFVHRGPYAGSILRFIILFPPTYPQNGPTLRFDSDVFHPMVD PKTKIWHARGRLSQWKPRIDHISHLLYSLKKSFKSKELDSITEDEAVNKQVWSLYHHS HQTFLSLTSQRSLHSSARSTLFPDEYPAPPSPTRTRKISGQSMTEELGKAAIRFREID GQEEKRLWEGLKRSLEG I203_06378 MSAVEITIHPPSSTHPSLSEGTFDRSWFMGKWGVAWSTLPMWKG KKDVTITYTPIAGTENHTKFEDLVEYKKESAAEGSKPSTVKGVDTLTTGANAATFDWK GSSYLFFVHSHWEVLGFGKDEINGLEWAVTFFSKTLFTPAGIDIYLRSPSSASSEPNP SSQDTTIRSDLVKKIVSAMSEISQGGVSELARSGFEVPGIV I203_06379 MKEPFVLGHEASGIVVQVGEEVRGLKVGDRVALEPGEGCGRCGD CKKGAYNHCDSMRFAAADGLDGTLQGLYKLPADLCYKLPEILSLEEGALTEPLAVAVN AIINIANLPHSANVVVFGAGPGGLLTMSVAKALGARRVIAIDVQQQRLDFAKSYVASD VFVASRMEKGESKEVYSQRHAKDIKRSLGITDRGIQSIDVVIDCSAAEVCMQTGMWLL RRKGTYVQVGCGPLYVNLPMRIIADRELTVKGCFRYGPGVYPMAIDLVSRGLRDLKPL ITHKYDWEDAVKAFETTKAGQGEDGKMAIKVMSK I203_06380 MIRPSLTLLNRFSYTSGPSKYGIYPLLPPSFAMTSYPPIRPLPS SIPRPDYVPSNFFTADWGEHDSVDMKEADAEQIELGGDGEKRVREAAGMARDVLKEVG KLIRPGITTNELDKAVHDLIVSKGAYPSPLGYSSYPRSCTTSINNVIALSLYYDPTSR PLHPEDIINIDLTLFYKGYHGDTSATFLLPEVDKQGTDLVEATKEALEVGIKACRPGR KYKDIGKEIEEFAKRHGFSVNGQFSGHGIGNVFHRPPWIFHCRNDEPGEMVPGDCFTI EPCFVQGRNSRGKLWDDGWTMVTESGARSAQFEHQLLITEDGVDVLTRI I203_06381 MSFGNSVEAGPSRIPLGDTSDTQQAMMEIPSTGLKFFEYRRRLF LAGLQLPTPPANCDIPATYLVPAPPDPLPAINPSDVTSTAVQRLEDVLKEEGSEELQE TWENGVGRIARSLHDGKRLARGLRLGLVIKILKASWIQDGLWPKDELGRPVKPPNSPI IEGVELFPEDSMSRPEVVAEVKAAGKS I203_06382 MPPLSKEELWSSGRDETVEVNQRALIDKILARYSGEHTIFRELL QNADDAGAEHVQVKFYTASGLETLEKGEGPSKLPDMHRYVVTNDGIPFRQQDWQRLKK IAEGNPDEEKIGAFGVGFYSLWSVCDDPFVESGDKWMGFYWKDGKDQLLARSGDLPPS ASSSTAAEKGLTGNPWTSFTMGLRESSLLEGPLDLARFFITSITFMRTVRKIDMLVDG VKVLEVEKSVKGKSKVTKKGMKTSSTNGMMTVTGLDATGMVISAKVMQWLSATGFTPP PIPQAIAQLAKPAKGFASMLASSFFGRNSPAPTPVAPPPPAPPPEDPFEVTTLHRDIQ IYQADIKVAVSPAFGRELERATKKPPPTRMPASVVYSRGEADEEAKTEDGKPAAKDIG GVFGGLCPPLDSEKSAKVFIGQATSQTTGIGGHLAARFIPTVERESIDLVDRHVSHWN KELLWVGGYLSRMIYELELQEIRQSWLQTSVEDKGKREQLLKRGLHALRFFTFKTTTP SNVVGQEMESAFYGCASDNRSFPIISIDGILPISEVRMPNADLQKFLPDLPVVTPSAI EEAPKSIARLRERSLLRDVTFDDVVKQLNDRPLTEKEMIDCLTWWQGVASSDSINSAI RRKLVDAAILIQENGKVLPLSTVQTFVKPHNAVIPPDMPLPPHTLPYTITKNLKANSI YNIFGWTELSVLQYITFLVNPPMSNDTQATPETDIRVSADFSEKVLGMLGRAWANLAA NQQTAIALELKDIPCIPTKAGFKKPGEAYFEKNLLFDDLPTIALPKGTAIKGGMEKML LAIGVRKTVDLQLVFSRLVGGGSWTCQDLMKYLVSVKDSLSDEEMKRLKMTAAFPLEI EAPEGESQKTVVRQKPHQLYEPTEAMRNLGLPLLDWGEGKWRSNSEEAKMLFTLGLRR FPPIDVLLGIAAGRPPANDKALQYLLANIHNHYPMFKSEAFSGVAFIPAVTYIGDHIL AKPGQVFTNPQCSILGFSVAQPIGAAPENAVKLGIQSDPPMSQLVEALKANVTRDTDK ARKIFDYMSTRLGHSLSSSIDSLRSFAFIPVKTTNGDISFYRPTQVYFVAKEGGEDLY KSAFTFVDFGDRANIFLRYCGVRSEPSVRDIAQLLMNEPGRMLEQAGSAERYLEQLRL LAANWTIFDTPTRTAMKTAPFLLASQRVPSRKSTKKLLNSWTGGNEDTEYEREWVLCK AADVSVVDSVTMLQYFGQYILAAPEEHILENFYASLGARQLSSFVRRQYISDTPSTIP SDHAQALRRHVLERLTIFLAEARRKQSEYSSDQLSKEGNFTIQEVRELKVKYTYKNGR NEHHHYETLYATASRGRGKAIILTISTTAQMDDYDIASALCDILLKSPKADDALLLYS ILSTPLMALKKRGFNVDRIFNQQKEEKLRIQAERKRDKEKAASEAANETALTTTKRSS TGDLDDTSSITSSTTAIDSEGSTNPNSNTRKHSMGGLLDKFKNRSSMIKSPSSTSTAP GQGIGENIMNQLQGWGNTARSGGPGTSSSHSTNGMPGAFNAIGSGSGAMKGSAPSWST KQPTDLNNIRNTVQKALDASRPEKGTQINDSRQAVRDVSESQDTYCDTTAQADIVLVP GAGERGGLNIWVPRDVPDQAGFLIGDKMDACYRFSIQILLPICQVFNLAPPVMNVFWD HAGPLIAFNKGGTIFCNARYYQAWHDDQIIRGQRHEALISWYFSIAHELAHNLESAHN SAHEFYFSSIAEEYLIKFIELLARSQRDLAQQHLLD I203_06383 MSSLARLFLRMGPSAGPSSRVSVTAANRLSTISRHLSSSANSNN KATSSKMSSPHDDLVLFESHHNARLYKLNRSSKLNSLNQEMIDLLSKKIKNWRELESC KVIIGTGDQRAFCAGGDVKQLVLDLKEGKDTALPFFKSEFELNWTLGRLGKPYVAVID GVTMGGGAGLSLPANIRIATPRTIFAMPETKIGYAPDVGANYYLAQLDGAIGAWLAVT GQELYGRAVYELGIATHYVTPNLLPTIINEITSLDSPTPQQISSIVSSYTSSPSSSSS EGESSKTNPDGYSNIKGEIREFLDKTFSLKSIPEIDKALTKAQSDESLSEDTKKWAKA QQDILNQRSPTSTAVALTGYRKAKEARRLDRTLLNDISMATAFCGPHRSTDDFIKGVS SVLIDRSKTAPEWIPGDLSDPKLSLGEITKNFYPGKPSEGQPELELVPSSASKLDSGR DSSWNQFRKFGLPSESDIRSSVDGYSPGSGAFALTEQELVSQFVENHGSPQGQRRKEI EDRVRDVVQRRCKKDKQGYLEWK I203_06384 MTSTLEMLLSTSPAPSLIYLHHPHHSFTSLPLPSFSTSSSSSTS SSTNTLFKIDTVEYNTPRLFFSGILNKLDPIGEGQEEIQTFDGFAQRLKLWNQASTSA STSKGKGKAKAKASPGTKVNGNGTNGHLEEQDEHSRIIVLLITRAERLRLVFGNGWSL MTRLAELTGVPLSVVLCSSSPWDYTRPMRGDAPEPIHVYLPAPTREEILSTLTPSSPH PLWPRFLDLLLSTILSLCSPSIEEIQYLSQSLWRIYTSTLPPHYSMIHLGLPYPDPAN PPAELEITIKLLTDLKHRLSLSLASAIENLLPRQIGSYEFTQALLPKVQNGIPLTRTL PQPPAMDLSTVEKFLLVAGYTGSYNPPKSDIRLFGRTAGVEGKRKKGGVMRRAGYGRV RVGKVPQRLLGPKPFPIDRLLALFSSLYAEHAERPEDLQASLGGESSSSGEEGDDWLP SVAEQSAKVERKRKRDNEREKRWDDSVEELSMSVKLWGLIPQLESQGLLKRISPIDRL DNIMIRCEINYDTAKNLAKDLKFNLDEYLYEAVM I203_06385 MPHYPPRPPPGIRRLIWNQRIWIESTFATSMMQPWEKALILTVL SLVTLLIWFSLYTYFPSHVAYLSRRWSYYVYGDETVEVLAPIKAYILAQIGRVKSAVG GQKGRLEL I203_06386 MTSNQSIITEEWVLGPENTPFYTKRWAPKDEEPKACILFVHGFA EHIARYDKFFTLLSSPPHSLHITAFDQRGHGRTSHTPLTESSVEVQRWKKEGKTVKSE KNGKRRTGGWAKALPDIEWFVKRESEVAKGLAKKLFLHGFSMGGAEVLAFATRPHPPS SPETVKLLSGIISGGPLIRQTRPASSIQVKAGSFAAGLGLGNMLIPTPMDYSHLSHND ETNDLCKSDPFCEQTGSLRGVADMLNGGISLDTPTAWNSWPEDLPLLVYHGGEDNICH PGTAKRFGEKVRAKDKSVEIIEGMYHEVHNELSPTPENLAKTIADWVHARAGSSHPSA VAGAQDQGGVNSAVEGAQSAAVGQSKL I203_06387 MQSLNALGNRQIASLNADLNRMESGEGGPGVQGQITTTLGALSR LIDDYDSMARKEMVTAAREKANTRNEHKELKARFERAKNEGQQKARNDLLGTSSTSAT SSSSGIAQRRSSAYPSTNSPIHESPFGSSSSSSHQPNLWQPNHPPTARDEFALREHSF LQESENHIDQYIAQGRAVLENLVEQRGILKGTKRKLLDAANTLGLSRETIGWVERRT I203_06388 MRAVKRESSSTPSIDSELLQKTPPSTPSPKKARGSPKKEKGSPT KPKHVKQSWTPQEDNIFLELIEELLKESLYAKVKADGRLQRESPAVRAHLIALMNKLK KGQ I203_06389 MSSSITKEAEPWVQPHTQALLRGMVQIILQHRTELYTLPSLCGV SNNHGDRIRKKTHHMLKQFCELYPGSEGLVEEEIKNLNGMSRSGGGSPKKRKIKDEE I203_06390 MNTNVNAIAGPSRPLPRKSARPFVSADNRPSEPDGIFRVVLITS GSVASIKAPDIAAALSQSGDIALQIVATKSSTHFCNQQTVDAAIRKSLGVSEDENVPD DLGVRVWTDEDEWSDWKKVGEPILHIELRRWADLVVIAPCSANTLAKIAGGLCDNLAT SLLRALSPSTPVIVCPAMNTHMYQHNFTAKHLRILQEQLEYLILGPQGSGILACGDDG PGKMTDWREIVFTIENFASMHKQKIDQSKMSAIPPQHHQPRQPQTADIRDGDGNANVN GQELDRSDRPKTPPTPGLPTSTILPAQLQNMTIGETNRGTPVSAVPPVEPQGNANETS IAHWKKMQNETYWQVRWWAG I203_06391 MDNFTDKSAQVLKAAFDKAEEMANSQVYPVHLISVLWEEPSEGS SSQPDQPTLLKAALEHIGGNPTIFNRALMRKLNNLPVVDPAPAPPLPATQPFHSVLRE AQKLQKEQNDQFVAIDHLLLALLKVDNSDLKELLKGTGAEPKALEAEVRRKRGGRKVD SKSAEGQFDALNKYCTDLTALAEQGKLDPVIGRDNEIRRVIRILSRRTKGNPVLIGEP GVGKTAIAEGLAQRIVDRDVPASLLSRLLALDMGALMAGAKYKGEYEERVKAVLSEVE KSGDEGQQIILFIDEIHLIMAGKDSSGGMDAANLLKPMLARGKLKVIGATTLNEYREY IEKDSAFERRFAQVIVDEPSVPDTVAIMRGIREKYETHHGVRIMDSALVLAAQLAKQY LTARRMPDSAIDLLDEAASAVKVARETRPEAIDELERKKLGLEVEVHALEREKDEASK ERLEAAKKAIAEVEDKLGPLKRQYENEKHLGDEIHELRRRIDELRAKADEAERRYDLA TAADIRYHSIPQREQKLKELEDREAERGTGQQVTPEMIAEVVARWTGVPVSRLVETEK TKLLRLEKLISKKVIGQPEAVKAVANAIRLNRSGLSNQNRPIASFLLVGPSGTGKTLL AKTLAGVMFNSEESMVRIDASEYSEKHSISRLIGAGPGYIGHESGGQLTEAVRRKPYS LILIDEIEKAAREFHQLFLQVLDDGRLTDGKGRVVDFRNTIIMMTSNVGSVYLNENPL EGPVAPEVRAKVQGAISQTFPPEFINRIDDIILYRSLSRSDIRKVVDVRLKEIQQRLK DNNKKIKLAVDDSACEWLAQAGYSPSYGARPMARLIQTEILNPLSRLLLQARIREGET AHVTADVRKNRLVVIPNHEPDVSAPDDSEDDDDDSMDIEVEEMD I203_06392 MFARLFHPDAQSPVAQFSSGNGRLKQVTDSSEWTTDTDAEKGAV KHIDHAAVDVPQAIAEEDEGPNVGLAAYEQSKALGEITPEQNKRIRVRIDLLLLPLFL ITQTLQYLDKTALNYAKVFGMEKAMGMHGNQYSLGAAIFYIGYMVAQPGWQYALGRLH AGKVLGVSAFIWGLTVLVMVWSKNFTHVMVTRFFLGVFEAAVTPGLSLMTGWWYKRDE IPLRQTIWYSAVGWGGMIGSLMAAGISKMDDHPTPRWKLIFYILGAVTMALGICLYFF LADGPSTAKWIKKEDRPAAVNRVAQSGVGLKTTNFNWTHGFQALKDPKTWFLSIAMFG FSVPNGVLTNFSGTIIKGLGYSTFNAALLDCAGRSLQVISLLIAGLVATRWANTRLLM MTIGNLICVLGTAMMSFLPFTHKYTWARLIGFWLVNTQSIGFTIGLVMVSSNIGAYSK RVVTSSCIFVAYCVGNIVGPLTALESEAPRYQTAAYSMMAGYILKTICHGLLWVYMWR DNKSRDKKYGPADPVAAADNGMKGMTENENIHFR I203_06393 MHARSALIAIAASTSCAAALSSGCTTQLASLALGDLGSCLQLTS LLPVLSGSSNDSITSTINTYLGSLCSSSTPTCDNSTLTSAQSSVNSACSSDYSAGGTD AIEIQGLVGLLGNYNEIYAAGCSKNSTTNTYCVTDALTTVQNATGQSITVNYLTSILS GDSSTLSGLESVLSSGSLCTGCVSGIYYEAKQANSSIGDTSFGQALTQQCGSSFGTTA PNTTSTSTSSSSSAASSGSSSAAEMSIPYATFGVVGTMLGAVVVGAAAVL I203_06394 MAFKLEPIEIVAICLASLAVLLIIFYALYKLTKSCRTSSSASTT PIHESRDQYGYGGGNGYGYGYDHGVHDHNPYMNYPYSAGSSSKGMAAGRNTPTPIPIT IQPSPSTGHTTLVTPSTASGGKNGNGHKLKKGGIGLIHSPWQSSNKDKDKEKVDMEEK SNDELVVVTYEDGLRKLGIQPIREILQGAQGGGITQYRNVPPINTNVSFVNPNTNLYT SASSSTTTPSATATRPEMVERESSRRTYHSVAGRGHGAGRRGYTNTMGTMGSNLLPSY YIETNAGDD I203_06395 MSIRSSHLSIGSIAGPSRPSFAIRKYATQPSGQQNQNISEMINR KAISSAWRALSPNQKLIFGGLVALGAYFEYSLLDRYVLGPIKLRKEDERRIKAEQNMG IGGGEGQKEVFLGE I203_06396 MTLIGVGKSALTIQFIQSHFVDEYDPTIEDSYRKQCIIDEEVAL LDVLDTAGQEEYGAMREQYMRTGEGFLLVYSITSRSSFEEVSTFHQQILRVKDKDYFP VVVVANKCDLEYERQVQPHEGRDLAKRFNAQCIETSAKQRVNVDEAFIAVVRAIRRYQ KESGPPQATSAPGKSAAGGVGGRADQKDDQVDKGCCGGCVVL I203_06397 MSDRFNVLLLGAGPINFGTTEGPWNHSKRLEQKLGSRLNVVGLV DLNKDRANSVLTIKRADANVKHGYENTKVFGSIKEAGEGLKGDDTPHLAILGFQANSR GSTQPNHDNELELIRYFPKVGLFIEKPISDVEDFKEVEAVGKKLKENGNVTSVGYMLR YLKGMSRKLIDENNLTVMHTQASYLFAYDFAAKDFYGYWSKSREPGPIVTQATHICDL TRYLTPPVLLDSVHTNTVEHTDPAGKLSILRFDEENLVKPEDRIPRITSSTWRYENGA TGSLLHAVVLHEGDYDCELMILADGWKFRLVDPYGTSPRLYVRRPGTTEEVRTIFTDD DCYLTEIEAIIDVIDGKSDKSVILSPYEDAIKTYEFTWAIKLAGEKSFNARGGLTGKQ I203_06398 MSTSEKGDQLPLVQSSSPQPLPRPKSKIRIALKHIIPLSLVIIY YSFIAPLSLSPIRPYSQVEVAAKESARCPVQPPVINIGNDWDVVNDHEYASLATKRLS RAVQINTESYDNFPKNASDPIFDKHYEFSHYLSYEFSKLFKEPIKYENVNVHGHLFTW EGKNKNLKPILLMAHTDTVPVLPATLDQWSYPPFEGKVTVNATQETPGTWIWGRGVSD CKNTLLAIYGSLERLVTEGYEPERTIIVANGFDEEIGGSRGSGHISKVLLKRYGNDGI AFLVDEGFTGISNDYGATVASFGMAEKGSVNVNVKVETLGGHSSVPPPHTAIGIISLL LAELEAHPSKPTLSPQSPFLKSLNCLADYAPEFPKSIKHELKDPRQWDQLAQQLAKKD RVLNSFLATTQAIDLINGGVKVNALPEVVDATVNYRISFTSSVNETLSHVAQVLRPLA KKLGYTISAFDEPHSSHHENSTSHITLSVPGGLSLEPAPITSDRTKSFALLGGTAKAV FGNDTIASPSGMFANTDTRWFWNLTSDLYRFTPTLITENLNQHTVNERISLEGHLNAT RYFYKLLRNLEGWDAE I203_06399 MSSTSSDVISELPSSSRIASHLSTQQAQGDYSLVLARYKVVETV EYECTEEIQAVRNHLVQHRDALSGMVSVLKRPWRAAEGVRLSEVQPELYTVSGDLWSS NLLPKLHEYMQLLKSARFSYRGEAFYTSFVRLSIDAVFADRAESRWGLATDEHDAISE HVLSSFNLVSLIPVVPDRTVGLGPESIQIRKDESSSPLNGRTEVFVVSRSEKVLCSLS GMHTCPSWYPWILIEDKCGRGMMDKGRLQNHTAKRVALQIYVNLWQQASRADPDNCMP LSSMARRVYGLRTFTNVWEIDMMVFDDSNFNVVPIFTADLLDPSSMLQMICVLKSIRE ERRERYITIGNWLWCLRDKFQPLLQPGQATSVRGGKKGRSSHSTGVSPYARPTQAGPT PATLLTSSPLHQSHVHGHVTKVEKWLQSVIAPLSETPKSPASNSNHLASRRRRLSM I203_06400 MKLNEKDAVQLGLDPQLPRPTTSTVAKQWSRRKTTQVIRLSALL GIIFYLSIYNSNLFGAHHGQNDAFVTLDDSKLNSYWHSIATKGMKFSLPRPEKHPDHD DHHHKHPHQPHGHLSPREAEKIFLSVPSNDSAAAASERYTSYAHPAGSGYDLYSALAL KNEWEKELGLRVSGANEFVYEAGSAESQSRIRNGADQLGVWVDTYYPVLNTPVHASVT LLTDTPFKAKLREDIVDGDPDSQLRDEVPVFHGLSASGDVKAKYVYAGYGRKKDFDLL QEKGVDFNGKIVLTKYGGSFRGLKVKAAQEAGAAGVIVFTDPGDDGEITEANGYEAYP EGPARQPSSVQRGSVQFLSKYPGDPSTPGEPAYKNATRVESGSQPSIPSLPLSYEDVI PLLKALEGKGIHASELGSDFTGGLEYHGVDYYIGPSDVDLHLVNEMNDRVLPIWNTMA VIPGHITDEVIILGNHRDAWVLGASDPNSGTASQYEVVRGLGALLKRGWKPLRTIILT SWDAEEYGLVGSVEWAEDFGDWLVDNAVAYLNIDGSASGTNFHASASPSIALALKAAA EEINSSSDPERAVYDTRYDGGNWEEISAVNSGEGQFETLTVAGGSGIGALGSGSDYTP FLQRYGIASSDLGYKGGPRDPVYHYHSIYDSHTWQAKYGDVGFHRHTDAAKVIGLLTL RLADTLILPLNTTQYARDLQYYLEKVQDIANSSSLLLDGSINFDALELSIESVGKAST KLDKEKKELVEKLRKLLPKPKFELNGPSFVSRIFGKGCNHHQDVDAEDIKLPIPHLPI PKIPSPHKLKEIKEVLKEIRVVNKKLQHFEYGFISEKGLKDREWYKHKITAPGLWLGY GATTFPSITEAITIDHSSSLAQKEVDDVAQLLNSVAQKLNA I203_06401 MNGGSSSSSSSSSLDMYSLHDVILNYIETSAYSSTARILSQRKL KYGDGECDGKKEEQNGDGNIDNDGEDSMDIDRDDDDELEVGLGVSDKKAGISPVKRKG VEFDESDLEGIEKRRAILDHILNGSISKSVDLLNSHFPSVLNESLSPITNGSGSGNSF HSSRYTSLNPGPGPSNHSIPVLPRSTDPAHIKLNLQIQEFIEHFRPLNPSSLGGSSPS SSAGSLTGSTSSINLTNALSAAQGLHTEAKRLSPEIRAIFLKEINDAGALFAYSNPEN SILSGFLDQKRRIRLSEMVNAAILKSQNKPTQSALENYARRTTLLYKLMNDHGIDPRP ISMTDELGLGSHGTDQKGREHLEEYFKQSNGKPFNLHGFVNSTW I203_06402 MANHQHQRNVSFTAHGQAQGVNQSMKSDKSLVSSEWITTNTSSW ASTRGLSGTIGPDRPPLAWAGVRHQLREDNELVEVGDRGSGGAGHCSQAVLITCLHLS HEMGLRDVGQYAWTTPSVKDPMGSMMKIMKQYSQQIRGLSKHSTLDCRIAPDPSKLVI QHHLQRARQQAGQNNYVSVIYNGHGIQEPPTEQGELWCYDRGFDECLQNGGGPTEYIP IMLFDVLAWAGSSTCYVWDVSFSGRFIKAALTEAEEIDIQFKAAAAQNPQIAEIHPPV YSRRQIHFASCGPNQTVPRINGMPDDLFTACLTNPLRIALLYHNLQTFPLTKGDGSSS SSSYIPRNSEYMILLWENMSSNLKDRLSHELLSIIHTIAWQTLNGNDYQKLFGKSGDL VNNLSSGFILSQRVFSSYGVNPESTPTIPSSTGHTLWTTWDLILDNLFEQLPKYFDEG IIDNSWEKDLKLVSFMQDQLESITTATYGSGSAVTKSTNGIGMIPSLSRLPIICAAAM TKQFRLQACQALDSCLRILDIRGLAHAVQGGALNVAAKLLALEDPQIKNQLISIWSSL VRHDLAVLALAKEGLTSEKLTDVPSVKFFLGALEENLVKDVNVNGEGIEENMLEEDER ISLIIQTAAVLSTIANFVSGRKAPRFVIKTLSMSGIMLKSDRELMKQWGALLIAEVLG SLDQPEERQSDLGHDKVLIDGLKMDLIEMIGSNTVETRATAIYALSRWIPNSEATMEK EKLRIQMKPSLEIVQVLLNHSEDEGSPLVRRELARMYIRILEVADGFAELAVWISILQ SAVEFMLHMRKEVEETIVNTGRGLGITIDQLEVMKTLQKVFQAAKRYKNDPDNHVNEI VNIPLDSILNKLRKHEDEQQKHWKTISSLTLNGDRADDGMKWTEELLKIVIESKDHLI ANWYKIESDKESKVEDHDEDKLKNGRNKRKKLNNELFERTKAVLQSYLAAGRRPDLIP DKVMTSQSGDSRERTWTLRHRVLEDSMVVAEQQVGLPWKWAIKNISAPDPWTNMTFHS FHSTVMSCNRSHDLLLWDWSTSRKTGHVHLDLPSTEAISSARFVNELHEQTVILAEIT NGDIHILAGPLDPSRIRPIANFRALDLSIPKIRADVEYQRRLITTWYRSNGLLCVGGS SDRINVWDCPAERCVRALNTESTTPVTTLITEPVSGNLIFAGQADGQIRLFDLRQSRK TALISWRGGSSSDMDHGRMTKEAVRRTAINKIGVVLGESKNISSACANGMINTYDLRT LSSPIGSILSHPHGISYASFQAHSGLLSTISNLHPQSTSHDAPSADFALHRTTQGHLS PVTAESISFGNQPSEMLSGYLKPYTIMHPLRPFLGIGFGNTYYLRGCGVGKGDDTDSG SFSFIKAQATNII I203_06403 MTTLSSSTPSQPHLFKRLQQLSFTSPASTSLFYSRIWYALLPPT ESDHESLHTLALCFLQSDEPYSALHLVRDSAGVDNPDESPIPTFDPSKRKQIPCYDCA TIVAKCCQKLGRFSEGQAVLNRALKRCIPTNIPTPSPASTSASAHLLLASLSHKGKAP EVAIENYQKALQEDPWLWEAFTGLCDIGSPPHIEAIFPEPPAPSRASSTRTSRPPTMS PNPMPRSSASEMPGFLPARKATIPTNGGVGSGGFFTPDVGNGVGGSRLGMMGNPSNWD TPSVIGDTTFQLPDQPSHPAAPKRPLPNLLSNFIPSTSNLLPASLRSNTATPNNQAEP PKPPAMKRARGKDAAKRTPEPSGGLNAMNLPLARELRPNGNLKSVELNGNGIMDNDGP VRRSSRLKSTGNSNGTGSKAPPTSKVTTRSRTTRSRSITSTTSSQTNDISSPPNSSSL DIQLQSTADEFLRDIIRKCAKAYRYLSLYQCQEAIVELDGLPNHIKNSPWSLDIVARC FYEMADYVQARRVFTKLLESEPYRIQSMDHYSTLLWHISDPPSLSHLSQRLISINKES PQSWISTGNCFSLQKDHEEAMNCFRRATQVDPSNSYAWTLCGYEAIEMEEYDRALGYF RNAIRSEGRFYNAWYGMGLVYMKTGKIKYAEHHFRRAVDINPTNAVLLCCVGMVLEQS DDVVQAIHFYERAVHYAPTSPMVQFKRIRALVALQRFDEAITLLEPLSSQAPDEANIF FLLGKCYLRKDRRSEATIAFTTARELQPKLENAIKATLEANGEEEEDEDD I203_06404 MNFQNGPSYPAVNPYPASGAAPPPGPGQSPAQGGANNGPVGGPG SGFPPRSGLAGMQPNSYSSAPIPNLTPGSGIRGGLPEEKNVAQLVKENTNVYARKFQA LLDRSTPHVMERWLVTLGLFLLFVLNVILRQGWYIVCYALAIYLLNLFLAFLQPRFDP SIAEDLAADDVEEGAPGLPGAHENKPTTPGGLKGLMSGFSNGGDDEEFRPFIRRLSEF KFWYSATKATGLALLSTITRATDVPVYWPILVIYFLTLFGLTMRRQIQHMIKYKYVPF DLGKKTRYGKK I203_06405 MSEYAFRKINIDALDEDVLLPSDLYDPDPRGPDGVLSDAQRKAG EVRNLVSRGDIPGALNTILSEPPYGDGVDEAKDLTKNSLLLILNSTRASEIPTILKSL GQEQQDHLMAYIYKGMAAIGQGSDVSGSVLLNWHAQLTEVAGVGCIVRVMADRRTL I203_06406 MAKKSSNPADAFRKAQRAKELKKNKEERKKVREAQTVKKDTREL EAGIRYLKTQTDASSKTRLSELESELSYIKKTKEAYVAEHPEARDRVFGVRKPKENEG GLTTREESQKHLYDENGRLRDPKKSVYYDPVYNPFGVPPPGMPYKERTPDEVEEEEGS EDDDDDDDDEDIVMPEGPPPQGAEDKQEEEEEDSDDSDDSDDIPLPEGPPPPKPTAVP APPPMRMGFGSSIPPFPPPNTGFRPPLPRPVAVPLHAHSQSQPYGQAGPSTFRPRHVQ HNRPPPMVQDPLSDAPTQTYQGHRIAQHALPARPPSSSAADASAPTISGLASANSIEV TKPVGAGEISAAPVLRDLRKEATVFVPRGVKKRKTGPGGGIINAAPGAGEIDEEGDER KRTVQSSGSGGLMGKLKGVLGDQPKVDGTSGTGGGEDDEYKRFLEGLGDLS I203_06407 MTSFVFVTGNANKLKEVQAILASGASGVSVTSQAVDVPEIQGTT QEVAIAKVKAAAEKLGTACVTEDTALCFDALNGLPGPYIKDFLGNLGHEGLNNLLKGF NTTRAHALCTFAYSPGPGQEPILFEGRTEGNIVPARGPTHFGWDPIFQPIELGGQKTY AEMDGEEKNKISHRYRALEKLRVYLQDKAKEGN I203_06408 MSTSETSTSPVQQNPPTTVRPPQVDIDAIQSSLSARSKRRLSLR TILSSYKSQNNLKGKAKADNDQAIAEDDEEVEEEEQVFQVDTSENETYEKSKGDPIQI NKGQKENVNVEEECKVEFEKEYVWDVLFENQRGIYILGKGYFSSRSLLPADPSAFTRP SNHIPSASSLSMKMSMGKKRSNRQLDPGTSGSPSYTSQHSDGRQPGKLTRSNKTSYTL ESYQPPLPDWQYLTPWMINMRTGTDELGWRYNAWFRPKGWSSHSGPLGWGGWVRRREW IRLRAVGVTETKLVDMQGREKIEKKGDKLKDVLNSEKVDGNVQGILVVMGKMGLDRQR LTLWRKWLEKEKKESECWKRLEVLCGDEQAVS I203_06409 MIEVGTQNLKTTSLNPTYEVTPGEGVGIFQLGDNLWHVLELIRT HRTQYPKVEVSWDEDNPHKSAITIHLPYLTLYFPPSPIYQLLSLINITLPLPSSSSSL NSNLELSYETQILSSAHMPLTRARVGRLMGPTFISKAGDKLDFPGISFILHSDNLGDA GFGPREDVVQKIVVRPREDEELEPKLISCVIQPNKGITLALDEDHIIPITIGETTSQD LLLDLGAPLRKFWKEDDRLSKIWGGGDDHQDGKGACFWNYFQYGLDFLISKDGVVMKI LCHSNIPGTPLFQRYARCPWILPTPSGQLDLTSKVSAFRSHLSKSDKSEEYVEQIRLS VPTPPPAGNGGGGGGKKKKRNGSPNNAMILDRLVEGGLDGISNLGQSKLIGFDGLIVE QDKKSGGICSVMIYRDDTKTQTD I203_06410 MSKVSFKDRNSLISPDTPIHTARVTSTTTASTADTEDTTPTDSE SQSESERETGTEPDSAFPTSAPVTAESNIAKPKAKYKKEDNELDSATDISTSQTNSSL GESDSGRDSTDRGTTSGLEGSSISTGTDESEVDRPNPSENERNSQGPSKIKGGTSHNK SKREHQSSIVSLSKNKKSSIRNLRGKSLREGAGNNTRAGTEAVERRNRSTRKEFGSEH VEEEDGEEDYSDSESEEEDLSSADEEEQTKPPNQARQRSQRTWKHEMIRLISLYLVPV LLAIPTSLLLSLNIQLLTPLYNSMPLSRHTITIHVGYTILSALIYWYITLSSSAREFI SARVCLGLAALSGDIIAVYGRKVGMWLGLSLGPEYGAVASRAVLGVGIVGGASGFALL CFDHISPILPASKSTDRPRNLGSVLYRHGFYAFHIFTFERIWTSYLNSNVSILDKDPE KTILFLSLLLTTFSLFLRSSTSSTPFPTRVNIVLAKTLKTSESTSSKITKILPRQAFP LLLLVRIPLLILALRQQVFLRPSLNEPYLTANGTLRLISSERSLTGQVVVAENLKDGY RFLRCDHSILGGRWIREVDDRQAKGGKRTEQGDSIFATFNLQEIAVLAHRSESSESLI RTLQLTTDLQVSLEGEDEEEKLPERALIIGLGVGIAASAFSRRGLYVDIVAEIDPSVY LAAQNHFQLYTANVASTNIMDGSRFVSQLADMKRAQSSQIDEDEDSQVVVPMWDYVIQ DCFTGGSVPGEMFTEEFWQDLGELVKSDGIVAMNFAGVIGSKASRAVLVTLTSVFSQC RAFGDGFEVNQGPDDLVNMVVFCTKTYSPLLTFRPPTPFDGLRSPLRAHVYSTFHPHE IQLDSIISEEDIENPELHLSRGQAGKELNKWQVGSSLATWRAMKTSKCFGMTIHLSHK REICHAYFVDVDFV I203_06411 MPAKRENSSDSDEKPKRSVSGSPKKSRISQGKKPFTSEEETAFL EIIDEIVKSNLWNAAKNRPELADRKQASVQGHWDAMFKKMKRP I203_06412 MPVKREQTPDSTSENDLKPLVNGTTKKVRKTPPSTARKERNSWS EVEESHFKEAINNIVKKNIWSEIKMNFPELAGNRSADACINH I203_06413 MPPKVEKESWNDDHTAILLRGIIRQSLIHRSDIYQLPGLEGVSE NGGDRINKKLQSILKKLSEKYPGMVDEELKSLGRSRTKNGNSNGITTPTSSPKKDKGG NGNTTPKKRKVKEEEEDE I203_06414 MPPKRERTPSSSERERDLKPFVEEQNGNEKKKKLANSPSRTKTS WTSSEELKFREGINAIVKKHLWNELKSDPEMAKRGANGVAQHWIAMYKKM I203_06415 MSYQTYPYQQQPSAGGGGGYYVQPPHDPFRAYYADRLRQLTFNS RPIIQDLSMMAGAQRDQNNWDGMNAIVQEIEEATLRALPTQKLPLLYLIDSISKNIGA PYTTHLLPPIIPRLYLRTYREVDGVTKAKMEEMINLWRTGGPNRTELYGSGVREQIER DIFGTSYNHTNGMNMNGLPNLPSLPTVQQVKNAVQGALDKKQREAASKAWDMATGQQV NALTGILNLLNSTNVPPQELAQIMDQVKSMNGQPQSQPPQPQPQPQQVFTPMNQPVTP NWGVPPPQQAIPPFPPHSSRIPPPPAAGRPPFVPRPTPTPPQASLNTPLHMAGSTTPI PISNPVVPLPVQLPTMANLPIDVSKILNSLNQSGVVGQSKTPEPQAQPSTPTLSQGVQ QKQQQQQKQQKSSLEEYEDMIIGLGVSLRSIDLNAPHILSLEHLPQRCKQCGMRFASD DNAKFQSHMDWHFRRNRKERESAGRGSRRRWLPRAEEWINDTYSSSSAEAGPSTNPML NSPGKSASTTMTISAERLQQLREKWIKVPSSNAKANSVCPVCKESFVKEWSQDEEEWI WKNALNINGTIYHATCRAEQLSAMRRLKGSDPNKRSTSGSPRLTPQPSEQVKAETTNT TTSSPQMNVKRKAEEEGEAGGQKGDDEKMNDAVGSENKRIKVEQEQEQTTGSVAQSQP NRLGDNVTPVTVLSDIPKVQVKEVEVGVKVEQEEEKEKMDDQLASNLENSGIV I203_06416 MSRPLTPFPHTHTLTHGSYASYFHHIQFEAQPHAYGYTAQPIHF HLKKPMNTPTPPSLIRKASSSRRIINTPTSPQTELIQPTPRRTPSSASTSSSTSSPLM PATPILESPVAPPSTPTPLSLPPSMTNVEMEVEERLMAGEYNDKFNYDPYSISLSTGE TIASPSSTPGLAKRPKLKRRDTPIPHPHLSDLSTLHMSRKESNGEGSRKVLRSIIDGG NWIIVD I203_06417 MSFRALRPVLRAGTKLAARRAVAPVARPMAVRAFSLTARRMGSG ETDSTLASALAAEHKFELENAAQLPEVPAFIETFKTQGVWEIQDFPGEDDVTLTRKFG NETLKLTFQISDLDSFEPPLIENESGEEIEPSGPTSIACSLVITKSATPGSLMVDLET CDEGFEITNIAVYEKALAEREGAEGDWERRSKYMGPQFDHLDQAVQEAFGAYLAERGV DEALADFVLSYCEHKEQKDYVSWLDQVRGFVEQ I203_06418 MSTNLQDWVELLNAEQHVQVDKLKEHARHGVAARVRGEVWLYLL EVLSEDKTAEITSLLSLNTTYQALPREIPSGLTASLMRIALGHHTKRFRNETYAGLIS SLTAEKGRNGDIRNNNNKNGVSPLLTSIEKNPPPPINLNSNLNNGTKDDDGQEGMNGE DGEEDDNLNTLRSQLSRILPPPPNSPPSRHTYISIIEEVLGKYYNSENLLGKNNDENA KKSYYGDECEDKNNWIYLVTPFVCVLSRPVGVFLGFQKLMKRMKTFPSIPSRLASFLT LFRISLPELHSYCEDEQVPYIQVAMSWMTTLLAKEMWLSDVLRLWDAYLASDDMFALH CYVCVAILSTCKETLEELDGSEAKLFLLDLPPLDVDRLLQDAGNLRVSFPLPRPVDDE V I203_06419 MRFSSLFALTALPLTLAKSSQSQQPLQIYLYPTPSTQNQDPSLP VPTLSADQAKAVLSHHLGEPLNDFDEIPNDEGLWSHLMSLWDGPAAAGSKARVVVIEG GVDAQDVLPTTLNQQPSFYLKDDLTTSDLLSPYVEQAKTLLDNILNELPDVVKGFKDV FEMAGTKAASILGHELSCLTALADSIPWINKNHGSYPWEAITISGLKDVRKGDEVWEN GRLGVKAGLESMAQPKSPPLLLIIRPSSSSTHLTSRSVPITLQSRAKNGTDLAEACYT SNDTCSESTSCNGRGICALKGKSDKGECWGCKCRDGYAGVECQKDDYSTPFIILIFST VLLVVVAVGSIGLLYTVGETKLPSTLTLAVGGGAMKRD I203_06420 MSSAQDEARLQNARETIDSLHDLSQLLQTGLDKNTLSICVGMIE QGANPDTLAAVIKELRRENELLKAQKSDQ I203_06421 MLRIPQLILLSPLLAIAYSQDLSCSSANPNPDPCSVPSPGGLFI FRQRFEPDTGGDYGSWGIDGLEVLDCTTQKPQDTNPYAPTYTHEEIASYCLKSPLFGG EKGYNDAEKEWAQSEVGEGVEEVWERTWNTAGRFISTFDAKCQKKKPNAGSGITPSDD TTYSLRELTEALSTGDYKPIVQCDNSTLSSVLWPLNVRGKFDSGAFEAAISFARKSNC PSEGIVHPPRTTLSTPKKSDDEEWEHLRRPPPRPITLSHDESRMYYRKETAKEDPVGK LGLRKEHDEEREEWKEERAGKQYWRDEL I203_06422 MAKLPSSTNGALILEEPLIRTPYELLRRSHRSAQRQVEKDFNAV SVALQSIVKSLNAASSTEEGRQSLVNKLDQAGERTKGLKRKLDDIQPNSREPTPLRSR LDYLNSLTPAPTPPALGKVENKENGVAKPSNGDGEVKMEIDGEAKTDEEATTAEPEPK QQPLLTFDATLDRYIVDYLLRTGRLKTAEALAKKQSIEALVDIKLFTELSKIENALIE KKSCTEALAWCGENRGTLKKTKNNLEFTLRLQEFIELCRKRDIVSAIAYSRKNLAPWA ATHMAEIQQGMTLMSFGERTGVEIYKKLYDPSRWQTVRDSFRSTFLTLYAQPSQPILS LALSAGLSSLRLPSCVHHISPSSKVDIKSPNLPQSHIPLLPAAPPLHNLESLLVSEHL TAPLGGDHCDSPKEDLHEHPDKPVGNIDCPTCDENLKVLSKELPMSHHVNSTIVCRIS GKVMDSQNEPMAFPNGYVYSSKALREMADANFGVVTCPRTRDSCSFERLRKVYIS I203_06423 MTIRLDYTIPLNKNNSIPNPPGYLPPASAKQLSSRNTQPDSDAI IKQNRKSTELKLRRAWDLALSPAKSLPMQAIMLYFSGSGVQIFSLGMIFMLLTGPITA VFNMFRAFESLRPTPTPATTPSSRKSNDSLSTSTSSEPSYGPLVLPMIAYVACQGLVL ALGLWKCSTMGILPTGSGDWLHFETRLDVGRIPLSKEH I203_06424 MLLEMFGWFGAGESSKTLLGKQERIQMEEKKVKRRRRKIEQNGN GGDHMFKASNEHFPGMVNLSGTLCYMNSVLQAFASITSLVNHLEKIVELAVESDAPTP VTDALLEVIQDLNTPHSRSPPALRPHNLLQALHPLPQIRRLLSTREQQDAHELFVVLA EAISDEALKVASEIGRLRGLDDILSLQRYTNSKNENTISGRADIQGANKRRKIRGIAE PWEGLMARRRVCQKCGWETEVRMDLVGGMELPIPLHGDVTLDSCIAEYLSPEYLSDVT CETCSLRSTLSYYQSEVDRLSSAPNSTKPSSSINSKADNSPSKSGSGSFAVLEGLSTL SSTKEERMTNSRKKRCREAIRVENRLKEMLDSDVVSNFNEPFLEPLHPQVDGEGSASI PVKWQISRTQSIRQSILTRPPRSLRMLFIRSEFTPYGSVLKKTARVNFPIILDLTNFI SHGIWEENKTSGPAILSNGILDPNTYIDRQRALYRLESVILHYGYTHSSGHYICIRRK PTPTQTNNGDAYGGSRSYRPSRAYKSCPDSCRCESCVYFGKVREGLEEKVPGKGWLRI SDADVEEVGEEALYESRGAVFMLFYEKVGEYRGDKIDAKEDKVEEEEEQKDLHDASQV I I203_06425 MVLVLVIGDLHIPTLTHDLPAKFKKLLVPGKIGQIICTGHVCDK ETYDYLRTIAPEVHVVRGEFDENTHFPLSLTIPHQSLRIGVVHGQQIVPAGDADMLAA LARQMDADVLISGGTHRFEAFEFEGRFFVNPGSATGAWSGLWNGDATPSFALMDIQGP VIVTYVYQLVEGEVKVDKVEYRRPEPPKDNIAAGAGAGAAGRAEVPAGW I203_06426 MSSSSSAAIPAYRPSSSTPPSGKRKVTKPAGSRSSQDPFYGHEE TAVMSARFITSLFQCPNIPAATAPGAPTPTLAHFVAYALHRTRLPSVVTFAALMLLSR LKQRYPAARGSSGHRLFISAFMIASKVICDDTYSNQSWSIVAQKMFALKEINQMEREM CGYLEWNLNVAGDEIANFEAQIRSEHGPKAIARASSASSSSEGSVIAPSPVVSASRSY PTPETTPDPQPSSRPIRAVPSPYKSRSTYQPQIPTTASHQAAFPSPPISPVTHHSSPH PPHFTSSASSSLQSSPASDDCKTPSPVTLTGHHHPHHKSSSSNRHPTKGFDMTRAFES RSRSHAVNVHLSGEPIHVGGW I203_06427 MSSAASGENTTQKENFFPTLGDVADRTDALKGEEGEDEDKQMQE IESLCMRCHENGTTRLLLTTIPYFKEIVVSSFRCDHCGHRDTEIQSAGEIQPKGVVYT VHLLTRDDLNRQLVKSNFATLSIPDLQLTIPPGRGQINTVEGIIRDTVRDLNISQPVR RVMDPETGKKIDEMLSVLRDLINMEEEEEDDGGVGIDDEEVKKPTQHSEEEGEHTEKP FKPFSMVLDDPSGNSFFAFNESPSDAQWNMRAYNRTFDQNVTLGLVAQPDENGNADPV QTENGEVPLDEKNKLTSMEEFEQRRKQDGEVLPEEVFSFPSTCSSCGHSLETRMQQDI IIMAANCFACGYRDNEVKSGGSIADKGKRITLKVEDEEDLSRDLLKSDTAGLEIPEID LNLQPGTLGGRFTTLEGLLNEIYTELSTKVFRTGDSLTSGIGQINNEGQKGERKFETF LKGLKDCISASRPFTLIIDDPVSNSYLQNLYAPDPDPNMTIEEYERTFDQNEDLGLND MVLEGYNKEAEGTA I203_06428 MASLFALLAVLGSASASVVPSSSNPNTLLISSTAPSAQLAQCLK SSYYGTYGGQASAQEHIYLPSEECLLGESALDGLSAGSLIPLNFDTSDEAGRIVWVGQ AGVDPESLPSDSSGDVWSIISSTSREFALLSSPDSYQQVLSSKTSKVSSEPIRLLHQT PTSLLLHVPRSYLSMIDTFLPSHLVPVALPSQPLPALANGWEPVPSQFARHLANVTKH LRFSPEIDKIVSEGIELNQIRRDVRWLTGEAPSGIVSRHSFTPGAIKAAHWIKDKVEA TGANCTLHTFIEGFSPNVICHYPSSLNSTEHVILSAHYDSRGSFGSTRAPGGDDDGSG TGHLLGVAHAIGAQGVKFKKQVVLAFFAGEEQGLLGSHAYAEHLHEKNTTILLQVQAD MLAYHAPGEPLQIGLPESIHLPEASHLIGNLSQIYSPELVVGKTAACCSDHQSFISYG FPATQVFERNGWIADPYYHNSGDLSQRDNYDFEQVVSIAKVTLAGLLTVAGYNLEEGV I203_06430 MSHSQAQENGQEAPSDIEKAPTANGPPAVPDGGLRAWLCVLGAW LTMFATFGYANSFGVFQSYYIIKYPSVSPSDISWVGSVQLFFQFAFGAITGPLYDKGY FYHLMYSGSVLYIICIFMTSLCKEFWETFLSQGLGLGMGIGIILLPSFSIMSQYFNKK RALAMGIAVTGSSAGAIVLPIMLNRLITSHGFQQAVQYTGYLLMGCLIIANLCLKPRL PPISHPTVKPSPKVIFADLPYCLIVAGLFFVTWGQFFPIYYLQVYGEDHGLPEKLTQY TLAILNAASIFGRIIPNHLADRFGSLNMITICSFLTGGMIFTIFGAGSVGGLIVVAIL YGFFSGAYVSLMVPALLAFAKSPSEIGIRTGLGMVIFSLTALTGTPIHGALLDKYGFY APTIWAGVVCLTGSAFVGVGTKLQRKRKGTWKV I203_06431 MYMPSHDRITVRTREEEVEEDRKKIKRPRAKLTCLNCKRRKTKC DKCYPCSSCTTRGESDSCHYEEGYEPSSHNLSNHEYKAIQDRLERIENALLSSPRPFT SPPTTSRRRMRGEGGAATQERAHSVLVASGSKPNYGPSPTFQEDMNPIYPAVRSDTFP NIIPTTTQARSARWHREMQLVFDTLPNERQMEFITNHYFSKMQFLGLHIVEGVFRNEM TQFLSLKSLNLHFSVDPAWLAQLVCILWVTCHYLTKNEQLLRSEAMINLGLNKSGLIN LAIGLYDALEMAFNCSGWLFRPQLRILQTLLVPIYLNMQGCYHTGPLYGPPSDWSCCL WFDIAVGICKGLDLHLDPKIEDIAKLQDPALPPSRPTYSIQMIRRVFHDLLLFDTYSI INSTDVSRKLLPYAFPDGK I203_06432 MSPYSPKKSKGLAPDEASAVLRVCLLHRNIREYIGSALKLQLQI QSSLSHETEFLGTPKIVEEQDKSLSEAGALLVETADLAGVLIDQMDKVYQTYQKSPHP QVVPPETGLGLTLLVKAAASQDFRGISAKASHRNQVDLTDVLDEVDATANASSQAQLG PDLPFGSNDITRPPTTSEDYEAWRSLVKKQARTVLDNRMLEFCSLTTAILEVINQPAK SGRTASKDQQCYSPYCSEATQEKLKAAGYVKSEVFDKFNEDLYFDNLWSIGRLIALNT DVIDARIAKKMSEDTAMILALATLCDHMDTLAPIFSGLTDYWNKQLPTDSTTPGNTAS LIATIGEFVAGSYICLGVGGSTQRKNSSSSYQENVGRWLKALLFPELAVDRTGSAWRL PCIECGDRKLRHPTELQNSSGSGLGGPMRQVTLKDRLSISNYSIRRGSKVGFMAQVGR IAYYPCLVILLCFAFDPSIMIIILYFSTLIHFSDKNRRGKNSIILFADKYRLVASLPE EEEVDDDEEEDET I203_06433 MTPSHFADSVWEGYYYQDMVITNRGGRIDNLTTCTQAFAFHTFD ETAQEPGLESPRYEGSQYTPPSRPKPNVPLNPVPRVYQELDKVITARWEGKGGEQDGN LTKKPPIKRMPGKVFCENRFCALELPTTEDKQLTAVLAYSEEDRTNDTQRSFRRRRQA EEEREPNLRKRRSFEDLFHSDRRGLGKGNSVI I203_06434 MVQYTRATAPSKVGGRPNVTTIIPTKSSTHNFYDLFNSLQVSPP SFTTSNIQTDFKAEVEEDYSTGSDHSLERGHEVDKMEGVYQDDRKKVVGRGIVGETSY DDGDNKDDIEMVEAEEEEVESSGGSEFCLGDEGSSGSEGMSEEEEVKGTGKFGGKKPT RPFAPTRVGGRLNVSSIDTSGSFAHTFDDLFHQLHLPPPCYTPSAMQPHIKTEMEDWI SRQDDAMDCDATHQVEDNVSEYEEVFKKVKEEDKGGDISSVKDADGEDSYDNSKLDIM LVDQQEEEYCSGSEFCLVDESSSESDGSLGEDDESEESSDVLTHAKKDKKGEGKHQHI EATSGPTLISSSIRRKPLDHMNRPRPAPPDDYHVPKPA I203_06435 MLCIEAGVDPKLRRYREKAVEDFVVVYNARKLYPQIISTLEPKE WDEREMMSFHSLVDWKLKDDVVFPDCLTIQDPRDATKIIDLPSAVKIVHLELYPKPTT HTFPGADHFSHTVNEVKGFTYALRSKLPVDIAHPPKTGRKADYSKFEPMSAKDGQATL KWLEVNRPSVHDVLVLIAQIGVWGMGDAIEKNMWDLLVKLDEEHKVKPGIPEAIPNME IMIAQLERIYEAYKRGREEGGGNVARDMFDPFVAPNRRITWARRLMITQR I203_06436 MGAFDGAFDNLRGDQISSGFWGEHTSTIDWCEKNYVHTPYIAET VNTLTNLPSILLGLYGFYSVLNNGLPKRFAYCYLGLSLIGIGSFGFHMSLRWEWQLMD ELPMIYVVSYAAFLILETSPGLEFRYGILGPLVMIAWDVFVTTSYIYLPNPVYHQIAF AIILITTTARTAYLAFKLPPNHPSKVKIGKTMAWGVVTFAVGFGIWNIDNIFCNQLRV IRDAIGPLGVLVEGHAYWHLMTGYGSFLIFTASILLHLCIKVSPDAYTFDEKAIFPVV YSVKSDERRKVKQNSHSD I203_06437 MADTSIHIRRGHPIFFGLLLFFALIEGCITAWLVSRYNDHDTYP SNSIRDRLKFLVFVSWWTVFFSAAYIAAFYTAFLSFVASIASHLAWLALTWIFWLSST AAFTAALGGGQRCGSSTLTYCSQNVAAEAFGWIETILISFGLGAILFIGIGAIRRGDR LSGELA I203_06438 MLSSTRQACVRRLTSSLPYPPALPAESSRQAILRAARVAQAPIR RVHSDSASTSVSRRNSKDVPSSTTRKTRGISSGVTSNAALAYAEDSRSTRYDRRDQSI GITNDDEYTLQPNSDPPTPEWLDSWLYTYDLSTLPPSPLPPLETFRGLVVSQPLLALL TLSTLPQSDLRLIKHHDLRSLMHGCNRVLRERPVLLSRLNNDQVLKSLRILRAILFSL SGGNKGHENLYQGNYFRGKIVRQFLGLCSRLNQLRLYKSVFQDRLREQLSHLDEGVIY FDNIVEDLALTYRWKLIIELFNPDSFPRQYYTSDILAYYLQAHFGIFQLTKIPRLFQL YRAFDLQPTAEAYNHLIQSYLEMGDVPTARDIVREANEKGIADYQTQQLSILRGYRAL GYDADIENRVLSDIERLNIPLSARLLNALIRLRMQNGDLTSAKYLAKRFDLNDWTGIQ DTSTRLSPRNDIKVKPNLATATLVFDLYSQTGDIEDLRSLWRDMKVGQVGITDQTITT LLRALNGLNLLDEAVSIFEPSLADNEWALPDGVKPDIKSFNYLIGVLGRQRGLKGIES GLALLHEYGVRPDDLTLKIVVDFVRQSIHHTPTELANWVERIMNSSTLKPTHSLLDSI IQSAIKSIARTSKTSRKDIDLLRPSTSSNTFSPTAGLNLSPQFRRSLEGILNALKSVG STSGSRSLVNRLRYDSMTSSRISNLPSARIVWNSLIQRGYKPDQRHFVALMQGYSDAG LMYQAQDLLGLADQVGCRITKSMLFTLLVGWGKIQRPTESRKIYERIRLMPDPYSKRR VKQVELEIITAMIQAYNNSGQYSEASLMCYTDLRELDIHLDRKAIVVSSQALRGQGDL KGCLSVLEKYGPALDRITRKIVRGVRNYQRKKLVLPVNSPIVSTSTTTDTFLTQDHTQ LENLREIEMMIDDDGRHHTHRHLEKLKEDQEILDLSERLLREDDLARPIELRRMTRLN NRTNRALKRALLGEQAVKERNKDLTESRRIYRSDEKRGRVRRRIVRSLKRRLVGGLST VRIGEVGQRAWKERKNRRRYKGKMNEE I203_06439 MTDNLNLPAEEVEIPFSILDTDLYKLTMQNAVLHHFYDAQVIIK FTNRSPQMLFNRECFDWVKGRVLRLGELQLQPHEREALKSSYPWFSDKYLDYLGSMRL DPINQVELSFYPNKDPEDGRFGEIGIVIKGPWRDTILYEVPIMSILSEGYFKYVDTDW NYDGQFELAKRKAIDLLSPPSGVSPLIFSEFGTRRRRSFRAQDTVIRGLIAGYEGWKS NGGKGGLLAGTSNVYLALKYGLKPVGTIAHEWIMAIGATFGYQGANGRAMDMWEEVYP PGPGGAPLTMLTDTYTAQAFFADFISNPERALRWSTLRQDSGDPFEFVKSAKEHWKIV EDKAGVVRKNDEEIGKGKRVIFSDGLDVEKSVELQKGCDEIGIAASFGIGTFLTNDFK KSTNPSETSKPLNIVIKLNQIGGKNCVKLSDDKGKYTGDVEEVKRAQEELGLPHEHEE KRRG I203_06440 MITYAPKTFTVSRLTSLCRQSQSLTQGLSSSQSTKELYNQPSVT IKTFSHRAEFTEGVLRNKIEKARLAHLERHGKI I203_06441 MHEATVSAPVNIACIKYWGKRNTKLILPTNSSLSVTLDQDHLRS TTTSRADQSFEKGDRLWLNGKEETVKEDGRLGVCIKELRNWRKIEEDKDSSLPKLSQW PLRVASYNNFPTAAGLASSASGLAALVASLAKLYSLPQSASQLSLIARQGSGSACRSL FGGFVAWREGSAEDGSDSLAEEVAPQSHWPEMHALICVVSDAKKGTSSTSGMQRTVET STLLQHRLKIVPGRMDDISKAIQSKDFATFGEITMKDSNSFHSVCLDTSPPIFYLNDV SKSIIAVIEELNRSSGEIVAAYTFDAGPNAVIYALEKNMPKVLGVVNKFFPTSEESRD PFKTEAAELPEGFNVNVVREGGWEKGAVKSLIHTRVGDGPRTLGKEESLLGENGEPKV LA I203_06442 MSIIQTQPLPPRTSNSNNTPAPASSKTPLPPLAKIEKQYRKFLA KRYISFAWRSGVWISIALSSSIVLYTGSFGKIPFAIILSLPVFVALAILIRTRKSYIT QPPKHLPRPTLLGSSISSIWNERSITLLFSYAIFSLTISNVWCILVGSDELALFSPTT RHKLALNERRIVLCSSNLALFLVLGVKEVLGDKLKPVWPQKKIPFARAVQNSILDSLN FDTSNALSISLVWSIVVPFAYRIVLRGHVWQWVNWRIWALFLRPFIGSFARSSTRAPS AWTLAPQLLVLDLVALLVLQLPVKAMMPYLVQKSPLSPERYLITALKSQDPYYLQFTL MELLRISHIPSHRKIFFSDISKSPNLVVELWQELLLQLGSINSKLSSSSTSVPRSSVP AKPSVPDPRAIPIKQGDIFRPIAKKQSTYGLKEILDGPIRSTPPAPEPIAKVGNLAIQ KVEQVQTQVVHRIEATPIGTTALGEVRSCRQGAYDWMGKEWARRNIRLSAGDWILAQR IIEIMTTFAVASVEEDTYGYVQQVLPASLEAIVRVRASIMGLEARLVGQAVVLGQGRE GAVCEIGRELGAARSACDNSIRRIGEKFGPSLGTFRFPPAIAQTLGEICKS I203_06443 MAAAVALSNYQLGDILGRGASGSVYRALNFLTGETVAIKSISLL SLPPSSLPDIMSEIDLLKNLNHPNIVKYKGFARDKENLFIVLEYCENGSLQSILKKFG KFPESLIAVYISQVLEGLIYLHEQGVIHRDIKGANILTNKDGSVKLADFGVSSKNQPK PTSSPSSSSSQEYSKKEDNDNEVVGSPYWMAPEVIEQNGSSTASDIWSVGCVIVELLE GKPPYGDLAPMQALWRIVQDESMRIPDGASPIVKDFLYHCFQKDPNLRVSAKKLLRHP WMMSVKKSAEPPVPPVLPKSTPSSRPQSLRAKKPMTVYDEAVQRVQEWNEALNGARLP LPQPRKQSVSNVRQRGESNGPLGPGLFALPSRSSGDAISSQLHPGTGLPIPISQPGLI GKNLHVSDVLNRAKESEGDAESWDDDFAADITLSKKLGHRRDESAANEDLNQKTLRPT KSPAVAINPLPPLSSQTTKTATNGRSASAGQIEDYSDIGLDEDESGLETKLKNLKLKS NGRRGLMHPDDIHKVPLSPVLPTQRSLSTPAKPSLPVPVTPSPRAQARSPPSSRQNSL RGKNTPGTGTAGDNSPSLVELNKYMERDEDYDDIFEGVQPSQASGSKLQAQSLQLTRR SNVSWASDEADEEQDPFAEIEDDFVTEDLEAILLRDKRATLHANVNKLVEGLTPNTPH GLLKDGCDELLSLLENTSPEMGLEAHFVAQHGMLADIAVRLLRLVNLIVMSDLEMLES FCLIGGIPVIIPYTSKKHSLETRLEASIFIQQLTSSALTLQMFISCRGLRILVELLDE DYALNKTLILSSLEGISSVFDLQSPTPKPDFVRMFVREGILDPLSTALLSILRDGLLK EKAKGIEQEKIEGQMEQEELETAINRTVSTLLLFCQVAQGDRRVQDGFANRGVMTRIL KACDLLSGKSLVLAIKAIKHLATSTQLIEVVQNSNGIEILVGILARNMKGSHASETVS NLFQTIYSMTKLSKSRQEEAASSGIIPLLKKVVQSKSQMSDFALPILCDLANAGKVSR RLLWRYDGLNLYLDLLSHPYWQVSALDAILTWMQDETARVEDVLLEKSASDSLVKCFV QASGVSFEGILDPLIKILRLSTSLTSSISHPQFYTRLSETLEKSTHAAIKLNLLRLTR VVCDTHPDRQNLVIRFGLKNVIERLGKQDSAILVRELAKEVLPGLLFGNDSPDPIDLA NLHDGLDNGDGGQTIDFRSGADRGTMKRTMSQNVISSDTFKNPQSSSSSSPSTVRSMH SISNPPSSSSSLDKSTHQRMTLSCSSTTTLTRSQIDSRNMPPPPIPTPSPILPSSGGG ERPKHKRKISRNQLREVQWQTDENGKVRSVRTPSKLVGYTVD I203_06444 MSEPVPQENQAVPPAVEATTAPAPGQAGEGETPAGPSKGELKKR AKEAEKAKKAAERAAREEEERKKREAKEAEDHAKQNYGKLPLHQSQERNRAKIVFLAF RQQTHTLQGVLVVSGEKDENQVSKQMLKYSQLIPSESIVLVEGVIKSAEVKSCTITNY EVGIHKLFTAVEVGDLPFSIDDASRAEADFEKAEKDENLQYSRVALPTRLDNRVMDLR TPTNQAIFRIQSAVGQLFRDYLNSQGFIEIHSPKLQGAATESGASVFKVQYFNGTAFL AQSPQLAKQMAIAGDFERVYEIGPVFRAEDSNTHRHMTEFLGLDLEMAFEEHYHEVLE VLDEMLKNIFKGLQSKFQHEIEVIKKQFPHEDFLFLDETLKLPFKEGIKMLKEAGAKG SDGEELGELDDLSTENEKFLGRLVREKYKTDYFILDKFPLAIRPFYTMPDPTDSTLSN SYDFFMRGEEILSGAQRVHDPVFLAERMKSVGIDPASMTGYLDAFKLGAPPHAGGGIG LERVVMLFLKLGNIRRASLFPRDPKRLNP I203_06445 MASSAPEQAGTSAETQPRQPIWLRCEKKPFEHRSALTPTTAKTL IDNNFEVFVERDPQRIFDDEEFEAVGCKLVPNNEWPSAPVEVPIIGLKELPESTEPLP HTHIQFAHCYKQQGGWTDVLRRFKEGKGTLYDLEFLEDPKTKRRVAAFGFHAGFAGAA AGALALAAQQKDGGKGVLKGLKPYKNEQAMVAEVSEALKTVQGGKENVKVLVIGALGR CGSGAVDLFRKAGLPEENIVKWDMAETAKGGPFQEILDVDIFVNCIYLSQPIPKFITS EFMAQAGDARKLAVVVDVSCDTTNPHNPIPIYDINTTFPEPTVEVDTKGVGRRCTVVS IDHLPTLLPREASEQFSKDLLPTILSLPQRTTEPVWTNAEKLFKQKLEEARVEDEKNG IKA I203_06446 MSTENEIELVAVSARSSTFDGTVETPTSQDPPRVEIGNAALQSQ EQLNDHQIEALPPVDGGKQAWLFLIGATYMETLIWGLPFSIGILHVYWTNTLFQGQGA SAITLAATLQTGLLYMACAFFGPIFAAIPRWTKTLQVIGLIIGSVSMISSAFVTKPWQ LIVTVGIFYPLSCACYFPCATLLFEWWHARRGFASGTMYAGTGVGGTVFPFIMQALLE RFSYKAAMIALGLGYLVTGSIALIPIKRRVPLSRYDQDGISRIRPRIDWSFGKRKALW LGMMVIGVTSLGNFVPSLWLPSYADDLGLNNPNGTALVAILNAATAIGNGLLGYLSDK MSLRSTIFISCAGSAAACAFLWGFGKNSGVLVTFAIIFGLLGPSFSAVWSKIIHLVSR DDPAVTALTFSIFAFVRGVGNMSSGPISESLLKYDVLRGVIGAYGYKNYGILLIYTSV TILTGGAAGFFFNKVQSV I203_06447 MKFTLAFSVITLLASTAVTAIPVPNTEAAPAPTTDMAAAPTTAV ASAPAPATSEDCEDTMSASPVPAEECGESCATTPAEDCGEECASAPAPTTDMAAAPTS ASPSSPDTGSSGGDSGETGGTPAPAPEAPAAPSTPSTPEAPSTPSTPETPSSPETPSG CTGEGCESPSTPSTPSGPSGGCTGEGCETPDSPCDEFGNAIGGGGHKSSGSGGSSPVG GGSMPSSDGSSPGGHSGGGEDCDEYGNPIGGGSKPSGGDSSSGSTPAPGEGSTPDGSS GSDN I203_06448 MNPQEHIRVFDYLGWRDRSAYVKSFPEAFSRPDSIDSISLAMIS AFDDHMEFTLTAASKARYIAAMESSNVRFNADKKSEISQAYATFQESLEAVMQKLVNS SKQSINLELFMQSEEIDDNPLVQTWADLYCQGPGATHLENRDKLLKMLDNLPNSNRRS GDTSDGSHFLDFYSSRG I203_06449 MSTSITSFQHPPHNSPDLVEHLEHSHPEAESRIGSASETDSDSR SEASISSFLYLFLLNKRLRFLAPRVIFDDFWWDFWVSARNRKAQFLKDRGFVRYSDDK LEDYLSTTEDELNYASVDQDPEEEAGEEVFEKLRNSGNTLTIQDKRRRIEDWLIRLVE YQRVSGQEEGSQSQSRQTSKTSVNERKGRRLEDDNQCIITMSQPSAKTTTDQAYWNLP DSITPIQVLPDRYTQPADAQAENLKGKESDPSRMGVFVCLLDDKPHLHPSLISLHKHR LNIHHIPLPPDPSNDLPIPKSIPSPHTAEPPQTDEDISMTTTSPISTTQQKLETPVEW FMWKFSEEEENRRKSYLPLA I203_06450 MSSSNTPNLHPSSSIPAPVIPKPIPFERIDTHSIKQQLHDVLGE DGLPYWKALNGYLLGQLARSELEGMVRGWFKGDKLELHNTLLLSLLNNASIPPTLYTP IPTTSSARKRKRVSYDDVEYDVDEEAIQPKSRVSQWLSGLNGRERLRIKRSVLGKNGT GGLEDGPGANEPTTGMGGRRMSTWVGQNTFLPPSTSNPTRHLPSSAQLSLQLAQYAKI HGLGLAPDSTAEIGEFLAVGLDSHIQDMLYGLIQLTGHDRPGISTIRLPKGKDHRNGD GDKESDNGVLSKMKREEPDEQDESPKVDLNSLNHLLMLNPTLNPTISPSIYKLKSGQI SSTQNTSTSTLLKDDKTNSQTSSPVTSTSNRNRNNDNINGNKPRSEVITNRLLENSLL KLDNITTTAPGAGAGADQKKEKKHTSHWKYEDPAVLLKDFLG I203_06451 MPPVRKRPQVIESDEEEEEQRGQTSSASPAKKRKTVVQDSQDIN DSDLDDLDSGNEDVAADDSILVDGDVGEEGEQLERGRVSFKPEYERGDDGYVAGSVVR IKMTNFMTYDHVEFRPGPHLNMILGPNGTGKSSIAAAIAIGLGFAPKVMGRANELKAY VKQGADQATVEIELKGKRGKRNTVIWRKFNKEDEKSEWKYNGSTCTRREVLEYVQRFG IQANNLCSFLPQDKVAEFAKMAPEVVLKETMRAAGDPRLTKWHEALIEKGAKVKDIAN TYEGHANVRDRLQAQVDALAPDVEHVQEREARELEVEILEHLVAVSQHSQLKEAVEEA KKEKEQVAKRYTKLQGRRQPLKELKDKRRGRHQLVKSSRPSHEQLMLNWILLQQGEKS TQIDQQLERLRMNHQRRQEEKEKLQNQIETCQKILDEPRDDVNDQVKAKHRQKAELQA TSRQKGNTFEELKDEYEDNRKMFDELNQELEDLNRKQRMLENIEIQKENAARDFDPSI GFMLDWLQKHGHTLEGPVYKPPMISVSVPNREYAWQVEQCTSLRDRSSFICTNQADFD RLLDLNKSNYPPRMLANGRRIEGGRVKLNLAYTEVTNETVNPKRPCDLERLKALGMDG FAIDYVEAEPAVIAYLCSRANLHATALTQKPSVQVDGSALTAVGIRAWATRDDHTSAI QSAYGRREFVQRTNQKVPAKAFNLSGKPVKTGQMSKVADVYIHVIVDRDAVARIVEEI ARKKKIIFDREQPHSALKARLDEVYREREDIKRQVAELDKEIKVLQEKAKRWQRAATD YEQHKAKLAKLEAQPSQEEERRKLKAEKLKFAKARLGPLDAWLDSCDFVFETCSDMVT ATLTSMQSSINKQAVSSKLSEGNEMLNNAKKDLEAAGVKFDQTKTQAANKWRQLTAAI QESSNEVRVEVRKRTKDVSALPPLEELEGQLHTIRAQLEMAVNIPGNVVDRYNDYKDK LERAQNVVDREEAELNGLKRDIKKTLDMFDPALETLVQAVSAKFSAAFARVKCSGEVR IRRVEGDFSAWGIEILVSYRDEDSLAILTGSHQSGGERSLATVTYLMSLSEMSRTPFS LVDEINQGMDQRAERAVHNQLVEVTCDSDAGQYFLITPKLLTGLTYHPKMKVLIINNG TNLPDPRLQNQRYGDLSSCLKKYQQKHSIAAH I203_06452 MNAPTPLSPLTDPASLAKVPILLVPVHLPSTPIPSNIYNSYQNL IKRYQTLRGDELSRPLTSRNRQSTYGGNSYELPPNPRLRFFPPSTGNSISVSRGSTTN HVHLSFSGNAPATHTYPLSLLRMAGFPLIVLGIAVEPDDEVEAQESKGYSVEEEEGEG SGDITDVSTPTAPTFNHHPQISLEQKVNPEQSFDETISSIFPSTSPFPLVKRLLVVPN QLPKSPSSPRKQSGHSSDSRGSGKDKGYMRYAPIDGAESWIGRVLGEVVGELLGELGE IATALETPAGMRTLSSTLLPSLTSTLPHPELGNILASQRSNTPSDYPSRASTSTPTGV PPSQSLDHLNGMGISLTRALTPGGRPTSIQPPSLPPIQTSSISPSPQPQPVAASSNPF RRSTALSSPFTRTSSATSASSSTSNVPQVTTTKYTNATLSGVAGGRLMKLLGDMYLLA GLYGDAIKCYDEGAERCRSVGDVLWEGMAREGRAVAGIGEAWEGRDGSNLDQPFPSSP IPVEILSHYLSALACLSRSPLPYPPTILSPSPQAVSGSISFPAPSGSSNPSNVGTGEG LLAYLHTSLSLKIAHFLLLVWAAGGWGSIALSSLMTHTLPGNFIPPLQLHENIDHHLR RKRHRQLTILSTSSGMSRQSIFAHAENALQPYHRAMTKTEQVILHNEAIWLSRWLDLP RKESSITRELIKRLAILVVEGREESKRSGGFSHQKMKTPTISAENKSDEASSAAIGLG LGFSVPNQTVAVRRKESTEGNNGIIGLFERAAQVMGIDLLSFGLTPQSNGWDDHHHDH DDDGVEGGITKPRFGWPELQVEFLKEGIAISESLPDHPSIIRLCLTALNNLNGYLNPQ SQHMLNKMYPISLATVRRRGIEIGQVPWWIGERVVLSVEIASLPPNKIPIEHSINEIS PMKEGKKDPFLYNPRLKAAEAGKTVIVANEQIDVFVTVRNPFTFDLEIQDLSILTSGV PFITSPLPLTLPSNSVQTVRVTGQSPISGSMSIKGVSIRLNDGSSNEFLIPIIDGKAK SKLDKRKSKIKSDLSKVKRSGLDARFKSIENSKNPHAHAHPHGLEDGAVDKKWLECKV VPELPLAWIKKTTLTHGTVMLYNGETSTIRITLENSSSVPIDFIKLTFDDSTAREAQA IIQDGELTPEQAYEIEYDQMNKPVFTWDPKDGAGNKLELRPGGKVNLDVRCLGKVGCT DGTIRIDYGYLDRSSSSSAEERPNNDSAPSSFYTRQITFPILFTVYYTIEAHSLDLIR LGSSSENLDAICRPSENGLVANGDTSKLEKSTSTSQINEDRLKTALKVENDGKHCLLA LSVRNVYGVPFEITLERTGQDEGPVQCTRLVPPGATELMILPLPRLSIPQDLLSRPIP SLSERQYIVDKQKKSASRAKKDRELFWYREELLGMVKATWTEPGSMRRGNLNIRDQLF SSSLLDILRSDEIDITLSLDREGDKVNVMDFVNLEVKVTNNLERPFRPFIHISPLPTS STEQLWTESPTHQQPKHRNSFQPQTPTTTSKNVLFDGIASTILPLLSPGESVQWKIGV VFLALGKYGLRVAVEEVPLIDPRIEEDEEKEKKIWFSSVLNVIVE I203_06453 MRAARQIQKLASTSKSSRSTPFLLSTRQASTSSTGTSSTFIPDQ PPHLSTPSSLSQPVPKLSSQGFFDASRPSISLPIRASQRESENYAELQELVSKPSSSR TEIGSAKIWQKYITLSPAYRRSLPIDFLQKIFKYVIPNRKHVRELSSLPDTDGDVPKG KLYRERLKHHSNLGNKWERRLRTITNDMMCSSREIDPQVLINGIGKLAMLGDKNGCES IIREIRFRFDDKLTFRQLRIMYGYGLRSISKWLKIHSHRFTTTTIGGTTVGGGNKGEL ELIETAESCKRLIKSMQDRNVSPNSTTAENLLNVSRLICSTNLKNDKLRKSFEELSES ILVNGYNLDIINNLSFPSTVDEERRIRELKPSVKLAVVDYFGRKGELYKMLAAYDNLF PGDVDRLPEDVWSSSRGVDQLAKVEEEEDDIPTLSRMLEDEKRRRAERGWFGQRSVEE HGDEGLTSIPSDAVLSEQSRTFHDYLSPIPTPFDVLPPSSLAASTNLQSIKTPTTPSQ RPIDGEGAVVSSILSMLSRAWLSKIKVSPNDTIYKDVSIHILRLAVRAANIEQARFIH SIKNVSPDEGVEPDLRIEGNWFKACWRTVRWTRSSSRRGTRFAKVLLNELSDVQQRLA EEREILQSLLPQDGVQEESSTSVNVILNHIKQLESLELELSEIEETIQANLRIAIERK ARSNSARVVKLEERRLAQLAYRGKKRGLLGMSTEKNQDNDSSWTLDAASATAPAVSPV IA I203_06454 MGNRRITKKAIKGKENLHPSSRKAAQLTRVNLRVDKLKSQAKAR KDYADAKLQRPLFFLHSLSSPHPLSLPSLKALITEVYLARFDSRIEELTAERRAGRPK PKELLELEEIKKREKNDYESGMEVPDLTHAPTTRLMYHWLQSETSMNLSHIDLLRHIR VSPDGEVILTKKGRGEEMGMGVPLPGEVEGQADDWTELIKEDNDKKREMDIEG I203_06455 MHPYRPPPSQSLDQTIHDLASQQATSKFIASMGRNPKEQDLGSA QYSTEYWNAHQYYTNHFAIQAKERSDCQTHIEVATRTDPSSSTDTTNIHSHNGGYWTR VDGTRYGMNRIQYEQFPHDSGAGTAATGGGRDYRPDRGSPILGNTSGIDLAINDQVFD QSFHQPSDGASAQGHRRSSHDNNSSHGNPGYLGLPTHQNPYSPLSVQGWSGATSPAPS VSGSDGGAGYPYYAHGSGSEGEGGYDVSQVHTPNYPGTY I203_06456 MSSEDRSRYVPLPTPPTRSQGRTEQQWIQWSARATLACGLMKTL TAEDIETHSEFLAKAEARNWVPFYEASSTNHSSVAPGEGQGTGGTSSTHGAAGANTGA I203_06457 MLDRFHFEPNGNFCEKAIVVFKDKEAVKKVFSDPESRTWVVKSS RNPGNNPVELVIKHSSPHHLSRTVLIRITGPRVDARARSASPEPALPNAEYEETFALR DQLGPYHRAPLPVRIYIDAVPTLFRDGRSNFRAKIRPRHISFKQLYEQAKEETGKDMT QIGELDLRRRVPERDIIPVLCDYFAEVCDISPPTAKGQGWLVTVGGIQDARHLMDEIS KIPGFFARWADEGDGYDANAEVEIPPSVTTEPVTPITREGPTVTPLSQPIPQYAYPPS GYSPNHPNLRRTILHTYKGRPLIHDMSSDEERFIDERAIFVGRLVKQVETSATLLKRF SRYGTISTIEYNPSYSAASYATARILYQDKDSADRAIAHENGSISFGSSIKVEVRKVL SNDVQFKEMYIDDSGRAISPSMVSQYSPASATVQAPHFLPAMDHANQHPLSAGLYPGM MPPTHFGMWYHPQFQPPMMPAPYPIPMNPGSAPTGNPVEPAPSVATPYMDTRNRSQVA SNMQLPMLCAAWGIGYLPPGALPFPFAAPTPSTGNDGAGSAPPAAPVPGPDLNPEASS VESSIELPDGLSSWSRLNPIGFTNEDGMLKPVYDPKDLKDYCAENKITLPKGADPSSI VKQEEVTLLPIQSGGHDHAGPDQPINSLAEGMPLSPKFASINDPPRVNNVGETRTVPV PIPFSPVEPLQSLPDLQSYTNVSNTNGSSLVSPPPQPQAWSTTGIPIQNANPAEPPLF TNSPLPLRPDQHQSPQHTAPGLIALTDDSLAHRLQQAPAQNHSAGLPYSVAPSTQQQA PPVHNFARPPVSINDPLASQHDSAGSTVFTNDPLALRSHHHASFDGQFTPSTHVPDSM QYHHTGTSSVHHDRRWNSPTISMETGDQSVGGITPFGSYRGARRSRVDNRNTRSGDSN GNARPNMIEQNDAGGW I203_06458 MSDTNPPSAMYSGNGYNPFPKNLDRSTASSYQSPTHAGMRGTEL ISTPPHNPTMTSNENSDHKKTDIGDGWGEAKDDEKEEEEKKLDWSEMSVHTPIMIRKP ENGGTTSHMKGDSINSNPCIIQAGDRPATTANTQEDGGWVSGHAADPSPPSQPFHTRG QSRRYPSGASQYREGRPTSLSSQPVGEDRPNSRTQITHPYQLSLTPSHMQYHGQFNQP PVHPALRTSRGFRNAISGALGNPPIVSPTYPQQPINTISAAHTQLAYSEAPQPHVPTY TDPIVGPPRQQVAGLHDANRIPVSHAKQWGKYATPEQEGRYDDASAAMQTKNVQPQPN LSEPPGNPMWSEIGDPAQASWEKDARLARWANNVPPIPPSHQITPHTSIPPSEADASE AEEEIETFSRPETPEGNARVVTMEYSPGGEERTPALTPHGTASPPQEESEIIDPTSVK AKAENPPAVIGVAAEGHELPSTPPSDPVDSSPHGPRGRLQNGVDRSWNSRRTHWWKRP PHPMFSPQYASQRILVQ I203_06459 MKLSIFGSLLFMALPAFSTPVEERSNEHLNNLQLRATQSSQGWY AYGCYFDCYDGMNRRLPILAYVDDNNNPDMCVQHCLDKGHKYAGLQWGKECYCGDALA GEPAKPDECDHKCADGKNKCGGPCRNNIWSAFKKPGH I203_06460 MHLMYTLDERGNRIYTLKKLTTAGKPTKSAHPARFSPDDKFSRH RVTIKKRFGILPTQLPSKPL I203_06461 MTSSPARAYPLTRPSVRQYIFSPQLLLRPSIRLKTSLYVLSITI ILLVILALNAATSRPSTGQEVDVNTVPSHDSPADSGEGKDVAKGKDAHLVDEEIQRSF EEPDFSLLSSAQPHEIGCDVPLEGRKDERGVFMFLGIFSAADKRERRDLYRQVIIPDF PSDQFTVKFILGTPPYPENPISQEAVARSRLMNEVEAEMNEFGDMVILPMIDNIDLGK THEYFKWVAKEYSGPGRVKGRPRFVMKADDDTILVMPNLISGFKDLNCAENIYWGTSA GRSHYFGDYFRGLAYAMSWPLVSWIGNADMPLAHITKIEDARTGQWLRHLDPVTDPVK RIDMGWTMGDWNQLDVGVETVALHWLKLDDWVKEQHQRLLDIWADDNRPYDTENGVSP KISIQKGKETPESAQKEHQRQKDLGWDVGGNLD I203_06462 MPSKVKVKVSAVQTCPVAFDLTASLDKYEKLIGEILQKDRADLV ALPEASLSAYPRFLDFQIGTRTDDNREWFSRYVKSSVKVPLDAVGKDWLSDKPVYREE DDFYAFQRLCLVSKKQGIYLSIGVVERSLVGSTLWCTNLLFSPMGILLSKHRKLQPTA AERIVWSQGDATNPAADGEVEDNLPVVQTKIGKIGGLICWENYMPLARYQLYRKGVEI YTAPTADSRPTNLPSVQHIAQEGRCFVISVNQYHAPSDFPADYPPSLALSQSEKEENK AWSRGGTSIIGPLGQVIAGPLWDQEGVINAEIDLDSLHGARLDFDVAGHYSRHDTMLG LLNLPAASAEEAFQTI I203_06463 MSDSSAQSLKQLKIKTGVVKRLHKEESIYTQEVVDQKKVIEKLK ANDADGADIRAAERVLRDSEMMIPRTKSQLEEAVQALDDLVNALQSEDAISSSAEYKD AVEQLKQVQAA I203_06464 MSSDTEPSTSQSSTPASTPSRSASPASSTASTKSQSTIRTSEFF KYRITPEIQEQAPKGFKYEKSTMDGDKIKHIFRSGDDQRSEVYEDGTDELGRGHL I203_06465 MSPSRIPRPGGIGSPTISTSPLSQSTTTRSALGTLVHTSKNPFT QLNNKSSHGSPTPSNGSGNLSVSSKNIAINRRRIKPPSPDLNDDTSLSAGVRRPTPAT RIPSNPGSGPNQRTIMRQPSTPLLVSNRTPTQQPAGTRPRALSSTSVNRPIIIRGTTP HAQQGEVTSSTGGMRSLPSQKLFESSFFESREPPVPPHIRVRLRLIHQLGVVLGIDAV GISGKIDIPGLLARVDQAYDREKDRSSPTTGIGGGGEMAALKGSVSPAAGGKGVLGMF KKLSGGGGRRVDESMAGGCSLPQEGPAFGVPLSEAPPGSWCTSLIGGQKHELPLVVFT IVEEIYRRGMSQPGIFRLAGDGARISHLTKVFNLPPHYGDSLPINQEPIHNLTGLVKR YVRDLPEPILDESLFPAFLAFCVGNGDGSDKDSEIESKTTKNDESPITDGTPPAKDSD ESSNSNSISDDILKLPLETRITAAQILLKLLPPLQFSLFIYLLAFLGQLPLFPDNRLN VESISIIFGPAMCAARGKGISGLGPTVITNASTKSTGKATGTFDPEQVSDLVSKSQNV LGWLLRNWGGISEKVLEDDEALSSGINTSGINTEDSIMVGGKDKEKERRNKKDKQVID PRLLSPIDLRGSNDGMRARKPDAPSVIAKDSIKDDLARSEEPVSPQSPNIQTPHTHIQ VGIGLRKSSSTHTLKSSPSSSSGFGLKTSPSSGGLLARALSSMSISSQAQGGDGGMGK GPRRSASFTSLSSLVKKVGKDGGKHAPMPTRSLSDDRDNGSFFTFLPLCKEVHMFDSP LIAHSTVNPQITTVLGSLHDLLVSKDKQIERDARELALLRHTLLEMDEKLQKATLASP LPGPVGINGCTCPIHPNATITHQPIDKEATPEITITSTPSSKTIPVSNSYTNLNKEIN DLQTQLSTALAGLETSRLTTRRQAEKILVLEAKSSRFDSERKMEIGKLQVALALEQAR SVGLIEERDLARERLEKVKTTLFSVA I203_06466 MSSNYPSLFRSEEMSLVQLYIPSEVAHDTISEIAEMGDFQFKDL NPSLTSFQRPFTPRLRRLAEMARRLRLFRSQITGLSPTLGIPPLAAVPPFTTVGPRAQ NAYDELEEKLKEHERKLTDMNKSWEELGKRKSELEEKRWVLRETAGFFNEAEHRHTEI RTSFDEGDNAPLLEHAAEYGNLPGETGLSGFDLEFVSGTIERTRMPTFERILWRVLRG NLYMNYSEIEDPFVDPTSGKETHKDVFIIFAHGEELLAKIRKVAESMGGTLYNIDSSQ DKRADALREVSARLEDVDTVLYNMGQTRRVELSKIAEQLEAWTDAVRREEEIFKTLNL LSYDQGRKTLVAEGWCPSRDISAIQLGLRRAMDTAGTSVPAILSELRTHQTPPTFHRT NKFTEGFQTLIDSYGIATYQEVNPGLFAVITFPFLFAVMFGDIGHGILMFLTAAAMIF WEKQIAKGGVNENLETFFFGRYLIVLMGAFSIYTGFMYNDIFSKSLHIFNSAWEWPHN ATGLVEAIPTGHIYAMGMDPGWHGADNALIFNNSYKMKLSIILGVIHMTFAICLQVPN HLHFKKYLNIYAEFIPQMLFFHSIFGYLVICIVYKWSIDWSQASSAPPGLLNMLIYMF LSPGTIEPGTQLFAGQAFIQVVLLLIALVCVPWMLALKPYMLWKEHNRIKGQGYHGLT GQENGGERHSTEHLDEEEEEVGMAVAESSDEDHPFDMGDIIVHQVIHTIEFCLGCISN TASYLRLWALSLAHAQLSEVLWSMTLDLALEYEGGAIGGGVFVFFMFAVWFAGTVAIL CVMEGLSAFLHALRLHWVEANGKHYMAGGYPFTPLTFTNLGAEEEQ I203_06467 MANILFQLKQTLYSILLLLVSFFALVIGLVCTLTGRRLNTNYYV ARTFYHVAGPILGWKFEVEGEEYLWKLEGEGGGQAGKKGRSMVMVGNHQSMVDILYLG RIFPKHAAIMAKKSIKWIPGLGWWMMMSGTVFINRSNNKSAVASMTQAGDDMKRKRIS LWIFPEGTRHMSAESDLLPFKKGAFYLAVQSGVPVVPVVCENYHRLFDGKTRFKRGTL KIKVLPPIPTTGLTASDVPALMEKTREMMVETLKSISSSPTSTPVPISELNSPAPLLA HQEESRNGYFATHAADEQAVENAVGEEEADSGKSIKSVRTGDQKDTSKVSVQEEDNVG KPKRKNSNKKLSIAMISDFFLPVVGGVEGHIYSLSIEMMKRGHKIIVITHSHSKRVDI RHLSPGLKVYYLPILPISSSATLPNYLLFLPYFRNIMIQEGIDLIHGHGSLSSLAHEA LFHKDLFDPPIKGVFTDHSLFGFGDAVGVLTNKLLVGALRNADGVVCVSNTGRENTVL RAQLDPELVSVIPNALIPEDFTPDPTKTDPNYITIVVISRLYYRKGIDLLIASCPHIC ALFPEVRFVVGGDGPKMVELEQMREKYQLQDRVELLGRVRPGDVRDVLNRGQIYLNNS LTEAFGISIIEAASAGLFVVATKVGGVPEILPEDMIEFARADEEDVIRALTHAIHTIK SGKHDPIKAHERVRTMYTWGEVAERTEKVYERAMNSPARSTAERLSRYLALGPIYGPI LCCIIAVQHYFFWFLEWWDPRERIEVVQSHWDLAKFDQVIQKEKGLTSQVNFPL I203_06468 MPNEHSTAMTLAGRGNNEEDNEIELIKNEDILRIDNPPKRSCLF CRATSGDFVPRSDDDFEQQPAGSTSKELNNKQDERIGHRFIDVTQSPVERATIKIPDP SEMTYS I203_06469 MPPSIHSLTTLLVLHLSIASHSAYASYNTPQVPIISPDLASRAI IDHPLYPPRVNYEILEPNDEESDPFELPEGAIFYPVHVTGNEQEKFNLMFFADGYTLN EYDKFEEDVERLKNDIISTNGSMSHVSDLLNIWATFGPSQQRILAEIILHEIPGSELR AVYVKYPKRARRACNDPLYGGLGGEFTIITASQVNGPQVLRHELGHSLISVGEEYDGG WVYSGVNSDKVKNLDKLKWKDLLSTPERARVEDAKMAVQAYPWWDLDSGLFNVTFNSA IIPENDNVSYPTALLRTSLSSISHPSHIHFTLNDESINLMPYFTKDLDGSLDRRWLDV QIPGLKAGENTIKVELTDEGKQAEAGQGGKMLTSLEVIEYGEEGRFNHTIGHIGAYPT FDIKGHVTLRPTNDEIGHMPDQ I203_06470 MGEPAQSPSEQNGSLATPPAAEPAQSSGGLETPVKDVNIGLGIQ NGPPTPVKELPPAPSAKDPQPNEEDQDQPIAPLSPSEIPLSPPPPPPKPSKGIPIINE NESKETLQDVELSRTGTPTRSASIVSSQRPEASIRRDSEGPVTSSSRRVSNQASTSVT SLHSITSTPSSRPRSTRPITTQGSISEGSHSRRDSTMTLSMSHTMSLPPNTPGLHQLS TVLIMPPLQLLVDSKEAKKSASFRAASQKALELCQVSGNGEGSSSAYLHPREIFEPLR LAISNPQTTSVPILITSLDLLSKLISHSFFSEPNGPPKGMSPLPDLITHTITLSYSEN SPPQVALQVVKALMAIVLSTDKGMLVHQSSLLKAVRTVYNVFLLSNDAANQVVAQGGL TQMVHHVFGRVIRPEMKTISASGSLSGRRSTIGENEARRLGLSLSDAGTKENQEEGQP QSQPQTPAPGQEPTTQEEKLTLESFAQPNPNDSIPTAPAVIASDAEPEPEISPSTPSS PPKLPQHTVSIPVPNGDALDTPEPTSAPMQDGPTGSAAGGLDEQEGTLDAMGRPIPTE ELFVKDAFLVFRALCKLTMKPLVSESEKDLRSHAMRSKLLSLHLVLTVLKSHADLFTN PLVCIPSNTSLEMTPFLQATKQYLCLSLSRNAVSSVNQVFEISVEIFWCMLKHMRAQM KKEIEVLLNEIFVPILEMRHSTIRQKSIILGVFIRLCQDPQALVEIYINYDCDRAALE NIYEKLMNIVSRIGQTHFAPPDKEELGQGGSSKQASGSNGPAIPPSLSTSALAGDHGH NAAHYAGLSPEIKLRRQSLECLVAALKSLVAWSTSNPSTKATQEDQPRPSEDGLGRHH ASDSMSGSTAQLAAPTPVWPAENSARSPAPGVSSNGFNTPDIGEDDVGRFESAKQRKN TLQDGIKKFNFKPKRGIASLIEHGFIRSSSPQDIARFLHSNEGLSKAIIGEYLGEGDE EHVAIMHAFVDMLDFSRMKFTDALRMYLQSFRLPGEAQKIDRFMLKFAERYIHGNPDT FFANADAAYIHAFAIIMLNTDAHNPNLKQKRMTKVEFVKNNRGINDGKDLPQEYLEET YDEIQNNEIKMKDEIEVPQATTSGGGLISVGRDLQREAYVAQSENMASKTESILKAMV RQQRRGLIRPNDQFHTASRLEHVQFMFQVAWMPFLAGISGSLQETDDLDVVYLCLDGL KSAIKIVCLFDMELERNAFVTTLAKFTYLNNLGEMKPKNVEAIKCLLDVAVSDGNNLK TSWKDVLVCVSQLERMQLISSGLDVPDLNRTSKSTTIARKKGPAEEVAEESRSSQVTV AADMVFSTSKNLSGSAIVDFVQALSEVSWEEIQSSGSSARPRMFSLQKLVEISYYNMG RIRLEWSNIWLILGEHFNQVCCHNNPNVSFFALDALRQLAMNFLEKEELSHFGFQKDF LRPFEYTIVNNKNSDAREMVLQCLQQMLQARVQNLRSGWRTMFGVFSASSKVLTERVA NYAFELVQLVYKEHFPLVVKYGSFSDLTVCITDFCKVSKFQKISLQAIEMIRGLVPKM LECPECLLPPQQLQQNQNQNSSNNNQEDGEGKEGKKLLPSDDPMLKYWLPVLHSFYEI IMTGEDLEVRRLALDCLFDTLKTHGTGFSIEFWNTVCQQVLFPIFAILRAKSDIRFRS AEDLSVWLSTTLISALRDLIDLYTVYFQVLQRYLDGLLDILVACICQENDTLARIGTS CFQQLLESNVRKLSPEKWMSIVSAFVQLFKTTTAFQLFDPVLHAEVEPSGTMDDADAP FQKFVAPAPLEPYTESSPPPALISLTYGEQRRIFKQIIVKCVLQLLLIETTHELLQND EVYNTIPAEHLLRFMGVLDDSWRFARKFNADKDLRMKLWKVGFMKQLPNLLKQESSSA ATLINVLLRMYRDPRDAHRATRNGVLERLVPLATEIIRDFIALDPDTQPRNITAWTPV VIDILKGCHGFENEAFETHIPTFYPLVTDILTKEVASEMRLSVRDYLKKVGQVKGFIG GPTI I203_06471 MSTIYGTQLEPFEENQSPSPHETTDNPYETTLAIRSSSLPKRRG SGPPLPVNTVNTLHRQLSGDPESPPPAWKRRPTVSNRQSRSPSSPDSPGPVNGDSIST GTQRSPSQSSVKSRNSLGYRRPPPPGLTMTTTSPKPAVPLPSGMFLHESPDSSSTNLA SGDVDLALPNPAFRRRGNGNGSISNRSSIASNQDLSSLTSEELWAMGQEQDSNSIPDM SNPMRQAAERPLDTVRRESRRIEKDRIFTSGLPGDVIWPSAPPVEEILPDARSKSFTN VAILGMSGRRMSRRTKSRQSSIDVTPLNGKDADGLYMHNAFQPASPVANGMGVLSSAH SSSTSLASQGLPPNKSFSSLGGRPPSTYYSRDFLSSLAPREGGYAIAAQMGGGLGAVG TMSVEEKRRSSMIVDDGRAKTLGMGSRAPPSKSAGMGRWSLDGGENFGRPYGTASAAT TSSNLSAPPINSAEPSPPADDITPSLPAGASPAYTSSSAPSIPVSATLSNPSPLAQQT SAEAVPKPAPAVSTPPPIPASTVAPPPALATKKSKKQLAKENKAAEKAAAMQVARRRA EAARAEALKKQAEREEAKRKEKDEKARQKAEEKAAKKGKKFLGILGTGSTSSATSGSS TPHPQSQHQPSTRPVPERVPSKTPIAVQHPSPTPTPPATSIPTSGTPFPKPIQSSQSA YKVPVRDTKEAPRQTHRSMPLNVGAPPPAQNPASGAGPSRPPIEGKRSLFGTIRKRFS YIAGSDSKVPGPQARDTAPPAPPVPRNPPSATSTTTSPAVASSSQVNGLSSPPSTAES SSLPPRGESLLASESIAKVASPSPIDQPQSQPQAQMPVLGKTVSRELATSSPPPSAGT RKRNSLHGPRPMPSANGGSPRPSSVSTHESGNATPAGPQAGQITPTPSSTTNDSSSFF LQSHNSHMTNITPITSPEENDTFDRDLDAGDEVQGEPKKSGSADSNETVHVGNVLSTT STSQPILA I203_06472 MGPKKTTGSAQCQICEAQISKYKCPACPVRYCSVACYKQHKLIH EDPSAKPSQPPSQINDRTVQDSAPSNDHEIPQPSTSDIQPHVPAKEDDSPPPLKPLTS LLWPPEPDPSIFTDPLLKEDPKPLKREELLRIATSPSLRSLLTSNPLLPPLLKSLDNL PTKSRHIALSKLLNLDPESLSHAEGMNQSFLSGRNSPPPLDELLSTLTNPHEPNHINT TTLDSDGSRGEKGWYLNVPGETKKIWIGEEERKLMRSFAASVCTAIDGQQNEGGEVEW GEGALEWEF I203_06473 MSSTTPSSQVPLPDRLHQLKIQESDENEIDRPSGTSTPGAEVGV VLGRSSHPKGMNPKLRYIKPYWWPYKTHVKQRWIGRQILEVITTEFRDRSMEYYRHAL ESGVTRVNGVTARPDLVLRDGDRIDNTVHRHEPPITNDPILVLHIDRKKEFVVISKPG SAPVHATGRYFRHSVLEMMESDYGIKCYSVNRLDRLTSGLMILALSGKAASRLATEFA QGKVEKEYVARVKGKFPEEEVTVDQPLLTVDRQMGLVIITPEGKDAVTIFKRISYDAE RDQSVVRCRPQTGRTHQIRVHLQYLGHPIANDPLYSTSEVWGQDLGKGGVDLTPAAAG TSRMAALQARVGSAAPIKKTVDRDHDDIDLTSPILLSEQARSIISKLRRQKDEAEDWI KWKEVIFTAKQAQDELEGSQTQSQPTSTSSTDPSDGEIKSSDPHAPSPLKAPAYLPPG FCGECFVPLPDDPDPETLFIYLHALRYTTPDLGTWETPLPRWAGENWDGDWRGWCDGA VIEDILQEDKERQRQKEQRKGTLD I203_06474 MRNINITFYPLLTLLVSYVAASPIYESRQLVPRGTAPLWNESGP NVRDVKQVKVLNCWWAASSLAVLISSQQWIENMVKYGNGSSMVGQSWPTDSTVQVTVW NPNSGQQQTFETDHDYISQTEDHPDGNWWHDAVGQGAKAMGKTDSFAGVISGENPDWD PESGSAKIGLKILTGFETESKYREFISVDEFFSYCEKASSGTPVIFNTLSKDDVKTTV PQLGHSHDYAVYNGTTNSDGDRVIWARNSWGSTDMFKLSDVYENSYQIVHLRDWNVLG GGPFDTTHQDGSSADTNANATTSANATDSATSTGVGNDTATATATTDAAGNNSTATAV DNTASTTVSDADASTSSAPGQPVTSQPAGTSAAAPATASSSATAPETSSGGSTSSPWQ WTSILPGWSTTYPSTPSATNQGLVVIEAALTPESDEEERSEDEDGNVECKWNNADGAC Q I203_06475 MFFLYPLAVLLLSLFVAAAPINPSSSKRLPEFEPVRFLNHFGTS IPADSKITLEWQGGSGRGFDVYYIPQWPEQTDYYPVELVSGTTDTEFTWQTPKKDDYP KGTTFILGVNDVVTSLSSDWYDVTGLLNFAH I203_06476 MLALTLLAVVGPLAASAAYTPQGRSAELTSRAGQTCTIQTSGSN STDDSPAFRDAAKQCANGGTLLFQEGADYYFNTPVNATLNNVDIQLKGNIHLPQNITY VQGIVNGSTGGNVWWINLTGDNLNFTGTTNLSTGWVYGYGQQWWDANPKNGSGIASRP HLWRFNVTNSYISDIKIRKPIAWYVKVVTTLNGHDIDVNNVFVDAATTPGGGIPFNTD GFDVAAKNVKINDFTIFNGDDAVTINNGGENVTVTNGFIGGPGCHGTSIGSLGQAQAE LGVIVWFENIKVHDCVYGSRIKTYLGGQGIVQNVTFANYDVSNTTFPIYLTQNYFNSQ TQNGAGVQEGGNFGGREDNSSVIIEGVTYKNWKGDINTYQPGDGSCVTDPCWYDVPGS DGTQAIVIGCSNSTACSDFKFQNIQVTPQSYAETKINCTNLEPASNPNLGIVCTNGTL VSA I203_06477 MSLDHEYDDKKHADVYSQQVGGADQIHQQQYTEEIVPQEGLKRS LKSRHLAMISIGGVIGTGLFLGTGSALAHGGPLGLFLGYALMGSICYSVMISLGEMIS FLPIPGGHIKLAERFVDPAFSFTMGWNYWYNWVIILPAELSAAAVLINLWNDTINNAL WISICLIVVVVINLFGAGVYGECEFWFASIKVLTITGLIILGIIITAGGGPDHKSIGF QYWRNPGPFVQYEGISGSLGRFLGFWAVLTQAAFSYIGTEIVAIAAGEAKNPRRNLPR AIKKVYIRILVFYLGGTFIIGLLVPSNDEGLALNSGTALASPFVIAIKRAGIPALPSI INACLLTSAWSAASSDLFTSSRAIYGLAITRQAPKIFARTTKNGLPYVAIIFCALFGA LAYMSLQSTAGQVFGYFANLTAAAGLMTWWGICLVYIRFEKGLKDQGISKSTLPYTSY LNKNAFAAKYAMVMITIILFFSAWSVFLKGNWDTATFVTNYLPLWLFPILWIGFKLIK KTHFVRTTEMDFVSGLDVVEAESYEEEPPKNFAGKVWAAIM I203_06478 MESAPVPPTGLPASTVNAEDLHKDKTSLEPEAGVHPAGALEYVN DDEEPTDEEFNTLRKVPAKMKWVSIALCTIELAERASYLGCSGVVKNFVNRPLPKGGN GAGAVAPGAAGENQTAGALGKGTVTASAVYNAFIFLAYVLPIVGGIVADTKWGRFKTI AVGTGVGIIAHILLVISAIPSVIGGGHAIGPFLLSLYILSFASGFIKPCLATLMCDQI PVKRPTLKTLPSGERVIVDPQTTVQRYLLIFYFCINVGGFFAIASSYSARFVGYWLAY LLPGIVYMIMPLVLAFLYKKLYHAPPQGSVTLEAFRVLGTCLKNGGWKTIFNADKFWN RAKPSQILAEQGSIDHSKITWDDLFVDEMRQSLAACVVFLLTPIFIMADGGIGAQENA LSSAVTLDNAPNDVIDNLNPLTIIFFTPIITYGFYPFFEKIGYPLKPMTRLSIGFMLG CINMIYGAVLQWKVYKTSPCGYYASTADVCEDVSSISIWALTPLQALPAIGEIFVMVT GYELAYTRAPARMKGLVYAICLFSSAISSAIGLACSNAIQDPYLIWPYVALAVACFIC AVIFPVFFRHLNEPTRVFADPARQAGKLQPIEGEEVKHSANTSSEKI I203_06479 MSPISHFPFSLSTIYWPVTNPSETNPWVIGNKNLVAWKTGGGTG IESFDIQLHNSNRTIMVGFLPIALRVPMEKLPGKKYYGGELEVDLVEGLPTGDGFFLI FMNTYHGEVYAKSPKFSIYATKPDNYTDPDLPTATVTATLTTVPNPTQQWAMTLNGID PDATGSAVTIAGNAGSGT I203_06480 MFTKVIIPFALFAAAAQAIQITNPSNQTGWESTGSQLIEWTSVS TDPGNFSIYISQPGSSAKAVIQKDVNTSEGSFIYTPSKTISPGQGYQISFLSNDNNNG ILAQSNQFEVKEGESTVSPTSTASLTTTAATTASPTSGASTTASQSESATSAAASSSG NSSAGYLVSAPSAILLFVAGLVGVGTLA I203_06481 MSYTPKQIDLETFDSKIRSSYVEVSSASLGGKVVACSDDFFASR HNLLKPNPSISMKGQFGPNGALYDGWESRRHNPSFDWVIIQLATPSTSVSYVDIDTSH FSGNEAPQSQIFALSQTELSKTATKKISPNTRGWSEILPVVDLGPNSRHIFELGNDGN QGKWGWLMVRMIPDGGMARFRAFGTPTPPALPITLQPDYRSTEPIDLVSPLIGGSIVS CSDANFSPPQNLLLPGRGIDMSDGWETRRSQHQRGKYSPEGPLAGQERKEWVIIKMGV EGVIGWVEVDTAFHPGNYPVACTIEATLSEKGSDLSQAEWTQIVSKKPLGPHRQHFFD VEKSIGEGRVWSHVRYTVYPDGGSKRLRVYGYPLSPSASLTPSASAKLNLPVLPLTYE AFEPYGQVIQGYSFPSSAPKGINVTVANQGTAAKFHRLGKIEETYPEGVGKPGGSFVG CVKAQSRLEVRNGAKMKVELLERHPYTTQAFIPLGRPANSPPPGAFIVVVALNGADDK PDLKTVRAFLATAAQGVSFDAGIWHHSLFTIGGDLEYAVIERGTPDPSSLAYVEKISP SLDTYLQIPPFPPTSLPPGTIETAHIPHPAPVHTHTQNNGHDHLKSNGHPAGSGFLSS ILHHGSSSTHASNSSILDPVLITPDNFSKYGSIISSSPSSTHKDSESSPDGKTTKHNC LAPIISTYPSESGAITGISVFRATRKVGLERGRVFDVRYMERHKYTSQAFLPMGKAEW SGQSEEALDEGGEFLVIVADNGPDDKPDPKTLQSFILPPNMGLSYAPGVWHHPVLILD STIDLACVETQISTGLHGETDDRDCELLSWEGQKVFGRVAVPSL I203_06482 MGKKYYCYVAFPESSHRHSTPYTSSYGYSTSYRTPTGRPYRNIE VEREPRRPEDRTYTRRSYTYPSRASSSYYPSPQGYSSHSQPYPSGGNSYHSRPGDYSN STYRGPPPTYQSQPSANPDGIRTYTASELRELNLNAPRNVYGVLYFRWDRVPSGYGLS SYNEDRSRNEGNFIFRSQNSRREMSIPVTYNGERLSERL I203_06483 MVPAGSASITPPLVFPSYSPDSDCYASERRYNLYDNSTGTSPCN RPNRDDSTALRERCTMMSMLSMAIVDPYYRQDPYADYEDHRRTTCYDSREDGYAHPRY VQRRSDSDLGGNLRRPFPHGIRTPNASPGHKGQYEPQSSRPEPSRTTIVTKASGEGDD DIPSHILRQVPECEGYELSYSEQTRESTAYVFEKNRDQPGREQGFAVVVNTK I203_06484 MSTGGAPTEISITETPSLIASLDPGTVSRMLKALDTERSLNASI LSAATDDDRTSRENAMKPVRGALNKYLTEMGTDNESVNKSALDTEVNKVKETYDV I203_06485 MLSVITGYGKYHPKALGRMGVSLLKFSFIAASTTSHHHTLISKM APAAAPSATSAKAGAFDLATLFVADKSARDEAAVELANAAKKNGVEFFGQIGLNDALV KALTDKKSAAAREGAASAISTLLENGAAPLLEPYIVSSAENTPFPALLEAFADKAKEV QTASLAAVKSLVQTMNPWATFVILPALLNQIRTAGKWQIKSGSLDVLQQLISSAHDQM AQAMPDLIPVLAEAVWDTKSDVKKAAKATLEKACALCENKDIEKFIPALIKSLLNPIE EVPKTITVLSATTFVSEVTAPTISLIAPLLIRGLDERPTATKRKVCVIADNMSKLVDS EYTVRPFLPRLLPGLIKTSETIADPEARSVANRAIATLRRIGKVPESSDGSDLPPLKI AEGPNLATNFVALIKKDGVSVEQANPGIAYAGVLAASLVNAHNYQQQVWEAALPSYIK LALPSYDPLPAVRELLQKKADEEESDELKFDDEEEGEDLCNIEQFNLAYGAKILLHHA SMRLKRGHRYGLCGRNGTGKSTLMNAIINNQVEGFPPPTEVRTFYVQHDIDGSEAEIS IIDWVLADKRLQASEEEIRSTLESVGFDAVKQKHSIGSLSGGWKMKLALARAILFKAD ILLLDEPTNHLDVLNVDWLINYLTSLTHCTSIIVSHDSDFLNRTITDVLHLNNFKLKR YPGNLEAFVGMVPEAKAYFQLEVAEEYQFKLPAPPLLDGVKTKEKSLLKMRNVNFQYP GAPVQQLFDISLQVSLSSRVAILGPNGSGKSTLVKLLTGETEPNKGGQVWKHPNLVIG YVAQHAFHHIDNHLDSTPLEYMLWRYQTGEDLEEMNKATRVMTEEEKQKMKEGAVVVK EGVKRLIDELVARKKLKQSYEYEVSFKGLSSAENMWLSRDELVARGFEKKVMELDTRE AQRLGLMRPLVRREIEKHFEDFGLESEFVSHNSMRGLSGGQKVKVVLGAATWRRPHII CLDEPTNYLDRESLAALIAALKNFEGGVLIITHNREFSESICSEVWAMRDGHLEASGH NWVEGQGSGERIDKKKDDDDEVEYDALGNPIAKAKKEKKVSAADKRKAKKDRMARRKR GEEVFTDEEL I203_06486 MVDPISLIARFEHLPGRPKSDQARPLLEKIASQVKPIMKKRGWK VGTLAEFLPSNPSLLGLNVNAGQRINLRLRPPGNENTFYEYDQLVLVMLHELTHNVHG PHDAKFYKLLEELEEEYYELKRKGYSGEGFHGQGNHLSGLRVPEHIGRQKGLEAAEKR MNVQKVIGRGGVLGGSRNMAGKSMKELIIEAAERRLRDDKSCAVGHGQQAEDESRKAQ KESIGVDAVDLQKGLEMDKEGESSSSGRETDTSEAKVIDLTGDSDDEVKSDEKPDEKP NKIPKTTEQQRTTSSSQIRSTTTNNSQNKPTSSSGTSTVSRPLSSTINTPKQVAVTRA QEWTCEICTLINPPSSTKCEACLTPKPASLPVQAEGIKTDQGWYCTFCTSGPNDMERW SCGVCGEVRKWG I203_06487 MAGQARKRTAAQRKALNEDEEPLNPTEILDNDVQEDQIRLLRQK NIDDNKQAHLALDIGVLIALVITILQFLDHFSSPNPIFCILSVIQFVLLPFSISSDRI PSRLPVTQISPENHLYSLSVHLTVSLCALFIRYHNSLPSSGMDVVALELGEVARWIIP TLVVGAVDMQRRGERQSEIRLGELEGMKYDLKGA I203_06488 MSQPPRPALKPGDRKDSKVRFSREELAPIRSDPSDFLPSHDPVQ HQHQRASVVSNYSDAQEGGELEYYDSNSYPFHSEPVELGVSTDTVEPTVGQVQTGYYR EIPDQEEYHEQTQRDVYTAAPSRVVGGRRWSSAQHRPTLDTLHSTEEEYHHQKQIITS SPRGSIAQQVYHEDAPSPYRPPSALRSSLVHRDREIVNGSPRSGFYTEADLPPSGANR VARSSAPNIGYTPPRRMLEQHRFSVPNGSPNMNTARNQDVYSPGMGNGRGTFGERERR YSPVPPYQQEDHEHEQRRDSHAQGQVQTYDDGKDRLWVEDPSMEKRTRSDSDETLYGE QDQKAKYSPNVRGRQRSLSDSSKLAVPDKEGEMRRRTTRQLEEDDDDASSYHVKGGVF SQLLKLTGRTNTMRRRISSRSGFGGGESKGPGLLPTMKSLGLRRLDSTASTTVGADEF DENDPRVTGQKKKHKRRNSLSDLPFMRTSTGDSMLPGGRRKRRASIQLHVADILTRQQ FVLKLAKALMTFGAPSHRIESQLGATALVLEIDAQFIHFPSIVIASFGDMDTRTSETH FVKVQNGGLELGKLHKVHNIYKSVVHDEMDASEGTKLIHQLLKAPMEYNLWQRMLLAF LCSGLIAPVGFGGSLVDGLASGALGILLSFMQLHVASKSAMYSNIFEISIATVVSFTA RGLSTTGIFCYQAVASAGVVLILPGYTILCGSLELASKNIMSGSVRMVYAIIYSLFLG FGITIGSDLFYVFDRNARLVSQNAARAAHSYAEVHGSFISDSMLNFTSATTGLPIPMF NGTFTFSNSSTDQITSNLNQGSIICVRDPDWPWWRQGMPQIYLILFIPIFSVLLSMWN MQPLRSRQLPVMCFICCIGYLTNALANHYIFDRSDVVSALGAFVIGVMGNIYSRVFGG TAFTSMVPGVLFLVPSGIAAAGGLAMTTNPHHSDSYSQGLIIGFRMVQVAIGITVGLF GSGLLIYSFGRKKGAALFAF I203_06489 MSSKNSTVAESRAAALDTTVQSLDAFESVFDGKNTNTSQKGELT LRMTSMLCGIIDDDDLNTRCTPKQQETRTAFLPQASRNLMNDIGTARESQSAKMIKLF GDVLINDCRP I203_06490 MARKAAVKYRSLRSNLVHLPLSLFAHLAQQQARPQSLIIHLSPL SSSSSSSRQPKPAYLGWSGLAAASSLSQAGDGLESIEVDPEVAMSLGWPEGTLVEISI IHNPTRANSVSVTPLSSDDWEILEQHASFLEDHLLSQLRAAQKGQEIDVWVMGKTKIR IRVDETNPSTSTKEAVLLNNDTEVYVAPKPRGMKQPKQQVIEPSVLANGIQSRSNKQI SAVRLRMIPPRVVSDWGHLNIPSTTTASQVGICSSHTVQKIRRKLRLKPSISDVNVSL ELDDDETKADTEDQEKQDLPKGEGAEKQEGKRQVDIQLVEWDEMPDGYISLIGTAEEW ESAWRNVRITPLVGTKGKTRKKGTFADVSPQSPNQPASSSLPGTQHLIDDATTYLSRT WSTPSSRPLLIQGNKGSGKTTIAKIVGKRLEADRRILAEPIYADVAKLDPESRLTTLK ETMSSWIENTETRRPCCLILDGLDNLIGVETELNSSSNPAILADHFCRLFSPASLPPD VLVIVTATNITTLHPMLTAKHIFGQMLKIPPLTKETRQEILQLLVEHQPSPQTPKING DSDREDDVDYVTLGGMTEGYSISDLVDLVGNAVQQSIVRSIKSGDKPELTMEDFTLAQ EAFIPLNLRGVALQKSDVKWSDIGGLHEPRRLLRETLEWPTKYAQIFANCPLRLRSGL LLYGYPGCGKTLLASAVARECGLNFISVKGPEILNKYIGASEKAVRDLFERASGAKPC VLFFDEFDSVAPKRGHDSTGVTDRVVNQLLTEMDGAQGLSGVYVLAATSRPDLIDPAL LRPGRLDKSILCDMPTPSDRKEILQSVAKKLHLANDVDWNELGERTDGMSGADLQAVV YNAHLEVVHSTLDSMTMNGDVIMKGKGKVKENGIRGDGDQKNYRQLTPKEEDGNAAVR SEMINRMATIGKNAKGQGHDKPDALKDKQEAQKPTIHHHHLLASLESTRPSVSPADRR RLQMIYRSFISDRDGKMGDGDLGRGTGTRMSLM I203_06491 MKRKWISPTGYRFGLQHLVWLFEHFDQVGSLTQLLDSGKKTRFV TENVILNRFFIPKYPKEQLYCFAGVGDTNSQWSGGLWPEVEVIYGKPRLRDRLQGFPT NVFTPNNARTIAEKEATECVCCGKKQR I203_06492 MIVDPEPIDDLRSILRHAKPPSSPAARPVPSSSLLKFESPKSFR RKLLPELECMIIEEFASSSLTNHRKVVLLNRTYWQRAKRFKAICASIVNLKLKDERGG QALVFSLYYAGPPASSLLPKLRYLILGDRFISCSSFLRLPSDKKNDCGYKALWLISNN VNPEHV I203_06493 MGTTAYHEHDDREKGDMIEPQVIPHAPDEPVIHTKDLDAIKDKN YDVGAEYFAELAQRADAHEIMAPWTEAEEKSVLRKLDMIVLPLVTMSLMMGGIDKVIL GTSASFGVRTDLHLVGQEYSWRSSIIFFGSILTEFLQSWLIQKLLTGKVFSFNVFMFG IMTFATMGVKNAGGLQAVRFILGMFEGMNTSGAGLVIGMWWKKEEQGVRTVIVLNTLS SVVNGLLSYAVQFYTPTAHLSRWQLLFLITACISVTFGILDWIFFPSNPTKAWWLTER QRYIAVARLTGVQTGMVNTHTKWNQVKEALLDVRTWLYFLISITLNIPNGGLSGFYSI VVANLHFSTKQLTLMNMPTGPIGWFAVFFWVRLAKQTRQPLLCTTGSVLVVLKVVPHS NIGGSLAGLYIVYMYWAPYMVYSQLMMYRNVGGTTKKVAVFGISYLGYAVGNLVGPQS FRAKEDPSVAQSSRPTPVISA I203_06494 MDINSLFDVKGKVVLVTGGGRGVGEMIAAGYTANGAKVYISSRD VKACEETAKRLTKDGPGECIAIPADLSKFEECERVVAELEKREKVLHILVNNSGATWG EELSTYPDSAFTKLMTLNVQRVFTLTQKLVPLLSKAQEGGFVGRIINIGSINGVNPPG LETYAYSASKAALHQLSKHLSTRLGPSISVNTLALGPFRSRMMKFTLDNFEKELADSL PMKRIGAPTDVAAACLWLSSKGGEWVTGTVIPIDGGSLVATQAKL I203_06495 MPPSLQLTHSKIYLIPTIILLLTLVWTRGVSSPIYLLISILSLW WKIIRFSVKWSFYLVIPTLLPQYYILWPWVIRHKIPQARASRFSIVGAFRGLEWKKDD VNVRAESGAWRWGGRKNEGVGYFVYRIEDVVVKVRNGAGRVSNSNQSSQTSVPLTSRL PPFLRSIFKTDGFLVKNSIWAIQVVIHYIPGFARLLSIELKNCRIILEDFDNIELVFD EFSFGAMINFQGVVEAEPPNPYQPNTPTPSPQAGCQEFMRQNPLIYSPSIAERGRKSN LTFSPPNSPPWSPISSPPMSPTFGSLGIPEPSLGGTPGRYEKSTSRLADARRRASVFS SSTSATAGYVWNRVTGRLYGSVVGTASVVNVRLVQPKSSILQQSDSVSSQALSTSTSF RSIHALLRHTTSNHLPPITNEGYETLMGVSGRTKASLGLGFGPKKGLWGEDTLEGQLE AGEVKAHIEGVQKLLDMAKRHKRKSGKRPVQVRSNRWSSRGWSRTVLRAIRSVNVNLQ KFTVVHYLSSPTIPPPSSVPQSNERYTVSIDLTRLDLTLTAADSSNNERARNAFGTNP APESKIRGIGFSVNWESINLDCLAPQEKSEEKSQLFVIQNGIIDGFSSWRPAGWRREE LLFSSDPNLALIVLRGEIGSVNTAIDLQLLHELAAAWRLTHPKEKAQQAVDQVQQQSQ HNLPPRLRMVFDVGHISAHLADRLSENSTALTFDSDGVHLGCYTAFSDLIGRRRDKTT NRKAFEDEEKIRENRNKLKCVDLALPSSDLPPEARRSPDRPAAFLYEDFSTCLRGDAQ MNIEPIRIKIKLSDDKFSELASIGRIHGTVGGDVFGRNNYIDGRGFIESTSFDWASLS SSVDLGIDEGIHINLWRMDVIDALIAMGKSHRQGSTQESQRSASLTKTPLDRLPSGMS ARFSLGIINLFVGHEDINPALHNTSGSPVRGTWIQTSAVFEYALYRHYAQAFPWRHQL TAPTRVKLHLPEDITVQALAFASRYRPDGGSAALTSLVVEDLVLQPIYNGQKFAARGG TRQKLVVKSVPSNELKEDQCHWGSQRLWAHYLSKLEYNVPPIEVTGTEQAQRPWLRVK NSRLHLTIQQRKADVDTEFKITARFDNVAMISIYSHLYSNLHTALTLRKLSNAWKRPK VLDNTPRQSSNLSISILIPNFTAHFAFPLKEQIYLYSSHVSINKLPSKGLSVSADQAL VYVPSPTVVGDWEELGRIKKLAVHFSDPGSPLLMSPKIEAIRVRIPHKYLMNSLILDI NVTIKALKVIIANFFKSGEFITRHRSIAEQPKRVPKICLEIGYISLEAKDDAADTSLN LIWRAGFLEQAKRNSLEDVFAKKILILNNNSNSSSEDSLHDNVSNRPEPSLTKKATVT PEEARQRLDYHLAGNWIRRMKAAKHEQRRREAMTLKPMHGCGPNIKLPINIVPSSQTA PLFRATFQNVNFTISDPGLKRDEIIDYMGQVSSPFDEGTEFSLMVPLKLHWTMSEAKC TLRDYPLPMLRIQPSSCLPPTQDDRPLPATFQMTSNIIIAEEFANDDSTVYVPVEVLP KHCGGTAYEGLLVHVAKTIMPVKLYGEPRFKINSKKTTEFTWGMSYQFAVQDFVKVIE TFSHPPRDPSPKLGAVDKMRLICHLKPIVEFEGPVHLHLKGTFDPYQVTGLGAGFALA WKGNTKLLINQPNEDHEAVQIVADNLLVAIPDLTALNDSAATGSSTRSDDTSSPGSGS GSAFTQGGDESETSLINRRYTKPCAKFVNGTKVGFGFGRERTCRPWDCEYGCGDTDNH LHRRCRKFDFLPHQKVILRSPEAIKKEEEKVGRPVDSYEGFRSDYTHFSVSVIAPTHP VVEQRLENEDPANVNSFHCTPKAMHHFLRWWRLFNHVTWLPTREGPQEGGSPGSLYIG SRRKSKKESKPLATLKYRFDLKPVYVSHIYPQVTKELWAQGKSESLGIKVRAGRLLFD AHQRLQEKMEYKEKLQECRANTHRPLYAADVVADDLTIKGIRAHFIERVRLDAQPYEA LPRASELSRETKVWFDLTDYIDADRKPLDDDPQVEIVDFGDCPHVYFCRRTNTTNLNE NAKREDNNQSSTADGVESSKFGFEKTHRCYLDEAETTTEVDMRIVKKRIAELQSRLQS YPTTNSSEYRNDLIITQNAINLLKKHLAEIERDNPVQLDDSGRRKSPERPFQDTIEVH SPRLFYNDLSRPLLWAYAFSVSDRRKEEYHVSHVSLRNYREQFIRRRKRFLEALDNSE THETNVAEDMVGQLAKSLIEKPLDSIFQFLNIDNNPAASRTASLGIPSECTLRPKMQI SIFKPQIALRSNATESAIVLLAVEEASIKRFAVEDPESEADVTSDVLTRSYALLKEVQ AFYPTTEALNRERSGSISSGLDFVPLEIFLDAKSQATDYDRILLRTDIAGSYDKFNRL RIPRQLRWPKAVNENGDPIEHLRIHQDLMTIITPQIKLFATSKHYDALYTIITDLLIY SDPDHQHRNQAVKDFSRQFDSADRDTNRLLVDIHTLQQTMRHLMELQRGYETNLEKLE EAGKDELFKIRADLAEGYESLYTINAMIANTLAKDDARAFLKNALRLDIRLREVSWRM LKDDCISSLAQVTMGSALCSYSNNKNGSTDCALVLGTVLVSSLAPDAKFDGMIVSQDT STWKKKGRSPFAKVYWSSYPPIGGIPVFPIVDVELASVRFGIEEKMGHQVVDYIFSDR IRRRRERAKLQQSMNGNGAATNGMNGTKAKPDKADTRSISSKTTLSTDDLSIPSSNTM APSSRNELYPLSRSRSQVSINSHNDIETISTFNNGQNDDAKEMRERASTNRYFGKISF HRMNLTLSYTRDDTRKHSTLSMPECVNFNFKAPDLVYTGKYWVPEEIFEHVKKDLKSS AYAQWTELIPQIFKQTSLFRSKRTLRNLTERTTKAVGNNLPSPLKHITTHTSTSTSIP STDRDDGSTQAGSVERIKSSSMKSHKSDQNQTISTSPSNMMMSAESPQVDTPSTYSTN GSGSGSGNRSIRSNGGGGGLKSDDDTDDEHEQEHEHKDKGLKGLLGKFGVGKHHYSNN HSTDELSRIRSRVTSNSSLDRKSHQTPSISFG I203_06496 MPRYIPLSTLPGPLLPHVRQLSINPIIKPLSQLPTPSDHLLANP NKPGNLRVQTWVDGRGRWNGVSQRERLGGKKSVNFGVEREGRRWGGLKWALRER I203_06497 MLGSDERPSQNRHAAAFTLHCQAGLFWSLPSWWIDKIPQKHVKI FFSLRVRDSLPLDIGRTKSEEVQVTGGPKSDMSKIIGQVLVVVAVLALVHDLSTLKAL SRPTGSLPASIILEALISLGLFIPGIALSSDSLEDVTYRGELAKRSIDDQDAKMAFMI PSKRGRAIFGEEQ I203_06498 MSRSYDRALTVFSPDGHLFQVEYALEAVRRGTCAVGVRGKSCVV LGVEKKSTLQLQDPRTVRKVAMLDDHVCVAFAGLTADGRILIDKARVECQSHRLTVED PVSIEYITKHIAGIQQKYTQSGGVRPFGISTLVVGFDPNDTVPRLYQTEPSGIYSAWK ACSIGRASKTVREFLEKNYTEDLPRDEAIKLTVKSLLEVVQTGAKNIEISVMESYGVI TNLERSEIENIVSQIESEKEAEAEKKRQRLAATQAGQASMAMGSAAVSGTQTPAVGGA GDGEGHATVPGEETGVQ I203_06499 MAAASSSSSSSSVSKLDVPLHIKYIQNLDKRQDLAYHLTSHLRL NGIYWGLTASHIMGQPSALDREGVIEYVLSCWDEEAGAFGPHPNHDGHILATLSGIQI MLMEDAIDRVDVDNITKFLLDRINPDGSVSGDSWGEIDTRFTYILLSSLSLLGRLDRI PKDKRENIVEYISTCRNFDGGFGRVPGAESHSGQVWVCTAALSILDRQDLIDIPLLGA WLSERQLPNGGLNGRPEKLEDVCYSWWCLASISILGKLNWINKDKLINFILGAQDLED GGIADRPGDWVDVFHTLFGVAGLSLLGYPGLEDIDPVYCMPAKLIDKLGLTKPYATLP RMQSYP I203_06500 MESITPLPTPTSTAIRSSLIIPSFPQILSELIQNSQDAGAKNLD ISISLLKGNESIRVRDDGCGIGEEGLRKVGKRFRTSKTLNEANLGSVGSYGYRGEALS SISSLSLLSITTKTTSADEATTKIMKSSKTLYLGIDPSRHISSRSGTIVTVKEMFHNI PVRKEEMLRVNEDTLMRQCKKVIEVFALARYGVTWLVWDDRGMGDRRKVLDIRGTKSS VQVFRALYGNALVKRVQSIRVSAGSRRVDGFISLSGDITKAHQHLYINNYPVTRSELH LAITRKFSDSRFGTFASAGQHDELEDIDAEKRRSPRRLERHPIYVLNVTLPSEEVDVS FEPAKGVLGYKDLAKVQALLSAVVDEYLKKNGYNRIKSKDIPSSPTKGSSNKAIAPTT MLHGRSPLSKNVTPRHNSQQGWDMIRPTTLSFSALTPTAAPTHQQNEQDQQLLATRRQ ISTDVEGWSPRIAEKPSQACQWIVDLENRIDGSVLPFTRPSTNRKRSFEAMQSDEICS NHQLSHEHKECDSLAARPITLEPPSNKLKVDIQLCKSSLDYAHVVGQVDKKFIAITIN PPISTGSQGDKAVVLVDQHAADERISVENLLASLCLGFRKHNMAIMKLTKNTPQIILT TQETTYLLRPGVMELVRRWGIHLTVPPLERLEGDYVQVQVDAVPSLLVGRLGKKEGVE MSRLVRGYLPVLNDGLGEIKALIGSYENSPDDIKEERANWGKEMRFMPREMLELANSK ACRGAIMFQDFLDLDQQSRLIAQLGQTRFPFMCAHGRPSMIPLVALQSPSHTGRVKEI GKVWKREIDWKGWKAKRN I203_06501 MPYRARCNPASLIRRHNASVAIRRVPPVLRHGSAHEGIKRGAVM PMLSYRYNSTSSLFSPFTSFFSRAKSLTPTSPDQAASEFVKSVSSSQVESLYSSYNII VSSPSPSSYLSAEYLHDAIKLLAESRSLRDLELLRRIYDDLPNRFGYTITAEHDNLLI KALCNNGITEEALSFAQSINPEDVDWRLLLRSASTDHPFLIDTVVPFLRQYSTLDQSD IALILRSIRNTHSRSTGSLTRSKLESVLKDVREKGIMLEPSTEAELMRLYISLGELDK ANEIVSRWNMKNIVSPGLWNAIVEMAIARDDLDRVENTIENMEEKGIKPPQKALTFLS LRKLRSYISSTSVVAFSEIVGSVDGAERVCGVEAKADVWAEIIRVYLSEVKSHDNLDV VLEVYSEILSRGIEISADLARNIIIPLSNVRHHSRLDDMIRIYEDYLSSSLAFNTKKE KNKFHNVYQYLLMACSKSQPPSTRTALKLLDDMKIHHIEVSSSNMISLLVLLMKSSED HYSAFNLYSHFYDLSPESIDEEGYKVILINFLNLYWTQSPFCPPELFIAILKDMSKNG YQPDSHILSSLLKQYGHQATKLRRKLRSPSSTIPMSTTSTTSTSISPFEEEHQQVNIG EQLDILSQSIRDIHTLLKLDPLIIPDIPLLSSLMDAYARVGAYSECFEVWDELVSRRA REPPQNLRSLYAASINVILDACGWSYSLKRGKKIWNWAKKWDLVWEKKHYDSYVEFLC RNSQLAEAGDFIFDQMVSPDPQADKESVRIVLKFARRERDAGRSDVEEMKQFVKRLNV DKKEVYDQLKDEGELDGY I203_06502 MPSPPVCTVEVTLQPSDQRLASLLEVSPEDVQYCIISAFATLSF ENLKCVSSLNSRYYASFHSRLYHSITLSNENVYYLRQLCIPPLQSGELPVVVANQQRH LERYINQYNRFRSICSSARTLSVVGEKIFEMIAQISQQEGNTNLFSKVEQLVLRDMKT NHNPRRINIDPPLKQSLGTIFTTIQPRKLCLNLGIPFSHTWRNLVQKLAEEDLSGLEE IVYHGVPISNLEIEVLILEDVAIQRFFLEDYIIPSYCQTTLKIVYRWASPRNTPHSHR RTPQEIHVHAHWRGSFGLPLELVDSPIEGMWELKKVTHIHQADEKVESLEVS I203_06503 MSLLSFQEFLNGLDETLDPSPSPPPPLLRPRKPSPKFPEDVLST IFDVFTSFNFHNLKIAVQVNTFLYNRYQPKLYRYISLKSNNVGDFQLHATLNLATSDT GLPPRRNRDRFLNLCHSITHLEIDDEEASQQIVQVLVSKDLRSSQTLFKKVEYLILRN GFLGFLQKIDYHAFDGSLHSKRVVQLLGRYLKPRHLCVENLRAYDKKNPKSPEIWKLE ILKSHWNLDSMTFHHDIGSTRIIFTGVPLQKFFLAYLAGASPSVLMPSTEDNIGNDQT RVQIHQDSPDHTVGISVDLQRRIRGQDVMVFEDRSMGECLCCSSSRSRLEDVISIRR I203_06504 MSVIAHVDHGKSTLTDSLVSKAGIIASAKAGEMRFTDTRQDEID RGITIKSTAISMYFPLLKEDVDDIKQKTDGNEFLINLIDSPGHVDFSSEVTAALRVTD GALVVVDCVEGVCVQTETVLRQSLGERVKPVLIINKVDRALLELQVSKEDLYQSFCRT IESVNVIISTYTDPVLGDTQVYPEKGTVAFGSGLHGWAFSLRQFAARYSKKFGVDKNK LMPKLWGDNYFNAKTKKWSTSASGGGERAFNMFVLDPIFRLFDSIMNYKKEEIPTLLE KLEIKLLGDEKDLEGKQLLKTVMKKFLPAGDSLLEMIVINLPSPVTAQKYRVETLYEG PMDDESAIAIRDCDPKGPLMVYVSKMVPTSDKGRFYAFGRVFAGTVSSGPKVRIQGPN FVPGKKDDSVIKSIQRTVLMMGRSTEAIEDCPAGNIVGLVGVDQFLLKSGTLTTSDTA HNMRVMKFSVSPVVQVAVECKNASDLPKLVEGLKRLSKSDPCVKTWMGENGEIIVAGA GELHLEICLNDLENDHAGVPLRKSDPVVGYRETVTAESSMIALSKSQNKHNRLYVKAE PLDEELTKDIEEGRVAPRDDPKIRARYLADTYGWDVTDARKIWCFGPDTTGPNILLDG SKGVQYMNEIKDSCVAAFQWATKEGGVCEEPMRGIRYNILDCTLHTDAIHRGGGQIIP TARRVCYAAQLLAKPGLQEPMFLVEIAVPESAQGGVYSCLNVRRGHVFSSEQRVGTPM YTMKAYLPVAESFGFNADLRAATGGQAFPQAVFDHYALLNGDPTEVGSKLNTLAVSIR TRKGLKPDVPLYDHYYDKL I203_06505 MSSYETVTTGRSKRSTAGNRMRELLEKAHQEDDDELFKEVEDDE EFDAPLEVKDVYLEEFADTDEEVEEDEDAEERAIRREERQKVGILQAKGKGRAIYDPV SSLNKNRLKPSKPDPTAELLSDPTISLLDPSLDPSSMAPSTLVLAIRKQRREAKREQR SEARRSNLRASTLKTEKEILEKENQQKINRDKCPSRGKRKVVDDGPKTQDELIAAALE EEERNKEALRDWLKKEDEKRELRKIGRKRVKGPRLTWVSRTVGKLVEIIGEESVVKEV DRGKKKDEAGETEVPTKDVTVDGSSAQIQTTPSTSQPQETAKEDLPGISISEAANGSP TDQPGTTESNQPQKETTFDTPTQKNTIESAKSSEQIEKPLDDSLQQTATSNDTSERAT HDPKKPIIPVPPISQPTSTVPITEQDESKYTRNYLILSQIPGGLTEEIKLILGDHVEW DEVLYIPSRNRPINRKPPICPFTGLPARYRHPSTSIPYATKEGYNQIEALLAERYKYD VGGWWVGGEEDVCADGMEGVEGWWEAVNGGWLGGREIPEEEVPEQLEEVDIPMNIEFE DVKGKRKRSKDSQSATPVPATKRAKGKGRASVVEETPMILVDDTKKSNSKGKKK I203_06506 MSTPPFLPLVDPSGSTLLPSLALLGPVLIPATLVQAVLPTLPPQ ASYYVQAAESSDDLISFLDNGAQKIVVTPSQVEELAGQVPKERLILKIDESEITTYQQ LFSQISGIYLISSSAHTAKSLGLSNIDIFIQHPSPNPTELLESIKSSRPSSYVIPTEY LSTSPKTTSSHLSIAEAFLAPIISDRPDGLFPTIVSSSDYSSQPLGLVYSSKESVTEA IATQKGVYQSRKHGLWRKGETSGAVQELLSVKTDCDSDALIFEVVQHGTGFCHVPTQS TCFGNFTGLAKLENTLKSRLSNAPEGSYTKRIFTDEKLLRSKIMEEAEELCDAKTKDE IAFEAADLFYFALAKCISQGVSLKDVEGALNKKSLKVTRRKGDAKSKWEAKINGAGTA KAELPAGAKEGSKPTEPIPESFPEVDQSKIKMRNVKLSTLNKEEQKKLLLRPVLNSLA MIDKVKPIVERVRKEGDAGLKAMTKQFDKADLKSNVLLPPFQTPTEDQLPLDVKKAID VAYANVKKFHEAQSEKKPLEVETMPGVKCSRFVRPISRVGVYVPGGTAILPSTAIMLG VPAQVAGCKTIVLATPPRPDGSISPEVLYVAKLTGVTCILRAGGAQAVGAMAYGTDEV PKVDKIFGPGNQWVTAAKMLVQNDTDALVAIDMPAGPSEVLVIADHTANPIFVASDLL SQAEHGTDSQVVLVGINLTDKHLEEIENQIDIQAKALPRVAIAREAIKKSVIVLVNNE QQALDFSNEYAPEHLILHLKDSVKAVEKVDNAGSVFVGAFSPESCGDYASGTNHTLPT NGFARQFSGVNTLSFQKHITSQLVSGDGLKVLGPSVVRLAEREGLEAHANAVRVRLAE LNK I203_06507 MVERSTRPSDSFPTTKRSSKPSIWEDDPCKRRAKNLAKKESIFH GDRHLESKTREMLNKSGWGSKFSYSDRIRTTAGSGPSRGSRTTSTSSSKKSHKSTGNA RDTSSYGLGSDEEPRLPMHGRIGTFSGLMTPRHLERRRFSDGQIGIMSWIASSGHKSS RMRRHL I203_06508 MDADEFSSNTHTGPSTSIQLSRIDTNVEAFQHRDHPHLGIPASA HSQLSETSSGQPSPMRATVEGPLDPHMYQPRATKSTWAGYKLTATQVEPTHSSVSSSL SASAIDDRNRQLSREGTNANESKDGSKRQPTTADEMTRTSTQYSDLIPVNPSPFAPYG VTEPPCVSWIRSESTETLDDRPSEEIDPRDTNHHALRILRPSYYPEESRRNKKRGLNN HESSEYRDQEQTIDGSRADQGSGSLCGSFKECLRSFTG I203_06509 MLPSDPPKPSSRDFDPSTMTADDDVEMRSEDESPGIELSSVEIN ILIYLYLLESNFTHTAFTLLSESNLPSTSLFQHFNPSYPTPSNLNNGKSSRPNGATPM QGTSKSPVQPNFGRSEGRIERGELIRKLWKAVRWEEVERHVASNGEPYKPSCPNPFHL LVPHVCPPSFPSSESNPPLPLPEALRSSTPPPPPKRPEAFPPPSIASSEAGPSKTITA SGEIRNKRKARQPSSEATSRQPSPEISNSPAKFKSSDKEKDGQKKDKEKKKIARLSEG TENNDNNMDIDQEEDNTPIEKKEKEKEVKIQSPKKKDTLSLKVPNSTAGSRAQTPESR KPSPSIKSKDLPKDTQKNGGEEISGDGRVGNWVEHRDAVSCVAWNPKNRDVLATGSGD GTARLWEFTSSSSTSPTSHLTTLKKPGVIYHKSIESSKKNVTAVCWHPDGTMLATGSY DGVGRLFTPSGNMHGIMTYGRGAINALKWNPSGSAIIMAKDDFTVTKWGYGFDGKMDL KNSFDAHTKEVNDVDWLDDDVFASAGNDHTIFVHRSNDKRPRFTFKGHSDDVTKIKWS PPTSSGGASGTTRLLASVSDDGNCMIWKLPSYPEPSTDHCLHRLTVVGGSENKRMNTL EWSPLCENGRMLLAAGGQDSTVKVFDALSGECLHTLAGLETGTGSLAFSPAAFGGKLG ALAAGGWDGHLIVWDIESGKIMLQHDIEEDAKKQSVREQPMMLAIAWREDGKHLACGL HNKSVMVVNVDGLTVVDK I203_06510 MPAISFLPFLSSIPLGTRLITLTIILLSVIGQGLSYLALDNSPE PGAWGSQVPWLVLVPGKSIWYPWTFLTAGLVELGLFGLTISVISIPLACRYLERVWGI RELIKFSIITIVGSNIIAFGFSWLMWFVLGQQDALYGLPYHGLSGLQVGFLVAFTQLI PEHQVQLLGKFKVRVKSLPGIHLLISNVAVVVFGPSPAILIQFGFIVAWVYLRFFKLS ENGEFRGDRSETFAFQYWFPPIIRPYVAILGNTVFKVAVKLRLVQAWDAPMNAGAYSL LPGPGGARAEAERRRALALKALDARLASSSPAPGGSSSATSPNATSSSTVPSANVPPA IQATTTKSGAEAKV I203_06511 MSEPHYSHYTRRPSSGSGSITSPTSRMGMNGADRRILEASPPRP TPSGRMSLSALVNPSNPPSPPRVTQSAIYDSPAYPSGVGSSSSSRYGRPSVYDPPSLP ASSSTAAYYDRVYDEYESGFGRPSSYTDSRERGIRAHEGLPPNPTSSSFTTRDRERDI AGSGGGGSSSRRYPEYNSPSPTKSRYISPSPYLNGNGELPRPRSSISLSPIKPTSQPP PVIPTSSRAIRPDDKLLGNEDIWEVNLKKYQHLREDQVEEVHKFVLAYKPPANGSSST KKQPRESTLTSEEPLILPQMPGQVMDEASSNKGKPKEGKRKYNRKSKGGSGKAPGVNL DDELLGLAGDEAASSPAFPPSDAPVPDFGDDESHDEEEEEEEEESKDPMSIVKPCGLT RAEVISKIESNDISGLTEDDVKAVQDEMWLRMKEKEGGAPVNKDGTVRKKPGPAKGWK RIRGIEARKDKGKKSSVMDDMSEIGDASTVNGEADADIAALLGDEPPLPGGSSKKPSK AKAKKRKLDHDNEEIKFVDSEDDHTHGHRHSDGLIGEEWMDEDRSMRAGSVGGSSVIN EGSFTHTGSKKKSKAKEPGVGKGRWTRPSKPEKEEASTTVALATGTGANTPSGGHKGK KPIAIAPQPQPQLPGQLDDVNAMLDLPFAGSEIVNEAVPEKPPGPAPNSYDPRGVSEA EARVRLGLVEDLQKMVWSNVVRDVPKIYRVYQGYDTTVKQSASRRIQAAVRNGHGQRN LKLTQRNKNIRDSISKAKRVVKEMLVYWKKNEKEELIARKKAEKEALEKAKQEEEARE SKRQARKLNFLLTQTELYSHFIGKKIKTHEAEQAEGMEKPLEEGKHQDEELGLGDGGE ALPDIDYDDDDEENLRRHAARGAHAAVQAAKDKAAAFDQANAQRTGAAEEDDTMDGDE LNFQNPSLGENSVTITQPKMLMAQLKEYQLKGLTWLGNLYEQGINGILADEMGLGKTI QSISLLAYLAEVHNLWGPFLVIAPSSTLHNWQQELARFVPRLKALPYWGSPKDRETLR RIWSRKNQTFSETSPFHILVTSYQLAVQDEKYLQGMKWQYMILDEAQAIKSSSSARWK SLLSLHCRNRLLLTGTPIQNSMHELWALLHFIMPSLFDSHEEFSEWFSKDIENAAGGS GGSLKPEQLKRLHMILKPFMLRRVKKHVQKELGDKIEIDLLVDLSQRQRNIYKALRQR VSISDLIAQANNATDTSGAKNLMNLVMQFRKVCNHPDLFERADVVSPYMFGSFSQSGN LAREGDQLYLPDSARNPIEVNLPKIIWTDGGKVDLPSEESLAGSDTHVMRNLMNIWNE DWINQQSHINNNEYGFMKILDKSPSEISKSAKSHPLISLLNESTTKRKKVVDSPYIDE NDFSAPSSRKKFNVPVKVPHAASTDGIPLREITTQVWNQSFLSRPDARFASDHVIAPL IRPVILNRSYLNYQDHKLLDDPLTREVLYGLSPSEIDDPLAVKRLSHLSESDISPRGL VDSTPNDQLPISTLRIPPTKRLVVDSAKLARLDDLLRELKDGGHRVLLYFQMTKMMDL IEEYLIFRQYKYLRLDGSSPIGERRDMVTSWQTNPDIFVFCLSTRAGGLGINLTAADT VIFYDHDWNPSSDAQAMDRAHRVGQTKQVTVYRLISRGTIEERILKMARAKKDVQDIV VGTKSISDVAKPSEIASLFMDDEELAESVAKRKQAEAHGYIAPTLTTTRNGRNGFGFG DSLGGFDDDDDDDGFFKNPNKGTGNNEDEDFGDEPSSNGGTGTGTNTPKNDGGKKKTN SKRKSIPDGSSAKKPQKKKVKIALGPDGLPI I203_06512 MPVSTDFSSPVYWSNRFESEQSFEWLISDQDLLPFIEENLPQQF LLPYEDTTQNEKGDEEDHQQSAIRPEKTLNVLHFGSGTSSLGSSLQRYFDSAKSTYKT KARGKCKDKVQVYDSDYVPTPQSSHSSDVDIPFILLDVLSLQSLKSNTPEDQWDLIID KSTCDAISCGGALPRLTVDQEEEEENGSIPNPIERLLYNLSKVTKIGGRWISISYSSN RFDDEIYTRYGWKLIKKQMISTTYIPGGRIVKDPRSGEERVVHEPETGVWMYVLERV I203_06513 MIALQDPQRTFIVPSPSDDIPVTSTLTPSPSPFPTSSALSDDPS TSFSHGNGPTTTTLPMHGHKHHEGPLMYKAYVMPYSWYWIFCVFGLMVACHVYRQVKH GYRKRRYIRRMNENQTSTYKPISTNDETEEDENQPLQPNATTSTTTTLKDLGKGQPNV FRRFTTGLSASFRNKMYLTSFPWWLYGPETYMDALFTILYTTVYMYLCLHLTDSWFPL RNDNIANRFGIMSFSQLPIILLLVSKNNPISSLTGITYQKLNYLHRASSRICLLTSWG HAILWTPRVWEARDFRQYLLCGIAALFGFTMLWVTSFRFVRRMAYEFFLASHIIFTIM YLVGAWFHWRWLGQWVIPAMVIWIFDRLLRFAQVIYQNNFHKPSKWATSGDCKIELLD HDVMRITIRRDNFHWKAGQHAFISAPSISGLPHESHPFSIANVPTEMTNEAYFLVRVH SGFTKRLRTALSSDLTTNMPLYIEGPYGYPHALDSYSTVLLLAGGTGVTFVLGHFLQI LQNLRIGKSAIKKLHLVWHIRHSEDIEWIAPLLNQGIQDSLEVQSDIEITIDIYVTKT HSSDEPWPPELDIHLNDVNQALHSIGPRILQLREQEQWDDVCRTCTPVTPIVESRDEP ILLPKRQVVLGRFGLSAETAEKVTWRKGRANLSKVVKADVESSVGPMNVSVCGPVQLL QASKAAVREVSDMKSCMEGMGSIDFFEETLGA I203_06514 MSDQETEAVCKTSSPSTTTNTSDRSQGDIVPTKGKSRDDYHWSG DDTIFKEVVPEIPKRERRSVGKKEMSGGLRVKVIYIKLELVDDNDANATAAAASDGMA DHA I203_06515 MSTAPGPGMTFHPPPHPGGNNPWINFYPSRPSLQAQGSTSTADT SMDSIEEGEEEMEDSEPTYTGRPERKKKLTSQYDPSNRKQPPKPKGKGKAGTQSRSRS SSILNRQSTSTATSSTDAETDSTTAIFLPDPYQPPIQLKGLSKNGLLPAPPGCILDVN DLLILHPPEKHTEGLQGHTSQYEMYTCRVCSKTYDGKNARSVARRHLQDKHGVPLSVQ KRRSRWDYEPDRPKSQQDAKERSLKSKRDWINKHRQIQKLEQTHDAFLERFGPSGIIT PCGMRLVAPKYRGETEAPIVKNKRFLDGTQGNLIIPEGILRGVEAIREYKSVPRYSGE CDGPLPTDEPEVSVDMTEGKVIVKQGKGKKRSANVSGGSSLSASTSQSEIDTRSTTPL QALSSNVGLPGQQYYHQPATTTTPIPASRCLPPDPPQIYPWHNQPLQPASMTFLNGQY HFIFHPQYQQYPTPMIPRDLQVAHVAPIDEDSLPSSPEDIGPMPEQQWVPSGSWSSIQ QEPQQLEPALDITQQWQGLQLSSLPPNEESEEPPKQGTKEGSVETEGEAVAAESLLNL HSTPLRGPEENGRNDIQLARAQARLILPPEVLDKPSSGTHCTKDDSNSAWSTTLLSAP KIFSPTRARPVRLSSIIQPFRDPRPEITRSLSFDARPDLDDPFVLPDTPTRPSSANGS NRPTPFSSMRRKKRHTISMPSPSPLSSGFKKRKEAPTPTSPRPTTTATTLGIRSALRP ISTNISVKPNYTGAFATPIKPSGSSIGPGSAYPQSVTKEWLQFSSPNNADAAMRLGLV PTHFAPTTPGLRGMIGPDTPEMTVLEARAKKRRSEGGNTPGVGWGFARK I203_06516 MILEECVHAAIKVPVLGTDFRRNTQPRVVDLTKLFFVKCYDNPT SADTTTQSSIEACFDHCKTYANALFEYGGSSFGCVCSNTDLSSEGTAQQCGQSGLYYA YNHAAYSTPSTVERRRRRLERMKRDEQLRLNRFCPAGLDACIVHGSEDSFECIDTSSE LESCGGCLYGSYSNSTSSLGTDCSTLIGAAFGGTTCFDGRCQISACEEGFKLVDGRCQ I203_06517 MLARSLSIIGLLSVISLRSVSAVDYSETYLGCVTGTGTSGALAS PSVNTISDCNYACADAGYTYAYFQYQSAGSYCSCKNDGPLSSEITPAVSGSTNCGSAA ASVGNFSFAFEILALKVNALATDYYFNNCYNTISANDVTSSTTFEQCFETCTTYTDAF LKVSGNAYLCVCSNTASTGTTQTCGNTGTYFAYAHTATSSPSIIERRRRKLEKMKRDE QLRLNRFCPGGLQACVIPGSDDSFECIDTSSELESFGGCLYGSYTNSTASAGVDCSII PGAAFGGATCSNGRCEISACREGFQLVDGRCQ I203_06518 MQKRAQYRSSMNGSPTNTNTANCKWYNNLNIVSKTPDGSSMTLL NDTQFTIKYNKGHSVHEDNTDADTDTVITLLRGTEWWAPSLGGNRHAHLPSISSASRT NPPFTPSRFEGYNY I203_06519 MPSALNLNLISNAIVAICGVVVLGISAYVEHTTRKIGYTSSTYT YDAFVGAYTLIAILALIALRYGKPSFATIVNEVAVSGLLWVFWLAAAASTTHYTSADR AICKHIDDLFDLPEFEDAPADAIALAKKVFKSTCRDLKAQLAFLWIGFVLLTLTTGYL VYLGMKRGNSMWRSNLRSYDHDAHSHADPFADPVGSQRGPVAGVVDEDPDHKP I203_06520 MSTTILLPGQPLPSNLVTPPLPQCGPGCYAVEGRILASVVGVPQ RNGSVVSVIGREESGNTPDVGSIVIGTVSRLTTQQAHLTLTTSNDRPLPETSEEFQGV IRIGDIRLTERDKIKMGDCFRLGDLVKAKVLSLGDARSYYLSTAANELGVMYAVSEAG NPLLPVSYQEMEDELTGRREKRKVAKPEGI I203_06521 MSFAQVAKRSASAGLRKQVLISRSLRTSSPSSALSKFSMPAMSP TMTEGGIASWRLKEGDTYAAGDVLVEIETDKATIDVEAQDDGVLAKIIVQDGAKGIAV GTPIAVIGEEGDDLSGADKLASESEGESAPPQKKEEDAPKEQQQESKPAEPSESKTPS LGTPKDETKYGSGSGGKEAQQVPELPGQGDKPKFFASPLARKLALEKGIPLAQIKGTG PEGRIVKEDVEKCKGGASSSTATTPTSGATATPGKAAPAAPAEYEDIPTSNMRKTIGK RLTESKQQLPHYYLTVEVNMDRLLKLREMFNKAGEGKTKLSVNDFIVKAASLALAEVP EANSAWLGDVIRQYKKADICVAVATPNGLITPIIKDVGSKGLASISAETKALASKARE GKLKPEEYQGGTFTISNLGMFGIDNFTAIINPPQSCILAIGKTSTKLELAPEDPKGFK TVQVMKATLSSDHRTVDGAVGARWLKAFKDYMEQPLTFML I203_06522 MSDSEASSCVPTPTLTTYSPNDKRWTIDLNFPKKFAQMQRQPTS FHHSGGSIKSTTAGHKLTSTSSFSSPIGAKGSLQDPTRDTESIQGSPKVGSISRSNTN ESPINRFFKNIYHTAISTGSGSGSPTMAMASGSGESGEGTSAMSRSSSLTKKSALVEE KKKEYLDYQN I203_06523 MSKSILDSLPSWLPGQDLLHPHYKYASAGPALFEFDKKSLWAFV AMTAFNPIFWNTVARNEYRNKTITKIVGSPLVGTYLLAITIFSISAFRDHLFINAVKD QPSLIELDQSLVKLLAIILFVSGQTFVISSMWALGVTGTYLGDYFGILMTHRVTSFPF NVLSDPMYVGSALTHLGTALWFQSPVGILLAGWIWLVYSVALKYEGPFTDKIYSAKSK SSPAAKKSTSNDKTETYASVTSTSAPATPSRRSGRIASRKSLAGQSDVDSSDAEVKPV GRKSRASGVTQELQGATGTPGRLTRSRSKPRVVDDSD I203_06524 MSLSRAGPSLRPLCRRCSQLQRQSSLVIFPSARSLSTSLPSSRQ YARQQPFPVPSTAQLNPTIPRDQTVPRAPLQITVDDFNEKPSAHPYQIVSLLLQRVPE YIASPRARRKLNACGLDTRLVLYKIQNEWMKKVENDLGEYADNKNDQEVLQGLARNGW NGEDLIIAMNQGRIITTVESMALRNFLSWSLTLDRKDLIDDQLKIHIQSILNITDLTR LPYSSEFLSARSMKRHFHLHIGPTNSGKTYNALKALSKASSGAYAGPLRLLAHEVWER MNLGTVGELDGKGRACNLLTGEERRVVDPDSGLLSCTVEMLPLNGLNGKGFDVVVIDE IQMLGDEQRGGSWTKAVLGLAAKDIHLCGDETTVKLLHNLLEPLGDQITVHKYNRLTP LVVAEESLNNDWEKVEKGDCVVTFSRTNIFAVKKMIESTAGKKCAVVYGALPPETRAE QARDFNDEKGLSEVLVASDAVGMGLNLKIKRMIFESLTKFNGKKETPLSLTQIKQIAG RAGRYKTSSDSASNKNSDLVTTPDEAPAVGGLVTTLHKADLPILRELMKRDLPSIPRA NLEVPYNNLSELASLLPSTTTFGGLLEQFSSLVKVPKYTTLSGYEHKLPLADLLEPYR DQLSLAEIDLFCFAPVNIRDERAKGIFQELIKDFSLNGYVDLEDIFKSSRLITQLELV EETLRTLPPLPPVLGIGRKLLTPPIIISSIPMLETLHKSLVLYIWLSFRLEVSFPDRS KAVELKERTEVVLDNCLERLPGLRQRKHAKGERSKEVDRLVRDWRRENVMPNGTRKVE GVPRKGLTWIERNVAERVKQRKTWRNVRVVGEGEGEGN I203_06525 MIDHIANPPLAAATSEPPIQQSQQQQQPTLSQPIPAQPPSKSEI ERKLSFRSASAPSSRMHESPKKKKSTHPPPPHAPSGTYHTYSASGNESDSSSITSSTQ PPMIGSPNPTSPILTPATTSVGGLSAIAERKFGGEIIDEAELEDVEEENENENENEEV ESASEAEEEQGLQKGMEGERVVKSGYLWKKQERRKAWKKRWFVLRTEKLAYYKDEKEY SLKKVIDLHEVHTVAPVTVKKHPHSFGIVTPKRTFFAKASHQDDMEEWVRAINGVRRK LSEREEEERTKREKGEHHHHQHQQKSSSIPIPSNRERSTSEHVNDTTSPGTSVATSGS YFVNRPNQQGIASPQAIHAAGHVSPSLASGGVIPPSSPMDTTNSLASQMAKMSIPTRR TTSAQSVHSYTQAQSSSGLMAGKNPSTAGSVQSSRSVSGPSPSTTARREPSASSIASS IPAGTGDRPMALNLQSSGIGSGSNQFVVSSEDEDDIDLAEASQGRSVNDTIQSLPSTP IDPTKVILSAYLMKRSKGRGRKVWRKRWFVLTSQGFTYTKSHMDTKALRFIPLTSVLD ALEVDASDESLASSEEDRPPSHHNHNPFHPHSHQSHQSHIHAQTSTSPPAKQNFTTAM RGRLSSNDAAQSATPRKQPSLPGGSIEKHSEENIFRLITAKRTYVLCAPSEEDEIKWL AATRALLNQLRVTQQQQQPLSPTMNQGQGQGQGQIPTITQQPPTPAASLSERPIVTPT PQRSNSQSGIGSGESQGQPQPTPTTIQRQISSSSASGFGSVASGQTPGSMTRGRSATY MAKSAVADVVKKFHPET I203_06526 MSTTPEVEVASSGIDHVSIHSLQPEIIIIGAGVIGCALAYSLSQ SGRSVVLLERDLSEPDRIVGELLQPGGVAALEELGMADVLDGIDAVPVEGYCIVSGEE KVGCPYPLLEDMAGIHQDGQEQTGKANGISAHIDKKGKWHVDSQSGKKEGRSFHHGKL ISSLRRKVLNQARNVTVLEATVKDLVFCEHTNRVIGVSASFKPASTTSSGKGNVGNSD DTRESRPQPTGIVKKIYAPITIIADGCFSKFRLTPGTRTPTPKTRSHFVGIILEDCKL PIQNMGTVCLTPSGPVLLYQIGREKGEVRMLVDVKGKLPSVGDGSLKRHIETNYVPHL PAELQSPVLSALATQRLRSMPNTFLPPSIQGLSNHLSGAILVGDAYNMRHPLTGGGMT VAFNDAIILTRYLKPSEQLPSGRAGLEDWDKVSEYLREWFWERKKLSGVVNVLSMALY SLFGGADEPELEVLREGCVKYLGMGGERVAGPVGLLSALTPAPLMLFYHFFSVAFYSI FLLLIKGPPNSSLRSTKSNVVLNPLLVLLTLPLNLIYSIKVFWTACVVLLPVIYTEFR V I203_06527 MPSSPKNSEPSLIPPRGNTISPSPASAISTSPAIARSLARQSGA ASPSSAGAGGGSGPSIPNIPPRSGSISSQVRPNLSASFKSPVGGLTPPIARSGSPAQG KELQPKPSSDNLLGRKTSVSSGNTNQNKSALTTSLNSPSLSRQSSSDNIPRPTTLSRD NSGTSTPRKSKEVENDGGAGDSNFSNLADIPDEEKAKVLRRHLVSAEEREQSKTATPN EGGVGGTTPTKEEGPGSGSASVNASGVERAAESGVTSGYGSTEDNEQFPIPYDAVGGD VTHDLYKWQHSHRPDQPVRSASFSHIPLDRSAILDPTLAHIKEPGGFRRNFVSTRAAE QGLEAPNMVRNVVDFLFLYGHFAGEDLNEDDDLDEDEMEEEDEESYPAIGSSSGRPYN RRPFTAEADGDTGLPIARGERAPLLGSTKRGMSMTRHRRTKSGAASGGTATTTQALLM LLKGFVGTGILFMGKAFFNGGILFSTIVMLAIAGISLWSFLLLVEAYMHVPGSFGDIG GALYGKYMRFAILISIAVSQIGFVAAYTIFIAENLQAFFMAVTDCKTYIPVKWLILGQ LLIFLPLAMIRNLAKLSGTALIADAFILIGLIYIGFNEGSVLSKYGVADVKLFNENSF PLLIGTAVFAFEGIGLVIPITESMREPEKFPRLLSIVMFIVAVLFAGAGVSSYAAYGS DIQTVVIVNLPQEDKFVQAVQFLYSVAILLSIPLQLFPAVRIMENGIFSRSGKHNSSV KWQKNIFRSVVVVFTSLLSWAGSSELDKFVSLIGSFACIPLCFIYPPMLHLKACAKTR KAIVLDYLLIVFGTIVGAYTTVQTIRSLFEPGGDKPKFGKCEVPNGM I203_06528 MSSTHTTESSSIDSDKWYNDTSLVIRSKGPYEGVDYTLSQDAKF SLRDGQTTDDGKKTLPKGTKFSIYVSRNTQGQSQIYGDIGGYWGATTAPGGPSGIPGY NVTEVARPSPYNLKYLGDA I203_06529 MSASTGINIGSVNSYAWMEDPSLVQKSRSAWGQTDYTLNRNLRF TLLSGQTANNGNITLPAGTTFSLNLQTDDSGNVHISNDKSDVWGTTTVPGGPASVTGY DVRQQAKISPYNLAYQGEA I203_06530 MSRSEDTRNSLTSAAGLPWWQNTAIVDITDGGNTIILKRDTNFT FSPGFSKGNSTERRAVTLVAGMSWYAPGDALHLPSPEEVDHSRLRGFDRWEDKNFGLS GNDLVELQCQDGITTAGYDALGMDLSFCLNCIRSSKPGAKTSDQLFYWSNICDLAWRT STSAALDVLGKTYVKIPDGQVSCTNNALSAATIPIRPHATATANNNDSPQTNRQAIDT PTKTQEDGVAPARNIQTLSQNRGTTTHETDQAVSPLTTSGTGGQSSQTIQAAATPTQR QRKKRTKKCKNDGSKPIDIGFSKASLGLMTITVGAILSGIML I203_06531 MVRKTDQSSASTAPNSGHEETSSEASFELIRELDDAISVADTVT TGASPPSVTTAQHSGDGNIVFDSVPIPAGIVRRGSVDSTATLDAGTVTSSGIGSATPT TSSFVDVMDVDVSLSLSSEPWIETPSTDASSVHTGISTADTPIGSEDGSNVGARRHRR PVVEHILSQNPGDGIQLPKHTIRDSNSTQLGMDGNQRELVLAALSAHDALRNRSMGTD GVASSASQGIEINPPIYEGQLPDDPEIYNLDDLDLSPEARRRAEMRLEEQRLGIRIIP HDDVWPQEGGQSPVDTERDYASDPQEQEMKEEYDEDDDEGSVFEEPVDDEPELASAGT ETTVHINPIVEGQGFQLNLSSGTNSNSIYKNNKVSGRGKQINGDITDPEFFANFFTRR I203_06532 MSQSNPLTRRNPLSAPVSVPTTHPVHAQGKPFVESDADTKLRSH LEGPTGPPSNTNTAFGGKRRGGSAGKLGKREYAILGAITLAGWWTRMYRLGRPDSVVF DEVHFGGFATKYLKRRFFMDVHPPLAKLLITLSAWIGGFDGNFDFRDIGKDYIEPGVP YITMRFFPALLGLALIPLTFLTIISLRLSLATAILGSLLIAFENALITQSRLILLDSF LVFFTGLTTLFWVRFSNHDSEGRAFTRPWWFNLTLTGLSLGAVVSCKWVGLFTIAMVG FGTLRQLWLLLGNLKVTPRQWIRHFAARGLCLIVVPLTFYMLMFRIHFWILNESGDGD GFMSSEFQHTLQGHGMEDTFADVSLGSKVSIRHVNTQGGYLHSHNHAYPGGSKQQQIT LYPHRDDNNVWRIVNASAPDGPASYPWDDIPTEWVLTGTKIRLEHVITEKRLHSHDVR PPVSEVDFQNEVSGYGFPGFAGDANDDFVVEIAHRTRGRRDRTARHRLKALRSQFRLR HALTGCYLFSHKVKLPDWGFEQQEVTCNKNPTWENSLWYIETNEHAQLPYDADKVNYE KPSFFDKFSELNAVMWRTNAGLTERHAYDSRPQSWPVLRRGINFWVQNHRQVYLLGNP MIWWSSTLAIAAYLGIRGLMVLRAKRGYRDLHQPKIAFYDEVCAYLVISWALHYLPFF LMQRQLFLHHYLPALYFAVLLFCTVFDYITSAIRPRTRIQVAAVIMVLAVWSWNHWSP LAYAGPWTKGKCETGKWLKTWDFSCNDFHEDLGMYKAIPAVPSSKIGGDVVPEGDAIT TTFVEEAPEPIHNAFEAVDVPAEEKTVAPVGPQNEVQMQESTIEVPLDGEGDVGKAED TRAPVGNDAGAPAVTDKEVDEGGWHGGADEDMKHDHDDPEAVKTQPGDAPIGVGQVEV PEIRLDEEEKDRLIDDLLKHDEQDNQ I203_06533 MQPKAPAASKTAAAGKPLHKFYVDASVPVNDNVFDLAAFEKFLH DRIKVDGKAGQLGDKIQIAKEGNKLVLTSSIPFSKRYLKYLTKKHLKKNSFENFLRVV ATSKDTYSLRYFKVDQDEVEDEE I203_06534 MSDHSDQEDYDPSRDSQFFDWDAATYTVSPQVCATTDTTNPDEV DTIYLVDHQSTCGSLSSDTQRRKHDKRPDRLTRIHRTADRTIFPSFENWSSQLPYSEP GWRMDTYPRLSDEEKRILGSRILVPKKNDTFYELYNLCTGPSHHLLHPKGITRSHQGV ISRKTGVDWLTQTCTSRADCMESLRGLLSADDIDKIRKIRTEKNIGDTDKKSSGTKRK TRMTEEEEFQEAVAKIHRDH I203_06535 MSSKPQSKLLAGRVAIVGTGHRARLYTHSIAARPQLELVALCDT NSDRMDHHNGLLKEHGRPEAKKYPAEDFNKMLENENLDILVVTTIDFTHDLYIVPAVK KGIKVLTEKPMTTDVDKCKKILSAVEENNGSVQVLFNYRYNPVHWKVAEVIAEGKIGN VKSVHFEWLLDTVHGADYFRRWHRYKDKSGGLMVHKSSHHFDLVNFWINSKPSSVFGM GALSFYGTEQGKRSGWARNYDRARGSKEAEDDPFAIHLEDDKGLKALYHDAEHVDNYH RDMNVFADDITIEDDMSVLVHYENGVNMTYHLTAYSPWEGYRVMFNGDQGRLELECVE STHRTAGKKGGGAEGVVHGEKALKNEGHNKITLQKLWGEKEDVPYVWATGGHGGGDEA MLDQIFGPIPGQEDHKSPINRLSADQNDGALAMAVGLAANESFKSGKLVQIKELLGRQ L I203_06536 MSYQAVPLNDALPPPLPPKNAEASSSTHHRTPSDSRRYGPRVSA QPVPPTTTLLPPSDSSSGAGAPIEGGDQRPWGTGEHVGYAAFDGSPEADDWLHNPDPK RDGKHERGSLFTVRGATNIGCLALLAIGIIALFAGYPIIDFYTGNELKTNGAYNLGGI NSTGQVPLITNFPYLIDEDTPQDAYDRKGFDGEDYHLVWSDEFNKDGRTFFPGDDPYW TAVDIHYWPTGDFEWYDPSAVTTKDGNLVITMTQEPIHDLNFKSGMIQSWNQLCFQYS FYIEVRVSLPGNNRVGGFWPGVWTMGNLGRAGYGGTTEGLWPYTYDSCDIGTLKNQTN AEGTGPPAALNTGSDEGIISYLPGQRLSACTCKGEDHPGPDESYGRGAPEVDVLEGQI DLSVNLGELSQSFQVAPFDEAYQWLNSSKGAEIYDDDITKFNSYVGGIYQEAVSAVTH VQSNGYYGAGGGFGIHGVEMFSDPNNRDNGHITWVADGAKTWTVFPPAVGPSPSMQIG QRIIPEEPMYMIVNFGMSNGFQAVNWNQLEWPATMLVDYVRVYQREEGRIGCDPADRP TADYIARHMDVYTNANVTTWAQADLTFPVGYDLHRLVVSTNLYS I203_06537 MTAPRPSDEAESQDIDIERASTTKYPPITAADPAPSSSQTQENQ QFASIEKDQPHPQPQLQPQIPGEDDIPEGGYGWVIVGCLMAINATTWGINTTYGVYSS FYLANNYFDGGSTLNYAWVGGLSVATCLLCGPLANALSRWLGFRITMLFGVAGVVLGQ CMAGICKNFGEFLFCQGILFGIGLGLILVPSQPLLAHWFKKRLALASGIATSGSGLGG LILSNTTRLAIDRIDVKWALIINGLISLACLTPAVLLLKGRHKAVGARQAPLELKWIY HLGFLWIWLWAAFTMMAYFIALYSLASFATSALSLPQSKGAALQSILAAGQMIGRPLW GYFLDTGGRINLTIVCYIICGLSTLAIWLPAKSFGVLVFYALIQGMTGGTIWSVSAPL VARVVGVKDLASALSIYWLILVIPALVGQPIAIALLDYSQSHLGRQGAEAYYISIGLC GGMAMGATFLLAGAKRWIQGDWKILTRT I203_06538 MAITEFPSEIEPTKKRSLIAPSISSVPSRSSKRQRAPSLSSTPA PITRVRSPSSSEGPSTSPKKGADQKEPDHSVVRLWFLNHLSKPYPTLSQKETLATKAG ITRNKVDSDLTNFRRRAGWTDLMNRFCGGDRDKMKRLIERVESGREQREEVLKSVQRM KDYLGRKEEERVGDWVKEVTALTSSLTSTTGSTTSTSRLTLSSSDSSSTISHNRSITS LSEVSNLVKPTARSLSGSSSTTSSSLSDTSMTLQPTRKRLNPNAEVFVPNKRYAPSAT SSRQSSAGSASEVDARLVNPYDPSIWSTTTSIPLLPHMSAGTHNTWAMPRDAGFQPRI SSWSSNGSVSRVSRAL I203_06539 MSFSHLEQIAAVSESILQRCACPPSARPLTLVGLKPNLHIPEER WFIPSQENATIIADRSLFRAISLDIQDHLTTKRDEFFARYDATVHGLVSAELYGGLSD KQMEMDLCGLFENKFSRYCSQVRQTLRSTIHRVDAKGTAQHDIKKRVASFTDQTIKIL EAAYSRTKILSAAETAIIAKAAGITPHQVSESFFQNKRNRGSKRNPSSSANRPIQTLP KRAQQIKLETSPFSSSLVPIKRQVRGLPKRAQAQVSHNAPNSSILGSSLGNLPFSDES PSIGHGQPSHLENGNGERMNRSPSLTSTISNSSEISNGGFVSPFDAQNIPQIAIEWGT GILNVPVDVLEGGRLPIFNFTPPSPLNLNFNPVFNPDSNSFSNDMKSMFGGQVYDTPT STLSSFNPFAQQPNLDSAAGLESIESLLSSALSDPSSFEQFSTLAASPQISIDSLSPT SDTLESIPSIVSASGSNIGSPSWLAGNGEEGLDGGFFEALEGLLASQNNSEGALGSPF SESVYGGNTERKASTSSQVSGIISAEEGIDLSYIAGIPLPSSPTNESFDLAEFDVIAG PSFSHNKQITFTNDTASSSFTENDFLTRPPSGMCTPPSTTSVYPLITPTNTSESNQES LVEIDQSQWSWMSGVLPFDMVGMEVEMMELGNDTKVNGEEGWLMDSSNGEEMMAL I203_06540 MSYPTEKSYPTEKHLSEKDATVTSVIPEDQVAHLKGENAAVEDV ILAEQSYTEEEYKKLRWRFDLILMPIMMLTYGLQFADKVSLSSGVVFGLKTDTKLKGD EYSLLTVYFYCAYLAGQIPMSYIFQKLPIGRALGGTVILWGIVVIGLGLCNNYLQLSM CRVLLGWMECAVTPGFLLIVASWYKRSEATLRSCMYFAMNTFLGGCFNVIIYAIAKKA AADGGIAGWRAINFFLGSLTVFAGILVFIFIGIPSDVWWLNKEQKKMAHSRIVSNGTG DAGRHAWTWSQVKECFRDPQYYFIILFNLTATIPNGVLTTFTALVYTGFGFTALQSIL YQLPSSAIGFCVIISSAVTVTFFPKMRFPLAITWTLLEMIVFLYVGLAKTASKWQLWG AFSFSSVISCATFLVWAILPLNTAGRTKKSFTGASALIAYCTGNMIGSQTMRASDAPR YLKGLTGNAIVMAINALILLSWWIYLQRENKKRDQAYEASGLSMEEREYQNKVAGETD VTDRQNPHFRYLC I203_06541 MSITPTKDSKRSSNDDLPLDEVDGEVGHAVKITTHDEVFGDIKE DGPNYRNVGWLGAVVLLLKSQIGLGVLSLPFALMTLGIVPGILCLVAIAAIMTWSGYC VGVFKLKHREVYSVVDVGEIMFGRIGREVFAAIYCIFMIFVVGSAVVGASIGLNAVSV HGTCTAVFVAVSAVVGFLLASIRTLGNISWLGWVGLFSIVSAILTVTIAVGVQDRPAL APTTGEWDKDFHVIGHPTFLQASSAISSLILAYAGVPTYFSIAAEMRDPRLFNRAMFI SNAIITSIYVAIGTVVYYYCGQYVASPALGSAGALMKRICYGLALPGLYVTVTIYLHL PAKYIFLRLMKGSRHLTSNSPIHWAVWLSCVGTCAIIAYVIASAIPVFSSLVGLIGAL FGTFFCIMLMGGMWLFDNKSRRHTDTSFGYRALFFMNVFLVVLAAYLMISGTWGAVQD IIDSYNADGGQSAWSCKDNSNSV I203_06542 MTATNEKADIQHVDHFDATADDWTEKEKQDLYNGRIDPETAKYL DPTLVIDDDLNEQIKKIGSYRDQVDWRILPFLLLIYFCQTFDKATLAFSSIMGLQADT NLQGQQYSTLVTILYAGYLIGEVPVNRVIQKVPLGKFLGILVIIWGTIVCMHAVCKNF GGLMAVRFLLGFFESGVQPALMTLTTASRITHLSSPDRTCTYALHCRCTTDAMSTLRS SHTGTLCVSGLIAFGLTYVNSPTIYTWQALFIIVGGFTVVVGVLTLIFLPDSPMKAKC WTDKQKTMIIERLRINEQGVQDSRWKWEQMWEAFQDPAVWCYWVMQIAGFIIVNGLAV FANIIVKGLGFTVRQTQLLNLAQGGFSLIIYFGTAWVARLTNQTCLVLAGTMAIALAG TVVLLTVPVSPKTAPGLLLTFYFANFVIAAGSLLYSIVTRNIAGQTKKTCVTAMLFVT YGAGCIIGPQVFRAKDAPRYKFAFAVHIGLYALFIVMTFILRIIFMRRNHVRRRDHEG TEQPGAEHIDHDQAFADLTDLQNKRAFRYVY I203_06543 MATAATAVPSAPLKSQYEAHYDPTLILKHPEFKLLSDDAPELQN KNANIACAYNPAHEVHLMNKPRPKVGFGEAVVHVKATGICGSDVHFWKHGAIGPTMIV RDETGAGHESAGEIIEIGEGVKDLKVGDRVAIEAGVPCGQADCDPCRTGRYNACQRVV FFSTPPYHGTLTRFHAHPAAWLHKLPDNVSFEEGSLCEPLAVALAGLERAGTKLGDPV VICGAGPIGLVTLLAAHASGCTPIVLTDLVASRLEFAKKLVPTVKTVQIQREWKPEDV AEKIKEAAGTGLKIALECTGFESSIRAAIYSLLFGGKVFVIGVGPTEQSYPFGYCSAN EIDLQFQYRYANQYPKAIRLVSGGLINLKPLVTHRFPLEQAVKAFHVAADPKQGAIKV QITD I203_06544 MHIGMTDTLPDGQDGSSIKNDPNLPSPSGSYDLGLHITAVFVLL VASAIGVFLPVFMANNVDEKNSNGTQRDNGVRRVFFVMKYFGTGIIISLAFCHLLQES FENFSNPCIGTLAYRPTAPSIAMGSMLVIWLVDYFSARWASLRDKSPPLAQIQPSKPT SLPLTSTDEDSIHPTAATPPVFADLGCTHIHRPTSDEVDPNSQRAHWDVQLLEGGIVF HSIMIGVTLGAQTGGFEVTFAALVFHQLFEGLGLGTRIGALVWKRSWTKWLMCILYAI TTPVGIAIGIGVHQSFNENGKRELIAIGVLNSISAGILLYGGLCQLLYAEWVVGDMRD TSNARVYSALIALLLGVIAMGLIGKWT I203_06545 MSIERYNQPMPFEEAEKKDLPADTYSGPAGEEIRPREEETHREL KSRQISMIAIGGAIGTGLVIGSGTSLARSGPGSVFVAYCIMGIVCFGVLLALGEMSTK YPTKKGFAGHATRCVDPAFGFATAIIYLCKYLILSPNQIVAGSLVIGYWNQSVNKAAW VTILIVFVIAINTLGIKWFGEVEFWLSFIKIITLTGLILLGLIIDLGGVPGQDRLGFA YWKDGRAFKPYKVTGDTGKFLGWWNAMVLALFAYTGSELVAITVGEARNPRKTVPAAI KKTFFRIIFFYIFCILIVGMIVDSSSPLLAQAAKAGTSGGASASPFVVAIKAAGIKGL PSLINACILIFTMSAANSDQYVATRTLYGMAKDGHAPRIFTKCTKRGVPWVAFIFTGM FMGLAYLVASADALKIFNYFVNTVTILGGLTWVSILASHVAFMRGMKAQGISRDTLPY KAPFEPYLTYFSLFMICLVCLFKGFDAFMPFDYKTFITNYIGIPVYVIAYVGYKLFHR TKAVKMHEMDLTSGSREFFDIDDSETDEDLRYQSLTWKEKIVYQIKNW I203_06546 MSASTSSHIEPESSYDKSTPPVVNVQTLEQEEDLGHVPTSRRSK FKAVFKSLVTKDGWLGDYDYGALMIPNIPFVTKKKRELPFYAVDQKLPHLLLFILGLQ HALAMVGGLVTPPLLLAGPAGANLGTEAQLYLVSACLIWCAFGTAIQISRFRIPKTGY FFGTGLISVTGTSFAFTNVALSYLSQSYSNGTCPLAADGKTKLPCPKEFGAILGTATM TGIFAIALSFVPPRAIRKMFPPLVTGTMLTFIGAALVKSGVTNWAGGSAACATDHTLL CTAGTRHESWGSGAFLGLGFSCFITIVICEIFGSAFMKSASVFLGLIVGMVIAAATGF FTKSTITNAPSGTFLWMQTWSLSIRGVLVLPMLAAWAVIVAETIGNVTASSDVSRMEI TGETFMTRVQGGMLADSVMATLAGLATVPPLTTFSQNSGVIALTRNASRSSGYMCAVI LFLMGVIGKFGAIFVASPSSVIGGFTTFLFGAVATSGLRVLAYAKWTRRDRFIATVGV ALGLASLTVPSWFSYIFTYTGNSAGKKGLIQAVVLVVEEPYLISALVMCALNVTLPDE EPEAGSVSTIEEKKEWNEPGSLAGGPSSSTRPMTPELA I203_06547 MLKSSIALLLSLASLISAYQVEIHPEYQQGLSINDVPQERRLHW MRVANEAVYADGHPCPQAPFGSAIVNTTSDELICVTSNKVGVTGNPAMHGEISAITHC TEVLTKKGLSSQEILAAWKDFSLYTNGEPCPMCASAIRWAGFKEVIYGTSIRTIAENG RNQIYIPSSHVWEKSYSLGHATLMLGNILTNETDVFFAHQFNESAPCPTGCQRQSAPG KRVQACAPVDNWQETVRKAGKGLAVTEGRGHDEL I203_06548 MSVPTISLHDFDARRQEIINELMDASTNVGFFTLSNHGISPSEV QAAFDLSQQFFALPDEVKSKTALNGKNAGWEKNTQVRPSTGTADQKESIQLQFARMEG LWPSDDDLPGFRERAEKFMNQIRDLSVKVMACFAEGLGLPLDTFTEGTVDPGVGDSQD VLRLLHYHSTEGKTFGPNFWRAGAHADFDVLTMLFQRDGEGGLEVCPGRKVVGDFGMG QNWLPVEARQDRIVCNIGDQLMRWSDDRLKSTYHRVRLPEGQESRGPRYSIAFFNQAR TDSIIQGPQKKYPPITGGEFIAEAMAKNRMQSAEIAKKAAMESADKVASEIHFVPQHL QVGA I203_06549 MYIPNLQSILAAGLALTGAVNEMSVVSSGSIKDFAVEKCIDKDK NQRCSKPFPVTKSTCYNLKWSTDGALSHTTIEVRDAGSDEIVYYRDTDGEWTSGKNEL VYVDFKPKIAGQGNKTVDYEITTCE I203_06550 MSLPTIPHPPPERIAIIGAGIVGSHLASFLSTSLGSRVILIDRD VKGLPGSTGHAPGFVGQYNELPVLTELAKRSVSYYSQGGEDGFQRVGGLEISQGLEER AQAARSSGLQAELVNKQQILDLVPSFVDEGYLAEEGNAGLYFPNDGTATAIVVTHTQQ NKAASNGAILLDAQVISHTKRIDGKGWNLKTNLGEIEVGRLVYCTGIWASQLLPQLEH SVVSVSHPYSYSRPHPIREKKTPFVRWPKEHVYARDHGERDGLGSYAHAPIKVSREDH GETAYGNWEESFDDVLEKGYSLLAGDIAKSFDGEDTRNFNGLFSVTPDGLPLVGKVED GLYCAVGVWVTHAAGSARLLADELVGGSTNDDEWLRKALDPKRFIKYTSVQEKKDLES RSLAKYNDIYNKEV I203_06551 MADLTTTLPLTSESIHKAYSKIQQYVHKTPLLTNKTINSIASSS SSTSPSPKFNIYFKCENYQRIGAFKARGAFHAVTHLIDELGLEGVRKRGVVTHSSGNH AQALALAASSFDIPAHIVMPTISTPSKIAGTRDYTPHVYFSGSTSEEREAVVKQVIQD TGAILVPPYDHPDIMLGQGTTALELQNQSNSLKEDKQQQPKLKVVLAPLGGGGLLSGI SIYFSNQPEVYVIGCEPSYQGGNDGERGLKSVPQQRIEKVKTLTIADGLRTPVGELPW KVFTSESSMKSKNLENIYSVTEEEIKQAMKLVLERMKVFIEPSAAVPLAVVLHNQEFR QWAYEKQKEEGVSESGWDIAVVFSGGNTTIDAIVGLFGESQDTERAEGKIGKDGKQVA ENVAG I203_06552 MSNSHPAEKVESRSAVAATLNQVGLTNNEVITSVDTYVPPKRTW KSYIWSTLDVPKDEAKFLTKLDITLITSAALGVMIRYLDQVNLTNAFNSGMKEDLELY GNELNFANALWSAAYVFGQIPSNLLLTRVNAARYIAFLLGGPDWISLIGGEAQNPRKI LPRAFNSTVVRIVAFFLVGGLCVGINAPSNDPELLGAIAAGAPGAAKSPYIISMNRLG IPVLPDIVNALVMLSIFSTGNSAVFCSSRGLYTLALKGGAPSVFKRLNRNGVPYVAVT AILLFSALAYLSVNSGSVVVLNWFLSLVGAANLVNWTSIALTYMRFRRGLIAQGKLNN DFLPVRAWLQPLSRGWAGDSFVFTYGAVFIFAGFIIFFKTYDVLVKKKPLKMFIPAEE IDVTTGLEVIEALTAASNIQDEQDKKTMGRKVSDFFF I203_06553 MSVITSEISQIDTVKVDARWVDELLVAREGDDLPERARAKIQAQ RSALRYTRVETLRKTRSTKITPGRRKEDLHELHNEILTSNLSLPVVIGPTSFHCSSSM SISDDSSLVYGGGGLDMAVKEEVCWPSIAVFERQGKAYDLSEHDVKRI I203_06554 MATDDQDIDTSTSGKSFEITIYRQAEGDPSNWVPVKIPFTPWDD PDEWTFPSPEMPRCWPEFLKEDRVLQEKYAALYKSHHAWQKACFTEEDETKVIQMEGV SLLLTVSIIIPT I203_06555 MSGPAGSGHANGSTSNFTGLVSNLIQKKHSEDDSNGQLDLDGKA PSKGLPSTGLKRNTKEWKEANDDNESVSSSSSIPSNATGDDDGRPRPDQERDQEGAEG KGPCRHERYIPNGEANNITESPESSPMEENPSKPFPQSPSEDIQYRRQNGYDHPDHDD KHKEDETIKLTIHKDSHDVDPEERADRRDSERERKKTDELGRRPDVMSGVKDEEGPSS RNEIVLDPRISYLHVHQRREPTIEGVKFGPILKITPDGEGEYPPGETPLPSRQVSRDG PSTPRQTSPQRQPHSSTSRQNSTERDVPSSRHTSNDHDKPSRPISYSRSSNDIESYER GLSDTVEEGTWRDAMSQRQTKNEEDKKGKRRSVNTRFSSFRDIHEMSNNTGGESEDGE ENGHHEASKRWSMIRNRVIPSKSSTGQSSTPGPGKVSALAPAVIASIPVTTELFAGQL PVMIMKTWLDRDEDGHRAVPVLLGNLRFRVGDSVGLRPGGETGKEMFKLECEYGDGAV KWVIYRELRDFLSLHAHYKAANFGTSVAGLRASRRVEIPDFPRMSIPYLNKLEKPHKP VGKAEYAQASRDALQQYLVELIRAVIFRPESNRLCKFFELSALTLQLAPRGGFQGKAG FLKIPDWNASRRANQPGLAPTTWAAHRKPKWFIVRDSYFVATDGPESVDYYDVFLLDA DFTIERPKRYYRTGLHLLTSHGSTNRKKKSGKANVEGDNPNDIDLDNPFNRELIISSG EGKGSKGQSMHDEGEHHASQHTFTIGNSQRRLKLVAKNARQMHQFIVSMERIAAQCIW TGRNRFDSFAPLRVNVAAQWLVDGRDYFWNLSRAINMAKDRIYIHDWWISPELYLRRP GDERYRLDNLLKRKAEDGVKVFIIIYNEVSDKTTPVDSLYTKKTLTGLHPNVMVQRSP SHFQTGTFYWSHHEKLCVIDETIAFMGGLDLCYGRWDTSQHILIDDDHTTPDGPEGPV WRGKDYANERVMEYSNLDKPFEDMFDRSKVPRMPWHDVGLQIIGQPARDLCRHFVQRW NLLIRTKNHKRQMPFLLPAADFTERELQDLKLQGTCEVQICRSVGPWSMGTLTKIEHS IQNAYVKSIELSEHFIYIENQFFITSTIVDGVRIENQIGDALVNRIIRAHREDTPWKA CIVIPLLPGYTYPIDSGEASSVRLILECQNRTISRGTHSIFSRLRKEGIDPDEYITFF SLRGWAKFRSGVLTTEQVYIHGKTMIVDDRLVLCGSANINERSQRGDRDSELLAVIRD TDMIDGTMAGQPFKVGRFAHTLRVRLMREHVGVDVDSIDEDQLMSRESVADADEIETW DPDHEQQSDEEATAGITKIKARTARDRMMRTFHDGISSVTKGMSENAISNVRRAADKV IRPVATVVGGETIAHHAMDNGDPSERADYDPDEEGQETAGFASSMVPTLEEKTIFERR PSGTHANGKPLFDVLEESEGGHGTTSDGHGSPSLEEAKVPEHAKKDTLISDDNPSAKK AGAPKILSKPNETELYGTTANTHIPDEVKQSSKNDTEETSEKSPKDSQDSAAIKARKT LRKHLNARVQVSPWNMPTPTPKINPNRFHDPLDERFWKDMWVAVAVHNTEIFRKVFRC IPDDLVTSWAQYKAFANHAEKFNKTPEDVAAPGHDEPVKVTHDGPGTHGAGGGGSGGG HVDGGDGGGAVLGVGAGKSSDTRDSDVVDGKPHDVKHKRESSGKSPRMENDRPLSPSA TRSSAQGSNEGGVDKKASAPDEAWAEWEREEMEELLGEIRGHLVIYPTRFLEAEDLAN NFLFNSDKILPLPIYD I203_06556 MPRLRITRSTVVLSVVGLLFISFFIFSPGPEYDLNFDDYSDSDN SGIGGIALDKVRNQQKALNQWLSSTDPNLPQGLEYTEQGYLKGWEKLHDLLKDASNLK KKDKKRIQSLIKVHPIVELMQKGEERWANLLERQSKTLPQAVTEYRRRYGRAPPKGFD QWWQFCKRNQVKIVDDYDQIFRDIEPFFALSPEMFNKRVNDLTETQHVAHITLSPDGP SSLFGERKISARPRLLFQLLEPIAQYLPKEVTFTLSDHDLGSWILGDDQKQAALQAIS EGKYLTVAELKQLEKREGRQPVKGLVSACPQGSPGWERGLAIKEGRSTEEWDPKDDGE MSFIYDPLPTYDFCSNPSLLKLHGSLSFDFCRETILRPIFQLSKFVRNPEFLTTPLEA YDNFTSAEGRKKYVPWEQKSISKLFWRGSTTGDSYSKRKDYTWHQSHRPRLALMTQDG QVGEKQIWVKARGRTDGGAWNKESWSINRLNEAYMDIGLTGGPHQCKKEDGTCDEMAK EIQYKDRVRPEDAAKYKYVFDIDGNGWSSRFHRLIMSGSVVVKATIYPEWLSDWMTPW VHYIPCKIDYSDLYDIMAFFAGPPDGRKGGHDELAQKIAEQGKKFGEEHWRWEDMQAY MFRLMLEYSRLLADDREDWSYQKTYD I203_06557 MSGPKQNRRDLTNDEIPLFELLKSTLSGLDDINVFAFSGRIPLK EDEKELLRSFPLSDLDAQEIYDGAIPYEKDGKPLGGRILTAEKFGLIGVPNIPSWVLN RVSGQYSSPIEYRLEGLFALGVGDTIVLPNEYTGGELVISKPENTEDGVVLSSTIDWS SIDGEEWHIKYLNQQVGKDVIKQRLLGIINDGSTLKEGGRIGFGLNGYYDNWVEELEK EDEAANEDIDEFEEQEEEVEANDSETEEEQLERWSKKYQPKPPRSITSEEEARLISEL PGKLMGVDKLLLDTLSETGLNWHFEGVYSSPSDDEDDVDEVKEEVSEPDVVDGDDGGA VEEAVEGNEKLEDPSKQTDMWTSTSLYAIQGETISDSRSVRKALLEKGVKKDDNICWI NIPTYYNNECWYKHEVNKEDQDESDWDTAVYNTVSVGVAIIIELPSKV I203_06558 MNQPPQYSSYPGIGQWGKDNLHYSQTARIGNVIETSGQGGWDPV KTEVVIPKLLDEEIDQAFQNINFALTTAGGKGFSQEVDAESSANIHAAWYDRLAVPGM RVEIEAWAYVEE I203_06559 MQLTSTVSVLSSIFVTTKYTLNDLLIDASAPAEEIHELLKKTGA IVLKGLIEPEHVNGMMSDFKPHLDALGDSGAGEQWEESFFPATTKKIPGLATKSRTWV DKVLLNPVLGGIADFALTRCTKTTISQPGQWSTCKPEINLCGLLEIHPGGQRQDLHRD DALFHQKVAGTEEWTPRREHSIVTLLALSKVTAVNGGTLVIPESHLKGDDIPPPVYED CLTVEMEAGDCIVIFGSTYHAGGTNQTKVGEPNSVRTMCVTGYKVGYLKQEENQYLQH NIEEIKKLPIAAQKILGWDLAIPYMGYVNWLHPLLTLGHDPKGVPQDDDLFYDRQQDS VVVAI I203_06560 MSHQLLADTSNLPHPNAAVSSNHPVAGSGSDLRSFGDRMSEGYT ASLFFHGISFVSSPTLEAFLLAKGEQETLSNALVEATCAIGAAKLAFPEDETGISSSR QRLDISKTIMSTIFNLVASEAATSSSDTDRQEKVLILIQMLMLRDAYVGDPDWLKAYR NSSQKPHIERFLTYLTVYETLGALSAKQAPVLLSCPNSLWLEDLPCAQEVIHADFGFS LPTLFLIANVMVYGFHRSHARIQQAGSSILELLVEVTIWTGSMINLLHPLLMAGEVTS RSQRHSLELILETAREKADTADVEIIHQASVLLKKAMHVPKILTLARHILPQVIKECW QRRDNGTGEESVFEVMGCTPPTNIL I203_06561 MSSTSQDKVLTPSTKTTSTNINILETGWGDISDSNGEVDVGDDI LHNLGYDSQLNRTRSFGHIFSMTVTCLAVPYGMGSALYTSIIGGGPATMIWGSVLACF FYWMQAYSLGELAARFPTAAGPYYWTYQTASPRMRVFLSYLTGWIILTGVIIVSLSVA FGLAQHLVATVNITHPDYVAPQWVYILICYALLLVASSSVILKPDLLPVLDKINFVWT WTYQLVYIIVLLTTAKQGRRSAKFAFTHYEPTYSGWGQGFTFFIGLLSSAFANCSIGL VTAMAEEVKNPSRNIPRAMTWTMPCSMILSWAYALPLTFTLPDMDVLLEAPGGVVLPY AFKLIIGNDAGAVIMTLGIMGIGFFCLIAINTTASRMLWSFSRDHAVPGSALWSRTTS KGNIPLAIGQSVAIQSLLCLIDLGSRLAFNSFVSAAILAFNFGYAVPVLCNLCSRRRA IKTITFSYSKQIGRYCNLGQLTWTCLAAVLFSMPVGLPVAAESMNYAAVVLFGFITIT TIWYLVYARKVYRGPPQVASQVETDL I203_06562 MLPYFLLAGLLTTVKADYASEAADSDSCAPSTPESYSLGLHVAS VFVILVGSGIGVFLPVLMGPTSDGTQNVFFRRTFFVLKYFGTGIIISLAFCHLLQDSF ETFSNECIGELAYEPTAPAIAMASMLVIWLVDYFGARWVSEIQHYPETVAEAPTAEVT PTSDSKPMFQDLCCESGCRPLLELDPASRRAHWDVQLLEGGIVFHSIMIGVALGAQTD GFEVTFAALVFHQLFEGLGLGARIGALVWVDTKGGVAWKKWLMCMAYTVITPIGIAIG IGVHQSFNENGRAELLAIGVLNSISAGILLYSGLCQLLYAEWVVGDMRDTSNARVASA LTALGLGLFAMALIGKWT I203_06563 MSAGESSTPVVFDHSHPAKPKKKRTNDECYWEPREDYRKPLSRQ QVQALTTRVQDLERLLREHGLDPGTSGGGGAAGSEDEEGEHKKDKDKMEESTGDLRSW SQDHLVEGEKGELQVHGPTSAFRHIGKYSNERVNRSDMSPQSPDPLPYGFSRYLPSEV YMTQEQHDQAIDRFFRFYACWGQRTNPILFRQDLHTALYTDNHHQQTKTPHYSPMLHN AILAIALGISDEPYLRASETRRVFAKKAKDLIDDEGMNPTVATVQAFAHLASYHSLEA EHNLGWLYIGQALRTSLALGLNMDDTRLLKKGNVTSIQARERNVTFWTTFIQEGLWAP YIGRSISLPEYTALPPTVDEELDQLVWQPEDPPTGEDCTLKAQPGMLSTTFCHTVKLM RIGERIMNTLYGIKADMSTLIRTGVISEISLSLSTWLEGLPPSLTFHNHAPKNALPHI LMLHLSHAWLAILLHRPLYRPLAPLPNGSNNDNAIPSGTSTAAWAVKQCDRAALHMIT LLTTWHRFHNLRFCPPTAIQCCFIGGTTHLLSLASAQSPKRQMESLSRARECIKLMKH MAVSWPAAQHQQILLENLLSEYGISQGSQRLTQQIDRMNLTKDEPGQESRQTAQLPLA TDSGNLDHNPTSFPPILDQPNLYHSQNAEIAINPNVPIPPNLVATPLNATLAPSTGVI NDLNAWMGLGLNGEGVGQTSYPSNLINPISSSFDASALPSIINSLLPNQNQYANSMFS NQSNQLDHPTWDSKAFELDRDTQALLDNILRPHLDVEDPLQYDFGSSF I203_06564 MVLIIDSFQRIRKVLIANRGEIACRIIRSCKELGLTSIAIYSKA DRSSAHVRSADEAWLLPGNDQTAYITEEDVLDIARKSGAHAVIPGYGFLSENDGFAEK VEAAGLTWVGPSSEVITKFGLKHTARELAVQAGVPVISGTDLLNSAEEALVAASKIGY PVMLKATAGGGGMGLQICWNESEIEAAFHSVHARGATLFKNTAMFMEKYVAKSRHVEV QVFGNGLGGAVHFGERECSIQRRHQKVVEECPSPFVHSRPEMRERLTSCAVSLASNVC YGSAGTVEFLVDDTDGSFYFLEMNTRLQVEHGITEMCYNVDLVSLMLQQAEMQARGKG GLEVTALKALQKDSPTGFAIEARVYAEVPSRNFAPSPGLLQHVEWYEADGVRVDTWIQ SGTNISPFYDPMIAKVIVWDRDSHDQATDKMLATLTQSKVQGCPTNFQYLAAIVGSNA FRIGDTTTAFLTSDHFKFSPTTLDVISGGAYTTVQDLPARKGVGNGVPESGPMDSVSF RVANLLVGNGENREALEVTLVGPELLFHAPAIVAVTGGVVDVSIDGEKVDMYTSLLVP AGKKMKLGMVSSGCRSYIAIRGGFPTIPVYLGSKSTTTTLKLGGVQGRHLLPNDSLDL NPQTEEWAEHYKPITIPQIARLDSLWKTKWELYTMPGPHDEPDFTTEKDRQTLYETEW KISHNASRSGYRLKGPRLQWSREDGGEGGSHPANVIDEPYSYGGLNWNGDDPVILPVD APMAGGLAITNTIVRGDFWRLGQCRPGDSIRFKRISWNSALLLRRRTEEYVSQIKRFI NGQISSEELKTINVDLPEDWDETILHHTPADPVKKTIEVKYRQAGDCHIHVTYGPMIA NVLTRVHIQHRLNKIDSGEVKGVVAVIGCARSYNVQFDPLVTTQKEMLARLIALEEEL ESASHSPLPSRLFRFPILLDDPLSKKAIQDYMATVRDSAVYLPDNMEYIAKANGVKDR DTALKSIVTCPQLVVGVSFLAGLPFMLPLDPRLIYVAQKYNPVRAFTAEGTVGLGGPL TVIYPMESPGGYQLWGRTLSTWDPHAAKPGFDHPWLLREFDQIQFYEVTSDEFDEVYE QFKTGRLDFDVEETTFDPASYEKFIDSIADEAAEFVKNRNLATKAATQEENRLVQVWR EKQAQNTAEGGEEDGSEKEGVNVIAPMTSSVWKINVNLGDTIKDGQVVAVLEAMKMEI AVRADASMDGKVVKKIVSPPGTVLDPGQLVISLAA I203_06565 MVNSTSSSSHQLQRVEINVDCGEAFGYWQCGPDEDLMPLIDAAN IACGGHAGDPVTIRRTVALAKKHGIKVGAHPGFPDKVGFGRRVLAMTSEQAYSEMLYQ VGALKVFLDEAGVPLNHIKPHGMWYIMMQNNEELNDAAMRAISHFKVPVYGMPNTLHE SGARKYGIPFIPEAFVDVNYDSEGVLLGVPGSRKMTTEDIYQAALSLGKKGLVAAVDH SLIDVGVKGSPFTICLHSDLGTCRENVAAARKAVDEINKELYSSP I203_06566 MSDIEKNITDYPASGSMETQTVAVPEGDKYDINNPEYDAVFGER KEGAVDYRSMGWIKATIVMLKTIIALGVLAMPTVLSATGGVPGALIIVIIGLITTWTG HVVGMFKKNHPEVYSMDGVGYILGGKWGREFFTIAYPMFMIFLSGSGFVAISIAFNAV TAYATCTVAWVVVATVGTFALASIQTLNKVSILGWIGFVSVMAAILIITISVGIQDRP SAAPQTGPWDKNIAAVNHQGTFLGGMGAVSTVVFSYSGTPAFFNVVGEMRKPRDYDRA LYWCQSIVTATYLTIGIVVYYYCGQYLANPALGSAGVIIKKVAYAVALPGLFVSVTIY THVGAKAIFVRLLRGSDHLTAHSFTHWAVWLGTVAGCVTISFILAEAIPFFGDLVNLI GATLGTLMCMIACGWMWLHDNLPRRKEDKSLYYKMLVLLNVGIVIAGVFIVITGTWSA VVSIRNSYSSGLITSPFSCADNSNSS I203_06567 MAEKKDDTIVGGYAEPFVTRRLDSTEYTGTQRASGLQRDLKSRH MAMISIGGVLGTGLFLYTGDALVNGGPLGLLLGFIFMGSVCYSVMICLGEMVAFLPLP GGPIALAARYVDESLSFTMGWFYWYTWTIFFPSELSALAVLINLWESSINNALWISIF LIVAVGINMLGAGAYGETEFWLATIKIIMITGLIILGVVISAGGGPSHDKIGFRYWRN PGPFVQYMDIPGSLGRFLGFWAVLTQAAFAYVGSEIVAIAAGEAKDPRRNIPRAIRNV YIRIILFYLGGTFVIGLTVPSNDPRLALNAGTALASPFVVAIHTAGIKVLPSIINAGL ITSGLSGASSELYTSSRALHGLAVNGHAPAFFAHVTSRGVPVIGIATCAIFGALAYMS LGSSAGVAFGYFAALGSAGGLLMWWAICFTHMRWESGTRAQDIDRSSLPYHNPLNKYG VAGKYAMFFISLVLFFSGWSVFYTDSWDTGTFCTSYLPIILFPCVYIGHKLLRTTRLI RPEEMDFNVVIEGVE I203_06568 MLSKDLALLSLLLPLGVSSYVLPRDSTVKLDVTAGDKRPIYDAA PVGLSLEFFAFPGYVQDVASTSQCFANLDAASQSQTRVRIGGTTQDRALYDATLTSPA QFVIPTPGGAPLNLTYGPSFFDLAEGLKRPVVIGLNRRLNQLNNTIAAAKQAVKTVSG LFALELGNEPDLYTATDPIANNQSWTPALDAKIQIDWQKAVSSALDKDDIVEAGVFLE PPKFSVQELAPQEQGNDTLHIVKTFADHAYPQSACGGSKTDLATLMEHSRVKAFVDEF KPEVEAAVAVGKPIVFGETNSATCGGGGISPTFGAAIWLADYALQAVNLGYSRLYFHQ GTIGNSPYSWWGATKVFSPYYGALFATEALSGMSSISALDNGTTSLAAYGLYAENENV PKKVVLINTDYYQNTTTTGRPSQTFSLSGLGNNLQSVNVKRLTAPYATSQQELGQVPT FGGVSYDNSTCNAVGDAKFEQAGVNNGTANVKVYSSEAVIVYIS I203_06569 MENQATFKLVLCGDGGTTTFVKRHLTGEFEKKYIATLGVEVHPL TFHTNFGTICFNVWDTAGQEKFGGLRDGYYIQGQCGIIMFDVTSRITYKNVPNWHRDL ERVCENIPIVLCGNKVDVKERKVKTGNVTFHRKKNLQYFEISAKSNYNFEKPFLWLAR KLVGNQSLEFVAAPALAPPEVQVDQALIAKYEEELKQAANAPLPDEDDADL I203_06570 MYFPDLSPTEMVKPPELTMLDAMNAIQMMDPKMDTGASDLQERR SSLVYSPAASLSSADLCWTMDNMLALEVAWYRGATLCQSVYTALHYHNPHHLAGPPQG TDTDHRSYLVHLVLRAYVLLYCKSIDLAYTEFAKGHVRDGEDCWLDHYGVAVRMSDPV EDVVNLANEALEWLEHQYSPVSYHWSDQMSKRLIHRRNWVQYLASSSETSTSCSPLLR VMRIASDGIELITDSSDVAKAAFDSSIPSYLRQHMPLPEFEHPTQSLAWKDMKVIVDE LINVEAIVSQEDSWDTWTTRFDFACDQVVGGRHGNDRAVRMLIFEETGYSNAAVQLYD NVTNGGNAAVDHQINIWKNLVSSYLTATMSTFLSNRSRQFRSFSILSASWRERAAMGE YLSKYRDLSEITQVVHAIRLDCLIESNLAALDSDLVTSLDEAELWWWMQQVTSSRSQL CQRSQSWSSIWAKLWLALSSAICLLLTIHPIHDGGPVYSDARFKLRHKHTLKAIYLSD GKRAKSGLTPSFQRFQMDQESLKRIQPTKSLNDALLKLNEASQWIRQIIEMKREDTVD LTDFYVGKTVNQRR I203_06571 MAVATARSPSPAGAVREVKRLKTDHTSTPSQQVKSQSTPIASDN AQPNGSDDSDQPNEAELVEEQMSLPIEFPKTYENELNYRDKLVLAPMVRTGTLPTRLL SLYYGAGLVWSPEVVDKAIIGSERTVDPVTGVITYHKGQGPIFSTHPVEKPYLIFQIG SSDPELAVKAAQIVQQDVSGIDLNCGCPKPFSTHSGMGAALLSTPDILLNILRALLDS IPLPISCKIRLLPTQPSTLFLVSRIIRTGIRNLTVHCRTRDMRPAERALWERLADIVA LGKRRGLPVICNGDGDGWTNWEKIRTETNADSVMLARAAERNPSVFLPTGPVCNMTEV VPKLLAIAKHTNNPWGNTKFLLTQFKASPPPISNMSKQERKKAAEIVSKSKTVEQVAE GLKIPIDNVKDVFDAIVKKIAQRDRADTNIWEERHEAKVNGQVIDEPATVDGEAEVDG FEVGVGQAQS I203_06572 MSGPPEESRRNLILAKQREREAAEHQRQKDALLKEAERDHTVNK FIGVTENLDERLIKTTVGLVTLSEFQKTKDDLEERQRQLAAQVAADKGTKGVVKPKKT KKKEKSKLSFADEEEEDASVGDKRARDEEDETSNRKKFSKNPTVDTSFLPDRQREERE LIEREQLRKKWLAEQEKTKAETIEITYSFWDGSGHRKSVECKKGDDIGTFLNKCRQQF PELRGTSVENLMYIKANLIIPHHYTFYDFIINKARGKSGPLFNFDVHDDVRLLADATV EKDESHAGKVVERSWYNRYKHIFPASRWEVYDPDKDYGSYRVA I203_06573 MSHQYGNHYADPYNQQQQGYYHNNNGYDETQQHPPPFQNEGYTY SNDSNPYGEEPRYPSYPADPSAGDYQPNSSTEKMNDEVQYQENTQRAQPGRSGLRRPP VSIAEMGPPPRSTGILRMWRKDERGKQWSRGGGVRMSLRLCCCCFTIGLILVVSILLS IILYVRPPSFALNSVNIGSSPVSLTTNGLTVSFDLSISVANPNWFNADFKEITATAQY PGNNTNTFGGGTLYNLNFVGYTQSTFDFPFTLNYTLSKDPNQVILKDLISKCGISGGT KQDITVDYDLYLKLKILGVTVSPTISNSASFECPITASDIQSIIGSDSLSGLIGS I203_06574 MSAPSSFTSREALSERRLQDPSKPAPQRKKRVIVTGGSGKLGRY MVREMVEHGWEVWNLDVSPPAPEEAKVAKFVHVDLTDYGQVIAALSDLDSGYKGVDAV IHLAAIPSPSRAPNHVIFHTNIRQTYNIMEAARVLNITNLAIASSETVFGMPFYPHIP ERLPIREDAERPESSYSLAKLLGEKMGEQYTRWNPEAKIINIRLSNVMIPEQYLDFEN WQNDPWTRAWNGFCYIDARDCSQAFRLAIESSLKGAHVFNIANADNAFRVPTAELMKK VFPDTKWEPETDNPREGGISIKKAREMLGYDPKYDWQSEYERLTKK I203_06575 MFNLIPIISTTFIPLVSLSSLTIYLSTHPASPIYTLFQKRIALP THQDEDLNERYDILEKDPFNIEDPVVCEDGTPVDPETFWASMRRRKIGVLLLMIPPLI CNILLLIFAIIAHTPDQDEKTRSIILPSLLLPSQIVTLYLAYCHISQNETQPHWATTV HLSIDIFIQFMVLAFLALLPSTPLPSAPTGLFASFARIDVFAIPHFTPVSLLKMLLPI LYVLPLLTILSVRRGPPLYLPLDAIYPSKIIEAVPSGTESLDNSKPNVSAEVQANVPE WLLFGYATPVIRKGYYSDSLDVWDLPILPKSLRALVQYRHMRGIYGRPKGRFGRKEGF NLLWKVAKANSGLLWAQTLLATATAFGYYIPHYILLKFVEYLENDPTRSTPAWGWLLC FGLFMSNAIMFIATGVTWSISSTYLQARIKLQLNTLLFSKTLRKKDIAAAGDDKGQVG DVKAEAAKDQKKKEAAGEDGPEDEEAVSSKTQIMTLFTVDVDRVTDFVFHLFAVVDSP LELLVASVFVFKLLGISALYGLLTAILSLPLNHFASKIVVRAQENLMKTRDQRTALMN EILQGIRMLKFMAWERSFESRIKTIRKNELSWQARNYQIEVAFNCIWALTPVLVTVVS FLHYTLVRGKTLTPSTAFTSVAVFAELRYALNALPETFIEALQGFVSCRRIEKYLSLA EVAPIDENDGQGDIVLSSATYTWPRDDSAVPVNQNGSSRSTATTPKNAFTLADLSLRF PKGKLSLICGRLGSGKSLLLAGLLGEADLLAGQVICPRSSPDSMGYSEKEISDTDWII PNLVAFVPQQAWLQNASIKDNIVFSSPWNSQRYQQVIEACSLTTDLEILEDGDQTEIG EKGLNLSGGQKARVSLARAVYSRAGVLLLDDVLSAVDAHTAHALMANCLQGRILEGRT VLLVSHHTALVSPGAAYIVALENGDVKFSGTRDDFVASGLMAKLDEEDLRAKPTEGEA KEEKLVDETALKSTHKSVISLSGATGSDPGSETSSLAPEDETTLANSTVDVKAKVPRK LIEDEKRARGRIAWSVWKTYFGALGGPFWWFFFILALAMAMVVPVAEKGWLEYWTGSN QSTAQAGHTAQYFVVGYAAITIIGVFASNFQYAIIYLGSLQASKKLHNAMLESVLFST LRFHDTTSRGRLLNRFGKDIEGLDSSTADNFVRSLTLGLNVVVTFISITYVGGLPFIL AGSVIMVIYYQAGSIYGQTSRDMRRLDSVTRSPLYSLFGETVSGVAVLRAFGASTISL KHMMRLADTNVLAFVWSWTVNRWLSARFNLLSAVLVGLTAVAVLIAPGVDAAMAGFAL SFAGTICHDLLFVVRRFVQLEQSMVAIERLKEFTDLQREAAEFVDPRPPASWPESGAI TVEKLIIRYAPDLPDVLHSISFTVAPREKIGIVGATGCGKSTLALSFFRFVEATEGTI VIDGIDISKIGLTDLRSRVTIIPQDPTILSGTLRSTLDVFDEYDDADIYAALRRVHLI KDDEPLGEGVDAEDEEGRNKNVFRDLSNPVSEGGDNFSSGEKQLICMARAILKRNKIL FMDEATASIDFSRTIREEFSDSTILTIAHRIHTIIDFDKVLVMDQGRIAEFASPAELL RDHKSKFYALCKATGRTEFKNLKELAMQAERKKRRS I203_06576 MTTADTDTLPKAILYSWPTSVWSTVPQLCLHEKGYSSDEYIVKY VDITKGENFAPSYLKINFNGTIPTLVVPTLETTGNDVDTRYRSLKDTISICDFLDHAR SASSGHIQHAEKPAPTLAPATIEGKGLSDEIINLIHVYTVDPNFVAVAARDEAELRDK AKRPPGKSLAIRREALQRYLEEARQAVAESAVAPKEGSLTWEQKSVKFLEEKVQSNEQ IWELYNGKAGKEKEAQFFEVCKRTWTESLPDAFQKLESLFKGPFALGDQISLADLHTV SWITRLISIAGGEPDATGIDSLIPHLGGYRFGPKVRKFWEEWVERESFKDVLVPACGA FKNQGIEDAK I203_06577 MRASLLVARNLHRSRALSRIIYGSGFPRIGTSIKPNIPRSSGPS SSAKAHISQASPSNQARHAQVNAQLPAPTDLLELYRGLVASGRLKWDDDQVRCVMKLR QLLATLEDYSPPIDLVAKLTPSAPFIAQDVKRKSSWLKGKEKAGEYLGIEVDSGDEEK KLVKVLSGEEELANLTTPKGILLTGPPGTGKSLLLSLFFQLLPLPKRRVHYHAFTLSL YRQVFLELERRKNAPSIVEELMRKTENMELANKKGWRTVFANGRWDEEGNERSWAKEE GMAFISDFDEFQLVDASSAALIRDVLSWYWRLGGVVVACSNRVPEDLYHHGVQRDRMI GFLDALKSRCEVVQVDGGVDWRARNDHEPHEVLLKWYSQDDESFEAAWQEKTEGLAGE CHHQFVLFHNRSVLFTDIPALHTVGPTQVSVYGRKVPVPAAAEGVCRFTFADLCEESL GPADYLTLASSYHTFFIDEVPVLYLKHKNEARRLINLVDALYESRCQIFIRSPATTST LFFPDALDLEESQVDEMTNDRIMEAESLSETLATPYRPNVSLYNTTTPAKKEKEKLKE KRSGSSFSVLGIWTGEDERFAYKRAVSRLIEMTTSPTYAAEEWLPLDEEARTWENSKN PTTAHSTVRRASLGLGSAEKAIDDLAVEAGYSRPEKLEKPDVKARKPAPKIKEQHMWG VVDEWGEKAGKWGKGVKAYETEKSDR I203_06579 MSFRLALRPVRPLSSRVALVRPLHSTALRRTQAGYGDPQDEKAD NHTPLPSSTPDPHPAGQGKGPGTKTGTTDPEVGTGEVGNAGGKKGAGDASKENVSGQE IKETKKVGEEPKKEEVGGAGPIGG I203_06580 MASSSHLTDIPQAVRIAASIDPSVNAELKQQAIDYLTKVRQLSQ ETWQDCLALYLQGAGAAGPSNPGKDGKEKLETDLRMFCEQVVDTALTQSDIPSEAQKA MYTAIIEFVETEYVQGPCEGGQAFLRNKLAFTIAHLFLNSYPITIPTFLRPLFALLTP TSSNFHPALLTLRILTEIAQEVHDVTLRSARKFSKDRQQRDGEVRDVIRSSGDERLAM EGMLGLVEKGLDALEQGGEGKWLDVVETALKTMVTWTPWVDLGVSLQPNTLSLYHRLL RSSHIPLRTASANVIKSLAAKGVQDPQARLEVLRVLDIVSLIDPLESETRGVKDNEEV VAFRAAVAGVLAAFGTELIAFSENTEISEPLRNEAESMMTLGLPLLLRFLSDRQYEVP IAVAPFASDLLKPQPPAPSPPPPLTPQRREFLASMLDILIRQLAWPEDAEWEAPGNEE DSDDEMALFRTFRIHCRAYIESIAQIEKGLHTEVVARIVVATLDAFQAGGPSAVPWQQ AELALHLIYTFGELSKISVNKSISSGRSTPTSDIAEAEVDYGTAKENFDYDQYPLSPL GELLKRCMASGISTYPHPSVTLQYFEISVRYVEFWRYKPEAIQPMFEAILDNRGVHHS DQYVRRRCFYLFQKLCRDCRNSTVDAMVPPILDSIKDLMVINADVPSADSPDEDSLVK ATTGKSYAVDQLYLFEAAGHLIYLTKSEPGKQIPLLETVAGPLMSGMASGVERCRADP KDLQAVLQVHHHLMALGHLAKGFPMVSDSQVEKLPYTPAFKQMTEALLQALDAVKTQR VVRDAARFAFAQFVNAIGSTVAELVPRFVSVVVTEYEPSELVDFMLFLGLLMHRLKKN TFETMDMLLLPLLSRIFAILQQPITGTDEAQTHARLKDAYLTFFTALMNANLDGVFIT ERNKPEFENLLTTLLGLTQDCSDPGSQRFAFGFFARSVIAWGTSPEAAAQPSQALPGY ENFIYQRLVPACFEVPARKEFNIRSSTLTLFEMAALVRSTAQARGQEAINFLINDLFP RLNCPPDIANQFVDRLRTQQAKDFRKTYTEFVKAMRG I203_06581 MSLGVLAKASSSTLRPAFAIARPISNTAIALRPNTPTSSINTAD SSPSTTTPATAIAQRGSNQLSLETPRNGAEYVLSTLDKVVNWARQGSMWPMTFGLACC AVEMMHMAAARYDQDRLGVVFRASPRQSDIMIVAGTLTNKMAPALRKVYDQMPEPRWV ISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGMLQLQRKMRRNR QGVRWYRK I203_06582 MLSRTALRRVARPHSSSPQPLAIRQLSYASVRPSPIALGKRPAL PALSVGSPLLGSSRNISWTPWRTASPQAAPIEEPIHAASAPPVTSQTPPEPILSESHP DFTSASSIQPTLPESLTSTPTVDPSLLPQPPADGVTPTLADLILNSGKPLEEVLQSPE AVHAAMQVSDLKLLGYEHGFFSISGWFTDAIVGLHTTTGLPWWATIASITVAIRLALS PILVSTQKHNVRLAAVNPQIQGLMERAQEAKSKGDVHAQALTGQAMRQLMKDHNVNPL RALLLPAIQLPIFLTFFNIIRGLANLPLPQLKEGGIGWVTDLTQADPYYILPLTSLLF TNLVFKYGADGMGTAQKSGSPERTAHIKNFIQMTTIASLPVVSYFPAAILFYWTFSTG FTLLQSIILRQQIVKNILNIPTPPVVPPPPGQKEFKNPNWKDTIKAAKGFFDNRMAQA REMQADQEAQKLREQSLLARSRIQPSVQSVERIRENAPAPASSAAEAPAAPAAARPTS TAPARQLSPREAEKQRRVAAARRKRSGQ I203_06583 MIRQLARQSAIAGPSRQLLTPRYRGITTTLPILRQDDRLPRGHI RPRRRPIQPSQPSDPSQPQSSPGPYPQYDFDQYDPSTLQTPVPSRPVYIPPDPQGILQ DNHAARDLLAHESLVIVRQLEMLNVFMGFEQANRYAIHSPDGQHVGFLAEEEQTILSA ISRQALRTHRPFRAVIMDRYGKPVLWIRRPFAFINSRIFVHAQEGSEGILVGEAQQQW HPWRRRYNLFQRREEETFKQFAKVDSGFLAWDFWLKDRDDRLVASINRNFRGIGRELF TDTGQYVIRFDAAGTELDLPPGSNINVQGQSLILPEGKEGGLTLDQRAMTLATAVSID FDYFSRHSGSGGMGFPFVFWGGGDGGVDATQRRPSDVQPSDGVAAVAAAGAAGAGMSE DEQIYGRQPSQESSDDPERYPPSAEGMEGYDEEPGWGDDEVMQDPWSQQQSDDGGWFG GDGGGDWGS I203_06584 MSTGDLSLQPPADGISSLTFSPDSTRLLVSSWDGTIQLHHLVAP PQSPVIFSHPAAVLTATFGSSSTIAFSGGLDKRVRQWDFETGQCRVLGKHDDTISSIV WSPEYNVLITTSWDSTLKVWDPSAEIPLRSTQTLPARAYSLSYAPKSSRLLVSMAHRH VFVYDAAKLAAADGEISPSQERESALKFLTRSIATMEDGKGWASGSIEGRIAVEYFDP ADQGSKYAFRAHRQNVDGVDCVYPINALAYHPIHNTFASGGSDGCISIWDHNAKKRMK LYSKYPTSISALAFSPDGSKLAIGASYEHDNAISKPEDQGRVMVLIKDTVMEDCKPKA KA I203_06585 MDEDSYAYEPRDKSGPMDIDQNYDTSRLDAFNFVGMGLGEDGPA RKRLHSELDRAIPFNPHPASGFAHFTNPSSDSAPLLLAALNTPRKAPSYDPSQWYNTA PRTPAATSLATQLDVDMDSPARGLSTPAKAAETPLKGKEARVEDEKEHDQENGDEKDR DRDEQNEPPRKYAKGAVTRTNKKREKARKEQGRSEQGVSVRHSDSSTPSYSKSEHHYN VHMTPPALRHSEIPALLLGYLQFAVNASIVLFCLYLGIQFVLTVRRDVKDKMQEHSVE ILQEIAECTNLYLTNRCDPALRVPAMEAPCKAWDACMNRDPTMVGRINIIAETFAGVI NSFVDPISWKTMSFTLVTLSFLIILTNSALFNLRAKASHHDPSPPNNNFWPPQSHFMP QLPPHMPHPQHHMLGPQHPQDSMPYDATRQIGWTGEEKKKGWW I203_06586 MSRLGKRHPDLLCCNFNQDFSCIAVGHKKGYTILNCDPFGKVHS KNDQGATGIVEMLFCTSLVALVGAADTQPSNSPRKLQIVNTKRQSTICELIFPTSVLA VKMNRKRLIVVLENEIYIYDISTMKLLHTIETGPNPNAVCALSSSSEHSYLAYPSPAP SASSAPLSSNAVPAAPPAPTTGDVLLFDTITLSALNVIQAHKTPIAALALNSTGTMLA TASDKGTVVRVFSVPDVKKLWQFRRGTQSAKIYSMNFNLASSLLAVSSDSSTIHIYKL ANNSKGSSGITNSSNQNPDIPEHVDGARSPTPSDAPSNSPPLSSAKLSDNHSATSSLR RRSYHIGKSFVGGVGGYLPRSVSEIWEPQRDFAFIKLRGNGARTVVAMSGTVPQVMVI SADGLFQAYNIDLENGGECTLMKEFALLGSEDSVGNASLGM I203_06587 MPPRASLPRSIQLPSNKPTWKPPKPHLASYHPPSPSSSSTSSTN HFIPPVSPQLPARRKPAFNPGGFGLQSSPKPAPAPGSWKGKEKAREAQLEEIRKRDEE IRRDEERLEGRESKKDGKEEAEACYVPPPATLHFHSTKNLPLLYSPHPLPPFQIAYET WGTLNAARDNAILLHTGLSASSHVASRGNDVSSSTSSKPGWWEDFVGPGKSIDTDKFF VICTNVLGGCFGSTGPSSPYPPGDGETRWATRFPMLSIHDMTRAQFDLLDHLGIDKLY ASIGSSMGGMQSISMAYIAPERVGRVASISASGRSGLNGVGMRYAQRSVLMADPNWNR GFYYDGVPPHNGMKLARQIATITYRSGPEWEQRFGRQMLSEQEAALDSEGNPDVPRLS PDFLIETYLDHQGERFCLTYDANSMIYLSKAMDLFDMSSAALTSLAKKFNSAYPDSNP FPYPSDPVGISISSSKNTAQPSSEQDREASEKETKKKLKKFIPTSKSPHLSELSQGLK RLKDIPALVLGVQSDVLFPVEQQRELADALKLTGNENVTYYELGGVWGHDTFLLDVQN VGGAIRGFLH I203_06588 MDQEYKEILEFAYELAEKATDMILKGSAARWTSKNDAAAKMNSV DLVTETDQAVEDMIKAAIAERYPSHKFIGEESHAAGDRPPLTDEYTWIVDPIDLNGSF VHSNPFVACSIGVTHHSEPVVGVMALPFFNQIFSARLGGGAFLNRTTPLPLTGGIPQP LTELSKCMIGTEWGGDRSQQCMAKKTESLFRLAGDPTKGVEGGRMVHALRTLGSSVCT IAALVSGQLDMYWYAGCQPWDVCAGAIIVSEAGGFFSGGKDAFHNDAPMGEILMSRRY VFVRPLPDSETETREQIQRRLVKELYETVIEWTNEGMTT I203_06589 MMRNAVQSRLSSALRTTEARAAVRPLAGPSVPIARNLATKPLST PRFRAVALCPRRLPTRGYAAEASGKFSRKKPHFNIGTIGHVDHGKTTLTAAITKYLAE QGGGRFMDYSQIDKAPEEKARGITISTAHVEYETPNRHYAHIDCPGHADYIKNMITGA AQLDGAIIVVSATDGQMPQTREHLLLARQVGIKRLVVFINKVDQVDDPEMLELVEMEM RELLSEFGFEGEETPIVMGTALAALEGKDPERGANKIKELMEKADEWLELPARDLDKP FLMYVEDVFSISGRGTVVTGKVERGVITKGSEVEIVGMGAPLKTTLTGIEMFHKELER GEAGDNMGALLRGIKREQVRRGQVLVQPGSIKSVKKFKAQLYILTKEEGGRYTPFMAN YRPQLFIRTTDVTVSLTFPDGTEGAHEKLVMPGDNVEMIGDLVHDIALEPGSRFTLRE GGKTIGTGIVNEIYE I203_06590 MNEDNMTDPKLQKALKECQKTVLTSLKALTTSSSFSSTSSSDIP PALGDVVGQLLAQLRQSITALGLSFNPPITVDAAIQQLGKISEYIGKLISCVLLACTP STELLAEEWKGGLISVVEETNKHIQVLDGNGDYLSSTGIVWESIDNLLNDLSKDESSA LKRRWKAHQSTVKDAWQEFKELLESTSDVDGRHDEDEDGEDGWDELDLGGEALSEEEK KRAEGAKPLLALHQILHSTILKFLDQLNQNDYRRILQISTNFVNAYDNAVSSMHPEQD ESEIEEALLEVEEVSRTMAGMIDDKSIDKWTERLDSEKKKWEERRLDLSSLKDAI I203_06591 MPLVTEEFHFTPLPSSSSQQHLQPPSQPPSRPQSPNQGNDGIPD SFLPTDVGDLLSGLGADDLDDFGDIDDEDIGNDRSFEEAHPNKPEFNDGFGEISNVEI PKMVGFATAGGKKLKSPSKEAIAKANKIWAGEDMDDGFEQNKPAKKPRLSDPEEEEDY FPPFDEPTIDFPIDHVGFKTGKGKDMAPPRAESLQRASKMFNEIDQATAMDEEPSSSL PSSSFQHSTSMPKLDGSSSRATSIKPLAPPSLKSMQFAAKLFEEVDRDEGFQAPAICL VPPQKSGFSLASGGAAPRISESAMAKAMSILDGDSTPSKRTPQFSSNFHSASNRPSAS NTNSEPMTSEAYISETENQPRPNPSSSFKSASGKEAAPLSNASRATVAHLFDDLDTNM ATPIRPRPQAQAPTQQRARANSPTTTPFIRQRSSFAQPSTPLRTPVGHSSASLASSKR PIQIKTPSGPIRRVGLGSTPSQRQFKRGFSTPFKTGSASTPILPASIQDPGPSTPRQV THYRSVFDLQPPSHRQDYKAAFFHPQYYGIDELREMNIPDDLCAIDLDTAPRYRFLAE DDSLLGHADALSILQADGCKFAKAKWVENHWAQILWKLAGQIQARPEIFQEKWCWIEV INQLKYRYEREFGSAERSIIKRIQEHDSSPSLPMILVISGIHRTQVEGKTKYSLDLTD GWYRIKAQIDACLQRAVTKGRLAVGRKIAISGAKLESGNDGQDVLEAFNSSHLIITGN SSSLARWHAKLGKQPQPFIASLSSLSADGGVITLMDVVLEKIFPIAFTDGSGPPWGEE EERIRQDQWMERYEGEKTRLADKMRKEMEKMQDLASLLAQSAEESDPVNREPPDSLEY DYERLLEAKDALSCLRTMSTYQIVHLASYANVRSMQEMQDKQIEMEAELANLCPKRDV RDFRMIRVVDAQLGNRDPIRVGMLNVWDVKALGDRALQEGKRYLISNIVPGKGGEWTS RRTRTDRAEVYLHTRRDTRWQPVA I203_06592 MSLSSFEIGNLFSVKGKVSIGRAITTALAVNGAKVLIIGRRLAT LETTSKEISEQASKNEGQVIAIEGDISSKKGIEEVYEKIKSLTDKVDYLVNNAGFAAV YKVRANIDDPVELVKKLWSIEESDFNDMTKYFMTAPWLLSVKLIPLFKKSSDPAISNI TSIGGQTIDRSFTHPAYAGAKAAGIIHLTQRLAANFIPLKIRVNSITPGLFKSQLTTG SSEVNAPLAPVIQQLIKAVPSGREGRWEEIGGVALLLATPAGAYLNGTDIVIDGGAKL VSKRHVMGQRMPYSF I203_06593 MSLQSFSINSLFEVKGKVVIVTGGGIGRAIATALTINGAKVFII GRRFNVVEETAKELNAAAQEAQSGGECIPIEGDVGTKAGVVEVYEKVSKLTDKLDCLV NNAGFSANWRVYSDDLDDPTKLEKMLWSIEDVDFANMTAIHVSGPYLLAVKFIPLFQK SDDACVTNITSLASHFLNRACCEFAYAQSKAAETHLTKLMAAGLTPFNIRVNSIAPGI FKSQLTTGTTDRDAPLWSIQQNQLKNIPKGREGHWEEIAGAALMLLSPAGAYTNAANI IIDGGWRLFTSANDVQ I203_06594 MSTSILPSTDGNAGPSTASNGLPPLADLVRRSTKRTRVVYGVEG SSIDDGLARANKIKLASKLAAEYKDVQTLPPILASQQGPAGPKRPAAANGNGPSAPGP QAGQKLIVKFRHQQGFAAEGGQASSRLSQALMRKKEAREVKPEYHPQWKLTRVISGHM GWVRAVAVDPGNQWFATGAGDRVVKIWDLASGELKLSLTGHISTIRGLAVSDRHPYLF SCAEDKMVKCWDLETNKVIRHYHGHFSGVYSLSVHPTLDVLVTAGRDASVRVWDMRSR ANIFTLTGHTSTVADVKTQDSDPQIISGSMDSTVRLWDLAAGKCMTTLTHHKKSVRAL AIHPTEYSFASASSGGNNIKKWKCPEGTFVHNFVGHEAIINTLSLNTEGVMFSGADNG SLTLWDYKTGLPFQHLKDIPQPGSLDAEAGVFCSTFDKTGTRLITGGADKTIKVYSEQ A I203_06595 MDSYQTPLSSRYASKEMSKLFSSGTRFGTWRKLWLNLAIAEKEL GLAISDKAIEQMKANLDLDEAQMKVAAEEEKKRRHDVMAHVHTFGTVAPEAAGIIHLG ATSCYVTDNADLIFLREGLDILLPKLAVVISRFSSFAEKYRDLPTLGFTHFQPAQLTT VGKRATLWIQELLWDLRNLERARNDLGFRGVKGTTGTQASFLTLFNGDHDKVEALDKR VTELFGFPYAYPVTGQTYSRKIDADVLGPLSSFGATVHKIATDIRLLANLKEIEEPFE KDQIGSSAMAYKRNPMRCERACSLARHLMAIYQNTLMTSSVQWLERTLDDSANRRVTI PEAFLTADILLTTLQNISEGLVVYPKVIARRISQELPFMATENVIMAIVKAGGDRQEC HEKIRVLSHQAGSVVKEQGGENDLIDRIKKDDYFQPIWNQLDDLLDPNTFVGRAPEQV DGFVKDWVKPALEKYQDQLKNVKQAELSV I203_06596 MVKAVVCGAAGGIGQPLSLLLKLNPIITELALYDVVNAVGVAAD LSHIPTPAQVTGYLPPDNGAEKALKGADIVVIPAGVPRKPGMTRDDLFVNAGICATLA QAIANAAPKAFILVISNPVNSTVPVFAETLKKAGVFDPKRLLGVSHLDVIRASTFVAS VLGKPTEAQKYSIPVVGGHSGATILPLLSQTKPAIPEILADKEKRDALVNRIQFGGDE VVKAKDGAGSATLSMAQAGAEFANYVIDAAFGGKKGKIVQSYINLAADAGGEAIKKEI GADLDYFSVNIELGPNGIEKILPIGQLDDVEKGLLEAAVKELGPSIEKGAAFQPAPPK L I203_06597 MAESNPASLEGFTYPPLPQSSSSPDTTSPTDVLLQHHHQTHQPQ QCLPTHFQFDGDVLSNSPEHPQPLDMSTATPWATPIDGFSGGFNTLNIDTSPVQKRTD GFETLNQANPAFTPSMDYPPMQQSFQPSHVLSHASSSSSLASMSSQHTDSARLLVQTF PTEINTDYHIPMEHSRQSSYSSLSMSASQVPPFDEKFFGNTPLPALDMNVYSMPQITT LPDSNHYSNAGIPTGEVFRQQPPRLALQTQNLQGMGIGVGKMTRERSSSRSTPYNRYR SESVSVKSEADDEIASMLSASTNYSSVTPWSTTAMHPLNGGLNKMSIHHRRTSSNTPY NTPINPSPSRPMLARSRRSTSFILAKQMSQPDLQFQNQLTGVALSGSALERQETVRKD LTDKSNEIKRITSQTQQDKARTLWVRRWLMLSYTHAVGRTVPRQGLYHSYTVSCDEYG LKPINSASFGKAVRAAFPGIKTRRLGVRGNSKYHYVSIRPAIQIEAERLNEYGDSSGA WHVVPEDGSMDFKTTSQQDFDEDMEEEDLEDSEEEENPFSTSSTLKKSPSAYDFRTYT RANSGNRSRATSINDAFSSRPRFPRRHTTAALSGGFNSYPNNLEVPVPVYNLPGFPTA ADAGHLANEQSLQDFWISFCHHQETLVQSMRTMQFDRYEMNCRTFWVGLSHQSYQTSI QPAVSTMISDAMAITHDHMIGNLLSKLSENVTITTQNALRALADNLETIMEESLSSFH REFAEAKVELAVRAAHLFTRFLDLRQVTFALGPILSDAAQTREMIHAWTSLDIRSVSD QCALSCSCEQDILEQVLADFGQWLADGDRAQNKGRGIERLSEWVDRVLKQVMGVPGIT LRAVGFITSQVMRDFTLKSEKSFGLFQLIKTFIDDYVSITSLRQTALSTKSVESNAPT SMPTTTGHSARSSISSMNVFIPSPSQNDLNISSTDLSTAMPFSTANNGLGSGSHMMMD EGQYLPLPSMEGMESEGNLVTPRPFGNVNKGDQSEDNSSGSSSNLMPYSS I203_06598 MSEEYGSSRGFTVTHQRNVLDIDFSGIITASAFLTIQPTNPSLR AIYLNASPLLQINNVTLSSPTPVEAFLPTPASFTLSNPFQPLPTREPPIDIKSHPEIK RKTWSAMGESDEGELAISVSGGWVRLIHTELPSGNGQTQVSFAPIHVQINYQLVLGGD VVEGIVFNRPGDGGNDTQIPHMFLSPTTYDAARIWTPCVDSLWERCTWELEFIVPRYL EGGEPGTDEEAYPVMVVSSGELMEQVSHPHDPNKVIFYYLQTNPTSVQHISFAAGPFE MHCCSADESHKPILAFCLPGESDKLKHSTSWLPRAMTFYAEMGSYPFTDFKAVFVDNP RSNCSTSATLAILSSDLLHPPQVIEQAMEIRQVLSLALIQQWVGVNIIQRTLADTWLI NGLALYIQAQFIRHLLGNNEYRFRLKKDIDRCVQQDQGSQWPLCVPGIIDPPDANATA FINLKAPLVLHILDRYIAKTGTSLGLSQVIPRIFVASLSDELQGNSLTTQYFFRQCRK VSGLDLQTFQDQWIFGSGCPRLSIKTNFIKKKLLVEFTVTQSQPANDYINRLSEKVRK TAVWKRPTHFFEGSLTVRIHEADGAPFEHLIDIKTPTKTFPLPFNTKYKRTRRSGQIA ARFSQMQDALAAGETNDEEDEARLQAADRAGVFAYPPWEDEEERRRWRVAEWGENDAD QMLGEGGGYEWIRIDPECEWLASIEYNEKPWCWISQLQGDRDVIAQLEAINRMRAFPS PVIASELARTVLVKNYYYRVRMEAARALAQYNIAECDYIGYFLVLKLFQTLFCHSPSD PDAEASAIECRPLPNNFSIFSDYFVKKSLIAAMPDLRDMRTRTVWRNVRQILLDLLRL NDNTGNDWSDSYYLASIITAIGNAFTFGSVAQSSLDEDEREAEASLLKESVDALDRAM TMDRLVPSYHNVITKAGLQTHIKSILAGQITNDARLLLSYTREGNFEPIRTVAFDGLL LCKPPGKSSALDRYLDEVIRFDSSLTIRRHVARGLSESILMTLAIGEIQVSQPGVLED ENEEAREKRSEAQNNAIVKAVRKEFSKKDNVKVLVQGLLISSFISPDHEVLMALLKVA EVISSSSAEPLPGNIITLQTPTIETAPALPTPKIRLSMGSSEIRPDAQGYGFPIVDGQ MTPGGTSIPLKITLNPNAQSSQKKKDKVPKQQRRGLSDNDFKAITIALQRLIAHKTSF WFRQPVDPVRDGAHDYAAIIKNPMDLQTISAKLDNGMYANRQEFVTDVKLIITNCFTY NVSPASPVRKAGEAFERMFNALWSKTEHTLSSSAAAAQQAAMAPKAPLIIPPAPVAAA QPTPEATLPAPKSTAVKFKVKAPKTVSIDTSVTEHVPPMAPPPLPSSKKSALSATTKS PDRPPVPTFPSTEAKPSKKRKEPSRSRNELDDILGAEVDEIEKRRPSLNDGLDELLVP KSERDSPKPPAAKKIKIPAFQPRNKSPEKERTSSLSVEPKPSITSKIKLSTSTPPLPS TSQIPSTSSASAENKSTSKKAKPKPLSVTIPPGGKKASSIERSTTASASPAPPPKAQL PPPAQPDVAATAVAQVKREPPPNLPPTAQNTTPMKSKRAKHLMNILMKEFAAVFFLKP VDPIADGCPTYLDEIKHPMDFSTIVKKIDKKQYKNLGQFARDIELVFANCRQFNPPGE ITQCADTIEDLYWKEWPKVASAKMTPDERKAMGQLINQAIKNPHSEWFRYAVDPVALG IPQYFEIIPPDDARDLSLIKNKFDKGQYREAKHIDEDVELMLENARVFNDGGPVVDAA NALGKWWAQQRAKMD I203_06599 MGDSTTDVTPAKRRPPTFQRALVSGAISGLAVDFMFFPLDTIKT RIQSSAGFWQSGGFKGVYRGVGSVGMGSAPGAAAFFVTYETLKQRLPQYQLFKQNESL NHMVSASGAEYISCLIRVPTEVVKSRTQTGAYGQGKSSIHSAISTMKFEGIRGFYRGF GITIARELPFTSIQFPLYESLKSLLSKHYLGGRRPTSYEAAICGSIAGGFAAGVTTPL DVVKTRVMLEARTSTQQPIQGIPPPNQPSPSILSFPPRLLGILKNEGPSALFRGWQPR CFAISLGGAVFLGIYDLVINFGVDEDGKPKEVKLT I203_06600 MSRLQSLRPLLRASALQKSGVVVSKRGMANKFAKFDWEDPLDMK SLLTDEEQQISETARDYCQENLLPRVINAYRTEDFDPKILREMGELGLLGATIDGYGC AGVSSVSYGLIAREVERVDSGYRSAMSVQSSLVMHPINEFGSEEQKEKYLPKLAKGEL IGCFGLTEPNHGSDPSSMETTATKTSEGWTLNGSKTWISNAPVADLFVVWARLVEDGE KGKVKGFLIEKDTPGLSAPAIKNKLALRASITGSVFMDNVKIPSDAILPKSSGLGSPF SCLNNARYGISWGVMGALEDCIARSREYALERNQFNRPLASFQLIQKKLADASTASTL GLLGSLQLGRLKDKGLWAPDMVSMMKRNNCGEALKHSRVLLDILGGNACSDEYHIGRH VQNLQVANTYEGTHDIHALILGKAITGVQAFAN I203_06601 MGALLSIPFLTGGIGAIGSSLFSGCMIFMGGTAASAFCKSCNCN SSIATRVGFGLIFALSSMLAYLSRTDIAIKQLEKLSWDWIKMDCSGGKCYGLLAVHRF CFALALFHLLLSATLIGVRSTKTKRAAIQNGWWGPKLLLYFLLSFLSFLIPNEFFMFY GSYIAPIGACLFILIGLVLLVDFAHTWSETCLDNWERGESSLWQFILVGSTFGMFAAS ITLTTLLYVFFAGSGCGTNTFFITFNLILSLIVTVIAISHPVQEANPKSGLTQASMVA AYCTYLIASAVVNHKEEGHCNPLHASGGTKTTTVIVGALFTFLAIAYSTSRAATQSKA LVGKGHRAGAIALPTESTEEDGEVRMVTNQPKGRRDEMRYQAILAAVNAGSLPASVLD EPEDDDDEIEATIGEERDDERGGTKYNYSWFHIIFVMAAMYVAGLLTDWAIISTSPVA HPTDPFTSLDVAEPDVYIGRSETTMWMRVISSWLCYALYSWSLLGPVLMPDRFGDA I203_06602 MAPVAVPTLEDLSISEKKEEKKPDVVDNEDEEGDEEDDVEGDDA PESGDAKKKKKKKKSKKKKSATVTQSEPPRVGLSKIYKNGVYPIAEEVEYKNDTTSRI TSAEMREKERLAQEDPSTRYSNIRKGGEVHRQVRSYVQKNIKPGMKMTEIAEMVENGT RALVEENGFESGIGFPTGLSVNEVAAHYTPNPGDNKILQKGDVLKVDFGVHVNGRIVD SAFTMNFGDPSWDKLLEAVKDATNTGISEAGIDVRLCDIGERIQEVMESYEVEVNGKT YPVKSMTNLNGHSITPYSIHGARGDLPGKSVPIVKQHGSNIDTQRMEEGEYFAIETFG STGNGRVEEQGACSHYALAQHAPERYTGHHQSAKTLLASIKRNFGSLPFCRRYLEHVG EKNYLLALNTLVKEGIVLDYPPLVDLKPGAMTAQFEHTILLRPTCKEVVSRGDDY I203_06603 MAAPTWDNARRHARALETALDSKLSTYSKLAATIARQGGSTSSS GAGLEEDGDGVGGYKLVEEEIEELLGKLEQAIDDLSTLINSPSQPPSASMQHAAQRHR DNMDDYRRDFLRTRGNVEQSIRRSNLLGSVRKDINDYKSATNSQTDALLQDRSRIDSS HRMMDDTLNQAYATREDFAQQRSLLASIDSRMGGVLSQMPGINSLITAIRTRRRRDTL IIGCVVGFCMILLLGYTFGF I203_06604 MISRRTILSSLALGLLGAAQVSATALTAMLGANERSCYYADVDG IGEKVGFYFAVQSGGNFEIDYVVMDPDDKVILEGVAEKQGDYIFTANQVGEYSFCFEN EAYTSDKLLDFDIMVESEPRRILSAQQQPLKEHTSSLEESTYKISGLLSSITRTQK I203_06605 MATPQAGPSNPAQAPPYPQQSQVSTDNKRGAEGDADAVRRLKHP RPPLPPPHVLQSLVPDSPAFTDLLKLEQKLDWTLLRKKAEINDALGKPIRVKRTLRVF ISNTAHDQEWQKAQAEPAPEPAPTAAEGAPAAENGKEKKEGDGDTETLGPDVDVNTGK GIAGWVMKIEGRLLDSGNTRLDRNKRKFSTFLNRVVVEFDNREAPTFPEGNIVEWHTQ NLAPPLDGFEILRRGDRNINARIIIHLSHSPDRFKVLQPLADLIQMKEGTRSEIINAV WKLVKVVGAQDKDDGTVIKPVGGLDKIFPQGQETIAFHQLPEIATRCLTHPDPIVIPY TVQVDKDFNFHPKCFDIPIELEDPLKSKMSSLVQSFEGKEGKEIVQLEDKVGELAYFA RDVKQKRDFLESFASNPQAFIQNWLAVQARDLDQMLGYQIGTPGLNGGNIREEDLRRS DLFSLPWVEEAVTVHESIRMEQERRSKTHHR I203_06606 MAKGSSSIHKVRAASPAKHVEDDYLESDSEVDEFADDYDSQEDD QGEQFGSDGEEEEEEEGTGRWEADDWDENDGDNSDSEGSSQNESDGDEDDEEDMQLKR LQNDLTSLPLSTLAKAQKSLSPSAKQRSSSSSSTSSKEDKLLAIKARLAQLQKGKGKA VAVDPYSGSSTRQEDDGASDGDSDSDSDSGPEQGSTKRGHKHAPTAMSTKKQVSRNRQ VVDVHKPQRRDPRFSSVSAGNLDAHLHSASYSFLPSILKEELSSLKTALAQAQKIERT CPWAEKAARTAEREKIELDLGRVRTRLVKSQNEERERNVLARMKKEEREKREQGKGAW FMKKSEKRDLLLKSKFESLEAQGGKSAVKKAMEKKRKKIASKEKKSRPFAQGSAGGGG GGEGGARKRQRV I203_06607 MRVDRCDFSGYKVYPSRGKVYVRGDSKTFRFLNHKSESLFLQRK NPRKIAWTQVYRRMHKKGITEEVAKKRSRKNVKVQRGIVGADLASILAKRTAKPEVRA AARAAAITKAKTEKRDKEASKAANRSTQANQPKVSKQAMKGGKGGR I203_06608 MSNQAGPSTVSQASLLDLKAITAEHVDRFAKEGRKAVKGQPRNR ALDKKKDPFDRPSPGLVKRLAAEARNDAKSRRFAEEDGLNEEQRRAILKAKAKKYEAI RKGDFSGMSQKEMEEAVIDFERKMEADGYSSHSSDEDESARPSRPKWHDEDEVARVEY IDEMGRTRTGTRKEAKEAERLRSGRRGEREAVQGIESGQEGSAYAEVLKLTRHLVDGD QNFFPVYEPDQEALKKKYREAEEEARAHHYDSTKEVRVKGAGQYQFSLDEETRAEQMA SLASERQETEDARSKAAQSQRKGLSAAQEARKRKADERKALIEAKRAKMLGGEKEVER LREEKSKRETDDFLKNLENELDASEVKKRQ I203_06609 MKDRETGRSRGFGFVTYNNPSEAEAAISSMNDQELDGRRVRVNL ANTRGSGGGGGGYGGGYNSGYGGGQGGYGGGNQGGYGGQGGYGGGQGGYGGQGGYGGA QGGYGGQGGYGQQQGGYQGGQGGYGGGQGGYGGGAQGGYGGQQGYGGQAGYGGAQGGY GGDQGAGAGQGGYGGQY I203_06610 MIFVIEPENALATSPGPTAVDLDAQMINASPDPLYREGLFTFTL TEGANIINPTPAIEMAPPTTMSLASQSAVTIIPTSSDDVSTSTSKEMMITRPANPTLP TTQAIFTSTLSSTSEKGGMKNDNPTTTYNHTTLIVVFILLGLSALGVGLWYFRRSRTR KLISNGLADLEASVQEKRLSTVSRMTNRRSWIKLNEEIEQIENEKSNNSLSVSLPYSP SANHQNQSQIEKQDQSDNQAYDYNINSQMRSVGNNLNSSSYTLNKRSSTINHFPAPPS NLPQLPPLPLTPQPQSRLKENENIFESSEVQVQVPSVTQSRHEPEIGVATTTSLPASS SRTAVAIDAYPGRTLTGSGKEISKLNDGDGLDFSQSQENSPKRQSLPYVPPVQEAERT PTFISLSQMNHEKKSPDYRSPTESLYVIYKEK I203_06611 MAAVNGHSRVLKPGVWAPIPTFFDEQEELDLDTFRKHVVKLAKV GMQPVICGSMGEAFHLTDDERFTLFKESRKALDEAGLHDTVIIAGTGGNSTRQTIRLS KLAAEAGADVVIVIPPGYYAGALQKPALKQFFLDVQEASPIPVMIYNFPGAAGGIDMD SDLINSIATEGSNICGVKLTCGAVGKLTRITGKTAVQAFSSHPRKNTVAPEFLTLGGF ADFLAPAILGGRGHGAIMGLGNIYPRSLVRLFELSYKIVTDSNPSAEDIKKAWELQDL VSEADASFTRGGIAGTKYFLQKNSGHPNRRMRRPILDYTDAAGASLEKEDGVTGLLAF EKALEKGQ I203_06612 MVAQASSSSATPRNKLVIRRLPPTLPEELFWNSVSTWINDKTCL WKRYIKGKVGDGGYDSHPVHSRAYVLMASPDALVEFVRGFDGHVFKAKTGAEYQAVVE FAPVQKTPYKAKVKVDARQGTIDEDPDYLSYLESLKAEPVKPILEVSAPQAQPTTTPL LEHLRAQGKNKKSKSSSKSAASSSSANESARRAAALASVTAAATKRAAQAGSGPVMVA GKGREVHIASPVPESGTTTPQGQGDGENKKKGRNRKKGKKDGEPGGKPTSEQGQGPAP QTTAKSQAGGKSTSSQAPKQDGGRSTPSSGARNTSDSAGPKSNKGGRGDGAGKGGDNN TRTDGGGRGGGGGGGGRGRGKDRSGKARVMEILTRNSAGPGTSAKGGRGGNAAGTGGN GRGGSVQSDAGASRARIDVP I203_06613 MPSDFITTIDSDDETPNYGESSVQKGKTPAKNDELDPDFEFDFS GGGRETGLDLWGGDEVKGLKNGTEPINVDDIIARRRGKPLESYADRKRKRKAVLEEEE DEEERSEDENGKDGSENEDEDMSDGDEDDEMSVDASDIESGTEEDDENDEDDDDEEEE DDDEEGDEEEEEEEAGSSSDEDEETAAELARKEAFFAKDPTFTDDPTLPTSFSAMNLS RPLLRALTSLQLTSPTPIQARAIPLALQGRDILGSAVTGSGKTAAFMIPVLERLCYRD RGKGGQACRVLILCPTRELAVQCEAVGKALTEKGGLDIRFALLVGGLSLNAQAHTLRT LPDVLIATPGRLIDHLTNTPSFTLSALDVLVIDEADRMLEAGFTDELEEIIKACPRSR QTMLFSATMTDSVDELVKLSLDKPIRVFVDPKRNTAKGLIQEFVRIRSDDTRSPSLLA LCKRTVREKCIIFFRSKALAHQMRIVFGLNGLKAAELHGNLTQEQRLQALNDFKAGSV DYLLATDLASRGLDIKGVETVINYDMPGQLAQYTHRVGRTARAGRKGRSISLVGEADR KMLKAAVKQSEADQVRQRIIPAEAVNAMAEKLNEIKDEIQEVLREEKEEKLLRQADME LKKGQNMVEHHDEIHSRPARTWFQSEKEKQNAKSASKEAYVGSFPDAKDAKKAKEKEG PKRGKYDGLSRKAKRRKMAMEEDQADQQSSKSTTMAIRNAKKAARPVKITEALPKPKS TAKKSGKVGGGGKRKSAFDDDNKPSKKHEGMRAKPTKVNLDKKGKGGKGKSKAKRR I203_06614 MSIFRPKSWRKSKNLSTPGPNFTIDNGLGTPDIPPATPYTIHGS TSSSHHNKSTARTSVKVSTNIPPNAPPAYAPTSPVDGFRDKSGEDPLEILKEYDTVFL IDDSSSMKGRRWTEARTSLMGVADIAARYDQDGIDVKFLNSRKEGNGLITGNQVMQLF EAVKPSGATPTGQRLENILREYMISLERGNRTSGMFSRSPGVKPMNLIIITDGAPTDD PESVIITFAKRLDKGEFPLSQVGIQFLQVGDDPSAKEALQELDDGLSDKHDIRDMVDT VPYCGQELTAEMIVKTLIGGVNRRMDRKA I203_06615 MGQSSSLPSLLPSIALHVLRVVDSSPADGLVEPYFDYLIGISTE KGEHQDLSTLSEEGSNSLVGLSKVIEENEGRMVGLRVYNAKSQRIRDSLPTPLTTTSS TGPKPSLLGLSLRVCNPANALESVYHVLDVLEGSPAEVSLVPWGDYVLAWSGGPLHSE NDFYNLIEAHVDKPLRLFVYNSDLDNLREVILYPTRQWGGEGLIGCGIGYGLLHRIPR PSTPPTGPPLDGYFDQSTNNRQTQPEQSTYSQTSSNNPHADPELYYVKQNRIGKGSFG EVYKGYDKRTSLPVAIKIIDLESAEDEIDDIQQEIQILGQLDSEFVTRYHGSYLKGSH LWIIMEYCSGGSCSDLMKAGIFREEYIAILARELLRGLEYLHGEGKLHRDIKAANILL TAGGDVKLADFGVSGQLTATMTKKNTFVGTPYWMSPEVIKQSGYDHKADIWSLGITCI EMAMGEPPYADLHPMKVLFLIPKNPPPQLDETKFSRTFRDFLSLCLQRDPRMRPTAKD LLKHKFIRTARKASYLTELIERYEKWKAEGGAKGGEENRGDGMSSEHGYGPAQDALWD FGTVRNALPPQPTQPSTVSRATGRPLPPSSGGTPTSAATPTKNDLPPSINGRALPTLP PSASRATIIGSDPEPAYQTIRNALPQGPPPHQHSQQVQQVHEDEGDEDVMLEGVIVPA INSLATRVPNDHAREALARLRQAFIEAERSIPGVTSAFVLEVVESVEQVEDH I203_06616 MNPSSTCYTIVHDDLIDAPSSQDLRNALQKGSDEVKLETMRRII VSTLNGQGHPSLLMPIIQYVMPSRNKQLKKMLHFYWEICPKLDDNGKLKQEMILVVNA IRNDLQHPNEYIRGATLRFLQKIREAELLEPLVPTVRSCLEHRHSFVRKNAVFATYTI YQDHEHLIPDAPELLDTFLAAESDSTCKRNAFVTLCNISQPTAVRYLLNNFDQISGMD ELMQMAVIELVRKEAKTEGGHRAKWIRCIFELLNAESHAVKYEAATSLTTLTQNPAAV KAAAAALAELIVKEADNNVKLIVLDRFDNLRAKHEHVLDPMVMDILKVLTSPDMEVKR KALGIALEMVTSRNVEDVVLFLKKQLQGTLDQDFDKNLEYRQLLIQSIHSCAIKFSEV AANVVHVLMDFLGDSSNPSAVDVISFVREVVERFPDLRPAITEKLVSTFSEIKSGKVF RGAMWIVGEYATGPADIKKAIQEIRKVLGEIPILASEQRLLDEAEAADENPTEKEEAP KAVTTTRVLPDGTYATETVYTSTAQAARLEQVRAATKPPLRALILGGDFFTASVLAAT LTKLVLRFSEGQSDSQSINTLRAEAILIMTSIIRVGQSKFVAVPIDEDSQERIMNCIE TLAELQSSKILQDVFLHDTKAAYAKMVATEEKKASEKKERESKTTTVQADDLISFRQL SKKSTLGDVDDFDDLVAATGAAEVQDDFVSKLSRISQLTGFSDPVYAETVVTLSQYDI ILDVLLVNTTNETMQNLTVDFATLGDLKLVERPAAVTLAPHGFHSLTATVKVSSTETG VIFGAITYQKQGASDSDVTIVMSDIHVDIMSFIKPNYVNEAQFRSMWTEFEWENKVAV QTSISDLRAYLDHLLKSTHMALLTPEAALSGDCDFLSANLAAKSLFGEDALANASIER TEDGSITGHVRIRSKTQGIALSLGDKITLSQKALK I203_06617 MATPTTNVSITSKMTGSSVKTRDGEEGAQNHTRSAKGMKEFMDG LGLQDGDEKELLLIRNTHGQQVVRWSALIGGIFYGIVHQSTVQSQYDEKKAAHAVSHR AHLIEEAKKAYAAKKAEKTGGSGLITDPENPKFDLEKVIESWTKDS I203_06618 MAPSEPIEIEDDVSNAGDDSQVINEEYKIWKKNTPFLYDTVITH ALTWPSLTCQWLPDQTTPPDADYTVHRMIIGTHTSGQAKDHLIIAEVLLPKGGMENAG KEVAEMYDEDKQEIGSYTKSPARIRAIQTINHEGEINRARYMPQNPELIATKAISGDV LVFDRTKHESKANPNGECRPDIRLKGQSKEGYGLAWSTVKEGHILSASEDTTVAHWDI QGYQKQDPVLQPLRVYKGHSACVGDVDWHPKNDYMFASVGDDRKVMLWDTRSDNANKP SSSHEGHTAEINSVAFAPSSDYLYLTGSSDNTIALWDIRKPTHKLHSFEGHTDDVLQL SWSPHSPVHFASASADRRVHIWNLDAIGSEQTPDDAEDGPPELLFVHGGHTSKVSDIS WSPNAKWHLATTAEDNILQVWEPSRHIRTPGEGDVDAMDLE I203_06619 MSSSSPPDIPPFLATILSSRITSIPPPDPPVSSSTSNPSANPSP ILQQPQSQPPNPLYLPPPALPKVEEDLVPPENFALVSKGVYRSGFPKKRNFGFMETLR LKTVLTLVLEDYPEANLEWCQSQDIQFMQFGIPGNKEPFDNIPEDVICSALVAILDQR NHPILIHCNKGKHRTGCLIGCIRRLQSWSLTSIFDEYRRFSSPKSRAVDQQFIDLFDL MPVWKEVTGSSKGGGVGNLPDWGMLSLPRRGVLTPDQNRNQNQIQHEKGKQIPLEAIS MSIDDNSPRTGGKANRDWKGDGNDVGNGNENEYKRETGITSLRLDQQQLHLQQTQRRN QRDKISTHDDNINQSSTCTPVSIIVDGEDQSQNSENKSINDIVHLENQKPHQQDQAEE GGIISIDINVDGIEELKKVDGIIHLTSKVEEVAIN I203_06620 MAPTIKPVHLDLQPLKPEDIPDPASAEFDKFLNDHFEMGIKLSD SMANWNHHSTKHDGTVKILNLTTSSTYNDIRKSLGGIKEYWCGRESHHSSSTSLPKQP MDGSTSKRHSASYNPVNLARRLSDRISTRHSEDKGHDHINGNGVVPNGSAIEEEEPSE AQRQALFMSTTPEGIYERFRRGLLEYHSENEREYIESMRESECLHIYRKHVAEVWRLT FKTPPPTNPRTFVVLLLSRELIGEPHGGRAFMNISLPFEHPDCPPKLNNEKSRVRGKY VSVERVREIEGGKEVEWRMATSSDAGGNIPRFVTNGSLPNSIAEDVPSFLGWMVKRFS VGGEVTSRPVA I203_06621 MYNPKALLLALLFSLALVIAASGKAKDQLQIGIKYKPEECPIKT RKGDKLSMHYTGTLAKDGSKFDSSLDRNQPFEFTLGAGQVIKGWDQGLLDMCISEKRK LTIPHELAYGERGHPPVIPPQSTLVFEVELLGIKNRFVDEL I203_06622 MDHEDYLFKFIVIGEAGTGKSCLLYHCIHEQFKENSAHTIGVEF SSRTLRIGDRNIKLQLWDTAGQERFRSVTRSYYRGAAGAILVYDITSRQSFVNLSRWL TDCRALASPHLVMVLVGNKLDKEEDREVEYAEGSRWAQENGLLFVEVSSLNGTNVMTP FLLAGRTILSAIDAGTLDPDSAGTGISYGERQLRAVGSSSRLSTAFYGSVRKKRRRDS VSLRDMVGGNQKCSC I203_06623 MSTSTSKPMGGGASGDRVTPSSSSTGKKEKIPLSTHLIAGGVAG LAEALACHPLDTIKVRMQLSKSRKAKGLKPLGFFATGRQIAARETPLGLYKGLGAVIS GIVPKMAIRFASFETYVGWLSSPDGKISPSATFLAGLGAGATEAVAVVTPMEVIKIRL QAQQHSLADPLDVPRYRNAAHAAFTIVREEGLSTLYRGVSLTALRQATNQGVNFTAYQ QFKKWAFEFQPQYKESAVLPSWQTMILGLVSGAMGPFSNAPIDTIKTRIQKASHVPGE TAMSRLVKVTSDMFKNEGAKAFYKGITPRVLRVAPGQAIVFTVYERVKKLIDYAKGSN LGAEYEE I203_06624 MTTSTPSADTWIYDHLHKVYFHPLSNSYAVPDPATGQWNYIPAS DFQSASSSSTSIAQPQPVQQQAPDGGEKEEGEIEDDMGWGGLMDPDKLAQIEKDSKSR STSNSNSKTNGNNHKKDTFQELEKHPSYVVPYDDPSLYSFPPDRKDDTEEDHDDNRPK ETSNHILRLVVVHSKCLEIGQVVIIDAREDGIQLGRDRCEKGGQARVRLREMEVSKTH AVIYWGKGDISQEDEEENGWWIVDLGSTHGTLLSNPSTNKTKTKRLSEPKHSSKPHSI QHLAEIKIGSTTFSAHIHPSWPCDECTVNGNHEILLDDGKPKSKLDTVTAQDQISDHE IPYAMDSSQKKQNTELKRKREMALLKDSLLRRNEVSSSHDEREEPKREYLDRSAMRRR LHPFSPPKSQSNSNRATPDRFETPSTPSFVGDVSREPNGPSKFASSILANQGWIPGAG LGKSNQGRAEPIAVEMRNEKKGLGAQNSKAAVDDGQAQGDWKMRAKQRRWEELSKSNA N I203_06625 MASSSVRAPPPPHIISTQSDTSPTPNGISRSSTSTSIHRNRSHS DSVSSKHSTVHFDLEDQAPELTANPFPSPKSSISSLRPAHANGSASVTIGNSYQPIHP STLHNSTIPSPPRSAPLPSATSSSSSSMMKPFPSMNDPPSSPRPGLPKTRSSQDRRVW SETLPVNSRHRSGSMIANGKRASRVTGGFETSSDSDGDESTTDQSTKDTGTGTGGNTP SQSENAAAGPSRPRAKSLLGPTGRERQNSDASGTSRELKRRKDKEDHKRPRKRSSKEA LPPSRMLSYRSTATASPPKITPQLPNSKSDSYFGSQNGSSPRSSRISTARSSPLIEST IPAPIGKSQISRGKSLEMLKERSSSPEGSKRLKGKEKETTKKKQGDLAASLGLGIGGM QDMALNPEQLRNLLSDSDVSSALRLMNSPHAPATRPANLNEWSNSVFFSPPNTRPTSP GADQPTHRSPYLVSAPPALTSSDNHGRERTMSVASTIAPPTRSTWGSSPRQRQSIDSH PSPEIQTANRRRASLKGGLLSDGQGGHVPFTHHLPVPSVDEGSPEHELDDVSEVLPAI MENDSAVLPSMPTIQSDKSSKDGKEKEKEKKNRLSNIFHIGKKKSADVPPKHDHNLLH KDHRTDKQKEEDKLRERERYERDVERRRLEQERRDEELAQEHRFRALTQVAAHPAAER LAYTDGARLRAFYSHVYEGIDDPPKLNPLAVIRWRIKTEEQTEARQRWEQEQQHGYQH SNKSDTSGFTNHASPHSLPRGSHFGGNIHTSPMSVGSSNRYGARKSIESNRSISLGSL GKSTDTFPHNKSRDQRHRYEKGWGYSVDDILAYKAAKGQVNYFIPPRRSHPDVEVLTE DEDRVVLPATDNGRSESRVDDQSSIADSSQKSHLHRMGVKTASNASLMDVEGIVGDDN GALTRTTSAEENAHVGAHTTSRLGHRSHQSLSAVGPTSLTQALKQPFEKLSHVGKKQR NPPGPSRDEGETQHIEDSKIREPNHTHTRSDSAPSPRVHNGQYHTPMSNKPSRTIVNP SLGRNRDNASSRRHGTPGGTGTGGDFTDEEYSKDKEFHLRKLFLKGQKVLSSFDDHTN RLYRRSEASLATNKTTKLKQDEREQELLALEAALLRESAFRERQAEVDRKTQLEIEAR ERIRKLENEIYAERVEHLDSARQKLDNVNANIASINDAIRQYLFQIDFVRDEAAIAAN IELDWSRIDSIRAIYGNVGRPKVTEDDEHRDILPPLRSFTTSHDSGSDLAHRQRMNSA NNRKAKSHSINVPHRKGSLNASLAPIHSNLDHPIRHRPRRTYLDPSGLERVDPIKQAE LVISFAQERIKDMGKEKERTKVELENLIYKIEMMIKRKDNVRRWTREHLEKNLAKQSQ LDRLIRQEKTNDNLTSLFNLIVLRDNSINIAVQSTGYLWRPLFWSYYQLKGEVWFFIK WMRPSSYWCRKKKDRIDPQESNQEPVADGVRDNRQGDGNILDDFGSSSPGRNHQHLLA SSSASSLSTEMDRFANQNEDEERRVPFVVSITIVIIALAIGSYYYRQS I203_06626 MSVQTTTESKPLIGKAGSLLLIAGGTTVLSSKAVQVKMEELASY GPTILKFLIPLLLLPLLLYTRLAIPLRFAYNCFIKPFFVRSKHLISAQDARKAKLEAF YSGQADLYDSTRSTLLKGRENMLALLAAHLKAQPEPLSLRQSSQSKKKIWVDLGGGTG WNIEKMDEYLPLTYFDSIYLIDLCEPLLEVARARIKARGWKNVYVLCQDASNFVLPEW ESGEMDPRGSLRAITMSYSLSMIPPFYQLLDRCDQVLDPQRGLMGVVDFYTSRDGGNK ERAIGTASKRVSWLAKWFWECWFSLDGVNLHASRRDYLEYKMGTIKTYNARNNFLDSW FIQIPYYVFVGCSRRRDASLALESFTKEAGNQKGLNTFGLRTPISPFGTPPLSSPDTF GMPNLDLGPSVYNKSDKLMKGKEGEIEMQETLVDAGAPLSPFHYHLKKAWRVPYLEEK VHEQFRTHIYGWTWEDPAVDVKKLNITKDDHILAITSAGDNVLHYALAASPARIHAAD MNPCQGHILELKLAAIHALDYNDFWLLFGEGRHPEFKKLLTQKLSPYLSSHAYAYWLS HDTQFSRNFYFRGYSGWALRLAQIAFFLSGVRKDVKKICKASTIAEQEKIWNKKIRPI FLGRWMVKLFLGNPMFNWHALGVPQNQMNCFLQDGTVEDYIKATLDPIPKLTTLKDDN YFFFLCLNGRYTRSSCPAFLKPEGFRALKNGKATNAIKSHTDTILNVLRGLPDGSLTK IIVMDSMDWFDPIDPSTPLPTSQSGALDTLNSTPEASLEHLRSELDYEIIEMLRVLAV GGLAIWRSAAKRPWYIQRFQLAGFKVQPIDIREDGQAIDRVNMYASFWKAEKIA I203_06627 MSKVIITGATGNAGSAVLSAAVASPGISQIAVIARRPPFEESPK IKYIRFPSAEHPRGFEEISPSLVDELKKDGYESCIWALGISQTQVNKEDYIKITHDYT ITAAKAFSNLGTSEKPFKFIYMSGEGARQDEKGYAIFSKIKGRTEKELDEMKSDQLDV VNIRPGGIIPTPEHRQRMNWWMGQGFRLMGPILEPVWPSGVISSSNLGIACVGLAQGK GWEKRDAEGVIANPALRQMAQDWAGDYTM I203_06628 MTIGDSLKQSTASIPSISRQLGLPVSSTNAAQTDDSPQQDAPLA IPVFSVMSSGQDPPILLILVGLPGSGKTTFSEALVSQSIGDHRKWIRASQDDAPNRRR QECEAIVRQALQEGHNVVVDRVDFDPVQRSHFINIAYSIYPQPTIYALTLSVSQSTLE RRLEYRPDHPTIPDLETGLRVLRQMRNQYRPPLPTEAEGFDRIFELPERDQPFDGIWT SDIIEEVLKKVENEGAKEIGERKILVSQNGSGGYHNYNTGHLSGFGRGRGRGRSDGDS DRGRGGRGIPGHSRGTYGNGNRYIDGYSHSRDDNSTWNRGTYQYNPTYRGRGYNGTYQ GQPHQSLPRAHAQPYQSSYRSYNDGPSNLRPDPTSPP I203_06629 MSVPHMKPDEDEDTILYDALLQELPRQPPSGGGTTTNANFGYDI QHSQGYGYPPESDWSGSAMVHNPSDSSSIFTQQYNMNPVEYGVPSAHYGDHHAGAATS LNPGYDSSYESGHNLASFAAQEYTYNQIRNWCSIADPSNLVADQQASNAYLSPYPAQG SGEVKVSQQEITPDDRGMSFKRSVVHQTRDRFGRLYDLLVKKQGVDPSCWPTNTTIQM TKYDKGVIFGGDSNKPWTELIDHHKVKLETGEDESKVVIKCNDPEGVLVYTLMNDTSG IFEHYNKSIANITSVSLDELAQGGYLPVIACQSATSVSDRIGKTKNEISAQSRSYFPK AEDIHNNVATEYSTSKAYPYCTECGDYSRFLNAGHKAKAYQPKA I203_06630 MATIHTYRSSPKSIQSIVIVLFLLLVAYIFFNGNGRKKKTKGSK SSAGEDLESGRHTSRSRRGSENSSDENGIDRENRRKREKRDRRKGKGGKSNSDAPSQT GGDRSSDDGKKKKKKKKDKKSKEWDKPPSEPPISAAPDHRTATPSKSAFRQRRPDDSL PPTPNTQNGNRIRWVDEENNLKSPVTKHFRKWGDFMGLTEDTNKGIFQNATQKEDKAG KKLPFPSRWSSEQIIRQQAENEQKGVATDEKLQIPENILQMMHQVEKISQAKPSDARL WELALQDSWDDDFGSLPKVAMTVMKELCRFIGRKEIAAIEAKMNQRVKKKAKFDKSRK FKNFVASLEVDQDTPEFPYKFILGWYLDTFRAKAYASRMIIDNKTTPMTTDSNIARAS FILGWLEYIGELNKAQRLFVGWDLSGLTLRAQAMKENGTHYRLDVTIFLPCELEDPAE WAQRFQQSCMAFRHFHSEMVRQFNNTSNDFMDGSAFKRRRLIFRPGWITKGVDINKSS DPTPDQSVAQRTLIRRNPKVIDISSQNDVEGDSRLQGLMKYAGLDSTKKMMSQLAPGE VIFQDIRQFQWDKNSLTPRNNSLTPENFWLAYQIAGDRLQHEMEVDDTFLPRTMYDFI RSLDLGNYIPFHRIHSERKFLQINGFPALELLILSYIPLGQDGSTIDLILDPRFALTR ELENDKKPTRHLDRQPIRLKLFIAISDIRLMENIQSDLDRFFATLANKYLKEGMRKSR IRDTIRGMVYRVLDNVYVVDESQASMAQEGRLPKGMRLGYEPNFPNELISDDTPDEGK NSNPFRSPITPTASAPYPPQSPYGSRPSPFLSHPSPGIQRPLAPGPQNGQGPFNPPPS PYIPNGTRTPTTRPPVQFTDPRRAEAAAEEARRRAQENAQLSPSAPAPAPGPPTASNY RPPSVADADDEEDEPLPQGRFGNLRNADGNVSRSGSGEKGPETLI I203_06631 MQGLNRYIPPDYDPRKTSTLNKHQGKKHALGVRAKDIDKGILVV RFELPFNIWCGTCNAHIGAGVRYNARKQKVGNYFSTPIFGFRCKCHLCDGWFEIRTDP KNAAYVVHEGARKKDEDWDPEENGGFAVHDTEAPSASEPPADPFAGVEKTIDQQKWAK RGTSRLTELTKSSDRINSDPYLVSSALRRKFREEKKVLLERQVKDEGIKEKYGLNDDL IHLQDRDQDEDKEKEKRIWEIIKENRGGESSRPSSTSAMHTSRGQGKARLSDSPSLVD VLRRNTGKRYDPFSEISFSSSSTSSTPVKLNSPRIVDSIKGKGKIKGNILDNISPKPR AKEGQTTSNDSGIGLGGGLLAGYGSD I203_06632 MTFADFNSESESPIKTPSSVKVSKKQTVDQLKDGLRELGLDTKG KKETLWRRLVNAIHKASLRDPLTDDSDDEECLTPDSDNPINIRILKQTYKSFLCFDVE ATCRPGKEFDWPNEIIEFPVVLLQWTDPDPTSKMVLHKVDHFRSYVRPTWSPILTDFC KDLTGITQETVNKSPTFPQMLKKFEKWLDRWNLRDDKGLKDALWVTDGPWDLRDFVPK QLHITPPNPFPNYFHGPYLNLKFAVQSVLSELHRRSSYAAEHPNDPPNKRALSVITTS KVSQLEKKNGKAKDYGRGKGFYFNIPGMLEVMGLGGFEGRQHSGLDDATNIARVLIAL SEKDVIFEPNGVLHPPGKGRRYPWMGERAEVNWEDWMSTNKPLEKPTSGGIQEAKEAL NGFGKGNGNKVEKTDILEVDKDQVEIKDEEMADKLQSLMLDPTLVKEDDGTTIATTTI VSDKSNAKL I203_06633 MSDGSNYDYLFKVVLIGDSGVVLSRFTRNEFNLESKSTIGVEFA TRSINVDGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHSTYENVTRWLK ELRDHADANIVIMLVGNKSDLKHLRAVSTDEAKQFATENGLSFIETSALDASNVESAF QNILTEIYRIVSSKSLESSGDVIKPSGGETILVTPTADDGGAKQGGKCC I203_06634 MPVEVAEAGGSLSPPPRQPSLSPDRNRDRDEAGPSKRPRNNVLD QQRRQVEKLLANPDREMQINVGTKEKTLRAPREMMKNVQGSSAGAGSGEFHVYKQSRR REYERLKIMNDKARAEEEHAAFLARQAERDAVSDAKTAKNRAKRQKRKHGRKGEGNGS NSTSATPDIQQEGGVGKRRLAGGASIKFKRPGEESDSDDQQDENGDDEEEVGPKLTVP IPEISQVQDVPKIEEKRITIVDED I203_06635 MVAVTVSVPGKPTVNLDFANKHPDQVTIKDVKIAIQAKFPKFVP NRQRLLFPSVTSTSDKPLPLTDESKPLSTYGVKDGSKLKLKDLGPQVNYRTLYLMEYI GPLILNPLLLKFSTLVWGQYEYSALQTTVRNLLMIHFLKRFLESAFVHRFSRASAPLK FVFRNCLHYWGVSGILIGLTLYRPGYSAEALKGTIYDNPNWIKGWAVFFLVTELLNLN THLHLRSLRQPPGQPRKYPTGLGFGVAVCANYWFEIAGIVALVIMTGGDIGTIVYGYL GTSMMKVWADQKYARYKKEFDPKVFPGKRYKLFPPLY I203_06636 MASLSRSATVARSATRSSLASTSRLVVVRGYATPSTVKNDEGQQ MMFGGPPPKRKHEGAVLKTYTGKGYPFIPSLRHVVYPFHPHLHKGGPLRELTIPLRRK GGRNNTGRIVNRHVGGGHKRRLRTVDFHRVEGGQHDVIRIEYDPGRSAHIALIKKRGS SSSATGVDGLGSIEEIEEALKEENRNTQKSLDAVKAGYSYIVAPEGLRKRDVVISYRK GIPQSLIRQFDNTSSISGAGISVIEEEEDKVGATDTPEMRRALGLLRTVTLKPGNVLP LFLIPPGTQVHNLSLTTDGKMQLCRSAGTFAQIVSHQSSDGRSIGGAEVLTMGGGFDE NGQRLPKAGYVLVKMQSGEVRKLDPGCVATIGVVSNKEHQSRSLGKAGRSRWMGKRPH VRGVAMNAVDHPHGGGRGKQKGNKHPRSIYGLLQHVRTRRPKDKDGNKSVVTERPRGK QTAAKH I203_06637 MPRQKQVSPKSTIDSYVFDPYPARHSSPSSSTVRNPTSDVTSAG SGSYEIEKQLSGSSSSRNSSDSSMSSTKSLSSISSFDDQTYPILPTSSSARERKFSSS TSVFGESRRVNILRSLKPRARRRSSLPFIHLARRKSTQLLLVLAICIVSGLLLGEIRS HRLRREMWSREVKLEEWDIRYGHLDRESDLKRFREEFEYNRRLELIHSRKVDRRDITG TTGTGSVWGPKEEEALQEESKNIWPTWWGNPDVVGKSPWDHVPTPVLLGQEKRRFMFL TDYNDYLERMNTHTYEIVDAALRHPQIIVDVWGPGWEGYDRSIPLSANIRKRAHRIAQ LEQSKKEHEGRQSRRKGDAEEDEWRKPAWYEETPEGCSANVEFDIVFTISNIYKETDP HVDALDCGALLIQQLGDCHELRCSYEWYPHANNITVSKYAFELLELFEYEKVKSKYPD WEMGLFGHSPDTGNEWDFYPIPWNDKTTKARVFGYDGSFYPIRTTVTDSLRALEREPN LVQQAIITRHTHPGYTVSVPSSAREQPLETYEPNHEYYETHLKLREDFANGMRTSKIC VFDASLERKMIRKYAQAFLSGCVVASDLPTEHEEALSKFVIPLKSTWNIERINEVLSH YLDNDELLQQMAMDGFMWARQHMTTTNKVSHLLKMGEHYRSGSRGYEFPYGFSMRCRS YWSGDSYRPPWCPPGGYRGLEE I203_06638 MAYSILYPISSMDGLHDITRMVDVWGPSTYRKRSLSLSSLNASK HQGAAKLIAPYLSSAARTPRSDTVEDGALTPITMITRGISRLHIQIQPLRYPSLGFKN SIKVKYRSVATPIEKLSSYLSQLEWEPSKHQNVQPFLARDETKIGPDPHAMEPNHDGY GYGFSDFSSEDVDYPFPSKHYHSSISKPSSDSEWTSSGSSTLNSPAGGSPGLSPPSFP ITATGSDVLIDSPKPPSPTGTPIITFGSEADFPFTFTSPYPYPLLTTSSIHGNPLAHS QYSSSENTDSPQYVPRSKRARELISSEKYCKVGKIKYLSWEESEKEAEEDEMRWKRWK EARSGWDTDVTNAVSRFSQDVELDLDDEFGRAKKMRKISYE I203_06639 MSQEVHGLFTPPLPPIDPTGRAKDYGYSSVGINKDPRKSIDNGH GQGQGSPSRATYSPSVPKPITQSTVENQNQQDIATPSLTSNSTISELSINQLGLSHPS SSASIYTESEGVKSNDDTPIPTNKIGTGTETTLDSLAKLRQFKAEVEATRQHSHPSAY TDNKGNPSGGVGMGEMNPSHLAKMAESFILQQRQKLDSADEGKIASTNTSIEPAGNSG YSHVNALEGSGSAKERELELKERLLSSRLRPSENQDRKRNSESNSPFDERAYGYEQNK RPRNDVQLPVNPPWNDRYKDRGRSSSTIRSDNHDDSDKYPRFPPPHPSDPRFTRREQS TGTTSSGPDYKPSETNFVPSRYQNPPGRGHQRDRRYSQEGQGRPRTPPSPPIRNEPGR NNVNRSFGRERDGYGYDNQGQRLADRISGGGASPAPKYRPRSPSPARTYSNTRRKREY PRPPSPPRHYPDPRTPVDTTYGRRPDIPTIDPYDTYANARGQPLRHARPPSPGYGRPP PPSMRDQRYGYDSKGREDAYLGAPPPPPTPPGYHDRYDRPPPPPPPPIGGNVFAQGQG MDTNNVVETLEALKAQISKLEKLVPTAGTNVNTQPPPLPPPPPRPQGYDPYGGYDHPR EPHPREREYDTRPFPPPSGDPYQVRGRLPSPPPPPPHKYEDDHGPGHEHEHEYDDRPG PRNDRRAGHGPGPGYGRGRGRGHGHGHGGGGRGRGGGKRGRGGRGGRGGR I203_06640 MSSLANRSMLTIRSITRQSNRLRCSSSSSSMVSRQYYNASTSNL TSSSATSRSIQKRTFFSLPDITKLAGLVPSQNQSTNDEGIVTGIETDGEEQRFHARKI LPYSQVQLYSLVSDVPSYSAFIPFCNSSAVLSKPSPSPSSKDNINRQWRDWAPGTEPF EVLAELAVGFGGLEERYISRVKGVPFESVTATASSQTPLFKSLITRWSFSPASSISPH PSNSPFPPTPPSQMRLPNSTSPTDPNIGPTLLTIDLNFNFANPLHRIASQAVLPKVAD KMVEAFEKRCLEVYGKGDQ I203_06641 MSFVFPSWSTAFSPAFHEDAKAMLEGALNKGNKPPVIQGRIEVV ELSMGKEPPTLTLLEIGDLSLDRFRGILRLGYSGDAWLEVRCRVQANPLSHNPNLSSS STLPLSTPLLASQPLLVPMTLRLSKLHLRAILILVVSASKGITLVFKNDPLQNVDVSS TFDSVEVIRGYLQQEIEGQLREMFREDLPGIIHRLSQRWFHGTGVGGKVETPYKDIPT DVIPEEEREGSDDGVDESNPYGESSQIFPPHNIPATTSNQEKFSTPRRQSLQAQRSSL RRRRSSTSNSISESPTSYTVFPDIEDYDPTYGLRPEGVPTHSGYEAFGRLWEKSREGG NRGLGSLMSMPIQNQDHDGTLPDYLSDTFDEEDEDEEDEIRSFDMVEMDDVLRSISHS TRKSRRQSSLLSAMGSKYGGGGDEKNQIEWETFPAVGGGVITRPRVYHSQSQIRAPSE AGGNGRAMPSPAGTATGGSVTARASSVGGASSTVGSLRMRPFTPSTPSIGIRSPTFTQ SQAAPSSLRRMVTSHSDVFLSSSAQGIHGTIPRSETFSASAIPRKSVSSSRRPSRTGT GGSSSATRTGTGTGSSWDTIGHSTNPTSTLPSSKPLSITSKHPSTRQRVPSVSLSGTS PGNGSFPPRNIGPGGITLPLNNSVSQLATLSHSAHTLSPYARGHEHIAVRSFPHLGRS NTGLGMGMVSSMNLNGLGINDGGGGAGGITPRALIRGVNGGGGGGGDLTNELSGQGMG VMKARRKRIHRLNTTKKDGPPNSSQSLSQAVRKSSLSSNSRSHSNHSGDIDEIDNYID QNRSLPKATNMPKTGRGMSNVNLTMEKRPNMRRNPDSRTSYGFPQS I203_06642 MPNWVTDNTSVNVNPDLNSTPILHDPPVTADLPLTDDDSTKPHQ IHRASTKTDMSDSVQLPQAQHMVQGQGQDPNSAIVLSPDSPSQSTLPDPPSVSIQPTG LTQTIQSSTSADVNMQSILPQQPVNQLSHFGSTTPQEQSPAVTHPGLPNPPQQQPPLR QVVSSGSGGQQNGFQMHTNSHQHFTAQSPINNTTVGQTNTSRTNPSPTFTSYTFNLKN NKIATVNLSPEYAMRRHKITMGSGSVLQLSTIELLNRYSQYLTLVDLDQVIKDPRYIA TLFGSMNHQHGRVISQPLPQQASSSAHASSARPTHHVASNGYAYIPQDAPAQVQQSIV NLQHQARPSSRQSGSARVGGSGTPLQSPRDATSNVIIPPEVQVIQQKLNADVHGVEQK FGQAWEQLRMAMNDAVRNMASTVTAIKPPADTEAITNQLQAQLSEEEKKVHLSQMTVL RLTESESKARAELTERMQQLQTATDVRYKAQELNTALARGLEEVKRGKEAGATQIVQL QNQVNAQSAKLTQLESEHRDKLAQLDISHIAVVANLKKELAEAVHKANQPVFGAHLPG PLSPKSPADPSSEAAKLRSLLKANNAKFTELEGEYNKEIAELKAHAGKDPREIEKDIR TKLAQTNDAKIERLESKVKELEVEKEKIVHERKVHDQSRFVLEKIVHWGENLQSKLGR TNEQNPKADGTSGGTINGDTTNRSLVDRANTFVSDKSKLAEMILTNEKDRKEKMKKLQ GQVELMKVELAASQSGNPPASSNGTEQAGEEWKVAFHQLISWGHNIQILMGKTVEPSE ENMKPIDKAEQFQKTMHTIFEEIQSKAQSRLEGGDQEKVKELEGSIQTLNNNLQANLN ALLAKTTQLDDTTKLLQDARDQSTASEKTLREEIDKHKETKRSLEESQAAERAKIDQL EDLKKRLDQAATSLQQKASELESVAEIKGEVQARLVEVEKRLREKEEELNTREKFYSS SIDELRTEYEIDGGSIQKCDPEIRKQVESLKEMIERLEQEKGELQKDLENEQDNVDRA EEAMRGAMNNQHKQRENYIEVEKELENVKEEKHGLEDEIKRLQDQLSAIKSKNNTVGK DEDDDFEIVPDPSSTSTSTAVPKTRPESLLFTPNAENSETPSISPVVRPSPLSQSQPS TSQATPANNKKPAGTPLFLPNEEEEESRSQSVPTPSVLASTQRPYKRKRLLNDESDDE ERPNVKPGSGLEVSVSAPRPPSVANSVTSVSASTYKPVSRDWIKDHLGITTQKNGDRV RCKLCFVVEKKNQPSERSRTFKVEDIQPLPLVINEEKLLDHIASHGEMLRRLKNKRVK DGKDRPSPEPN I203_06643 MKAIARTALLLSLAIPSIITASGSGSVPIQRPFDVISNQDVERY DDEKVWRIDWSTMNDSKKKEVMDVVELLDLDVWHTSQRSIDVRLNSKQLEVLYGYLPN EAFHPFINDLQSLVDLSSVQEEEYDYIPSKVSGEIRQESVERELFELMKKKKKKGKGK TKPKPSLPPIDPYNLTTIDTPFHDKFHSLKEIYKFGDTLISTFNDTHQNISGIHIKEV DIGKTYENRTIKGWSAKIHHNKTGEGDPGYPTVMVDEEEVELEYVVIAGQHGREWVGP SSALYFLHHLLLRAAEDPKSDSAILLRSFRFTVVPQINPDGYEYSRDKSRMWRKNRQE VGGKGKCLGIDLNSNWGYKWRSSRSTACSEGYGGREAFEAYETKAASEYLSGAAERGN RVRAFVDLHSYGQLFMFPFAHSCDDFPPDAEMLMEAGLGVAKAMRTKQGEGYEAGQAC DLTYRAPGDAIDYSYGVTDVRWSYSAELRDTGTYGFMLPPKLIRPTADEITAGLMYLA KFIYALEVNPP I203_06644 MSRLLKGFGTPKQGNNNNASKATSSSGGPIRPSPSSNPSNYGEP PETPDLSLTTTNPNNLTPINNRIAPGVRTGLGLNNKEIEKELLFGLENFGNTCYCNSV IQALYSCEAFKRFVESYPDPKPPLMPLAPLPGKGDKPTSPILNGGGPISPQLSRGGGN PFDSSGPTSPNPGGKEKRSWTSLGRRPTISTGPSGGGAGLAGGSASVPALNALQQNQL GSPELDEQYANWKAPIPDPNQSPPSVFQTIQTLFYHLTNSPPHLSLPKKENKDANAQT ASLLPGAPAQPENPQGGAAPAATPGGNPAVPQGPPLLASLPPPSAARGGGPWQAGKLG RGVVTPEDLLRTVKRENDMFRGMMQQDAHEFLGWLLNQIAEEIEIIDKNLKKVNGQEP VKTPGKTFIQSLFEGILTNETRCLSCETTSSRDELFLDLSIDIEQHTSVTHCLRQFSA SEMLCQKNKFYCDSCCGLQEAEKRMKIKKLPNILALHLKRFKYQETTGRYAKLFYRVP FPTQLRLPNTTDDMENPDRLYELFSVVVHIGNGPHHGHYVTLVRSKGRWIMCDDENVE PINDDDLFRYFGDYPSGAGYVLFYQAVDMDLASLGLKVPEPEPVSEPTPQPVEVKAQK TMPMPTAFIQPQPKSTPLSEVKEDHLIDFSDNTIPEETSAGVSTPTKKKPPPAPPSRR ASTNIPPSPVTPISPDAAATKIVSSTRRGSISSTNGATQISGESTPTGTRRNSNVTPP VPPPSRGLSKSNVNGTTSPSNVNGSTTFSGLGVSVPNALHGNNAEGVLGVPNGNANAL SSSVHSTASGSTNTSSVPNSTSLAKPIASSLSPTQNQVQPSSISSSVSSNNLGRKISM SGRDRTVSGSSQNSSAQGGNYAGGGSLGRKLSGMSGKLGRSGSMAFGKLGIGKKDKEK SP I203_06645 MSYQVNVAPSSASISSSSSVSDEEDDRLSDWASSLGEARQTKSL FDETILPTPEAALEHDANIWDFKLNETCQKLGLDMFGRIRLINLIRNAGLTKDQIDAL SPTDQLFKDDNLLKPVIPDDPLLQYDPDDSWSDDEDISQPPQSDAGPSQTQPASTENS RVAQLEAELEQARKDLASMRTLISKTVGVEDDEQEDQSSPQIEGTGKGKGKAVERDDD THYFHSYEENDIHEIMLKDTVRTVSYARFLLSNPQILIDAIVMDVGCGTGILSMLAAK AGAKHVYAIEASGLAVKARENIRRNGFADVITVIQGKVEDIQLPVKQVDVIVSEWMGY MLLYESMLDSVLVARDRFLAPGGLMAPSQTRLVISAITGERTWKERISFWPSVYGFDL SEMQGPAFEEGLTEVVDKEEVVTTEAVVRDINSHTATIKSLDFHSTFTLRSTSSSEVE IKAFLTHFDTFFSPVSGEVSHIPLEEDVDILPFGDDEYERPVQPLDHSDKGMKVSFTT GPRGKYTHWKQVVFLLRKPIVLKSNQQIVGRFFCKKSPTNSRELDVEIHYRVVEGGNG DVGEGAYDVQCYKVR I203_06646 MTIPGVSFVVDTGYKKEKEYIFRKSGSIEQLKRKEISQAAAWQR TGRAGRERDGHCYRLFSKELFEKMPEHDAPEIQRCNLSSAVLQLIAMGQNPFEFEYID NPGRDNIAAAFQELAGLGALSGPTEITDLGREMLKYPLDPPHARILIASFENGCPNEI IDILSIINAGGTVFVDRPNEREEASNARAKFVHRDGDHMTALKVFRDFLEIKHSSSGS GLKNDKGGVGIVGWCKDNYVNHKTLNQAIKIRDQLRELSTRYGQDWKVSTSSTNGDDS SPIVRSLLMGLFMNSAVIQADGSYKQTAGSLTVKIHPSSVFMSKKVPAILYDELTVTS SFYARNVSSFEQHWLTELPIFAKSAKRVAVPVGKGQL I203_06647 MPDAIDKSVPDEHRIHSVGAWKSQDKRHHHKFLNDTVEYVEQNP KPLDPSLKEFKEIVEGSTRLSMLFQLMFEQVPNNKEYLKDPTGQDSQVRDFDHLLKLM NHVISHAPRWTDPGHKVGLVGVPVQALLDWPMGTSAGFCVFQDPLVNEQLKKILDVWG SYLTSPESAEVLGQGKTDWFGPTGLPSLEEVANKAGGTNLKFHELFQCDPKAEHYGYT SWDNFFTRQFHWENRPVASPDDQNVLVNSCESKMYKVARDVKARDKFWVKGQPYSVID ILNFDKDYSEEFVGGTIYQAFLSALSYHRWHSPVSGTIKKIVQVDGTYYSEPLFVDFT QNQKADMTGETTSQEYLSCTATRAIIFIESDNPKIGLMAFVGIGMTEVSTCDTTVKEG QHVEKGEELGMFHFGGSTHCLLFRKGVKLSDFPEESDHNVPVRSKLCVVE I203_06648 MSQTDTYYSTSSASFLSKRKKRVSTVTDKSGDTGKSSRRGLFGL KSKSRESASSSSSMGLSDTNESGSVHSRNFATPQPVSDDYVSTANDNCAAPIRSSDGH IIIDRGKGSPSQDSFASSKDTIFGVHSDQEQEQDQKEEDDDESFQKYLADQAEITHLR MMTSEIRGKNDVSIYTEECDYKDTGRHDYNRRNEERLEHVRKILNGTKSFNS I203_06649 MVNITDITPPRSRSASASTTSSDVALPSFESLAPPRPPTTKAEE ETRVVVEGLEGDVRLKVDAGPGCGGIAWPAGEVLSRYIAYRHGIDPSHLRNKKILELG SGTGLVGIVAGMLEPSSEVWVTDQSMLLDLMEVNAELNFPASQTKRNVHTAELNWGEE LPDSIPIKDLDMILAADCVYFEPAFPLLVKTLCDLAPIGKDIEILFCWKKRRKADKRF FAMLKKHFNQFTVEDDKPGAKEVYGREGVSLSRLVRKK I203_06650 MTSIKNSTNYSASSPTIPPPPPSPGRQRTISDLNLRSPKIQSSP ILLHPLPPTSSLNKESVYASELELDKQIRQVKKERQLQEALQEQLFSAERLKVKEEQG TWSSIQQNNLKGRTDGRGRGDSWGHSKGFAHPPQAYELYKAIDDHNIDFIMRIRDHDF NLLLQKNGNEFPILYATRLGERWRDVVILLVGALSRYVNHLNAEDFEKKETLRMLKAL RANLKLAIDHTLLHLPAGHSPMLLSSYLQVLIMSEGDSFLYKSIHEISLLLRSSTSAS AVAGENVKPVKEAGNIIRKFCTKELRGVKGGIGDVEEYIANATLDLVIMSAWSLVNSG ELLPTHTFARDLRTYSTFMEYLQDDPNLSSINKLNPRIRKTLYTLRDLAGDSKKSVRG RLRDVQIALDGE I203_06651 MLNGYRLARSAARPLKGVSAQQKRNLSIHEYQSVQLLNSYGIPT PKALPAFSAEEAEQVAKSFGKDELVIKAQVLAGGRGKGHFDSGFQGGVQMVDSPAQAK DYASKMLGHKLITKQTGAAGRICNAVMLAERMPPQKEYYAAVLNDRARGAPVLVTSSQ GGMNIEDVAHDTPEAIITTTLDFDNGISQEKALDLAKQLGFKDSAQKNAAETFQNLYK IFKEKDATQIEINPLAELSDGQVLCMDAKFGFDDNADFRQKDVFKLRDTTQEDAQEVE AAEYGLNFIKLDGDIGCLVNGAGLAMATMDVLNLHGGSPANFLDVGGGATADAVKKAF ELLLTSKNVKSIFVNIFGGIMRCDVIAEGIIKATKELELSIPLVVRLQGTKEAEAKKM INESGLKIFPFDGLDEAASKAVEAAKSGI I203_06652 MLRTRSNPNPQLPETPQSKSLEPDTPMSHYTSRIHSEDDPVHPS NPNHDSEYFGGGSGEGSGTGTPAAHAGNASLEGVNSSSTGTEFNSTTNQQRRLSAGSG GKGSGISEKPAGGIQSRRSKNGLTLNLSSLMGKRSKGNKFGLSQKGWMAVTGFVGFIL LLKILFSGSSEDPHHHVIDQSHLVPRDYLNNSLIDPAPFEFCPVFGPGDAIAARRGQL ELLKSRLHTGTNARVQRVLQKAMSGSSITLSVLGGSVSACTGAGDDPVNEKCYPHKFF DWWNTVFPHPANELTNGATKKTDSAYYAYCNSHHLPDKTDLVILEFDAADPNDPEWLQ HFELLVRSILVRPEMPAVIILGHFSPQVQAQNGFAGPELLHNVVAQFYDVPHISAKGV LYEQYLEMPEQARSTFYADPNHANHNGHDLIADVLISYIMSQICSGWSAINGHAFDVP NLGTEGDNSASGPSLLGGVGLRKGMPGQDPGDGDSAGSSLAERYQGLRVPQMRLNDRP HDVQQFREIEPFCVAASDLINPLPPSLFYGSGWHTYHPPKGAVYEDRHFWYAEQPTAR LRVPLKLGAGDVGIYFLQSPPDKPLGTVKCWVDDNVGGAKELAGTAEVEDVIATLVMI DRGVSRGSHFVECQLQGEAGGTSPPFKILGM I203_06653 MPSTEQDQSANTSFDVSHFRQSALEAWKTLREAEKEVPGSVLAS SMHLLRYYATSTRDWKSDTYYDKLLEASTALGGASVPFTKTRRDKLIEMKRENFGQAS ESTYLPVLNPLMMVAEDALQTADEEAGLSQEGANVVEEQSEHRPRTSKEAFTDFIVSL DDATLSRVACEAYQKYIPPGDL I203_06654 MSFHFGVDWAYMKAWMKEQLFARSAPQVQLHTRAHHTVTLSDHI AYCQALMSSNSQTQGTHSAPSDDTTGQSSVSAHSDDHSTTRPSNRFYNGTNLALQCIS TVDDGPIKNLSILLLSKYRDDTCDWTDETFREKLIER I203_06655 MSDLLTPIPASNSYYDLGTLTRPITTTSPDAQEWFDRGLRWAYG FNHAAAAWCFEQALLHDKYCPAAYWGLAYSLGPNYNKPWEVFDEADIKRTVEKCHSAM EEGLKLSNGTEIEKALLEAISTRFPVDRDSRTHDEWNVNYANTMVELYHRFPNDIDVA ALTADALMSLTPWSLWDISTGTPASNSRTLEVEAILNKALTSPVGYSHIGILHLYIHY VEMSPTPELGLVPGDRLRKLSPEGGHLTHMPSHIDILVGDYRRAIASNWDAVVADEKY RKESGDLGLYTVYRVHDYHTIIYASMHCGQYSQAIKAVDGMEDSLSYDVLKVESPPMA DWLEYFLTVRPHILVRFGKWQEILKLKFPEDKVVYCTLIATIHYARTVAFAAIGKVAE AEEEQILYKQAVQRVPKSRMIYPNTCHDVLAVGHPMLDGEIEYRKGNYEKAFEYLREA VRRYDNIVYAEPWGWMQPVRHALAALLLEQDRVEEATVVYEEDLGISGTLPRACQHPN NVWALYGYHQCLKRLGRNQEAVIWEKQLAIALAVADVPIKTSCFCAGASEREIDGNNL SAKLCCDGK I203_06656 MSPTAVHEVSTPTIQTLKAQAQGGRFEIPPWTKPSLTKEKLPWA ELETIDLNLLDSPDPAVREKLIQAAKKALTVDGFLFVTGTGVSNETLERNLAIAQYAI NGIPYEEKLPYAAKLEAGSYRGYKLRGIWKRDGGVADNIEHYNLESTSFETPQDAHPS NLLPLIPEIESFARHTYFHGVYRILKLVSLALELPEDYLWDLHEHKGTLGHACQRFMG YFPRDEKDEEATSGIWSKGHTDYNSISLLYSQPISALQILTPENEWRWVKHVEGAVVV NTADALEFLTGGVFESTRHRVIRPPPDQADIIRYILIHFARVKRDLELNPIWESPLVK AHGKNAFQDRIDNGGRAPTQDEWLRERIRRTGHELYDDNKKSKNGRVEEEVLGRKVEY YV I203_06657 MSLNSKSDRDDSLVQKSKKPWYYYLWDSFGKPPAERRLLVRLDL SLLVFSTLGLIMRYIDQTNLSTAFPTDTFTTYRREDLNMFGLEYNYCNTAWSVGYVIG QIPGNILLNRVSPHYIVFALEFGWSIMTLCTSWVKNWHQLCFIRFMVGLFESAYYPGL LFLIGSWYTKDELGKRSNIFQAATAAGTSFRG I203_06658 MPGWRWIFTIDAAISIPIAISAFFLIPDLPWNIKPNWIFKQHDI DLARNRLSAAGTHGPRKGGLGKKALWNVISTWHIWLFTGVYSCYIFSQNPQQSMSFWL KYSINPKYSVEQINYYPSGIWSTQIVSALGFAWISDTFLKGRRWPPLLLVALWHCIDC ALLAGLPVYSDHRAGRWVLYYLSGVVNCTPGLLYAWCSEIVGDSSEKRGIVMGTFNSV AFAFNAWLPLLLFKQTEQPAVHKGNIAASVATAFQFIGLLGILYLSTRDQKRRIGAEV NEGELIRSNEVIEDVDSKKRSV I203_06659 MQSNQAGRAKVVLERVTPETLTQYAPRLAAMTTSHILNHNRSIN FIHPFTSHQATELFLKTSSYLSLDGPGRVIMWVAKLPSEESKEALESVDMDGRSTDNA DIVGTVQLAFHTSPNGVHRSEVRKLIVDDRYERRGIARTLMDVLQRYAKEEGSKLCLL DTEAGYAEHFYTKLGWKLSGYIPNYAMTPDGLQKRDAALMYLEL I203_06660 MTANIHQEKDLSGIAHDPSLSGENKADAYLSTAYDVEAGINVVE GDEAADKNYTNIPEGVDPALVRDEKMIALAGAIGTGLFLGSNMLNRW I203_06661 MDLTVAPNNSHLLPATVAILLEEPVVNIDKFNMRRLDGFYLFEG KNGENGNYHPVDKRSVQIAGIETSAFQLDDMRAMEWLYQLVARCGKIDAMYSHENTRN RKDSRIIVSVAFETEVAALRCYQLASNYQVGGVPMLLEMTPRQYTALHSWFTTPEILL GRLHPVDDTGFQIAERFERNLGVIPVDTGIAPVAPLEVIPFGDLPNNRTISIWRELRS EGELQRYPGVKSFSIRQGWFDRKWMVHKELELDKKMRQGSYRSLRTQPVGTSLIQPAV AGPSAQPVAQIGKKLPVKPKPITESRANAWNSSATHQVDQSPEGYGKPVGETENTTIY SGNFIRYKDSNRLRVAKKTLAEKLETPYQWLKEVDFDDAFENRYFDDTRRFLQKTHQD VKKNGNFGWALDNDPSPTGINKLLAQLPLSTLYKAGIIDQLEDSSRPFDQPVDTEETQ QGLARRRMELEKKDNLSSNEISWGKLKVHWGVGYTPTEQEPQVLPKASGSSMSCRLPP RQGYWYRDLRNG I203_06662 MSVMGCLAELSALAPISGAFVSQADLFFDPALGFAIGWCTFYGS VVSVPAEWSAVAVVMTYWTDLSPAIWIAICIFVTFMTNLFLIRIYGEVELICAVLKIA LILGLILFGLIYDLGGIPGSDRIGFRYWKDGGAFGQGYYYTGTAGGKSAGFWLTLINA VYAFSGVESLAIAAAETKNPRRNVPKAAKHVFIRVFVFYMVTLFIVGLIVPYNDPNLL HSTGTAASSPFVIAAQRAGVKVLPSIINAVIITSAWSSGNHGMLVGSRSLYALALDGK APKIFTRVSRFGIPYLAVIAQGSFQALAFMSVSSGASTVFSWLTNINSSSTLCIWMVI GFLSLRVRKGMRAQNIQSHELPWSAPLQPFLSYYTVGASFLVLTTGGFYSFLPGNWDV SDFFSSYFSVIFMIVFYFGYKLWKRTKINPLKEVPVGQLIAIADANPEDPPTPTKGFW KWFGRFGGTRQKV I203_06663 MPTTSSPTSSTSTAETTLPTPDQSYASRRSSINSPYIYSYSMSE DQENMNGDLFSPDGLRSNGNETPKGKRKASTAPALATDPTLSHSNGISSPTPFSRRRS NTGNRAPPPPPIFLRKATGMGDPDEDEIVPIPGSASTTGSSQPGSAKGLNISGMMIPS LTSPTEIGGEPSIEEEGDALGELLASPRPRLNFNASAENAPSSPAWQSIEATPSSAGL NPSSSWGRRTSLEVPSPLGPRTNERKFEPTPQHGIHARNLSLFFPQPGNPIPQRPNGS PLLMESPVQPTESLIPSAGGERNVFGGTGNWSFGQAAVPQDENGLQTPEGVKRSKRRG HHHKHSLSHNFFSFLDPTQTNPTLATSPSPKPPTTPGPEATPAPVPMPSLGVSALSAA SPTLSPLPPSKSDPRKQFLLSFALLEFLIGAGLWVEGQMSGWRSLAGVGYLVVFDAIG VAVEMVARQQGQGWNSIRRPYGPSRYISLLYFTQSLFLVFSAVYIAKEAIEQVILGSG AHDHSLGGHGHGESVMEGDERDFPHFLLGCAALASTFGGAALGNHGKLVDAVGSLFLA SSYLSLPFVSKFSSFLANPFSLTIAGVSAGIGLSTLLVPPSALHSLDSFISLILTILT CALSYPPTVAFAHVLLQTAPSPSQTQVISLRKALKEIKEDCRVLGLGTMRCWTITAGK GSYEDRYPSTPSHSRSGSLASSPKLESSSKFFSPPSTPSRQTSFDDHLHYPSPYGVPP SSNGRKEDDGAPLVVTLAVHVHEDSSDKEILELTKIAYLKLENAISSNTIGGMNISRR DGEVSVQIKKGWEGLEDG I203_06664 MPIPFYRPLPAIPGIYGSQTLPEQKANILSRIVFHWVTPIMRAG YSRPLEAEDLWMLTSDLECKNIADQLQSHLDKQSDSRETLRHTSDNEKVSANGKMKSS NVRDSIALIDTLDGYSIQNPSVKLEPTYPTNSRFGRQTSNDDYKNDPDMSSGSAHQLR NSKSGQGSLLKAMYMTVWSQWWKAVICKGCAAGLQITAPLVTRLLIDQLILSHDNQQN PSAKPPRSVGYMIGLAFALFVMVQCASLFSYQALQRGSVVGFMMRAALIDLIGRKSMR LSSTSKIEFTSGKLTTMVSADASFLDFSAPMTLDLVVQPIQIAVGLGLLIWTLGYSAL VGLAVLALAGPLQAYMFTRMIHTRQSQLQYVDSRVRLLSETISSIRSVKLFAYVQFFS NKVNDMRKNELIYLRKNGFNRATMNATMAVIPTLAAVLTFVTYGLTGHELNAAIIFSG LQYFNVLKTPISFLPMCFTAVSDALVGIHRIGALLRSEEISPKLNINHQSEYALDVRG DFQFESLPESNDKPQCGSEPGTEENDEKDPERSSIKSIEPFALRNIDLKIPRGALVCI VGKVGTGKSALLSSLIGDMRQLDGHTVFGGLVSYVPQQAWIHSGSIRDNITFSATSKE IDFGRFGNVIDACALRSDIDAMNHGDLTNVGEKGLLLSGGQRQRLSLARAAYSHSNIV LLDDPLSAVDANVSHHILKECILGELMRDRTRLIFTHQMDILPHADLVLVMDRDSEGG GEIVQQGNWHDLKMQEGPFRSFISEYTSDRSASTPTLKPANPESSTASDKDSKKDKAS GVNTMEEDRQIGSIPWSVYITYFRSMGTLLWPITFGSMLLLTQAATVGNSLWLGWWSG DKFEGLGQVGYMGIYGVIGTDDVWSQWGASYTMFVAGLKASYRLFEQAWERVMRAPVR WHDQTPSGRIINRLSKGNSIFNYTLDTSISILLTELRSAVGTFGLILYTYPWLGIAFI PLCLFYWLCGGYYRQTSREVKRIDSITRSQIYSSFGEQLAGLSVIRAFGKQDTFKERM RNAINVEGVITSLTIFIRWLGVRLDLSSNLLILLIAIFGISFRNSVDPASFGVVFSYA LAAAQLFSNLVSLYAQVELEMNNAERILHYTTLPSEPPPYTSQDPPSGTWPTEGEIIF KNVSLRYSENGPWVLKKLNFHIEAGEKIGVIGRTGAGKSSLVGAIMGMNDIKGEIRVD GLNLKGIGIDTVRERIGIIPQDAFLFEGTIRQNLDPLSIHSDHYLNSLLSLIHSDPLL PSSQSTKDKFKLDSQVSSEGANFSAGEKQLLALIRALARNTKILLLDEATSSVDPETD ALIQRIIQNHLNGVTLISIAHRLQTVAYYDRILVLDQGRVVEYDTPLGLYDIRDSIFR QLCDRVQLGRTDLLRLRHDALYALQASRDDKSLFNHYSIAEAWVQNGGVRVSEYRS I203_06665 MSISTAAKRRLIRDFKRLASDPPIGISGSPNPDNIMIWNAVIFG PPDTPFEDGSFRLTLTFSDSYPNKPPTVRFVSKMFHPNIYANGELCLDILQNRWSPTY DVAAILTSVQSLLNDPNPASPANVDAASLFKENLKEYERRVKQTVEQSWLDNPDEIEI DEPSTSTTAQAVTA I203_06666 MPRVSTSKTNSKRRSSRLATQSQSQSQSEVDIPPSWLDPQSQSQ QTQIDNSFLSISTASLPPKYSINLALPVDTKLSSIEEKEYRVKGEEFLHDGWRRSDQP EGTLVDLRRKNKRIVSLAGYQAPLLGDNQQRQKEDAEDQIFWYNAGMKFPCVCSLTKY NHSYTYTTKPPQSTDLQALNITDSEDSLMAEDPEDLPGWYDGIDPIDLDPSLDNIKEF EISWNTTTFPPLSAEHASQISDPETVYEGSPVESLLPLFLNGEGDRKDQTRHDNLLPP MITANRDLNEEQSWEEEVKRRKAFWRKMIRDDGGYGKMWDIIQYPYTHPSRYLAPPIK RKKIKIPPYNLPRTYHSLSHPFHPNLPEYIQSEGSRRVYWLIPIHGPIFIPTLNHPLT ENLYPGQVVPTKGELVDQILDEAKDKDTNKRIIWTSSLLLSFIQQFLHPLYMDDQRPF GVLGYTFSGAKPDPFLDIPYRSSTNTDDFETRKNLNDNNVGFIDKDEMVKPECGDHLR IYCNLKYSLELRTWLHNVKIPIPSSDDNNHKKRKGEGEEKESKSMRIFYKTRLTLIGD KGEVLMVA I203_06667 MSLTPPPEDPQEEHDQPRRGGRARKQVEKFEATSASTTKKGKRK QTWEDDDEDENMEDSDSYLTEEEDEEADVDPTPKKGKKRKSVGGAGSARKTKAVNGSG KKKGRKSTGGAGAGANGEDSVRNESPLFNALLSPDIALQPLIDEWVETYQQAAGDEVS EQVSIHELITLFIRCCGINLDIEQAEATDEDGITDAVERIQDESVRVAAAAYPLISRG KQFNAFRKNLDYFLYNLTESLSLTPILFQETTEESDHINVLLSLVLNWLNCMSTSPLR PIRHTSTYMLLKINSSMCDVASSVGKDLSLKQRQKEAEIKKGGTSNAAQKRLKELEEK VKEVQKRKERLHDMFQEIFDVMFVHRVRDADPHIRSDCLRELGVWTKKYPDYYVSTSY LTYFTKGCNDPSAHARLETVKALSNLYSKESFMNNARTVTLRLVPRLIEMALRDVDLH VRVTAIHVIALIDKTGILADEDESHRDKVARLVYDQDSRIRKAVGGFIVNLWEENKEN LKTEWSGARAAKKKRANKISEDDMATNLDWKSLATLLIDTSNSLDGPIESTASSSSQQ PILPISITTPLTRADAAVESICSEYELWRDWQSLVDYLLLDHSTADQDMWLLTEDEET FMLQVLIALIQREDQVSYKIDEEEEDRSKKLMQILPRLFAKHQTDVGRIGGILSVLSH INLGLYLDLRQTKAYETLWDDVTKQFLHHTDPSVLESAIKAVNHLTTNAPMATSNTTK LNELQESLFASLRDEIGSDDVALLSLEEEGIAKLEAILLRILLLEKSRDITEVMEDEE GQSSGWDIICAFAERGRLGYKEEAKMIEYAVQIVLYHMTWVFRRFTEEEAQDDDKVAT LSTKRDKAVEIFQELTLGDNTNTVDTVRRQAFIAYFTLHLLFLYKGSKDHLATPAAKS CPLTIEDETQHRLGGAFLAAVEKCVSDREAHTDTDKNAEGESVPEDLAFLQLTSTLVA AIRKGVLEVEHAKEPLAHVGRLGQTYDAIVKKLVDALRDEGIYNKESETVQHVAGSAL QQSFNLFLDSDSQEPTAPLTLARMIGSAFTIHGNHFTVLQQLHPSDVCDFHLEALDYI SRRISNYVKQEVATKNREQRSRLQIKRFSAITFFKVLIQLLSPITSPDALKIKAHLDD AISSVGVEVTVHKGWEPYRAYEKKLISIASKDPNVKVAANKKVQQAQQAEEETEREMD EEDEEEEDQENNVTPTKKGRNRSNALINGNGNGDNNRSSPLSPPPGPEFEPEQIPTTP SSKSRKRAREASPMDADDEELDLSPPAQPADESMQLELGEENIDNESDHELTLEGIGP SQNERERSASAEPSLKRRRTVVRY I203_06668 MKWSDNLRNWDRHKIYRYVILLGLSLSGDGWSYEASVIASIIQM PAWITHLGYDSTIGIPDNKNQWIGPVYSLGQLVGGLIAAFFLDNLGRKPTMVIGAVLM ELSTALLVWSGSFAIVIAARVIEGFSIGFLLLGYQIYAVEIAAKEDRGFVSSFSLMTG NFFGLLAAGLVLSLVLPIVLRQRTRAYRLSNTTDGVSYSTTNTGWRAALGITFIPATL LLLVLPWVPESPRYLFEKGKDQECRRVLSKLHGGHVDEGEVVLSEAAEREYEAMRAAI SWDQVHGQDKWSALWKTKAARYRSFVAFSSQCWWAWNGQSIFTYYYTKIFSAAGIIDP HLQFGISGIQNATWCVGGIVGGYLLDVWGRRTNYLIGIGQAAIMLIIQGAITIGIFDK GIENKAAGAGFVTVYLIQWFLWVTFFSPVVNMLPAEIYSAGLRARGYAIANVFSMGMG LATQYSALPMYRHMHGWVWIFFAGCMIFAWLVIFFTYPETKGMTLEEVEIVFGTGAGQ RVKAALGKASPVDPMTP I203_06669 MNAQQTTLSSDSANNSDILPSVASMDNTVTTETVQTVSNNERLE PGARTPTNPFEVVRPPPSETDSEVNTTQYVTASAANSDQLGHTSSYFSEPVNFTFKND AQKANYLSFTDYMSSQYPNSNISEVHAALRDRILSTWLSSRREDQQGDYPTRSHWKGI RVRKMRELRDTFKIGSLDDKYLLTFLGRDLSYLERQDPLSLEGEMGEDDDSPYRPVTR KDHLLDTLLTKEMRVMVDDVGRMRRDSKNRLKGMVEERLRDLQ I203_06670 MKVAVIGSGLAGLTTAYLLRKEGVEVYLIEKSSKLGFHSQSVEI PLSPSSQGPDKSKSTRNRRRGMEREVTEKVTEDKWIVDVPMRGFQGGYYPLLLSLYHH LQIPLETHNYKFSFSSSQSTYFIHSGSSGYSIPSLPSRAYQNIFNLVRDIATFVGVAI CYSLLVILSFVAYHDLLPSCLCNPGSRKTENEMTLKEFTSILSAFLTQPFTIPIIEYE VWTPLGELFESFFISKLVIPLFSSVGTMTTKDCLQLPMKVILEYIHLTLGTDHYQLSK GYTASTIAELLAKPIREQGEGYVKLSTEIMGLEYDGGVSIKMKHTTAQRQGQQEGQEE ELITVDKVVLATPASIAKILLEGLESSLNENRQIKEGRRVGQMRAALGKVNYRETIVV THRDTSILPSSKDRRDLNFFLPDTSFSSRPSPETHPIPYLTPTENAIYTQATQIISPP KRLQRRGRTDAMVLQTTNPAVAIDTSKVLSVSKLERALPLKQPNKILPQLRSSSLDSC VYISGSYAYPGIPLLEGCVGSAKMTVESLLADAYERRTGFVRPILPAGKLDWEVGKGG RFAKTWRWRWYESTWR I203_06671 MPRRTHTNYTKATQSSSPSAPSSSHIHKHSHDDHHDHDHDHDHD HHHGIFHTHAHDHSEGAEQIMQAISTGKLDRGTKITLLGLGSNVALTLSKGAAGIWMN SASLLAEAGHSLSDLLGDFVTLLTWRISRRPATDSFPWGYSKFETFGTLSVSVILVGG ALGIGLHSYHLLLQTLLPYLETFPPGTFFNSLGHWLPSSVPSPLLEMFHSHAILNPHA AWFALGSVVIKEWLYRVTTTVAAEEHSPVLKANALHHRADALTSLVALTSILGSSFGG YHFLDPLGGLAVSFFILQQGLTLSKVAFLELLDVGVDASTKQKYFGIVNDLVDGQELL EVRNVRGVKSGGQTLLDLTITVPPSMTVRDSHAVEQKVREAIMRARREVREVKIHVHG KEDGETITVNPSDQKDGADGPKSDFGREGC I203_06672 MSDGPNALHLGAPISTTGASAAPAPATTTTTTTVPTTDNAVTPD GHHGDHAGDLSSSGNTLAEEETGEKHLDGIDAEKADSHHHHTRPHLPHGHYSHHKSKR TAHDSSEDVVADLEPHHVSIHRGKEEFHALERKYSSISQRSQGAELHRPTTRRSIASA FSNRPERQISHFSAADAEKAKADEEEFDLAEVLRSRRENYDQAGIKRKDVGVVWEDLE VIGAGGMRINIRNFSSAVIEQFMMPVINLLGVFGYNPFAPKPKPILFKNSGILKPGEM CLVLGRPGSGCSTFLKSITNQRDGYLEVNGNVEYAGVPWKEMRKRYAGEVVYNQEDDD HLPTLTVAQTIRFALSLKTPKKKVPGVSNAQFKEDMLNLLLSMLNIKHTANTIVGNAF VRGVSGGERKRVSIAEMFCSGATVCSWDNSTRGLDASTALDYAKSLRMLTDIMGQTTF VSLYQAGEGIYDQFDKVLVLNDSHVVYFGPAKEARQYMMGLGYRDLPRQTSADYLSGC TDPNERRFAEGRSEDDVPSTPEAMEKAYLESEICARMAKEREEYKAFVHQNDDIREEF KQAVTEQKHKGVGKKSPYTVPFMSQALTIAKRQLMLKFQDKFGIYTGYSTSIIIALIV GSVYFRLPETASGAFTRGGLLFLGLLFNALTSFSELPSQMMGRSVLYRQNEYRFYRPA AFALAAVGADVPYSASNIFVFSIILYFMGGLYSSAGAFFMFFLFVFTTFMVMSAFFRT LGVATTDYNTAARLASVLISFMVTYTGYMIPVQQMKRWLFWIFYLNPLSYGYEAIFAN EFSRINLTCDTNYIIPHNIPTAGITGYPDEVGPNQLCSLVGSTPGSGAVSGSAYMNAG YSYSKSHVWRNYGVLLTFFVFFMFLQMFFIEYLQQGAKHFSINVYKKEDKDLKEKNGR LAERKEAFNAGKLEQDLSGLKMRPEPFTWEALNYTVPVPGGQRQLLKDIYGYVKPGSL TALMGASGAGKTTLLDVLAARKNIGVISGDVLMNGRPIGRDFQRGCAYAEQQDTHEWT TTVREALQYSAYLRQPEDVPKAEKDAYCEDIIELLELQDLADAMIGFPGYGLSVEARK RVTIGVELAAKPDLLLFLDEPTSGLDGQSAYNIVRFLKKLCAAGQKILCTIHQPNALL FQSFDRLLLLQRGGECVYFGDIGSDSKVLIDYLERNGAQVPSDANPAEFMLEAIGAGS RKRIGGDWGEKWRNSPEFQTVKEEIQQLKADALAKPVEEHGKHTEYATSFMFQLKTML NRTNVALWRNADYQWTRLFAHIAIGLVVTLTFLQLDNSLQSLQYRVFACFFATILPAL ILAQIEPQYIMSRMTFNREASSKMYSSTIFALTQLLAEMPYSLGCSVAFYLLLYYGVG FPHASSRAGVFFVFILITEVYAVTLGQAVAALSPSILVAALFNPFLLVLFSLFCGVTA PPATLPYFWRSWMYELDPFTRLISGLVSTVLQDVEVVCKEEEYNIFSPPAGQTCAEYA GAYADAVGGYINNMDATSDCQFCQYRVGQSFFAPLEISFSTRGRDIGIMIAFTAFNII VLLLAARFLKWQRR I203_06673 MFSRFIYIFAFLASAVFVQAQDDAWHLDYIYVLANEEIDPIVSP NQQSSHMHKIIGGSRMSAFYNSDYYSAASCSSLRQQADKSNYWMPNLYVIDTDTNKFV PVPILARFYYFLSRNSQYQNVSPFPKGLRILTGDPNNKSPTNVVTFTCQINQDFSGSL IGTSFNFNRDCPYGMKTELYFPPCWDGLNLYKSDGSHMSWPDNGVRSGSCPWSHPVRL PAIQLEYTWSTSNYNPGQALAGKLAWANGDTTGYGVHGDFVEGWDQALLNKALNANGT CVGVYHSMQMGECPVLAPTMDDAAAKACKPERGELQESFGNVDNVPIPRLPGCNPLWG ATGDKPGCNPAIAGLDVSKMTGTDGPYVASAADNKVFKYPTTPGWHNIACLKEITALT GGVSYTDSSMTVESCQQSCLAAGYQFAGTGQVGAWNCVCGNAIRPSASVYPGMCSTPC PGNSAQQCGGSYIFNIWYAANGTTQNTTTLADGTQYVGCYNNPGTASAGLLGASTYNF QSSSMTTETCIAACHNLNTNWALTTSAKWCYCGNDWNFGTGSLVPSSYCTVACTGNST EKCGDYYRSSVYNITAASIEKSSVYHPPGWQGCYQDLSGRLALTNNYWSGTITPQSCI NGCSELGYSYAGVENGKTCYCGNSPQITQRLPVSQCSTACTGNSTAVCGGTNAMDLYT TASANITAATVKASKPAGYLGCFQDSGSNIAFQNYYSYTVNPMSVEICKQSCMELGYS YAGVENSNQCRCGNNVPTTTQYVSSLQCNKVCSGNSSETCGAGGYLEAYTLDNSTMSA VMPGVSAADYITCYENSNRGLTGYTYYDSGMTIEKCRTTCSEFGYGLASVYLSKYCGC GNSWTGATQKYPSSSCQYYKCGGNSTEYCGGQTQAAVYNTSEVTVTINKPDGWVSCWT DSGSARTLQDYSYTANPLSAKACRVACANQGYQYAGTESGNQCFCGNKVANGAKAPTS ACNTQCAGNANETCGASGYMDLYNATGAVANNGIRGYLGCFTDDSTFNGNSYVSDWMS VDSCNQWCYARGSAYAGIRNGNQCKCSSVAPTLVTTSASCNNPCSGNSTQNCGTATTI AAYELANTGIKSADFVVNSNSTGYVGCFREGSTRMLPSYYFSSSSMTNDLCISNCKAL GYAYAGSEYASQCYCAAKLDPTSGGYRVAESACNQACAGKVGICGSGNILSVYSTLNA TTSTPAVEGLKGCYSQGTFGQAPSLSYSGGYMTASLCRRACRNGGFSIAGTTNGNTCV CGNSATYGAAAAPASCNAPCQGNTTETCGATFSSAWSIYDTTGAGSDTPAGFPANYVG CVNDGSPRVLPNYSITNGGMSSDACRKICVAAGYNNYGTEAGTQCYCGTTKPVTALLP DSQCTSKCPGAPTEACGAGGKMSLYSVAGASSNTTASVSASASASITGSASTSGSVSA SKSASASVSSVAASSSSSRAASSVTIASSSSSSKPASSAAASSSSSSVSMAASSSSSS SASKATSSSAAAAASSSTSKPASSNASSSKASMPGGGAIATGGTVSVTSTSTAASAIT SAVTKPATSSVTSSSAAPASSSKSVAAASSSSSKAPASSAVTATSTTVIQSSSTTSSN AAIPTGTALGCWTGGNTVFSSAVMTGHDNLTPSMCQIWCNANYYTYSGLSGGNTCGCS NSLDGLTSAASSSCSTKCSGDSNQVCGGTINSTYSVFRAQAATKPSNAKRFDSGSASI RRRNERRIVHSPNKNEGTMKRRGKGVFANW I203_06674 MFKKLTLLASALLPLAQGAPFEHGASQVDKRSTNLQCVQYQYGG PFTSTGSNGFIHLYSNITQYDPDTQNTSFNESRLGSSEDGTIEPCGDCRTTELFGFEV CQTRDRKAFDGLENSANFFYGHLTYYNVGLFQCLTATSTGDENGFEGSALTLKDCEYD YENAAASGQYFEMSISDQGYWYAHLVDENTVYGPTPELNNNGSLVLYDVIGANKTFTF FGFKAQQF I203_06675 MPPPTIPSNRKRKSGPQPIAPAPATHSALLSRHLMQQQSSPSAS ISSLSVDQDQEDDEYVPKTISSTSRKSASGVIGGKRKQPSTSNGQSHNGKPTKQSREA LRKANHSLIERRRREKINAALGELRRMVPGLGEDGGKTGEFKLEVLERTVAHMMNLKQ HIVHLESIITQHGFNIPSMNNTSKPDDEDEDDQAGSPDGGEDFGAKDTFVGSSRIQCT RDKQNVGQSTSNQQHHQYNQQTQHRSNSHSQTMQFTNPHIQAHALSPYPSPRPDNENL NNEDPNETEPEANLPPPLTKAIRQAQSISRHASPSVASLLSQTANHSPTPPPPVSRPP PPPQSNNPIFLPFPAPSPTSPFLSGHPSTSTSASTSSSSSGLHGSGSGSYLSDPSPFL APISGMSLFGGVFNLDLPSPADSLSQRTMRYSPPTLTLPPTNTREKLKDMPPEEAANL LLAFSSPDTLRPQVHGVPVGEEKRERRMTLDNDDFKLDSSNSPPQASIRIPNPQPATG VHKVKSDYKDADDYTQSGLKGKSAMDILKMTMSETDSRT I203_06676 MSIRNTTLSPSGASTPNRPHPLAPYYPPADTATYRDLLLFEERL KSNAEMLRKRRKRYQVFLYTFIGAWGFMLYQLFVVPPKSNLRIRALQASLAVVSVTLV LFFASGMYEEKIKYAQSYITHSNKALRPLNMHLNMRRPKSSFLTYIPFLRNSSPSASP VTPASSNPLNQSSKPFLGGKGQPVTSSASSASSRKVSNSANVMATIPPSSNPRGELIF SSRVDKSFREGYERYRAAFEKRREEKQKEESRLNLNSTNWFLWTTSTSTSASKNHGSG GNRSRRTPSPNPLGLGKTPPISRRQSPSPGPGQMQALNPHKVESALRNSTLPQNSSPN LSSEDKEGRQRSESYSLVLDRNNDRSRNDMQMAR I203_06677 MSSASTSTQPACLRCRSRKTKCLGRKADQPCQSCVSANAKCEVV PHQRGRKVGTKLSDSVRARLKKKRVKVSEEEVNNDFKDKGKDKGNAIQVSLEFKTTSS TSSSSIRPHQHQHRHERGISLENDDDGLRYQVRAYLPPTPTSSIRITSHPTPYQSQDT KYNYTDIGPSIRRTSSISKIFSEEKRDTAKIGSNTQGFSLWREDPITYYVQRTSSFLF CVILAIAAKFSPKINYFTHKKCLALAKDQILRVFADDIRSEQTVQALFVLTEFKEAEN ENAFLLLGMLSRNISRMAVDLDLSSPRPDYDERHNRNRQRIWLAFFNYCGQTAKPSMM PEDHLIRSSNVSLRRVLAVSIEAIDKDNESGPGNYGLDLRSVYHTFEKDSDAWVKVQT QRDPEITIHANLTALHAKVIRSFRYSNPAGDSTVEENQERERREALAACINGSLGILA TMCVLNDDSLRYAPDSKHLYFAYASFFLYKVFDTKIASTILDPHSLSYIFGLFQKAAD KLERLTISPTHTIAFHSAFLRRLNRFNIEGFPTETQSSAQDGIHDDNNNLEDVQTVAR EIDNIQARPALAIPMTDTQDIHSTNNESLTVSALQPALDPSLTFSLDPLPLPDLGNWL LESTDESLGANIDWNNWWPFDDGLSTWNFDNAPTDMNTNLGILDPSGGGSGNAGSLGN EDNDWQGIIQTL I203_06678 MGNVLSPKYTYEECTADLGELGQLKGTLIDGRVKRFVNVPYALP PTGEYRFRRPRALPKGYSYVNGQPRNAVDFGLPCPQPTYTSLPVSELAEDDGSAKAFP YDEDCLKMNIWMPSGPPPPGGYSVYAYLHGGWLQIGDPSIDPKMDPTEMSSIYLTLSN SISVGYRVSAFGFLACKELKDETLDGSVGNYGLWDQRVALEWIHDHITALSGNPKRVV LGGRSAGAYSTHAQLVHECLQAQPTEGGLFQRAILISNAIPCLPKTVEETQTQFEQLL TALDIPLSLSGPERLSRLRAVPAEALTRKIMTIEQFTFRTVQDGVFFRHKTWLYDGKV AEEFKKRDMAAIIGEVQDEETLYRDGFRVTDEISLYKAVNQYYSISFNFPDRLSACAD DLPIRSPDPNPDIDSYTKVLGDITSDGQVRAPSRSFARQLRDAGVPLDSVHRYIIGWV PDFVMQFAPPKFGVTHAMDRPIHNFSIFHGPKPHEEALMREWIKDYANFVNWRPVNFG TDLWTQVKVLSPKDNGSIRIEDGLKWDYLEKVSNVMEGRAKSSA I203_06679 MSYNVPEVPTDGLKQEVPMDESKIDEVDHAVAEIDPAAEKRVLW KIDRVIMPLAILVYFCQYLDKRGLAFAAIFGLKKDLNLKGQDYSWASSIFYFGTLASQ LISIKILHMFSIKIYVGVTVVLWGGVMMAQAAPQKAADLLAVRFLLGLMEGSAYPAFV LMISFWYRKAEHPSRFAMLAGADIFAQALGGLFLYGLSSIKGHIHGWRIAMLIAGGIS ICIGLAFAYLIPANMNGAWFLTAEEKQIAHDRVAREHASAHERHFQWDQFWETVKDPK FYLVFSWAFLLCACTVLNFGTLILNGSGYTSFITTVLQLPACAMQATCLILATLSCKR FPDHRGYIQAICAVVPLVGTTCNYHILSVNLSIISSNIKGHTRKTLFSTVYYLGYATG CIVGPQLFRDEQAPLYKTAMRAISSMYGVYIVFMLLFMVLCKMENSRRDRLAAEGNEE AVPRPAADYDNKTDKQDLSFRYVL I203_06680 MSRRRASSPDLIYPSRRVMRFCVLSIFIVAGVWLFTLEADLSPE SLGNSQLLKRAVLSNEGDAREHPIDEYVKSFPKVRSWKETGVPTSTGREGENGLVIED DDIMEIEMGMGEAKERVPFDWPRVRKLFVFGDSFSTRDKDYRKDGVDWGHFQELHGKA TDMKWPDYLYAVFHDFHILQYWNLAKVGATIHHSVLPPGLESYGTFETQINDFEDLFT PLPGPRQVEWHSNDTLFIVVFGINDNGRLNRDDLHEGGGLDIPATTKALVDSLISQSR RLHSLGARNFLFMTLPPLHLSPKYKLPSQVGYDIHERVELSVTHFNDNLRSSVKQFED DVGDSNIMLFDLNKFWKILLDYPELFGITDVSRFRMTIDGRLPNFGRMGLAYHDNQHV SWSSAELIARSVNGLLMRSSASIQFSNASQTEYTA I203_06681 MNPNKSPDPLYPELEVTKELEKQGDNETAFGDKSPGVRRIEIIA SCFTTWHRWVLFISVFLVAYSYGLDGTVRYTYQATALSELGTSSQISTVTVVRSVVAA AAQPAYAKISDYFGRISILFISVIFYAVGTIVQATSNNLSAFSGGAVLYQFGYTGVML LVEVLIADVTSLRSRLFFSYIPAMPFLINAWVSGNVASAVLDATTWGWGIGMWAIIFP AMAIPLLGSLVEAEWRANRKGLLDEIPSPLKTLARPSLWVEIFWQVDIVGLILLAATF ALILVPFTLAGGTSNIWKAAHIIAPLVIGFVVALPAFIIWETKIARHPAVPFRLFKDT RMVLAPICIAMLLNTAWYTQGDYLYYTLTLAFDRDVTSATRVQNIYSFCSVVIGIALG LVIRYVRRLKWFIVTGTLLFVLAFGLLIRYRGGFSAHDFAGLVGAEVVLGIAGGLFPY PTQVMIQSAVQHERTATVTSLYLASYSIGSALGNTIASAIWNNSLPKHLLNAFTRYGI ADAATMAASVFASPLALVAEYPPGTPEREAASEAYREVQRYLTITGICISSLLVVASL CLRNPRLGDQQSLPDAEGFQVPILTKEKTQHEHSPTRTAVENDSVTNGNVPDGYDPGS MDADRNRSTKIMANQV I203_06682 MEDRPARSERQTRFDTTPGNATSRGWSASQSTVRPSPSPNVHGK GSRSRSRSKARSGSKSRTRTHTRAKSTSPSPPPTQVRIQLAEDYRSRLPLIVSRFIGY RPPGAVPPYEPLPIPLFIWLQYISLRYEIWLFSFIGSFVGILLVEAICSTNTVFREVY GSPMIVGSFGAACILLFGVIESPLAQPRNHILGNLIGAIIGIALTKLFTLNGKDEYID SLENGGRFNGKSYVNGGLVVAVTMLITSMLGMVHLPAGATALAAATDPSIINLSWNSI AIVFCSSLLLIGCALIINNLGRRRYPVYWWNPQRYFVKSDHDPNSNPKVGNEGPQKDR LSRMRLRSRESEELALKTLEEGRLRQLEDGGRSPEALLVDRIQGHGGHLKDIVEASDG LREGDDGERGWRGVLEPLGKVMSRHDRRLAEGAEGDG I203_06683 MPKHLPTFKHLLHSSQQIQRTDTKSEKSVNDLLSSSRNARPRDV PPHLNGTSTNERVWAPSPIASTSGTSGIGGLVEVENRGVHPAEILRRNNIAHRQASRS VAGPAPPPSWRPSQSSSSTTDIAPGHAHATRSPQPITTWQLKLSTSLLSNSPITQSNT LVEHCLRTVLRYLEDDQPILYSPEEGNSDEPEESYTLGEILREQIPYLSLHLRSSLLH TASLLPSTSQHRLSDKSILAISSDPPPDRPGLTFGDGLRESDKQNQDDGIGIGNDWDA PSISEPTLTHLSLTLHSSPQSILPRIPNLSSITSLNLGYSTLPSDLDRLVSVLPAGLR ELSLVGTTFGGKVISEDGLRRGFGTLGRKLIVLKILDLSFPRFELTPKILEGLLLPGK TKLPSLRVLGLRGLKEDGANLERSVVDGITKCDHGGTDCIQGVNKMKKEVVELLRNSG RSKYVESIW I203_06684 MSTYDTPSRKHSGYPQRTNGARYKHLGSTHGRLDQFIGGHYADY NLSSLLFAHRLDDSKHVKLEVWSAPNRSKPSFEEAKRQNYRIAHKGEEFGPSWSNHWF RITLHIPSEWEAYERVQLEFDCSGEAMVYTVEGDPIHGLTGGFGGDRRVEFIIPPENR DAGVGHLYIEASCNGMFGINDMDPPDPNRYYKLNSADLVVPNMEAWRLMWDFNAIHQV YNTLPDDSPLSKHSLYVANEIMNVFKRGSLESVGKCRRLAEEILGKGWEKQVGKDSED ADKQKGTLWGVGHCHIDTAWLWPFSVTQQKSARSWSTQCDLIDRYPEHRFSATQAQQF KWTEELYPTLFARIKSKVSKGSFQPLGATWVEMDTNMPSGEALVRQFLYGQRYYESRF GFRAKTFVLPDTFGYSSQLPQISRQAGAPNFFTQKLSWNSINNFPHTTFNWVGLDGSQ VLTHMTPVDNYNSQCDIGDIRRGMAGHKNLDVSSQSLLLFGNGDGGGGPTPPMLEKLR RARAIGKRSDAGGQLPLVKMGGSFDEFYDSVRRETENGTRLPYWRGELYFELHRGTYT SHASIKKGNRKSEILMREAEYAATIASLIDPDYDYPKKRLDAAWEDLLLCQFHDVLPG SGIAMIYEDAEKKYASIQSSIHAVINEAYSIIYHSSFPISAEEPTKIAGPIFAVNNIP DYPRQEVMSAPISAHTQIESKSAQLSKDGQTSYLLVATKNDENSHGDLMMANPRGLYA NPRVQVTKKDDLFIMANSVLSMKIENGRITSIYDKALDKELVPHGQTGGLVIMEDHPN YWEDVDEFHLEKQTHLKFESVHIKEEGPLRAIVGASLQIGQSRIEVNISMDAIPASLR ADARSMIRFDAVIDWREKHQFLKFELPLDIYSDFATYDTQFGTVARPTHRNTSWDAAK FEVCGHKFADLSEYGYGVAILNDCKYGYATQGNIMRLSLLRAPTLPDADCDMGTHSFS FAIYPHKGTFVESDVAKVAYAFNTPMRLRYSKDPIDIALEPLALQCPFKLQNAPNVML ETIKRGEDDEFSSHKTDGKKTIVLRLYEHLGGHARAVLKVTGLNVVKAEVVNILEDHL ETLKIHSRSEEHILTSTEEADEEESCGDKKKRPPKDDGSSAIKLSFRGFEIKTVRLTI EDSHHKDKDGKRERRESECSWVKI I203_06685 MSIDKPTPIRSDTSITETPTSSTSTLAGGEGGENCDTHMSMSKG KECDLPDVRPRDYGVGQKEVDVEAGLSRSPSIQIIRSPSQQVNTDKNQNQNKSENENE NEKQIIETQEEEEIEMIDGRPKDIYDRFTKSQKNRILFIVSYSAIIAPMTSSIFLPSI PQMSEQLHTSPEVINYTVAIFILVIGIAPVFWSPYAGFYGRRPVYLASMPIMVVSSIG VALSKNVGGVTAARVIQGIGNSCFLAVGAGSIGDIFRPTERSRGMSAFYMVTLLGPAL SPAIAGIFTEYTPAGWRSCQYFLAGCGALSIFLVFFFLPETSHPPLPHDTLRQQNGKK FIWYFCNPLTSLGLLRWPNILIATFISSCGMIDTYCVLVPLSSVFKERYHINNLAIGG CLYLANGAGNILGSKIIGPWADAIVIKYIAKRGYRRPEDRLKSALFGIGVIMPLSNLA YGWLLQYGVGGIAAPLVMVFLNGLALMFGFAPLNTYLVDAMQTRSAEVIAVNNCIRYI FAAAASACILPIANSIGWGWTMTICAILTWVAALALYLLYRYGDRWREKANMKYGITS READEERVESGKDEESAVREHEQGQSVIERKDTPTAPAEEIGTDTVQNHNQEEKVGEK IARPNKSSGIRRTRTNTNDLPNVEQVLKRTVSLSGQSVHGGG I203_06686 MPDPFGSFSWIFVTDPIDIDITPYPNIAGSHTVLPQCNLLFSQI WNADPPSLTNLFPDSSEFFTNYNVTGESAREDPVVQVARSDAGVGVGSNCEMARVGHR GSPGDIALIVLSALSFLLAISLSIHASKRKAAVGRIELRFFLFLYGVHSALQIITMSS LLEQGSTALSILRSIHVALIASLFWVLLGNAIIATQLVEDGTAAAIAPLSILAILFFI PTLYISLDTSLGWTNVFEISGEDLTYLKNIALFILTLLWPAIAVVIYTILMLYITLNT LRETKPALLYLGACILFAVGQVIFFLVSQPLCDASNGKVNSSFLSTLLEAGSVGLIYL AWKSITEDDWGEDVYGAYY I203_06687 MSSASSITYTFTLFSLLSLLPSLVLAAPDNDVTYQISSNSFSNK CLATQGDWNGAKVVLKDCDEDDTTWKWTGSHLQNTATNRCIDIPDGGWWNGNKPQVWD CFSYNTNQQYAVSGNNIKWNDWFCLDITDGKSDDGTLIQLWQCYNGNTNQQWTFTEVE EVEDGADDEDCSSVTVTATATAVATASAITSDTPTAISTSTIGGGLWAGSPSANASAT ASSTWGTASVTETASSWSSVNNTAAITATATATSSYWSASANGTASATSSASTSVSTG SVSSGYLQTSGSKIVDSDGNEVVLRGTNIGGWLVWEDWMCGITDSTNPDRFPLNTLES RFGTDKANTLWEAWINNWLTSDDFDNIANIGFNVIRLPFGFRNLYDASGNWRDDAFTH LDWAVAQAKQRGIYVILDFHIWAGQQASYSAISENTDDGQSQRDAAGEIWKKVATHYL GESIIAAFDVINEPTGSWGNNLQQDLYNAVRSVDANRIIIHESISTDPSTYGWTNVVY SFHEYLMMGSDYSSNVQQYAESVQAYVDKWTSYGIPSMLGEFMADGETLSYILNQANK YNLSWLSWAHSTVNMGRWGLWNHQGFYVDVSSDSYDTILSSWSNMPSKQQSTIIYDQF KAGATGSTSVSQRKRDVPPTKRLTARHGGRSRRSNLPGAHGIQGISF I203_06688 MLPKSIFRPSTLKGLSRSQARYLSTRASKILSSLDLPTDTNTTI PGCFDGTSWKGSGEELISRCPATGEILGRVRGASIEETHSAIQASLEASKIVRRMPAP KRGEVVRQIREALSSKVDELGDLVSLEMGKIKSEGRGEVVEFIDICDYATGLSRSMKG NVLPSERPEHVIYENPNPLGVVGILSAFNFPVAVYGWNMTIALVTGNSTIWKPAPSTP LASIAITKLIQPVLERNGLPAAVAALVCGGVDVGKAVVGSTDIPLISFTGSEKVGKEV GKAVQDRFGKAILELGGNNAVIVDEDADLGLALQGVLFAAVGTAGQRCTSTRRLLLHK SISSEFLSRLLQIYDSTHPTSQLLVGDPLEPSTLIGPLHNPAAVEKYEKTLVGITERG GEILTLRSGRIEGGEFGVEDKGNWVWPVVVRPSKDDQCWNEEVFAPILYVREFETIEE AIEINNSVPQGLSSSLFTSNVQSMGKWLGPDGSDCGIVNVNVGTSGAEIGAGFGGNKS TGWGRESGGDAWKQYVRWSAATVNYSSKMPLAQGVNFGIQA I203_06689 MSKSKTRSKLLFLTCCEAGQANIQLSVIDKLQHLHGEDLYIYLC SFESLRKRCPDNVTFLTVKGKGIIQHFLDKYDDSEIDNGRQGGLLKHLFTPPGFCGAI KLALSMTSILHAEEPSDYVYYAQLCEQIIADLDPDFIVCDAVFEPGRDAIMKLARKCI LLSPNTFKDLATAQQGRDVFKWPCPGTGYPYPLPWYLRPLNTLSFIFFAKWVLEYDGR HRLFNKVRNQSGFKGKLPMFQNKSSLQTNFLCVSTEKVEIPGKIPKWLKCCGPILLPV KPLESIDMDLYKWVMKRPTVLIVLGTHYKTSQEFANNMLISVLWKLQKYGHFDIDEGS RKDQEDRLKIVDWLKPDPLAILKTGNVICFVNHGGSNSYHEGLATGTPQILMPAWVDC YDFAGRLSYFNNGVWGNAKAAPGISQPEFTKALLRVVGATPDAPEAQRMKARCKELSY IVTENGTREGSTIAAQSIWEELQLELNKKK I203_06690 MPDEMVMPAPADFHVHVRQGKMCELVTPQVAKGGVRTAYVMPNL VPPLTSTDAVLTYKAELERLDPSIQWLMTLYLHPDVTPEEIRTAAKAGISGVKSYPRG VTTNSNSGIEDYGVYYPVFKAMEEEGMVLNLHGEVPSDPEKNISILNAEVHFLSHLKK LAYDFPNLRIVLEHATTSNAVDTVLSLPANVACSITAHHLYLTIDEVAPQPHHFCKPL AKEPKDRKALQEVIKSGNPKFFLGSDSAPHPLSSKIPNLTQDGHSVSACAAGVYTSPI LIPLVATLLESFGALDQLQKFVCDNGRNFYKVPAQKGQELRLVRTSREEGQGIVKGTL KGEDGIEVLPFWTGKKLEWEIVN I203_06691 MTAPSNESVKPPEPLAQPIRLIVALASLSATSQPPFLTPFANAE QYKTISSKWLTSVCKLLSFDQGRLPPAISPEDVKASANDQKDEWTQDEITRIAGILVE ASLAAENISAQKRKDEKEGTLKYSPISRYLSYKTLQLLGLPSKELVPRAEKNLSATLF HALKAAAERENQEKVDKARQAQSQGWGGALGRHLATGAGVVAGGVLLGVTGGLAAPAI AAVLAPLGVGSILSASAAPVVLGTLFGVGGGGLAGKRVRERWRGVEEFSFIEIGDGHK STKEELDDLKEFKARKDQKRLEQKDQNNSTEIAGEKGEELQGEVSEKQAQDIVQQGRL DIEERLLKLSLESGTRQSITAGSASIPSPTNSPRVSLDQGKEEKELTDIKKPPSLTAT IVVPGLLTVSRTEGITAWRAICSSATDSNKVTSAPNIASNDKVVSSSVSQLSGLKDGR DVYLLRFESAAMLQTGRDVDLWVTSKLKGLVKKEIIKRTVLSAYFAAVSLPLSVYSMA TMTLDNTWMHAVDRAKKAGRLLGEVIEKRVQGERPVVLIGSSVGALTVLHALLYLASL PGKGSIPTYVESAYMISLPSAPTAEEWQKVRSVTGRRVVNAYSDADLVLAGVVRLHEV VSRAAVMSNGIRVAGLGPVEQPGVEDMDVSSVLRGHMEIQAKMPEILKIIDIDA I203_06692 MSAATTAPNAQDGLSPVGGSGANDFSRSPTFVSDEDVEASPRAI DANATLTDLTAANGINKEKALSTDGVDNEHLPAQSTMGKVESELEKAGTAHPHHHKNA LASLNPKRKGVLLLCFCLSMFIDAAGVSATFLMTAPIAEDLGVKTGDQAWILGTYSLA FAATLLFAGRLADLYPPHRVYTIGFIGIAIFYLIISFMTDQYAFFVLRAFSGLLAVLT IPSSINMIIQMYPDPAEQAKKLALFGMAGALANTIALVLAGIFLLANWRWYFRFITII IAPFAVLAWFLMPRTEAVAEDLPGAAKLKRMDLGGVFILIACLVLFILGFTQAAVDGW NAPIFYVPLVVSVILLGVFLVWERFMPRGYSLLPHDMWRYPNILPLILQASAIFMWFA CAQLRIATYFQEALHNSAILTAVKLLPMGITALFVGTSTQAFPWLITKPRFVQPVASA LCFAGSMLFAFSKGGSGKDYWRFMFPGQIIGTAGGMIVFIGMNTSIIQAFPLEFAGVG GSFANIIFQVGGVIGISVQAGLINTGNGTIEDWTGSKNSYFFTGAYILFTGIVFVAWY RQSKMPKYEGPVVAA I203_06693 MLFLSHTLIIKRKPSSIVTSLTLLSFISLTTAQQALASSSTSKA TLDRLKVEVTTETSLRTDSPKVERRFTQHQYIFTSIQRPSSTYFLPQPSQSITTETTT QVVYLPVQPTTSGPSQFLHPLAIILILCSILGFISTLINLPFATFAMSSSSSAKPSSS SSKPSSSSSSSSRPSSSSSGSGSSSSSSSSSKPPPAPQPVPTISANAWMSFFLFCCLV LIILQGPLGLTGGNILPSFSTIPSFGYGYGYGGMPGCAGMVSTYVPWCQQESVPQTVQ QPSQIQVQQVVQNTPGGYGVGPGAMAAPQYQMGGQGGFASPHGGGGWSWYSNAGSVGG PVVRQQPGYYPAQQRTVQYQRPGLQIQINNARGGNTAVPQHVAPQCYYNTCPTDQHRF QYRLPYGYTTSNRCTPWQPWNCNYLPPIFAYAHSLPSPPIPIGNGKNLPIGGDSNVYI QSQHVGSGPVIQPQPIQAIPAVPVTPPPQPILPPPSAIASISNLENAFYPLLVAAIAL LVVFDYASQSR I203_06694 MDAGLAHLVSWSESTKGIVPPPLTGPSVTISPLPAPHPPTVFLF GGKSVKTRRLTSEMWAMNLKTRLWERVDAGEGPGPRYFHSMDVWEDKLVCFAGMSDSD PMSVHNDIWFFDCPSRRWLPQPNPSSSVIGLGISSPSHHTPQDPSLIPSARYAHLSAV SRGKLVISGGQHSDNSWIYEINVYDLKKKVWESKTAQPESSGLHSKGAYRSVATSLKK RVVQPQPTSELKSSSHSYSIDEEGEGGDVWCYSNYDFAKVRRELDVLSPDDFSPPVPS NKQIPPPSFSLRDDSDAMRGQHQPPGLRFPTGGIVGNHFILCGLYLASSSAAFSIWAL NLDNMVWRHLEPNVLATGSWNRAVIWPEMAKILVFGNSELDLAADYSRRAVNLDHMAV ISLEAFGIYQPPSLEIPAKVQEAGLTMLDEKLASDFEVICDDGRRIKCSRQILSERWP WFAEQERALADKAAGVIAAAPAVDINDTLLGSFTPARLAPTNLTVPEPFPVCVALVQY FYTLTLSTALQNRAPVLSALLFLAKQYDIDRLNRLVVHALHERLEPSIAVGIYEIATL AGEQCLQVRALNMIHMAKNAAARSQRSNQGPSSSQASDSGSSNGQSNVTPSGTYHPSS DASNPSTAPRGGPSDESPHRRARADSLTIPMDVILAPPFDSPMPGEPEQDIATKDDQI NALLAALDVNSVASRKPSLTRSEGSVSLRPPPDYSAPQPPQRNPLRLPMPVDTATLRV SPGPSTPDFSHHSAGLHRPSSPTNSDVTSNFPSTPADSMRDAWILPPRDFSSNMMDSR SSSGSGLPPLPEDHLPTHQWNDTFAMRRNQYMKSKNRDALVDPLADGKRLNAATLEAA GLLPPATPPPQSMSAQQARAMDNSNSHHSVASYYFEASASPSKSALSRATSSGSINSP NHKNRHFSMMTDSSASTGQSIREIKLNTRDTTTIDFSDTTSLIRTNTTGTGGISTYDG ASISSGSTGTSSKKAAKAELKAIRAAEKDAKKAEAQARFEALRAQQAKKMAISRAEAQ RQADIRAKEKEEKEKQASASIKEKPKSKWGKIGKGFTDAVLFPAGGSNSTMI I203_06695 MSRSLPSIPLSSLPFSELQTLASYNEDMESRSPKEWFDRAKYEA DLAILAERSGKKEEMFLAYTRSCQAYTYAKMHSKTRDLRKTDPHWSERIKDFRETYDV FLVKAKEMKEQLKKRDGTDPLASASPPGSNMTTSSRPGPSRSPSGPDIRGGGSIADRM KALGGHGMDVGNSNKRFSKDLNSPNNVTVKRPSPTTSHHSLTPTAPNQPNGTGASAGG NLGSLRTTQPLSNRERSGSGASLHSLTPSGSGSVSTKPPALKEDKPINSHQTGSSTRS RRSTNPIDGDRPLPTAPISATQSPTITTHTPQQPNYSTLPPAGPSKPPLPAIPPSLTS RNLAARAEVNEADTSSGTLKDFEKAFPSLSEFGKQNSDKSGLPNGSAKFTQHNPIIEE GGESPEITLPDVPSFATLPSAPSNRPGLPLPPPPPRPDSINPLEQDHQPNDEKALSPP MPDAGAGLKRPASTPNVATLPGDSNLPNGIVRDSASNGVTRDTAPTAGLEDPKIPKLT FPVAVPTPPPPRQRDSLPQPPQPNGLPHSQEFKKPKFPYSNSVTPDELRSYFLNPAVD ILLLDVRGEEEYKRGYVGKEYEPRGAKVTVVWMDPTVLMRDGINSQKLEDSLSLSPPA QQKAFANRNQYDLLVVYDANSTNWPPKDKATPTSRLWDSVYEYEFTKKLDRTPVLLVG GYEAWREFIKMRAAKHQQAYNAVHGHGHSQSVQVNGQGRPYNPKANGTPRLDKVTSPN SSVDLTKRANRDMPIYQSAHYAKNITDSFGYAPQSMTGEPSSYITGQPSRSYAPSPVS HTRSPSNYSSSATPITAPPQASIHPGPGARRRSDYLDQHGQAYSGLAGVSSPRPPIDY PQAHALASVPQPPPAAVSPMDRYDTRPAVVRSGSIRGLDLVAREGDEVRYWNDVVLGL TGLKNLGNTCYMNSTLQCLSATYPFTSYFLDGSYKKSINIYNPLGTKGNLANAFAELL KALWKEDYTFLSPVTFRKNIITFASQFSGTDQHDSQEFLSFVLDGLHEDLNRVKHKPP PVEMTPEREAQLETLPPEIASEKEWQIYRMRNDSFIVDLFQGQYRNRLECLTCHKTST TYDAFMYMSLPVPAGKSKVVVQELIDEFVKAEVMEKEDAWNCPRCKVPRRASKTLTIS RLPPVLLIQLKRFTTQNGVFWDKSETPVIFPVKSLDLTRYVPRRQQTGREDLDDPRCQ VGPFKYDLYGVSNHMGTLSSGHYTAYVKSSKGWMYCEDSKVSKAHEKDVVSRPAYILF YKRVRT I203_06696 MPASYPVPTQMVQQLWGRFVTFLMRSYPMKDVPLNIRPGMIFAT VIWIIILGILGMAPLPTLPVNDKALHFFGLGFATFLLYFVLEVPDGPGKRIWYIRRAP ILFTLITAFLWKEFQFSDIIANLLGSTLFLYLAHLTHQRNQRKLELSSLYQPLTEQNT STYRDAQGRRHQFNVPSATDADEDQDQPPREVYSGGVNRQRQGSNVWDDESDLDRSSQ DTARGGGGMFQLGEEDDDTPTHNGNGKK I203_06697 MNSGRSSSFEETSEKFVNRRYYETLYLSETLSPLSGFTADLFRL PNLSTSGELVRPLLRSLPQIEYRHRKIILPFLSSSKGTEDLSEVEKNVAKFALELRLG SRQALDEGIIPTPKKISDELEKRETLMQIILLLTYITHTPDPHAVQSKKRKRSKHSSP ADPDNDSPTSVNPSEDPKTALELLMDRLSVWQAVSELGLNLPTSDEINKVNGKAKSKM DENGIANMLGRFWKSVMLPYFLPKQPDLCSLFHQKVFGHPLPPKLLPDPTTTTKKPRK PKLTRPLPNSKDSLIPPPPSMRYERPRSVSNEGRSLSRVSSRAGSRAPSENGSFRDSP PRESNLGMKRNSSRTSTGNESLQTLGMGMGMRRSRSRSQSIDPHPIVGRSDSLSRSLS TGIAAASSMNNKKTGLVRNQSTNRDLFKGREVGLIRRSMSSRKLDREESQSQRFGLLG RKTSSGIQSQAQRRNSTDESQTQPNTLILATPSKPRPFFKPSRSQSQSQYWIPPTPIQ EEQPTPRPSYIAETPLAPSRIHRDTLPLGGMPDEKDEDSDDPLGELWELTDEEEEDDF GQRKGRGMEIVPETPMK I203_06698 MSDKLTRVAIVSDDKCKPKKCRQECKRSCPVVKMGKLCIEVNPT DKKAFISEELCIGCGICVKKCPFEAIQILNLPTNLESHVTHRYNANAFKLHRLPTPRP GQVLGLVGTNGIGKSTALKVLSGKLKPNLGRYDDPPEWQEILKYFRGSELQNFFTKVL EDDIKAVTKPQYVDQIPRSIKIPNMTVGKMFDKQSELPNRSQLEEDLELKHLQDREVG QLSGGELQRFAIAIAGVRKADIYMFDEPSSYLDIRQRIAAAKVIRGLVTPTNYVIVVE HDLATLDYLSDFICVLYGVPGTYGVVTMPYSVREGINIFLDGMIPTENLRFRDESLTF KIAETVDETLAPKMKSYHYPNMTKTLGNFKLHVKTGSFSDSEIIVLLGENGMGKTTLV QLLGGKMDPDDVKDKIELRVSMKPQTISPKFPGSVRMLLLKRIKAMFMHPQFNSDVIK PMNLEPIMDQDVQTLSGGELQRVAICLVLGVPADVLLIDEPSAYLDSEQRILASKVIK KFVMSSKRTAFIVEHDFIMATYLADRVILFEGTPGKESTANKPEGLLTGMNKFLKSLD ITFRRDPTNFRPRINKMDSLKDKEQKSAGSYFFVDSD I203_06699 MSFSRPSSPKIKSKFTGRTTQNAHQQHQQVSPPITPLHTSHPLI AELTSLRQQLAQYQKAAHQASIHLQGVRLELALSKEKEERWTREKDVLEREVEVLRRV SSNTLPPTPLPTSNALTELSLAHRRLSSKLDLTETELSSTKSELSKAHLELQRIKQER EGDRAIINELRRVEEDREEELEWEKGERKKMEEMKKLCDLALEEYQTLVHSLDPTAVP PSTPSKPTSSIFLAPSLQRLSLDGDNSTSQCNDLDTPPLTATSSTTLPSSVPVSPGET ISNLLIGQKGVQQLFQDFTSFLISKDKHIHSLENKIEELEYSFNVSNEQLDAESQKRV EAENERDKALRDDESASKVVERYMNFTQKTHQTLHLHLNNLRKRSNATSSTLRNQLGN LKAELTVEKEKNQKLNEKFDDLLESFLRESTGRRREISLRLKLIAIQEKMQSEFEKWL NKVDKIRNDIEGIVVEPDILEGLLDEVIDIISSSTNKAEKGESGNNDKVRSWRGLGGL INKSTKTKSTSGIERNHEQESLARILLAEELVTTLVADLQDETEKRMELERQRVDWLA KEAVEGVKADEGDDGHVVFDLDDPEHEHIDIDEQHIARDDQTRDPQEQKNGIVADKNE IHLIADERPQELDSEQTTRTTIQPTPTHAEPPTPISSVPEPSPLTTQLETMFEPITSR HVPLQKTLHDLTYSLNGLRNSLPTLDQPASSATSPKSNRKSNFLHLSKLPALSSSGDP ILTSILDGLHEVIEDARVDVEIALADHERVYRGFEALLNVGKTKKNQSKENIMNEVKE YISAKDESKDEGYKRLKVRIENIESDLTEIKRVIHSTQLDGMDLTDQETGGKRNDVWG TIELKTINIPTTSNRSAFFGISPISSPLPTPSSFGPSSPLFEGLPNPNSPLDGYPLAI GRRTSNMFSTVGNVSRSFSSSVIGAPRRVSDLATGLYRPGSGGNQRKHDQEEEHSLVG KGDEEDDVE I203_06700 MSSQQHHGEDHEHEQEHDECQFGEEDIVEVVEDEGDEPMDDDDD NEQYDGEIIIGGPGPGEEDMVMDEEGEMREDNSWGANALHASQQSIFTVALHPSFPNP PLAVSGGEDDAGFLYCPIPSSSGSSSFNADNFPPTKLTGHSDSVVSTGWSFDGEMVAT GGMDGKVRVWRRVKGKGNQENQQATMEEWKNWEFLTSLETGSEITWLQWHPKGNVLAA GCEDATVWMWNLPSGNTLTVLSSHTMSSTSGLFPPPAGRQLLTASLDSSLILWDPRTS VPVWKSSMFTAANSPELDPSEHGITSLTVSPNGQIAAVGSASGKVKLVNLTNGSTLNT LVGHAEGESIEALVFVDLLGGAAGGGKGVVCVSGATDGKGLVWDVHTGRVRAELKHDE PITSIAAHPAPFLHQVTTASADSTLKTWDIRTGALLATHKGHVGVVNGVAVAPVEGGQ AIVSAGDEGVSLVWKL I203_06701 MSDDPTFIPSEGYFRYPKDSDPESKNQDTNGPLRYFSSAPEVND TLIRSTSVSSNSGMYASSWNDTVPRVDYGYGYGRSDDHRSESRSGPDWVPPGCPAEPR YNFSVSKRWPHFFNRYEYEH I203_06702 MGNPDPLEGQINAFWRDCLLEEAPSETIWSYSYCLNDNALQASH GGHQHHPVGFRNDDMYNNVSLEGQDKTLGIDTNWTWNEAFSLDPQATFVPGRISNDNY FDSAQVEFSPFSSTTFGTLGSLATSSAQIPPSSCTTHDDAPVDIEGAFDDFSYRQELK SDRRRRPPGASTGITDTGSSRVSKSGRVQKPKTGGHHTWMLDDKIDKARSKYATLFAE RQKMRESLNSLMGISHTSTTDPPPPGCSKQSYEPGETDLTGSDFEVDVQAAARQKSPR HSGEPKLSNQKRN I203_06703 MDKTALLIIDVQYDFLPPSGSLAVPDGQDILPVIHDLLDENKYD WPVIVASQDYHPRKHISFASTHPPHKPFEPLLVRDARGTTYQQTLWPDHCIQGTKGTE IEESIKEKLDQWGRKGTHMKLEAYSAFDGDAHPGEQKLPSESPLSTYLRKQGVEKVVI VGLATDFCVLQTALSAISASFDTLILGPAVRGISPEDTDKALVKIRNLGGKVIDQNED NWETRLERWFNS I203_06704 MTSSPGSISIPPPPPLDPPEEPTNTLALLLPNQALFSPPILALL KDHYGLFGDIVHWAPVKGFGRAIVVFATNEDAERAKKEGDWLKLDLTSSPSAETGAGG DEQEGQQRQRNQVEKEEMAQDKREDGYFTPKDTNKRRSKRQSDVLKANELILRLHYLP PTPLNPDPASFHLAPPSIPHNFLISPPGSPPEGWEQIAEEGPNTSILAEDLQRALEAL ALNGAGGRQKGGKEVILDEGGVRVEVEDTTKQSDADEGVDERWEMDVEERLDISTSHD IWNSPSQSNFATTVGGPSGLGGLGGLSGSGTPMGKVKIAPTARPPM I203_06705 MPAQLQSKRAWLLLAASVTLHIFLLANTRIIGDRTGTTPSKRLL RRWDVGMNNDPPAESLLELPYAEWYDTLPPAYRPFYILFLLLVLAFLFSFIGISASDF FCPNLATIASYLGLNESTAGVTFLAFGNGSPDVFSTFSAMKNETVGLAIGELLGAASF ITSIVVGSIAFIKPFHVPRHAFMRDVLFFTSAVLLLVIVLRDDHLSFYEAGSMVVLYI AYVGVVVGGNWWARRRRKRSSHSSNENISHHHQTAIKSPLLDGSVRSSRRSSTYSSPH LSPVPQPIDVDLDDAEDSEMTLNQTPGEITPRPHLGRSRSHTSTSYLNSHSHPHLHHH DSIDTPRANFSLLGAIEFRDVVNSLRKESNSRLNSPGRDRSPAEREREQTDYFGPISA VGHRRSSSYGFNAALASTSSSQQSPRRSNSIKGRRRASTHTSPTGMGGNRSVSTPARS SSTVVAEEQDVTHTPSSTEPNPWEDQQGKPPPSPSPLTEDRLTVPKPSRPKVIIPSAQ QRVHLSKPSVPSISIVDPSGNADSLPIPEESPPVLTLNPSLGFIKKRESRFRVRRRSK LILRVLFPSLQSFKHKSWIGMILAITSVPAILALTLTLPVVDDGNNHQEENRGIALPM SENEALNDPELGYRVAQHEQDGYSPTDTEGGSGDDMDEESDRLLNPGIGEELHHLVDH GFSPLHSPLGRISHGSLRRLNSNSHNYGAIALQPDDEELNEGQEEIAEEQNKEEELYE RMEEVRGLEFNKWLTAAQCVFGPAFCVSVTFNEQSYFPWVLLSSSIIGSIAACLTLYY STDGTSYTWRLVRCFCGFICSMVWIAAIADEVVGVLNSVGEILGLSDAIIGLTIFAVG NSLADLVANVTVAQFAPAMAYAACFGGPMLNLLLGVGGSGTYHILFNGGSSRQPVTVH FSPTLWVSAGGLILILITTAIVVPLNNYLIDRRWASCLIVAYVVLMCVNVGVEIKTGR A I203_06706 MSTPARKKVLLMGKSGQSDLVSGLLGIQLTAIFLAGSGKTSMRS VIFSNYTARDTRRLGATIDVEQSAVRFLGGLVLNLWDCGGQNAFVDNYLSSQKDTIFS NVAVLIYVFDITSNEWDGDLRYFEDILFALRENSPEAGVWVLINKMDLIDKEDPQRKK FKERKADLMRVDHSVREELKDETIKEVLRCFPTSIWDESLYKAWSSIIHTLIPNISLI TSHLTYLRDLCLGVEAVLFEADTFLVIAKSGSPLDCDPSDLDAVEIDNGARELDQQRF EKISEIVKGFKKTCSRNHEQYHGFEAKFNDCTIILEPLTKNTYIMIVSVDPRVETGAL LYNVHQSSAHMVEFATKHTLEVQKCGICRNNKELKYDVQGDEDDSIPAWDDPLP I203_06707 MNTGRTGVATPAAAMGRRRDEPSGSRGNTPAGGVRAKPRKKPKP SSSPTPRVGPIPAPYLALAPTPTSSLSASTIDQLAATAATTSSNLILPSSYVRSSQER RNRSTPNLNTAGEGAEEAGPSSRPANSRQQQQQQKYVTSLLSPPTIIPGGTSSTPRMP STQPQAPPNTRRRSADRAREGISTRRSQERLRELVIGNPSLTSLGQLGQILESGHLPE ETNEESDLARAQQDVQAQAQRRRRRIVRGDTAETNGVRRRLTVSSREEGRALGLARGA SMRRTNVWDDIPEAGEPPPPFPFPTPSTARLPPAFGTTPDTANPSQSPPDERPRSPPP SFEIATGLSTAPSPALPTSSSTPSTPRAEAQRPILTVSTSIPQVNEPDSADPTAESPS STHYASAPSSPTHTVIGFEDIRASERTKREERDDRKAWNEDLLAGYTLEERVRREMDR RKGREVIKHNGEDEVGSEGSEETEGVEVPIQDEFPTEPESSDPTGIEDTHYAERPPSR LGEHLEYKTETSISRAGEETPIITNDHGLSSEYSQLMKDETNVSDEKLNDPSADSLST SDNDKERGISAGSSDAASISTSVVSSDTHSAIRQSEEGSSSKMETAAQSGSPQKTVED SLKVQAPPVQAIIAEPAAPITTPLFPASQEGVQDQSNTIKTPKRRKPSRSSTVETESS PNASSSLNVKRLSVPEFSPFRPQLDRALSHEPLFSKHGWNGSPELESSAHLGTILGND QATENFSERPIDQAVRTALPSKSSLPPSREAALKRRNLESKSPKPGVPPSAAMSLPKP KANVKIVEQKPISGPLINFDTPSSSPPGSVISTAQVDSRERPTSSDISALAASSAELL TLLELQDQIFEPNDGEPIAESSAQGAARMARKPPPPPPKRNIDPGVTRRVPPPLPKGQ AQSPPAKNNLEEASSPSIESGRPAIAPRRAPPPIPPRLNLIPRQPPPLPPRVNPNDDK SSHTTPMSPSAESDDTIKALSPAVAPRLSLTGPNPGHVNKPKGPRPRPPPPRARQTSC FKGFSPLASRPAEINLESANPATTDTVSEIPLSNRPNNDRAQSDFPHLVSPVEAEAKQ EERKRVIERSSSAMNLGASESRFSDTNSVRQPESPVQPQASLPLSDVGQSSGEAEEVR REWTDLDLLVSRIQDLPSDQTGVYEGYTQISQFLGPSKSQAATPAALANLLPGLINVD SRRTTPQGKVKLKLSLLGLRVTKCGICLSQFKAGEKGVMLPMCSHVGHESCARRWFRE RGSCWVCRMVLPEE I203_06708 MSVLRTTSTARLIALRAPAAMFVRNYSPDTRAEGATASSTSFKE REQASETKYVKEHEAAKLKAAREKLAQAQAEVDKQQKIVDGQK I203_06709 MSQPSPEGRKRPRPSDADERDVKRLRNVDMDGDGSMKDGMGQEW VSEQMSRMEKLYKEVLIQAALVFQHQSFCKRLGLNHQKVPTQMMDRLETTWRTYEGIR RHVEWSMAQSGEKPPTSPNKPSSTLEAITRLASNAIPPKTMELPTPINLSITGDYIPI LSTISPDKPEEVIIDQSQSQALVLDKSAVDPSTVKKEDSVPVQANQNGEVSITTKEIK DDQTQLPSENQVLPISQTGLIQPPPPIETQSQTQPQTQVDGGLDYSSLGLDELTALIN GNSFDTTTASQPLPIPDSITNNDTQNQQNGNEIFASLGLNTGVPPSNSQHQQDGSQGQ QQPPIPMEIDFASALNGVTTNGGLDGEADFSALAGLFASEQPPTLPESQNGNGGNNVN ADISGLVKDDPALNNGLEGLEGLLEGNNEVNQSTSVVNADNNGNLEIQKEQPSENPVQ NQNNVQISNEQPNTENPTQEAVSGGGPADIHNESKINGTQMPPPVNNPPDQSRSSVQP TDQTQVQTQVQPQSQLSNPEKPQPQPESHSQSQPQPETQPQLEPQSQSQVSFDQQFFQ PDFSLPDTSTANADINQGFNVDGGGGGGEFGEIDMSDFNFTDAGLEGMGMGGDEFERL MAEFG I203_06710 MVSPISYATVLGSSYLIEAFALFDKKGTGQVPKESLGELLRSLG QNPTQAEVQGLERGLQGGGTFGYEEFLNVLNRPDGWKPAGTADEFIKGFQVFDKSGNG FIGAGELRYVLTQLGEKMSDEEVDELLKGFPVQ I203_06711 MTTGPVNGLNGLEAKFNSGMKLNPERPAYVPPHMRNRGPPAPQF NNGPAPGGPGYHQSPTGLPTPATTPPQSRGSYAPPAARGGAFPPAGATRSEDGGWGAP RRGPAEPRSFGGGAPGFGSWKNGEHVLGARNPRLEKELFGEAGDGVHQSTGINFDKYA DIPVEATGTGVPEPVTEFSNPPIDPVLLENIQFARYTTPTPVQKYSLPIVAGGRDLMA CAQTGSGKTGGFLFPILSAMFTYGPIAPPPDNSYGGGYSNRRKAYPTALVLAPTRELV SQIHDEARKFAYRSWARPAVVYGGADIGQQIRALDRGCDLLSATPGRLVDLIERGKIS LANVKYLVLDEADRMLDMGFEPQIRQIVEGEDMPGVMDRQTLMFSATFPKEIQMLARS FLKDYIFLSVGRVGSTSENITQRIEYVDDADKRSLLLDLLLAEQSGGLILVFVETKRM ADSLCDFLQNQRHNATSIHGDRTQREREAALHAFRTGRAPILVATAVAARGLDIPNVT HVILYDLPTDVAEYTHRIGRTGRAGNTGTSTAFFNRQNLNISRELIDLLKEANQVVPQ WLIDVSSERSFGGYGGRGGRGRGGGGGGRMGGRDVRQGGGGFGGGAPRGGNSYGGGGG YGGGYGGYGGGGGGFPPAAASGGASWW I203_06712 MSADQQTAVFLHDKPLAKAMTSDLHQVEGNWYFKEAALIDRSRY EESETHTTCPWKGEASYFNYKDDDGKIIKDIAWFYPKPKAGTGAEEKVGGRVAFYVGK VDGLRVGAPSI I203_06713 MNFVRHQDPKSAKDIHDKNKSNGLEDGMIVTDDITKTAATVPDG RAGGDSTEKITYKGWVAVDDKALEGKGNLVYKEYEVKPWDEDDVEKKKVLYCGLCGTD VMALAGDEGPLQPDAVCGHEIIGQVYKVGNSVENKLTVENEQACLKLTVTFNSTYHRG KATNVPSKGGFAKYWRGPSKFVIPIPSGLDLDIAGPLMCGGVTIYSPLERYNIGPGKK VGIVGVGGLGHMGIQFAKAMGAEVTAISRTESKKEDAIKLGAEKYIATGDDLKKAFGE YTRYFDLFPLADYISILKVEGIFCFIGLIPNPVEVPIVPLILSNAMIAGSAIGSPSVM VRMLNFAKEHNIKPWIQKYSMDDINKALVSFKQGEPRYRYILVNTDQGGEL I203_06714 MWGVPATEGTVPSSSSTRTPHADEVSRVSKTYESLVKQKEDALD SYTKLCESTGTKIDPAIDKFRWQQTLRRQLGIIESASTILRKEGSRASKDA I203_06715 MTSTHHRRPSHSSTSHNLPPLSPSISRPRPIPPTNPPEPPLTLL HSTTSPITPYRTSSHAPREDDDEKGKRKRKWWRVRLGSGMYNDIRNRIPWYISDWTDA WNYRVIPSTWFIFFANVLPGLAFSLDLIETTGQYGVQEVLLSSFMAAFVASFLGGQPL LISGVTGPITVFNKTIYDIFENKENGPNYLHFIGWTYLWAAIFHWVAAVMNAVQGLRY VTRFSCDTFGFYVAAVYVQYGIQVVTRQFGQTSTPSAFLGIILAILTLVLPHYFNSLA KSGYINKHFRRFCADYGMPITIIAMTGLAYWGRFDQYVLENGMTLPTTPTSFQPASDR PWLVRFWQLEGKWVGIALPFGFVLFILFYFDANVSSLIAQGSEYPLRKPSGFHWDFFL LGITTFIAGLLGIPAPNGLIPQAPLHTASLVVMGYEDDSATSSTTAISPTPERSSLDR RETQMDIQTSITTNEIRRRDRPTEGEDITTRNTKRDEDRREVPVAVVEQRVSNLAQGC LCLILMTKPFEHVLGLIPKGVLAGLFWYMGSDALLTSGVTAKILYLIKDKRATSPSEP LHNVRKSRILWFTIIELIGFGATFAITQTIAAIGFPIIIMLLVPLRVLIVPRLGFSED ELAILDGAVASPFTMESVGGSI I203_06716 MPPSSTPSITFTPHTQRLAIRAALAFFGILVIRALFFSSSSPSE EIQSHGVFERVLMNDKYLDVSKYPFLQSRQGRDDRPDMFDQEVSEGLLDFWTRFQKPF ITGKDTAHLDTQVMRTVIDDLLQFNGWVASACPTLVRPFGQNSRDDHYEDLASKDHLY YIAIVIHSADHFLVDQLAIIVQLARRLGTRNIFVSMLDQASTDSTPTLADLCEAVMTI LGIAFRIRRVPPMTVDPAATYYPLEEAEARNLALEPLHELWHRRSIKFHRVVWLKGFT CPNDVLESLRVSEANNAAMVCGMDWAEHNGFFIFSDRWRTRDIEGNLFRQAKSNSKPE AGPPRDKTGTERFTHHLPFQVYCCESGTHVVDPEQSYYRDIHYRASPLSHNLSTTQEQ PNWDPEMACMDSAQMWFCRDLWTDAARGGLKGGKKGHQKLTGAHKRDLSEHFDVHQRD LGLEAESAVQLGKRDEPKVEERAEGGKEDEDSGTDVDAMAEDAANPAPEPLKPQELPA SAFLIPNSAFTPARILVNPRCITTYGGVSHTQLALDLFGGPHGDDPAHDSGNYVLEDW AGPPDSFVCQEMRTTGGRTAPKSQRRVGFLLQNEVGI I203_06717 MSPQAENLYNFPPDSQEVSGSGIRRSFQDPSNHFATQLLCLSDG TYRIPSQPDNSFALPEPPACSDINSDWSNNATLAPIGDQSEISQWSIPGATDSFSLAC VQADDYNEMAFVNSAPHESRAGRPRKQSGSGTSQCPKERRREQERAHGTVN I203_06718 MSADSQHPMGETATHQGHPSLSARVYDPQWDDPAYHPPHIGRSA AFLTRQSEYQQHTYEGLFNPSGLTVGIGASNSLALSSSTQGYADRLFPGEQPQSDDGL SVITCTSDAKSDHLSDRAYNIENERSLADERKRQSNVKASRRFRLRQKDKLREIPDLK RTIEEQSTMIESQSQRIKQLERYIESWNLSVPKQELSRDFDSGHHDDTTQY I203_06719 MDWLNRIRLLAIPTLCAFLSFVFVVIRPWSKIAGQWAFLVYTSN LIFFFPRGKISVQLEATVLGTIGGILGIVWATATLAVAAWCGRRYGADSAESRAILAL GLALLALIVGFIRSKSRRLNVFSKIAIFFPIFSLTSQQSITHLTAAHFLQQFYVVIFS AIFALLPTLLLAPHQSHNQIGVQIDNTVKTICSLLPLSISNLLDVDHPLTHQHDRRNK IENGSGNYTLEAQTQPSETPNQDKLAKQLKGIVANLHISSASYIRDSRLLERQSTSLL AVIKSLQKLQRNPLLGQTSHAPGERIQAALHKSFPPSRPSSVAGGTPKHRRSLSFSRH RSRASVSSEREAPGGDTWPKHKLRHLTSNYSRPSDLHRSIPLNTRPDLKDASQHLVQV IVESLQVVNVTLAEKFHWPGPNKVEDAKTREELFDARVNLEDVLTDVQRALGTLLSGT EKGLNNRGGIDDLRHTQSTSSESPLTPSPINPHVSFSESTDVTNLLKNKDRFRLAFYM TALLDLARDVHGLTNTVIGMGPREITPFSWIAVFRLGWMRHDKDEDDENAQDGQPPNE TDLVMEEEQPKDETKEYQDMDFVTATLHHRRSPVTEHGDMRDSICRFWRRGWDQHGVV RARIMVSRFFHQLKHSRHVLFSLKMGLGISLLSVPAFLPSGHAGRKWYDTSRGGWMVV SYMFVLEDTTGAILKVGFLRGLGCLIGAVVGYVCAIIAHENPYALVILATACTVPISW HILFNTSTPGLGVSTGITLPPLLFITYLNDSHGQSYFTLAWYRFTDIMIGTFAAVLFG SFVWPVHARVQYFRAVGGTMERITEFYLRMSRDLVRSSLVYRVDDKQYDDLEAKIKRE FALSRTLVAIQRQEISLLPRPVRLYSEIIDASERLLETLVEIRLLRFSVPRKATVLDV LPIRRELISTILINLWACAHSFHSRSPLPQFLPTPRSPLSELMEVTDQHARDIRAFRD TFNEEDIRGRNRSSSPSPITMNRSSSAQGNGEVDYQAEMAILYAMAENEALGEVCNIL EEIVAAAKTLFGTQTFLATN I203_06720 MPKITSLLEDRKGPFHTFEFFPPRTEAGLVNLLDRIQRLASAPL PSPLAVSVTWGAGGSTADKSLELAEHITKLGLEVILHLTCTNMPKEKVDQALEKCKSL GIRNILALRGDAPRSEEYSTDPNPQPDYFQHADDLVRYIRKNYENYFSIGVAGYPTPH PDSESFESDLEYLKVKCDAGADFIVTQLFYDVQGFLDWVKVCREKGITQPIIPGIMPI QNFSSFRRLVNLTKCPVPESISSDLLPISSDDSSVKKYGAELATKMVKQILESGLVPG IHFCTLNLEKSVRTILENLNWTATPTVKLEKSPLVRHNRLIEDDQPITNGAIAINGHS TSNHNNQISELSISPSEASQLAQWGLQHHSLPPVPKKAAIQGAPSNSGQGQEDSWDEY PNGRFTDVRSPAYGEIDGWGSGLKITAAQALKEWGTPTTLSELSEFFTSYLKSSPQTP TTPFCDLPLSPESLTILPYLLELNSAKMNCWTVGSQPAVDAINSEDAIHGWGPRGGYV FQKSFVEFFVKPEEVRKLKEKVEKRGGGKISFYAGNKKGDFKTNTEEDTVNAVTWGVF PGQEIVQSTIIETESFLAWKEEAFDIWTEWSLLYPRHSPARKLLEGIASEWWLVSLIH HDYKDKEALWRFLLEE I203_06721 MENNLAANTAARKERLIALRRRKEGKDVNGDANGESSHFAFKQR NYDPETRTLRKRGKEEENDNDDTVEKNVEGLAEQIIKEDEEKRKEELDLFNIQPKRAN WDLKRDMNNRMSKLDRKTNEAIATIFRQRLQSMKKNQKGGEVEVDLLASMNAQEHERE GETDGSDEE I203_06722 MDAVEGIEKPINPKPTTKPRKRFVGSSKAGSSSSSGRTPIRRVA NQIPDDILNDPQLNAAIAGLPGNYNFEIHKTIHHIRRDGVKSVALQMPEGLMMYGCAI ADIIEIFTGALPMLLADVTYGACCIDDYTAKEMGAEMIVHYGHSCLIPVSQTTLKTLY VFVEISIDTKHLSLSVRRNFPSSREAFHRLVLGAGAAQPGSKVPIQLEESDQVAQSKS STATEIPNAPEKEQTEEQPLPTRLALVSTIQFIASIQSLRDDLEKSMSPLQEQQQDAV TENEKQDGPLSKVEKGEIGVWRGKYDITIPQVKPLSPGEILGCTAPKLGEVDGLIYVG DGRFHLESIMIANPSVPAFRYDPYSKKFTRETYEHTEMRGIRGDAVKEARKGLVEKGS GSWAVLLGTLGRQGSLSVLKSITSTLPANSIPPLLILLSELSPLKLSLFSQEEISTFI QTSCPRLSIDWGYAFSRPLLSPYEASVASGRIKGWAGLSLTNTNEEKGEGDYPMDFYA DASLGPWTPRHKVKA I203_06723 MAKAKSTPTQTKGRSSPRLSAASAKSTPSRSAKKPSTPLKNNIT STPSRRSSGRWIEKGAKTSPYFDKSKGKGKVAQSKKVKGKAKVEEDEDGDSPSGLTES DEPSSSDDGGSEDDFDPSSSSEPEELIEEESDEDGSIDSEFLDEDQPKKKTGNKRKSV SGGGKGGGVAKKVKLSNGTGTGVGKGKSDVKIEGYDDEDEYDDEEIELEEGQEIAGRI YPAPKTGQVPPGRISQNTLNFLKNLQIPERNDREWFRSHEPSFRQAENEWKAFVGTVQ MKFHEADDEVPILPPKDIIHRIYRDVRFSSDKTPYKRNFSMSTSRGGRKGIWAAYHLS ISSNDKSLLAAGIWQPGKNELAQIRHHLLTDPQRFRDCISHPDFVRLFGEAKADKKGR RQNVFGNDDQLKVAPKGVEKDHKDIDLLKLRSIAVVHHFTDDEVISKDFQEQLYDVLV VMRPFVRLLNDYVTLPPGGGDDDDDHEAGDEEEGEAE I203_06724 MAFVDNKASSSKTAAGPKGKGGKGKPAQPRLKSNQAKRLKIDEE LKELQAKVDSWIPPAEITLFNELPLSSRTLKGLKSSHFLNPTPIQQLAIPPALRGQDL LGSAKTGSGKTLAFLIPMLERLYLDKWGPMDGLGAVVISPTRELAVQTFNQLRDIGKY HNFSAGLVIGGKPLKEEQERLGRMNILIATPGRLLQHLDSTVGFESSGVKVLVLDEAD RLLDLGFLPALRAIIGHLSPGISTSNPSTRPTRQTLLFSATQTKDLAALAKLSLYQPE YINCNKAGEEGVVPSNLEQYYAVVGLERKLDTLWGFVKSHLKMKGIVFVTSGKQVRFI FETFKRLHPGLPLMHLHGKQKQATRLTIFQKYSSSKHALLICTDVAARGLDFPAVDWV IQLDCPDDVDTYIHRIGRTARYQAGGHALTLLCPSEEQGMLDRLKEKMLDVKKIKIKQ SKMGNLKQQMQNFAFREPEIKYLGQRAFISYMKSVHIQKDKSVFKLSELPAEAFAESL GLPGAPQIKFAEQKASKVRGGQKKDEIEKKDEEEVRVEERGVVGSDEESDEDEEESSE AGSDEEEEEDATDSADENEDGSANGSESDSSSVDKPKSAPAVRTKYDRMFERKNQSIL TPHYSALVSHGEAEDEEEGDDDVFTLARMNHNLSDDDDEGSDGELLKVAEGKKDAGSG ALVKGSEKPLISSDDLSKRKLKAATSKKSKLKTGGTGEKLIFDEVTGEFRNFYETGKD VENQFMSEAKRREYLEEQREKMKVANEVDKLVAKEKRNELKRKRKEREREMRKEYMSD EDADGPVAYIGGADHGDNSDIDERSPSPSPEPEVEVRNKKKRKNKFKPDVEEEVESKG RLEDDEELALRLLQGS I203_06725 MSRSPSPSFDATQRKKSYSPQPSPAPFLTPNPFDNPQRPQEFSF ASSASRPLPRRLLSLQSSSSSSSSSSSQRVIPYSIPSPISPISPISSPSLPSQPKRPT ISHRSHSFCASSSNSTYALASAPSSGHLSPDKRVLVAPPLERTLSSIGQKGNTSPPTQ QMQRPLGMGMGIENINLQRTPTRINSNSNLRGGVLSEKDVNAEYQSPPSIPTIYIHPS LTPPRPSLSTRQQDESSRSSSFASSASTAILTPTTPHDLLFKNDRLAKDDREDDEEEV VQDEVQGDNDLTQGVDHLMISS I203_06726 MSSFTLTPAPTPTSTLIPFNHNGRLLTLIPGKPLLYYALNKSRS PGPFRPGPPGEGEGDPDPNAESHVTFRSSSGSSEDCHSQEQGGQEEEPDWLDPPDDFD PIGYIDEDGQGHGKIDFGWALDGIPTYNPDTGNDNNLSDDSLKLVHEVIQINQGLGMN NFGTGLLWVGLFNKLPPISHEHHKVGYPISVERSFTSRNAGGKNVTSSTEGTTGSGGT VEEAIADRR I203_06727 MSSPPQLDSLKIDDSPDVVARDFSPRAATPTDPEESESSPTAPT TAHPPPAAPVNGVDQPNGDVVSPSSASPSALPRPPPPQSITSAPVLPSSSTGSRPAAT AVGGRPGAARGGMPMPMGMRGAAGKGAGPMQTKMLPSLQAKMDKIAASRQGPPGGATS PSGGFGPDPNATSMGALLRSQALRPQGQGSSTPAAAGPNSGPFGLAARRAAGGGGGPP RPNLGMMGMGASSPAGMNRGAGVAGRRMGPPGGLTLSGMKGAPKEENSKFSDFKTIMD PSGSLKFSSKAVLTAKGVDFADGASFKINMDEIEVLGELGKGNYGSVHKVFHRPTNVG MAMKEIRLELDESKLNGIIMELDILHRAVAPEIVEFFGAFTIESCVYYCMEYMDAGSL DTLTGGGRVPEDVLRRITASMIRGLKFLKDELQIMHRDVKPTNVLINKKGEVKLCDFG VSGQLEKSLAKTNIGCQSYMAPERIKSETANQNPTYTVSSDVWSVGLSIIELAKGCYP YPPETYSNVFAQLQAIVNGAPPTLPPGYSDDANDFVAKCLMKDPNQRPTYAQLLEHPF LVADKDAEVDMVGWVAAALERRAARGISTLNPIQA I203_06728 MSTPLKSYLGRSIDLLRRSTAKVVQSEPTPTALPSTIFSFDSSH PPVDKIDQFGLGSDIEVGGLSTCNLALIPSSSSAPSSPPPPTSNGDDEGAESSYSHMA FYGYLSTKIPQSKLGQIRTGYAGFRNISKPTLFGQDNWDLELYSHLKVKVGYRGWEGW RNRWVLNIGIDGRPKSDVFQHRLELPPTPSSSSSKIPLDPFAFPSQPTSFSTLYLPLS SFVLIKKGVISHSPIPMPKSSIRTIGFALLGRDRGDDGPSAPTQSQQGLLKSFRLGGW GKSDASEVEDDKELKALLEEDQPPTSAQAQTQIPRRSTGSPTTGYHRVGGGTTEKTPA STARNGGIDEVTSGQEEREGYFELCVKSVEAVRWDPESDEVGDV I203_06729 MTNTDLSKSTYILNDLPAHFHTLSEDGLPDWQKQTRLKDKNQEI ISTLSEAQDAMNSVIQSEFCNTNNQSDIEGELDFSLSGVCSDKAGNQNTTGTRITDFQ MQHLDNLIDPNLDLNTNTSLDFELDFNDWIDLNSSSELCSSNRDLEVISRPTSTCATT ATQQGKKSDTDSISITNDNTTIPSFEACLFEFELRPSTTLAHDAVPAPIRARKSETSI QEASSTRRGSKRKADTMGGYRGNQTKKSSRPSPQGKRNPKSSRRSCNSKSKLMSKKSV SFGDHDRYSTEGVLRV I203_06730 MSSSLPLTSSSSGTNANGHGHGHSRNASTYISAEAGRPSSTNIS NPSSLTQRSPSPSPTRPTFGFNNDVPIPHSNGPIALDKSLSGSGSGSGIQPGGGWSSL TPQRVGKAIGARFMRAVRRGNLPFLLVFFSCTIVFFSALAGVGYHEPLPDSLSGVGSP TPTEAIANPGEFRVGGPVFDDRKGLERRIAEQRALEESWARKRRPKDGAWMRKQRDDK AIRRKPNQGQGTATSGNNGDSAETVGAVVEGGQGLAKRDSSVRR I203_06731 MAGLLGAYSAFLTRRPLIGGMASSAVLFATGDVVAQQLIEKKGS KHDFVRTARIVVWGGGIFAPAVTVWFRTLERLPIKSKWPATFARVGLDQFVFAPIVLT GFFHAMTLMEGKTLADARAKWQEAFVPTLKANWMLFIPFQTLNMFIPLQYRLLAINGV NIPWNAFLSLQNAKPKQVEKAEDDLKKD I203_06732 MPPPSPTSNAPTNLEELKELLKDDNKVKVAGVDVDGVLRGKIMS KDKFLSTVKGDGFGFCSTIYGWDIHDLAYTKELLVANWSNGYRDLWAVVDLSTYRRLK WEKNIPFFLCSFIVPETNEKLVADPRSLLEKVLEDQGGKGYKAMAGAEFEYFQYKETA QTLADKNFHNLKPLTPGMHGYSLLRPTLNQDYFHDLYDMAVDFGIEVEGHHTETGPGV FETALAYTDAARMADNACLFKLVAKSVGMKYDIMPTFMAKPWGDLPGCSGHIHVSLRD SSGKNIFAITEEEEKSGGRKDAEYDDVKYLSKEAEWFLAGLLEGMPDVVPMFCPTINS YKRLQGGQAMWAPDTASYGYDSRAASVRILSGPGVKGYATRFEVRVPGADMNPYYALS AIFALGSRGIKNKTKLPYGPLNSPGVTRDTVKHLPTSLEGAVESFMAKGSIAREVFGD YFVDHYGGTRQHELEVWKKAVTDWEGG I203_06733 MPPQARSISSYFKPTTVVAAASASASTATAATPDKKKSTLSEAA KRAIQEGALAATTDGDGSSKENNLGEPSAKRQKVDSSPSGPKVADIFLKSSPSKSTVS PSTSRIGSVARSKTREELREKIAANPQWLAKLSLEIDTMGEDWLLALQDELTKSYFLN LKEFVTNEQKTKKVFPPAEDIYSWSRFCPLKDIRVVIIGQDPYHDDGQAHGLAFSVRK GVRIPPSLRNMYKEMHDEIPEFVIPKHGDLTEWAKHGVLLLNTSLTVRAHEAGSHANK GWDTFTAAVLKVVTSRLAPGPSLSAASDDKVPGAKGVVFMAWGAHAAKMCAGVDKTKH LILKSAHPSPLSASRGFFGNNHFKKANEWLQLKYGPEGGIDWKALGAGEGGSA I203_06734 MIGLGPNPYVRMSKQEFGNECKICNRPFTVFRWNPGAGARFKKT EICNTCAKIKGVCQTCLLDLEYGLPVQVRDAALGRKSQAPSSDINKQYYIQNLEAQMA DSPDGSSSFDSEVANRAGREMLKGIARSDPYYKRNRPHICSFFVKGECKRGGECPFRH EIPKEGALAKQNIVDRYYGKNDPVAKKILREQAESKGMKAPEDKSITTLLFLGLPTTT ESEVRASLVGACPFVKPIEIKGITIVETSHCAFINFKQRQLAERVAEALSAQGGIEVG GKKAKVVWGRARPQKGKVPATSTPSGEASASGPAVTTESS I203_06735 MPPSHPSSSHHSQQQQLRDSYERSSYPQSSRLTEPRHGSPSSGR SPPISHSYTRSHPYPRRTSSTSQPERERERDRERDREQLPRIHLPPPNSIASLKFSER NNQLSPIEREFPSLSTSNQHESSWRSGSSDRPQLHRIPSGGSVGKSAGISLPPLHSIS GSPTLPPPLSMPPPPSSLDASSSAGSTARGSPRMYPPNIRASYNPYETAHQQRRSPSA GAGPPPKLREREYEHQAVATAGQSRRSSREDIYEQHRRPSIAHIQTTVPLPNHQSNHH HHHHNQHHPSSLPIHGYDIPSPPLRALPPSSMPALAYPPPYGHRGGGGGDGGANLARS RSHSSTSGFSRGGPVGMEADDSLSMGMGVGGVAHTAGQTRRLAHLMSEQKRRESINSG FQALRQAIPSSLPTDSKAIILRKAVSHITHLESIIRRSGLTYSGSPPPGGGGPREGWS IDENGNGRDRNMLVDDDELSSGPRIKWEDER I203_06736 MALRQLARAQPLLARPILAPRSAIVAPRLAASFTSTPRRSDVPP QAYQTPPGQGPIPPNGTKVKPGFFARTGKFFRTFGRVTLVVVLTTTGAFLYVTQTQNS PPNQLPADKEKPTLVVLGSGWGATSFLKSLDTEEFNVVVISPRNYFLFTPLLPSVTVG TLEPRSIIQPTRYITRHKKRKVDVYEAEAQEVDPVKKTVTFQDLSDIRGAAGSVTIPY DYLVYAVGCENQTFGIKGVTEHACFLKELSDADKIRTKLMDCIETAAFRDQPQDEIDR LMHMVVVGGGPTGVEYAGELHDFLIDDLKKWYPEVADRLRITLIEALPNVLPAFSKQL IQYTESTFAENKIDVLTRTMVKDVKDTSVVVQDANKQMREIPYGLLVWATGNTSRQIT RDLMNKLSAVQTQRRGLLVDDYLSLLGGEGVYAIGDCTATSYAPTAQVASQQGIYLAG IFQKLGQKAKLERQLAQLRAATNASPEEIEATVKKLNRAAKLTPFHYSHQGSLAYIGS EKAIADLPLFNGNVASGGGAAMLFWRSAYISTLYSVRNRTLVLADWLKVKIFGRDVSR E I203_06737 MSVITGAVRFFFPAAPIGRHASTDYPLYTRDDPSSHPTRQRQKE DNDHGRVKVGLKSVVASYAPDWILALVLWGVLAILNRSGGHKREFSLTDTTIQHSHAV HERVPPNLLAFVSVGIPLLVIVPISVFISRNGWDVHNGVLGLVMSYTMTGVVTQVIKM SVGRPRPDLIARCQPVPGSVDHPVYGLSTVDICTNTNLIVLNDGFKSFPSGHSSLSFA GLGFLTLYLAGKMHLGDVRGHRTRAWFALSPLLGGTMVAISRTEDNRHHWQDVLVGSL LGLAIAWVSYRTYYPRMSHKQCHLPLAPRCDPDNELHDDLDDVEEGRNGSREGVRLME GDEHEAARRSEEEVAWRR I203_06738 MEYDSSSYPPAGPSTYNTNNNSTPFSGEAYSESTTTYPTDYSSA LPPIGESITYNPHPHLPESNGLVQQSQSSGSGSGTGRGRGRGRGRGRGSRGRGTRGSR GGGGRGGMRSSTRISERIAVEGNNHQKNKVPIQSLKLSFKKTIGGGNENANGRYNSFL GEYDRELDEDPDEPINFEEHFVLRVPREIADGPNGLKELVKGKGKEKGLEGVEFKFLD SRRASFKFNNLLYSAKLVDLPNIIESQKTFDNRHLFKVADISQMMVVDSSEPIQDEST ITSNPLKIDEYIWPHGLTPPMRYVRKRRFRKRLSRRAIEVVEEQVEELLKKDQEAEET GYGEFNPSMCCQYDIQYNLFFHRLLLDLIDAHPDPEVADQYYIDYDPNAPPAWYPGES GSEFGFGGYDDPGSVAPSQMEDWEGDYGTDMGDEGDEVGGGDEDGEEGEEGDEDGTLD QELAAALMEEMEGSEGSDHSEDEDPISGSDDDDEEGDGDKSEEDDETIEKRAKIKQFT NEIKALEAAIEKKRAGFVGGNPIMMKRFEETISGLQADVQAKIASRQLLQDELGKNDE APNLPSDRDRGVEDRVGTPREGDGDIDAEGEEDDGEGEGEGEGSTPATGRKRDGSSVP YSDEDDLFGDDDEEDDQEDQDQDQDQDDNDEDGEREGDDEENQADGEGGEDGDELEDE MSKLLAAELDTLDQNAIDQVPGTPEAQAMELDMEREMDNALATAALDSFANDNNDDGH GQGENDNDFSQQFNFDFGMGMGMNNQNNAGFVEGGVGRRRLVQGQGEEDGSSDSSSDD SD I203_06739 MYNASSISAPPPPYELHRTTYPHPRQAQRIKGISSLPIHVIHKI LSFTLDLRATPSKFWSDPEEERVRRIWALFRGLRGVNRVFWLVSTSILRAMYLENYLS HIRPDYSSDPFPYESSHLDEPNSNEDCVKGQSVYQGIGRETSVFDRYIAVKVGQELRL VESSLSEEGEAVEEIFKRLQPSARIEDLLLTLPSHFIVPFPSSNSPMIPQRGFPLPQS HLSVTLTPTWAQLYLHSNPVASGKRGSKELVVEVRRIGTLEGTTKRIEDGLDDIYRRL VPWGGRVQ I203_06740 MDRGAARSKKRALKAQAQAQVQAPAQPQPRPQAGPSNSKPRPQG SQAELPGQPTLPKSNHKKRDRHLREEQSKDPSLYVPKAIRTSSALIYSKEPFDLPPSL ERLANVTRIDLAGSGVKDVSWLKDAKGVTWLSLNGCQVESGWKQVGELDKLTVLNING CGLSELPSSLVGLKGLKALVAMNNDWKELNEEVLKGWKELNSFIISHSPNLISLPTTL SSLYHLSKLTFSHCPKLTSDSLPDLSPLPLLRDAKMNNLPLLNQLPSHIVDWGKGNLD KVGKGKKEDEFGDGLEVLDLGNCSLSFESIKTIFNLGPSTSSSGTKGKNRWNHLRSLS LHSNPLTTTHPNYVEMLQNKSGVLPNLQIIDAKRVIERKRKGEISEKKRKHNKEKERN VRPSGSNVTIMSGEMRKWGSGKQDEEESNDAIEAEGEGEIVDKKNTKDKPSEKRDKDK KGSKKRKHNDQAPVERTEGEEVRNKKQKRTRIPSPAPAQEKVKVKDITDSSRIPQTQN QINTDKDNDEKNKNKEKSNKQRDAVVGLIEINAKGEAVQLDSKKKKKMKAKLKKDGED GGRGKGVDLREVFGKSKNVTGRDTEDGEKKDGGEGEDNGWGSGLGVGGW I203_06741 MYASSSRILANASLRVSSRSISTSSPSLARRETFVELLQDVPGL GRTFDRLFVAPGRARNDLVPTRKARFVPFKDNSQRQIYRATEADRKSSSTLLIESTTS SSGLSSSQEESNITPQEILNQLHLIPSTLTFKRRTISPDYPSLHGSLTLSDIQERLDS TYGLSSGDVSVNWVDRQDGSRMKELDSWKAIVGLKKGGKEGTEVVIRVVRLEEGEGEE D I203_06742 MSRRREAAELAIALSLSTQEANRITDIPSPYRFSLSSGNTTQTQ DSKEMELRPYLPPDGVGSNDVHTGTGVGMGYEYGGLPPIVAIVRPEDVMKAPNPSNSE SIHGSSGSGSGKGTSSAYNTSIQSFPSPSVPPRQAINPPTNSGHRSGTIHPTSSGSSN PPVPTSFPQRSSNNNNIASPAWSSPIPPNANVPSHVSSTELSSNRPLFSSTQGSAIPP PITPDAHPRPSVIKTYGKAASSGHTPSPEKNLIVLSCPPVRGGSMSPTKKRKNIVLSD DSDEEEEPIEFRTLLPIHPSKGPTPKSRVEVILPTSRPSSASANKTHDTRPSSNQESG RHHSPDPLDSLNGSGQSPMKGINQPSSSAISAMTNGSSRRVSSRVAENKAKELAEKEE RRRKRREEKEKKRLEEEVKDNKGKSKSPVKDKSPMKDEDKSLISEKGSMVEPIEIEES LEMEVQIDNPPRPPAKSDPIETRGKKRKSDVEIPIIDQNDQDEDFNPSSSRKGKKAKK GKKEPVKKGKAAQKKVVEPEPEPEQEPEPEKIVETTDQNMEEDPAEEEKGEEPENPPK SPTPPPPPPTKSVSPARPPLRAASSNVSTPSASSINHRPSPGPITKDGTPSAPGGIRW KAPRNDLTSVLAKFGGAKRSGLTKKLRIAPLHQKIGPPAKALPPVPKKAEKKKSASDD EDDEDDEDEDGQKKVGKGTMEWFMVED I203_06743 MDDAANTGISEPLDLVKLSLGERVFIKLRGDRTVTGLLHAYDAH MNVVLSQVEETIHIVDVTEDGNPLPPRVEKRSLEMLFVRGDGVILISPTQL I203_06744 MNAHHQPKTDHHRGMKDDGYWNVFTSDFGDYELGPAIGFGASST VYEAIFTVPPTIQPIPTNTTITTTTTTPDDDNSKRRRPSLSISVPSDRSQERICAIKV STSHPDVDLLSKEIKMLGLCRHPNVLRILSTFTLPPDHHRICLVTPFIPGGSLSGILS WRSRLITTPKTHHFPSFRLGHRKSKHHDDNDNDDNDEEDKGRLDEEEIKCVTKQVLEG LGYLHTNGFLHRDVKAGNLLVDQDGTILLADFGVGGDLNAPPSPVRSRKNRLAADELK FDSNKDETPKILQNGFGPGKKDNGFIGGEDLRKRKSFVGTPNWMAPEVILGQKYDQKA DIWSLGITLLELAHGSVPGWKYKPNKALSHIITDPSPTLDRSVGGYSKLMKEFIDLCL NKDPAVRPIAKTLSEHHWLKGAKKNSFLAQSLLADVPPLAQRQELRRVPTKSSLLSHA SSWDFSNTPNPSIPSSPIRSSLLIPSARSPSISSHMEYFNSIGRTGSHSHSHSRTSSF SGLPPSPRVSLRQWAEKSYDESTTNTNNNNLSLNFRTGSERGSKRKSLASAGLLRKGK STNTFDYSNTNRSFPADIEAISTSFVDVKIRQSTQTPELGGLRDLKLDEVQSQPTIGI MSPVMEITKSQQSQPTASATSTPEVGNHVETFGLGISDAMLGNESKLDDRQGFSESPE NMSEGHLHHRPDELHKQNQVDEREEMMANNGKMDNSSAGIEGESPQQQVDRGSTEEEI SLSHDGSKVPQPPTRSPTIVESSNDHSSGLSNGNTINGKIEQAQYTVQAKEKKNWLSI KRNELNSYGNTNKPLLTRITSSSKSEMNDHVHISKQGEHAMGKTGSWQGVLGRVTGKI RECQI I203_06745 MVSRINKRTHSSISSFSSDDMTITNSPFSHTHALANPHQSGFNS SPFTNHPLPYPPPGAIVGPTSTYHHSHAPAHFPQQPQREIKFIFETGRKEPKCRRLSK PKDKERENGKENTGGRKALANKSIKDPNITRKSKPTPLNLKQNAKSPFGGPESQSHMP SPPLTARPFTSHCPLPKVDYEYPYSPCTQRSSIPAPIKDYHRHLPQAHQSMLPSIDHF RFINPPHGYSLYPIGDGSPPLPIDAPIPKAPLQAYTPFFEDTLSFPELQEEDEMDIDA DQRRDGPMMGLGLDLGLEEKQKEMMDFVPRKPSLDLMMHDEEEMDMDNGGGVAELGLG LGLSLVN I203_06746 MVEGTSIEAGAGAGVETEKERDTGLIGTETNTVKLTRASRSRSR SRSPSHRHSKDKDDEKKSRHRRRRSRSRSYSSFGSSDSESESESESEDERRRRKRKER ERSRDKEDREERRRRKEKKREKKAKKDSKRKGTASSTAKWGQHGIISEIDLPKRDSEF RAWLVEERKINPETISKDRTKKEFAVFVEDYNTATLPHEKYYDMSKYEFKMNMIRSGQ TLPDETGGYDPLADMKAHSSSLRTSAPKEKETFLTKEKIAELRRVEAERTEIAKRRQM GLDVNKNLGVRMEDRL I203_06747 MDTLDTDADTGIDDFDDSAWAEAVNDIIFQLDSHLAGAGMPNFG ASSHQYRGANRSEMEEEVNPYESTLGGSHGDQNGRNLDDFESFFYMNTPFDHISAGKV LPALSTDQDEVKIAGREQLQLEEGAEKLPAVTPSSDIRSSTGTHDPTTTPSGLSEKDQ I203_06748 MKSSTIFVALLSLAGSVSAGGFGGLKRGGSFNFGCGVQIREKAS HDQKNWCSSAGSFFTDFNGSPLCCSDHTRTPPKRDNECPFGWSKHFQTDCCIPPKEVS PCDCGEGYTYDKKTHKCVKNTGKCHGGQWWHDRSGTCCDNDWQWNPPKGDCPKGTSCP KGWFWHKNLKKCLPTGPGSPEPGCGDWDDHNQCCGGGNPGPSGKAGNNGGKGQSQHDG QQTLSFPQNDLDKMYCPGDLHACTVPSALGGEWAYECVDFATELEACGGCIGSGGQDC TQIPHALSVGCEIGSCAVYSCKHGFQANGTSCVPV I203_06749 MITESNFQAFSPESLSSIRTISSLSILLLTFLISLPPFKPVRRF FHSLVSDLVPLQSLVPAEPPSKDQRDEEHRRRPNVWREAVLASLSLIEASCWTGITGW QILSVAHEDGDEKITGILASAGMVSVWIAFFLQFTLRPLTTPPYSTISILFILLAISL CSLGNAWYIQTVTHQLPLWATKWKFTFEVGNIICVSIMLLVLFGLKWAGPEVLSRLPQ VSSDDDVTLYSWLSFNWVNQFILEGASKELEPEDLPKLSLTQQTSVVFDRFRQLKTSS LLKQIFLANRLDLGLDAGLTLLAVVFNYAGPYFLKKILDGLDNKSPKAMSQAYIFAFL ALLASTLKALVDLLHLWHGRRATVRIKAELTAAIYDKALRRKDASGVVAAKEDEEKDT EGGKAEKKSNADSGKVVNLMAGDTNRIGNTVSGGYYIYGAPFEIIVASVFLYNILGWS AFAGVVVLLVATPLNSFVSKRSVKITQELLKARDKRIGVMNELIGAIQFIKFFAWIEQ WKNRAADARAKEMKQMIRSLLNGIWFSLLWSLAPIFVTLVSFFCYIVIAKRELTVSVA FTAISLFSMLRMPLNVIPTFVVILLQAHVSVKRIEDFLAEDEVPDWVCSLKRSSDPKH VAPTKIGFENASFRWNTGKQSDPSPLKPTTTIEPPSPQRSTSTTAVDSTESPQEDEES YFTLSDLNVDFPIGKLSVITGPTGSGKTAILIALLGEMELLNGKSYLPKNYIQVNEDG LRNSIAYASQTPWLQQKSIKDNILFGEEFDEDRYEMVLDACALNPDLDMLEDGDQTEI GAKGVSQCLFGNGGQKARVALARAVYSYTQHVLLDDPLAAVDSHTAKHLTDRCLNGPI LKGRTVILVSHHVELLLPSSDYLIRILDGRIDAQGTPDELRTAGELEGLVALEEAEVT KSEAIVAKEEVEEEVETVDAAEKKVKKKGPGKKLVQDEERAVGNVKWETYKLYIVAAT YVTWVWTLIVLLLNQGLTIAERWWLKVWGEAYTTRLTTHDTLFTLFKPAMMDQTQHYH HTDLHQHVLHHTTRVMISNITDTAPAMKGIKLYFPPAQTNPGFYLTVYTGIVLGAALF GVASSAVGSWSSYRAAINLHDRLLDRVMRSTVRFFNTTPVGRIINRFSRDVETIDSSL NGALRTVIIYVASLIGAIVVVAAIVPWFLVPAAVISYLYYQYSVVYLRVGRSLRRLEA TLKSPIFSGFAELLDGVISVRAFSVEARFMKQLCEQVDKTHQAFYYYWMMNRWLLLRF DVLGAISVFLTTLFALSGAVPAGSAGMAIVSAQSFVSACYWVSRFWGQLEMDFNSVER VQEYLSLPQEPPSVIPSNCPPAYWPSTNTKDYFLSVRELEIKYAPDLPTVFKGSFDIK AGEKIGLIGRTGSGKSTLAMSLLRFAEPYGGSIWLDGIDITKIGVDDLRSRITYIPQD AVLFSGTVRENLDPFNEHTDEELLDALFRVNLGPSDSPTASRVPSRVPSSKRLGALAA EDALRASSPAPSGSTFLGSKSIITLTTEVSAGGSNFSQGQRQLVAMARALLRRSNLII MDEATASVDFATDEAIQAAIRSEFKSSTLLTIAHRLSSVIDYDRLLVLSDGKVAEFDT PINLLRKDGSLFKSLCEKSGKYKELYKAAEKKEKGEEE I203_06750 MSHEADRELARLWRVSRTVHEMVRDRGYLVADYEVDVPFEQFKN DFGATGSVDRGPMSFSVKHAEDEGTLYVYFCAEKNVSKAAMKTFITSMDKIGAKRGII IWSEKMSPAAKKTLQELASEYHLEDFQESDLLVNITRHFLVPKHQIMRKEEKDQLIKK YRLKETQLPRIMITDPVARYYGMKRGQVMRIERASETAGRYITYRICM I203_06751 MGAKAQILRDIRKRLSAEQMEVQRRAYLFVARNTTLPATVRHKA QLGLNTLNGGEGRLGAVKSRCWETGRGRGVISKFGLARFQFRLKALNGELPGVHKSSW I203_06752 MSSVPLRSTSTRSPNPTALLAQPTGERNLNLASSSASSSQIGAQ ASRADQVLYRFYLKTVQVLVDGRLTHYGGTVGKKPGDKKKDKWFNLILPEVDLYKSDL QIYRSISSYQPYTEPGETPSPSSCTVPPLLIAFILDTSDIPSGQALLWNRNGAKVPID VGLSGKGKGKGKEKESKSGIVLERWTLRAQLPPSGSNSSSQLAPHTAYRLGIIHFRAV LSLIRLLPAYRLFRRLRRSNNGLRMGLKLWGPEGYPNTPEGLSEAWEIMERGLIGLDI GLDELVSGDTVQPEEIERYDFPKLDLFGNEFTLSAEYRPEVDFNVEDMEAVLSEQFVD MDEDWFTPTVSRRNTQEDPIKLKSEPRRVSIPTPTPIQSNNSPIPQRQPALPVGSIAS GGAGSYTTSVRQGGSRVPASQEKRMAVGSLGTEKWGAFAEGLPFAGGASTTSQREVQS HEPPSPSMATPAAIVAARRLSGHSIQPFASASPSTSLLRSTPPQAYTGSPIPSSSSAR PVIGTSRPSSVGRTSSFLSQSGRSFTHAQLANMYAGSASPPVTGAMSGVGVQIPTSSP PPGQSPVSPSSLSFTKQPVPRSISGRPCYMTPSASSPFIPESLERESPLSSNTGAPSI IKRYSSSLSQRSGRAISGPANVTAGSQGSSVGEGSLPGSAGQGLLRRTSTRESGLRHS LEGPGSASTRLPAPDEDDIQAFLKTLDALPQPPSIAAQAVQSSSRSHLPSTSSSLSAP SVPPTPSPLLTSSGSPGTGAAGYGGRVPMTRQQVDEELRRMAGSFSLNTPSAFVNSPS AIASGLSSPVRGPATGSGSNTNSNSTNPSSIGLLSASRPASANRRAIPGFEQERGSRP LYRRQTSGEKSPLGPGPSSGPGLSVPTSIASRSPISASPMTALKSADDVHNHTHPHIH SHVIQGGGARSLPGRGRDVTVLDEELLPARSAGITSVLSPQTTGTSATESMRSRRGPV LLRGGFGDPPFGPGIGSGRPSSYSSPSHSPVRDMPTKSTGVGLGIKESESCEPPQPQS QPQLSSGTGIGVGARSPYTIAARRSSGGISGYPGLPQSSGRTLKGQRTAPSSLGREDD ANTPIVLGNTRRERDRSRVRDDRVQEEGMEELESRLSGIGIASGRGRGDTNC I203_06753 MSRHIRTSIDETIPPSEWQVDFDNTICADLALSTNIQADSLNLS EYISGLPSACSMPLTPKSDDFYRRSSSAVSTDDFFQYREPKEACSTSGSMSSKGGTSL SASRVRKQQKQHSKKTWKMSAWAHENKKTRDKMYRQQREQKRKSELSSLKEMILNLKK DKSDLEYTNSQLIAERDQISSNTTKSELSSQETLGTLEIVNNSLSARCAGLEDENGRL KNTIQWYGSDCSKMIDTLASISKDFEVSVEEQDERNQSRIEYLESLVRELQK I203_06754 MPPSPTLRARSSSITPIGPIAQGRVACKRCFIRKRKCDKLQPRC TACVEAGVECESGVKGVERNLLMQTQELQRRIDWLEGLIRDKQPALQNISSISTGSPL LPHSTRSSILQTNPQEFNLSTLVSASLSMQRAENMPDQGYVETLSPKIQHYNMDPSLS VSSASQHPQFPTIAKASEIVSRFLARHLQSHHCVTKEGIEEDLRLVYGENGLINPDFA GSRFRCFSVIYLESSPAYHGGDTSLERIYGMTCKNLALKEVSNVIAKEDLTAVQALTL LCIYGVDIPGGPSLSQLVGFAARAAMTINIHRRDDIYLASLMGLNHDQDEFKKHNELR KNIFWAIYCLDRLASFTLGQPLSIRDSDIDVDDSVPQTIDSLSVEVSSIALRCHQIHL RRLYGIVRETFYSASVDSNKTMKEKEEIVADFVRQAQALYNQSPLKAAFAPISEATIS RQVVDDISYHQMIMAAHRPSPLISEIPSSFIMTLKYSASLSIDLYRHYCKSKKVLIIW THLYQIFMSCTTLTYCFNEFHQREDLIDLDEKEVHTRIEQCKDLLSKFGTSWPESSKY QIIAIMDFWNNINNNHIGSRQNQNQIPITSIDTELQQQTFSSTNLNGEISPSARSENN ELGQTQSQLVGNIDQPDVSIFDLFGNFPMLDPASTNEQEGQSASTTEQLLNSMGPPWV YKPGKVAVVLSGRQAGKKVVVIKQQDDGTKERPYPHAVVAGIERYPLKVTKNMGKKRI ARRSKVKPFIKVINYAHLLPTRYQLELESLKGSVSNETFKEPTQREDAKKAIKKAFEE RYAKGNNRWFFSKLRF I203_06755 MTWTRPSWWLPGLEFTWGVLTIVFFFAKNIKEIYALRALVGAFE ASAYPGAVFLLSSWFTPSELALRISFYHSSQWTLGSLVTHSVGSGLQAAIYEGLDGKS GIRGWRWMFLIDGIMTIIVAGLGFVLIPDYPSNPNPRAFWLKDRHVKLAQDRSLRYPR ADNKKFNRYAIARAFKQPQLYLFPIFYMTAQLAQQGYLYFNLFLKSLKNPDGTAVWSV AQVNALPMVGYAISIVLVWGWGWASDYFQTRWAIIFAQAVLGLIPGIIMSVWNVPMGA KYFSYFLSFSFIATSPPLFAWLSDMTPHDSEMRAFINGCCTATWYGVNSWANVLIWPA KEAPHYRVGWKLTVGMWLFVMFEIWLIRFVDIKYVRPRNQKAGQELYEDQVQHVPHAI DDDANVTLDNKQVQDGLEVVPVQSRR I203_06756 MPIATPITNGHAPISNAPIKTSGHLNGPTNGHSHQGVAFTEARL AKPPSKLLQLYENLPTVEREKRQFDKFLQIDLAHLVMITEQSIIPLSISRQLFPVLLD IRSQGGDEIPLDMANGTLLLQIEAVLASRLGEDVAGMLHTARSRIDQGATARRLFKRD KLLEVMSFILDLQKILIRVASEHVETITPTYTHLQHSQPGIFGHYLLSYVDKLHDDFQ RCKDCFERTNRNPLGGVGLSGTSWPIDRERTTKLLGFDSTIYHSKLSREAFYAAEIAY TLSFVMAALNDLATDLHLFSSVEFGLVELDDSFCSTSSIFPQKKNPVTLEAIKANAGG AVNWRSTALARFRGEGTGDQGIRSVPLLDSAFTTTSNMLQLMGGIVDTLQVRSERMKQ LLKTSWCTSSNLADILVRNNGLSFRQAHHVVARLVRICELESLPRSQVSQQILRRAGM ETLGHPVDMFDTELQGSLDPEEFVKTRVSAGSVSPREVNEILGMSSEAFKEDVQWLKG KKDQIDQSELELKRAIQGIIG I203_06757 MSPAPTLPESIDLAHHLAKRVRTVQPSAMKALGALVGSRKLLTL GGGTPHPSLFPMSHATFTLPKLSTLNGDVQDWQQGEAVTEEIHLKKTGQGTENDEGGI LDLNDILQYGLSNGFPELVHQLEELNELLHGKTISDSSIYITLGNTDGVSKVFQLLVE PDVDTVLTEEYSFASSLNAARAKGARLSPIKVDGEGLVPEDLEKVLSTWDERVRGRKP HVLYTIPCGQNPTGSTQPQERYDEIYRICQEHDVIIMEDDPYYREQLQLKEARSELPP APEHAKDDDVQAVAKVFNEYAGVRSFLSRDVDGRVIRIDTFSKVFGPGVRLGWVTANS AFIERLLRIGETTTQVPNGLSQSVLASYLSDKHWGIGGFIRWMWGVRLEYQNKRDYFL DRLNEYVPTNLVSTVPCGAGMFQWLRVDLTSHPRYRKNPINSPAETAVNLALDTVGKE PEVPPGLELKSTNEPAQYTSNAGELMDELWNHLVEHGKVVLLPAKVFIVEKEGVDQSE DLNFFRATFAGDLENIDAALKAFGGSIKEWFARG I203_06758 MSANQATSAADFQQVITEIITKIQRSVNAQTDRDTAAYRQARIE LLQQFQSDTVDWTKETFAQRIASQQSSRSPSFITANEREELASTMRNNLTNSGKRGLQ LFRGEVDWCSSDIKHTYTQYHPRDFPNEELTGTDTYRTQLPDEALVDWLLSKDADSLK KLAEGWYEGYQRRLGDNRSCLGYTRML I203_06759 MATSSLLRPSLGITDQSQSGSSSRSSSFFPPPASISRSSTPNPI TFPTSLTSSSQNLPSQPSRSQSQHHHPGISSKLKHMFDNQKHAIFETTVVIHELGNVP QLSGEFDVQWKFRGKKPRPKEMLELTKNGHAPLAKPSLPNLKLQSQNMQASSSTASVG TTSTASSGAYPPTPRSMVTPSTSAAPSRPLKSLSMPPPKDGSERPDKRGSEPTPLKQV IAPDTPSPEFQSDTLPTESPQQMTDEPEAFEDDDDDGPRSRSTSKSSERSESSSGKAG LPPLINIHRPIMTSSSRPSDTSSGMSTPTDRLAVPSPVPVPVRGGTIPSYSTLIDPMA NIGEPSRRGMNRAISMATTNTSSSTSASTTGPARPFPSRVRSLSGPGIRKNNHNGHRQ NNDGVQSDWFSEMRKGTTPARSLKSHSVKWDYELHHVSRVPISKSLQPATTPTSTTPT SSTDPYRQKSSPGQLLPYLGEGPLSESGLRLVIEQLPFPSHSHKSQNSHLINDSSSVH SHGSRELHTDIMRKESKEKTVFGIVDIDLAAFAGKGKMTRRFLLKGSRTNATIKLSVD MRWIGGEAKWAAPPMQEGHHVSGVHDFMPDTEGAMRSDLGLVKTPSNSSSGSSMGLEL QRSRTTYSTVSSNYQTRNGSATDLGRSITNHSYQSYENHLAPSISRRSTKINDSPKKE LKPLSSIQPGSGRQSPAPLPAPSPQYVSKPLEPSPVILNISKAHDGHKHHTHHLRRHG HAQHHGHGHHGGHGISDLPPEVIIEAIFNPHPASVNGPFTYVPQNKGFDLENEKQVLE KVIKQASSSSNNGSMTGTNTGDGTGTGTGGNTPENVIDLTESQPQDSASATGRHKLGW RAMRVRAKAEREQKEKEKSIRNRKRTDSSGL I203_06760 MNRRDVDEAALLKLYGISSLEPQVWESIDHETEGPLAGTMTGED GKMAEEMDPLGLRGKLSGANELDLKTRTATSLSSKSFDPKVFLSAHHPDASFQDLQRG IYNLERAIESRSEAVRILVEENFDRFVGVKASSDVVYRDMKEGFLADDTDHGTRELRE IFKVAGHRADQVFLPVLENAVKASKLRSTLGVVEKSKFLFNLPNQLMESINAGKYDQA LRDYKKGTFLQSSRQLIPGVNASKEQQKRIFDKVWKSVEDIMSDMRSRLDAGLKDPTK GVEEQERTIEILVELDQSDEPAWTYLEYQHKHILNNMKIVYSKSQDRIKAAQQACANQ PSTLNSEVDLLRRQLASTEYQLNTTTPSSTDTAWLTIQAFIKQYSEYVIRSLPGFWKI AKACMDGKYRKRDSSGSIPPSKRPASVCRSMAMEIIKLYINTISQFFTLSDISLSDSS KSPSGSASDDNFQMPGFVPEHSTSMTSCYFAEKILEEINDCSMELLNVDVGNEARSGL RGLLDSARWRFVQVASATWARDSKILHHLEDWTTLPRNSLTTKNVLRYLSVMEEFELR LINACKKISRGSSNDISTNFKSKIKDTFVDTLCFCFDGMIDFTSSSVHEEEGDRGLRR PSRAVARDGSDEHEIRLLVTLAQFDQLKRLNLSLLCNKISKILDVDMKKDEKLLFEVV ENMDEMIFKELIKGRSKELVDVVQNGILDSGINWGEVSKPTEVRPYMHKAILLLVEAH SRIGNISPNLIQRVIEALVNQITQVALNCFQKVPKFGTGGMLTATLEIEFLHQSVNNF VSPQSNDTLSKIYDTISQAYRRQKTNDDFNRELEGLKKLLNTSRKNTGMETLCFRGVN IGAGQ I203_06761 MQAAETVAHHVHPLPDSVPESEDLFPPPPRLRGEEGRPKPHIGP NYQAYLNEWKKTVGPDSDKWWAEKAKECLNWYTPFKTVRAGGFEQGDVQWFPEGTLNA SYNCLDRHFYANPEKTAIIYEADESSESREISYAELMRETCRVANVLKSWGVKKGDAV SVYLPMTWQAAAAFLACARIGAVHSAVFAGFSAESLRDRVNDCECKVLITTDEGRRGG KSIATKAIVDAALQSCPLVEHVLVLRRTGNKVPFTEGRDKWWDEECAKVPTYCPCEPM ASEDPLFILYTSGSTGKPKGVVHCTAGYLLGAYLTVKYVFDVHPTDKFACMADVGWIT GHTYIVYGPLANGVTTTVFESTPVYPTASRYWDFVDKWKATHLYTAPTAIRLLRRMGE EHVKNHDLSSLRVLGSVGEPINPEAWHWYNDYAGKKNCAIVDTYWMTETGSIVVTPLP GAISTKPGSATFPFFGMDVDIIDPQSGQVLQGNDVEGVLVAKKPWPSLARTVFKNHKR YLETYMKPYPGYFFFGDGAARDYDGYIWIKGRVDGDVSGHRLSTAEVESALILHKGVA ETAVVGSHDDITGQAVYAFVTMKPEFDLKSTKEADLNKELAIQVRKVIGPFAAPKRIY LVTDLPKTRSGKIMRRILRKIVAGEGDQLGDLSSIADPSIVDEIKNKVAAAAAK I203_06762 MTTLADERSSHLNPPSIDGFTDEDGDEDMNELDEYEKERIANIK ERDNLLASLGLSTPSKDIFGISAKPKTKTPTLSPAEARRKRELRAKEASLRRVIEPIR RSGRLAQREVEMKGLVDDDDLTPPPPTTTTKPQPLIPKAKTIHLAPGPSYSSSSSEET YEPAPRPRKGEDGRLVFEGRWKGVFTPNLTPQEMFEGGAFGGGFFADTYSNISKTNLT SKNDIASLPFTIRQSNKLLSNTDPDGENNRFRVRAGQSLQEWEKAGWIWKEDPRGWAQ WYTRFWEGRRCQDDERQVRRWMKVAGPTGRFKRALLKKLLQSGGRDAVKDEDVGAVLR QCLWQWGYEMNEVEFDRAMNGE I203_06763 MSRPDEEELVDYDEAAEETFAPAATAATNGDKADGDKKGSYVGI HSTGFRDFLLKPELLRAISDLGFEHPSEVQQECIPQAILGTDVLCQAKSGMGKTAVFV LACLQQIEPVDGEVSIVILCHTRELAYQIRNEFARFSKFMTNVRTGVFYGGTPISADQ EILASKEKCPHIVVGTPGRTMALVRDKKLNATKVKHFVLDECDKMLETLDMRRDVQEI FRATPHHKQVMMFSATLSKDIRTTCKKFMQSPLEIYVDDETKLTLHGLQQYFLKLEEK EKNRKLNDLLDNLEFNQVCIFVKSVARATQLDALLQECNFPSICIHSALPQQERISRF QQFKAFEKRILVATDIFGRGIDVERVNVVINYDAPADADSYLHRVGRAGRFGTKGLAI SFVSSDGDSEVLQKIQERFTVAIPTLPETIDPATYMTS I203_06764 MSTPNAGNTASPAPNNLGYPFISNPDPQAGGMNFQPQQYMSNMD MSTASPVPGASGGQGDPGPSSMAQQQQQGQFRPQFSQGGVTPQQMVMLQQFSQAAQSQ GPQGQAGQPRQFTPQQLQMAMASMQAQQGGNVNPQALMAAMRASQQAQLGQIPQQGQQ QQQQQPRPPNQQMNNYGNVPQQNVGTPGQQNVNPAQIAFQQQQRIQQMMQARPNSGSP VRPHQQINSMPPPPIPNSQQQQQGSFASPQNAGPPQPMNSNPQGGFHLTQQQRDFLTS QRNALFNNPQFLAMTPQQQQTVIVGQQQQLIRNMTAGQMPPPGQQPNQAQQQQPPQQA QTPTNRPTSAHGTPGSGQSPSIARQPTPQQMGTPQAQQVQTPQSSHVQTPQQPMSSLP QHLAPPRPASAASQRAPSPHQGMQGMPASPAMMQQPGSTPPPSSAMYPSGGQQMNAPS PTPSNVSHHSQHQTPAHTHMQPPPHSVSPVHARSQTPVQPQQVGTPQSAQSNNPVTVN GMYQGNQQFQQQQFQQSMGTPQQGSRPYPQAFPGVTQAGPSNSPAQQGGFPVQPIVPT LQNLTPAQQQQMASAMSFISQAAQAQQAQQPPGHGTTQQQVRPIQPPQPMTPARPPAG IHVPNINTSDFPFDARLLPHIQHLNDEKWRANANPQLIAAVQAAAAIVPTLRHDIIQR MQNVLFHSARIQAAQAVRPPPPGQQPQQGDQSGVPGFSPTTGQAGTPGAIPPNQQQRI WQAQQNAQAQGSPASVNSASPAIRPPPPHLPPVSNMPGSPSPRISSLDRRSSGSGKDK LIKGEKTPQQASMPPPSFIPSQPVGSSRPSHATPEASIPPPQPSATLHGGHPQSIKVG RNSLPVKEWEIALRVDLPITKITALPVNDIEESEDPTFKGALPPMDEFEKLQVKQWLE KDKEFVATEREQLPKRNAKMKKWAEESDKATPWWMLRKGEMRAKPSQRLRIVWPNQND QERMTRTHRNRTLIKFSPAQLKSMAEVEDHIVPVRLDLEHDNFRLKDTFMWNVSDKVV TPELFAQSLCDDFQVPHQHFASRIVAAIQERVQEYQAHVLPIAQRKSKEDNRGKLDPE GDADSKAMVDIFRRIREGSAIEEEIKTDPGEEHNDHHIKIVSFDHAEVKVEVIGEERP MTVEEAMQNLPTEETEELRILIKVDIIIGTQNLSDSFEWDLNSTVTPEEFAASYVTEL GLSKEFATALAHDIHEQIMVHKRSLFLVGHTFGSGLVLDDEMRLAFLPPVTTSLRKED VAMSSYTPIFNELREDQLALLEAQREKESKRKKRAGRARRGVVLPDRDPIKTQRSLLN PLGPNGLPVFSAPELIASTKDSAPMHRRRGAAIAAEANMALIAQDLPITGPPSPAHSH STNHHPHHHGPTISARGKRIGRPPKNLSRGSPASFSTGRESSILPDQQMLATPSLSHI GIKRSFREDSIDDLAATANGSPAFSRKRVNHSRIPDSPPSPDIEFQSQSQSHTQTPLK QDNNGISLSSIQEEKKVNMTNNGDDSVPWRCRNCGVPEHLSNGKGKDKNGEKTLCGNC SRYLFRVGKHRPCEYTTDESYHLSKIKTDRPTSNSATPTTTFKPALNRNSDSESSDSE SSSDDDESDSTFASSSGGGTTKKEKSGRGRKKIKETAGMDTPVKPPAAPAGRTPTVGI SPSSGKKPVAEMPSWASRALSEMRSKYPRDDFVMVQKPRPADFQGPIEWRAKCMDCPG KIYALGPGDTLQNFEVHLKNRAHIANRLTREGKSH I203_06765 MDPSDHPPPPSSSSSSSSTTSRRSSSEHSSTSTYYSHSPSINTF KSTHSRDKSRSINTNLPKTFDISGAFSSISEKPHVNDINHEDLEKGSTTSYDLPKSPS LEHPTSSSFSHIPNKVPHLHVGLRRRRILSQLLALCGLVTVLGWWILSIRESPGGIIG MGIGKNGASEHPRVVLPGIIIGSNGEIIHQPTVEEESPLPLEEEKELTHAEKMAELSK IKGPQWGLSLSSDHLLAGLRPFPSSGQEDEDDGKPALSELGKFADQIYNLGTLDPEEY YEQMKEFAKVVFPKKIAEQLQNALDIYVNVKVNDHEEKKGKESWDSTKRIWQTDKTRS KVDTKEVRSWKDGQAFDEGWQWDLLTDSDADKYVTKHLAGSRFKEIWDNLPSGILRSD TLRYLLILFEGGIYSDTDTLLLKPPSAWGQNPKLYKDGQGWMTDIQLQRIQDGDDVDD ILGKPSVVVGLEADVGDREDWFDWWPRPIQIVQWTLTSSPFHPIALNALLRIHHSTAK AVQWSHSVSHSIKVLRDQGRYEDAKKLAMVDVMNEPKNGGPVGVMAWTGPGVWTDAVL SYLRVQYGLVWTDLKDLRVPLRIGDVVILPVTGFSPGVGNFGAQGSFHHEAMVQHGFA GSWKNDE I203_06766 MTMEARHKRMLEETLKLPGNDTCADCHAPAPRWASVNLGIFLCV GCASVHRKMGTHKSRVKSVTLDTWTREQIVHMKEMGNQASNAIFNPNEKLHPPPPSYG HDERDSEIEKYIRKKYEMGAFKIGQKPSSMYEPTSLNRARERDGRIPFGSLGQSGMNT KNPELNDIVSFTQKNNPLLVANYKERDLPALPTGQGTSTFPARQRPKSSNNQNVPAPW ATPSTNPGSAPSPSPLPQSQAASVKEINLIDFNNNQTQNATLPLQVNMNSQNQNQNQN QNQNGYMMANQQPQQTGFSNGFSSSPIPSHGYSNTNVGYGSQPFINGGITQMNGYQDM NGFSTGSATSSQGLSQSLSPQSQIGGYSNMGMGVFTPSSTPSPNFSSSPSFAQQPQLQ YGQQPQPQQQTYNPYQIQQPQTFAHPQPQQSSGPQHQQQYQYQMQLPQQYGGGMPTMN GAGGNGYMQTNSGMMMQGMGMGH I203_06767 MAQPATDTILPIPQVDQPTGHPREHKAKLYILDYGAGNVRSLAN SIKKLGYGFEWIEDDSDFDKAEKLIFPGVGAFSQAMESLRSSGRFDSLLEYIKSGKPY FGICIGMQVLFESSEETLPEPIKGLGVINHPIRKFSSRDDSLLKGKKTVPHMGWNNTW KAWSTTSSESDETPKVMLDGEDYYFVHSYAALIDPSTSISDSNSPIKDFAYTLSRYGS EIYVSSVKKDNVFATQFHPEKSGPAGLDLLRRWLEASPQSLSAPSSTSASTSSPKTWQ PTNPNPSTQRKGGNGLTKRIVACLDVRSNDQGDLVVTKGDQYDVREKSSEDGDNKVRN LGKPVELSQRYYLEGADEIAFLNITSFRSSALLDQPMLDVVRNAAETVFVPLTIGGGI KDTVDPDGTPRSALEVAGAYFRSGADKVSIGSEAVIAVEQLLQRERDGVEPVLSGKTG IETISRGYGNQAVVVSIDPKRVYVDTTNPNWKEDFPKHHLNSLIVGDEATSRTASEER GKAWWYQCTISGGRDVRDIDVVQLAKGVERLGAGEILLNSVDRDGSGKGFDLDLVNLV KNAVSIPVVSSSGAGSPDDFIEVFQKTETEAALAAGIFHRKEVGIDQVKERLEKEGLP VRRCGLNTI I203_06768 MSGIRTPISTPAATAQPASTEIPAPLIPLLSSLTSIIYTIEHLF NKIPGSPIIVRYIKSSYQDDPWRSVLELFLLAFAIRTVLKGRTRGEGEGKSFIKLTEK EIDELVDEFNPLPLADDPTPADSFTLDTVPIIYGPNGIKVKTAANGKTLLNLATPNWH GFIENDKMKQVAIETLGQYGVGTCGPSGFYGTIDVHVKAEADIASFIGTEASIIYSQA FALVSSAIPAFAKRGDIIVADRGVNFGIHKGLQISRSNIKWYAHGDMKDLERVLQSVE RERKRKGGRLTKKFIVAEGIFENDGMLLDLPKVIELKKKYKYRLILDECQSFGMMGAH GRGITEHFGIPATEVDMILGSMANGLATGGGFCAGSQVVCQHQRINSSASVFSASLPA MLATCASAAIDILKTQPNLMTSLQANITIFRQQLSKLEAGGPGSEQKLISIPSDMNSG LIHIFLLDPPESLEDEELLLQDIVDEVSNTSNLLITRSRRLRSQEVFEPEPSLKICVS GYMSKKDVESAGKGLKAAIVKICGSE I203_06769 MSDPTEEREHWKSVIRAFDGYMRYHLSANHARRMNFLSLDKESK EMFDGIGFREKLDAVDEGIRRNAEFIGEMIATPVFADMLEADEAEHHHHHSNSNDHHE DHSHGHSHSHGNGNSNSNGNGNGHDHTDHKKQQQESDLSQDKIRSTLRSFVRDWAIEG RRERKACYDPCLEALERYYPLPESEEESVAEILGESDGDGKRSIERDRGSIRVLVPGC GLGRLAMEIAARGFASQGNEFSSYMLIASDWVLNHTTHRHSHTIYPYLHSFSNHLSTM HNLLLRVQIPDICPSDVLGNGQGGAFSLVAGDFEEIYGPCRWGAVVTCFFIDCARNVL NYLRTIHTILADDGVWINIGPLLWHFENSPKKGPKGEGSIELSLDEVKELAREVGFDI KEEKMINTTYTGIPDGMLKHEYNAAFWVATKRK I203_06770 MSEEETEYGYESSDGDDESTVSEMTGSQFRSGQGEIKDRIVRLT QALGEIDLHINKILGTTQVSTEDGHKCLVEKIDQKLEVQSELGFCQAFLGKNGVHADL LNYYCVYYAGNQLGLDTDPEINASRAADLASKSFLREIAKSNRELFAVDLSKTTEQIM SDVASDLADEVAHTPSDVDRIKRLSTSQAVQSWIASFPPGQSQTAEEWEG I203_06771 MSDRISLGGTTLGGGQSTEDLGYTGGSSADSTTFDKPFSHFVPK IEYSISKLKSYVPPPQDTKNSYRREPSLTAGSVQMALKILNDAKTWAETRDRSTFVYS RYDTRDAWTNSRDMDIIRYMENAAIDPRPALFQASDGEDFMHGIPWRDGQYYPARSND FVFDFMWYKMTHEPEYMYHLQSCEAALNEAGEFSSRV I203_06772 MSSSPSTISKVNKPYPFWLGGVAASIAASITHPLDLTKVRLQTS GDKGMIQSLKKTVHNNGTRGLFDGLTGTLLRQMTYSMTRFAAYDWAKAEVHKGLGPPP AWKMALAGSIAGGIAGVVGTPFETLMVRMQADKAKPVEQRYNYRNSVQGFVRMTSEEG ISSWTRGMGPNTFRSILMNMSQLASYDWFKHELIKTKVLEDGPVLHFLASLGAATVCS PADVIKSRIMTAHGKGTSSTMGVIKQSLAKEGAMFMFKGWVPAWTRLQPTTILIFLTL EQLKKGVDVYRKAGGQLL I203_06773 MSCPPRLGDVTDLSPPPLRPDSPSNPAHLPSADNVIAENAVANE KFDLNQYTKTIPGGIPFPTTMGATVAPPPPPVLPLSHGSHGSSSGSASAVINEKPEKR EGSIGEDSSSSTSEKHPESKKKGLFARSKKNKEIDEKKSKEKEDEANLLPPVSFFALF RFSTPLEMVGMVIGLILAVAAGAAQPLMTLIFGRLTTSFTNYAVIAQQISSSGLTLSQ DQLDQLQSAKDDLKTQSGHNALYLMAIGVGIFLTTWVYMFIWNVTGELNSKRIREKYL RAVLRQEIAYFDDLGAGEVATRIQTDCHLVQEGTSEKIALIAQFLGSFVAGFALAFAR SWRLALACSAIFPVIIIAGGIMMTAMSRFGTGALEHIAKAGSLAEEVIGSIRTVQAFG KQKILGQKFDDHIELSRKAGRKGAYIEAAGLSVMFFSIYSAYALAFFYGGILVTQGRA DSGIVINVFMSVLIGAFSMAMAAPEMAAVSKAQSAAAKLFATIDRVPLIDSASIEGLK PDPVHGEITFENVKFHYPSRPNIPILKGLTTTFQAGKTFALVGASGSGKSTVVSLVER FYDPIQGNIKLDGIEIRDLNLKWLRQQIGLVSQEPTLFGTTVRGNVEHGLIGSKWENA TDAEKFELVKKACIDANAHDFIMKLPNGYDTMVGERGMLLSGGQKQRVAIARAIVSDP RILLLDEATSALDTQSEGIVQDALDKAAMGRTTITIAHRLSTIRDADRILVMGGGEIL EQGTHNELLANENGPYAQLVANQKLAQEAVEDSLKVTPPEDQALSRVKSTPAADSPIK EKEFSELKRAITARSLASAALDDITARRQAKALEEDKLPSSFGMYLRLLKLNSKQKNW YFFGTIGAICSGMVYPALAILFGYALADFQIQGFDGWQGVFRHALDRKALWYFVTAIL AFVATYIQVVAFSGTGWDLNAKLRTESFRAVLRHDIGWFDEDQNSTGAVTSNLAENPQ KVQGLFGVTLGTIVQSCATLLGGCIIGLCFGPLLALIGIACIPLLVSGGYIRLKVVVL KDQKMKKLHASSAHLASEAAGAVRTVASLTREDDVDRIYSTALREPMKVNFRTSVKSQ ALYAASQGITFCIIALVFYIGALWIISGRYGTSHFFTVLTSVIFASMQAGNVFTFVPD ASKANSSAASIFRLMDNEPEVDPDSSEGLKLDHEHVKGHIRIEDVHFRYPTRPGVRVL RELNIDVPAGTYVALVGPSGCGKSTTIQLLERFYDPLIGQITLDGVDIKELNVSNFRD QVALVSQEPTLYAGTIKFNILLGANKPMEEITQEEIENACKDANIYDFIMSLPDGFDT EVGGKGSQLSGGQKQRIAIARALIRNPKVLLLDEATSALDSQSEKVVQEALDKASRGR TTIAIAHRLSSIQRSDQIYYFAEGKVAEHGTHQELLAKKGGYYELVQMQNLSRQ I203_06774 MSPTSSLSSKSLLSSFKGNSTTSLVNMSDDMDGRTRNAKAQKRH REKQKARVKALEDSVQVLTAQLEDARRQLGQLPYPPGSSRMGLGTHSPEFAQMQNENS YLRDENADLRRQLYTLRVTYGQENPGGGNGPQNQMPSPPPRHGSGQGQGRSNTNPAGN DSSDPYRNSSGNGNRSRVLSASSAPNASPYVSSSSFPADLRAHSLSNSNNNGSSSNSG NNKPQQIESNYPVRYEGHMYPPTAPPPHALPRSQMYNVDNMPYGRGESGENMPWGPES GPPPFAGAMGYPPVNFHENSSSNAVSEPWRQDQ I203_06775 MSARRYDDPVVPALGGLPSAQPSTASVSLPHEIKDLNHDEKDGS SAEGGYSDKDVINIGSKSRGVIEMDALQARMNTRWRIVIYLFFMFVSYSLSLDQSTAT VYLNYAVSKGFKLHSLQASVTVVTSIFSAMAPTPIAKFADYFGRVYAEIGCLVLYTVG QAVMASAKGIVQFSAGSAIHTLGISGMFMLQNIIIADISSLRNRYWWLVAPSVPQVFN SLGANVAESMLGYGNESTSWRWGIGKCRLYPNAYPAVRQQLKAIKRERAAQTSLVQRF WADAKDIFWKLDVIGLVLFVVGIGLFLVTLTLANSRFNKWSDAHTIAQLVVGVVISVG FVAWERWLAPIPLLPFALIKRKTVVGCCLIALWHPLAGRSVSTYLYMYLQVAADQSQV SATRITTFPTIGGWVTAVIGALIARIYRVLKPIIIFGMFIETLATGLMLRYRTSHNSQ AELAIIQVLRGASNGFIPYPIQALIQAAAPHEHLALVTAGWTAIYYVAFGVGAAISGA MWTNIVPDKLTNYLQGNATLVAAAYADPLTYATQWPVGTWQRDGVARAQDEAQRVMVI VGTVISFIGLLTAIFLLENLRLPDTQSLEESEEYITKAEKKQKKALTVAVVRDGPVAA Q I203_06776 MYNSPSTHEHHPQNTYDDDQPQMSAGYVPQDFNSTDWADPGLSF DDGSLDHTQFPPSWLGSVAHLSQETYQQGYGTNPTDFFPTVDQVLSDNLSRTMWQYLP ATGHEANSSTALGETQLDCSTAIVDPHRPMIHSSSNTSLLLQAPSAQPQAFAQMTSTI GPPTGPSHTTRDNTGGSRYSPEERKARNKEANKRFRERRNRHIKELESGMAVHEKLIT QARASSQQATYERNVEQGRYHQLQALCRDNFGSEHEFTDEERQWIESEARRGYYPS I203_06777 MGTGGLLGFIIAGKRTACYNHYDSYPDTLGVRIVMFILGLTPEQ RQSMIERLKEIIWIDEELDSPPSEGLFQYYTSKDFHLDSYEKEEKLKCPAEFEHRRRT PHTWSELLRGMQGAPCLPMSPGDSQWRTQASHRPN I203_06778 MGTRGLIGFIISGRRKGCYVQFDSYPEGMGVGIVKFILGLTPEQ RETMIRRLEEIIWVESGSDAPKEIVEKYRSLDFHLSPFEKHIKATNPEHFRLSQEYQF EEQPNGYYAICRGIQGAYCLPYILDGSLRHLIDSTELVEDGTFCEWAYWVDFEKQVFI MDDGKERKWNFDRLDSGFWGKLVDDNVQQERGFERRRKQREMAEANSTQQQGCEDKEQ SKGADESEESNRRSAG I203_06779 MADHTETKQIDDKDVELAVLHESVDDANSHMPENASMYSAGSDS AYGLRMHNRLIELARTGGLTDPYPSQSASSGDLETLWRQYVKAESHKRTLFAAYHLDV LWYHTLSVPRTISHPEIKHDLPCSENIWQVNTASEWAYRTLINDQQQQQSSQRYLTAV RSCLTPNRSLDMSAFDSHGSLVVILFLLSSVREMSGWSTMTGKVCIERFEALHASLTA FEPVVQSNIDSSSMSVLMQATWHTAMIELLLWSPSHTNGVLERSLEGALAASARLSNS STTFSSPMVAASVERHLSWFLTYLDTKTDVSDEAPWMAIFAFKAVLVSHQLVKAGCIE TLNSIPPRDSSEMLDWIREVFERRRNWKVAKIIVSSLEELEQTA I203_06780 MASSYPTAHTTEVQNPRLRLLNDLRKKEPALMTFIAIPSVRHAQ IVALTGLDGVIIDCEHGHIGDDSMHNSVSAISALGVSPIIRVRGPQPDILKRALDTGA HGLMVPMINTAEEAQAVVTYSKFPPQGLRGQGSAFPAIGHCLTTPEYMRSANQTILTI LQIESKQGVENEDAIAAVPGVDYLFVGPNDLARSLLGYTPAKGDEPVFVEAIEKIVKA ARKHGKWVGRFVNDGPLAAEALKTFDSVAISGDTKAISNWYTAQIACVRP I203_06781 MAPSAPFNPPAPDAPGKPYVEPWNIPPVTKQTENFDELTSIDLS LLDSEDPAVQADLVKQVKRAIRENGFLILENYGVSLEQLHRQFALAQYTHTKMSQEDK DRLLFHPDTGRWAGYKHPYGFKRHKGPADGIEQFNWYKEQWENRDLMPECLQPFLDEI EAFSTGSPPGEGYSRHAIFRPVQKETQEASKGLRMHGHTDFGLTTLLFSVPISCLQIW GHDEQWHYAPYKPGALVINIGETLEIVSGGHFKATRHRVFKPPADQLNSERLSIVQFN SSIGELRMTPAADSPLIQREGCVEEQGVYKEFKRLMDAGVPVPTNSQWREIQIAEATD PTDTVRNSIGQDQIMLDGKLFQKREYFGVKVLLPV I203_06782 MSHPSSIDIESSTTQSYALNDRKSDKVNQEHPGQTEITHPVDIE NGQSIPQDGKIHDAVWGTIDEDGPNYRNLGWIRASVLELKTQIGLGVLGLPAVLDTLG FVPGVIVIVALAVIITWSDLVVGYFKLNHPEVYTVADAAHIMFGPIGREVIGFAFWLE VVAIAGASFLSISVAFNTITEHAACTVVWAVIGAVIVAVFASIQTLGRISWLGWVGLV SILSAVITLMIAAGLTDRPSLAPIDGDFEIITQVVAQPSFVDAINAVSIVVFAYAGTP NFFNIVGEMREPAQYSKSVVAAQTLITMIYLIVGCVTYHFVGQYIASPALGSAGFIVK KVCYGLALPGLIVGGLLYAHTAAKYVFVRVLRNSRHLSKNTPVHYMVWYGCVGFTCGI GFIIAEAIPFFNDLLSLIGALLGTLICIQMEAYMWMWDNWRATNRGTRKWNLMMAMNA IFFVLGCFLMVAGTYAAAVVINDSLNSGDAGSPFSCADNSGN I203_06783 MQDLESIEPRPEGDFRFDKNEVPLPDQDDGFWNYIDHHPLSPLL EGLPGELDIPGGNSSGSVTEDAAGSYQSPVWDKTQNILYDLAEDESGPLIVQESSSPK ANVPECANRSEWTPMQNLLYDLEKKPANLTRSSIHDPSIESSTPAAVPAASPEEFNTP QKAEFDNRPVWEAMGDILRNLK I203_06784 MSEENQHLPTSNPSQADSAKLPPVVTPSQLHDQAEMLHQAPVEH NFGSHADTATSGTVPTDDFSFNIMRFSDGLVNTTPSLTSDLSQLDADLDCLTSPLLTA YSNFSSSSKRRRTGLDGWSTPLSPGESALQTVRTALTAFKDHHKDKPLARGPITLAMQ QKDFAFDIDDGSGGDYQGFSIERGRKRSHPNNFPAISTVTVPSTDRVTSDEDSLEMAP PARRSGIEATGSKSCSSCTESNKKCTTFVSTRVDGSVYYAKCGECHNRRSKCSLATRE HLQPYKGDMTLIEDFLGGWVKSTRARRVYESLKEKRQAREKYLASRSKTARPEEAATV TEDNPIGISARRDAFGQSLRRRNRS I203_06785 MPPRRSSRTSLSSPTGADDISYLTLAEVRDRLNRNNALLSSPLF ATPQVGAAGPSSDPVKDKLLLAREALLAREQELMLDNMHLADQDTQQQQIYNGSPPKS PTLSLGGGGNGRSGKARVLNRIREGESNLAKNGLILPIDQTLHLGQRDYQNATALSLS HLSLNPTRSSSPKPRSARPKVSHPSNLYDNVPVGGEDEITRANRLARINAFMSYKSSS SDSEDDDDDFDQDDDVDEEGMEGIDKEEYINRLIRSQSQLEEKNFPLTGNASGGYDGN GQPLHNDDKSLDDLGEEVDVYGEDDEDFLEGNDEYANGAGQGSMAAGPGR I203_06786 MLTPSSRPRSIPIPTNTRGIPTTSFGAQSPPAAATGLSGSFSTS PSMSSHVRGGSGSVGSVFHGMARQLTAFLPVTYPLEEEPEKRQGKTKVLLLENINLDA AQFLKNQGFEVDHVTKAWSEDELISKLHQYQAIGIRSKTKITQKVIDANPQLLVIGCF CIGTNQVDLEHAARRGIAVFNSPYANSRSVAELVISEIIALSRQIVDRTHEMRAGIWN KLSKNCWEIRGKTLGIVGYGHIGSQLSVLAESFGMQVIYYDVIPIMPLGTARQVDSLD DLLSKADFVTLHVPEIPDTINMIGEAQFNQMKTGSFFINNARGKVVDLPALAQALESK HLAGAAVDVFPKEPGSNGPGFNETLGDFIPRLRNCANLIMTPHIGGSTEEAQRAIGSE VSNALYRYLTYGTSLGSVNFPEVDLRAITTNDERHIRVCHVHRNEPGVLKQINNILAD HNIEKQFSDSKGDIAYLMADISGVGQEEVEGIYNGIKNTRANILTRLLCESTRFC I203_06787 MDFLPLPSLPSAPRQSSSVNPHSRHFHSFRHPLFIKHPSSITHI HFNPAKPHRYAITSSTRVLIYAPRTGKVVKTISRFKDTARSGEFRKDGKLLVAGDDEG QIQVFDVNSRAVLRTMKGHNQPVRVTHFSPHLPQIISASDDTTVKLWDLSTQTCLNTF DSHTDYVRSAIFHPTNPSLMLSGSYDSTIRLHDCRLPEESSDVITMRHGGSPVEDILM FPSGGIGVSVGGPILRLWDLSMASNNKCIRALSNHQKTITCLSFDGEKKRVLTGSLDT MVKVYDMEDWKVVHTMRYPAPVLSLAVSPDDTHIAAGLTDGTLSVRRRDPKASEITED TTSKNLSIVGGSYEYFADMEQIFGKGYLKAKKSELPPAKGDVDEYRVETRRKRKLKEF DRYLKGFKYQAALDAGTKKTVKPTTTFALIQELIHRDALRVALSGRDDVSLEPILSFL LRYVTDPRFGEMASEVVGVIIDLYTPILGQSPILDEMLLRLQTRIERELNFQKELMKL KGGLDMTLSQAAMSKVIDTA I203_06788 MDGMVRLFDRGDYFSAHGSDAIYIANEVFKTTNVLKYLGTPKPS NTTSSSSNSFAKGLPSVTISTSLTKQFLREALTIKQMRIEIYSPEEKIPGRKDNTRWI LSKSGSPGNLIQLEDLLFNDQSLSSSSVIMSLKLQVKEQSKIIGIAYIDNSERLLGVG EFVENDSFGNTESLLIQLGVKECIMQSDDKKTDHDLSKLKTLVERCGVIVTERRAADY QARNVEQDLNRLLHESCAGVALPEFDMKIAMSSAAALLIYLNILQDKDAHGQYRLHKH DLSQYMKLDASALKALNLMPQPNETGGSKNMSLYGLLDRCKTSQGKRLLARWLKQPLV NLHEIVQRQNIVEAFVDDSNTRLSIQDKYLKVMPDFHRISKKFHRRVASLEEVVRVYQ AVALLPKLINTLTGIETSNPAAKDLIEEIYLKPLQEHSSHLENYIQMVEDTIDLDELK NHNIMLRPEFDENLQQFRTQLLQIRDELDKEHVRVGRDLGLDIEKKLHLENHQVYKYS FRVTKAEAGTLRGKKGYTELATQKSGTIFNTRHLKELSEAYFDLQDKYEKTQRHLVRE VVGIAASYTPILEILDNLIAAIDVTISFAHVSANAPIPYVKPTLTEKGTGDVLLQNAR HPCLEVQDDVTFIPNDHDMRKSKIPCADSSEFIILTGPNMGGKSTYIRQIGVIALLAQ IGCFVPADQAQLPIFDCILARVGAGDSQLKGVSTFMAEMLETATILKSATKDSLIIID ELGRGTSTYDGFGLAWAISEHIASQIRCFCLFATHFHELTTLDQSLPHVKNLHVEALV TDKRDGDGKQDRNITLLYQVKEGVCDQSFGIHVAELANFPESVVRVAKRKAEELEDFG GEDTNESLTKYTKEETGQGTSLVKDFLETWKARTGQSENANGGDEETMGLSDDELLAE LKKTAEEFREKFESNPWTCGVMSTF I203_06789 MSPSSTSAAGDIELAAISIQNPPDEAESTNPFDTPSASQTFDFP VSSSSSSDPRSSNTQPASSHQSVNEPEGEEQGAINPTEALPPVDSGRQAWLFLIAATY IELIIWGLPFSIGVLHVYWLNTLFKGQGESTITLAATLQTGLSYMTVAVSGPIFTTLP RYTRTLQLIGLMMASVSMIASAFVTKPWHLIVTIGVFYPMASATYFPCATWLFEWFHA RRGLASGVMYSGTGLGGFVFPFLVQGLLGRFGYKTAMISLGLGYAITGNIALLAIKRR IPLSRYEQNSMTPRRRPRVDWSFIRRSALYLAISTIGLTSMGNFIPNLWLPSFVDEMG MTKPNGTVLIAILNAASVPGNALLGYLSDKLPLKWTVLISCLGSALSCAFLWGFGTNS GVLVSFAVTFGLLGLSFTTLWTKMVGAVSRDDPVVTGLTYSIFAFMRGVGNMSSGPIS DQLLKVGVLRGATGAYGFHNYGILLIYTAVTILSGGVTGLMLKE I203_06790 MCWMPSQPFLSPLCWSSLTPCHILWQASEAALELLKGGTIPKDK LHENADVLLEPITKGCKTKVAKVIGISIAALQRLVALGGVPTSKLPDVLQTLSSVSNQ AVDIQLKILQTLLSILTYNQDVHDEVLGNALLLCFKLQESRVSVVSSTAAATLRQAVM LIFDRLSSPPDPSDTPSIPLILPTDPVTESSVSPSANDAYNIFSDLCLLTASAGGSGG LHLWGNSEKEVKPSLLKLHNLNKTFGLELIESILSGYEESVKKQPELLFLLQYSLDPL LLKLLSEKPSFPIALRVCRLIFLLIKSFTDQLPEQVEVYLTTLIKMGMGEHEPHERDV HHGWLRVLALEVIRGICGDTVLLQNVYSHYDSIENSTSSKLYNKIVTSLSRLINEKPV LLGIGSQMHGLGVLHTDPTSPGTNPHASYLDLGIGMVSSAATAGVNTMNAMMGGQGGG LSAASGVKLRLIEQHDKAEAPLVPDTYIYLLALQSLDAIANGIYISVASSATPIEPIK AMASSAWPALLAALSYTMGTNLSDQLFADVLTSLQDFTVACGLLDLKTPRDAFLSILG KYAVPPPVVSAMQSYMENPNPARNSSVISADALGFSALGVGGPSGPPSLSERNLACLR STVNTARVLGPSLGKAWHDVLEILQNANFLLAARKPSMGRRPTVPGGSPSNPMSPGTP RPSGKMSENKPDILQDLDPESIQLLINALFDSSRDLPDEAFTTFVTALCQLSSEMIGM NASNPMSSDLGDYSAPSTPNMALSPSQDPQRRRTSGINISHSIKSGERSFSLNKLRVV STLNLNRIITQEPEVGWTVVTQHLLAVARHLTAPATIRTQASDTLGELLLAAVRIGKE DRIQHQIFDVLVKQVDVHPVSNTISTDYEVRSAGYQTLNQILESSGHSLSVGWSTIFG MLDSICQDKDQEILSFPFNNPQPPETPISPVSEFRRPSMMHSKGNANLVRIAFPSLQL ICTDFLSSLDKEAMKGCITCLGHFGQQIDDVNITLAAVGLLWTVSDAVQTQLQDDSKE LWLYLLTELLELSKDPRLEVTNSAMQTLFRCVELYGSNLSSTLWQSVFEQVIHPLMDS TEGDESSILALTSIGNIFGNFLKQINQLSTFQEIYQKFLDRVKKAWEIEPRNCATAGL KALERVLVSLKLSDGQAFGGNRDLIVEHTWKTFLDIGTSFTESDNSYTQENLINLVKV GSLLHDQLPSSSSSSPKLNELSNILRSIMTYTKSTDYRPDIDTLSPLQSSVSTLISDS QRFSTSQQLNDLAEFASLAYFSAGEPSSATGTGTSTGVKVTYVGLTKWSMPKMVDILG ENRSSPKGKGKEIYEDGTMENVLGAYSIPIKLKYDCPPANKFGEDPPLWKTAMTTFVA VLDIVMATLDVEEISVERFEAIWSQIMEIFSGVLLADSSEDVTSDDETFVIAHLVHLR SVILPRLGDPRIPDRIIVQLSEALRKASVLYHYDVRVNGGTTAPGIPETQEELRYWSL DLLVSLSTKREVDAEQQTRVAVLAVPALMKRFRESLTRWLDDRKLRGNLPFGRIREEE ILFVLRHLATMRVYEGSLKSDNQASETLSAIYKTSSRSHLFHLYPLLLQFSFLSHTPS MWIFPSEHSQLFGLSPRESQEEPESSPVRGEERHEDEEQQMNAGDGGDLIEVSAGQLA RRCLELIGKESGMAV I203_06791 MVSTRSGSSKLPEGVERDDNRIIVVVTGANSGFGLGICEQLLSN LSLPPSTSIPISTPQLTALPPSLRNDFNEDELDEPTKHIGENPPTLTLILACRSEAKA LEARRILLDKHEQELKERQEISGEEVRKGWKEGLRIVWEGVDLDNPGGGNGVLGFCER LKIKYPHITTLYLNAGMGAFSGLDWIAFFKQNILEGLPQAQSLPKYQYEIKGAMSSDG ERGLVWGTNVLAPYIMAQELIPLLRRSPPSLPFQPRIIYTSSCTSSFSKLSSEPLNDY QLINYEKSYSASKYMGDLIMAQLDKQYGSSSSTNEEDENQIRPVRAFTADPGCVATNL FMNGFGFILWWVKIKFFFYHWSFVLCRLMGSTKHPVYADQGALPMLYAALIPDRYILP STQNPAQRFAVISQRWGDTKVGYEEVDEWEKGDELGKGFMEACESHRREWRRREGLE I203_06792 MAGPNLEIFKFGFYMFFPIYVMFKFGDPEWYESYVQPYKEILWP PYESTYQPPRTHTGIKEELARMKAERIAKKTGHPVESITASEIEAEGGINVASNGSTS TTTPSIVSQTQSIPTQTSASGWSWPKASGNDNERLV I203_06793 MDLKGDLLRGVYAYGFERPSAIQQRAIMPIITGRDCIAQAQSGT GKTATFSISILQRIDTTVKKTQALILAPTRELAQQIQKVVIALGDYLNVDCHACVGGT AVREDMAKLAEGPHVVVGTPGRVFDMINRGALKSDAVKMFCLDEADEMLSTGFKDSIY DIFQLLPAETQVVLLSATMPPEVLDVTKKFMRDPIRILVKKDELTLEGIRQFYIAVEK EEWKLDTLCDLYETVTITQAVIFCSTRRKVDWLTQKLHEREFTVSAMHGDMDQAQREV IMKEFRSGSSRVLIATDLLARGIDVQQVSLVINYDLPASKENYIHRIGRGGRFGRKGV AINFVTQDDVKMLREIETYYNTQVDEMPLNVADLI I203_06794 MPLNTASDIQPNDTKAFQHPSIRKRWIQNLIDLLHFSLLKGDIE RAKRAWSILVRCREVNWKSRWYWGLLILSSSSSTSNGGFDPQSQGREVERWLNGLRVS AREEDKPSLLHALVLHLIKSGQYRHAYDQLETYLSSYPFLLSGPLHTYAGLLSFYLAQ PPSLRSDGQSLPDSSSFHDKQALRRHLSAEVELERSSRSSLSSSPPLRPIDHQHLTAD AAGLRTARSWFVKALEIDKTDQVARQFIDLIDNPDKKGINDDDESDEEELHRMEDSDQ SMEDPQSLSDTENEVEDNDSEDGSMQDGTSEGDISDKEERSDQGYDSNKSDESEKSR I203_06795 MTLYSQLITSTALISPLPLLFFHLTLTSYLLEIRSSLPTTLQSR SSIFLFGILFAPLIPISLAVGILPSFLSLNYFKSRSEEVFIRFAKPSDERLFDIFLYV GSISSMVYILLVILLSYLAKFDDPNDRKKNKVIYTLIGGMILANVEIGLSLLRSQTFI ILLSRRTIHLVSRVCSAIGFLIWYRLERISNIVGVKRKKKGRDTINTFGTPASTFGMG SAPNSSLVERKTTSATKRSQKTKLQIGNPIEGTFQKLTVDGNGVDGLPSAKFGMGMIV SQIAGIGNPMIVGVKKGKVEKVVVKRPTRRPPVLSFTPSTFTQSSLDALVSRSRPSDA EPIQPNTAISRSTTSAKKNVGSIYRPDRISVDESDLALWPPPSTIEYQSDIYKQPLYD VDTKPGSILPSTSNIRPPNMPRTNSIKRKAVPMLDNQPSKRISNYFTSLRSPQRPPGQ AQSQSQSQSQSQSQRDTGRLSVQPEIQQLGGQTRVRSGTATERGIWFSNPTGSLSPLD RPLMIYRRSTDPSQAVYPSPTASSTLEGPTLTPSPSGRETPRENHHQEESPSGVIQHE HPHHNQNQYSITSMYSNNQTTRTYSSSISKDLFYNPPRRSTSTESRSSPTKVSSPSPL SASSPNSLTVFNQTTGSDDRGRQSELQTVLARLRKLRRETGLNSDFDLDLELQSERER ESSVYEIDLKNKPWMRNKGSIATGTVSLDSDYTFDEDDDGISENLTPVAEIRLVNTGR RNYGGVV I203_06796 MVKITFKTVQNKLFTVEAEGSETVCDLKKKIQETQTFPAENQKL IYSGKILNDTATVESLKIKEKDFLVVMVSKPKAAPAASSSTPASVAPAPSTETPAAPA AAPPPATESAPAPTTETPASVPAAEESSTAVESGLGSGFLTGSALQSATDSMVEMGFE RDLVIRALRASFNNPDRAVEYLMSGNIPQIEGMGQGGGQPQAPPAPSAPAATPAESTQ PAQPAAPAQQPAQQPSGPAGSAENLFAAAEAAMNRDRGAPAGGAGGLGGVPGGPAGGP GAGAGGLANAPHLQQIRELVQQNPALIQPLLQQIAASNPQLAQLINENPQALYELLGA GGEGDEEDEGFGGPQVMQVNLTQEEAAAVERLEALGFDRQMVLQAYMLCDKNEELAAN FLFDQGEDD I203_06797 MASSSSKIRAFELQSKSKQDLLTQLNELKTELASLRVQKIAGGS ASKLTKINTVRKSIARVLTVINHKQRDNLREFYKKSKYLPLDLRYKKTRAIRRRLTHK EANAITEKQHKKNIHFPQRKYALKA I203_06798 MSLTDSTPSSDIVFTTDNPPFDWLTVSPAEAKFFSNDRRTQLRF YTAPSGNHVSTRVGSAYIYRGRTQGIASQGSITDGNELVPTHSNIQSLETRGGMGLKQ HSIVDESFVKVQSAGVHRISEFVVKPNEGWKVSVSNTGDSMTFTPSSDNGTFQLIESA CETHPNRALVQLVFERSGWPGYGSNLEPQSHPTMDNFDAVESGCLYYIWRDLGNDDTR TGTFSPDRYYAQGALGR I203_06799 MSSVSTRSPCTTQLHNIDHSSLDWDTVTPAEETFFSNQPRQVAT WDSEPKGSNIKSASPSFVYRVNTGPCNSQVGQSRPICETAEEDTTGVVSTQSSVINKK YLIVDEHLSLLGRSFQFLIEKDNGWDVRISDNGDTMTCTFRPGAGIDEIYESAAGAHD TRRLRQLILERSGLPGYLGRSSPREPPNAEMIDGEQHITLYYNWEPVPSMSTAKRGAF DNDRFFVKPSITN I203_06800 MIAPIAVGAAALMAGVAKAQVTATGTMGSTNPPQATLGTAVNST SMARLVSLNAIDDFCLFAPPEANSAIGETEAEEVAWCVQARNNARQIPDGTFTAVHFV KTPLYWQIQGLGDLTKLNIQSGDEGGELDPHGATGLGNPVGGNVTTNATGSDVSYEEW MNYMSYNEFCLRICISENSTYSAAEMCQHTLDEMGCNWVMPGDYTDNSFTECDGDSAY PPGLYPQSNGSTSTFQQRYTGTYTDASGSVGMWTQGQTVTPQTAYSTPATSNCVTYST IGNGVAAVATASSNSSSAASGSASGSAASASATASGSNKSSGSAAAATGSKTGSNNAA SASASGSSSGALPAIGSMGPVAGLSVLALVAGAFLI I203_06801 MFKRKSNSHTVSPLEPPQLSPASTDVSSFRFQQQQHPQDSWGRG QPQSGLSPPLQVERTTTRGSSGTSGTGKEKRRSGFFGLSGKKDKEKAKEKEKDKEDSM DEHALGVHGGHGSTGRWGEQDKGKGRHSFNVDRNSTQAHVPNGNVIRPQEGNAPYHRD NRMSQQPQPPGQIGSPPPPQQPYSPSPPIPPHQQQQQQQQQRAVSGPGQFRPNDDFLP PQGSGTVPKSQSMGFARPQSQSHAPPRQQSFSNPAQDTGADPNQRMPRSSSMPIDQHT PPAPAAKINSPRNSSLNGPPPGAASNPNPTFGGVLKRFDRIVELIAHQPQKTYVTSPP ELEMILARTSAGGQPKQGQPGTAANDWDAVWLQLSGISLSMWSMKETRLAASKGEKVP PTYFNVTDSSLELLAPLPPPPHRPTSHPHHHVFSLNTAGSNRLLFSCPSERDLAKWAI GLRLAAWERSRLEEIYTGHLIQSGGTEPKSDLVKGRMEGWVRVRVMGGTDWKRLYVVL SVPGSDEASKDDEKKGRRKSFFGMGDKEKDQPVQEPNTGVAMATFYNEPRTAKNKTSV TPVLTITNVTQCYSVFPERLEVMSSSNLCKVVGRVSGDMVTIEGRLRDSGWALLMPEG PGDGPSGEKSHHHGQNGTTPLSNMMKWVTGFHDVFGLYGRPEKYNWDPRNPKSLFFAY PQGEDRTNLFLSIDEAMMSDFRIPQLPSVRATFANLVQRKIEGQLRVANETVDQREEE VEEEEKPTPRPEGDYRLPPLTFGDNPSGTGQAPDTQVRPLTPITERTDIATRENSMRT MKSQFTAAGIAAPSAGVAPSGDRKASGGSSKQGSQGSTRQTASSIGGDLAPIADASNE GFGQLTEEPEKTEVVNEGSRSPAPRTATTETAPTVWSQDTGTTPTPQSANQSSSTHHH FQHSQPLNAIDSTPSLQHSQGSDETTKHVPSSLKPASPPPALAQPIVEPHVLSPTPRR VSTDGKVDVNGGIHEEPAALYLMNMVEDQPTTAPLNVPKYGGHGKSPSPERTRPTINT DLDPVTKQPRPEVGRKPSGARAVPPRKNSGSRILDPVNDESSAVDEATAGSEAIPHEG GHGRFPTSSTQADLGEDISAFMNYAEAPASPVKPKAPAPAPPTLTKPKDEYRSSFAPS KAAAERRAKAEQADLDQQNARNLPGGGRRRAAAKSESSESESDDEEEEEVSPVEKRHP HGLPIPPISPQAPRTRQSDPPVQRSTSRALPPVPRAPELRGPNGEDFPHERESYYPSQ SQQQSSDLPRPRSRSPMGAASSVYSSLPAPIPAGIRNVSQSQPQPPPPATRQTVWNAN FSADHGMPQENRSGKFVELEEPSVQLTKAFAPHGLLQAGMQDKEDRSAKKQEEVARET GSSLINVPSKPPPPQMGLLGAVAAHEKERKNAGGIGATLTDREREKRLAEDRQREIEK LQRQQMEHMRQFGGGDMYGQQQFPYGMQSPIGMNMGMGMGMMGMPQMGYPSYQGGFNP YAQQQAMMAAQMAYQQAMMMSSAGSQAGDHQDFPQPHSGSATPGGMPNNNRASSPAGS TRSNNFSTPPPQMPQFYPGQQSFYGPPMMGGMNMGSPMMQPWMMQPPQMWGGSPSPGP GLGSGAPSPMNLNQNQNQNRFDWLSPNQPGPGSQGGASDGGAGADRRSRLSSNNTADE RYHNAS I203_06802 MDHGEQSTTADYQNYRRSPAIHADSTRPQQLAFTLLDDDPQSSF ATDLVSASAAAIDKHTLHDELWTGHWDPRDSQPNGMHLPSVPLNSSFANGSEQFIGWT KTSPQSHDDFTAKDGLLLTSVTTIQSHTTSPSPSLLGSVRSSKVTKPKKGRNPVSLYC PFPSDKKDLQDSNRRRLRALTQKASLNVEERDELKRLKRERIIHYLVWHTASFWLDAL T I203_06803 MNTTRKDKPTTEDYFSYNPEDAPLGSHRHPINTVYTSGDNEITY FPMENDIGDLQCFGTSGFSTGNFGLGESDTTNMGMDNLQTEFSDTHMASECSFEYVDD PFLKPYTDDAYWHPPAESAKPSVFDLTAGPEAGNSSNTALDPRTNSRILAREIVASTP QGEQVDSPSMTESSHKTQEELENTEAKTATGPSAKVLRNRKESQNRRDRKAAWEEKAK ATLSHLNNDRLEKQNTLTLLRSETYNPAWNYSQTWILLAEWNCFRMTKSKYSPEEIDE QLRISERWKPGPKKRKHRNLYKAAKSRYNVEDRGVKLVNDLQSCWNDCVRLDLAIQAW HTEEATALGM I203_06804 MDRIPLILEPTLPSDYRTPSKPIPYRQAGWTVPERESAGVHLTT ECIDSVDSRCLPSIISLFGEKAGSATSGSDKASPKIPGRSTSSDTTECIQRATDCANT IPPHSRPQVDAQQLLLENAQLRSDCQRSESKAEFWKGQYYKLHSMCWTTPISEFGFSD RPGSWTASKNTWYPANIPVRKFSIRNRGTGKASQRSDENSAWSVYGPPFAPHSYTVED I203_06805 MSEAEQLHAIEENYPSDSEGAFSDEETFQTIKELGLPSDDKLSS METPLISKGDLSELLDPSKARGKRSKRFVVNMYRTEGLIPESEESRASEMKATARPGM HERTTSTQSNLSVASQEDYDKTTAELDDYTRRGKQVNDLITRFHACCDESMRSIEETK GTIDRLARHFQSSHTK I203_06806 MSRSPSPASSLDFFESDPSSEEDYVPVRRARKVGPAAGGSKKVL GGAKAGGPKIKINLSALQRAQEVAVAHPADGPIEEEDYGVGDEDDEGYFDGMIGKRGI DLSNQALKSDHALRPLWVDDKGNIIVEAFAPFARQAQDFLVAIAEPVSRPSLIHEYRI TKPSLHSAMSIGLETKVIIEVLSRLSKTPLSTRLTARIEEWTASFGKVRLVLKDNRYF LETSVPEFLQTLMTDEVIRDCRVTREIESGPTVFGAEQTARPRRDYAIPGTEEARRAE RGEIQADDIGQQGRDNDALLGAVIGIGEADEMDDEDDAVHSFEVAGERMEDVRRRCKD IDLPALEEYDFRNDSVNPNLDIQLKPMTVIRPYQEMSLAKMFGNGRARSGVIVLPCGA GKTLVGITAACTIKKSALVLCTSAVSVAQWKQQFLHFSNISERQICAFTQGEKEMFTT PAGIVISTYSMIAKTGKRAHDAEKMMQFLRSREWGFLLLDEVHVAPADMFRKCINNFK VHAKLGLTATLVREDDRIGDLGYLIGPKLYEANWMDLAKNGHIATVQCAEVWCPMTPE FYREYLRNPSRKRILLHAMNPNKIQAAQFLINFHESRGDKVIVFSDNVFALEAYAKKL GKSFIHGGTPEGERLRILSRFQHDPQLNTIFLSKVGDTSIDLPEATCLIQISSHFGSR RQEAQRLGRILRAKRRNDEGFNAFFYSLVSKDTQEMYYSSKRQGFLIDQGYAFKVITE LHGLETMPNLVFPTKAQQISLLEEVLNTGDAAVETADHYMRLNNGKHMKRPAGNQPSS LSNQSSMPAVQRFTAPLEHLSGGQNLSYREQNKSVNKELSREDRQNKRDRVGGKEQHA LFKKRKQEMDAIKKQRANGI I203_06807 MSAPPPRAAPKANRRSRKRRRRQASKSSSSSSSDDSSSSDDEVV APKPAVQKIQIDGVSSSASSSSSSPSSSSSSDSSEESESESESDSEIDQPKAGPSTTT ARQPKIRTRYPTNSPSPPPSDIPSFLTSRMKGKGTNTEEEEERRQKFRTVYMDKLVEG FGGDLEKMREADPTMGPKRLQLLIDSLAAGVDIYSDPQKQSNGDKKDDVDEVGLLIGR I203_06808 MPLLRSSTRPVPVQCFFCLSPSLLPPHPQSTNISPKGKNRISEV GTKWNWQCDRCGCWNIKDETGQMISDLPAMHDSAYNERSFSLRATPSSSHLPSSSSSS SSSTSLFCHSCLANQTLIMNMLANYLPDDDDPSYPMLYAELHLYLSKLHSRYPPVCRN CQPAVDEALRKSDHRAQVQAWSSALDRGARSPRRNDPSGGGEIGRVSKGDILIWRIRG GLWWISLGLSMGQGILASAFPSRLTTILNIHPPTIPYLPFSLLLFNAFTIVWIAWDPY WLKRVRDRDKTKIEGRGIWVRNMMIIMLLRISGSAISCFITDHETSSPFLLKLLQATF ALEIALLLHSLMSIKISQPVSIKLVRPVSVTLDTTSVQPSSSIPNGYDLSSLSLSSSQ SQTQITYTNNGVNPIFGRPSLHKPLADMPVDGEPMDWEPTRQQSNRYPLFSPQSEYDE GEVFQRKENWDTFGMNKQRMFHKNDETGLENLLAGWGIGGPSTIHANTQPNGLAHNAA PKKKKRKSNNRWLVLDVIGASMLMFRGSGLITMLMINIFKIHIENDKEAFDWINHWTL VVELCLIILSKSLVYLNQYLRQPNYHNQGVEMDKDSIIKISWLLLDMTLRTTSLAFTR NARSKEWIGTTTMIGDERWRITLEWLLWGIMDGVTMMKM I203_06809 MSSSPTLSEMEFPSSPQPSATITPSSNKPSGNFSSNPKKEKEKN LVNKLCRFLPPKKDEAFIGPILPTVEETITQIRQQCPTLSHIPSESISLKIRFDGKNS QACENVLFKIPSGIKGCWPNAISDKLHLIFIDVATTTDATKSTLPVISTDQAIQTDLK VQAERFAQAITYSGAIPTSTPPKEGEKELTWDDLKKDGALLGVQGWTDRPKAKF I203_06810 MAPSTSVPTAEQIAVPETLLKKRRTNEATREAKLAAAAEARKAQ QAKRKVIFKRAGEYVHEYVQQEKEEIRLKREARKTGDFYVGAQPKVYFVVRIKGISKI APKPKKILQLLRLLQINNGVFIRVTKATTQMLNLVTPYITYGEVNLKAIRELVYKRGY AKVDGQRIPITDNSIIEKQLGKYGIICIEDLVHEIATCGPNFKQATSSLWPFKLSNPN GGWRPRKFTTYIEGGDTGNREQAMSKLVHQMV I203_06811 MVSLPSYLTSKGRKEAAGLTSSELAATYAALILADEGIEITGDK IVSLATAAKVEVEPIWASLLAKALDGKDVKELLTNVGGGGAPAAAAPGAAAAAGGAAD EAAPAEEKKEEAKEESDDDMGFGLFD I203_06812 MSTSIQSHPPLTSSSSSSSRSQAPITLAEPISTTITPTRQGNLP PSYASIPLSPRPNSIVNRHEEKLALRDARHLVEEEVEYNRGHLSPGRIGPMSHVPIKS NSNSSGSVSPGLGPAVPSSGVGVGTTSDGISNGSEHTNGSDTDDLEIGHRGYLPLGLD VRDALAKCEDPTLGWSLQFWVTIADPLTQHVFFACPASGQCSWDPPVGAFVVPRSPDG EWWELADATRGNRSYYYNTLTGKTQWTRPGGNAFVIPLGLIQRAALPNRPTAQSPSSS SNPSSSRIIPTTPSRSNRQNRSSNLFSPNSNSVQSPSTPGTNKAYPRPPYSPLNSIPR PIHSPSKSNRSSSLTPSSSYGNGDGASHSQTLEAIVLGHFTSPNSYTASANANGSDSN LMGDGLKTNTNIISHNTSNSLSSNPISFTDSSQLSVVEEGSGNETDMSDFTTPGSAGG GWWEKRRSQVLTVKTGFKSPGRKFKGLSLGLGEGSPSSSDGIANSLARGSPLKKSRTT ITGPIIESNESNSDTQGTGTDTPTELTVMNGVNGTNGSTTPGLPKMPAEPIYVEQPGS VKTKRLSTGLHPLLPSEISSDILAFQTDDFARKYFATKRSGIMRQKVPVERILNWQKN PITSPLLVLSKHLAKDAMTTFKVIQHVMGERHKPVDGAKPFLSSSSHLNLASLALNGR KDDKGHPDRNGRLANGFASHNEPDYNGSEAMGGTAGGPSKNDKMQVLEEIRWMIQLSV ASSEMRDEVYCQLIKQLTKNPNHDAVVLGFQLFCVLVNAFGPSKNFEPFVKNFLRINS AEKADGIGIMSKYCIGKLEVLAAKGGRGKALTVGEIEHASDAAFYPSVYGESLDRIMD LQKRAYPTLKVPVILPFLADGILALGGLQAEGIFRVPGDGDSVNELKSRMDRGHYQLK GIDDPHVASSLFKLWLRELEDPIIPSNLYNDALSASKTPEESIDFLTRLPVYNRRVLL FVMSFIQLFMKEDVVKVTKMTPGNLALVMAPNILRTTSNSLITVFTNSSFESKFILQL LENLDTARVDEDYVPSHGQPIGRV I203_06813 MSEHLKRVFAEKKEQDQPAFVTFLTAGFPTRDATVSLMMALEAG GADIIELGVPFSDPIADGPTIQRANTIAIENNVHYSDCLEYVRQARKSGLKVPVIFMG YYNPLIAYGEELAVKDAREAGANGYIIVDLPPEEAIKFRNICQENSMSYVPLIAPSTS IDRVKFLTSIADSFIYVVSKMGVTGSSAGVAISASLPELVARIRAFTPVPLAVGFGVD NRTHFEFVTSAGSDAVVVGSKIIKLVLDNPDIEKASKEVEYFCREITLHGQNPPPLGR ANGVAQNGTAKVEPVLPIPEGEVAKPELQVDQPGKLPSRFGLFGGAYVPESLVDCLSE LEAAHAEAIKDPEFWKEFEDMFGYINRPSQLYYAERLTEEMGGAKIWLKREDLNHTGS HKINNAVGQILLAKRLGKTRIIAETGAGQHGVATATVCAKFGLQCDVYMGAEDVRRQE LNVFRIKMLGGNVIPVTAGSQTLKDAVNEAMREWVTRLEDTHYLIGSAIGPHPFPTIV RDFQRVIGREIKSQMQEKAGKLPDAVVACVGGGSNAIGTFYDFIGDESVRLVGVEAGG HGVDTDLHSATLTKGVIGVVHGASSYIIQSKEGQLVPTHSISAGLDYNSVGPEHSHLK YTGRAEYIVADDTQALKAFKMVTQLEGIIPALESSHGLWGGMQLAKTLPKDKDVVICL SGNGAKDVAEVLLTLKDKKWADKLDWHVAQ I203_06814 MLPPPFPPTKRQSPTNTCTPSSVTAPSSTSVQYDDAQLKELFKE FEDYKFTEDPMFNAGLPTVFDAIKGKKMSPGLIDKTIAEAQWFYFTTRIKHISIPFST YTSYVHRPSQVLPKPSSPPSGTQDETQTRPSDSSSLSRMDHLTEAMRMMETKGTEGQT GLTFDKLCELIKEGKAGELQGKDIPDELNPLPPSTSTLPTRLKPWQISNQASPASANF HQPAQSPISTYHDTSYDVNNSIFGSEPYHGATTDFPMLSQPTEINAGNTLNAAANASF MYPQGPTNGLSPQVGSPLSQGIESNFPSAGGHNQNIGSDSDQIQNQVNAQYLSQLNQS NIPEHVGFTPGFEYIDWAAHDDSTSIPNQQHFQSINSNNTNMDMHGPPVVFGYNQEDE DQNMDERDVKVEPHSDFGR I203_06815 MSAGVYTTPRERMFIAKMELLKAEQEERAKYKLAKRESRRVNNA KAEEPVASSSRSVSHNRPSSILRKSSTLPPPSSYQPPAPSSPQFTQKVNVPSSSAPSL PLPPAPMISQNTDFGPPIQLPSPATASTNGVRRRSSRVRFSDQQLQPTPNLSQTSIVS RSTSLKNLRRESSIDGLRQDLMQASSSLHGHRRPSVNSTGRSNSLGGKRSSMASISSS INSDPFNWTTSSFGSGSGSGPGSDLDITSEIDIGSRKQSLANTFDVDLNLDLDQLEDE FELEMRDELGFKPQILPYPKISKRLSRLSLESTNSSVWSNQDHMTNLSRIKTTDTDSI HSVDSQSVNTHSTLKDTLERSSLGSAGSVGGQSRQSSQFSLEARIIGSGAESRKSSYA PSSSMVENGYTSTPSSLRSSTSENEQSSQTNTSICFQLPSKASALAYSTLLPTRRKQS GAIDEHMPHINRGEWQDPELGLSPRSGPIRVSGSMSTVSRRGTKLAEEILIPPEVEHQ EAEDLGAKVEDKYDEGIIAKTSNPEQLDRAEYPNEKSDVMFTQYKGHIRSESTFSAIM AFPIPPDRKVENVSVQDDTGTEQEIVPQVETSVEEKVIELTLNTEVTVPAAVQSAEWQ GDANEPLVISLNSPFVEIPSTNIATLPSILQIDIPHVDVEQPTMTPLPQACPSSPELE DILRTVTRPRRARRSVRADDINETDTEESDLDIASPLVKVALSSRILSPKSRLLSASS SSSPISRPGSMRSVSANSISTLSSLRSGLGLTSGRGWSGSESEEEDWITTVREIRERK SPVTPRKKEVRRKSEGSTIQLGHEMVTPTQIKRLSTISTISSKSNSNSNNKSMNRYSQ LSEISTITTTTTTSSTSESHLPITPLSLEMLTPGTVGLTRTSSNASSTSSSNNNKIGN FPWGLSSPPDSPIRGLFVNNLSPTREFFTSFRDTSRGKKGKRELPIPAREDMLEDWGE PEILIDQHDELSESDTEERSLRGSISTMSLKSDISILAERYSGIESRRSTIKLNSRQK EEKRSASPDLWMEEEGEKSLMDEEMPEM I203_06816 MSTTTDAPATSCLPEQLKPQPQSNPSTSDVRPTSSVFARNEESP IHNVPMRVIRRPLPSELDEAKVQTFMDEMKAGDTFTPIEIIKVKSPLKINPTGPPETF YFAMGGCHRYEATKRLGLETIRAKIIEVPASQMRIYLGAGSPF I203_06817 MSITPKVVPDTYLQPDKPRSSLQYITEGTFGRIYRYANSSDKVV YKMVKEREHGLKLQEEFSIYGDIHQSLPPIQVGFRVPKPIEYYKSSYLNEPSILLDRS SSPIQSAVTKTFNLPDDFEFPAFSMSLIPPLDTSIVDTYKSLFWSEDLQNQNRNSNGP NTEIRLLRLYFGREDIPLRTEQIQPGIKTDPPLDIFRYNSLSCTLKKEYDWSLPYIED VSSSMGRLLANLHWLGGTNCRDIELVLGGGGNDGRGEKAQCWVLDFNQCQRWLILHLL QSSTSTSSASNLPSPTTGIYSSNTLLEASKRLSTLIYTQELYYPRPHQGEVYEQFKKG YERAVELILNQQYKGIRLKSKSEIREATKGFFEEYEKLDREKMARRSRMGKQIV I203_06818 MSQIPQFLVDNLPTASATTSRPHVTLTWAQSLDSKIAGPGGQRV ILSGPESMLMTHWMRSVHDAILIGVNTLILDNPRLQANLLPSSLNLPPPQPLILDPKL RFPITSRILNEWNTKPDQRGKTIRQPWIICGNDVPTERVREVEAEGARVVLVKLDARG HIPPSSLPTILESLGLRSVMIEGGSRVLSTFLHSLKRDDGSRLVDSVVVTVAPMFIGE GIGGEDVGLPALKTIHTEKMGKDAVMICEVE I203_06819 MSVHVLPHPPATSFTPKAKSSLKADTASSTTSSPELSSYESSEN GVDEVSEPNISAEKLRQIEKMESNPDFLWMTTEEPHRSRRMAILKAHPEVRKLMGPTL TTPPTVALVLTIQFTAAYLLRSHHPFSIPFILTAYIVGGTANQNTFLSIHEITHNLAF KSIKANKILAIISNLAIGIPYAMAFKGYHIEHHKFLGEDGIDTDLPSKLEAMILDNVA GKTFFATFQILFYALRPGFIRSQKPTIWHGINLLAILAFDVLLVKNWGWNSLIYLIMS SFFAGSLHPCAAHFIAEHYLMNGPLPTKEELVKDGQGDNEDWLIQGLAQETTSYYGWL NVLCYNVGYHNEHHDFPSVPWTKLPELHRIAKEFYDPLPSHESWPYVTWKFITDPSIG MWSRAKRLDKGDKIDHSFWTPLIGSDGEVQSKKETSDVLAQDEEEEEIAYMSDSCTQK NRSKKE I203_06820 MNSYDRPPTPSIPYLTHLPPSSEATRTFPLYQPPPPPEYIPLTK ETLSQLPSDESLNRQLKDRLTKKAIEGLPELTEEDLKDFYADLVQTGIESREDDVLAI ESPGGQLKLPLDIREREDILNELENRLTGSGDIEQQSGLMKIDEELSRAPKHYKIFAA LARLGVPQDGPSGTSKSPAGAIQSQKGVDIPLGLVSKREWSVLFHEFIQRSDARGAEA LLGVMTLHGAPIEETKIEEIIKVDAAAGRVDDVGRLTAEMANSELAISDSHKDLFILS LLRHTPSQPQNAIAQLTSAEQAGQPYPQSSYQVVLQHLTQPSPLFQPNAHTRALAWDL FANMRLSAHPTPSRELYTTMIRTCGESAQPEPERARDLWIEMTENEKIQPSREEYSAI IRALGSTKKDYLEAFDLLRQMLAKHHDAIYTPFSSEEDGLPRFSQYVPTLETFIGLLE GTKRAGDLNRARWILTETFKLARTGKMLNSKEWRDGMDANLLSGIFMTYASWKPLVRR GAVKVKDNDEIVQELDEDGQISSEIEAKRLEEKTVTDEEWLDVDVMEKLVESSSSKSE AIQDPSNSQSNLPLTPQSSADALREATALFQRILHDVASPPNPDTYLPFKDVVLGPKL INSYISVHMIHSPSLEATKKAYEEAWSAVSDLTRGTVRPNGWSYLQILEKCSHGTRAG MTDSDRPVAFEWGQAIWEEYLSWSTKAIKELETISEPSMKDRKRWLMGLGDRQIERIW KSAIRLFALYDSKPSKSLHILEEFFVRYPPEDILKTYRPLPEVKGLKIKLITPNSTPE ANVPPYLLFNDVKVLHEKLIKNDDIKSLGRLSFYMKSYEMMLLKRRKWRFRGVGQVKE RNRGKYAERERKRLEKAKVDMTEGDAKEVD I203_06821 MPSPPISPTPRRWRSSPDQPHPDFAALLSTFEQPSSSSSAPHSP NSVPEWATPRGVLSDDNDNDEAEVEYLTATAGDTSTATINPDIDIEIRTTSPSPQRPA GSTRQQHSDLGSPLLPPNRGQLFGEDFERNELSLGPRGIFSNEAPPAQSFESSYPIPP QATGQSTATIDAAYDGVLSQLDALANPIPTRLPFAPSSSSSSSTNPYLPPSTFHPMPI RQPLVGLGINMNPQPPTSPGLGNYQHGNLSRLSTVTERTEKSSSPTSSHGRSNSHSQT LSPTFPGAYREGPSKAGSASASTIAGKYPLPPSPTKVPSSPVKGPRSAFSTGTGTAPG SAAGTPQGTPKKTSDLIKMFESRGDSAAPPPQPKFVPTSPSKSTPLKTSSAPTSRIAG LPNEGVKPVFGIGDHVPPSSFRGLPTASSGPTPPPKPPSPLSQVRGMIASWRARSGTI SQRPSGSSGLGASPGNDGASGLFRSGTGDKGWNVSIRRRRRNERELAEQAEDSHEKEK SSEQREREQEEEEKRSEKSSDIHEERKGEEAPSRSGSLRSDKKSSEPKQLTGDPIKTG ALYYLNVHDQDSRPNFKWVQADGRLYNEGLELNWVSDKGRATVTLDLEFCDEVASTYS PNNPMAGDDIGAAAARRQGPLADSLYPFKLVYDDGVERLACDSARDRVRWVNAIWTVL ERTRAAPSASLRANRSSSDHGSEAGGSASTHFTPTEGSQTVPSSPLPSSTGSHPLYTT DDAVIETSGGLHAPIVQRGSRKLAAGGLERTRSLRRVTSEADLKEVSAPPLPEKDIPL SPRTTTGAGIQDVPLTAENAERPLSRDFTFAHGIKPPTLFSAPSEGSSNFQTPATGFQ SLGGTSSQYNTATPGTVSQPLSSRSIYTNARSEIAPTPTIVDQPLSVYTAAMGTPASS SQPWSAHTVPPPAPSTVGGYQSAKDFSSGQSTYTAPHGPPSTIGDYQSAKDFHSGQSM YAVTPATITRPLSEQAWTAQPGSSTPAGTTRGIPSSASVYTGRPGSAKPAATTRDGPS SPSLFTAQPGAQTPLTTTEPWTTASPGTARPLPSEQATAEAFSPTASSCTAKPGSQAQ TPAATVRGMSTPSSHTAVPGPNTPTPMGTAQQFSSISSQTAVAPPSLSAPMGTAQQFS IEHSGHTGPTPASTAQPWTSVTSAHTPRGPSPSDPVRYTPAGVPQVAISGASPSAPPT DEGRSPYGTAQQTITTGLTAPTHPFGSARNGTEGYTAASGLLGSPFHTPPPGDRSSSR MSRSSGVSFATAPPPVPSRDSHYSTASPGNTSTKASSTPSSPTRYSLHDAPVPTYAES ARTPSVYPTATQGDSYFTAQAPGRPASEYGTANEADLQRSEYHERPPATRYATATTDP RYTGSMGTAPTTPSRSPRSSEWETAPPPPISRTASSDAHSSSDRLTDGLTSRRGSRAW TQISHPDSDDELLADLERRSSNGSSVSRRTKSKYTTATTAPTPSRTAYTARTFDQTSR TPLGTARENTIYTGARETVYSNATDWQTLPSNYTTVPATQSYYTAKTSQTAPIRAEAP PPLSFKPGEPEGVSTASTIPSRRIARVPPPALSLSPALPAPQPAAPPSPVVVAPQIRQ MPPPSPSFPSSIPATSRSPTTDVSSTMMTATTRDLATGSDVNRLLNFLQGQEQAKHGQ NTRVGNQLDRIERKVNQIAENQTALADRDGPPPVPSKDPDDSPPSSPSSITSTTSSTS TARPVTPPPLIIPEVINQQFDDLRNLLGTLIGRQEDLLGRQDELAQEMNRRRSFDVEL PDRGPGMARLEDLLKRVLQRVGDSEFADELPLPQKEKRMYPSSYPATPKTEGTREGSM YEGGDSVYGSEFGARGRNAPANSIASSFDRRRRRPMSEVSSKLMEGEIPEPEFDEEFA LSGLPPDTPPEEFISRQPQFPRHLAGQRPRQGPIPAQQPVQPQYVPQPAPIQEQPEEE EYYEEEPEQQYEPEPEPEPEYEPTPEPQPEAMTEYEPTPPPAPREMTPQQTPLQPPVP YRTDDDYQNDNGQYADEGIQRGPYRPGPPPQPVDLPTPVNSPRNMPPYQPTQSGMRPG FAPAPGMPPPPIPGPGMTDVPRPSLPRIAGVRDPISTTYFRRGFPPGPMGPMGPMGMF PGPMGIPGPGMGPFMPGLRPGMPGFGGPIGPNVNPSLRRNGFFPPGVNSTTGDYGLPA AARYGNAGLPPSGPTSMGVPRPPSGNTTTVDTGLGNTTTESTVTTPSVTSVTTEEIVT PAAPTIVLQEPIHMPTEHAMTADLPPIPLSASQVGTVSDDSFRRALGNTQTLAAAQGE QQNEMSRYLHGMSDQIADGTMATQNQLAEILGDIAALREQIKPKHVHARVLPDGTVML DNGDIVDGIRGAPAPVTPGAPPPPPPPVSASHVEGRILPDGTVMVGGKIVDGIKGAPS VAAPGTPMMELDEKVEETAKDMEQDRRLAELQEKIEELMSKTAPPPPVSVPAPAPAER IFEEEEIISMRGDSGTEAPELTPMPIPTTLDDPTPLPTPAPALTTMGTPAPTVAPTAL GPNTDVRREKQVIKEREVIREGPVGGRHKEVTVVDELERDMVTTVPPGSLPPGSVPLV TSILPAGSAPPGTVLAEEENVAVTAVPTAPGSGGAAASGTSPPPPPTVPLSISVPTAV PTAAGPGTIPPSTRLNQRTGKPLTLPPPLSTHSMSPIQTESGLAPPTLPHLIREEHEE IISRPADGGLPTHTHTTTRTYTQVPAGSGPAGSGLPPATVMGDAPISAVPPSVGPSAS VHPSAGKAATVVPIAAAAPPASVTPSASGVPSMPIIAPGTHRSDHQSVVEQAPPNISS TKTSHETQTVAPGPVPIDSKNESTSHTVHPGVSNADPSAVVPPPTAPSAQAPPPQTAS NVPSDPRPVWDTNHPKSPKPSKATSLANVPTEKPASVAGGPPPATTAANVPSVAEAPA PIVSDTGMVAPPPASAAPADTGAVPGPPSASTGGKSGVHWDPKIPSKEVATTPSKPAT VADTPGAPANTPSAPPATDFANVPVGPDLVTASHPVLPVAEVPMTVPAATETKVQETT HKPASAGSGILKKPKSNESLKTTATPPPAHVDHPPTLEDLLMEGRDLTAHPPGTTVHV PTHPVASSGSNKLKKKPPTFAEGPVADPANVVSSIPVGPPSGTGTPAPSMKAPTPAPM TMEEVVLPDGRKAYIPSRPASQAASAPKDAAPPTGLGSAPPPPGDRPSTGKKDKSSIS AADKEKGSIAQKDTTHTGIPAESEVGRGHCSVCCPHGPRAVGGVPIEPCEHQDGILGQ AAKQHTAPTGPRSNKSAKIIKPTPPGSLGLPPETEAVGGPGDEVQMGDVVGSGDKPKS GPPSKLSKSQKSDSPEDAMEDARKLAAKQKAAAEQAALEAAERDAKLKEKEAKAKLAE ERHRQNVEALANLQKALDLLATDSKATKTANDEKAKSQEKRRTDKTARDKKITEALDK LVLDREEAKKKEVVNEKKPGTQAILDALAKKGESESAFLRKLALEIMEQNSNQHTQTQ QAAKAAAREQIGFNLAGYLDDFSKALSGEVRVLLKEVGDLRESRRALYMDDLMAVIPY PAGAPKNPANQPKKEEKKDGGGNQNQQGQGKGKPPAGVPAWAAWHPMMPPVMGRPLPQ PGGPPPPMTMNMSGGMVPPPPPSGKPLPQP I203_06822 MVKIKMISRSLDDHMPSSSTSPHPLQRNLAPHLHPFQKPREYAR ALTAVKMDRMFAKPFVDALGGHQDGVYCLGKDSRRVGVVAGGGGDGEVIVHSLTLRRP LLKIPHAHKGMVGGICWTSESHDGQRGMITAGKLDGTIKIWRSEAFAPGIRDKELFQG SEFASGFGSAGHQQHLDQAGAIGENGLDMDVDFDEEEEGGGLNLDFAKRDKLGENLEP KMVYTNKNGLNGIDHHQTDSVFATASNTVQIWDENRSAALSNLQFGSSLETVNQVKFN QSETNVLASVGNDRTMCLYDIRTGKAERRIVMQFVSNDISWCPTLPTTMLLASEDHNL YTFDIRNLNSPSQIYKGHVGGVMGCDWSPTGEEFVSGSYDRTVRLWNRESGKSRDVYH TKRMQRVFDVTYTPTADFVLSASDDGNVRIWKSNASKKLGVVSSKERQAIEHRQKLVE RYSAEKGVRSIKDRRHVPQSIHNATKLKRDMIESRKVKEDRRREHSRKGREKPKAERK SE I203_06823 MSGETQPQHHWQGVLSSALDAVGHTPLVRLQRIAKEEGLNCNLL GKCEFFSAGGSVKDRIANRMVEQAEKKGVLIPGQSIIIEPTSGNTGIGLALACAIKGY QCIITLPAKMSLEKEVMLRALGAEIVRTPTEAAWDSSESHIGVARKLQKSIPGGVILD QYSNPHNPLAHYYGTYEEIMHSLKTSDLPRKDISLLVAGAGTGGTITGLGRAIRDYEA SLPHTNGNSASHGRTTIIAVDPEGSILGGGEPGNYQVEGIGYDFFPEVLDPNPPVVDK WLKTNDEEAFDATKRLIRKEGLFVGGSSGSALSGTLRYLHSEEGKHIAEDPNANVVVI LPDGVRNYMSKSWFLETSKLPTGENMEEKEDIKSTIRGILGRDLNDVMSVVDSKREKG EKLENGD I203_06824 MSTMHVPRRKAQSGKHKRQKLLDKRALKRGDLSAEDHAAGLHKL NDKYGRVRTLASKSGGVDPNSSSVRLKSKFYGLSPEYLTKTRNLAFELELERPLSSES AVFPLEILTERDKEGKLSCPSRPKFRVGQSKKEVERNEEGMFKKWLKVTNEIMQEYID EQDDQEEGYPRGPTWYETNLEVWRQLWRVTESSNILLLLLDSRCPLLHCPPSLRNYLQ NLKPHKEIILILTKSDLVDPAALEGWKDWVRGWWGQEGVQVVSVRSYDVELLDNGKGR HRPDIPQDSLEELIITLKTAHERMLEAPTWAKENPEKLKEWKPSVRPTVDWSSLAQEE YPYDIANTHSTKFTVQKDVKQDTDRTQGSGNGSNDTLLRDQAEEPLTIGLIGQPNVGK SSLLNALLGEQKVKASRTPGKTKHFQTMFWGSKKEIRFVDCPGLVCPSLVGLEIQALA ATIPISQIASLPACITFCAQRLPLETIFKIPYTVAEGDFDPYAGKRTYRDPKLAEAGR IKREEEESKEKWTAGKIMEGRAFDRGYLSAKSGRPDINRAANGIMRLLADGKIRWGFY PPGTTGREGKGIWLGSEAEDQDINVYDVEKVDPHRDQATSEEEYYDEEDLDDSEELSE LEEENDEEEEQEKEEKVGKSDKIVGRIGGFFDALGIDDDDEEDEGDEEEEEEDKGEED QEKK I203_06825 MTTTSEDSVLSLSSLSSAPALESLLVLLFEPSTALRNLLVPSVL LRLTARSEPPSSYNELIDICQEVSSDWTWDEKAEFISGHPMIGEVKGLSKLSGKEQGN STATPKVVLDRLAHLNELYCTIFPGLRYITFVNGRSRAAIIPEFESILNLPLSPQPLS DDFSTNQPSLDSEEVKRRIKLPESDAWKKECKRGLGDVWLIGRARLKGLGLQ I203_06826 MIVPIIIAALAIRSVRGAAYVGCVDPANIPTASGSTTGTDVTGC ISYCSSQSSPYAFYSNADGSCTCSSSGAALSAYEASQDSVGSCAADQASAWYINTNYA FDSCGDSVTNANGGRTSFVASAEACLSGCTTATAVFGRLAGSYMCTCASQTTNDAPNA CQGGQAGSGLYLYTLSSVEPTGVARRQLKERLRRAQAIQHQYCPAGLTACLIGSDREA FECVDTRADLESCGGCLGGLYGPTTRNATSTGVDCSALPNVALGGVTCTRGHCEVSAC KYGYALVNKECVRML I203_06827 MSSERTPLLSNQHATAQAGPSRAPSKASSQITLNTQANQLISHL KSPSAIAYSPLNTEIDLAVHLYALHLLDPKRYMNHASIRARIADSELGRKLRDAINDR IEDLLDRHCNMIENENEHDDDDDEDLQVVFWKRWKMRDESHQWINAIDLMLPPFTTHP SPLLSHPLVRHTLDLAWTKGIVQLGGQGHTKFSRIMTKVVEIVTPSRLHFLHLASFLV LYGLTLSIALSPANRISPYEPSSPNPSLFGKELWWALWTASDLVHSSQYRTTLLRRIL LLPLHLSLIFALFPSYSQISYTLLLFSIPTTTFSLVFPIKPSLPLLFPSLLPLSILLK RIIYRSFKTAGLLMPLVLGLFVIFSWSMNGDIFRGFYQAVQSSIYIIETMTKPIPIEA RISIAEPVEDGISPFSARLTIFVTLSLLFICSLLLTASRAIMVPKERWDGEDKRRWRG AIKEGDNWEKEYRVQVAREARECFCEGVRGYMWQGNTLHELRARYGTADGAEEDGAAI ESGRTIKRFSSRFQDLYPGAISPVLPPPLSLVTLPLDILRLVPLGELSDKVDQARYTI GLVAAGLFCLPSYLCSSVLGGT I203_06828 MAEQTQSAFQKQPIFQNAKSRGGKKVTARTKRWYKDVGLGFKTP TEAINGTYIDKKCPFTGDVSIRGRILTGVVHSTKMTNTIIIRREYLHFIPKYRRYEKR HKNLAVHCSPAFRVEQGDQVTVGQCRPLSKTVRFNVLRVSKNKAAKGFAKF I203_06829 MSKRLNKRQQRELEELEALKAATPKEVPVEEDSEEEEEQENQAS GGGPFNAFAALGGDDDEQEEEEEEEDDTPSAPVKKSKKKKNKKKNKFASTTIEDEASA PATPGTPSTPAKGGKKKKKVDPYAGMDEVDRALAELKVQYGEETSQAGPSRATDNSGE ARSSMAFRNLLSVDPKNLDADAELRRFFGSKVIASSATSGPNRHRPGPSAKLRYTISK PKPTYPPATSLAGLVMREMIDNEVEELYERRGKERIDKGEKWFTFEHTGPWREIERQF MGAVRSHDPNELMALLQVYPWHVDTLLQMSEVYRLQSDIGAASDFAERALYAFDRCLM PSFSVSSGASRLDFDRVENRPMFTALHRIISYLGRRGCWVTAFNFAKLLYALDPEGDP HGAVFWLDFLAVKSNNGPWLLSMLEQGDASPAAASWYAYPGMAYAKALALRQEEESKK SKDYTKSDEALQAAITDFPQVVTLLADKIGASLPEGARSNPLLQVEAGFNETPSNVID LLSHIYVSRSEALWKDEKRIAWFSKHVALAIPKLNDPSAKEARDDALALIQSPRDPLD EGLPVPMYICRHVLCSESTSFVGFLPPVVTSRPLNSFDPLPPTTATSIYDDAYFSGLI STNNGRAIGGGGIMMDLVNRVFDIATNNPENYRQQVEDAWRGLVGRREMQNAPRDQLD NVLQALLQMAGDVVNGGGGVGEVEGQGGNGDQMPGGFPQ I203_06830 MHQPDILTQQRQTGPPPWTPYVNYPPGAVVWYAGSFWRCESGHT SGYEPDGAPTNNLNLWTPVGSSGQHPSYPKQHQQQYYQQQQQQQPYYPQQQPQQGYYA QPQAQPPSYTAQSSSPGYFPIQQQQQGYYNPDPSSSGSSSHFHVPSGRFSPHEKDDTP SSTETITEKNEKDRGNSSGRSSASQFFSEKANESLRYLTIKKEAGDSQEKDELKNELK AKRVWRTGGIGVWAYSEDQKEEELKKKLWKEWSEKHDEKDWLKVARERKEFYEKESKG VKPLFMWKLVEKGQRLPTDALPIGHEQDGAALYSARSWWEGGVHLGKAGHHLVSGASI SYGGAEITLDTYEVLCGPINEPYLVKWMTYRHGEVAAVQGWQPVEGGREKDGTALLLA KGDYER I203_06831 MCESIPSVTLWGTTQLTSTITTSTIVTTQVAQSTRLLTSQVITR EIITSLVPQQTLYYPCSESEGATQPARPAPAQSRPATTQGQDEGSVDPNATGQFTGSL PTQTRATTKSLSFTSPTIKSTSISSSSTIENSVAYTSSASASSSSSPIENGEGSTDWS TAKSNNSGIIAGAVVGGIFGMILLVIILMCCQKRRARRRRMGSAAGEDWNDTSGGGGN GDGNDYWERRFREIESANSSRGNGLGLNGMEGGRGEKEKGDWDSQTSKKLRLTLDLGS KDLPSRPPSRLSMISSFFGGRMTPTPNVMASLYHSHSRTGSTPTLRSTFGNGNQRSRR PSTKGGESRFSFNPFRRHQQNKHSYSSNQFLGQNQFPNLTQDQRHMRNHSRSISSPWV QEDSRSSKSTGTGGNRSAGYSTSNKSPGARPSLEDRYHNNVDRTGSKSIHQTANTKEK RHSQSTFGKKMSSFDQPPIATFKEDHTVNNVNNNNNNNGNSTWSYHSSDFNHTPVQPP PQAMTTNTQSMSTTGLTAVREGRVIESPTEEDIEERRNMEWIRHSTIPSDQGEGEETL DNTDEEKMNELESQLGIDELGGLPDISIFKNLDNPNGTNMPPKPYLGSGQFNPSIGNT PPQLGAIGTYTSSNSHLSGISTIPSLPPATTRGGYSSGISSVGSSSFIPFIPPPKSLG GNGTVTSHLSGISSNGSATGTGGLTFIPPIRGGHGSLSGLSITPSTVLPRGYLSGLSS AHSFTNRTIRPKSPDSVSIPSDIIINGHGQATLLKRINSQSTVSSYSTHPVSPCSTQP SFGVSRPNSQFSDSNTNRNTGTLTFYRPERRSSNAGYPYPYPPVSRRSSSIKLKRTPS GRVVSSIVHRDSSLLPSMPGMGVGMSNNRKSAFQDADEDGYPDINGNMVVDIPALPPP PAVGVGASIPEKIPSPPPTSFLIPENISRRSLKNQRESKGKHVPKIRLSQRALTPSLW IDGELLARFAASQDGDDEGGSNVTFDDTSTVKPTPVKEKNTHLRTKSKGEGDVSRRNS VKKDATFPPSSQSSRSRTDNQLDTEERPLISRFSNSTQPTISRNNSTASTTTTISGGN TMNGPGDLQNGSVSRMNSNVSVNDNRIQSNERPITPSKHVNTPNESGSYLSEPTSLTP RPRPRPKSNRKQSLYVPKDQGKGKAKGITILSPHTPPNKLPLFSPPFPKPPTPPLPFS TWVQESHFASSSTSSSTQGGGGGNKDFRFSIKQRRKQQNHNQNQPNDYTEGQGQGQGL FEIDDESGHGHGKSVVTPQLPFLTLGSLSTNSSVTDFDVRDGKDGTDDWRSNRKKSAY EDADEGENEFVVDKF I203_06832 MKNKDNASQSQEMDQSQILRIKRLGVDPNLILSDASGRPKRKRT QSQEPEQPHGHGSTVADERDPKDPVRATELGYVIYRKIMDAKSSEGEDMAQPFVKLPN KRAFPDYYATIKHPMSLEIVHNKLEAGEYQTLKDVCADLGQIFNNAKRYNVRESLIFQ YAKKLHKMTRVYYTTITSPDKVKEESDSEGEHDLPRGATMQPTASAEGYAEAEADADA DGEDVDEDAEGEADLEGDVHMADTPNKMEGDGNESSSTNPVGAAGGVPEKVKRSRRRG QYMKDGPSVYKLIKPVLRAIKEARAKDGSGREIAGIFLQLPSRRDFPDYYRTIRNPIS LEEIETKQVGRRYESFHEFVDDIDLMCQNGMEYNEDGSEVYRDAQQIREILSWHQNVQ RPSYPPRPSSITPIPARISVVPGQSQYSPSPSAYSQSPAGYPGGLPMPMTASPSPYPA GPSQPPIPGLPGQGQAPRQYLPALPPGVVTEEVVASLERYPMYERQAWAQTLPPLAMN VYRSMVATNEARKRGQLPAQQYPQQIPHQQQQQYQRQPQPHHPQIQQLPQQFPVHQPS PHHPPRPEPHSRPSYSHPHSSPHTSTPQISAPAPTQKIERPKPPIPTIKYIDFTFHSA SSPDRSELELTKQTIRIKNLRGVITHSVLLNSTTSEIELTAFIDDSSTTTTKEVNGSE IGTAPQPVATSTPELSLRINGNQGSLPKFIFDNTTENDNDGKGKPKAMKWNLHVSTNK VESRIEIVATKPGMMAETTTIFVSRQF I203_06833 MTTTTTTSTSSPIGLFIQPACLQHKYIRHANSSHIFERPERLRA VLLGVAAAIARLEDVDPTTQPATAQSNADDLSGLLSSLSIGSSSSSSSSITSLLNIVP PPPQPSIPGSILQHHPAVQLAHSPVPESPFPYLGQGASSSSSSISGNIPSSPYLKDLV KWASEAIEKIKETGCEIPPDLGLNAGDLYLGPGSIVAIEGAIQTVCQAVDHVVSSRTP PSTPSSAPSSSPFSKAFCAIRPPGHHCGEDLPSGFCYVNNVVIGAMHAYLQHDIDRAI IIDFDLHHGNGTQALVMPLNAAAHAEDLQVKAGKPQTMLGRDGGKRRGWKGFYGSVHD IYSYPCEDGDVELIKDASISLAAHGQYIENIHLQPYENEADFYARIYPLYIALLNKAK VYMEETRAEPSRTMVFISAGFDACEHEHQGMQRHDRRVPTSFYSRYTKDIVAFADKYT EGKVVSILEGGYSDRALTSAAMGHIVGMKGDLPKECDEWWTEQELINIEKATKKRRTG RLAPFPSDLSSQPHLQRTHTLLGHFECVGTGGVESVPPSVTSTPQPPTARMTLRDRRR PEDIALPPSADNTPVARRVFKSRGGGSNVATPTRTKAKNDLTNTPMHITKQAKSTTTT PYAKANRGLKVDNSVPLPTSRFEVPLEGIVKTEQGMGLEEALKALESVKLEDPSLVEN TVQPSSAAGSALSLPKPDIALPPVVNDHQMSRPSLFTQNPIPKIILRIPRPPTSQQQP QQTEQPRASTAPNSSNTLPETTNSIYPSLPGSVKEEDRLAYREGSTSTSEGGTEGEYT TAPSGGESPLPGDKDVST I203_06834 MSSAYGDAYAVKMTSGVLVTCDSAAKQILLHLDSMRDGPYKFMI RDVDETHIMIKREYVEEIKELLQDELEKNTYIQDPNM I203_06836 MSAGEPPHDLAVPLDVQLLSDRGTLPTLGSDFAAGLDLYSAESK IVPARGKALIDLQLSIAVPNGHYGRIAPRSGLASKHSIQTGAGVIDADYRGPVMVLLF NHSDTDFEVHPKDRIAQLILERISIPRLRQVESLDATVRGAGGFGSTGGFGVQAKKHK RDEGGEETAEKEG I203_06837 MSEADASVRKDLGDYFHQAVLDHPDVMAECLSILRMYNLTPADL FFKYEAFLMSRPSGLRAKLSSLSLETLRELRSEIQREQQAKAVAAMAGLPSAASGIQS DQSTKSAVGVRKGKGNMADIGGFLEGLSTPSRPAKPRASYSTIRSSTHPNNESPAGST FSPSLKGTVATPFQATPAAPAASSYRPEASRPSKGGLLETPLGRGGNGLSVPSSPTSP AGSPTHMTPQLTQPFELRPQPHSLVETLNPHLPDSNQGVPSGSRPRVTLSSTADPKQW NYRYMFEKISQRSEALDDSIDDYAENIKDAYGISELGDPHFVSEESIYTVGRILSPPT DTSKATTSSLFLESSRLLGAGKRIALRFAPPGTLKVRGGAPGVKGFGLFPGCLACLKG RNGGGGAFVVEEVLLPAPSALAQSSASELLDFQHGEKLKGQPISMMTAAGPYTLEDDL AYAPLSALVEVVSRERPDVLLLLGPFVDAQHPSITSGAVTQTPNEIFRDQVSRRLQDV LDTSPGTVIILVPSVRDVVSHHSAFPQSMLDKEALGLPKKVKVLPNPCTFSINEVIVA LSSVDILFHLRREELYQKAEEAEPDPELKGAEVKDAMANLLRHVLGQRSFYPIFPPPE TTASEVNLDVTHYPLLKMDGPAPDILILPSKLKHFSKIVDSTLVINPAHLARAHTAGT FAKVSIHPVPRPKLENAISDGGELDEFRDHQVWDRARSEIWRI I203_06838 MPSVPDVTINQFTAFRQAEKHFKNRAVKDKYPSLRKYQDSLVDL SRPEQQEDDEVWKAGWWSPDHEPDARSNRSDWKPWLFKGKEKDKGTRPDLPTSDLKPI QLNDGRTGCIVAPGCILIPKFLSISEQLHFLHSSLAEYTLPPNPLSLSTHYDLPSNLF ELYTHDPDTAVQPKHRTIPASQTRSSATSDVPKSRTLIETEPASVTGYDEIVARNKTW TGDAPSDKLKEKTVDQLIMELRWANLGWVYQWSTKSYDFSREEPIPFPSELAAVCYQV VASVPWHRVFSEGDDSYTCGWENWAEDYAPDTGIVNFYQAKKDTLMGHVDRSELDPAR PLVSLSLGHSAILLLGSASRHDPPRPIILRSGDCLIMSGSGRQAYHGVPRILEGTLPS HFAMQDTDSETMKAAKRFITSARININARQVFPPGFVRPDRE I203_06839 MLIYQDIITDDELVSDAFDVKEINDIAYEVDCSLIIVKEGDIDI GGNPSAEEAEEALESGASQVNNVVHSFRLQSTAFDKKSYLTYLKGYMKTVKAKLQETN PERVPVFEKGAQELAKKIVANFKDYEFYVGESMNPDGMVALLNYREDGTTPYFTFWKD GLRAIKI I203_06840 MALAFHAWHVASPLSTRATTAISRAFISSVASSTSPASTSASAS ASASHQRVQDAQTSPAGEQLDAARGRSRRRFHKTRRQAVCRSLGSQAADDLIKDSTEA RSIDVYKQRHKSGAPSLSISSSTRDDLYHLTRSPKAFHKRKSEHHPAIEQVTDLDLRL TSDESIQYTHRAPMINESEKEEILGSSIPTSHTTKQDEGTFGIAGTISAREVSINEVK PMRDMKIARLRKALGRVLFSPGVIPLRDFRTGVWNFEPSLHDIPQPDKFAFHRCPPYI TPSQDEASLNIIFVGSTSTLTKALSQIYFAISGGKGVDLSTLSQDFTCERTTFTPGAE LPASIIVDKMSDGIYSVDSDKRWDIENVISDFGRILEKMLTCEVHDFKRFLLSSPESA VPEEERTAKEAYRYQRVNSLLMRSQLDCYDPRLPGNGVFDIKTRACLPVRHDRANYLA NSAYDISKDRGYTQSYEREYYDLLRAGMLKFSLQVRIGGMDGIFLAYHNTSRLYGFQY ISLSEIDERIFGSTEMAEQAFRLSVSLLELLLQKSVAEFPDQAINILLKHSPAINAHS VTAYVEPKDWDLSAGERPIRAITLTMKNVLDGEPINGPVVFSVDEKLRHNQNWSVNYT ISYSEVDEEGIKKARRGLHRLQQDLLAMNSLVVPAGETVKSMTRKDVITRRREKREQQ NQEEDQSAIPDEEAESYSKIRWREPGARQIKLREEAQESGQAYEKRKKTWRKGKFAWS V I203_06841 MADLSQHTNYTAAYSGLLDNFYLTLAIAGACLIGYEVEVHIPRR RGKDGRFQRVPVRLFYAAQRGWERLRGRGAKFQNREDGRPSSEGLVRDEGEEDKARSK LGDRESWEFGYIFQPKAWAVNASPPVPRWPLMWIVSSLKVREKDMPEKCGLDLTLHAR FLRGAFFYTLLQTVVILPVLMPLHIIYSPRDIAKTSMLRASVSSLVQSSGSKWLWVHA LLIWWITITWTATVLWITWGALAYRRRQIKALAAKFAASKEMKRTITRGEEGQESRSV VEDCTGVKRYKTLMVTNIPPDMRDETVLRDYFNYYIQRHHARKQSPTNRPDLKKPRLS LNALELSGWTNEESDVEEVILVRKLHTIASLRDRRQDVLRKLEIAHLNLAKRVLAAAS RYKRTMGVVPMISEKDKDQPLPPNATKQERMQRLMRVLDPFLDDHGFAGKVDTTVWDA LHSLPRECIDPYQSLTHLTSLFRDQNAPLIDYLTTKLSYLTMLLDESRSRPLSSYTPS SAAFVTFKDAKTARLASKILDSHPKRSLACHAVPAPDWTDILWPRLGKSTYRSEFVRG WVVYLGVWAFTLVWIFPVSLLCALASLTNIAGFIKPLQAFLNAHPKVASAITSLAPVI LVALLTIAICPILLVIANKAETIVTRLGIHNSVLERFWKFLMVNGVVFFAIGQSTIEA YLTAFQNKNFDPLPIIASAFPTAAPYFASYILLQTAIQPFFEIFRFGLPTIVYVFGTR LSVIPRQRSSRTEHPTFSHFSQVPQQLLGGAIMHLFMLLNPLVIPFSEWHFKLYHTST LIVNLSSALVYYGACYVVWKRQFTYVYGRLYETNGKRTSIRVLRYSLDALALGQFVLF AFFILNKAKGHAIATGILFAITLITKLIITRALKSRFKNLDIQEADILCPPVTASTND NDEDDDTASEDRDPDDVPLPNGLPAPQGSSRFNTWRRSVGRWAGSWKKAGSSHKPIPF DHVLFSTLDSKIAFEPFGDPQEASVKPSEFPSRASQENDLQRIVAPHPALQPWEDIPP YYRSRGYDDQPTYTDNYDDFLWLPRDPLSTLDLDDTVEMRVALTTSAGGSGQISDCAL EFAGLSVRDKKDRDTWQDVIVQGPSDGRPTSPGANSETRLMSTPSNIGSEVNDTFSGT HLFRRHTHKAATALSDVFRRPRASSSISNPGIGMDNLSVRSRPGPPSPLELNNLDTFI SMPRVSTPSAVSVQQEADVTQEPSTPAAAPTGSHISFAATLGRSPSGRRPSRIRSGHS NMSEELVQSPTSPRNRSLSHLSPSKSRTASAMSAQQQRLWNEVMEEERLMMKEDREEE KAEMEKEKEELLKEQDKIRKTSESGEGGGLRRRLTRGNSEGSRPRLERAGESERSRNG SVALVDTPTSIV I203_06842 MALRDANCYTLTVGQSSTDPSIIQLSESLGQLGNKDGPRYARVR EKRDDEAYSSAIYGEYVLTGARLASAGYETEKSKKRRLQLHGPDEEVPFEFTGRINFE WTFTFEGHKYRWTREIYGKDYICSLDRKPDPKVEICLARDVSSKGPARLQILHYNIDR FPDEIKDVRGLETLLVASLLCLLDAANDRNTLSRSPSSGSKSAVLSSKDKDVPPVPAR PERVISEDDFEPENPNEIVVSTTSDIDDHIARAIALFEDPHVLFIVIRTKTAEAAQRA LEVSLGVKRFRHHEDLPELCQYVIEEEADSIPSSATKGRKIGPKVISLDDEAPKHISP SESMRGKQKVWTPPPNIAIYLSTIELPDLKSGRREHLKYVASTSSRPQFSKPTSPLPS GPPPVLPPKEPANHADPSKRTSSFGRLFRGHS I203_06843 MSGQSETFGFQAEISQLLDLIINTFYSNKEIFLRELISNCSDAL DKIRYAALTDPSQLDSEKDLYIRITPNKEEGTLTIRDTGIGMTKADLVNNLGTIAKSG TKAFMEALSSGADISMIGQFGVGFYSSYLVAEKVQVTTKHNDDEQYIWESAAGGTFTI TEDVDGPRLGRGTSMKLFIKEDLKEYLEEKRIREIVKKHSEFISYPIQLVVTKETEKE VEDEEEVKEGENKIEEVEDEDSAKKTKKTKKVKETTTENEELNKQKPIWTRNPSEVTQ EEYASFYKSISNDWEDHLAVKHFSVEGQLEFKAMLFVPKRAPFDLFETKKKRHNIKLY VRRVFISEDNEDLIPEYLNFMVGLVDSEDLPLNISRETLQQNKILKVIRKNLVKKALE LISEVAEDKENFDKFYTAFSKNLKLGIHEDATNRSKIAEFLRFHSTKSVDEMTSFKDY ITRMPEVQKSIYYLTGESLDAVKDSPFLEVLKKKGFEVLLLVDPIDEYAVTQLKEFDG KKLVCVSKEGLELEETPEEKEAREKEAKEFEGLCSAIKENLGEKVEKVIVSNRITDSP CVLVTGQFGWSSNMERIMKAQALRDSSMSSYMASKKTMELNPTHPIIKELKSRVAEDK SDKTVRDLTYLLFETALLTSGFTLTAPQDFAQRINRMIALGLSIDADAEPAPAASAEA DAPALEEAAGSMEEVD I203_06844 MATWTTLLTPPPDVSPEVKPPLTTDQEAKLNSLIDHFGAPGFTL PIKQHAEEKTGLGDREMMFLSKETLVRFLVATKNDLPATIARLGDCLLWRRTEDIDNL QRMSEECSEESKTGKNLALGFSKKGQPIITFFVNCSFVSLDNAKAVEGFTGRAHPMVY MLERAKDLMCAGVTHTFVVFNWSGKKSGPSLPLSVIKSTNHILSNYYPETLGLSVFQN MPWVFKTLINLVWPFVDPITKKKVKFGTIEGQEIVKEGDVDPSQLLKDAGGSVDIPYD HATYWPAVLQTCLKFRAEEEERWRALGERQVGREEKLFKRPIPSSQ I203_06845 MSDTKGQTSTSTAKKVEDKPAASSSSTTPVNPESTEKTTTPDVK KEEEKPEIMDMDEEEEGDDEDGEKHAFSKGIVWGYFEQAEATFKDMEAAIVAEDLTKL SSLGHFLKGSSAALGIIKVQASCEKMQHYGNLRDEEAGVSLGAPEALKRIKELLTACK KDYEVAKNWMENLYEEDK I203_06846 MHFSTRNAFYLGASNYRVIPLFLYLDERHVDWMSERVLQLVIGA LQPKMTELLFTARGQKKHKVHVERGEGYQFCYFLRTTTRTEVVLLKEKSFSLRPPTPP PEVIPEPSPSKRKAPSSSGRSSKAPRRARTRSRSVATELEEVDEANPPALQNVNNGNE DAIDQDGVQVKAEPVDYDADRTESLEETNIKDWKPDVDVSYKGFGTSSVQLVLIIEPY PPLPPSQYAPPSSRLSSRSASIASARSRSRSKQGTGAIRYSSTSLSLDSGGQRRSHSV AEPNMRNASRSVSAFPNSRRGGTASVTPFGREDSSTPGPSGSTGRRRSSQTPLFMPRD TPFDEDEEDEEAHEAYEEALRQGRLRLPSVNRPAGDQSSGLTRMNDDDEDLDDVPESI MDIGERLVRNSQIEEGVIRVQGGWEERAEGEESAVMGKEEPGD I203_06847 MSAPASDPPPPPQTPGNGDNKPEEVAVSTSNGENQDTIPSADAP AAAEDVQMEEEKTKEDDLEDIPEGVLSSDTADIKMQTRMIDNEIKMMRQESLRLGHER EQMTDKIGDNMTKIKQNKVLPYLVSKVVEILDVDAEEQEGAAHNEQNAKKSKCAVIKT STRQTVFLPIIGLVPYEELRPSDLIGVNKDSYLILDKLPAEYDARVKAMEVDERPTET YTDIGGLDKQVEELIEAIVLPMQQADKFKTLGITPPKGCLMYGPPGTGKTLLARACAA QTNACYLKLAGPALVQMYIGDGAKLVRDAFELAKEKAPAIIFIDELDAIGTKRFDSDK SGDREVQRTMLELLNQLDGFSSDSRIKVIAATNRIDILDPALLRSGRLDRKIEFPLPN ESARERILQIHSRKLNHHGVNFEELARSTEDMNGAQLKAVCVEAGMLALRQNATQLSH EHFHGGILEVQARKAKEHHYFA I203_06848 MSTLPPLAAIFLTHFDDIKGQSVIFYASLPNLPAETIEHATLPS GLHALDSDLVLFVHHDLPGAGVFRNRLSDESSRGRKMGTLGAVLANPAVPSDPFSLHD PLSALFDQLESLESSPFAPSTSTSPSNAVSILAKVWHDHRADSRPGSSTNVKGNGKEA VRGIRQLVDGRARPTEHPIAWMPSLLGILGPSIVPVYKAALSGQRIILYSTPPLLPLA AFAWCIWSMSLSPPSTPDAEPSKWIGNVGLMDLTELKKRKGGWIATTSDAIYKSHHAA YDMFIDLSSIPLSSSPPHGENTPTTPTPHIFSTYHQPNNVPVPITYSFSDLPLYRSLL LLTSSPPTVHAGVSKTGGWWLLAFELLERAWKLCMGVCDFAVGRAHLGDQGHLRLDEG EEDARLLDEDDEIISDLGGEEETDEDPEDEAIRRGKLILRQLHHNTYHLHSKLRNTID ARPRGETSRTAPLNQTEVRQLVGGKWPLGGLEGEGQFWKDIARVWGMTADLEEQDL I203_06849 MPLSAAPSPIVPEPQPNRPPFPTQLAPRAITHPEQIAAQLALLT KREAELSLSLNALVADRAQIDSALSRLRELGSEVGSLAAEVDGSRKRVTRVWETSERV GGKVRRLDEEVGRVREATDIVTEVLELKNALYTLSAAIAKSDWESASRACRRAMSVRK EVIEGGFAGGVVPTPQYPLPPAQTLQELREILLHTFRTEFDAAAERKDEQNVSRFFRL WPGIGAEEEGLEAYGDFVVGLVKGRSFTAGKSSSPLYYLTSLTNLLEAIAHIIDQHQP VVDKYYGKGRMRTVVGRLVGESDRVVRNLVEGWEEERRVGRLISDTKQSSFPLLHNPS LLPPLFASLNNPAVQQLSLASLANTTTAALPNLSSASTLLQSYAQGGKKGAHQPVQPV AVEEKEEGPDPRDVDRVLGELVALGGRWALFRRFVWSRIVDDDSDDNEEDTKPESLIN DEKHRPQMNVIEQSGSQRAIENLLKVYYEPLELWFLRMSIEKAHRLDSPDTSSRPHLS SILDDTFYLLKLVLGRLLSCGSMATLRSMRQKLAEVIERDYTGVIRKKMDAVYVGQAP AQDRGSEKDKRESDQRSAFIIHLNDLDVSADYMERLLDETLANLPQVFLKTEIRPVTD EIETLRDISNRFRSTGKAGLEQLFNQLTKPRLRGLLDDCYKDVTYLLDEDTFAEADEL DLVRKRFIRVWESLVDGYKDTFTDHNYQTFFNLTVEVLVRPWEKMIQGMRFTELGAIR FERDVRAIANYLSAQTSFGRARDKFTRLQQIATVLNLDADEDPEEFWSNSGVPWRLSK TEYNSIIEQRQ I203_06850 MSALDPSLSINTIMAAGLTDNPAHNTAQSASSAALSDTDIPKVL ARNAACHQCRKRKLKCDAVRPICTNCQKPRQRGINKGDHVLESEPCSWDEPKEPSART RRRRESAKRQALAAAQERREDQQDQEVSVKKAKLNELEGRIAACHGILEGQKQELEHS SFDVTDNAAPHLGQPSQSASNGGYNYMPSTSNLQYVQNVPTSNGQTSMSASTTGSVNP AHQQYLPSQGNWQDISSFYMGGIGLESPGKDLSANQTFSDPSQSDPLSGFFDLIWPDW PKDLPKYEVVEHMVRVFFEKVPTLPKMLNKNQILQNLMSPPTHRDFPAQPLLHAILAV TSNFISETSLAARAYFPVGASSDEFTHPTKDFDGSATEPHFNFTSSSAARKLETTTPL SRFQLWHRRKAFQTFSARIDRGDKFLHCMQAYIIATTVDQYNAWWTDLWMESGACIRM ATPMRLNESPNVPQNALSRGVNSLLPSAASDMDQAERDRTWWMAYILDRCGTASTTWP SSLSEDEITVELPVLQATYDIGHGELTGVQSLQSPDVYTNHPPRHRDSFCLLIKSLKL FTEVQLFFRRYSRGTHSIAGYLSHPSFRVLLSQINTFRMSLPPEFRRPTSFKANQGVE ALDRDLIQTLWVLHTASICLGEPLVTKDTWTHEGARMTLAAIRAALSLLYDITATSYD LTLFGPHCSFTWSLAARGLLRFVEAASQAGDPVSASVFRSEVEVFRLALQRYSERFPI AVKHLRIVDDLLAQMEVQSSDRKPLTVLYSCSMEDIVASGRPVGAYATVSETASTQSM VTPGGPSGFTPDSHGPTISPANDQPRSNSDQNVKPGDDISNTMPAPSVLVDRGFQQSW NINSFSFDINAMVSMFESNGAEFDGSQFIMPTQ I203_06851 MSYSYYHHPRVNPTYQQAFPPYNPQTQDRFPYPAQPGPSTVYQS GINNQSFYTPNSGHYHPSPRPTTDHKSKGYRYLESLSTSVSNLNINPTHNGYNPESRV RLHSDPISPNYNKRLPALPPPPPSNRYEEYPSMNDAGPSHQDLTPPPLPPRPISMPLP ETHDDLPEPVTIYSVTKYNQRLDGPQSLGSNRDSASKSQKVSSPPKTFRPSVHPHSKP RLSDENRLRPLSPHTPPRPKSDSAIPTSSKKKGKGKATSFSTSTPKSKIKTPTRRKEG NNDPDWNPIIDLTISSSEDDSEEDRNETITPRSSARRKRAISEQPSRTSVHTSTPSKS GSNSESRTPGKDSTPGVVQCSGFTRTGQPCKRLVKVSAPYLSARDTNVEPGGDERSEK VMGRYCKDHAGMICQVDGFYWRGDSNKAGIWIEFKEFIPPDLGQQTQTLLRMTMESKL TAKETSGYLYAYELRGKSNPSLIQFSTDYDPIVDLETPSVAYFKVGRTDNVPRRIGEW TNQCQSKKPTLRDIFPLPPSKNVNSGLQRSGTLTTSFLPGATTHLNPPSKAMKRWERL VHLELSERSSSGSRESQTAFEKVREKCKDCGLSHREIFPIYKNGNQDRVYESIVMEVI CRWDKFINHITEGVGAE I203_06852 MSSPHPPSPASPPTPSSPSKPLPIKPFPPRSASPPPPPRKNAAG LPPTYLRHLRGLLHQWLEQQVQADRNTGLPAGRKNDFVEEERLWSEGKVKVLEKAIWE GAIVPRITRENGRKGRALLELDWAEYTRGATKRKAVWKASQEKKILAEDIPDNLRSEG SRKGAKSGKQSRKGSVNEFDPSGKGRLTIPGSPLPPSLTSSTPSRSTSRPSSVASSSH STNIIPDPSTSFRPIDHRKGNDEDADDEDEQRREWCQVISGMKGYVRLSLPKKDEWEV VEHDFPKYGTIQRDVPGAFPQSSIHECRSSEDRLHLTASSSRTRFSDLGLPTPASLPD QPRLDTELLKPVFCLHFPSPTRQEASSSTLRLKRDNSLSKKSVSSIDSDGGNKKWWSG SGGRWSEMTIGPKTEVKTEFQPEVDFVEGQFALPSHGISSGAWRQGTLKRPSKKRISI FHSFNGSPESSTSLRDDSTNATSDAETETDRSATEGTEAGHSSTWSGTEKDHETSDRG ALSLVISGLEDDIPRKEAEQGEIGLVGGTLVVRGLQNEDEKFALQKVLQLVMYTIQSM MVELELLNAFRIPRELDEPPLPPKPSLGIASVPHSPTRPRGSGDLHRKGSLQERGGKS KGFFHRLGKDTKHVWDGLMGKRRSSESHEPLHLLAPNSDPPPELPITPLSGSISISQE SAIPNSANTSTAGLPAITTMQHPTERYLIVLSKLEQQIHSTTPGMTLPMPPLLLRVRE ENRLRREKAKEEAEEEGSQVVDELPPSSPSIFSPSKLLNNNSKPVDPLRGRAMNYRLG GDVRAGLGALSSDIDGLEGWIRLQRLEVLRSIGLDLTMENGETEVHICQTPTSSTYLL WDTERDKTIQQILDELQEELAEENMVCPRPGCTATSGEHVRWWIHSGKKVTLKIESME KQDIEEEGIDVWTKCGKCSRIGKSRELSWNAKSHSWGKLLEILIYTEILHPSTDCSHS TSSSYYFRTSRLVISLKVESISILDTRLPKLQVGPNVTKRKGGKESVSTTMTGILRKE VAQRTVEILHNEITEYFEAVERQIDTLVSQISAQRSPGAVVNEKNTELDDLSTSVSKS KADLLQILSETEPSQVNDTRRRFSQKINDSRMMLFDWQKKYAGAADTKNDLAKCEPEY AKERGTFALPGSSVIVRVDEPASIIAYTLSSLIYFTELTSTAKSIAAQSDDGLSTSAA VKSEAPVAEKSKSATGATEDTWSVEVKRRDTPRDLLSLRTITKKKSDIQLPQPPKPPL GLSLAPNAPSLELSLEQVEGKTQSSDRLGELVKTISKATAQDPTLTISSPSGVAKALA AAESDTDAMPRVRSSPRGMRRLMGDTDRPAPPSAFRPTTPRSVSSTSIPPSTPTSSHL VPSASAQTPGHNQKEGWGSVTSSFSNSFNQLLRLGSDVGESIGSIRVKGTDRSLSSLI GLLGMLSTIDNPLSSIDDRPHIQFSYTLGDCLRLGCTVYYATAFDTLRRRCAIDRSLI QSLSRTNAWDAQGGKSKAAFFMTTDKRYIVKELVTKWNVSDMHALLDIAPQYFDHLAG THNKATSLAKIVGFYTVKINDLKSGTRRQMDLLVMENLFYKQSISRTYDLKGIEGRRV NKTSRGEADTKIEIKPEMTLFDGEWLEGLQKDLVLLQPHAKRILQEAISLDTKFLSSQ SIMDYSLLIGMDEGKHELVVGLVDAIGSYNLFKNIESRGKLALNRGGEVTIIPPDQYR DRFENALKHYFIACPDKWSKPSRRSGMKKFDGLPSVL I203_06854 MSNTKSSVGQVLSLTSDLDEVDSDRSINTILKIDDRPKSFNTHP GNILNRDARGGLADTLAEAISLAASARSTQIFPKLDPRFMNQKDIALPMGTWKGDLKS LGDTLCSSNGDTNTTGSILVYRLYDADLNQSGSDNAIIRKSKYPLFKDDSDHPSVSDL RILQSSAIEVQTRLDEGLAKGDTRNVADFFTKSIDLEQKAKSAFLARKTILSVLNKLT ETPQPRYFWHYKAVRGDGEEGQAEEDVEAFTFRLDQRPLSLLDPERPSSRELSLNEQA TLLLAARLGLNIFQSHRRSKDPEMCASINHKRETVERKSLKVLAILSSKDGNPIQRGV TTLLRVDPQFASQDTFENDDVESNSIGAVSGGPSESGVNGGTSSKEVNRSSALFHQHL YRNLSRGDSVDLADYIAKHGDEQDLHPGLKAFFAKKAV I203_06855 MVSTNPKTTVTRPPILPTNTRKSTRRSTISSSPQIDNNGLSRVP TSGSIHTRNALVRMATHETGEYYPEYQEQDRDEDDGLHTHDEHEHEDGSPTHSRRNSH QPTSPNHPNGPEGDTIDEEKKIEGKKSRFGKKKEIELQDQTNLLPVRQVIAVFAGLTC ALFCSLLDQTIVTTALPTLGKVFNRADISSWVGTAYLLTSTTAQPIYGRVSDIFGRKF TLLACLFIFLMGSLACALAQSMIQLVIFRAIQGIGGGGILTLSMIIISDVVSIKERGK YQGITGVVVALANSCGPILGGVFTEKASWRWCFYINLPLTALAMVIIVFLLPLRRVRG SIWGKLKKLDFYGSLLTLGWASLILIALSWGGSQYAWSSAAVLAPLLIGLALLGIFLI VEWKVVSLPLVPMRIFKNVSVAACYATTLFNGMAFYASLYYLPQYFQVVREVSAIRSG VLTLPLMLVQTCTAFTAGIIQSKTGDYWWNLVVGFAIWTIGLGLLSSIKPDTSEAQLA IYQVITGIGAGQTFQTSLVAIQSGVSRADMATATGLRNACRMLGGTIALAVGNAIVNN RVKHEISGSLNDDQLNTILADPTLVKDLNLTQDQVNSVIQAYSKGINGVFYFTTPVIG LSLIITLLFVKNQKLKKSEDEAAKKAEAKAWIESKKAKRAAKKGHHVQDEPKDQDEEN DTTTTNTPGKIRDRADSEETVTEPSHSNGEGIKEEIKDAGRKEAEAMAGPETKA I203_06856 MFLLLPRASSSLRCSIRSLSTTSLRLSDQQIKVPITLIASLRKE FPVPLAQAREALEKSNLDLKSALDYLKNSSASSDNAEKKAAKVSGRSTDEGVISISLL GGKRVGMIHLGCETDFVARNQVFLKTAKDISETTAFLDVPSEDDHPHPVEIDLNKKSD PILNFPTESLLSAPLISLPSDPTSNDNGGSNSNTSSHPISSSAEAQTIKQSLLSSLTQ TGENLKLLRATSFAAPFPSKPEIRFVPSGYAHGGSNDKQGKVGGIVVLAVESIDQEKP IATLIHGPNGDKLETDLNELARTVSRQVVGFPTKIIEKQDRPFENDEILYEQPFMMKG DSRSVKEVLQEWGNERGVSVRVVGMRRWSVSEELDQPAVDGAENTT I203_06857 MSIIRIARKKVTPQQNNSPIAAGPPTEALPTTPMMEGNKGPAIY FQLADGSSKTKRRLKHDVSFDSVLSKTLSKLVPDVDSQQIRLSHVRANGREVDLIDDY DYVSFQRRALDNPSQTQTIKVYIPGSSAQNSIASSISIPEHPQTPSPAGLVNSNVNVF ETPKGQPKRDRKGKQKADATISQSEVIEETQQSPSATTLARGGDLDLPKSSKKRKQKT SEPVKPRTSSSSFDSPSAADHPPSLTKDSISTSPTRKSLASPKKSKKRKRLSEVPPDF RIPLALPPPSTFSPADDTSSSRERRSKSPEKKKRRKSKQDQDDTPVESPLVVQPSSAF QIQLSSASPSVPPYLKLNKYKPATPSPLGRMPSPSVDGEAEEDSHDGSPDARISEELP ESPIQGKKKRKNQKKEKVKNQLEEQVENAETHALVEESGEAQGRGEEREEIQVDGTAA EKEPKKRSKKAKKNDLVVETAPEVQEPQAEPQVEASETVDTPTQSKKAKERAISKKKQ QEVRDAPETEVETMEKVPLVTEEVMEVDREEQASPKVVTATSKKINSTVKSAVPKVRK VIPLSSPFILYVRPNDPLPYITSRYNSSTIDRNPLKTYGKSVKSDDPPAEVVEKSDDD AISLEEEELPKSPEPPKRAEVAQKKKRKSVPANEQSEKRRDTAGTGPSPLPSKQLHSP HSTTSTTELSKHGRHIPSEHHLNVDARGHCLICLGDPHLQINCPAVAKGLDHLRELFE ERKKDKNNPQYDDTKKAFDIWFNRADKIASSVTGVKSPSVHSMTNGHKGTAGLTPDQS LTLSPKRKSTLSKEGVVISSASAARTVGSSPPPPMVNLEPEQQEDADREAEQRSAPST PSEEVSDPGLPASPSSPIPGPAVAPMRPSQQSQSAQSPSREHSAPPIYLKALATKAGS VSGLSVSDAVIETGSSESESDSDNGSGSGSDSDDSDADSNSLRGSASSIKRSQRGSRS RSPASIARSPSPSLMQDSGSLATPSLNDFMSMPLSQKLKQRARASAAEMMEVELDEEI QDESDNESSPERQLPPASFAGRARRGSESSVGEFADEKSDEDADMEEDEVLPFTQSIL AQPIQEEQADTIKTAPEETRKSDSPPPSDQSKRSFTDLADVSSPTPIVAEFPGSIALQ EAIDEDDAQERGMDVDTSAKAEDSKTGHIISQGLMSPPSSTDEDSPEEPSEPMPATQI VNGDAQDDQATPKPLNRRVTRGKARDEAELAPPIDIRLSSSQPAATTTGRRLRSMSRE LSADPMSPRRTTRRVSSSQPEPASRMRSTSPPSILPATRNSKRTTPSSQIDELASSPA VPLRRSSRKSSTPLRSSQPDQLECSPPNVMRTPAPIAEEDESEVDELEPQQTPEGFKT PLVPETQESLPRRGSRSKPSPLFMSQGSPIPQTQAYNIYPNLPSSDAGASIDETPKGR MNVVAESPLSSRKTNNLGRKASLRFTSPIVEDIHEEEDADRADKVKDSQPQDEPDHDS DRHENGNDEPALSEGAASDSESEDEIPPVPLPKLRSSRSTPSLYPGLPKPKALSSSQP QPTTPIPSAFPALSALSKDFITRRASFGVGAGSQHKTAHSRMSLPANGFSKSQPNPKS DDESHSDSGSDSDSSEEEKTPAGLKGRFAKGGREKKKRISASQPGIGW I203_06858 MSRSNSTVLKVMAILTLLSAVYAKSKDCPDDPFADPATDICNPL RYIPNKAINTAAAVLYFVVAAILTFHLFTKKANYFMCLVIGAWFEGLGLVLRVAFRKN PHSTGLYIVCYLFVVLSPCAFLAGDYILLGRMVEYLDKSVHMRPLKANWVSWIFITSD IITFIIQAAGGGTSISQDVKTAQAGGNIFLAGIAAQLASFLLFCGIWILFGFRAWKND KELWARPGWKPLYWAMGFTCICFVIRSIFRTVELAQGYIGYLATHERYYLGLDTLPLL LGIATYCYFWPAKYLHFPTPTKGQTANDQENGIDITM I203_06860 MVRAGSIIRNASNAGKPKPMSADHTLYHAMPTGFWKKFRDAVVV NPEISSGLPIPTEHRYPQPGSRTERYATPSTNASDVAFNPYWKRDARRAYPQTSFITQ SELSSLLLASPTLESLPSPETANQVRPDSSAISPSSDSSAQQQSIVTSSVPSLSSVLE KLPQGKAFTGSGIKTATASTSALPPTPPGAKWKPQRGDEIPHGEHAYFPMIGYK I203_06861 MMYTPTPATRRPRPPRSLSRQRLQLTNSQSDPTSQKQKPRSHST PSSVVGNIKVEDTPLSAYMRERREDARDHLNRISASPADVSFALDLNLSTSGTSITAV SNDEISAASGVARGEGKAIGLGISANTSGMGLSEASPPPPYGQSLPSSVQSQQSEVQN NPSSRSITPPRQDSGVSSRRVSTSPVRNSRYHTLRQRSTTERESRTVHEHGRNIGGEQ SEEIESEDGMPEGEMRNKLREIKQLLHRREEELYVAARVAEQALQSHEQIMSTLPTQV KARLPPIQDIYTPLSNVLPDSSDSLPYSSHFPTLVQRASSSTAAQPLSPIEDYPSTTS TTYGRLSSLLSPQFPQYTLESPLKIPSPERHLHHSHQLFRTVRNADSSLPFPLHHQKA RPTIFGIQSSSSAQLPTPRYTRLAALQAEAEDRIVALEQALSEARDGEENQRKVAARW RKEVDKMQRELLKMDEQRAQVEQDALRESVVGQAGWRRKSGGEPHSTEPVGILATYDG PTSGSKRIGWGYTAFPEFPPAGPSRDHNPQTVDQTSQFEDISLADEPLGQITIDTMHL QGQDADSDVEEQPLSTHLAVHTIPVDEVDSMSTTSSFEGGRTAEKPKSISTSPARQSL RHTTSTGQVKTLKRKSPKSKYLSPKKARSKPASEANTLGRATPRVTIESPHISPSDKP HSRQGSQDSQSSGTSIRRSPWPSRKVDIVDASPEVRRTINFFSHSREGSQSPSISPTF ASLSSRMASMRAQINQSLSLGPEIGLRRTLGSELGSEFGDDWDRGLRSLDHIQWAQPT ISSPSPPSRTSTTSPLTLQQDHSESEDELEHDAEGDTSCFSPVYQPSYPLPPTVSAAL SSLAMALAPSTIFSDDTKESSQILPKGSLREPGIDYSAYELLNEACKMRKITWAEGDT SANNEHQSRTSRLREKVGTTLAPLHQSIKELGMTKDPWDEGEYPDEVTFSEKTLSDDE EKRSILAKSRPYSNKKTLSFAAGTKTETPRKYALAHRRINSSNYQSLHLSEKQHEPDL KGKGKVVEKSQMKPDHTLTRKGLRSIRSSLKKLEIDEEEVEKEPTTIPAKLVHDSFCI ISIWLEYVEWFIILMIRICMDIRNGPRGPSGLRKGKRAQRYYI I203_06862 MSNNSATSDVTAHVGETPKTNTNITASSDPNPPQQSSFIAKLEK TLHIPKWFTPSLTDRRQWKNFVRCMMTTFGSLVVMLAQNSLNKIGQAAFFAALMSQML PPYMALSIYLFALLTLLIGLCFGWAWGVAAMASALRARDQVLYRQQVQSEQSGYDTTA NIEAQYQASIFRGAFLDPGSSAVYGVFLFIGCYFLGFVRATRPRLTLGCIFGTIIIDL MCSFGPLFPIPEYTLAKQLLIPAGVFIAIAIASMFLIFPQTLNHIMLDAVTTKMLGPT IRLLKLQDSVVTTSPQDTERWHELATQSYALRAGHIAGVTALEGQTALLQLEITRGQI GPGNLQKVFAKVKNLGLRAYGLASFSMIIDEQHRSAKAVFEESSPHSMIRVKAHHDRM KQHIDETHSLEGLLPILAESTSELRQTSAKALDDISDWLLLVNHTRWKKKPSSAPDIR HRELNLQNVRNALKGFRESKHFQMLEPYKDSFDPRTGELKLHLMESYRYSSRDLFRCF VFTSSLIAFTIDLIELLELLLQIERDNPKSRIQLPNAFTKNVVKSANEKEGGNPLDMG TGDNSSLDVNASLEEDDHDEERGETSTAVEKKDSAKKEKKVTTHAKDPDAEDPRNAFQ RFGRSLHHLWQGLTGASGVFALKYGLVSVALWIPAVCPSSAYFTYTNRGLWALIMAQT GLGVFTGEQIQQFILRMGGTIVGLVLGMAAWYIGSGHGTGNPYGVAAATMVLVAPCLF IRIAVPMDKAAFFLMINVTLMFVVGYSWVDEHVYQTANQGSGAGLAGRRALLVIIGFV AGFIIMFVLRPISARALFRKRLAKNMTDIGDLYGKVVTGIEGELDHEDSGIQSEDKGK IAEIRREKYKGGFMKVFGRIMAMQPQFDFARLEPGLRGPWPKTKYEALYKTQSQLLST LALLSSAYSRMDVKWCKRLASRSELMHPAFIADCLSMFSILQQSLRTGEPLPAMIPIF ERLAIHRCAFKGVPAHKIDTTVPPEGEKHLNGADDSQRLQVERSGSIDDLEMATRDAE NVLRGTITWETIHDEQIALFATANIALVHIAVGLNEMFRIVKELVGERELQGLERASE RWARGELGRV I203_06864 MIQHKSIPSSSSYHSTSEAGPSSQHVQSPPTPISPTNPTRLPTH VQAIHDFDPSLLASTSSSNVNMYLSFNAGEIIRVHVRDATGWWDGEISGSSRWANEGE DNTMKGLRRGWFPSNYVREMGWDGSFHRRDESSATSNASVTSPTARHRESAHAHTQSH SSHARHTSAASHHSRASTSTSVSHQTPSPATSNDPTNFDPSFQTLMHPIVQSLSLLES AIHSNRKPHIQPATACVISSIRAALMQTDCLSKESTTLVSWPVLARERKIVLMELSKL VACAKTAGSLEGTKDQDDSKELEALAKAARGVFASVKRFLHIANEYGVTVTLVENSQE EGSEGSAIAKDSSTTASSHTSAGSVDDTDQSTIGKHRVNASSSGNARLQEAFRNRAAS IGDLRAARRRAGSPPPPLPTASIIASSSRIGRERSPSVMHTPMSATFSQSSGRSSPIA SKSFRDRRVQGSMDSTFSQATAASSEDTHTPWEDTTPVPTPQATVVNRQLGSVADVHE AIGHAEDALLSIIAAFIGHIHSHNISSHPSSHAHLIEMTRETIDSVRELLTIVEAVGR NVGVRHKRPREIEALRIAKDQLYEVASKLVESAEIVANAPFSEFGEDSYDVEKARLLQ SATGTLRSGKECVRLVKLCLPEDENVHLHATPRQIDVHGRQSTPRPSHTHEAPLIMRE KPVGARGVHTLSGLHRKATSLSTLQKRYQHDESIAQAPMEEEEDVETEEEEEDQEVVQ DFSKDEDLTMRPNMQPMLGAPVSFPSRPTLQQHHTTPGLITTTRRPSKELLKALDETN NSGPRSRSSSLTSPAPPRIKHRSPSRSADLDKFTADYDIPMEPQRRAPKGTLSASSRL STYTSASSQVSVTSTAPTSIRSSDVSEFNAVLPQTPSVHDVPAFGALKVEIPSASHEL SQATDKLALVDETPVKTQANLRPAAPVRSVTAPMPTANADVRFWVVAHDYDPREIAFN SDGAIVGASLAVLVEKMTPQDGPVDPTFNATFFFTFRLFTTPGRLLEAIMSRYDLQPP AAMVFGEKERAIWIERKVVPVRLRIYNFLKSWLDQHWREETDDVILDTLQVFAKDVVS VTLPAMGPRLADAVRRKMNGPTSAVSDRSSIHRPVSMDRMRSISQSGLLHPPSISGGL PPTPVISKNLHSFLQKASAAGSNINITEFDTLELARQFTIMESKMFCAVVPEDLLQTG KKTIPELKALSTLSNQITGWVADSILNETDAKKRASLVKFFIKLADKCLMMYNFSTMF AVLAGLNSSTILRLKKTWDALPTKYKVTIERLRGVIEHTKNHAAYRVRLREAPTPCLP FLGLILTDITFTSDGNPSTRPSVLEPDIILINQDKYNKLGRIAMDFKRYQEPFNFHEL EAVQTFLKRVLTERGSGSVDALYRKSLMLEPRQGSERFSSNVERPNWLSGKI I203_06865 MVCSTALYSPPPPTTDPKINTLLSTIQSEKRNLEGAKAVMRAVE ASSKNEAVIQQAQNEVRAAQASIKFLEDELAKLQMGSGNSGTASPMRPGESSQSHLPS RHQMTPSQSGSSNMGYGGGPPVSPSPSQKGYNVDRDRPLPPPPPGADQPEVRKPEQKN YTQLDLQRYDAPLTGAKITRMLNQLQFKLQVEEQYKLGIEKMAQAYRIEGDKRLRGET DAKRVESDGKIQLLRKAKRRYETLAKFGGAVEDDEDLLPDGMKRKDALRKPISGKLVV SLRSARDLNHRPLPRKSSKVYNETTVVIKIEGNERAVSHPSRVDKWHEDFEIYVDKAN EVEVTIYDSVAPGDSAPIGMMWFTVSDLLEALRRQKVGLETQGAGWVTAAAAATLGPR SGAAPDSVTLHSAGTIRGRPPGADGKIPEGIEGWWSVEPAGAISLKLDFVKDNAGGQR RPYEALGRAGAVRKRKGDVYEMNGHKFVQRQFYQPIMCALCQEFLLTGEGYQCEDCRY ACHKKCYPKVVTKCISKSHADAEGDEEKINHRIPHRFTPYTNITANWCCHCGYMLPFG RKNSVKCSECSLTCHQTCSHLVPDFCGMTMEMANILLKNLRDIKTTQVHKKPAPSASS SASSVSTLPSYHTQERIGAPQLPPIPQQPPVQAPAPARPPPGAYDTRYGPPPQQAPAP PQQQQPPAQQQPYPPQAGYDNLRPSGGRPMPQAPAQPQQRMSYGDQRTQEGYAQMPSV QPSPRPEPPRSYAPSPAPPQAQLQPQAPQQKIVSRPSQQQLARARKVGLDDFNFLAVL GKGNFGKVMLAEEKTSSNLYAIKVLKKEFIIENDEVESTQSEKRVFLAAAQERHPFLL GLHSCFQTETRVYFVMEYVSGGDLMLHIQKKQFTLRQAKFYACEVLLALQYFHSKGII YRDLKLDNILLTLDGHVKVADYGLCKEDMWYGKTTSTFCGTPEFMAPEILLEQRYGRA VDWWAFGVLTYEMLLGQSPFRGDDEDEIFDAILEDEPLYPITMPRDAVSLLQRLLTRD PTRRLGAGEADADDIKRHLFFKDVNFDDVYHKRIPPPYFPTIGNATDTSNFDQEFTRE QPTLTPVHTQLSAQDQQEFAGFSWIAPWAAAQA I203_06866 MPIPLTTSRSLSKRNKPHTLIREPTFYQEAYLASFLYIQAIGNQ LKRDWGFREINEGDVARLHPFIQTDKDELLLNLISSSTIHHIIQLPLSLLSLPHGVQC HIRLSTFSTVLTSTYTHVTPSTYDIPPLKDLISFLQCLLPSSDALSLSLSPPLGAIGR CSGTSEDMCDGPRPDEGRENDKEKDKLISIDSWRQDIEVMCPPPPRIATPSPRWLDRN EDMLRDTVGCGYYRRLREVAEDHKVNENGYGLVQIHEVLIE I203_06867 MLWSSSNEMCMCTGYIHRPIRWFLVLLIGSITHSIGLWFRLGIR ALPHSIGFIVVEEVLVALSNIAYIGVLYVSLSKPCVYLAALYVLLGRITQHLDGAKYL RPIKPEKVAKIFVWSDAITFLIQGNASGLLSNDKPTVVKVARYAILVGLILQFISFLF FCYLVVMFLYRVRKEAPQLYRLRRWKRLYLALMFTCIAMLVRSIYRIAEYAQNHPGYS YTHEVYFFTLDCLPMWLVISTHVIFWPGRHLTDDTKIRPSILDGVPVDDTVMLDTLDE EGRRRLDSESAGQADRWG I203_06868 MAMVNIRRDVEDKFYRYKMPLLQIKVEGRGNGIKTVVPNMEDIA RALNRPPTYPTKYFGCELGAQTSMANDRYIVNGAHTADRLRELLDSFIEQFVLCPSCK NPETELVITGKSGHEDMHRDCKACGRQNGVNMRHKLVAYILKNPVKKKEKGKKGKKGG MTAEANVGGPMVFEKGAEDGSGEDEDASPSGTPAPGDQGVPTTGTDIDDVLGRSDPVL GNPDEAEEVSKKLAKTDLNGGDDEDDEDADSPYALLGGWLEDNKDASDADIVNKIKES GIAGKYKVLVEIGHHLFTDEVAKEIDARIPLLQALVTSEKHQKGLLGGLERLLALSPN SDALLAAGTTSKVLMALYQADILDEEFVKNWGTHVSKKYVDKEKSKKVRKSADAFLKW LDEADDESESE I203_06869 MPLEVTPPPFAASQRLPYTPAFARNDVQGGPGSGASSIGGAGGP ASAAAAAIIPPAPGGIADPHRAIPALAEAGYTAPNLRPAAISIDPRMGGASVYGGGSV YGGGSMYGGGAARGPPSSASYGYEPSQHHHHQHQQHHVHSPLSVVHSDGWQANSLPAP KRGPPLQPESTIAPRHHHRHHQDDIDDGKSDASYYAMPKSHTHRPRSRSGSVSSLSDS SSPRELQNPLPGGIHRSRSINGHNRSPSLPPAIGHLHSPISPSPLAGGTGGLPNSIGK SPLSPADPGGLIRRRKDRASPGPGPGLVEEERERRSRIHSFTPANLDMEEPGARERRH SALANEIERPRVHSHHGHGHGHHRHRSGSHLPVPAPSDYSYSSSYGNRAPSPPPGGAL GLHLHPDGGSNGGGRRRANSMQGFDRDRPYQFQAYQTPSIAGGGNATVYDDGASLISE SKMTFMDGSVAGRTSQYGLPKYPHQPKMDYRRFCVQRGNADVFLD I203_06870 MGAWQSLEDWVQEGKFGPWSPSHPSDAQRESMVFLAFAFLVILI FWQYKIPYWYSIENKKFKTVFFPVLTPFKLLTVLYHELGHAVVGMVTIWYKELRYGIP EGGERGRIHFMMIDKYEGGLTKFGGDVEPIYSLTLPAGYVGSCLIGCWFLFTGFDAKW SKFGAISLLLLTSIATLICFFVKAKSGLINNWYYMISWIYKWVLFNEQKSRKAMRRHE NKKAERNESARYRHDNAEGPTEIDLHASQDLIIGCSLFVGLLLTLAWMWDDSIWLRFI ILFMGLLSALYAVWDIIRDGIRYAQVAKSDITYMAEEHNRKAKIHNKLKTKTSEKHNV LLYVSVYAILWLFTKTDMIILVVVLGYFVFRKTKVEQAIESREFLPAKFHYGPSDLEE DVRIAGDTFKEGMGDLVGNGS I203_06871 MAPIYTRPTIIYPSLVVLVMAALFPRLYILQTLLYTPIYVSSVI FFAITYTIYRTIKLDDRSSSHSSAARTRHALRPLKFTTPAAWSAVLTRQSWEENPSPF TPIHKNASNEVTSRLDSFLGLIKVHFILPWYNRISPSPAFPHAVEELIRHILSDVTGR AENVDWPDIMVLKVLPIFSDHFQHYRSIEHLSSSSAAPSPNPALPLPLPRTSHPALSA HPHTSSGVSPSIEAHLRDTLAKVLARSLPEKDRSELVVTIVREIVLGAVLLPVFDMLC DADFWNRQIDERGGRYLHEQKQVDKFLSALSALPASTASASNTPLPSSKSRRSHKTPV TPSSTSISSESSSKQFDNFIRSIGKLKTLGDARRLRSDVERELRSAKLALADRLRQGQ NSKEGDRKLKKAEKYVQRLERAKIDIDRKIEVLSGQPAKPRQSLDRSPMSSMNLEAAS AESVNLYSILSDPSSLAYWLEHMERRGRSRLVQYWLTVEGFKDPLEAAGLDSALDSTS HSNDKMPMSNGNQTVGEDVAFLYEMYFAAGQTGISIPLKHRQVIEETAQSGSTALSAG EAQRVKHAVFASQKDIYEQMAEEDWLAFKKSELYIKALTDLKRAGVSFAPPTVEAPPP RMASPTLDSSPLPRTPVVRPPATPAESSRSLLDILSPSARPRQTNKRGSFSPAMPPTP TAFVTITSPFFERSRSHETQLPLLKDMRKVSPGNADSKFSSLDSSLPSTPPPPIRRSS HLDFLISGGEPKENTLKDRGRLFGDDDDVDEDDEHYVEAQRIEAIQAALNEIIASDDM ATSKVVDLTDDTLFSPQPKSPSASLILFDKSPKIEEKASKLTSRSAENLKSTQKGKAG VISSAPQSRLPSVALPDRKPITRRRSIPRLSESPEKANKHLFDDEFIDEDQVSIDEEE VDNNVNEVIQLAAPGDLQLSVEILRLQDKIQELVQQDHLLDTLIRQAELTGNQVELRI LRRSQSSVRREQRTAIFQKAQFEQQEEENRLIPSRTRVSIPSSVITAEDEEGGKQVVR YTIEVSQVDEDGKIRLGWVVARRFNEFYELDKALKDWAMERNDLANELKSRMVEMPGK RLVPNLSASFVESRRMGLERYLQSLLTSSIICDSPLLRSFLSRSPVPLKTGSTSDPMS SSTASLASLAPHNIVKTLYKTMATSLDDALLGPSMLDMMYTTLSRQLVDFGGLVGLGG EDLVGLLPSALKGGQYTPQWMKNDAVGTGSTEVRIGPMGDESGMTSFTGPICDLFIEV FDLKENNWLRRQAIVVILQQFLGSTIERKVRDSFRSATSSESLERIILNLQETLFPGG DRRPPSIERTEQEKLETKIRACKKLGLLIPDVAANMIGRSNARRAARRVFGALQDTRL NQHLILSIMDERGKKES I203_06872 MSVRALLRAHADFVPVDLPSQSQPQHVNEDGFEYDPNEPQPGEE VQAGDEELEGGEEAEEVDQEIGGDEDVEVEAIEVEEAEREDEEDTNEPLLSIADSLVL PYSLTQTRLHHLTSLFPHIFSHPPIPSHSKPRARQPPKGTFHFPTPPPPMQPLPHPEY HGPTIPVESSTPGPGEPSKSQEPNDPSSISTSNPKSSRSARSKKTNDVEWPAHEIECI SRCTLSVGPISFPETEIWIGRFVEPRVTQPKKERAKPGERKKRELLAAEEGGIVKKPR ARKSGTEGHTPRPRLLAKSSTPISSPASTPNRPPPPPPARPPAYRPSPAASAQPARPP PVRPSGSAPTRPTTASPQLIQLVNQAATRHAWLSTLIYKAAGSTANQVELERLGKAVA RLSRGEPIEDLAPPVPTAQTAAGPGPPTAAQAASSAATPATKPASATVPTAAPTTSST ASTSTPSAPAPWSENTPSAQSAPTIVQSATSSEPPVPVTANSTSKAEDSDSDDEVDMT GRPQVGGGPLPLSSELGTAKPPAVPNSNTSVTLPGPSSQTSQSNTSGPAVTPTTTASG TLVDPKSSASQSSTAAVTPTPVTPDLPNPALSAPRVSTADSNAQADQIPSATPTTTIR PPPIPPFAQPSPVERPTIATPPPPSPLLPPPPKPTYPLPPPFLLLAFKEQATEKFLLP LGQRSFISRVGGDYVTDPRPPTPEPEPVPQAISPPPPQTSTEGPKMETNVFTGSSTDT PAHLDTSAVINDVPSHSTLTESSAAPGKPLRSRTRQSLGRHAKEPTISTPSEPPKKPT PAPEPIPPTTQEPKSKPKPESGLPSLPGQIPPPGTVLLSTFILSGPSRWEKVDWEKLK ERLPFDNSIFWDKPPEPLLEVKKENIEDATTTSVSTPVQPQSTRGLRHPRMNSDSTKK ATQEKGKSVPDKPELLNLAVEEFKPSQGDLQPVTIRFMGITDEVWKKMKDIMEMAERH EIESMFRKEPGLLEGVVLDSIAENDKSSDLGRKQSIDPPGNHAPITPSNKPSSTFRGL SSKILSTLRPTYNSKKRSLFTNLLRRVPTRSFLTTRLPPPPPQELVEATSDKWAARPY PITTKPLYLPGGEDDDGEEQEHGREIEFSPPPASKKRKGAAGEEEKITFELPVSYDLL DERLEQGLKRDMLNPRNKRGRKSMNKSATEGGEGEGKETKMRKNKRGTESGICEGCGR EGIKVWRRGPGGRGTLCNACGDLFVAKQLPPLKRPGAMKALLGDGEDDNDDGDGDEKE VDQQQKEDGQNQMNGEGPDLKEITEKEMDRQPQPQDGSVTEHDKVPDGSAIDDSMNVN TTSTSDVDPSLPVSSQLALEPPTIVGKQGNEPALSENQPEPEPDTSSFKEPGITSIPL SHEGAMGIGLDKAQEQESGPSTSGRTFENGVDALVDDSEKKDGEDKMDSEV I203_06873 MSSNDRVKKTDYLGYTHSGPQQHQYQHPETQGMNRNYLGPDLGS SHLRDQSIDVSDEVYGMENASMTRDTSRSPLHAPQGGNDDGYPLGSSQPYVAPPQPAA TVPTPGTGTSTPGSRVHYPPSPYMARGDAYTTIPLQDRERDRSREDSPNRSGANTPVG SGNKKKHWSFLPGSSTTSLETGTLHEKNMGSAGKRPRSARGTSWDLLGDKAEWEEFNP KNASVENLKFAEGDVGTNKLSRFYYWALNRGIAVRWAMYIIPIMALFWIPGIVGVTAE PDATVWEVKLIWWSIWLTVVWGGWWASTAVFMMFPAVFRNTVGAIIPTAKKYTDVVRA LGKFAKLIFWILPVWISFTPLVINHYIGDQSANSRSNLTTMANILFGLFLCSLVLGAE KLIVQMIAFQFHQDSYEDRLKEQKFNLKALTVLYTNSHDIPGRSDTLCDAASAKTKGS QIPKVALRKALRGLKDVATTTTTALGNVASEMAGQSVLQTNSPANKVTAALNSANKSK ALARRLYYSFRAPGIDHLDISDIARYFPDLETAETAFAIFDKDGNGDATRDEIESAVL IIHRERLSLEASMRDLDGAVRRLDDIFMVIVLAIVILILAAMVTTKLTTLVTSAGTFI LGLSWLIGATMQEILAACIFLFVKHPFDVGDRVAIDGEGYTVAKMQLMSSSFKRLDGK YVWIGHNVLSTKIIENIRRSGPISESFTFEVAFDTSFEALQVLRARMLKFCKDNSRDF LPVFDVIVDDIPAQGKMVLKADIKYKSNWQQGALKVQRRNKWICALKMALADLKIWGP GGAGNPESDPAEPVQYTLVPWEEVKGAAAPATSSKPESPPPTFASATAVPNLMDQRGI VNDSSQDVFDEQDELNNQSLAPSRVGTPGPSQVGVRSRHAQEQGDIEMTSAPVARRA I203_06874 MQATPETISALSTYLSSTVSPDAHARRSAEDSLRQGETQQGFLL IVLQLVKSNEVDMIVRQAGGVYFKNAVKRLWSGEEETQIAPADKEAIKSQLVPIMISL GTSQTAKLQSQIGEGLSHIATLDFPAEWEGLCDELINSLTPDNFVINNGVLATAHSIF KKWRSQFRTNELYSEINFVLSRFCQPYYQLFQHVDQLLQSPNPASLPPNSSLQLLSQT LILLVQLFHDLSSQDLPPFFEDHMDEFMGWLRKYLDWEREELKGDEDDEAPGPLQKIR ASICEISELYAQKYSDVFTQLGTFVDGVWNMLTRIGAGTRDDVLVSRALRFLSVVVKM GNHRAMFQAPETLNAFCEKIILPNMAIRQHEEEMFEDDPMEYIRRDLEPSTESDTRRQ AATEFTRALMELFEKEVTDIIKGYITALLQEHAKNPTDNWKSKDTAIYLLTSIASRGS TQQLGVTSTNVLVDVVEFFGQNVFADLQAAPGSVHPILTVDAIKFLHTFRNQANQLVS VLPLLVQHLNSDNYVISSYAAITIERILFIKVERQALFTQADVRPFAENILMALFTNI EKGGTPEKIAENDYLMKCELNLNWLFAHVGAMRVIITAKQSLVPSHEPILNRLVAIMG EISKNPSNPKFNQYCFESVSALIRFVCDGQPAALATFEGALFGPAQHILTNDVAEFIP YIFQILAQLLELHPPTSLPASYQALTGPLLNAQLWEQRGNIPALIRIWKACLQRGASS IVAAGQVQGLLGIFQRLVGSKINDVYAFELLQALFEFVPLDVMKPFSNTVFVLLLTRL QQKPSPQFNQSFVYFFAFVANLDTVGPDFLISVLEGVQPGLFGNLLSGVILSNTQKVS TRNRKLVEVGLVKMLTKSNKLFEDSLKQHWVSIFVSLLDLFTLPQDLTYAQQHAVDGG DLTELDPEEAGFQSSFSKLGASETVQRDYTAGIVDTKEYAIKELGRRSNDKPGVIPGL IEAAKGIEKDVVDGFVGYATQNG I203_06875 MGINLHRIQRPSRSPSTSQSHSNSPPPNPSSIANGRSGLDTSRP ISPSIGNQPRDGSVDTSNQSVLQLFKEDYVSCRIYMKEMDYREALRKALRRHMYKWYA ILVIAIVLTALITSKHETIVEFCEPVTRTIREWPGGWLIPIAILIVVSFPPLVGHEII GILCGLVYGLWVGFAILAAGTFLGEIATWIAFKWCCQGRAAKFEKKNKLYASLTQSIR EKSFMFTLVLRFSAVPGHIVTAVSASAGANFWMYLAAAFLTLPKQFTIVYLGKAFGTQ SRKNTIISIITTVLTLIGTVVAAVYIYYQMRIVMRRNAAMTLPTTIVESETSMTMSEF HDEKRGSTALDTSNNLHARRPWLYTNHSTVSSVNGSGFRTPTRSWSMPGHMNEEELRE WVKEMEYEQSANSQLNDLSTPAIKIDDDRGSNNAAAVFDNLPSVFTPSLEPPQFNFST RSLSPSGTSTPAYTETDNLVSTSVEDLNTNTTTYPPQKRGESSTPKPTFDISVTPPRK VLGGREIADESDLYAISKGGKRPEYGRMRGDSRAALLGRSGVDDTILESSTSPSWKRD YTRSRGDSGVSLLSRPTSDDLGDALVSWKKNYANGGAGARGRGDSGAALLGRPTSTDL DMNRNSLVEERRKSEDSKGEEVLKDDL I203_06876 MSTVLITGTNRGIGLGLAKAYAQQSNNTVILGLRNVDSMPEIDV RQGVKLVKVKIDSGDIESAKKAMEELKSQGINKIDLVIANAAIGDCFGALKEVDLGSF EEHWRINVLAPLALFQACVPLMPEGSKFVWMSSGASIIDRVPDKLDAGYGITKSSMNY LARYAHFEEPSIISFSLSPGWVQTDMGDRGARWSGMEKAPITVDESVAGIVKVIGEAT KDNYSGLHMRYNGTQSKW I203_06877 MSSLPPRPKPWESKSTSAVPLSNSEAGPSTKPATAALSSSAFDN ALQASGSNSNAAPKLPDRPTDLGASNSLTTQPYAQNRYTNSYGMSPYGGIGGMSGYGG YGGYSGYGGYGGMSRFGGGYGMGGYGMGGYGVGGMGGPGEGYPTLTSSLQASTAPAFA VLESLVTAFTSLALLIESTYMATHSSFFAMVGVADQLGSLKTYLGQVLGVFSILRISK KIINWLKGKNNAKGKNPINGWANEWSNAIPNSPGGTGGGGGRPSIKPLILFLFSAVGL PYLMNRLVKLLIASQQQQQQQIQNEGNGGIVDANGNIDPSKLEFARAKWEFRSSEEWE LNLARDEIVAIIEKRPSASASAGQGDGGAGVGWWRGRTRDGRVGWFPGNYVEIIKRRE NGNTQKTV I203_06878 MAATKTMSQPLATSSHIKIPAPKLNVIRNPSGRVRQAVRDNNVS LLSRLQHKTDLRNTDKNRLTSLSWSAIEGSLEVYEWLLLDYGHDDQELSRDGDNNTIL HLLASIPSLSTSPFQHHLSAHSTSLPTKVDTRSYEVKSNISLRMTELYFTLFPFLLDW SNSGGKTALHVAAQCGNWRFIDLLCSLGGDLNLTDLQGNTPLHYASAWGHLDTIRVIL ENTTTGSSRSSSNLLAIRNFEGFTALDFAYNTQILFEIQNIQRELYQRRKEEKSSNNT NYNQNDSGPGNHNRVRSTSLTSSASNSNSNLNSGSYSSQPGRYIDQSQIPLPPLPLPL QGLGERSSSLPMSRMNSSSSQHGSTISQRSIPTLATPAPANPQTVLGRPTPPLPDLPP NRGGRPINNRSPSMPSSSSSTATASLVKPQGSEEGLPIPLPKEGVMMGSVMRRANSAQ TGGSGSLDFTSNRKDPRI I203_06879 MQAPQLRLNERQANPNPYIIFIRSLQNKKDHTDAEDILKAVAAQ MRTIMRERFMQVHTLEEAAFNRVFAGRNWNHGQSIELVLRGPSGRFLPMPYIISVMCH EMAHIEQMNHGPKFQKLMADIKADVGRLQSSGYYGDGFWSDGKRLKDSVRMGGEGYRA SDFPEYVCGVSASDARKAKRPSRGPGSSRQPGLVKGEASHRSGRQTEYRRKAGRKNNA DMGEGGSRLDGGRQITKEDREQRRSYIDDMTQVLLDQGLSMTKAKKRAGEMWEEDNPW WKEGSTRGKVAKSKTAAEMRAAAAEARLRALAGPATSTDAKPLTTMSDDEGEEKEEEE DVKPVFDEEDEVDDPHIGIEERKKEMEDEMDESEREGLRGGWEEYINPPRTMNAGQSS RGVKRERSPTLTVDREDTSSKQPKSIPGFGAAAIRQERLKALGIAPILISSEGRNKGR KLGSTPDGTISLGSKNDRKSDVSISHQLRSNNDGVGDWECKVCTFINMADHGRCEMCQ ARPDGAMPDDVII I203_06880 MLLTIGSLNLDCLFEGPSFPSLMAHISRRHPKATPDDFIPGLIH YRPSLPPLSLLPQLPSLTQPHKYHAFEPILPFKGGVGNRNRKMVQRNCFKGRYPRVEA YEDKRGAGAAIQAIIENSKRLKYLPPNSAVGIKVEGGREDEEEEEPGTARTFVHLVDV IGSAKLASEQAKKEFGDDVEYSSMSGSSTSSSRSSPSIISSGSCNKAEYDTERDRVNP EMKVKRSQRLRLKIVRRDSGSSLGDSLYSEEC I203_06881 MHRYEPYPRIPIHPSSSTSTPYSRKSQGQTIISHAPPPYTTKPL APYPPIPGPHITSKPPQSQSHYQAQHLHSQSHAQSGRYQPSRGAQRDAKYTKKEAEKA QLLAQAKMIARNQQVTLSERKRQRVLPGPSVLTLNSSGKREEIQSQLQLQLQLQNVQV ENAEKVKKEQLLAIRLAREEHQEMIRRKEAEEKKVRRIQLQVFVHARRVTVEKGEFDR VKGADGQ I203_06882 MFSLNFKRPQRRRTERLYSSDDSLSETERQAIHIELEEMTPHPQ RPAGAVGRVQPCRGAKRKAQESIDNVYLKEEVWRDAQEVLRISKRRKMTELIERGLLT SRRGKRKEIVASQLKSDIGHSDKMLIYGKTRRGEMNVGEGASGTDFVDCGEVLSRDSR LCDDGVQETEEGPRRKGNESYDLNEAQFDEVDGSSITSQSAPSRTNVQDESENCEERY GHEIGQDEISGSCQSDISDVESRSSNTLNLQGKENEEESSESHESDEPDTSQSEIRFD GRLLPFKNPTAKRQEQAKDRCIICFNDLETIHRNSLQSNRDPTLVIWTCDQCQTVQLG GENTA I203_06883 MTRSVREIVHLQTGQCGNQIGAKFWEVVSEEHGIQADGSYKGTT DIQLERINVYYNEAGSGKYVPRAVLIDLEPGTMDSIRGGPLGSLFRPDNFVFGQSGAG NNWAKGHYTEGAELIDSVLDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIR EEFPDRMMCTFSVVPSPKVSDTVVEPYNATLSVHQLVENSDETFCIDNEALYDICMRT LKLNTPTYGDLNHLVSVVMSGVTTCLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAP LTARGSASYRAVTVPELTQQMFDAKNMMAASDPRHGRYLTVACYYRGKVSMKEIEDQI QAVQTKNSAYFVEWIPGNISAAQCDIPPRNLKMSSTFICNSTSIQSLFKRIGEQFSAM YRRKAYVHWYTGEGMDELEFSEAESNLQDLVSEYLQYQEAGADDELYGDEEIPIEEEE V I203_06884 MTTKNINNTHHIPNQSISSQTDRSNSPILVSPTSPPLVALTPSH SHSIPVPVQGKKLQKSTKNKTNTKPSKSHSRKSSSSSTVNSGTRFPPYNTGGERQCTN CSEVDTPQWRGTLCNACALWKRSRGTDRPLPLLFPVKRRSPTPEEEEEDHRSEDGGIY HRESSPTIVGVNLQRRFWVDEHRDRSTSENPLGRQLPIRGRDRSETVIRPRPRLGMGI VRGCPTGGVMVHGRGHGRTMSYQPVIHHQRQSSNPFPTLNLSIIPPNSDNNVPQTGRI QGVHSTPVSPTHSNDDGLPPSNNNNGQQAGIGVGLQNRIGALMSQVKVEDKHKRRNSI PSIGVAFRSTSLPGNHSESYQEMEEVRSPYLPYSLDKKGHGSNQSRLKAILSQMETQQ FDAEQNQLGNENENEDKSILGLGISKDEFMRNAGWLYDVLESTGRLLLQSQGQGATTS SDDQMDIDDDSSSSSCSRRLEEEEDHGGLDILGKLAEEELQKKNP I203_06885 MASGPALEEAKLLTDVLGVVKVQSVQLKRCLEQDQIMEALKAAS SMLAELRTSSLSPKQYYELYMSVFDSLRYLSNYLYEAHQEGKHHLADLYELVQYAGNI VPRLYLMITVGSVYMSIPDAPVKEIMKDMLEMSRGVQHPTRGLFLRHYLSGQTRDFLP VGNSEGPGGNLQDSIGFVLTNFIEMNKLWVRLQHQGHSREREKRELERRDLRILVGTN LVRLSQLEGVDLEMYRTIILPSVLEQVVNCRDVIAQEYLMEVVIQVFTDDFHLHTLTP FLGACAQLHPRVNIKSIVIALIDRLAAYAAREAENEDPEEKKKGEEEAAKRLAEKIKS GRGKGKNVDKSDQTQTKPAVPKPAEADEWANATPATDLSSPKAGTSSKPTSPVKENGE SSTSPKKNGEKEKDETKIEEQPKEEGVKKFRGIPEDVKLFEVFWQQVVELIKARPDLP IMDVTALCVSLINLSLSCYPDRLEYVDQILSFAHAKVIEYAQNPDLHSPPTTANLLAL LLAPITSYLSILTLLAIPSYIPLLSVQPYSTRLSIGQAVVSSVLKNNTLIDTSEDVSG VLGLCAVLVKDQKDSTIGGGAPTRRGGQQVDLRELAEEQGWVARMVHLFKSDDLATQF ELLQTARKHFSEGGERIRFTFPPLIASGIQLARRFKQREDVETDWETRLSSLFKFIHQ LISILYHKVEAPETCLRLFLLAAQVSDDCKLEELTYEFFVQAFVIYEESISESRAQLQ AITGIISALQTTRSFGNDNYDTLITKAALHGSKLLKKSHQATAVLYASHMWWQGDVPG REKDDKVSVPFRDGKRVLECLQKSLRIASSCIDELTSVQLYVDALDRYIYYFEQGVEA VTPKYVNSLVELITSNIDSVTNGNGDVHPSSAGAGGLVEGVGEGEMIVKHFRNTLTYI QGRQRQAADASDRDSSEAISEGGEEKKKVDWESVDVIGGCLKMGISR I203_06886 MVSLDVTETSKSLAVAGGYVSIFGIFSYFVKEKLFMSEALIALL VGIITGPIALSWFDPFSWSDDQYYLTHQITRVVIAIQVLFTGIALPKRYLKREWLSLV TLLGPIMTTAWFVSSLLIWGLIPGLTFLESLVIGACVTPTDPVLSNAICKGRYAEKNV PLHVRNIIVAEAGANDGLGFPFLFLGLYLILIHEPTHPRHSIGGAIGEWFYNILFYQI ILSCLIGAAVGLIARKLLKFAKQRQLIDHESFLSFGVALTFFTLGWVGMIGSDDILCC FVVGNSFTWDDWFRLETEDAGFQDVIDQLLNSAIFLYVGAIIPWSDFSKYSLSPWRLV VLGILIMLLRRLPWVWALSRWIPALKTHRESVFAGFFGPIGVGAVFYVQVALDVIPED GTRGHLREVLLPVVYFLVLTSVVVHGVTIPIGKGGFRLARTMTMSGSRNATGANEGMV SRLPPPVELPSRSQTQSISVSGHDGTPMPLPLQDHKLGSQPPPEFSADRDRIRFGDLD PDSTTAATTIDQKTPIGILSRRNSSENVRDTDTQEAKASDDRLPETDDTSSRPGLEGS RPSRIWVEGHDIVEESEDGETVRVIHGDKGDRHVHTHHRNKD I203_06887 MRSTSFQILFALLLPLIHLPLSTARPLENGELVVRNTEAPTSSV SGTTENAAAPTNGILSASTVTNFHEETSTTEIDSSPSATISESGSVSFGNHNPEDCTD SLGGTPCDCSLPNAAPFGGPTTTGTTSNAAVPTQSDVGCADASRSPCDCSQPGATPMI SDTILPTSQAGTTSMAAAPTSDTVPTSDIGASTPSLNIPTPTSSVGGCVDSNGAPCDC SQSGATPTEPAGTGLPTVSAGETSNAATPTIDTASATAPTSSSQSQCADQSGTPCDCS QPDATPIESSSVPSGTTSMAATPTFDTSVPTGGCADASGTPCDCSQPGATPTSSGGVG APTSPLSGFTSNAATPTSSEAIPTTSGSEGDCSESQAHSGSTSSVTDAAPVPSQSSSE GNTPGNTGDNDQ I203_06888 MSEESDTPRPTRQAKKNAIRKIDKALPKEKMYKKKRKKEDQAAK ELRQNYRRLDQERRLARQQVEQGRHLDIDWADDGRSEELRRNQKKKDEKEEIVPFECV ICSEEIIDVLSKAISEGKGGVDGGLAIWSCDQEDCGVSCRREWNLGILRDQAGAYDPE LLGEG I203_06889 MAKSNRKKRPPPCTPSPKPSTAKSKLTGAGTGRIDYSLLSPPDQ AIEVSDEDQEDSPARNTRSKVTLSQFSSGSDVVFLSTSKKTGSPAPAPKKTHPQNQTH PTSYAKAVNPIASSSSSSSKGKSRQRVPPSSFITNSVGSRQLVTPKAKAKATSSSTLA PPHVSHNHQHQEIEAECIICSEEISEILAKAEKEGKGGIGGGLGLWSCELAGCGALFC IECAIACIDRSISTTPVAKPTCPACTREWNVEEMRDQAKAYDSSTYPDLASGQQTPSV TANTQTETNDNTTTHNTAAPLTSVDGFVQVGNGRLPKAAIPAREAMKGMNRGGSSADR AGTTNRYVR I203_06890 MADPSTPKIAPIKLKLSLGSKLATPAPTAAPTTPTLTLTTGKKR KEFPRDNDQNEGVPISTPSGDDGSKKKKGKSKVFISTPVSFTPVNEISLNEEDENQAG PSRITLPNPSSLLGHQTIPNESPIPPAPSTPTPSATRGRPSKSNTGTGSTTKKKTQTQ SKSTPRTSKSNLSKGRRPIPKPSAIPSRLLSESISTPTRSSLPLAQVSSEQSSPFETP SNTNNSSEATSPDPLSLSNPLSPSAYNGEIPNVGTPHNGLGEDTQMQTPQSSTRGHRT GGKWMRIKRPLKELLNRTLAEMRRKDDYALFEEPVDLEAFPDYLDVIGGEDKMMDMGT MQRKVDSGEYTSVEQLEADLHLLVDAAQKFNPPGSIPYNSAARILTIGIKHVERSKPL VLTPSPSPTRESATPFGSRGRGYSVLSGREGTAALEDSLRRAEEIPPLSHIPEQMLDF PPNSLQALAVGWNLNGGKRVHAKRIVRSREKFSGKWRHWEIDGTRDIAEMDDPISLLE RWKVSRKDKLIDWKETRNAGVGTGTGQWWESDANLSGPSTVAGQPPIPYAPYNPRWDK VVEKEVGLEKWGNDNEITEEMKYRRRRMGMGNEIEDEEVLSEHLRPSKPRRANSRNDQ LPPPPATMNLTNIYEDTNSKFGRNSIDWIGDITTGGSTQGEAYLNSVMRFVEGAMKGA STPGSPIGSKVNENEEEHKPVVENQTEQEDSIPLDEYVLEQWHDGILSKSKARSIYRQ TIQDLSKPLHSRPEWIRKMTSDAYARIALREMTLPTNPLDIKPLLRLENDFLHQGVGA RVGGSQGIKMGLEWIGGEIQRLDKEITEKERQKMANESDERKKQGNDGDVEMEEIADK QIKLEVQNPNGIEQPKSTVSSPLSSAPASPQPPFKPASINPPSNNNTPTVVPTPSVDP GDTASLRKLRLELVALAKFYPLPALKKMRDYEAAKLLPFNVRSLMIVPEDLKKVGNTN TTGSGGGKVKSGK I203_06891 MEQSHRTHHKPSAGNKHAKKDAAKGIDRTGGKGFNPKAFTNTSF RAADRAARRTAEKDQRRLHVPLVNRNPEERKVTSAKGQGMDEGKLPPPPIVVGIVGPP GVGKSTLLRSLVRRYTKHNLNQPQGPITVVSGKTRRITFIECGNDLNSMIDLGKVVDL ETFEFLNILQSHGFPKVIGLLTHLDLIKKASTLKDTKKRLKHRFWTEIYQGAKLFSLS GVMNGRYPDAEINLLSRFISVMKFRPLVFRNQHPYLLADRIQDMTPRELIRENPKIDR TITLYGYLRGPNLPPRNAKIHIPGAGDLEVKNVERLSDPCPLPTLESERRRKMGEKAK LIHAPMSDVGGVMYDKDAVYINVPGSFTKGGDAPHGEGEKMVMDLQDANRTFADGIQN SEIRLFGHSSAPLEVSQQQRVRRAAAPRSGGPMLGSADDEEYDSDEDGEDLEDEDGEG MVNGFDNESEEEGDVRYAESDSDNDDLEFATGFEQDGKIVNIDDEDIDGEENDDIEDD DEDEDDEDVPQWKRNLSDRAATSFAERMGKRRDLMSLIYNSELSPEEIAAGKTRPSSA DAESSRMAQGDDLFQISREENKGDEGDQLKEPVDDEALKAKWDDEEMLNSLKEMFISG PVGEVDAEGNPYEEDGEGFEDLEDGDDDEEDGDGVPYVGVKPSAADSGLDHETARAQA AAKKQAALKAKFDEQYDNDSDDDGDKMDFYDQQKNEMAKQRQLNEDEFQGIDIDARAQ IEGYRSGMYVRLEITEVPCEMIEHFDPRFPIIVGGLLAAEERFGYLTVRIKRHRWFTR TLKTNNPIIFSLGWRRFQSLPIYHLDDHSIRNRLLKYTPEHMHCYATFYGPVSAPNTG FCAFNSLTDDSPGFRVSATGVVLDIDRSTKIVKKLKLTGTPYKIFKNTAFIKDMFNSA LEVAKFEGANIKTVSGIRGQVKKAQSKPDGAFRATFEDKILMRDIVFLRAWYSIEPKK LYNPVCSLLLADKGVWRGMRLTGQIRRDEGIKTPLDINSAYKPIERTTRRFNTLKVPR KLEASLPYASKTKAVAPQKKPTYLQSRAVVMTDEEKKAVTLLQQIQTLKKDKVARRKE KQEERRKEHRKKVGVVDEKLQAKLKEEKKERFKMEGLKRKREEAAAEGKYGKKRTRD I203_06892 MPINPEDLLARLQLNDDDLRDIETTFKDGKEDLSECVICKEDIF DILREAEKDNKGGMEGSLSLWYTVSHAL I203_06893 MAAGLAYDLILIFWRIVINIFFREIRPRGAFNIPKEGPVLFICG PHANQFLDPLLVFSETRKESGRRVSMLTAAKSMQRKFVGSVARLMDSIPVARAADYAK AGKGRIVLSESDPLLITGINTAFISQVKSRSQLVLPKSAAYASATVEEVISDTEIRLK GEFVIPSKDGSANVKASTRVRNEGESKEGLEYKVLPHVEQEQTYGACFQRLKEGGCIG VFPEGGSHDRTDFLPLKAGFSIMALGAMAQNPDLDVKLVPVGLSYFHPHKFRSRAVVE FGPPQSVDRELVELYKQGGAKKREACGKLLEQVHDGLRAVTLRAPDWETMQVIQAARR LYRIPGQHLTLGQVVELSKRFMEGYLAYKDEPKIIDLRQKVLAYNRLLRDMGLADHQV ERASSTSLKSALLLVYRTGLLLWWSMLALPGAILHAPIFILAKAISIQKAKEALAAST VKIQGRDVLATWKVLVSLAVTPLLYIFYCILATFLAYKYDLAPAWRHWTPVAIFVLLP GWAMATLKFGEAGMDVFKSLRPLFLSLWPGSLREVNKLRQMRETLANEISEVIDEFGP KMYQNFQSARILPSATVPQTGRNPGMFVRKAQAPDSSVLSHPMLWLDERIFGWNRSAS VGQSVWNTTKLDKNRSEPSTAPTSPAESDNEEEADVDYDDVLAIIDTRRAGPGSPRGR RRGRSYHDLTQLKSETSSSATSPVIDGVPLPAPDDEGSNRLHKRPGAGFKKDEDGDGV GLGLTGADTKEGKEKAQ I203_06894 MLAARRSGNLLRSKATSAPCVRSALLAREYHGDPGPSTRSNRSQ KELRSAIVSASKTGQQVSSSGRHIPKKLVNADRSILDGIFDYNQYKFKSPTAFRIGAK VQQKDVSLPLRLPDTRPSRSTYGDAGGRGGEEDAYSTSMKLRKLIERHTKNSNSSKKG LTDQQIEEAIQIVVSAPKNMVNAPVWNILLGFVGKQRRLNWMWSLYNDMKKRGIKPTS RTYSTMINAYSRISHSGDISAEYELIPVKELTHSRVTILFEQSQQHIKKCMNASALLQ EDLGIIHSTSGPSGPQVKKEDQSVNDEFSDEINTSPTNAYLKYLGRHGLWEEMYQTFL SVDTTGPLSPDSITYTTLFASLHHIHLVRGRQKSADPSIVHKSIDIGPVSRGIWDQCQ RQFAKTKGERDRSIDNELFSHALRCLIKGRPEDHRFAIKLVDEIWGLPPPGQSNLAST STSTSTGSIPRLNTTVQSATALIQGLLHSKQTVLASHYTTLLLSLKEIQTSVDLCFLK SAISALSETGDIGGILGIIDSYQSPTGSEGWDISTYSSALQGARWAGDFPNALKIFKR ATQISDDVENTSPADKSPTSEKVLGEGYKWSTPNGQPVDSRGIRWIKPRPIVPDTNLL SILLKISVGSNNEAIKRVLNIINHFGAKRLFSIQNSSTRHGIENKRSNQDDEDGNHNG GSTLLIENTPQTLIIGDRKSSASLGKMVDFAKNIVLAIERLHGSQADEYRGMKENMQK IVKVWDGHVYSLTKKDKGDGVKRHGGGRNIDGDRKSRSGADDIPKRNRRSDIDRGEQG SEWEDEQQDMGKSTRSSYSRPDENRNAFRDDERFSRRERYDEEDRIPRSGDARSRRND RAPKDMSRSRERAFDKPERRFSQREERGRGSFGRDGSKVRGGQPKKIGFGLKK I203_06895 MVPCNTSPPIYEESLYVASSSRRPLSRKKKVNQGIGGDVVGSMK RGLSVNDSQSKRKEGRLKLLLSIAGVLLIVLTTIWMVRLNKRINDKGGWTNLYQVISH RISSSFMPIAQNREL I203_06896 MSMYKPSSDWYISLSISYDPAKAICDSKAIEMSHLDLTREWLGN VLRPYQAKERIINEVMKILSERKTLSVKTDAFTFDSGQTALLLLLHGTLPINYRGATY HIPIHAWVPHDYPRSPPLLFVVPTKEMGVRKSKEVDPSGRIREEVVHEWWRIWPTQKL ETILRHLTNIFSAAPPVYAKPPEPSSPAQSSRSPISSPSQPRSQPRPQASIQGQPPPP PARPGFGPPLTQNPDVLPQYRPAPTPPPHPFPQGTHSRQSSATYPGTPPQHPASPPVP NRPARIPQSQAQSPISQAGGPILPQRPYIPQPQHQIQSQAPLSYPPQQPMSPPRSDVG QTQGYPNGYQPPAQGYYPPQMNNQSQQSQPPIPPHPQPAQQPHQPTRPPPAQSLVPPR QPIPDLLGSPEPSSLSLPATTDDSSIPPPLPPSKPPPPSLQHLHSILLPHLQASLPPL VHSLQSTQQHLLERKEDLSSGEPAIKDEMARLEAVKKVCDSTGRKLAEVVQKGEDRVN ELEGKGEIGVDELVCGISIVHNQLIDLVAEDNAIEDTIYHMTRALDAERVDLDRYLKS IRSLAREQYMKRALMERILQGMGQTQGW I203_06897 MSSLWISDSSPLFFYSPAEAYFAGQNLNSWIGNQGPYQGPGSSE LNVNGESSTFHSSFGESAVVLPSIYATSFTPVFSAPSTYNVTIQIGSWDPEPWSSGRS FNFTNGEFDPQTFTLTFDCQSQNQEECGEVDFLGAWVETRFSPDGSQIDSISMDDSSP LITYEGFAPIDRNNKIVNIDPTVDYQQTLSMTSTQGAKATINFTGASIFLYGVTCPSC GIFTITLDSSSTSATLDSFNNATIHDSLLLFTTNLNTASTHTLVLEAQGGVVLDKFEV RGPKGGVGFIGNENGTPTTLNPSSSSHPASGNTSSNSNNTGSSSNNGNIPSSQGGTLN AGVIVGAILGSIAGLAFLYFLCRKVSPKFKKKDTKKLNPWDEANLLQNMKNEEVHVTT AANQRYVYPGLIAHSDLKK I203_06898 MSSSSPVKPPSLPSRSSSQLSLDSHLSSPRKRYEKTAARPSLDH NGIRPPSAIYLGEESGSGSSANKRHSGDYTHDDHPHLRMASGFASVHVGIGSPKLDFA RRDWPGSSHKRKEGSSSTEISPEVRQEDQIPAEHSKEGRDLVDRVSGLPSPPGTESGI AAPNNDKLNGESSEKVPSPVKSTSSSSKSPTKLSRRTSSTGSAHLIARRTSSYSGSST HVPDSPRLRTHALRPKHSPSLSMSRSGSSSSSLVASPSMAANRITDGSTTRGSVGLSR TSSHSSSLSLGGNASAGGSSPRKKLPPLDTKRANSPEERISSPERTAKRLPPVSAGIE SMDGRRHSRRSSRDLREEIVEEEPTEASGGMSRTRSQGSELDDKIREAEERIAQAALH RRRRSIDVEKNSTPSRQPIRRHDSFTRATGSPIVNPPGSALRRTTTLSSNHTDSPSES IAKRVDDSHETPEKGEDDRERSGGSSSSRRRKGLPAEFRNGSGSLFTPSPQKPKSSGI DDQPGSARSSRLRQFLDFPSDYQSSSPIPSRFSTTSRRSGEMEIITSPSKAFRHIDGL ERSSSLGGPRGETSGYSKRNWSQSISGLPRASDEVDYRGLPRDRYRSETVLGGSTYQS STRVGEDRGVGRRGISTLVSERDLLAASRSRVGALGPGDSVSAVGSRNDRGDGKDPLE MIRRLEEQRAESKKRWDHMPRPATSMSSMREIYNDPPNTAPLEPLRHRRSIDQDSPIS PPYVRGGASRLAFSRAGGPSTEPRSMRSSTSLGGRSSANFDLANSSTEHGRLLFEAFR VLESKLGQEILSTHPEMLRTFHSATRTSENINAVLRNALQLASQIAVDAELDDPAKVR EEYSNLAFMLRDAGKASEQNVRDMTRVLLDLPKLVRIQENGSGMTSSTSASVERIRRS GSAALNYNHNPVKQGVMSEDRPARRWQPTSPITQTDRSPLQGRYSMDTPRRSYDLLRS STAMADTYSPLSRYSSMRNRDTRTNGNLDNSRTGGSTVSSLMSKVRAMTPRKSPSKLD LSIIEQSPPIKRTSSHTSTSPNKSPEREKPLRNLLKKKTSTLSTNTIKGSTHQPSNFL PASSSNKPTTAISQVTAGDLSADDEELEPNSPMSKFSYNSQRRKLSISRPPIAGTGTG NVKRHSRNGSSDTFGTTHTGTGTGTGSYFTDHDEEQREVDDENENEIDAVSMLEQRLV KVAKIKEERGLGITPSVGEDNKRPSISDRFRASLRKGSSRVE I203_06899 MTVRGGPGRGRGRGLVPPRAIEDRDVLGFANKTVANSGPPQIQT YSKPKLPPNLPTRGGRGRGARGVPSVRGGPSSSRGGLPPALRTGPAPGPSTSRGRGGY ADTYRPHVPLRELLHRTKEQSRSGEWADYRDKQKERERDREEDMWNGPSRSYANGRSD TYRPSSPSALSSSRNVRRYSPSQPALQDSWSPASTPRSTSNGNGNDRFHELSTSTSTS NNHAGPSGSSSSNLAKSDVPRNHLQSNLSTNTNTNTGNGQHIIFSSPSLPPATPSIPP DPAFKRPPPSGPSASRQLSPALPPSSSTITNQLPDKGKSKAASAPTSTLQVPEPVPEI RKMSFKPINPNNPRAKGKEKQKDDSPVTAPASVRIKAEPDPQPPNAAAPIPSSCTSTS TKVREEEVDVKPRIKELERDAEVVHTGGEGIRRSGTFAFSKAELPDCWAKNPTERSKA RMAFRKTQREAMIAQGKKIGGTHWRDDGVAFDWTLPDPNSDQQSGKAKTGLVDGGEGT HTASGSTVPPMAAPGSATTPAIVSPSVLDPTVETPISTLRNDPAVANASGSSRIMTAI PSSSTQIGQTTLTSAGLSKAKTNTTATNLPKTPSKATEKLVVLTPSSLATSKNVSSSN RTGASASTSAGTDDFPRSESHQIPYPPQFDTFQKRKDNSVDFNRWKVELEESFCQRDA EARPTKACTFKDSYACIKVTVYPLPQPETVKQNERTVEKKHVQSWKNREFSHYEIFDF PKDLVERERSGDKKSMKDWINKIIAIVSEPDGQGRPTRWVKFMKREDHQDLMILWKDK TQSEIDEYEGKQVTIDQDLVATLKRSEQVNEIGTKAPPMNKAEQETKRKSVSREAVPL TDKQKKKLEKQERKRAREEAASEAGSTSVVEISPAKSTSERPLKKNKNKHASADGSPS KNAIASGGGSNSLPTQHENTTNHAPEASLLLPDLPNLPATAGPSHPTPLIEQDQKPSV TSAKLEELNYSLRQKVSEIEKWTKLSNEFPDLKLALNTQIEKTREEIFKLYDDIAEEK VRLAG I203_06900 MSSETFLDHKPFLSDSFDVHAYANAILQGKVYRPDEKSEGGSKA DKEKGDGDVGAELARLNYGIEHVTRQLRQEITSSYPLLLSHLTTSLSLSSHLSPIRSS LSSLSTSIDRLHTKIHTPYSNLSLLVARQQVLGLVTDLTRRASRFVLLARRLEGQLKK MEESEKGKVEGERERELAKAALSVAELDALLKPPSNEEEEEINDAQQIPLQELEFVEA YLPVIDKARDTIIQEMESMVVSGLADLNQSLLSSSLQTAHNLRLLPDLVSNLIADLND AVTLRVTKAFDSAGIGKEVAAKGATSHSVIKLSRGRPATEPNSSNTQLWVNTLWSRLE KVVEDVANCCIKVYTLEKVLKLKKDAVTGVEFLDEVMKTLDEKPSFAFWTTLAKAFES QSKDAIRSSPWLQQALSTGYPRLLRLFHDFFAKIAVHTDTVYTRDYQSTEAVLVLRSV SVFETLYLSRSTTRMNDSISAALSSYLSARGNPPGPGDGVSIARTITNELDSARFDPL LVRTVARNAGKVLDGFIKRIDAMLVKDFTATSLIGPNATPAQVVNAQLVGCLYHCWLN VQYVQQDFVGKVWETLSPSVDLLESTYKRVTDLLDSSLRKEFVSILTRMHKVDFSKPM DPMTMGSGGGSPYMQDLIDKLSFVRSEILGRMSLGEMMKEWVIDLSRYIIKTFLLHAS IARPLGESGKLKLTGDMTELEMGITNLLNTGRVQGSRGGMKVEKIGEEYLALRSFRTL LFSDDSNLSNPVETVHLPPIIILNHMLVLSAKFKLPNDLHNWTEHEYVLWIEKHDQEE QLNLIEKSLNAQLKNAEEGGEDGLYVKLVREVLDHARHDEDETPGVR I203_06901 MPKEQIRKRGRRVRKGDVQNEPETLPEVPTTEINEAVPSSSTAT GIHPSRAAFLAGQPVPPPQPQTQGAIGQQEGEADGEGEGAADWTRGSRIDSEFPFGVL DPDVKAYFRNVEEQIKDWEGVSTEGEEREDRQLFLTSVLSELRSHELPTSTDPETSII LERLLPSLNDWGRRVIGDSFGDKWEELIRHRFGSHVVQTWLTLAASTLDREAKNIYPP QQSKQDTSKGSLPKMTELFLNIIDQLLPSFPQLLSSPHSAPPLRLLLLILTPNRDLPS LESSDSIQSGSGLIRSKRSNKFRKNQEVKGKSILGDEEKKDTERKVPQELTGFRKQIR NDLMSKLGEAEWKAMGVDTVGSATVQLLLEFEVEDGDAEKEGSLFDIITEGLITQIKQ SPNTKSEAQPYLSTLLQTQTGTRLFESLLSLAPVPVFKALWAAYFVGKLGKLAGHPYA NFVTAKGVSRLDKEGIEGLIVEVKGNSGGRGLIKAARTSVLQALVDRSVILEQCQESV LELLYSCLELPEDKRPDLVPCLMALKTYPVSVAISRNSQFSDHAWENRRNAKPKAAEG EILPNMQGCLILQGMMGMGQVNSTVLESLIVQPLPTLLTYAKSPISSHLFDKVFTNSA VPPKYRRKVMMLFMDSWKELVEDRIGSRVVDTVWDKADGYMKEKIARTLISHIVVLGQ SQYAKYFMKKAELSLLSRRPDEWREKVVGVKHHFAHQKVTPAPASAQSHAQIQVEGEG EAENKKRKKEDKKDEIDLLFDGVEGKKKKKSKRNKE I203_06902 MALPLPTTMPLLCPIYLPGQEPVPPGTSDWERQEMQTALRYQKY LGMAMESCPLKVVLSGGAGFALGGFFSLMSATFAYEDPLSRASSQLSTRAQTMHVFKE MGRNMWSSGKGFAKVGAIYSGVECCIEGYRAKNDITNAASAGFLSGAILARNSGLKAA MGGGLAFAAFSGAIDWYLRKEPAE I203_06903 MLEDMSNSPSTSRRRSKPSQENWDDDFEFTLPARKSTNKNSSIP SSKSSKGKGKDENVPIPRADSPIEDSDENWDESPPRPNLPQPNLLTKHGGKKSSIPPP INIPSSSSTHRINPPRLSPSQSADLSISPLPTSFSSPQQPLLPSRSHSSLSMGPSPDG LQHQQSQHLPRLRSGSTTTSTVTRNKLIKRHPSTSFVSIPNSHSSSNLALASGLSNQS EASLNSMTMLNRSSPNLSQNSPSLPRSTSGEQMPPPPLPQANGGILGRSRSGSKSKAK TGQEVRVSNIPFSPSRDDMQEKGKEKRPGFWKRLSGAPTTGEHNEGTPQHRRRRSSSV GVKHLPSGSPRPPVPPLPMNLRSPSGASSTSTSSAKSGPTSAFSALLRRSSSSLSKRS DKSRDTPPSSYPYTSSKNGISSSSVNSFVQQPVSLPSSRKGDVTPELPSSASFSRGFH LPSPSPGSPYHPSKSRVPSAAQQYFDLPPLPHSTSFPGPQTRSKKGSGSGSDTETEGD SKTPKKRKKIRPISALPAPRTYQDEPIPGLPISRQTSVGDLHSTRSSQSPASTFAQGT TSTLKRLSSLSKKHGRRLSGGWKFGTNSSSDSNKSATRSLEPVLGSPSKPYKNDDDLP LSPTSPTPNPETEEEMRKAIRAGSVSAPTSMFTQPRSQADVNDIPSEEVIAAKEKEKK EKHRRRQSWNDFVIPREVMMKQKGLKEGIGAVKMFAGGVATLKTLLSTHADIRDRILS SGSQVDAANFASLDSEFEQWLEMAVVLIEVGSTGADPSTQPSFSSPPRSRRVTLASDE AKAASAAMAKAASAPGGPTIPVSPSSWRKASLPDPEETSLSMMGPPRADYPEQWRAST GRQDLSKRQLEVLRTMLRTPMSANTPNRDGSRPGMGPRTASTLSASSTVSYLQAQGSP SPNSKIQIQRGSMLTPDSGSISFPSPGDSAHIQPSNSFPSPLSAARLNHNYRSLKDRR ASKAGLAGLKEFLRSLKKEKNTAPNTAASGGIGGLSPLRIKNRFGIKSSTSPPASPTS PVSPMFSPNVNTGNNDVSYPTQRSSFSALGAASGKIPQTPQTAQPILSTTRSRAGTES SFNRGGVLGPEQKRPSIRNIFRTSSGNWSELVKNDPHLPPAPNSNTSSPSGSGGGLSK KLSAQRLGFSSSKSMNKISVSDPIPSKIPLPQSASSSTLHAKTNTPPWNGKDREGEMT LRPTAKKRVSGLGLGLGWPETSTSTSTGMGTPIKTSNGSGNSGIGIGEMGTVYESPHK FDRDHANEQTLKQHRHVIPTPASPSKIRSPSNTSISTSGRIPSNDISVTNLNIRSSED STLTNDHDLTIIALTPENLPTLLEYLRQCERMLGVWKERVEEVIEID I203_06904 MSQAQAGSSTQPNIDSHICQRCHQPLLLDPSIQNLTSSQYNLIT SALPSTPSPSHIPPSSKLLSLPPASQSSAKVWAEQNSLSIPRNANVGESFILLSESSL LQPTPTSASGSSSKPPLPPQNINLISELHSILSSRTAISHPLCTECTALLTTEFQKLA EELTRERDAYIRFEKDVQMNRERLTHSSSSSRNKSKIKGEDPGGLGENDIEGTEDEWQ DLNKRKKELESEEEELKLILEERERELKEVELEEERVKRDEEEVEREETEYLLHHSAL STHLSHLQHTLSTAQTHLLLSKSLLAHLESTNVYNDAFQIGHVPLDPSSGSGMTVGTI NGLRLGGRPTVEWEEINAAWGLTALCLDRVADKVGCVFSGYKIIPLGSYSRIEELPPQ KGIYELYASSDLSPARLLQNRRFNYAMIAILDCLRQLIDFGKKRGKGWANNNIEIHKD KISNHSIKLPGISSMPLTLPSMSIMGLGSGSTTSANTSSGNVGNSSSSGGGAKEMDNT AEEGWTKACRAVLVVLKRILMVESQMDRGGVGQ I203_06905 MPKRPPTPPSFSPPLPPNQHLVHLLSPQGSNNWLCQDTEGTERL VVVASKLRRIKSLIIMRGDFAVVSIFPSGPDDKGGRLVGEIIHVLNKGDIKDWKKAGA WPEGFGETPVPATADQVDQQSNSENEDDDEAEEDEEDKQ I203_06906 MLLFGMILLWLGFGLPYLNFGNSQNITNTTSSPAFDSSNSSNSS FTSYPTLNLTYLSSPVPYPLNGKYHNPTHSKWHSAHKKAKAYLANWSIEEKVTLTTGM GWEQGRCLGNIAAIPSRNFSGLCLMDSPSGIRLTDGNSAFEAGVNVASTFDKDLMYAR GYAMGQEFKGKGAHIALAPMTNMYRLPAAGRNWEGFGGDPYLSGWATEMSIRGLQDAG VQATVKHFIGNEQERNRTTSISQLTDRTMREIYSHPFLKAVQADVSSVMCSYNLLNGT WACENSELLNGILKEDFGFQGYVMSDWNAQHSGVYSANSGLDMTMPGDIEQKSLTSYW GRNLTESVNNGSVSIDRLDDMVVRILTPYFMLDQNDGYPEVSFDSARPKAEANNSHVD VREDHAKLIRHIGSASTVLLKNVNKTLPIKSPRKITLIGSDLGPSYKGPNGYPDRGGD EGTLAMGWGSGTCNFPYLVDPLQAISAKAIKDGTQLDWFFDDFDIESAQDTATGSDVV IVGINSDSGEEYITVDGNIGDRNNISAWRGGDALVKAIAESNNNTIVVVHSVGPIDVE QWIEHPNITAVLWAGLPGQESGHSLVDILYGKYNPSGRLPYTIAKELQDYPAQLNYVN TDEVEHPLVEYREELNIDYRHFLSRNITPRYEFGFGLSYTTFEYGKLEIGEEEMDDDA SAANGTDGIGASGGSTRGNTTRISNLHRPRWTISVDVTNTGDVLGCDIPQLYLSYPKE AGEPPKVLRDFNRVQLWPGDTKSVTFQLSKYDVSIWDVVKQRWVIPKGEFGIEVGRSS MDEQSVKTQWCFRGCD I203_06907 MMLDSIPKSDEQPDPSTLKLSNQPGASGESVPFSALVGRTVGDM GFSHGDLLFLSYKPISADPSSHPTTQASTSHPHPNQPDPSHPHTHTEPPLPNTIPLTD LSHVVEPDIDLYWKNQTGKIERKRDPDFCRHGEKGMCDYCMPLEPYDAKYQASQQIKH LSFHAYLRQLLSSRSAAQSSATDLPPLDPLSLSVMTPCPTGSHPPFPQGICSTCQPSA VTLQSQTYRMVDHVEFASPSIIDGILSAWRRTGTQRLAFLIGRHDKYEKVPMGVKTVV EAVWEPKQEGELDGLTVETPWSDEERVGEIASWCDKGLSVVGMIYTDLTPQPDDITKT LYKRHAQSYTAASLEMLLSAAYQISHPLPTKMSPTGHFSSRFVTCCLTGDEDGGIGVL AWQASENAEAMVKAGIVEASVDPGVVRVRKPGEGEYIPEVFYSYKNEYGLQVKQPAKP TFPVEYLFVNITHGFPVDPSPLFLSNSFPTENRPGLHDQSLEVVISQLSGIIRKSDAE ISDTGTWPDRIKEEVKRWLSDWHLVSFLCMQGLFSLAEQKILCRAATMHAHPSDKNAL EELFDSGGWQTLLTIVESSSSANVPVQSAPPSRAFGEMGIDSPHAGGSSTDLSGLNIP PEPSTSAPSGGDGGDKICPHCTFVNEAGRSDCDICGLPLG I203_06908 MSKSKRIKLEDSILMNKPMVDQYIRHGRRALDEKDWQGAMQQFD KAMSFGNKRNCTILDLKVKAMTELPEWQDPAYEITKMMIADAPDDYRGYYRQARILEK KNSLQAALRLISEAIKVGPTKAQDEKIYRALQRYRTDLIFSQHENEQRRAAESAEERR KIELGRAAAKKSKMNFINMLSPDVIINIAEAGSVDSVNGIGFVVKMSGVCRSWRNILL NTGSLWSTLTLGKKRVVERVKYTLERSKNKLKEIIVKDDFDISRLTDISQLLKPHLKN VERLTINGDINRFSRFWQGGFHKLEYLKIKSTVFEPSDLVYRLLSFDCDTLKELELEG GRYEHIYNYTYDITLQGQAFQNGGVPRRNDDTEDDPPFWTEHCQTHLSNIHTLRFKNC NIYAAWTDHTELLCHFTSLENFEMINVNWFSNYLLADPTSRGYQWNQIRSARGLDMTL NDLKSVSISGSIRNLGLYDIHAPNLQHLDLWTVHPIGSTSIAPLITTPGLKDALDSLL SLDVGRCTIDLNDLLDILPRLPRLKFLNVSYCPLDNRFLEALERRNKESDLLPNLMGL SIAGNTEITSGPLRRMILSRTPQGIKSIKPKEVVKKGNAFRPLAPVTKPSSSPFGPSK SASTKSQTSRSQSSQKSSVPDSIPSTPSSSSRGKTDLTQTKDKEKEKEKEKDVTLPSI QWLCIDHCDRIEREFIDHIKSKVKYISNAYSTNMVEARIRGKGRYSWKLEWDIDCGEG EGGCHLRKIPGK I203_06909 MQAPSGGGYGGGDGISGPLNPFVVTPPPPDDNKDEIPGYVKRFG AYHTLTEGVFLPAGTLLPKGASFAMQSTIDFGLHFPKGTKVPGGFLLPVSVVKKEPSK KDLPPEENPVCVVQ I203_06910 MSNPPFLPTNPKPIPSLSSPTASSSAGTSSSFGTPKSSISRKKP LGLDISKSILKPTRAAPGLPTNGDGVTPRDTNGGGGNSIISEAERLREEIAHLQLSSK STSSTNSLSLPDSPINNSSSHHSDSSTNTNLTSSSSKRKSGDKEKKRSKHKDKDGEDL VKDEDLSILSDLGAGNGGTVTKVWNKKRNCVMARKLILVDAKPSVRKQILRELQIMND CNSPYIVGYYGCFPVDVHVGIVLELMDAGSLNDIYRHTGPIPIEVVGKVAEAVLHGLM YLYDVHRIIHRDIKPSNILANTKGEIKICDFGVSGELINSIANTFVGTSTYMSPERIQ GAPYTIKSDVWSLGISLIELALGRFPFTDSQGNEEEEESEGEEEYDEDPTLPLSTQRP KISAPGESKKEKRKSKGVSLAGGGHTMSILDLLQHIVNEPAPRLVSKKKTFPSEATTF VEDCLYKDPNQRKSPQELLASDWISKSKVTQDDLKTWALSTITKD I203_06911 MPNTSAIDTNSVHRIHSGQVVLDLQGAIKELVENSLDAGATAID VRIKDNGLDSVEISDNGSGIAETDWESIGLKHHTSKLPSLSDLYKVTTFGFRGEALSA LCALCESVTVVTSTKETAPMGAIIKLGRDGRVIDSSGKIARPRGTTITLTGLFAPLPV RRKEFERTVKRELTKALTLLTAYALVPASVSLSDGRNGVRLRVETISAGKSGKRNIQL STDGRGSLRASVGSVWGHKALEGVQDINLELDVEIDRVMARREGISETNQIIKVTGLI SSAQWGQGRSSADRQFYFINGRPCNLTSVSRAINEVYKSFNTHQVPLAILDFQIPPQS VDINVSPDKRTIFVHSEDKLIEALKIALDEYFQPSRSTFAVGGATQTVKNIRQTQSQL TQYGKSAVSADDEGDDDDDDDQNLGKEGDDEDEESNGDANSDVQEEEGEPNERAVTIE VDQPEGEEEEEEEATPPTTRRSKSSPIIQIDTESEGEPELSLPSRRSSQSRAVPASPA SIPKRVVQQTLNTSKASWSPDSKSRSGSRSARAGPGPSTREARMDLRKKLEVYASQSG KVVRDDSDGEAEEEADSAGEVDVDATETEVEENEDREEVIEDVDHDAETSNDDHENGL DGIDSDGEDANNTDTALKRARPPDEPLFEDDHDTSPIVGEDPMEIEETPIPISIHVEA EETERPAPKRQSTSYRDEISSTGIQGEMKLSFNLERLRARYDLKKQRESAHHSNSGAK DAFGMIKEGGISDAAGISNKNMQLAEEALSRVISKEDFTKMEVLGQFNKGFIIARLRH FSDDDDIETREKGTATDDLFIVDQHASDEKYNFETLQRTTVIKGQALIKPRPLQLTAS DEIIAMENLDILSKNGFDVKVDEDALPGKGERINLVAMPVSKETTFDFKDLEQLLHML SDSSRTHGQMVRCTKARSMFAMRACRKSVMIGKSLNKTQMVNLLRNMGTIDQPWNCPH GRPTMRHLIKIDQPTKSRSGKDKIDWSKWKKSQGI I203_06912 MSDDHLDDVAAQCASLQEDEITVLESIYPSLITVHPNPDDKPGQ LLTLTLPITLPSPHQIQLDTSAGPSASLELSHLPPLTFKVLFPKQYPMVEPAKPISLR AGLPSGDKLGNWLPRTKLRSIQDKLLEMWEEEREICGGEGQGAGVIWKWWEWIGNGDF LTDLGMFNGPILELSVPPMLTPSTFHTMLKTYNASQLHSDFEKTAFSCSICLENRKGK SCVQIPRCGCVFCTPCLSSCWSLAITEGSLENVSCPSVSCTKQRALRDRGDNGDNDID GEMVESVVGKELRERWEDVKEKRKAEIDPLYTICPRPTCQAAVPPPPAPQSNSITFNP TFISRVIRLSDLPTTTSTSSTSTNGSTETDTISTAPSIPAEDRWVRHRTCPKCSYSFC LYCNATWHGPHTPCAFPQTSLIVSEYLSYPEGSEGRRRMEVKRGKVNLERMVRQYLED EANKSWLEIKTSPCSGCGVRVEKSHGCNHMTCGRCNAHFCYRCGGSINPKDPYKHFNT PGRSCYQKLFDQEEIDRFEREVNGGGAAGGGVGGGYEDEWREFRGIWEW I203_06913 MTSSCPWHTNPTAVNRRPVPDRDLILAMKAQANTFVLGTRKSNL ALIQTGHVADDLRLLHNSLPPSQEEDEEEEGTPKDNGNGGIPYTFSIESMTTVGDRNQ TTPLHLLSPYSSTQPAKSLWTDELEARLMNGHFDMLIHSLKDVPTVLKDGCEIGCMVK RHDPRDALVIKDGLPYKSLDELPDGSVVGTGSVRRVAQLKRAYPNLKFEDMRGNLNTR FSKLDNPESPFYALILAMSGLSRLGMAHRVVSALEAPVLMHAVGQGALAVEIRSDDMR TRNCLRGLGHWPTEWTCGAERGCLRVLEGGCSVPVGVESEIVELDENDLENLDGMEDP YRDEDEIALKEDSPMLHFSGLIDIKPTTRPSTPTFSKNALPPLRKRFAKLTLSACVTA TDGSKHVLYEPKSVLVRSYRQAEKFGEDVARQLRKMGASEILDEINRVRKERERQDLE RAIQRSKALEEEKEMMGRVEGAKAEVIA I203_06914 MSAVAMDFLQKYAPVVADQFVSMNSTQAQNTLYGDVNLESLNWL ERTWASYYIWVGNPVLATGVMSFLLHEIVYFGRAIPWLIIDAIPYFHQWKIQPDKHIT KEQICKCTKVVLITHFTCELPLIYLFHPICCYFGMATYEVPFSSIGSMAAQIAFFFVF EDTFHYWAHRGLHYGPFYKNIHKLHHEFSAPIGLAAEYAHPLEVLILAQGTISGPFIY CLFRKDLHILTVYIWITLRLWQAVDAHSGYDFPWSLRHIIPFWAGADHHDYHHMAFTN CFSTSFRWWDFSLGTDAKYHAYKKRLAAAKANQRAKIEKEENERAIQEGLEAERMVLM GGPNKIEKIKVGTGKKQ I203_06915 MENPHEAEQAVLLERIIKNVDKCNEAIMEMNHCIKEFLDSSSDV HIAAQLFANYSRNVAYNLNIAKQMPDPV I203_06916 MVKAVGIDLGTTYSCVAVWQNDRVEIIANDQGNRTTPSYVAFND SERLIGDAAKNQVAMNPYNTVFDAKRLIGRKFADAEVQADMKHWPFKVIDKAGKPVIQ VEYRGEEKTFSPEEVSSMVLIKMKETAEAYLGGTVSKAVVTVPAYFNDSQRQATKDAG TIAGLDVLRIINEPTAAAIAYGLDKKSEGEKNVLIFDLGGGTFDVSLLTIEEGIFEVK ATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDLSSNARALRRLRTACERAKRTLSSAA QTSIEIDSLFDGIDFYTSITRARFEELCQDLFRSTMDPVEKVLRDSKIDKSSVHEIVL VGGSTRIPKIQKLVSDMFSGREPNRSINPDEAVAYGAAVQAAILTGDTSEATQDLLLL DVAPLSMGIETAGGIMTPLIKRNTTVPTKKSEVFSTYSDNQPGVLIQVYEGERAKTKD CNLLGKFELAGIPPAPRGVPQIEVSFDVDANGILNVNASDKTTGKSSKITITNDKGRL SKEEIERMLAEAEKYKQEDEEVAEKVKSKNALESYAFSLKQTLSEQESKFEAADKEAL QAKVDEVIAALDTMESASKEEIEQHQKDLEAVANPIMQRFYGSQGGAPGGAAPGGFPG AGGPGAGASHEDGPSVEEVD I203_06917 MTTYYVAWEDEDDPLTELNEIEGVDLNRIDMIRNQWSRSMPYPI TDTRWRPPKKHVPEPTDPEERRLFLLSRSCMWCSAKFKGRSHVFCSGECHAHFEWQHH RTAPKCSNCPAYLNHPAKRTVCETCSSKFARERKKKRKAEKEESERDAKRKGGILAAY DDMQSAKNDAGKK I203_06918 MPRLQILHHKSYHPYLEKNKQRVREDEARAAAEELAKEQKDIDT EAGNRLLQLRRRAGSPSFVEDDNLPSTSTSQDAGKSLLEKHREKKAREEKRERKKRDR LDFDFPSETARRNKGKERERKRHDEDEGRGMDKWETGGHLNLFADLEKDPHLNKPQPT LAEIAKTKKDQESDPFTLYLGRPDKETKPWYTDKDLKRVEDKEVGDEADERRERDRRK DARSKNRNDPLTHISTLLSSSSSSKSHSKHHHHHHQHTNHNKPSNPIEARKSRESSER ERALALIAKSKAPPRVQGGWDDTPSSAGGGRTWAEEWEREQAKAGTRFFERPDRSNGR SWEV I203_06919 MCSFDWMYDHIRGDHSAEAMNRKSTRHADRPTTIGESGLGKTTL INTLFATEICAPRNYRQRFSKQLDKTTEIEILKADLEERGFNIKLTVIDTPGFGDYVN NRDSWTPIVDFIDDQHESYMRQEQQPHRKDKQDLRIHACLYFVKPTGTTLKPLDVEIM KRLGTRVNLIPVIAKADTMVPEDLLNFKTIIREVVAAQGIKIYTPPVDTEDDSVAEHA RIMQSVMPFSIIGSTQDVTTPDGRVVKGREYLWGVAEVENEDHCDFKKLRSLLIRTYM LDLITSTEENHYEAYRLAQMETRKFGEPKVRKLDNPKYREEEEMLRKRFTEQVKLEES RFRQWEQHLIAERDRLNKDLEQAHTAIKALEAELDQVAAYHRQGGTVGRR I203_06920 MRISRTLLISSLLGAPIVRCVTPDSTGRQGHGLIGYGINMYDPI CCSACKDITPTYLYCDPDQVMDEMGGMSMVVLNSRQMDDDMDMSTSNDTWTTDGKVAT DMATSECIATNTPFLQTAAYCMKTHCDTSVTIAEIEQWWWMNIVGTMPDQPDPSMTYQ ETLSSLSTDPNATLAEDEPLNKVVLVDEDSYIAMSYSLINFQLAETRHAKYSIIILST CFILPVFLSFLRFIPLPTLLINYVHSQFIHAPLYGGTITLPLFGQLSLPTRGQALFIG WLILINILCCSIGFRSVSPNAWYDTRSLEIATYISNRSGVISFANIPLLILYAGRNNI LLFLTNWSYSTFILLHKTVGILATIEACLHSAIYLQIKLAAGLATYHESLQSPYWIIG ILATLSMSLLLPLSIRRFRSACYELFVIVHIGLAILTIVGCWYHIIWRFDKQWGYELW MYIAMAVWGFDRFIRLVRMTKSGIKYAKVYKIDEDYLRVDIPNVEGYSGYVFLYFLNV NWKGSHLPRPWESHPFSIAWYDSLSPSATTPISNSSIDSPELENSKISTESEEGQAVL PSLSSSSANNDKPTKQGHSSRPNPKGITIFVRMEKGITQSLSHHSLPLSSTSVPTPIP ILIEGSYGSYHGHGNFLNEYLDYSAEYPNILCIAGGVGITAILPKLSTVAQSPWRSTD GSIKLYWSVRTRPLVDAVEDLLPKGGNEDRWGDIQAHISVGTRLHLEEIIDTELVSAG KGTLVVVSGPRGMAETVRKLVIAKAREGVLVKFVQESFDW I203_06921 MSLPRTNHDVNSTHPAAIAAAASGRRRRNPITWHLKKPQQGPAT LAIKNHFIAMVGEYVGTVLFMIFALGGTNVALIPTTSVTGSTTSGQDGSAASTVNTSN LLYIALSFGFSLAVNAWIFFRVSGGLFNPAVSLGMVLVGALTPLRGVLLTFSQILGGI TGAAIIQAITPGTLNVRTSLGGGTSIAQGLFIEMFLTSLLMLAILLLAAEKHKATFIA PIGIGLALFVAELLGVYYTGGSLNPARSFGPAVVLRTFSGYHWIYWVGPSLGAIIAAG FYKMLKWLQYETVLGPESDADQIAPKPLVDASPETSMPVRDEEKAAESGGRTLAVTGP GLGDLLTAGPSEAVFDLDRHPGPLEARLDRIEHLLAQLAEARPRRSQSTYVEDQQQQA MTGTGNGHGNGYNNMKSIDESGLGRGQINITGNNTIPAHTTQTYSNEPVQSHNGGAEG HDGQNHNIPFTHGTAGAGQRGHIDFTSRQ I203_06922 MAENVGNTQPAAGTGATGATGQQDFLDKGVDYGLKQTGHGQSAS TTEKISDGIRSGFKKLTGKDVPIQDKQ I203_06923 MSVFTKSAFTMSAMPSPANSAPPSAAPSRRGSFAGLASGQVTPV AEPHIVSINVESVLFDMDGTLIRSSEAVVKAWELFAETYPLDLDDILRSAHGMRTVDV LKKWCKITDPELLNSEVVRFETAILNAAEDLARTNGGGGIEVLPGVAKLLADLGAETE QRNGEEKWAICTSSTYFYAGKAIPIAKLPVPKVFVTADSVTRGKPFPDPYLLGASGCN ASPFESLVVEDAPTGIRAGKAAGSMVLATCTSHHREELEKERPDFLVEDLSHVTAQWD PNTNTFNLIIEQPVDRMSTRPTPDVTPVITPAGSRQNSFSGVGKSGYFGSQLASNPNF KASDELTGNDSVVGSPEASRPGSPSGGPTTEDEEKRVEMEFHRRASQSGNTLSLDAFK RALAGNAAKRRANSRGELDQDE I203_06924 MSAQSTAPSTPDVARDFSDLEISSTVTTPAATRPSSPIPAALPP SPLASGKHKICVIGSGSWGTALAKIAAENAWKRNDEFHSEVRMWVREKIVNGKPLTHI INRTHLNSRYLPDIKLPKNLVATPHLKDVVKDATLIVFVVPHQFLHTVLGELSKPGVL HPQARAISAIKGVEVNGTDIETFASLIEGRVGTPCSALSGANIALEVAMGQFCETTIG CPTHTDSLLWSAVFHAPTFRVNAVEDVNGVSLGGALKNIVALAAGFVDGLGLGGNTKA AILRIGLSEMIEFCMEFFAGSQRETFSNESAGIADLITTCYGGRNRKCAEEFAKTGQP FDVIEKKLLNGQKLQGTATAEEVHNFLRARKRTHAYPLFEKVYQISYEGLPPKALVQG L I203_06925 MVTTLPEESTGVSLPLDVLSNIASLIHPPFVAPPPPSEPTSVPQ IDVIHNVDPTPPLPQSHLQLLSLMTRSSSRALEAARPWLWEDVDVQTGRGWLAIVNAL TEEIVEIEEVMPEAGPSTVTQTASTASEPIPISGPEAHKAPATISSGFSNYISTPPSS VYPNPYGDLTSSPPLSYSPPQPSHIRELLTPPGSRNSSPHPVSSSSTSYFDPSSTYPP PLVRGVSHPGPTALKTTSRLRGRSRSPRRTVNFDTESISSVLTRSRSNSSNIAGGSDF LRRQTSLSKAHHYDDVDEDELDEVQDEITPLRGLAEMKSPVITARGTAEQKENVNPDL LPPPGPYIRHLSFTNFRTIGSRRSQEEAVRGRFVTGGRLEGVIKNAPNLVSLCMTEYV DSALSYPVIEELFFRGYRKPRYHSPSKSLSRVRSLSVGPAQSLAGSDYDQLDPPRPTY APYEDETEDEKWRRRSMFTPLEALDLTGCVSNNYTEAMNRFFDTWLMPDEDSATDDDR GRERSRGRHRGRHGGYTTPEVTEDESDAHAHRPKRKVPKFRALRRLSLRACTRLEPSV ITGLVFACPNLTHLDLSGTRVPSDLLAYLTERCPRSLRLTSLSLARCPRLDPQIIVDF LCRCPAARDLVDLNLFVNPTQGNVIEQNDLMRLITEAPCIKSGRLRYLDLSSARFTPA HLSREVFPKQPSLISLGLSHIPTLSLPPIAEFLLNVAPNVEILTLTGTATTSSLDPSL SPLQLTLELHARLINPLTTVPFSLSNLSISGALQGPNLNPGPTRLRVLELSPNIRRSI TPNGEWQVVRSKGGRGWYVDLSCGWRRLDGDIEGKEGWEFVRHLPRQDPRRKWLNHLS ESNGKVGSNVGWHSRKMEVVKGMGMLGREEGLAGVGAFAFEE I203_06926 MYPYYHGSSWSTQSSSSQYPNNLHSSLWTSQPSQTEFGISSHSY SGEEEQPPPLLPRPRPAYLGMSSSQGSQQSAIRLQTFRSDVTGSSDYNSKSVYNQEGK RSRRYKNGGGYTPPSMMSYQRPVQSHSGNSSISAHSISKAPHSKHRNTCSSNGTLGKD AREIMGLTATAGILGGMTGGIALSGAEGADERDDREGNPSRNLNLNPGYNPGLNTYSP GGGAGDGDSDGGSDCCGDWCGDGDGCCCCCGDCGDCSGCGEGGGCF I203_06927 MVFANLTYEAFFSLLDEYFASRPHLAPSSSSGPTVASLTKLIPP SRSANPPTPSSPNYPSSSPAIQSGGVGSTEEKPDMAQRFISSSIKLGTKGTKTGIGAI SKNKDAMDLLGKVGAAGMVKGANNRLNSPPPVEGGATIEEVTVVEERKGKTPAPLPSK KGGVAGLVSGRSFGHVDTSSRMSAFTSMWKDPHKAQQPTVDQHVPPALTNKRTSMPPP IRRDGSGSSANLRLPHEEEPAQPQAQALYDYAGSDTTDLNVQADQIVNLIEKTSADWW TCEDGNGTRGLVPATYLKEL I203_06928 MFFCAISGSPPTAPVVSKTSGTVYEKALIERYIEENGTDPISGE PLTKEDLIDVKAKPSTLPPRPANQTSIPALLTALQSEYDSIMLESLEIKKAFQNSRQE LANALYREDAATRVIARLMKERDEARQALSSIQSTIGFQPTAAAEAPAEDVEMAPETQ GALPEEVEAKVMETNQALSSGRKKRKPAPGYSKADQVKGFTQTSHVPSLHATKPAGIT ALDVAKDGNLVVTGGADKAVQIFDLEASKVLGTLKGHTKAITHVAFREKDGENQLAIS ASADKTVKIWGEEGGKWSNKGSLGGHKGEINGLALHPSNDYLAVGSSDSTWSLYDINT HEEISKYEAIKGIEGSFAYTSFAGHPDGVLFGGGTKDGNVRVWDVRQRNSLAATLESH PSKALTTLSFSENGYYLATGSSSEPSVKIFDLRKLDILSSWDLPSENAVSEVRFDPSA QFLSVSGTDLRVYANKTWDELLKFDDNAGVLTGARFVKNGGEIVLSGLDRTLRVLGTQ A I203_06929 MDLKTFISDNVVQILGSSDNATVEYVHSLALSSKTPGDLYHALL ATGLSDSPDTQSFATQVHALVPRKTKPKVPKAEKAAPSQRFALLMDEDEGASSSSSSS KKEKKSRKGKDKERDGGAVKLGRSARKRDTEGNWESDEEEKEKEAKRLRLESPSRRSE EPPEIQETEEERQERERLEDLAERDAFAERMKEKDKDRTKKLVMDRSTKLGSGELEAE KRSALADDFEARKAAIDDLRLHSRQEYLTKREQQRLDLLKMEIEDEKILFRNQKMSKR ELAEYERKKELIKIMEARQRIDDGTDGYMMPDDYITEQGKIDQKKRKNALYQRYEDSK PVEGQFVTDVDQWEYSQTDKSSLKTGAQDKEVIVEEFDYVFDETQKIKFIQEGGIKGE GISLEAQNLLDQVDQLEKKAQSIQETRQTLPIYEYRDELLEAIAEHQVLIVVAETGSG KTTQLPQYLYEAGYCKNGMKVGCTQPRRVAAMSVAARVAEEMGCRLGQEVGYSIRFED MTSDKTALKYMTDGMLLREFLTDPELSTYSALVIDEAHERTLSTDILFGLIKDIARFR PELRLLISSATLNAQKFADFFDQAPIFDVPGRRYPVDMFYTKQPEANYMHAAVTTILQ IHTIQPKGDILLFLTGQDEIEAAEENLKETMYALGDKVAELIIAPIYANLPSEMQSKI FEPTPEKARKVVLATNIAETSITIDGVVYVIDPGFVKQNNYNPKTGMSSLVVEPISRA SANQRAGRAGRVGAGKAFRLYTKWAFKNELLEDTIPEIQRTNLATVVLMLKSLGINDV LNFDFLDKPPAETIIRSFELLYALGALNHKGELTRMGRRMAEFPVDPMLSKAIINSEN YKCTHEVLTIISMLQESGSLLYRPKDKKVHADKAHKNFIKPGGDHFTLLNIFEQWSES NYSQQWCYENFIQFKSLGRVRDIRDQLAQLCDRVEVVIESTPNEIVPVQKAITAGYFY NTARIDKGGGYRTTKNNHSVYVHPSSCLIGMQPPPRFILYYELVLTSKEYMRQCMPIE GSWLAELAPHYFNKNEIDQMLGSASKVKMPKAIEQPKVGPVNP I203_06930 MQALKNVAIRLLNIYVGPYVENLDTNALNGSLWSGQVNLKNLHL KKSLLERFGVPVEIVAGDIGSLSLSIPWNALKSQPVKVVIDDVYVLARARPQGKVDPE EDERVEQATKQDKLKSAEAVDNAASQVAHQEGNDETKQTYIGAIVSKIVDNVQIQIKG IHIRYEDGSSTPEHPFAAGITLNEFKAVSTDENWVETFIQNSLNGVHKLVKLGALAVY FDTDTGSLDKGSDDRQGTIDALKAMLDGSPNHQYILKPVTGEARAIINKTMSNEMPKV DAQVIFDEIGVVIDRDQYRDALSVVDVFHFYRRTHQYHKFRPPEEEFNENPPKARLKF ALNAIRSEVHEKNRRWSWGYLSERRDTRKRYVDLYVDKLALTEGKQLSAEESAALAEI ETKSSYEDIRFFRSVARAKAKKDAATRKKLEAEKAKNQPHKQTWSEWVWGSSAKTADA DGGMSEEEKKEIDDIIDYDATAAAESVGTTPRDFMKARLSAKLNKGSFSLRTEPHGEN NDIIALVFDSFSADAVQLTDSMKGKIALGGFRVYDGTTPDSLYPQIVRVKDIEESDKD KARQQSLEVEGGIDGAVADIDAGLPDDADPFFTMEVEQNPLDGRADNAVTIKMRHLEI IYHKGYVEAVVQFFKPPESQLESIGALLDAAGQTLDGIRKETRAGLEYALEQHKTVDI QLDMNAPIIIIPMDVKVKQCQTLVLDAGHISVGSKLADKDKLKEVQSKRGRQYSDDDY KQLEDLMYDRFDLHLQSAQLLMGGDIDACMNALEDHSEGADELHVLERINMSFSVQNA IVNAPNLTRFKIAGHLPELHVNFSDRKYKTLMKFIDVAIPKFGDDDTPQDPAPALTHT ESRTVFRSAAIEEYNFDDSRSIVSHHTVTDKDDSSSVGGKGDQFYEARDDQTEKSALR QISFEFSFSVGRLQASLFKSYSPSSEKAFADAVLEDFGLTFALRKYDMSVDLFLRSVS LAMIEQSSNKRPILSSANDGGNSPSDLKLLQVRYLKVQKESPEFMTKHEGVDTSIDTE LSTFKITLAPEPILSLYDFIMTTFVPKDEEAPAQATDPESGQVMDTAEQPQSTDKLRI RVKLTSAQVSLENNGNRFALLGLPSADVALLLRAGTLRIGAKLGNITLEDLSDDTVAN PEFKRLLSIEGEELADFSYETFDPNDKETFPGYNSSVHLRTGSLKFTFMEGPVRDLYT WATKFARMKAVYDAAQQAAVQRASEVIRMHFDVVIKTPIIVLPRDGLTSTDVLILRLG EVVAKNEYLNDPNDTSTIDASLRGISVASEITVDGKKGHLQMVDDVAITAAIKQAGGS SHRSDPHHADMEITTEMSDVKMSLAQRQYVLLMGILESLPRALSDIDEDEIDPESFPV TPATESRIDTPNVETPEKEPNVNLEPELAVIKSDNNGPAQLWTALDFVFSVGSIALEV YTNNAIVPEDLKNCSIARFALVKPHLGFKKLSDGAMEAEFSLKTLSFLSTRSGNSMFR DIIPPATHEGNQIMFQYTMAGGTDQSALAIVTIDSPRFILAVDPLAALLEFAVSPFKK PADAEAQQAQEEQPEEVEEAPKQGGGLSFRVEIIDATVIVIADDTNPKTQAIQLSIKE VLLSQQSILALKVERIGMSFGRMDRPNDRVKFLDDLNVALSLDTRRRDAQQMTSFEME IPDPIIFRASYTDMMLIVDIVNKASAIATKALAPEGSQEQPSPQNRRDSLTADASTET TSMAVVTTKHSRRASVGRRSSISRRRSSMEKAHVLVSKEQLKARINGFQFVLVGDLQE MPMVHLSTNEFQVMINDWSGDMKMATSITTSIRYFNLTNSYFEPLMDPWKFDLRVNRL SAGPGHNPLNVRLTARERLELNLSSAFIELAITTMTVWSREKDKAKEGRGTDAPFRIR NRTGLTVLLWPEQADLSKQVTGVKRLDDGADVPWRFEDRKHTRDNVSAVRHNSLGIQL QDTPWEALRGISVDREGDHILTLRPRMDKVSHQITCEIKLENNIKVITFRSTLNVDNQ TSLPIELIVVDSHGKASSGAMRIDPGESCPLPLEAAFEKRFRLRPLRFGFDYSWSMPL HWKQLVTKPIRPISCKHQTPKEPAFYFQAQANFNAKDPAAKIYPRMTLTLQAPVELEN LLPYDLKFRIHDKNTGLSSSNFLVKGGSSPIHTVELGHLLLLSVAPEDTNLKQSDYAI INTDDVELPIEDHFQLADEQGLKLMLKLHYFTYPNSGGAFKVQVYSPFIFMNKTGLPF DLAAKTWTGGQRPIAGRDLFAMFGFPSEDRRNRLHLRVDDSKWSQPISFEPVSADMQI VMTSASGESDNYVGLSYTEGIGKYKLTKVITIAPRFLIKNTFSYPLKIRQHSTQNVID IAPGERVPIHELQHQAPSQLSMAFDEPNLRWSAPFNMADIGRTHITLQRLTNRGQKTY LLRVDTHLEGSSIFIYVSRDTDQWPLRLRNDTGLSLKFQQLTITYPQDEDGTRDTRPL RYLPAKSEQDYAWDWPTATKKRIRLLVDAGPNNEPIPLADTIDMMAIGIQPPMKIPRT AGLQRSMALSIDVQADGGSQLLTLSPYIEETSVYKPTRRATGGIRRTDSSDTLSSAAG SYETVSVSEKASLNISVEFEGIGISVITKRPDELLYISVRGLKLGYSDYPQYYDAFVD CKWIQIDNQLFGGLFPIILYPTVVPKDGKELESHPTLQASVAVLKDQSHGVVFVKYAT ILLQSMTVELDEDFMFALLDFVKFKDAAWKEDTHDVLIEHPKDIPEPDISSTQADVFF EALQLQPVSLELSFMRTDRVNVDEKVSTRNPFYYAINALTMALGNVNAAPLNFRALFL ENVRLSVPSLQERVQLHYQEQFMSQIYRVLGSADFLGNPVGLFNNISSGFSDIFYEPY QGIVMHGNKDIGLGIARGATSFAKKTVFGLSDSMTKFTGSIGKGLSAATLDSEYQTKR RMTRRRNKPKHALYGVAAGASAFADSVTSAFEGVASKPIEGAEKEGAIGFTKGIGKGF VGLFTKPVVGVMDFVSSSTEGIRNTTTVFDQTDLDRVRLPRFISADGVLRPFSAREAL GQSWLKDLDAGAFFHDSYVAHIDLPGDDAVSILSNNRILQVQLRRLKVIWQVPFEELA SLSLEANGINLLNRDGRGGPFLPIAEQTAREWYFKQIGRVVVAYNKAHSQRDD I203_06931 MVPLTSLSFFILLASPLINGQYDVDSSGYELQTPPLTTDWTQKV GTNPWTEYPRPQQVRDKWQSLNGIWRYQKANSSEDIDNVPQNVDDKWGRAVMIPSCIE SGLSGLQVDPKNNEFSWFQTKFDVPSDWKDQSLLINFGAVDYEATVFINGKNATTHKG GYTRFDAEISSLVQYGQENEITVFVHDPTDSEEHIIPVGKQTNSPSHIFYTPCTGIWQ SVFIEPVPETYIRRIDLSGDMDGVGTINVHTSDSSEQSVKLSISDSEGTAYETNGNTE SSFNFTLPNVKLWSPDSPTLYNVTVSMGDDTLTTYMGFRSIGKGEVDGVIRPLLNGEF IFAFGTLDQGYWPDGLYTPPSYEALRFDLNYLKELGFNMVRKHIKVETDLFYKACDDL GLLVIQDMPSTTARKEFPPDQDQQAQYVNELRELVNLHKSFPSIFTWIIYNEGWGQPE DGPEIKHAPMVKDLDPTRLVDAASGWHDHGAGDYSDNHHYPDPQCGMPNANDPSGPYD PARIGLQGEFGGLGYNVSIDHLWNVPKAIAAINETYEIDETLEKWNSRSRDLLIMLQS QITNYACSGAVWTQTVDVEGEINGLMTYDRRMERTDREIWKETISDIYSAASGRGAGS GSGGNATKTSSNDSGSSTNTTEAQSNVATSGLSTNESRQNSSSRSVRDQITFNMIPLV GLGGILVNL I203_06932 MVGPPVRERYNAKARGSVAGGSSHKKRKRAKKNDDDGDAPNDMA EGSSSTVVEDPTAGMSSKKRKRFESFMAKKVKTEQRLETLKLLASLAPSGSTSASLLS SSTLGQNPLNPTSAQERHDKKEDKLVRQGISKLAKKFGENQDDENDSSADDDDNQTHI ENKGKGKQKERMIEIIRDPEMNDGNEEDQVKSTVIPSKSDLKAQAKAQAKGKGKGKMP KKANWNPNLLPTQPASSSSSEFDSSDSANDTSEDEADDNQKQQDSQPEAGPSSPTKLG TPKPPPAPVSFGGALKKATDGVAVQPRVEMRKKKPVIDYRYSRGLREEEGDLNEDEDE DSDIETSGEEDEEDDSTSVEDEDPDSEEGSEAEEDDDDDDEEDENEDEDEDEDGDINT EAKAPPKKRALGFKDWALKQMGQATPTTAPNLLEEDTASFAIAKASQPVSKPGELVGP LGEKMNIPTTSLLDQSKGSETATVRPAIKRRDSVTESRMNLPILAEEQSIIESILMNP VVIICGETGSGKTTQVPQMLYEAGFGFKGSDNPGMIAVTQPRRVAAVSLAERVRSELN LPPKSSVVAHQIRYSSTTSSTTSIKFMTDGVLLRELASDFLLSRYSVVVVDEAHERGV NTDVLVGVLSRVAKLREKLWREKKDTDQEIKPLRIVIMSATLRVSDFAENSVLFSKPP PILHITARQHPVTLHFSRRTVSDYVTEAYKKICKIHNRLPQGGILVFMTGQSEIQGLC RKLEKKYHQNKNKSKIQHQEKPEVTLPVEERETEEVELGGDNDLAADVDDGNAESDPE GLDTDEEDIEGIEGLEIDEATDAPMHVLPLYSLLSNEQQMQVFKPPPEGHRLVIISTN VAETSLTIPGIRYVVDSGRAKERHYDPSTGVQSFQVSWISKASSSQRAGRAGRTGPGH CYRLYSSALYEDHFEQFSKPEILRMPIEGVVLQMKSMNIDQVINFPFPTPPDRSALKK AEDLLTNLGALARSTQTRMIAGQTRLGVEGGKITDLGKKMSSYPVTPRFAKMLIIGEQ HGCLPYIIAIVAGLSVGDPFVHENAIEIDEEEDQGNPELSHIRDEEVKEKEERKETRK RFFKAQQQFTALGGGASDMFKLLSAVGAYEYDPSSIFCTKNFLRLKAMQEIHQLRNQI SAIAKVPLGKLLPPSDTQLKVIRQILTAGFIDQVAVREDLVLKKGGSYESTRGVKYRV NGVHSTENVFIHPSSSLFHRSPPDYLIFTELIRSPGGRTWLKGITKINPKWLSNLGKN LCTFSKPQELPGNRTKIIKNKDGTEEREVYVTPHFRDLGVDLPVMKMRQRKEGTRWVL IE I203_06933 MPIKVANNFDSKGRPFHLAKSTRERLENAGLDISKGYPYYPDKP KDLDAALRKQAWEFRDPGVRADREKKALLSAAKEVNDLSPHLGTEIIGLQLSELTDQQ KDELGLLIAERTVVFFRDQNITPQEQLELGKWYGVPEVHPTAARVHKDLPGVTIISDE IAKTNGLEPDYNSPFGTQNWHTDLTHEPQPPGVTHLHLDHLPGVGGDTLWASGYAAFD KLSPAFQKLIEPLEGLYRSVHSYTDLVTGKQSPIINAHPIVRVNPATGWKALFVNSRF TVGIKGLEYSEAQAILQKLFQVYEQNTDIQIRFKWTPRTSALWDNRISIHSAVYDYLD EVTDEPRQGTRVSSLAERPISAAEAPNAVSRREALGLPTGKVYEEYRDTYYS I203_06934 MSAPEIDIITKEVLPESELKDGQMKSVEFGEGKVLISKIKGQIY ATSAFCTHYGAPLEKGVISHDGRVVCPWHGACFNVCSGDIEDAPGLDSLWKFSATVNN GQIVVSANHQEVKSKVGRIVPKARTKGTGRKENETVVIVGGGSGGIHTVESLRMNDFQ GDIVLISEETYAPIDRTKMSKGLVDNAEKLAWRKPEELKSDFGVDFHPGTSVTKVDFS SQSVTTSSGQTHKYDHLVLAPGAKPKKIPIDGADLEGVVTLRYVQDTKKITSAITKDS DIVLIGTSFISMEAAGAILKKEPKSVTLVGMDETPFEKILGKDIGNAVMENMKKQGIK FYMKAEIEKLAPSESNPSHVGSIHVKGQDPIPANFVIMGTGVAPATSFLEGVVSLEKD GGVKVDEYLRIEGQKHVYAIGDIAHYVQYPDKFPRRVEHWNVAGNHGREVAHNITHPD DQVAYTKVPIFWSSIGKGLRYLGTGAGFDDSYTDGNIDELKFATYQAKNGKITAVATM QRDPIVAKASELMRLDIMPSLDEIRNGKNILEIELIDKGGNKV I203_06935 MWHLPPSSYPHSSTLTSDKGNKHSFKHCLQSSSSELRYTANTQL EQLHARYTGTGHADTTKYEWLTHQHRDTLAAIIGHPPLLGYVSIADGECQARKRFELI EKMVQPCGKPPGKTDD I203_06936 MYYATAGQQATQQPPSSRGAISGYPRGGPPGFSSRSTDPNDFPA LGSHPSTYASQAQPSAAGSNTIPQQQQQQIYLQQQQQQIGGPPPPPPGIAGPNPNSSA NQGQAQTNGPAQDDFPALNSGGGDPKDARLSNYLRNQPNPAQGTSSPSLPNGITSSQI PPSSTSASQSTNATPSTNPPQVLQNLDNNAAWQQQQQQQQQSNEPLTRPIQQILSSPV DKWGLKALLYEIQMHMNKTDRGMLVFGEELEELGMDISGEEALYPSFVTPWADANSLT QPPRIEESYHIPQCYNVHAPPVSTKLPNFTEDTLFLAFYMSPGDVLQLELAEELYARG WRYHTDLQTWITSPTLPSIDLVSLQQSSNEGSPQWIRGPFMYLDTRTWTKQRTNEEFT IDANLLEITRPASIIVSEEKSQTHTPSSSGGLVNPTSSNQSQSSQSQGQNQNQAYTR I203_06937 MISKSIFAHPILSQPFISLGFTSLSLLLLVLILLSVPGPIKSLY WFSIKTEDGESLSAGVLGWCMSDTSNCTYAPLSDNTYLSSMINAGEALLVRTMLPLSC YWMIVTFLIWIGLTVLIPIEGYKIKNLDSIIRHLRFSILEAFVLCMSLFGNILAWLAF GLSRNAFESIKRKGGKPKSGNAMETTAVAAFISLLSLFFAIWGLHLRLKSAQKQWKEE AVMVRRRSMALCVSGVVNPDDADVLGERDETRLEKRLSTISGDSFRLGGGALENGRNS IYKASYQPQPPAQSQSRSYSSNGHGHGDQEERLSEGDDHEAQSQNRAQMVRRFSLHDS PYTAAAGAGHPN I203_06938 MDRFLFDHTPSTGLEPWISQAFIFHIGRRLYVPPPFNSDLIRAS IAPRSRFGLSYAFPMAYSENFIYSVREEASFGVAPIIPQSDAFDYDLCVSTSVVVMPR ARRLLSSMCGVSVIPWSHE I203_06939 MIPPNNNGQGQYTSYDPRQQQIYQFSPGSVSTSSSFQLNPPPHP QFLPGPSASPEDSYSFAQLPVGGIPNAGMAFTQQPLGQSQASSSSSSLQINIPPPIPI QPHSRASISSNLLDGDNEPGNSYVSDADAEIEGEFELDPEHSRLPDEIGFPPVPSRRE TYGSLPGSTDSMVDGSLDAGEVKEPSNKRKPRITLPRGRACVACRRVQRELKMNRKFS DPDYQLVHLPLRKCTGDAPCKTCQKAGIECRYEELPRKKPRAMILEERVAELEACLNL RPNDPVPPRFQSYQPTSVPQPVRYAPPPPSSHSVSFESRPQSATSVASPPSSLGNNAA NFSLVSHIDITHPMPSLNPSRTDIPPNSALELALVQSVLPFAPFIGLPLHSARFIALL TLPPTDPRRPHPALLYILFAQAVRILELDRPAPRIPSLPPGMFPQSLAPSVSQPNMDR NLILSQVAGTSLILLERARQELDRGIRNVDRTFDLTRAAIGIAWMLYSMGHFIEGWNI PVSRLLISCGLHRITGTYIPPEGGSGMDPDLIPKPYAPSHQYAHSHSVRHPSNPFTNN ANFPVVRMRPIIIPPARDEIELAERVATFWAAKMQDWEAGIGWGWTVSLADEICTTEW SWGSGSVEPSSSVSEKFSIRDLYDPSSAVHSTPTLDTTYVLAIKSLALLHRASALYDL PESSYAITLPDGRVGTSTTAPLTSIQSVQVALTNLRQRVPDSFQDYTQYTSPPSEVLY EGLCDPWWIMFHSNLYTAEMLCWRELAEHHPNVYENAVRSARALTNLVRRVPNDSWAN LDLVVALNLSLTSRFLFKESSRLLGLPQTNTETNRMAAVIMADAEVLRQALSGTYNRY SPIAGMHSLIVQRVREGWPEKEGEYERI I203_06940 MPMPPPSAPTQQSQQPQSQSQSPPYRAPFDIFASIIISGLLSSL ILGIVWVTAFGYLRRYPKDSWHAKLFVAAQGVLVTIESIVVCVMVVETCAVHNGDDTY ALTIQLGSLAWVRSIIGSIVAFTTQLFMINRFMRLFRSLSFHKRSPYRTKVLYWSGLT ILTSLAVLCFLAGLANPIYLAILKRSGVPLDSPQSSFSRSFPIIYDIQFISLLVLDVI LTVLFSLKLQKSRTGFAASNRIIDVLLITLVRNGFLVTALQLTAVVISQFPERASWSL ITNNTISKVYVLTVLAILTKPRDTHLDSPFSSRPTGDYASGPSSGSGIGGTGGGSCQT CRKRLHLRSPTLHSIGGPKQEPLNLTEFLSEGSIDKSVQLDDWQEGKERHSLARVGED QSQVYSADLEYEPAIGNERGQGDIVIQVDRQKETFVSPSDNSQQATRRPRHNVMGGDL TLENNQTV I203_06941 MSDPSAPQMTHLQDETEIDYIQQPSPLSPPTSSPPSSLIEESPF TVQGEEGLLNYQDAALEELLSSATSSLVVHNDPSSHGISTSHNPSIPVAPDASHTETI AVESNLPEPVEAIVTEETEIVIPMAEEGPIAADESQSVKEETPLFFIDINPEAEDETR IPTYDIIESCPLGEQTTHVQAEDSEEEKILFVPRTYKKPEPVFIDIGASSQLQHRSSA PEIASRAFVNPQALSRAEKKAAKREKRRGRGKKSRQRKQDKMPRDDSDIDWGSDGLPV KIIDVQGGESDSFVDPEDAEKEEDEDIKLLRDYMKGTMLNAQTDRDVQEGEVEMKLQE EESNVQDDEDAEEMDIEAMKMFGQGIKGLTEGGQEIVDEEDWQSDDSREAFEEEEEDD DDNDDDDDEDDSSVLGAIDIEGMMDDDSEDEDVEALFNGSNQWDKDTDWFINAMEDAL DGTDVNMKDRKSRNSLFKSIENGDFGDTWGLTPAKKSKKNKFVPPELQAQWEKDRLVK AEKKQQRELERLIAEIEPALAGYSRKGKAKAKGKGKAHQAAGGRIPTSMPLEMVDERI QIFLDDRGKTTLQLPPMGKDDRKKVHMLADCYNLGSKSRGSGKTRFTVLMKNKRSGTL VDEIKIERLLSASQKVGDSFYKALYTRGGKAKVKGQSSVPSVRHKEGDMVGHGADKIG EDNIGHRLLSKMGWAEGDRIGRGAGLEAPIVAIVKNTKTGLGA I203_06942 MVQRQSFNDFLNSGSPATPRGRGRGGFRGGGGRGGRGGGFTPGS SKKSFNADYSNMGFDYEKINSQKYTKMEGFNVQPFGPSSSASPGPSTPRGRGSQTPRG RGRGFSSHHRGGPQQPATPSGVATPVHGLGFHDHEPDTRAKGDHRGLGSGRSTVGKGL GTGKVTWGGGKAPLFVKAGELFKDGEADVITMGEGKS I203_06943 MSEATAPPPTAGASTAGSTLMNPTATQAQAQTGQQDALDKGVDY VLGKAGHKQNVSTTEKISDGIRSGFKKFTGKDVPIQDKQ I203_06944 MRFNALACLIAPTFLTTLSVNALTSFEYLQVTKNFADAFMPSST SHLVADLNAKSALFAEDVKGSIDIVGNVDGRDYSTDFLFGLFLGAAQNPTVPSPVGVP ISYNVTAAAVEHNTVSAGIKFELQYPILNTTYPIELEAFLTINDQREITQYDLIFRRW AWATDTIIPQLTPYMAKLLGMSDDNSTQTLHQFMAFATCTQTMTYCTDGDAQYESLTE CLANATSLPTGELYRVGENNLACRAVHSSMLQLNPETYCPAMSLSGGSFCIDRDYTET VRGDHFTQGFLAPKYVTPENKKLVQDVWASSETSELSALLELSMSGQDTHSWDATWYA TMTFVYFVFYYFFAKVTDFMFARFNNAYKDLPRELQKNVTMYFMTIIFTAVALALQLV GSPGFKGEWHLWEFKCVRLAGVLTVALYLFELIFRFNMRLPLVAHHILTIFAISLGVT TNEYTQNPTFMLSGIIWLFQATTEQPTFIGLMGYRLKWKKETVALILKISSIQTFIFK AASASALLVYWGLHQNFSYDSIDRVWSVFVWIIAIGLFLTQIWGSYVTYIIGVNILKK RPGPPIRLESNDSSSSNTSTDLDLEKGQFSSAVEEEERRTGGTVTPVEVPTLGYDGKL EIGTSFTMSKIMSSSASTVVDQ I203_06945 MSDVQLDSALFFKRAERIFQAWENPSGDTAELEGLTALQVVLGE PNDDTPAYNKTMSLQLYLLGFEFPSTLMLFTKSPRKVTFVCSSSKAKLLKQLQSSNGI EVDIQVRSKDEGAAKQVVKDLVLSLGDGKVGSLPKDKPAGKLVDDWNAAVATSKGGLE VVDISISVSAILNEKDGEELKNLITGSKMTATTMQHYFKSKMESIIDRGTKVPHEVFA GLVEEKIGNDEKGPDMKLWNKNSSLGDVDFSSTEWVYSPIIQSGGKYDLRVTAMSDNS PLKPGVILASLGIRYKSYCTSMSRTFFISPNKKQESYYSALLEARSEALKKLKAGAVV QDVYNEVQQFVESKSPTLGQNLSKSIGFATGIEYRDSSFVLNAKNSRTLKENMVLILS LGVQELPDPKKPGKTYSLLLADTVKVGQSGAVVLTEGVTKLNDVVMDLEDEEEEESEP EVKAKPSRKTNGDAKPKSPVKTRTGAGGARAAPAKTRGANRDNFEQTTSEKIKANQAR LHAQRNADGVKKWEKGGKGKDGSQDKVVKRYESYRREEQLPRAVEDRRVYVDEQRQSV VLPINGFAVPFHISTIKNVTKNEEAEHIVLRINFQSPGQIAGKKEDMPFEDPDANFIR SVSFRSQDRRHMLKVFDTITALKKTATKREAERKELADVIEQEKLVEVKGRHPYVLKN VFPRPAPEGKKTDGNVEIHQNGIRFRPDGPASKIDLLFSNIKHLFFQPSEKELIVIIH VHLKAPIMLGKKKTYDVQFYREVTDMSFDETGGKKRRARYGDEDEIEQEQEDRKRRAE LDKQFHDFARRIESAAQAQQYELEVDVPFRELGFSGVPYRSNVLLLPTTNCLIHISEF PFTVITLSDVEIVHLERVQFGLKNFDMVFVLNDLKKAPIHINSIPVVHLDNVKEWLDS CDVPISEGPVNLSWPAIMKTVNDDPLAFYNEGGWEFLTGGGSDAESSESEEGSEFEED SDAFDDESSSDDESGSDFGDDSDDSGSDEDLSDEGEDWDELERKAERADKKHREKGGD ESDDDRGKKKKGGRR I203_06946 MPIDLADINHVLTASPSLCPFDESDTEPELELYNDNSYRAHPLS SSPIGIQRCSSPVISSQFLQPTPQISGHKRPYDEMSRANTHSPILATEGSTAFQAHTL ALLSLISQHPSPAFPFPTNGQDPAGHLTLSTFPGKKTPAEEAIERAIIALGERVWATE RTQGVVHQAPKLPPGQHQASDLLTPEWTPPIASVMAASAQGVSPICPTCTRPLSENTT PTNTYPSVYASHPLSVSLSTPDAQMRQLPSSQFGYNPPHSILTTPSGQSVLTGGPGSA SWSVGDSGMSAEKELELLKAQVQDIARVCKAVATGDLTQKIIVPVEGQAMTELKNIIN AMVDRLQTFAVEVERVSLEVGTQGKLGGQAVVEGVEGTWRELTAVVNKLAANLTNQVR SIAKVTKAVAKGDLSETIDVEASGEIAELKTTVNGMVMSLRTLADEVSRVSLEVGSQG KLGGQANVPDVEGVWKDLTVNVNRMCESLTTQVRSIGSVTTAVARGDLSKMIEIEAEG EMAVLKNTVNSMVRQLTIFANEVTRVALEVGTHGTLGGQAVVPGVEGVWDDLTTNVNK MARNLTDQVREIAEVTKSVARGDLTKTVNADVQGEILELKITVNDMVAQLTVFAAEVT RVSLEVGTEGKLGGQADVPNVEGTWKVLTDNVNLMALNLTTQVRSVAEVTTAVAAGDL SKKIAVDAFGEILELKNTVNNMVESLRSFSSEVTRVAREVGTDGRLGGQARVPGVAGT WKDLTDCVNIMAANLTEQVRTIAHATTAVARGDLTQKVVGVKVSGEILDLVNTINNMI DQLAIFAAEVTRVAREVGTEGKLGVQAEVENIEGTWQEITSNVNTMASNLTSQVRAFA QISAAATDGDFTRFITVEASGEMDSLKTKINQMVYNLRESIEKNTKARQEAEMANRSK SEFLANMSHEIRTPMNGIIGMTVLTLESELTRQQRENLMIVSSLAGSLLTIIDDILDI SKIEAGRMTMEQIPFSLRLAVFSVLKTLCVKASQNKLDLIFDIDPTIPDQLIGDPLRL RQVITNLIGNAVKFTTKGQVALSCRVKGYINNSVGLEFCVADTGIGIKQDKLDVIFDT FAQADGSTTRKYGGTGLGLTISKRLVNLMSGDLWVESEYGAGSRFYFTTVAEMTSTPR DQIIERLAPWSGRNILFIDTLGDETGIATMLAELGMDPIVIHAVSEVYNLPQQGLTMF DTMIVDSLKAAGELRGIEYLRYIPIVLLAPSNRPPGPENPSFIDLPEPRRRLLALPSA GDQSLSPIPVKDCLEMGINTYYTTPLHLQELSNAIVPALESHQMQPGDSVKDTVLSIL LAEDNLVNQKLAVKLLEVAGHKIEVADNGEIAIEKYKRRQLARTPFDVILMDVSMPVM GGMEATGLIREFEANEGVPRTPIIALTAHAMIGDKERCLEAGMDHYVPKPLRRGDLIA AIARVLTNNPPPLSTGGVPLQESNQTYDVGLAAGPSGTYK I203_06947 MASYHPTRREIVLVLILTTIVVLIIQFDLSSTFSNSVSRGPGSG FTIGFDSSRNSRISNPSGGSEEDWIDELHSKTSKIAGMSEAKIKWDEEGAGSMTQVLA HAPGWTVFDQIYLFNGTWYIVTDNPSSIPLLRLMVSTGNEIWNDEESIKGREPTEKDM RIIFPSEAKRLWGNSASLVSGVSFLVNDPSQFLDHYYHFAAELLVGLWRTYSSLDPTI NAQGITHLPSPSRMVMPHVAAGKWNDYAKMNSFLSRAIFPSMSYEYQNDFLDRADTTR SFIFERVVFADRAAAFRGPEFGKTWRTASEAVTLQASKYWWSPIRKNLIEFVGEMLEK LRKEKQAKMGKPVITYVSRQEWGRRMLKKESHESLVKELKELEKKYNWEVNIVSMDKL SRDEQIRLSARTTVMMGVHGNGLTHLLWMNNQNPRSTVIEFFFPGGFAEDYEFTCRAL GIRHYGMWDDQAFTAPDTPQVAYPEGFQGNEIPLNGKVVADLIVQRLLVEKPQKSQRE MTESEYAE I203_06948 MNPDHYIYNTISAQSNITYDSGSSNTSTEYAQGRQGPGDGATTL LDGINEEYEYDSDTWSVDHSEGGEEDDSILKSMKIPEDTRIKPGLNYDIDSKNRIYHI PPTMIVVEIGWTTTTNRKTRVRRPFVDISGYTNTFNSDLRSETGLNLGKFMSSTHWSQ KIDDLYRSVDDTNNLSIFQEIANKEYKRERHTLENMESAVIEMLYSQETEMDKRGNDQ IHIRVLTTEEATDLRDNRGYSRWKRRNKTIIS I203_06949 MFIQALLVPLLATLAIASPVTPRTEEDEYIRSVSNPNLYVTVQY GYAAPGTLVAMTGGPSKQFQFFSVADPQQGQIKLISDETMCLDADTSNGNGGKVTIET CEDGKDSQVFTVTAAPGNPAYSRYAIGLAQAQCLDVVKDSVPIERKPYGSQKDLQTWE CHAGDHPDAQQQYFDLVSE I203_06950 MGRSQRKRTSRKSSAPTSDIQMGLDDIPSTFITISIDRTKLDPE QSNAISVRGQTRPDDNYDLHHLKTKGPEVFMMNSTWSKKIDSLHSTLTSKLYETRDQR QEKFRSLRSAERTERWKNLVGRIATLDKVNDYDYPIRIIDSTQREELGDMGYYEFSAF LSTKYNRSATASTYTSGGSAGDGSRDERQSKYKTEYREPRAEMQKEEQRGRPRNRAPT I203_06951 MSTDLKQLEQLLAGKSYIDGFQPTSADVEVFKGLGSAPEATFPH TLRWYNHIKSYESEFESLPKGTNPLSASSSSAAPAADAEEDDEDVDLFGSDDEEDDAE AERIKAERVAKYNEAKAAKTAEKLAAGKTLEVAKSVVTLQVKPWDDETDMEALEKEVR AIEKDGLVWGASKLVPVGYGIRMLQITLVIEDAKISLDELQEEIAEIEDHVQSSDVAA MQKL I203_06952 MSSLLTPFLWSFLPGQITHQLLPYLSTSLPGIFPPASRGSPTYL RNYRIAFTGVICTYLAYTFYTGEASQNIREDYYALLGVNPDVDEDGLKRAYRTLSRLY HPDRAGSGNDDVFILIRRAYETLSDPVKRYAYER I203_06953 MTRVPRYKEHKDETEDVKMEVRKRDVPPHINLDTLTPTSLRTLE ERTSLDKSLAKRKKREEIIPSAVVEKEEVQISSTEKRKKKDKKTEEDRKEEIPSEKRN LDRLIEGNPVGSSKKKRKTTSAQEDNSSPKPKKRKTNSSDPIALSERHNVSAQPQSSS VYTSRPSQTALMPSNSTSNDTFITRNGTILAFQNSGQYLLHWAQAVGQNELEVNCRNV PSTLTHSQSETTATVISKTLQRKIKQAELEGREMPKPETPEHQGTLRECSFHLPIIGT TVHSSKPLEGGWMNKKLAKQSASFEAIKILYKLGKVDDNLKIILSSSSSDSTSSSSSL TSASESTHSDSTAITDISTTGEREEELRLLNETRLMTKSRSTQRWDKQKELIKDRLPP SPSESSSSKPTGQHGLGSYESYISPPFWAESRSLNTDCLYATTLEFILESPYQQTHRI DEKCRKLCLVTSRPLDIFDKGGMVEINLTIGDQQLPQSTGYGPKFKLVDYGRLKFWSE EDLDKALKFTKRLLRAELQKPFKGDLARVKWLLVPLKSDFIPFSSLNKDDDEKKKNGK EKKKKKRRKLSEKDISWEEISKIVDGPLYTSIHLDDMDILKKQCIDRMITSPSEFARR KYITSVRFDLSLDSPHPANPARTIVESLPSGIPPLGYSKQPILQCENVRPYKSGGIMG SILHPIKAETSYIAPELTKIHCIPSSIYRTGTVLPYLFDELDNHLIANQANKDLLNGM IDGRLLKQALTTPGSNMILSKNYERLEFLGDTILKFIITLYFYLFDLPSTSTKSFHSD SVSLPRSIRSTKNGDGRRTTEEFDQDRHAITSNRSLQHNALKVGLNKYIRNKRFKSKE WLPKDWILNWDEWSKNNNGGEVKGNPSLKGLESKEVLGMNDLGDKILADVLEAIIGAS YLTSRNFDNVISVVHSLGIPIKGLNAWSDIKHLVPTPSFSHKTTKDEKVSELGEAKYM KFFKAKGKTILGYEFKDENRLNQVLSLDMNQPGHKDIFDRYRLLGNAILDYFVVEYLY DKYPEEGPSSLHLMKLTRCPIYQGARSALSTELGLLDLLKDGTVETTLQITKIRKVLK AAKTKTDQLRSKAKAAESDQDHSKVGLEYWMEVPTSYTTSNPLEALFGAILHDSSFDI QPLRQIFQEKLLPFLEKYCTPSKSKDTNPKAELIRYLQSRGCITDRLIIEKKVLGTND RKVEVIVNYHGVEVSRETVEEGLGFKAVKDRCAFALGFLRDQGGLEKICDCKTKKR I203_06954 MPRKSYEQHLAKKAAMRRRGSVIESETDAESLFSNTTYQSQLSS STSPYLSPHQHRYLPGPSKFARTLSSNSYSTSVSSPYPYRRERSNSPGTSTQYRNQEN IQNVGFSSFPPPLSDNTNPSDTEPIPTHRRPSQSTATHLTVPQTDREIARKKRHEQRK LSKSTLLSSKYNSPIRRSIRYLTTVGLHQHTTFISLIFYVLIKLLVMRFIEGRELDWV KGREEVMWGAVIYEWVKREGEKGGRSLRSQVIALLTILLSPLSLFVDKKVVPMSMTIR PLTLLSSTEDYASVFYLFLSLTINQFHAIWAMTFGLYVIGRGIWIGGFEGSKYAFLSF IIGATALGSRYSICESNFFNYIYKQITFDHPAMKYSTRLPTIPLLASYTPTVQNQVNE YCKATVPSLTISSLVNQAITHKIQSTLTIFSIMPPITILLYSNISLRPGPSTRPSPTI SFLPLLLFLISIPIYLFSNDPHDIILPLMPLTLMMSFRGSAARGSERSSASGGGEDEV WRTGVVLNALSIIDLIPYQASLTMSILSTGLTVLWITLIGASPLISIIVVLRQISIMI IPSNALVQYINPLEHVVLKGAFAIGWFWGMKKLIENAWAIGGLSERKKKSREKVR I203_06955 MSSGNPNAAYSSEGVGGYQPKKKRNKWLWIGLPILLIVIIIAAV LGGVLGSRASNNDDSSASSSNSNSGSNNANTGVPSGVSGVNTAGATSTGADGQVYLAV ATDSYLLPVYATGTATAGYSAPTVGSSNGWPTDPSPPSNSSIRPNPRLAPAYKWTALT SDLIANNLYFRQWNATIVQNASDTLGDDPTPYTEDGGLSGSGVLDVAREIKLKVKNWA YAYKVTNETKYADRVWLELQTAAGNNSDVAFGADDGTRWNPAHFLDVAEFCAAFAIGY DWLYDFWTDEQRDAIMWSILNLGLRLGYNALSGDSSASAYNWWAGSATGNAQVNGNWN CVINGGLTQAAIAIMDRDQSGLAQKVLDLTVPDAYNNCFQAPDSAGSWAETSDYWYFG TTGAAEMVNALITAYGVDRGLAESNPGFNLTSLYHIYIQGMTSKFNAGDHGPNKYTAT ANSLLLWANIFDTPRYALYQRDHIDASEPWSMFWYDPATTGTWWDGLALDHHFDAAEN QWATGRSTWSDNSGTYWAMRAAKLQGHQTHGDLDVGDFVLDAMGQRWAGELGSGQYLS DGYFSSEAQDSERWLYYRKRTEGQNTLLINSINQNVDAAPTGNFGSSGTAQGPAPSLE LQTDDTAYFWTDMTSAYNGTGGVKRGIRFLNGRKQILLQDDVTTSPTLQWRMHTNATV ETNQATATLKLGGETLVASIVQGPSGASFSTASPTRLSTDPALPTGTEDADQPNDGVT VLTIDVADGGSFSLQVLFTPQWGDGFTALNNVSNVALDNWSLTSHN I203_06956 MSDSLRNSNNPSADNSPAPSPLPIPARYPHADLEDNAHVHSSPA FDYHEERRLHLQDEIWLSHNLPRLPPSTVSEAGSSTSKAGGVGEIGTSYKSASGRQGL SPGQFMATSPLTQHRAIPSSVASPGEIDLGRQGKNLEKGGQSMSFTNDQDREAGENQE DQEEGLSSRFQGQAQVNPVERHSSMLSPSGPEYGDLDPAPSIIHRQERDKQEELDLEE EARERELNAALLNTLNSGARSEGVEAKAAAKLAGAGASLTQPGEC I203_06957 MPPARPPNIALTDPELFLGGIRSPLTKPSTPITSMPGTPVNETN CEDLNAKELLMQMQILAFAEQGIGSELEQLYASFARCLDLRDKYMELSNQRLGDNPKD HDGTFHGFTPRSSGDVMGLKAEVEQDACEGPSAAENDELPTWNIYPPPPPPHWHWKPS QGSVMPEPTSSDGTEMTKTTTSTSIKDNQTFKIEDCKIHEEDKQHIFQLNDEGVFTVY SATEQSPRVNGEVDSKGKKPLARIPTLKEYFTDLDYLLGVCSDGPAKSFAFRRLKYLS SKWSLYCLLNEYQELADMKAVPHRDFYNVRKVDTHIHHSASMNQKHLLRFIKSKLKRN PNEIVIHRDGKDLTLKEVFESLNLTAYDLSIDTLDMHAHQEFHRFDRFNDRYNPTGSS RLREIFLKTDNLLKGKYLAELTQELIADLEQSKYQVSEWRLSIYGRNLGEWDKLAKWV VNNKLVSHNVRWLIQVPRLYEVYKGSGLVNNFEDVVKNVFQPLFEVTKDPSSHPELHI FLQRVVGFDSVDDESKPERRLYRKFPTAKMWDTNQSPPYSYWIYYMYANMASLNAWRR SRGFNTFVLRPHCGEAGDPDHLSSAFLTAHSISHGILLRKVPALQYLFYLKQIGLAMS PLSNNALFLTYERNPFKDYFKVGLNVSLSTDDPLQFHFTASHLLEEYSCAAQIYKLTP ADMCELARNSVLQSGWEMQVKKHWIGHKWYLPGAAGNDIHKTNVPTIRLAYRHSTLLE ELALIRHGQHSPSATPTHLKQSSPARLPHQPSPQPPSTSNDRTTVSNRPGMTNHPSDV AAAAMSMTNSSVYAQGESHPIAPHLIGGVGTLGERSRKKSVGQLKGVSFGGEAVTPLE SDQVHEARR I203_06958 MLFLSALLPLLAITSARSIPSPKADANLDSRGLLDGLVGGLGQT VGNLGGTLGGVVGGLGNTVGGSVGGTVSGVGQALGGTVTTVGGTVVEVSKLNLDVLVN TCIRLGTATKVNEVASVGGGLVDQSGGITLAAGACVCVDAAASASLSEVSANVGVYAS GGLYFNGTAAADIASSTQPGLLGLSTGVYNFDVAETCIAASVSLSNSHHDLQPVGGSC CARACNSGYVLTGGSTCCLPGSTLSSTGQCITPPTCTTNEILCNNQCYPKSSYTCPSG LPVMIQSKRSETCPVGMEKCSIGTLGLNQWECIDTKSDIESCGGCMYPTPSDTNPLAI STGTDCTSLPGTNGVSCVKGQCQIQSCLKGFKLSNNGTSCEKQYQLPVRRSNRVRIVQ QQSS I203_06959 MSLKVPKAGGPDLFKAGYKQMSGLEEAVLRNIAAVGELSEIVRT SFGPNGRNKLIINHLGRLFVTSDAATIIREIEVAHPAAKLLVMASTAQEAEMGDATNL VLILAGELLKRSEHLLTMGLHPSDVVQGYEMALAKGREELETLICSTIPASPLPTAEQ LSKAVATSLASKQPGCEDFLAQLVAEASLAVMPKNPKDFNVDSVRVVKVLGGGLEASR VVRGMVFGREPEGVVKNATKAKVAVYTCGLDISQTETKGTVLLKKADDLLNFSRGEEK QLEGYFKEIADSGVKLIIAGSGIGDLALHYLNRLNIGVIKVLSKFDLRRLCRVVGATP LARLGAPTAEEAGMVDVFETVEIGGDRVTVLRQEEGEKTRTATIVLRGATANYLDDLE RSLDDGINTVRILLRDGRQVPGAGSSEIEVARRVSEFGGKTSGLAQHSIKRWAESLEV VPRTLAENAGLNAEDVVSLLYKSHAEGQPEAGVDIDSENSSNGNGIITSSKEKGILDP FAAKDWAIKLATDAAISVLRVDSIIVAKQAGLAPPKQQGHWDDD I203_06960 MAEDKKKFPLPPGVGAGKPDHPFAVTVEDPIPNAIVPDGYGIKY HAYHTITEGVFLPAGTSLPGGAVLPMSSVADFPMVLPMGTKLPGGVMVPIVMQKVEKK SVTASDPPGPICSIQ I203_06961 MTNAATINGANSSEPVDILLIGLGSIGSVYAYLLERSGKARVTA VARSNYTLYTTTGVTLHTDRFGIVEGWKPYRVVRSQAEALADEKRYAICVVCTKCLPD VLPNSELLKDAIESGQIGSWNLVQNGLGIEEDLYQAVKHLGTPVMSSPAWIGIVTEGS LVRWRGKDTLVTGLYPPLPPKDKPAEGEARIFTAREKDALKLWVDLLTAGEGYVHPTD HIDSIRFLKNVWNCAWAVVTGLIRHTALQFSYLGPTEEKYIKDYFMEIVDIGFKTGLL YEGMIQYPAGDVMGDAQSVVNRAWTVLTGTARERGVGHKYSLLIDVEQNRPFEVEVIV GSVLNIAKKNNLVTPRLEFAYAMLKALQLEIIRDNDRKKVQKADEVGA I203_06962 MSFTPPTLPPISTLSAALENTSNSSMVIAPELMGEEGAAPNIKR RGSQSEHEGDESSHGINSNGYQSSSAREERAGWGNGSYTTGNRHPHPWEEGYRDESHH DADYPSQTAIPQDQPSNEGENKDEEGNEDKGDKPKPRKRARVSKPRQSKDNRNNGVKD DGIPEDGVLDYADPSCDFKLGPVYIHPPKGAAQACVRCHKIKRKCDNAKPRCAGCNKA DVACVFELSPATASYVTSLKADNVALTSQIASAAERIHHLESAISNLERGLPPPPEKD IYDDHQFSEQLTTQADFAAMSNTILSVRSNDLSGPVFSSDTAALDSLASLHNGRTDNT RASTNPFGQTQSQHKQTPLPPYDIALQAVESFFVMNAISYPFLQRDEFSRDMDEIYRQ DQSGASRRGSTYSSSGQQPDQDAELWARKEFLLFMVIAIGTTNKERTGEAEKGSSKIY KDRAFSSFRAALGKEDILCVQSLILLGIYAMFDPSGISLWHVVGFAARIAIALNLHRR VDDSTLPASEVEHRKRVFYSLFNLDRLVAVTLSKPLAIVDNDIDVDLPSPLPTDEPYR GRPRIDFTRHIIKLRRLGGIILSTVYSVSGEQNSHSETERSNIIHNLHKQLDIWLSEC PLSPADDENNGNSDSGSMIHTSYSWFLLNYQQLLCLLYRPSPLVPSMNEEKLKILHES SLNCVELYLNLYKANKVSYNLINISSQFLSCISLLYCLCEFDSRNKNLIDDAGWKAEV KKRLEQCNDLLEIFSKSLKETSKYNEIFKKLSDLLLVRYGPLTESEVTTTTTTTQEMQ PAQLDSTSVSASASATDENQIAWNAMTQLWYNSGDFTFDENTLSNSFGFDKDRDLGSA KGLWDQLS I203_06963 MSSSDVRSGLNDLPDDFITISYEFTEEGELRTDVSAWVKSNTQQ APSNDRSTVEPTFKLARSKRVNYLDQSIQNGFAQLASDSTKSWEDVDSAIPGKLEEIV KSVDTRQGKVTGIRLTCPSEFQQFKGRGGYIDISRSLVLR I203_06965 MKKVSPSVARRKLINALPNFLPFIITFAHVFLSPYTKVEESFTL HAVHDVLTYGWDRSRLSHWDHITFPGAVPRSFLPPLIIGTIAYPLACVGVALGWTRTK IDVQIIVRLILASIFSHSFNHLAKTLRARYGPSVRTWFMILSLTSFHIPYYAGRTLPN FMALPGVLLSISLILRSGSRSAPETVTAKRLRISIILLTALATVVRLELALFLIPVAL SLVLTGKATLSQVVGWGMLGGFGSLTISSPIDYTLWIPTIPHPSLPTFTSTWQVLWPE VSALHYNLLQGQSANWGVMPWQYYFTNSLPKILMASLPLEGAKIYEGMGEVVKVFGAG VVGLIGTMSLVGHKEWRFIIYAIPILQIIASFGAAGLWNLPFPKLKPLVRLGLVGLIA LNVLATGGLTFISMNNYPGGEVWKVLEKLPTGKQDTIKVHFPSYPLQTGSTLFTFLHQ QPNINSTSHIGSFELSPFPKEKQPHWIYSKSEDEAYSTSEGLWNNEVDYLVTEDWDSY LDRWEIVDEIQGLDGVGRKGRFGLEVKWGRKLVVLGKRDGR I203_06966 MMVPLSPAVMSNIAGYMSIATWIVVYSPQIWENYQLQSGEGLSV PFIVLWLLGDITNLFGGIMAKLLPTMIILAVYYTICDLILLFQVYYYRRKPSPAARTH IDPNDESTPLLPEPKQPKPLLPPSLEYPVMLGFVLLSGVGAWCITDQDEVKIPEKPEV ELEWKSQLLGWMSAVLYLGSRIPQIVHNYKTRCAGLSLAMFFFAISGNITYVLSIFFT SMNPRYILANLPWLAGSGLTVFLDLFVLAQFAVFNWQDKKKEKVFASDEDDDEEA I203_06967 MNRLSQILSLICSFYLLPLVLGNAASQFHLDVNTHASECFLECH SRLEYTIEIPGTGSNGFSWITKNCQQEAWRNLLANCLPVVCKSAPDVAYAVEYAENWC HRAGVEVEITLPESYLNTANGTYFTSAEYLASSSDPNSDIRTGPIVIGLLSTFGLISL FL I203_06968 MIFISSRRNKRNGVNLFVLSLLAFLTTINALSEYQVLEVVKGFA DSYLAPKNIEVARSINSTLFAEDVTGTADLSTNFDGRELSTEYLFGLFVNTAEDQNDP SPFGSPISYNVTALLVQHNFISTSIKFQFHYPILNETFPIQIDAFMQVNENSEIQQYD VSFRRWAWATDNIIPKLIPFMQKGLNLTNITDTSVILRTYLSEKICQMAMDHCTGPYE QYKDTEECMTFLNGKEIGEWYRMGEDNLVCRHLHVPMLPLRPSVHCPHIGPSGGDMCI ARDYKQVVMDSHFPQGWLAPKYVTPENQDEIGGIYAVSGEDLDPLLEIALSPGDSHSW DPTLYATALLGYFLIFYVVSNIFWFIYFRRSSVFPTLGLEHQKNVVMYTMNIIFTTIA LALELVAAPAFAQRYALWEVQCLRTAGVLVSALYIFELIYKLKMRIPMIAHHFLTIIA ISFTVTVFEYTLSMSYLISAVIWLFQATTEQPTFFGLLGYRLDWDRRTVSTILKIAAV QTFIFKSASAIGLVVYWEVHQNYSYRPMDKAWNSMVFILAIGLMLTQIWGSWVTYQIA IRIIKQPILLGNPKFKGSNLAIYQTIRLKSEDDGQNHKRSDSSGSGTALDDDIDRYEI MTTDESTPLPSGGSLNRLPSLSSLDGTGRNRLMSNADYIRVRDKVNNEQYPYSGGGGG LMVGLDPANIPLPRSPSLLSPASCSARSHDQYLSTTPNPTDRARDEGGKSGIRNSASF GRAL I203_06969 MLAAQLQPQPTHIYHQNNMSRITTTPGWDEQIVPTLKKRLESES QYLTKRLSAASFEDQHQQPATAVSSSSSSKLNSFPQSPTFGVFQQNPPGSSSSSGIPR SRTKSGPFLNDTRQLNGNNGGQSNGKRPSPRIDTSSISSPKIPSAALPSRIPTRPRSK SQLASSSSRPIPVHSDSQPPPLPTASTERSISGIPVLTTRSRSRSPSKRLPSTKMSVA VMENRRESLELGLGGTRIKEGFIKNELPPFKMNPNEALRIAEKGHQLNDEESSNCRTS YDSSRMAERKRSISMKPNNLHPKPNGHMMERSGSSGSDRSARRNSRPSTSSAATGTRG YGISTSHTGLGLGMGQPSSSRLSNGRTTQRTGSSRSPINGSSSTAFNAPRSASLNLLS ASPSMSMNGNGTPPSARLGVAAHFIPPESTYTPPKGTDWDDVVLPTVAKKLGINESEK EGGGALVGEGDLAVEWDKDGTPIRWVKRKVVSGGNGSARVGLGLGDSTGSQNSIQPND ITPTRTHAFSPSFEPSPDNPLQPRQRPSSASLRNRPSASSDMELTTLRTTNGQPVSLG QPIDRSSSPSRNNAYYPGGEPFPSQGVGVHRKTSSQNMSTSSPISGLFSNPALNRKPS TLKKQNHLTPTVSRQGSELSLRTRNASNVGRNNNSNNKFGPGPGSSQNGHGNGQMSIP GTTHRITDAIYENQLARREGRVNEKGGGAKARKDDDMHGKGCGCLIM I203_06970 MAIRLPAPRRGIRSLLVLLTLLLVPYLLLKHLYQIKREDDLPRT NSDLLRNRLEGRRDDLVLNDDEQQGNQLPFGLNRFFDDDDDDEEEEGPSRMGRSRNRP IDDNDDESKTGRKKRPMKPIAEHRFLPNGLLIPNVHSPHPIFELISRSKKNWQKKIDK QSKSLSEAVDEYRRRYARNPPKGFERWWAYTERNGVGLRDEYDQIYHDLEPFHALPPS KIQSLLKDSSEMSGMYTISCPGIQSHNNKNGNNHKSSSSSKCVYKIVETGLNEEGKRV AKERAKEQLGLLESIEGLLEPVKVTMYSHDVPWQFVGHEYVSMDHLVELKCTVTVKRV IIDIDTAHLGWASACAPHKPLRHDYDPDILPDLDGLWNGDKSFVWDHKATMDPCIHPT LTHLVGFLSGHGKGPAPSKELYPVLAMCKTTLHADILGVSMEAWTEDVGDDPAWEDKK DDRMLWRGKTTGIYFRDEVPWHISQRVNLVSRSAEPIGHVPVFDVPPSYNPNKPVGEP HNVPLSQLNAELMDVAFVDEAIQCDPKVCRRVQAGYKFGSRMDWSQGNQYKYLLDIDG NGWSARFKRLMTTNSVVLKSTIFPEWYTDRIQPWVHYIPIKADLTDLYDVLSFFRGGH DDMAKEIAMEGKNWSKSFWRKEDMIAYQFRLFLEYARLLADDREEASFHLRGDDLRKR DEEYTEFEDDVVVVEGEVDDLMDKEDTLSGI I203_06971 MNRSTSPPPNPFSDSPSLSPAPTPPPHAHTDNSRPVSPSSGIPS SAGSPSSIAGHLKKPSSLISAASGSPPPTHRASFPDPSKLPRNSSGNLTINGPKVKTG YCCEKDKEIVLGEEVHIVDAFKTTEGGKASYITYVIQLGTHTTRRRYSAFLSLHQALT GLYPVLIIPPIPSKQSLTDYAVKGQTKAKEDATVIARRKRLLEDFLRRVLRHPILGGE HIVHRFLEDGVSWNEVLHSPPISLLPKNPLHAPSHNPTFQPASPTSDQPSASTAAGGG STSTSYIAHHLLPTPSPSHPLKKPDQRFIDSEVFTEKFQNHFTGTMEKVNRRVTKRWT ERAGDMSELGAVWNGFSLVEQGKLGEAVEKVGQAVDQEYLATAALLQAWERTTTEPLH IYSQFASLIRQRLSFRHQKHVQYELVQEALETQKDRLELLENAEREARRLEEALERGG RGLSSSTSASQFDSPSINATQHQPEEYRYQQEERRARTSTSTGQGFGLLSAVKHSLSG MMDVDPEATRRANIGKTRDNISQLEDSLQASAQDLKYASTTLQADLDRFQRQKVADLR NLAIQLSKVHREWCKQNLEAWQAAQAAIREIDNHPNQPPAQAQPAQQDTLGEPSASEQ TTSGTMGMGNMRSEIERVEAANKPLPLPERDEVDTPFDENGEEENKGKGKEKAKEKEG PLGPL I203_06972 MDPAPILPSNSDLERRRLESTIDNDLGSLSLSSLPTSTTTIHSF ISSGSSTISMEYPRAETMSFSFQPHHHHGPTGTPRASGRMPSRLSSFGAPEQSMFVGA SPVSTAGHHASAVTLGAGVFGKRDEGNGNTSEFDPERSLGRLVGELGRVMGNDKLPSR PTSPFSPPRSPSPLPSLMQNNNQAANLSFTLNRNDPLLSPPSSGGEQTITQKNLPSNS NSRFSSLARELGEDIQNARKQKYQHQQSQPRRALSDSTTHNIQTPAPTSHARKGDKGD RIKMLQGENRRSASAPIRSNKDNSMDVTGYTNLMATPAKGGEYGTLGKNGEVGGETGA NIAGTLATLNARLRALETENYVSRRRVRELEDELEKARAEVEMAKQDGGKRLRDVIGE KSALEDLVKSLRSHLARLTVEVESNKALINELRTASSSSPPRKHTPVVPGSPSVKSEL AALRREIERLTQEVARLGGIVEEGLETRKKARGERTMRMEREEMERLVRQVMDEENDA QKNVVEPSKLRQGLHTASSTSPTLAPPTVQPVRIAKPTNRQQHLTPPPSEDDDELRSP TPVSGSNSRQGSLLDQQYPAQKSKSKSKSNKRVSHDITAASAGGPSSPFPSILGDEQE AEFFSPSTRRTQTRTQDRAFELPPQTVLSRVVRELEDDFEHYKAIYSELADQYKLLDP ASISSKRHVLAEHLREVIDVLERKADQIGDLYELLEFRNKPLSDAQNSVENGRWVGKS VGDVLKMVKSSLGEEVWRRLEGDLGYGHGRKKGGKEI I203_06973 MYLSNHPTKEEASKYVASLIINRINTFKPNQNRKFVLCLPTGST PLLVYKELARRCEGGEISFEHVITINLDEYVGLSSDHPQSYYQFMEENFDIPSNQFHL LPGLPIPPHTTPQESCASYESLITSLGGIQLLFMGIGENGHIAFNEPCSSFRGRTKVV KLNEDTRRVNARFFDDPSEVPTHALSMGIGTILDSHEIVVLAIGQKKAEAVRKAMEDG VNHLCPASALQSHENVIFVTDDEASQNLRKTTKDYLASQIPCQRGSMTSSIGCIECIG YIGSIGRPVVSGDCCRTSGNQGRAINTNEDSDPTKQVDHSDITLLSKGKIKIDEKLDS KINNDDDQGDQDCNCL I203_06974 MVSKAVEAPNVGFDFSNYARNEFFGQRLQGIPKATSTGTTIVGV IFGGGDSGEEPGVCLGADTRATAGPIVADKNCEKIHYLTPQIRCCGAGTAADTEFVTN LISSNLELHALSQGRPARVVTAMTMLKQYLFRYQGHVGAHLVLGGVDVTGPQLFTVHA HGSTDKLPYVTMGSGSLAAMAVFESSFKENMKREEAIALVSRAIRSGIFNDLGSGSNV DVAVITKNGTENLRNYEMPNERGLKSRNYKFRRGTTAWTKESIRSLIVSEEVKSTTGA GKDQGGVEVVAVPTGAGAGGEEGMEIDS I203_06975 MQAFKNFQASIPALPAVDVSGFNKSLRNTVQATRERIGQVGPDG ITELPAEYKALEARVDALKDVHQKLLKITKVHERESYDYPSDIAESLNEVGHQAASAW SVFANKNLKNTNLPIPIPSPSAPTPHQPKTLPHAISRAAKAGATELGSEDRLSIALGV YGAAMEKVGDARLSQDNLIADRFVTPWQATLSTSIGLAVKARNNVKTARLELDSARAA LKSAAPAKQEQARLHVEEAEDKLVQATETAIGLMKAVLENPEPLQNLSNLVKAQLIYH STAAETLSGIQSEIEEAATAAEGEYRTSRGA I203_06976 MSRYHDIEEREFSRGRGRYDVEEDDRFGRGRMSRSPSQAPQSEY RGDPGRSQHATSQQERPHPPQPPDQASYNDGRNSSRHDDYGRASQPSGYNAPSRAGPP FMAGSSRRTPATAASSRAGATDISESLERLALTSFYVRPGFGSTGRHLTVLSNFFQVR AIDKRAKVIYHYDVEIEPVKQRGENAKKPKGLLRAVWEQFCLEQQGSFVDGLTASAYD GRRNVFTPNKLPIADNSSQSFSVALAPDGIVHRTREGSSSDDENRRWNLALKLVAEVD LEYVMEFCRANKGPPSNEEQCLTGIMATNILMRDFPSKTYAQVGATGNKFFSMAGAVA IPQGAVVCKGFMQSFRYSSSGLPMLNIDVGYSAFLADGPALEVIAKILDKSSSRGRGR GGFGGGPPGQARVISELTALEIAIVKRTIRGMKFTVTHRNSPRLHTVLSVTLQPAEQI TFEIQGREGGGRNISIADYFREFHSVQVTKPRLPCIQYGKKAFIPLEFVRFEKWNSLP PTKLNADQTAEMIKVSAINPKDRASQVNHWRAELAHESQDKIRAWGLQVSKRMVQLEA RILPPPRVLYRNRAQAIPNDGSWGLQGKLFFRNGKKPLMAWSVISFDKWTEEDEMHRY ITYLCETLTAHGVDVRNRQPDCIGPIDPRGNDAVSNALQQAARAAYRVGRCAPQLICC VLPGRDAWLYEKIKKSSFNDLKGPVPTQCMQAAKIRAPRGIAAYTGNLVMKIQSKLGG LPHQIPIDDLPGMIRGKTMLLGGDLGLPPIKAGNENAPTVACTIATYNADCDTYSAQI RLQEGRAEIISDLSSMIEEHLKIFHKHNGEYPERILIFRDGISEGQYAAALTYEHAAI LKACARLQKGYRPRLLMCICAKRHNTRFFGRTEDVDRTGNLPSGLVVDRSITHPYAFD FFLQAHAGRVGTARPTHYICLLDELPMTPDQLQQLVHSLCHSFTRCTKSVSLVPVCYI ADLVCQKARIIVHEPGSTIAPSESSAGRGGARGSRRTGFDIDIMQVQKVLARNDELAE VAWWM I203_06977 MYNQSKRPAISASSRPFNPAHTHPASISSVPSIQTRSSTSAASS KDRSEPTVPEEGIQSGGGGGGGVGAGTEEGIEGVGLGKGGEGEDKSKWSDPKKVHPAW EGFGKGPNDSTDEKEGKNKRDGKSKL I203_06978 MSSSGWEVRFSNSRQIPYFYHAEKGLSTWEPPTEISQEQLHQLP GASKYLKGQGASGPASGGKDGQVRASHILAKHSGSRRPSSWRKENITITREEAQRIIE QHVKTLKSLPPSEVPQEFANIASTESDCSSARKGGDLGWFGRGQMQKPFEDATFGLEV GQLSDIIYTDSGVHVILRTG I203_06979 MTSERYIQLTNIHLILPSGALSALTSLYIDTNTGLICSPPSSSS GVDEILTIDLEGHWVSPGMIDIQINGAFGIDLSDFTDEKTYVDGFKKMSEGLCKIGVT GFLPTIISQTKENYHSILPLLRTLSSSTSLDSKERHSRSLGYHLEGPFIHPRKSGCHP TSNLITAPKGLHSLVETYGEDSFVRRNEDSLVKMITVAPDVEGILEVIPGLVERGWKV SLGHTNASTEQALQGVANGATLLTHLFNAMPPMHHREPGLIGLLGLPPTSSSGQTVRP SIAQRVYSVAPTPKTISEVPTRVPSPVQETEQNPVQVDTCCGDNGTKTCAGGKMGCKA KDLSVNTDRCVNGGCEDETCDYVYIHSGKKPKNHLNFSLKFDDLSVQANDGRDTSSPE SSDSARSDGDPRLIQLSEGGDEQDGSIVKRPYFSIIADGIHVHPQAVCMAYNAHPDGC ILVSDAMHMLDPSLADGVHPWRDQHIEKRNGGITLAGTDTLAGSILPLPQAVLNLSNS GIPLSKAVVCATYTPAKALGGEVENMIGLSVGCWADLCIWDGKGLKGVWKGGKEVWYE G I203_06980 MSKLSTFPLLSRIISHSQSSPVSKAIIDIPFSHSITYSNLLDDI LSLTKLVSPLIKEDEARVVVLCEKGYLVPLAMLAVWTSGGLAVPILPSLPLPEQSYMT TNAETSLIICDKKNRPRAEQLVEEVKKEGTTTCEVLEISLEDIRKDGVTEDEKRNALE GLKGRELDGERRAMMLFTSGTTGRPKGVVTRHSALAAQVSAVVEAWRWSESDNLLHVL PLNHLHGIVVALLPTLWSGATVELWEKFDGPAIWKRWINEDGKDPITMFFGVPTVYSR LIAAHSNLPSDLQEKATKASSTLRLQVSGSAPLPESVKKTWEQPGGVGGGQVLLERYG MTETGIIAGTGWEVEKRIKGHVGFPLKGVEIRLWDEEGNTQVSERETSGEVQVRGPPI TKEYWRLPEATTREFQDGWFKTGDVGVYSSDSAAEGQLRILGRKSTDIIKSGGEKISA VEIERAILELEGMKDCAVVGVDDEEWGQVVSVCLVTTRPEVTVADLRKELRNVIAPYK LPKKLKIYEGEIPRNNMGKVNKKKLVLEAFPPESA I203_06981 MRELTPTSSTSSLSSGTKRHITEEDTPSKKPHTNNSMVDILETT HLNASGDYVNERTMVARDVQEENKIISKKDKGKGKMKMVYSPELPEEVWTRIFEIYYE DRTTQWQSTGVLRDGLTPVLLSRDHARIAIPVLYRHPYVGYKAITPFISALSQRPRYT ELPHKDYIKHFTVRASPIIPSNEFSAFYAGRKKSDVGTSAVAPYTIHPSFDTLMRILP ELSTFTLKDTLVLHQADAQLLFRGLAHLSPQKARLEFRMWDLYDSPYGQDIIGATRGG VFNSYGSKPSVLPSPDLTSPTNAHYDIGPLAYQKAWRDALYNATELDLPPWWIEPSRS DHPAPALPSGQWNVNNAGLTANQLTNWAAALPPPAQAGGQPPNVALAHPYFPAAPPLP PSQPSSSTTTQDHLLGQLQLQQQRLRRMLRDHPKLSHWLEPEETSDMAITSGNAGVST GGTGSSGNQTAVAASEGTSGLSLDDLIDTTAWEFSDSENEELGSYTFSPESRPPLAAG HSGLRLEPSHTENPSLPSHSGSRQGIGPSWSRCTLQGGAEPASANSVSNNAMAGSSSN VMSDLSLTQATVPAPRQRYRRIIGPGWTGPSDVPSAQNSAETGSRVGQISKSITQTPG VTSHELAHHMRGLLLKLIRDFWTPRLQAFSIVALDPLASLIVRAPHLDFWTQVNVPHV RVHLPRSINSLAVFKGAKEVARDRARRRREHGDLGNNNNNNEQEPDPVLTEAGNEDVY EIVGGDGSGNELINEEVRLFEVEINSLEEMRDEVWIRYGDQLPPQLCRILAGEHDWRD ISLGHMNDIYPPPYSEYISPESPATSDFDSPTFSFVSLDEEDDQRNDVDDLEMEGGGE GEGNNTYDREKAEEQAKRMRARGNVV I203_06982 MPPRLPARAFTALPFSQQAVASSSTLPPPPAVQGKPQHRRTASP RSSPAQCFSTFRGRSPGQADRRRSFHSTAVHRASAKNPYDVLGVKKDASASEIKKSYY QLAKKWHPDSSKEEGAKEKFHEIQAAYDILSDDSKRQAYDRYGSASTQEGFDPNGFAS GAGGFGGFQGFGGGFGGGPGGNAGDLFEQLFGSAFGAGARQGGPGGPFGGAGGARSRP VRGDDLEAGVSLSFLEACNGSSKKITITPVVDCKPCSGSGLKPGEKKKQCSTCRGTGQ QTFQVQGMYMASTCQACGGAGETIPKSSRCGECDGVGKIKEKKVVDVEIPAGVEDGMM IRIPAQGDMPLSSAGGPPGDLLVRVSVKSSNVFRRQGTNLYHDAKVPLHVALLGGIIR IPTLEGDVDVKVKGGTQNGEEAVLRGRGVKSVYAGRRNDRGDLIVGWKIQIPRSLTPN QKKILQAYADDVEGRPSNISFTTATTGEASCTYSPNHNFERERPSYRPPTRESSTPKN EPIDPEQPGQSGSSDSSIGGKVASAVGGAIGWLERLLGRR I203_06983 MSALIGTFVTHISQCFLTSSSDNLVTSLPLSSEHPFFGPLRQAL STVSESSISQQSVTQQLGFVGNDIEDNLASFLSAVLKNVRGEQIVSENEAAYGDFSRL QSVYSEANKLYGMTNDDGTHIHAFMNPLIINLARTLVKVSNNAAALSTLPLRHPKSSR SIRDATRQVIERCMQISNTSMTESDWNFNCTQQHMVGDIVWELGNILFRIYAERKLHS QSAELSRTLESLTPHEQKRFASRDEIVASTTICQSYYWRGKIRLILLDFRQSKYWLDK AWSIVPKDQNGWKQRRAILIRLIAVNILVGQLPHPQTLQEYDLLKFLPLIHAYKTGNI PLWSKTMDEDREWYRRRSIWLILYERGEILVWRNLFRNTLKMYYSLDPTAPKNKCPTW IFVSSVYRTFLGSGEIEDGQVELEDVICVLSSLIDHGLIRGILSYSQRQLVMKPAPDG LGGFPSISTVEPRKIQIVGQ I203_06984 MPRVPSLSPTNHRRPSTSTSSGPPQHVFMVSKHIAGPSQLAVSA GEGDGPASVTSIVEALNKRQPPSCDACRTRKLKCAGRPTVIELGLEAIATVQCEHCRE WGLDCSYLYQRKRRGRKNRVVERLAEEQRARRKSLGGDVLSGQVRPGTGGGSSSSDEE EPERRDTSTASAVPPPFMQMPQLYHQDSNPLIRPANDSPRPYYPSPPDFSNHAPHPPH SIQPSSAPPHAFSFSNSAQSNGTIPPHQLHQHDQAASLPSVASHDFANSISAINSTSP NNEVSPSVALSEASAPPSTSIDSVLPREMAMNTIKLWFDHIHCIIPVIHRPSFMADLV THEEERRPMFFALIMGMIATTLIHVPKSYFGMSAESVRKLSDKCMKACYAVTRREMDN PNLDLICIKYMVFIIHNKHGNVGLEAAAFGEAQYLAISLGLHREDAYYGLDPIEAERR RRAWFLIYNADKFEAVARAKPVLLRPDEFLGPEATNFPTELDDKSITKHGYLPNSIPV PLISGFNILTRIVTILGDILVHERDIRRRPPNDPEELLSALRQVRQLQQRIKVIADKL PRPFQLEVGSGDVLPAPGWEEAIRDELDLFFSDPMSSETAKDGYLVLKANIHVTLAMT RLRLILHREDLLNRSGQVGTPSRNAAELVAADLGENVDWRHTVYQDLFKAVHGIPIQA VRFLGPSLVTKIRVVAVTLLDAMPSQEQADPNVQGIAAYLLDFLNIMSSIESQFAD I203_06985 MTRTIKLADGKSIPALQWGNGSGGLNGKHDPALEYGITALRSGV KAIDTAELYKTEVATGEAIKQVGAKKADIWVTTKTHLDCCSAVPATAEAIKANVQDRL EKLGFKPDLLLIHNPFVVEGLKIAQFWTALEDLVLDGTLEGVSLGVSNFRPQDLEAVL GVARIKPVVNQLEYHPYVLTHLQPVLDIQAKHGIVTEAFGPLTPVLRHPTGGPIKPIL ERIAERLSKETGKKVDTAAVLLLWTVGKGVVAVTTSSKESNIKKIVQVDNELPDLTKE EIDEIESAGRKVHFRYYAEHMTEDFPAPNLPEDL I203_06986 MPFPNPGWHTDELAEEWPESSPSPPMMPVELPPIPGPTINMDSI RAKRGSLRMLGQASARPLPPSRSASSGSQPGRIVSGHVDNGQGHIPRSRSISHNESGL LSPPSSRSSSGAGQEAVGTEAAAGTFVVKEGVEDNRGQHLARNPMGPKGSKDIFGALP LERMFDPPSPPTNPTNQEQQSLPSILEPIAELSAAISTPTPAAPDHARRSSHPYAPAN PSRLSKSVTPSSNDSFTTTSSTDGSLGRSHIIEQNIVHEEADSLIRDDTLLRGDEDDS VSPGEGEFYTAPQGQDGTMKSRLGEITLKSGEVETDLSPFNRRGSGDYPFTFNAPRHP SGKISSEQGHSERSIFDPEKHNNGEEGPSHSTLNMKPKSRPALEQVQPLSRGVSQQSS NPGLRLFRSTYDTYTREHLSALVDSIAIEPSPSPPAAQSRQASRDWSPSVEYSASPSA SGSRSTPSGSSLSSDARSSKRLRLSPASPPKRYSGLRDWGAQGRAMMERIRGRDTADE TTTSASKSQDTASDRHAFEMDGPITDYAALPPTPPLDRASPRQPTDKPTHRSNPSTTS SGYLRAAEDIMARIKSRKVSDSASGVENSPVAIGGRRILSESDENRMWEEGAEDYARS RSNAKPRTGPSPRRILRRLSASEEIKRVAEEDSGSSDEQPQPLRNSLPKHRQQLEERR PTSRASTSSNPNLGGPSQPAQAPFNADDLNRYMSSSTHATSTTTSTSFVKHRGPKAVS SGPAHGMRMIRPDDVQGVVPDRIGKMRFDRAGMRWVREELGPVDEAGESRLGGSEESV DVFAGMESLPDDTQRNNTNGNVHTVHQAEISVFSVSSTSTSDNGDVILHEAERTRIVD DEESEMMSESEEEVEAEDPTEVPPIRPSAPSPKLVEPVSPHRPMIHHASTAPAIMTPT PSAYAPKPIRSALRNAPTPAGVFKKRTGWSDEVTPAGTRGATPESSGKRSVSFSDGKK TGKIVGLEVEIRTTAARWTTTEENDLFNEDSSSHNTGENSKSFLPSARTKRIQNLLED MEEMSLEDETPSKPSRAVERPASRGSSAHSSDSESTVPIRSFRGRSFRAHTPRIPGDA TFLTECSFGVAHDKLVELITDVHPFEAHWEELKSINLKGKGADSVARLKEFLPALDEA NLDDNAISYLSGIPSTVRNLHVAGNKLTSLTSVNHLRNLQYLDISRNQLDSVAQLECL RHLRELKVDNNAITDLSGIMDLDCLIKLSCANNEVENLDLSNAKWSKMETINLANNKI RNVRDLHKLSSAASINLDGNQLEHLEPSRPMTSVRVLRFSENNIDHFDLSLFPKIRTL YADGNKLTHLSRSSSSSSNGRLENLSLRNQRSTIPLKLVYKDLENIKRLYLSVNSLSD DFFPSPSSPLYALVYLEIAACQMTEWPNFSKLLPNLKVLNMNYNYLNNLDGVKGLRGL RKLTLVGGRLGSEESNNKGILEGLKGLDSLEEVDFRMNPSTLSYYFPLLLPSSSSTPQ TALDPSSSKGLVGAPAYTWQSYDARFRKNLPDEWYSKRLVYRGLVMSTCPKLRRLDGI QIEDGERKKAEELLKAALRRRI I203_06987 MPRIRKQTSNRKTTRDRAKIHKKSVDNKRKTKKAAKKDQTWKSK KKQDPGIPNSFPFKDQILAELAEERRKAEEEKIARREAAKAAKLAPPQEEAEADTPGI ISLSGAVLSRTAPLSAIAEPSTSSLVAADVPDLIDTALTTLTDVIDRADVICEVVDAR DILGGRSGHVEGLVKEAEGRVVLLVNKIDLVPKEALESWLAKLDIPAFLFKSSLPSPP TSSASSSKTPSSSSLSLHSVLGKDEFFKAIKQWSSEKQSKSNSKSKSKSGSKSKFKEP VEPLVIAFMGLPSVGKTSILNSLLSPKQPKHAVAPYIPTATSAKIPEPKTKVPVEVEI EVDGEKIRVIDTPGWEYAEDDDSEDEDEDDEDEEEEEVNPEKWDALEARLAGDLLRRN LGRVDKVKDVFPLVNYIIKRSNHQDLMLAYNVPFFEAGDVEAFLTGVARAQGRIKKHG TPDLEAAARVILRDWAFNTFPYYTTAPKSLSATKIEYDMSSVLEKCKSKRDLKKENRK GLVRFKGPEEVDKHDIILDDDYTAMAGPSDDEEDEEDDEDGDEDEEEGDEGLLIGSDE GEELELEDGPEPSSGSDPEEDDEDEEEEEEEEEEESEPEPEPVAAKKRKRASLPAPSK KVKRVSFAKEEKPLKGILKRKRI I203_06988 MSSAQDEFHVIMVGAGYAMFGTPEGPWNIAKRAEQKLGSRLVVD AVIEVVPTRAEEALKIKRDGPHKESYKNTVILPSVAEFKKLVDEGKAKEPRAIFVATP PTVRGSTQPGKDLEIQLNKAFPSIAIFFEKPVATGQPWEESVGEALKVSEYLKKNHKA PISIGYVLRYLKIVQQVKKIIEENNLNVMATNARFVVAYELAIKTEWWNKDIMLGPII EQATHICDLVRFLGGEINFDTISAHALEADDKPGQLSKKNFDESVIPDDLRIPRATTA SWRYESGAVGIFLHSTALHGTDYEVQLEVFADGYAFILQNIFGTSKAPELHIRRPGSD EFEKIVTPGDDAYQTEMNHFIDAIEGGETPEIFSSYEDAAKTYEMTWVIRNSAEEWSK KVKEGKVKK I203_06989 MSRNSILSGAVTCEDTRASEIGTTILSQGGNAVDAIIATIIAVN TLCPYHSDIGGGGFAILRTGDGEIKSLNFRHTAPAAANNEFYKNPKVSSSIGGAAVAV PGELKGLEELHGKYGKLPWEKLLEPSIRLAEDGFEVKQDLHEFITAECNPPGSSNLPG SWMEHDPCYSSLFVDGQAIPVGSTWKRPEYANTLKQIAKEGSKAFYEGEVAEALVKVV QERGGLMTLQDLKEYKVEWNQPLSIPYRDYTIYATPAPASGAIFLSALGMLSHFEPEG NGSVKDLHVLTESLRLAYGQRTALGDPNYVPGLVEKQSSWLTPCAIEEKAKLITGKTH EPNYYKPPKVEIVNDHGTSNITVADSEGLVVSITTTVGLAWGSHVIVPGYGFVLNDSM DDFSVEGRPNQWGYEPQVANFVLGGKRPLSSSCPYIITRTTTNQPHASGGAAGGSTII SGNVQVARGILDYGLSAKEALRANRLHNQLLPNVSELEQSSTHQGITVDGFSEEQAKG LEMKGHKIKWVKKNRTTPVVMRMYDGQKERWEVGAEPRRNDSGGSVFIAP I203_06990 MSVDANQYDPPPPLKARYLPFRLDTILDYHPSPSSVATSMRVGP KYATPGDVECRLAIARWRASISREEVEDREEDPGSDAETIRGLSRPATPRAPHAASIV SDRSDATTHLAYASDEEELIFISVSERAYAHMEREHQRGLEEGEIGEGEIGDGELERG ELEEGEKAED I203_06991 MSGLNNGVATRLSPFTIPKDIGLQLNALWNYVFVFQKPWEYDYP TLKRRVELIRDANQRGIMVWSSLARVTDHIKHHMLVYLGSIPPAREFGRDTDQWNHIT IETYSRLVERAEYQALALRDMQMPQPPQGFPLEKEHFEEICSLNRETVKIWRQTQAML SSWMFRMEEGTGLTKAGDVEVKSMS I203_06992 MSTAAQQQPSTAALVPSSSSTKRLSTINKPNSSSPAKGANAANA GAGVKDVVSPGELCGFVDTLLAQLESRFDEMSDQVLARMNEMSHRIDNLETAIENLMQ DDIHENVISPVPQK I203_06993 MPASSSILTRPAFPPSLARRLAKRRINPSRPLHTSSPILNVSVK PEPSTIPPSTALSALLSRLSLPSNDPSLHPTLIACLTHPSYYSAQAQSNTNEIPELEV VDSESSSSSSSSSSTTKSDNELLSTLGNSLLGLFASEHITQLYPLLPTQAVKNAITAY VGPSTCLSVARELGVSVQGGGNNGQVPGLGRGSNSAGLPIRWSKVYLQEKNYQDNIRG ENVPSKGPEKVPVARRFQKFLEKKENEEKDLSSEEIGGRRNRESFEDVVASTVRAFVG LIYQEQGVHAARSFVHAHFLSRSIDLSSLFNFKNPLHMLSSVISSHLSSAGVPISANQ GVIEKRLLASTGVNSQSPLFLVGLFLPSGIKLAEGHGSSKAMAEYRAAKNALLSLFLV RSDQSNSSEQTGLGLGSIGLPSSLYASSERWLSNGKISENVDEKEMSYRGVNWGGKEV IAESRDLRRKL I203_06994 MSFIKASTPISLSIRSTLRATPRPLLSSVRPISSTPVSRMVVSP FLYEARKTSRSAWAKDPIVKYEELKPITQQPTDDILIVDVREPDEVALGSIPSAVNLP LSRLKDALDKGFNPGDFQKEFAFSKPTYDQNIIFFCRSGKRSANAAELAGEKGYGNVR NYVGSWLDWSKREGEDKDD I203_06995 MAFGRKRGTTTTTTTTTDTGTRTRRRSPLILGLAAFAVLIATLF FWLSAFSVPFIDSIHYVHTRENDVKFGNFGWCAGAPLNGLVAGQACHQHVGYNFRPWI PGGKSATGALILVALTAGFGSLAFLSLLHSIINIASGAASFGLTLFTTLLATISFFLV TIIFGTAHRRFNNDNLDAHYGAAFVLVILGWLIYLFVAIPLVFLGWFKQRRQHTTTAH IRGDTNHTTNVTIRA I203_06996 MPAVDPHYLWAFGHGVMLVSAAYVLLQTVLFRPSPTKSYKLAFT GALLSYSIVVYKSLGKPQLNQAWLRRALVDENVQYAILALYWWVAKPVNVSILPFATF SLFHCLTFLRTNIIPKLVPPPPQGTQGQAQAQTPPVFLETISRKIQLWVKSNYDVAMR FVAYCELLICVRLILGVLTFRQSFIAPLFMVHFVRLRYHASPFTKSAITNVTSYINNF VVGKPPVVQNVWNTLKRVIATWGGAPLIAGQGQAQGQGPAAAQAQAGRR I203_06997 MSHFRRNFGRFTSSSASEHYQRQLTAPVNKWKKQWVTPIGLAPE SSYKICKWVKQKEKAKLTGAIEVDDNTPVPEEGEGDEDEDGGEDQDQDMEEDDQDQNE DDGDEGEGEGENEDEEDVKATTTAAQTPAPTVTVDTADSTTQTQTQPEPISTTAKEGE EFSTKPTDAAVDAQEEEVIPPPTTGEKEKESTPAPEKKEEEENHESTIPTHQTIPSNA TEIHPVGPSATESGLGESSTEPLMEMETRPAEDITEKMDIEEEPKEKEKVEEEDKGLV HGEMDAPTRALEVENTEVPKEVEKE I203_06998 MAPFQLPNVLIYLTGVIRPKLLRPHIRVPSITQVDFRSLKKQGY NAVVVDKDNCLTLPNRDEIYPPYQTAWNDLLEIFEPGRVLIVSNSAGTRKDPGGIAAE SVSLSLRAPILIHSQNKPGCSRSIISYFKGQLGQPITNRKRIIQGSEKVWKDEQEDEK MLWERWEDEVVQKPLLGYSQDPTSARKGDRVVVERTTPNPISESAGEYSKQSKEVGVE VGVDDLKILVIGDRLFTDTLLSHRLSLHLPKRKEEAVLPSVLSIYTTSLPQPKDVRIL RWIEERLSKSQTQPLPGNFRYRFISKSPEEEEANRQISDKEIKRNSLVEALRWLTPSR WREFDKSLPPLTIHPKSWKPLPVLVGVSKSFVYAIVLLVRYGMRGAKKIQGYIKKQIE LAKAKAKRIEREKAEESKQEQGVIRHERI I203_06999 MKFRRKSSDALSVSTASSFDPSPVGQMQLLPSTGTGTLDVMVEN NMASVPRSRPRSPELELEDDDSEAERIRLEEKQARIREKGRERQRRKRERDKKKAKEA EAANGSSSHLPVPSSSTQTKTPSQALSISVPSSVSSIASSLPHSASYFSISPSHPSFG MPTGSTSASGTSTPATLFSPAGSTPGLGYSPDTSMSASLFSLGLDASMTSAMLEIPSD KPNKRNPRSKARAASTSVTASVNSRKVSPTPLLTGLPQPIRESKPPVINSAKRRKSEP QTDELVNLGGLGVMTSREDPPAHSIWHTKSSDNTRPHPRRTASDGMVIKSSHDREREW GARSPTPPPVPSLPDEYRQNKVLRPSLSTDTVSDAVSAPSAQAEIFADRMVFLLNKDE GETGWLSNHIGLNNSDIDEMKNALKGVYDKWLLEKGMKEMSLDPSEGMSSQPSSASMT PSTTFMTQPSVPASPIISSTNSASGFFTPVPSRTSSRRGEKRPTITVPNAAGTSSTSQ TPSHSRQRSLSSASMMARGLHITSQTPVQVQQWSHPATPTLPQEGNANPGTDPRSDDT ASPVNSSLQTPSTGQGSFPISDQMTVSHGHWRSATDPTGQRAYTTAQHEQHTPFQDPS MHQNSHIWNVPSTCPPAHQMNYGQLESPLATTAINKANQMGMPPPPTTSAGTAAQQPG NIGGNATTVGLNGISSVVHVESSQGYQGHQRHFSTPVTSRTQPISDRNIMAIPFTPET PVPVRGQNHTTIDGSLITSMPMWYNSTFMSHPDSQVLQSPIVERGHGHGHSHGHGHVT NIRPEHGQDLEMEMSEFALVSTSQGQGQGQHGF I203_07000 MAATRNIKCVVVGDGAVGKTCLLISYTTNAFPGEYVPTVFDNYS SQVIVDGMTVSLGLWDTAGQEDYDRLRPLSYPQTDVFLLCFSVVSPASFENVRTKWYP EIQHHSPGTPIILVGTKLDLRDDPLQLEKLRDRRQAPIQYPQGSAMANDIKAARYLEC SALTQKNLKSVFDEAIRTVLNPNRRAGKAKKSSGCVLM I203_07001 MLLIWVIFPGLFLPLITIVYSYSNDAHDDQIPLIGAETLPQLDL LQTSIREIQELLSDGRLTSVQLVETYLARIERDNHQGLNLKAVIQSAPRQSALEIAFQ LDEERSKGRIRSELHGIPILVKDNIATDLGLGMRTTAGSLGLKGSIVRKDAFVIENLR KAGAILIAKTNLNELAGWKGILKGFLPITSRDDSPKANVTFRGPTNGWSAVGGQCSSA YVEGGFDQGGDPMGSSSGSAVGLSVGWGAAAVGTDTLGSVLGPASRAALYAIRPTLGR VSRKGVVPVSLDHDTIGPMGFTTWDVALMLEIMSGEDQDDQSTHDIPPLSNLTSIVSS PPNLSEFTIGIPTRYFSDEPFFEIPSGRPSESEIKFSETLHHLQTNYGLNLKWNKNIN LSSHKDIQRFLEVLTDKINIDFRADMDDYLTNDLEESKVRNMLELAMFNDKYSSEELP PNGCCQERILTSLTSSPRNSTEHQQLRSELKVLADERSLGHIFDAIALDFELGAPGYW VGAAVYPAGVVPLGYCSNSLPYGLMFVTRKYDEQRLIGLMAAYEKVMPKRRVPKPYQE RYWS I203_07002 MLSPITAPPISITPEEHTQITSSTPSSFVDIPPILRWYDEEVEV LLSSRNGGWEGWGEGKVKGKLWVNEISVAFIPSDPNITPGFNLPFPSLTLHALTPQSP ELPAHLYCQVDESDAPAAAAAAAGPSQPLGQQNGNGDAHMDQEEEDEDDAEGYEGGEE EEFTEMREIRIFLNQSKLESLFQALSFCSALHDSLLPNGEPSSFFGFGGDDDDEDEDE AEGDEGQWEDADESAGADGSGRVIYFPTTTKNDAKLKTTAKAKAKKETKDEEVGQVVD QMERFLNLVDWGNVEKPKGWDDDDGEEEEERRKKR I203_07003 MTTTPSSSRLPRPTLARASPSSSSSRYVSPSSSSKSKTKSRSGS HPKILPFPELSLRAQEAQRTSREGSRTASPMSSPPIDRLEVTFDQMVSMSRGGSSEGN GHERRSSASAGGNGNAPGPHSSSAALSGSTSPPVPSPLAVSAARLSRARASTAASRAR HTGNASDTSSRQSPSITVGRTAGRPALPVGNSPSRRIEGFRPASPALARVTTNVHTTS TTVNNSLLNPTTATSVQPRPVPTLLTPPTPILPSTPVKHHPYPHISHTTHNPPVQLAT TSTTAIAPISSSSHIKDHLYQSFAKGICADVRLVVKRWSVCYHVHRMILVQTSFFHSL FLGGFSETQPHMGNSGKGKEKARERVITEAEWNGEDVELTFDDPNITRAAFEICLSRL YSSHPHLQFPTELLPTATYPLTPSFPHIALPDYLVLRASIPPKSHLATPRLLLSLLAT TIYLGHGMFMREVLALILRTVGPLTVGRYLSFALGDGIMEEEYFGQSEEGARSLSGIA KIIEGTKLSDSSEESIRHGGIPIPSLQLPSRSNSMRSHHTTDDPFSFTSNDAISLPHY YGVVGNKIGEACCCWLARWGVDLLNAELETPSSAYRIWAHGGLPTNLVRAILSSDYFF VTNEMERYRFARKVLDLRRSGWDEENEDAGDISLILKSFAEGIYYPHMTFDDLSTIAS DIDPATHLPYAPLSVLQAAHWAAADLRSRVTAHEKTGASPPTADDENELGLTQSTTAI CTRNRRRRPATRSRVPSPAIPSSSTWGPPSPSSTIDTLPSLHSSQNTIWHAVPTDGTH KIGASGLLSLSNSMQYNALGDMPDFGPEPLDPTSHPTSDVMEKNRPPPHGERSAFGLM GDKMSGKDLEDKWINEGGSFMISGLGLGDSPSKVTLGDVNEERWTKIEPYRFSVEFFD VDKLTEKERFYSSTHFYAGSYFNCYVQMIKRKEKGLQLGVYLHRQSPNEPFPIPSSPR KSNIGSSLGDKLAPSSSSLPSSSVGPGTTPSTATSPVLSHNRNLSTSPMVVPGSPPTI TTGGPTSSSVGQSTNMNNGSASAPYIDSRSTTKAFFSISCASALGTALIRFTSGPDSF ALSQSWGWKSSALKSEEYLCIPPQQPQSLPEDEGGDGVLGWSGEIPHSSTRYGQCSLR ATVVLGVV I203_07004 MAPKKKVEEPKKVILGRPSNNLQIGIVGVPNVGKSSFFNTLSQT DLGKAANFPYATIDPEEARIPVPDERFDWLCQLYKPASKVPAFLTCVDIAGLTAGAST GAGLGNAFLSHVRSVDGIFQVVRAFDDAEVIHVEGDVDPCRDMQIISTELRLKDIEWV EKGLEQAKKNARSAGGVSLADKAKKEEVAIVEKILKLLVEDNKDVRKGNWSNKEVEVI NGLNLLTAKPITYLVNLSERDFVRKKNKWLPKIKAWIDENNPGDSLIPFSVALEERLV RMTDDEKVAEAETLGLGKNASALGKITTAGYTSLDLIRYFTCGPDEVRAWTIRKGTKA PAAAGVIHSDFENKFICGEIMAYNDLKEYGTEALVKAAGKLRQQGKPYEVVDGDICYW KAGQ I203_07005 MVSLGAGICAERTAVVKAISEGQNKFIAVAVTSDVPSPTTSPCG ICRQFLREFLSPSIPIYIISSEYPSELPSWLDKLDQDQESDEIKKYVVKMTMEELLPS SFGPDNLGMQGPQ I203_07006 MATLQEPGKKDVRQRGHSAIDFKSATTGVAAKTMRNELNRMVSG ETDAQKRKIFEAEMQSFFLLFNRFLTERAKGEKLDWEKINPPKPEQVRPYAVLDNVDP SILNKLAVLKLNGGLGTTMGCVGPKSIIEVREGMTFLDLSVRQIEHLNEKYNVNVPFI LMNSFNTDEDTARIIQKYQNHNINILTFNQSRYPRVDKESLLPCPKETTSDKANWYPP GHGDIFDALTNSGLLDKLIAAGKEYIFISNVDNLGAVVDLNIMQTMIDAQAEYVMEVT DKTKADVKGGTIIDYEGKARLLEVAQVPKDHLDEFCSTRKFKIFNTNNIWCNLKAIKR VMDEDALNLEIIVNNKVTDAGQAVIQLETAIGAAIKHFDSAIGINVPRSRFLPVKSCS DLLLIKSKLYNLEHGVLTMDKSREFGGTPVVKLGDQFKKVANFEKRFKSIPNITELDH LTVAGDVHFGKGVRLAGTCIIVANEGNKIMIPDGTNLENKLITGNLSIIDH I203_07007 MSQPLRTEEEGEEEQEQVRVTDRPPFSISISFRPKTFPNGNGQI SRSNSVQTKEKEKEKERVVIDKDLLPHPAEDLSADDDLLSWILVDQLGCMPNTKLGVH PQQVKFVGPTFKTDEVLNIVRETVTKGNIQGAMQRLQEFHLIKSHLESKMTDYQRERF VSHLRRYLLPLLPTSRLEIHLTSRYSFITGHTELAVFATRPLTPGLVMQELQGSVVPL PDQWREEMEIGEDFAVAVEAAGEETDSDAGGGSDDEDDDVSLHSSATTARKDKGKSRE VENNNNSNGNTTRKGQRRSDRTKRRDFSIVWSGLKRCYQLFLGPARFLNHDCNPNVEL LRQGKHVTFRVLKPIRIGDELTTFYGENYCESGCPNLCLTCEQKGVGGFTPVSSIPSG RNSRSNSRDSSAGPSRRDTPADIRESIAREIKNVGPSSLRNVVNGNKDDELDDLQSAT TVEPSLVGDDTEEASLSVSFKDDNGEDSASASISITATPTKRNILKITIPPSPTTESV ASEPDSPLDPPARRERIIRKVVQNIKPWSFLQRPKKFKKDEPSENDEESTEVTDDLPD DFPRCATCAKPLAEQIWFNGRYFEHCARCVRHAFIFELPWPSHRPQDVREYPPSHLLP SRYIPPKISTIPLPTLSKQPKYVKPIEVPVSPANETKLMRQARKLREQIAAEDFFVES LREAAWSAQESREAAAEARESALQAQKEAKEEARRLRLEEKKQRDAKNIKGTGVWSRY AYLTEEDIRKKEAEKNQVLSGTRRGGRFRAREDEEEMKKLAEEKAREERRENLAGMGI EPGSPESSGSLQSNNQQQEVEEDEGEVEEEGDVSIASSSGTSTELVVDPRALVRVQRQ IPHKITLFTRKDKEKGRVTVNEDEDDEMEVATSPVLSVIPPPKPTARNAVPSSSKPRP VSASTSTVFRPIAPKIPILPNAASRMVVSSKTTSPGKNTASKVVSSPILNFGGNSSSN FMLKSTPITKYSPSTSSINRSPIIDLTLDSDDSDYSSNASGPESLKRKKGRPLGSGKH QKAAMKKLAKSQSQSQIGNGSVNSPTCKKSPIDLSFDDAVKSKVRDENRFFPRSLESQ VIQAGYHHASSFGISPSKTTTRENHAGPSRPYSVHHLPPLPPAGSGSGFGSSKTSNGS GSTSSIDMAENALDKHPASSSSSSSTSPPGASASASASNGEMNMPKLKLNVNSNLTHI KINGWESSPAKNPAFIHIHPLAAEMNRLKNEEEERLSPKKNDISKSGSSSTSNLKGLS YENGKYHHGTHNVSHNGPLNGTHHGGTYSKEYTDKPPTALVAAGVGPVSSSSSSTLAL NDKKRKRISDISEASSTSSPSSHQPEKKKRTFFTSPISVGVLKPTPPIPKPKHSIHP I203_07008 MRLSQVNKILLGSCVVVVPLSFYGGLAIKEKFLSNELAAGKVGD GRPAPHERAMRARIVQLEQERKELLKEGEDLDSKILAMKKRMATSTA I203_07009 MADSSHSLGKSVLGSDALSIPKLFDVKGWVAVVTGGGTGLGLVT AAALAANGAKVYITGRRLEPLEQAVKEASPKEGGGQLIPIQADASTKEGIQKMKEIIE SKEKWINVLVNNHGVSLGHADPNAVEQTPEALSKEMFENETFDHWLDAYRINTASYYF TSFAFLPLLSAAKTVGNFPEPGNILNLGSVSGITKTSQKGQFSYNANKAATISLSHQL ATEFARRDLNVRVNVICPGYFPSGMSTIEDEYQGSSSREYFKQRWGTPLGRAGNAVDY AQCIFSLISNQYITGAELVIDGGWLLVQRESCPSEMDERVSLN I203_07010 MRASVITALLLSIATTSTIAAPSPVALKTDSVDKKVASYDTPKN FHQDCDKHNVQCNAMNKDVYGSANQGSGAVGKQIGHVANTGSQIAAIEGQNDLSKTLA SDGRVIQHDLQGRDHGHSEMNEGIKKTSSEVYPEGLLVEGGKFMATQGEAAEVAGKGL NTVGKEANGEAKTASKGRKDHGDSVVNAVVS I203_07011 MSYSADLPPVTLLLQEVQTVTSAMRRNQRWASTSSSSYASSSSP SLRSHRLGRQGSIRSGSRRGARGSEGGVDEGDLMDGFVELRRTLSGVKDITALSPLDI CAPFLALIRSPLTSGPITSLSLSSLNSIILSILPLYLTPPSLEITPLTPLQLSLTHIT SALSQCRFPSSSPQQDELVLIKLLKVIDSLIAPIPVPTPTEGLKGIGNMLDHMGDESV CELLEVGLGMLARSRLGEGVRNSAQASVQGITRVCFVRLKVLRPEDVERLLGANEGVQ PKKVINEESQEQVNEEKSPAEEKDQVGNVEPEKEKEIVESREGDTSKEEEKKAVEEKK EPADKIAVELTPSLFTPYGLPTILELLRVLIALLNPNDQAHTDSMRLSALAILNTALE VGGSSLGNWPELREGVRDEGCRYLFQLTRSDSPSLLAQSLRTTSTLFSTLLPQLKLQL ELFLSYLIDRLTPPNPSPIPPHLLGRSDPPSRPGTPSLTGGSTDGRATPVNGEKPPID SPAPSATPRPLSLLPPVPNETKELMLDTLTQIALRPSFMVDCWVNFDCSTESEDIFER LMTFLTRGVYPSGPPKGDGSTNLFDGLDNTQLLSLEILLSFVSTMAERLDGSEEPWSP DYTGYEILIEQKSRKGVLLTGASLFNQKPKTGLAILEKEGIISPEAGGEETEEDKKNL AIARFLRSSSRLDKKLVGEYISRPDQLGLLKAFIGLFDFKGKSIADAMRELLETFRLP GEAQPIARITETFAEHFFSFKPAEIVDQDAVYVLAYSVIMLNTDLHNPQNRKRMTIED YKKNLRGVNGGKDFDPEYLASIHESIKKREIILPEEHAGQHGFEYAWKSLMQRSRTAG PMIICNTAVFDEAMFKLSWRPLISSFAYAFTTSAQDEHVIQKAITGFRQCASLAGHFR LLEVFDTIVQSLSSATGLLDETEDGYQMSNYPSVEKEGQTLTVSPLSIRFGQSYRSQL ATVVLFTIANGNGSAIREGWLSIFEMFQTLFLHQLLPTPMLQMEDFLAGTTTIPMKAS APTPQPERRPEGGLLSTLSSYLLSPYGQSNEVLVMETSDEDVENTLVAVDCLSSCKLE ELYAEILALNVEALLPALRAIRFLAESRTTAKLQARSEVRGETGSPVLVRFEGQLPYD PACVFHLEMMVSLASRSKENIAETWPIIFEYISSLLSSAQSYSVLLIERAVVGLLRLC LIVSEKPELRDQLYIALDVLRSLPSTVLNSVSEQLMAGVARILEKDKSVVSSQTEWNL VIALFRATVAHPEASKVTLQIVQKMASSSGSSSAGESGPGLTNDNYSGTVALLDEFAT AAGAAAANRSQQNRRSASHQNQNTSLGPTVERGLTALDSLYELRNVIPGLIAKSGLGE HEAFNTFWLPPLLVIGKQCINGCSQIRQRAIAYLQRLLLSTQLLSSNISAEILLIIFD KILFPVLDELLKSSQTAQSQTQYREMAETRLKACTLLCKIFLQYVIKLVEYGNPKVVE GLWVGVLDKLERFMRGERDLMNEASESLKNVVLVMHSSNLLVPPPATGTEDTRTPEQR ELWDKSVERIERVLPGFLDEAIPPPTPAPASAVQTQQPSEGQA I203_07012 MSAGRSIIRMKSDENKIKALGPHLAMAYGGEPGDTNNFAEYVER NMRLYHIRNHYPLLPASASAWIRRTLAESIRSRHPYSVNLLLAGYDTTTSKPHLYWID YLGTKAVVPYAAHGMGVYVSLSTMDKWWFNDMNKREGVEVLKKCIDEVKKRITVHFNF NCILIDKDGIHQINIESQDPLSELESKDSSASIVVDAPNPPLDVGVTA I203_07013 MLREVKVGLDEKGGNRPGTLYGLSHRIWLLIVGIIGLLTLSRFL FPSSDPSSSPYATTGGLLTPRDYLNASAADPAPFDFCPVFGPGDKIAEKRGQWGLLKS RLHVGSGARIQRVVQKAMAGLPVTISILGGSVSACHGAGDDPVSPRCYPAKFFDWWNS VFPHPASEVTNGAARRTDSAYFAYCSMHHLPDQTDLVILEFDASDPNDPEWLTHFELL VRSILVRPDQPAVIILGHFSPQIQAQNGFAGPELLHTVVAQFYDVPHISAKGLLYQDY LSDPEQARSSFFTDPVLANAAGHELIADIIISYMQSQICAGWAATMGHAFDVPYMGGP STDQTVLQGESVQSKEELEAEGGGIAAKQRAIKVPQAMLSDRPSDILRFREVNPFCVS ANDLINPLPPSHFFGSGWYAYHPSKGAAQEEKHYWYAELAGSKFRVPITLSAGEVAIY YLQNPEDRPLGRAACWVDDNFANAVELSGIADVHDTTPTLTIIDSGVAAGPHYVECNL LGQEGQKTAPFKMLGM I203_07014 MALPKHLQNGLTPDELTFLAEEETIDIVPLFSMTRVRLLSGIYG PFTPPSSAKVPLWLALSLKRKRKCRIVPPEWLSIDRVQNVLKEERENAESFCSLPRRF IEISKVLLDVAQDDSMQPSLLRSLLKDLREVRQAKIRIGLQSEGVMRGSYLQVTNLTP LELSELKPFLVKAMGIMQSLEPRGDDDEDEEEGQ I203_07015 MGGDHKCPLCSATFTRPQHVGRHLRAHTGDRPYECKECPLRFAR SDLLSRHVNKAHKSPDENAPQDKKPNKKGRRKSFPASAMKKPNANNQANDDNHINQVK DKPRSASLNQQPQPQLQAQKMYPHHPLLAGSSASTSSNGPMQVQTWSTNPSQAFATTA GMTNLSSSPYGQTFVNPVTGTGNPNAILGNLTYPANPNNNNLLPTFDPPFTAAPMKIT GSEQGYSSIGQVPLNNSSGILYEWGFKKRACDQCNHSKVRCDFADPCLRCTHRNIKCS YNKTQRSRTAGYLLVPSHTTAPAQTNMGHNDQTGSAITTSPQSQFSSPHSTGSPVATT RATNHHQRNNSVSSLPGNLSVPASTIPHQQWGSVPQIQQSATINNWQPVQSGFSQPLY PSLPVGTAPGSITGEIVPSPASMNVPLQGAETYQAPTKYQGGNTVSQNASPQQDTAST PSLTNNTTSPPDIDEPFERRSSYTGTTGGFLSGQEWQNQNKQLKGTNVAPTLQTHNSD PAQIVPSSSSPVHYSPTQSSQLSTSAPFGAEYTYQWPPQASQLIDPAWQARQDIISDD ENASALSSSAHSTFLDLNDAQFAPSQQHRRRSSAGQWTNALAQMSLQDNLSSTESSVI PLPEPYSAGGSMGSSMSSDGSAPVGVPQGSARRPTFPTLTGVAEEPESEAQPMPSLSD VKDLWRLFMAEPMTGLTPMGEKHNDLELNTNNGPLITPRPGMGKRTLSKSNSMPDLQS PMINGPQFFNNFLSGLTPRPAEPQNSYLFNQQPAAGGMNANDDADIGKWSKEIQQRQS SFSLSGQPSSKLGKSNSQLSFNGNNHNDLKDKNNKMSPPQSQTNSRQKPNRPLPSVVQ RSSALEQTLAPERIPSFGIPQSLLDTNNNQFIRQQHQKQQMLSGVPPHMARPGNKRLA SQTLVPGENGKKTTFTLYDEIEPQIQTQAQVQSHTSTQANSMFYPNWSLNPQPISK I203_07016 MPDISIPQARRIVVDTTGAQIVQEESPATRDSRGIYLPHYIEPV SHIAIDIGGSLAKVVYFTRSNLPISTTPPQSGTSSPFLPPSESVFIPPLSSNGASSSS HIQSPSLNPHSHAPFDQSPPQRRPALNGALTPGVLSEDYNHHHASSSSPPINTISPSP SSKGKLSSHHSKYRRSSLPPPLPGGLLNFARFETEHIEDLIAFLQDLIKSSASANRVS LEKMQKNVKVMATGGGAHMYYDRLKDELGVEVTREEEMECLILGLGFVSKIPQEVFWF SEELVYKVSHTSTSTSAQPQSPIKLTIPASELPRPSPTPPAYQVTFADTLDGTDSVPH FPCLIVNIGSGVSIVKVDEDGSFERVSGTSLGGGTLWGLLSLLTDAENFDEMLLLSEQ GDNSAVDMLVGDIYGSDYSKIGLKSSTIASSFGKVFRKGSNPEERKKTFRQEDIAKSL LYAISNNIGHVAYMNAAKYGLDKVFFGGCFIRGHAATISTLSYAIRFWSKGTMRACFL RHEGFLGAIGAWIKNVEPEPELQPQNGEGENGL I203_07017 MSPPDSPTINYFSMLRLTQQTGLKSKSDSIHSAPASPISAEPES YFPSVPAPAPASRPKRRFTLPISRNRLPSTFEMDSTAVDGIVPMALVQGVPMLKISSK KMKQVIIRVNNGGISWSSKKDNTVSINEVRDLRLGQPPSDTYNSSRWITIVYVRGTQW KVLHMVALTDEIYNLWVQTLKSLVSVTLDRHVADVTPADPDLIWIRQLWPIGSKVIDR QKAEALCTQIGLQIPDPVAKTIDVSGVLDMSTFHQLIKDCQTRPDIAKIHSELSKDGP LNASRVNQFLKDTQKLTHTQAVFEKFKHDGNNHWTLASLTEFLCSADNTANMPQDMTH PIQHYFISSSHNTYLVGEQWRGESTVEGYIRVLLAGCRCVEMDVQTGDLEPVVYHRKT LTSSVSVRDICRAVNQYAFVASPYPVIISAEIHCSFEQQNRLATILKEVFGDRLITAP LTRECTDLPSPEQLKNRIMFKAKPPKPEPKSPKLLPSPDSATSSTESDSGFARLTRRL SIQGKTEKPDAFSPQLAELLVYTTGVKYKGFSKLNEYETKEQFSVSERTAAKIVKENK ADWVKHNFNHISRVYPRGTRLTSSNYDPTVAWSAGCQLVALNWQTLDEATLLNHAMFH ASNGYVLKPLALRQKVQEIPTRYRIKIQVISGQRMPLSPDLYVEATLKPTSNYSSWSS ASSTSSSSLYESPPSSPTFRRTRISNGVTLNPNWSETITFELTIPPSSLSLNFLHLEI KNRQNGLIAQWIRPLGLTPKGYHHLPLYDPMMSRFVFATLFTKIDIDILGSGST I203_07018 MFLWQFLKTEFKDPIQQIGNDNEEYDGRTSSTGTRAPNQTTRFV FRSTTPLSPDRRVPILKLRKVPCRAQLEQKLTDALAKYNLDLDIDSPDLDLQSSAAWC QSASKMSGRPMKNETDQQAHTALGSGLASTLIADKGHFDIESESLKAQFGQSIPKTCK KGQQVPSVSSGEPGHLALYRSFRSGLWEGYQGRLLKGAVPLPFGTYEGVFGVEEKTEA ALSSCLSELEEWWQSQDETLDLLMIKEPKAAKEKNKEKKEKEEAFVLVDGQRKYSTKL ANMMSQIWEEMIAYRYGVTIVTCRSKSFLAFMSDRGEMSISHTFEHHWDPAIHHHPHQ NFHQLTLRQKVDVIWYRPSLLTLVLAVAVWAKDREIELRGTELKGWPESWPPARDL I203_07019 MNGPFGAPAQRFYDFTHTILVGSGIGVTPFAGILAGLQARNDRL HGRSEKEKQGPGNDTGEKTSARSSANTGNILGRTLSRTFSRARSRSRQESTISPYPSD YRRVEFHWMVRDKNHLGWFSNLLNSIYQSQEYHQSPTQHQCVHPHLDIRLQTHVTAKR QSLTTHIYRWLLELHRTEEHPYSPLTGLMNGSAFGRPDFIKILDRHYEDMVEYQRTTT QIGDGNEFGSKSKLKDIVKVGVFFCGAPMIGEILADRCRALNNRGEEEGVGVRYHFMI EVFG I203_07020 MAPIATTTQDIAADTIQAAKTALSMSASTSKTTTSHPLDPLSGD EIKTAVEIIKSHVQQVDSEAKIWFKHVTLHEPPKVELVKYLLATRKRSATEGLPREAA ALISRTTSGGVKYYEYIVSLTSNSVVSCQVVADLDHAPLDADEMVAAEEALLQDPEFA KVVEKLRLPEGAVVVADSWPYGCDTVDEVPRLIPMMTYYRTSSDLDSNHYATPLPLIP VMNPATMKLHSVEYTPIYGGESTETIETLWSKGENFPWEKHQPHEYKHDLQASIRGGL EPLEVVQKNGPSFKVDGRKVEWQKWEFVVGFNYREGLTLHDIRYDGRDLFHRLSISDM TVPYGDSRTPYHRKQAFDLGDVGAGVCANQLDLGCDCLGSIHYFSADMVTSKGDVKRM NNVVCLHEQDEGIGWKHTNFRTGNASVTRSRVLVIQMIITVANYDYIFAWKLDQAANI HLETRATGILSTVAIIPGETSPYGTIVNPGVLAPNHQHIFSVRMDPAIGGLGEGNTVV QEDSVPMAYDPKNPPKNNPWGVGYTVEKTPMEKSGFANAAPHKNRVFKIINPSRTNPI SGRNIGYKLIPQPSQLMLSHPESVGFARAEFADHHIWVTKYKDGELFSGGQYTNQSSG NARGIKSWVARQDNVANDDLVLWHTFGLTHNTRVEDFPVMPCETHTISLKPVDFFTAS PAIDVPPSTQAFNKSTLVNGSCGSCH I203_07021 MSPTRVLDYPALAAKAIAARDETIPSDYLLPKSAYPLPKNRTSL ITSSGLISPEELEIINLSASKLRDALASREYTSVEATTAYCKAAAIAQQATNCLTEMF MAEALERAKWLDEHLEKTGETVGPLHGLPISIKDHMDIKGHDSPSGFLDMVGHTLAEK DAHLVSVLREAGAVFYVKTTQPQTIMHLETSCYLGTTTNPYNPQLTSGGSSGGEGALI GMKGSCLGVGTDIGGSIRAPAAACGIYGFKPSIYRVPAGGCQMPMCPAGYEGIICTHG PMARSVEDIKLYMEVMVGSEPWLRDPKVGFMLDDGVVKPLAPMQRALKEIAAKLEASG LFEVVPYKCILAAEAWDIISKLYWPDGGAMVKEHLDKVGEPMLPLSQWIIDWSKKLTL EEYLALAARRDEFRKAQADHWQASGVDVVLSPPSPTPAPALGTAKYWNYTSFWNLTNY PSAIFPTGQFVDATKDAKDTSYTPCNESEKIIWDSYDAGQSSGAPISLQVTGYIGHDE ATLVALEKIVKVIV I203_07022 MELSSRELPIPGSVVLVDKDGHQTQHAEGRHNTDVVLSPKPSND VNDPLRWSWKRKQLAHWMLVVYVMTNGIALCSLYSVLSPVSEATGISLGTLNAGTGYT DLPVLGYGGLITQPLAMMFGKRPMYLISQLGTVAVVIWMAFIKSESEWLANKVIQGLF ASPIEMLVEVSIADIFYAHERGFYVGVYGMTLFGSNFLAPIWAGFVNDALGYKWVFYI SAIQCAVGTVIMFFLMEETNYNRGTSELVEEHDGSAQTDTERPALYRDKQSNEKDLPS VQIRQTSPESRSSLTGTPYSYVRRLRMFDQIYCSTKMGWTMIWRPIVLLRFPVILWSG FLYGSALVWYNVLNATASILFTDLYDFSASAVGLVYFGPLVGSAVAALWSGWVGDRFT MWMTRRQNGVREPEYRLWLLTLNAIICPVGLILWGVGAAKNVHWMGLIFGGGMVAFTS ASGGATAINYAIDSYKDLSSEVILAVVLVRNSMGFAIGYGITPWLDSMGYQNTFITAA MVGLAAYVSFLPVVRWGKSWRKASRELYWKYVEEGVLGH I203_07023 MTTIQPPRKKARLQRANTGCRDCRQRRVKCPEGQLDARTGRKAA CRKCWENDQACYYPSTAHKPSKLLKDVIWVEAGPFEHMAATDKTPEQDDIVLVTSSSS GQSSSSPISPTTSTLPQSLFDLPPPSASFEFNVDPNTLPTTGRPIASIPPPIDYNALD LTFYLNPPLPVYTDLIHSTNSSTSIITLQDDGQAEGFSSDTAIDSRLASLREPYPSRS TPTSAFAPYLQPNLIKALFQPSPKAPVSHFTLASLPCGSIDRTALSYFESQGCNEIVA APTPKANWIHSLLFPQIYHLLSTVPSSKTPQGMIRDFAYHALMQLSFVHRGNVEKDAT RAVYWKSEALKHRRLGSSAVLKVKALYKGAGWKTEEYLMGFFVRCMADMLDSRELVID HTTACELTADTCSPNYPSLRDMIALYSTIHGSCTPLELASAQPLSGPTKPLFELPLEG PDWVERFVGYSRQFIILLGRVNGMVTYRDHLIRNGRENTLDGKMLRAQSEALSAELAD PYAWLESGKNYRVEKGGIVLRLALQLMVFSELLEISLDDSRFSHVRSVAQELVADTVG HESAGYQWCLTIIAVYTSKKDDRDRTRALLDDVNSMSFGKSYNGTSEIMEMCWEIYDA QGCYTNGIAPWREAMTAFGRTVIV I203_07024 MTRPSPTHFLCLPLINSLSRTQLDVSLGRLRDAAAALRVPGNAF RPIGTLHLTLGVMDHKEGSRLDEAIRLLNGPEIAQTIRDMISRESRLTCNLKSLSTMR SPSRTSVVYIHPEDPTSRLLSLCQRFQEIFISSGLIIPENRPLRLHATVINTRYVSKG DFPLKIDATSMVRQFKEEIWAENVALERLAICKMGADKMLDPTGAVIDEQYREVAYVN FA I203_07025 MTIPHKTLRVGVDVGGTNTDAVILDLTPGTSAPVLASFKSPTTP DVTSGIQKAISGCLEKSSSDKSRIEAISIGTTSFVNSLIERDATKLEKVGVIRLCGPH SRLCPPFVSFPYELRRVLEGPVWMVEGGLQVDGREISTVDINEIRGIAAELKRQGIKT VAVSGVYSPIDHDIKQEEQVRDILQQEIPDVKVTMSKEVANIAGLLERENATILNASL LAFAKIAVSGFRQSLKSLELDIPLFLTSNDGTLMTCDQAEHFPIKTFSSGPTNSMRGA NFLAGLASGGARKETALVIDVGGTTTEVGVLLPTGFPRQAGARHELCGVPLNFSMPHV HSIGLGGGSRVRVDNSSKVTVGPDSVGYRIDQSIAFGGDTLTTTDIVVADGRAMGIGN ANLVKNISSDTIAAASARMKTMIELALESMKTSTQDVPVYLVGGGAILVPDTLQGVSK VHRFPFYDAANAVGAACAQVSGVIDTFEDTSTTPLAEVRKKVEQRAIDKAVDLGADRA KTTIVESEIIPIAYTTGRARFYVKAAGPWTGAPVTHIQSQKTASAIANKDTKELANVD AIPPANIRKGPLPESDPPVTAQTILDYRPTITNQGEWMLSEIDAEWIATGCYILGCGG GGSPYSKALALKEIIRAGESIKIIDFSKLEEDGMVIWGGGIGSPEVSQERLVNEEYNE AVSELMKFLRMDKCAALAALEIGGGNGMINMITGASAYLDIPILDGDFMGRAYPTGWQ TTPNVYDLSGRGEMLLPSSMASGDGTVTFMTKARSDRDVDAVERAACVEMGTHAGAAQ RPLTKPQCEQAMIKNTVSQAWRLGRAVALANKQSNVGNIGKVLVEALGGSRCAKVLFN GKVTNVNRRIYKGHTIGEIVIQALKADEEEDEDPKNPKEKFEGIMTIPFKNENLMCQH EVNGVTKITAGVPDLISVIDSQTGEALGTPDYKYGLRVMVIGVTAAPQWTDTPRGIEI GGLGAFGYDDIPYEPIGVYEKPVSVIDEYVSV I203_07026 MARSKLLEKLQVPQREEEAEIWQQSRWLNRDNVPLPPHRRSWGA WSYVGYWCATGINISGWTGGSSLLSLGLSVGEAMAVVVIGQCLVAGACVLTGLFGAEW HVGFPLWNRATWGMKASFFPLLNRIALSFTWSATQAWFGGQVLKTLLGSIFPSIYNMH NPFPVSTAMDGADFMCFLLFLLISIPFIYIPPEHYRIPFLFTGAISTIACFALFIWSL ARAHGGGPLIGSEAMAIVGVEKARGSALGWAMVYGISSQMGQICAGILNNSDYTRFAV HPRAQIISQVVVIPVMGVLTCLIGIICTSVAAQFYPEEGLLWAPYDLLAAVQKNGGRG ARAGVFFASLAFLFAQFGINIAGNAISGGIDLASLFPKWVNIRRGAYFTTLMALPMCP WALVSGATVYISVMSGYATFLAPMTGLMVFDYIFIRKRQIKLSDLYQCNPSSIYYYYK GVNLRAAIAWVCGVAPCFPGFLASVSTVKIPLGATYVYYLCWPLGFTISGVVFVILSK IWSPNGIGEVDEYDYFGTFGLVKITMLSIDEVKVRMLRLCHCSEPNGPSPFGTVLAED GTDKDEPALVHAIPLRE I203_07027 MTIATPPTHVTLPSGDRMPLVGLGCWQSEPEALTTAVAHAIKVG HRHIDGATIYGNEEALGEGIKQSGVNRKDLWVTTKLWNGKSNTTRITAICCLLMLPLG GYIDDHRPEDVIKACKRSLELLGLDYIDLWLMHYPAATDTNPNDYNDIKVLDIPYTET WKAMEEIKPAVHQLERHPYLPQNAFMKYHKEIGLHVTAYSPLGNTNPSFADRDPLPPI QKNSAVVALAAKYGISPANVLISLQVSEGCSVLPKSVTPKRIEENLKVVQLTEVDIRS IADATKGQRARYCDFSEIIGYKYYEGLDDSD I203_07028 MTTQQPALFQPIALGDIQLKHRVVMAPMTRLRADDETAVPSDST LKYYQQRASDDGLIITEGTVPLSEGKGWHHSPGIWSKDQIKGWRNITDAVHGKGGKVI CQSFAAGRVADPTCASVVYVPSDLNDPTPGTPKPPLKVMTVDDIKRNIHEFVQGARNC IEAGFDGVEIHCANGYLLDQFIHSNSNQRTDDYGGTLLNRFRFPIEVIREVFTAIGPG KVGVRISPFSTFQGMRDPHDPTGLFLSFITAVLDAADGLAYIHAVMPRANGADDQDPS KLVEKDDLEPIRQLDAEKYGDLIAFGRFFTLLANPDLPKRIKNDWPFIKYDRNSFYTQ SIEGYTE I203_07029 MTSMAAALAASLPAAISRTGTPQPSSIQQQQQPKQFPQRLEGVV DVEAAREVESVQLNSLVFLKMMKHSTDILPPPPANTLQQDRNAPPPTALSSHTDCLGV LLGLDMDGVMEVEDSFALPGGETSLGANSYSDRLLTHLREVQTPDSPVGIYLSTRNGG FATRVSIELLSAVEKAAGGRGKAILVVHDASKSNGGDLSVKAFRLGDGAREAAKLGKW DEKTLTENGITSSTLLSPLPLTITSPTLISAFLSTLTAPSSKPERALSGASSSVALPP SFSPLINPTPSSLTNYLQNTLDSLTLHSHEANNIAFLTRQIAREKVKHEQMVKDREEE NIKRRKQGLSEFPSIPKEIKNPTKEPSRLEMICLNGTTEGLAKNMAAEAGKGLVRSYL I203_07030 MVLVGKRTILPGLGPSAGPSRIAARYVPRKRIRTPVYGYASRRS IATTVSQREVDSLIPSLEDLGLQGGDDVTVAVSGGVDSATTLRMLCELPVNLHVIFMR NWDPLLSESPSSRADDSSYSLSYQSNNSKSGCQWERDWNDVISLTRSIGISQDKVRLV DLSKEYWSRVFEPSINVWEGGGTPNPDVDCNREIKFGALMEHLPKRPRHFLATGHYGH VDHTSGQSRLMRAKDRTKDQTYYLSQMSESQLNRTILPLGKLTKSTVRQLANYWNLPN ANKEESMGVCFIGERGKFGDFISQYTSPPTTQGHIVSLSGEVLGEHKGLWYYTIGQRA KIANQLKPLFVAKKGVGKDNNDILVVPGKDHPMLKCTSLTTGKFHWIHGSCPTELIVN DIERKINVQDRYRISPVFSKVRELNDGTSGVIIDLLEPLSGVSPGQVAAIWCGDWCLG SGVIRDTTCLGQESVTEG I203_07031 MSSKKRKAESVKEEKDVEQVELSLTSWSPPSEEAFKGLKRFKSF LLPPNNSYSIGQYVWLAHEGLLAKIDARNPYIDSSNSLGPLSKKPRNSLLTSHSPASI IEKDNESAPLPLAKIHVDETEGDHHWEAGYWIGKIIEIRAKDTSYVWMRIRWMCRTLA ECKDQAVRTGLPRSKAGPKEIFMLGPECDGLQPVGAVESSASVIEFDERNPMQAPFSR NSIFVRSEARTPTAEEAAAVCAGHGESKSKKVRVSEAGPSRHLFPLRESTCYCGDPYR PLLDREEPMALCAHEDCLKWFHLGCLDWKNIHRREATPSSIEDVITSGVQLMSLLQDY GLTTPAEKIPFDQDLTKLDWASMKDSYGIRTEKVKVKSEKEKHRTPSKGTSNGTINRN IEDPQGLVPEISPEELEKNKLADQHLPEIVCRIAEFPAVRGTLDTGIVGNARYIMRAR QIILHNRKIRERGDVKVDPAQAEALEQMIKEWTDIWGAEFDPAPRDIVWLCPSCKRAI I203_07032 MPTLPDGTLLATSVHLRLASVVDDPDWCQADLELTCPNQSCQQK SLISMIGNRYDGRTGDSMIRNTPGAILTCPDSNCTMQPLDSARAFYNVHRGYTGPKIL PDIVFFGHTRTNNKYTNTNSMETIKPYFRITQYALSQSKAVSPQYGQYNTLQPDGEPA EIDVVPTFLGYGDTLSDDRYDGVYTYKVAKAGGEQSSSTLLDKETYGLPTKFTYN I203_07033 MKPILATIALLGLVSADKYANFFTDDNCNEDGSIGFSLDNPGCF AWAGAKSVYIPNNPIDWNQHYCLVMTYDSKECNCQNDGYSFQATGFCHKLDGNAQSYR FIGGGCDPNNC I203_07034 MRLILSTITLLYLAIKVSADNFANFFADENCNEDGSIGFELSNP GCFAQSGRHSVYIPNNGIPWTAYYCLVITNDSDECNCQNEGFEFQADGFCHVLSGNAK SYRFISTPNVAMTVQSALTSLTMAAEPKRAEDQYTSNTAC I203_07035 MKFTFATIFAFGLIGTTVADNFANFFSDEDCNEDGSIGFDINNP GCFAQSGRHSVYIPNNGNPFSYQHCLVQTYDSGECNCQNAGYDFGASGYCHKLDGKAK SYRFISGFCDDNNC I203_07036 MKHSALTVLIFATLSSYVLADYFANFFSDTECNEDGSIGFDMTN PGCFAQAGRHSVYIPNSGF I203_07037 MQFTTCTLLALASLTSLVAADYFANFFTDENCNEDGSIGFDMTN PGCFAWAGAKSVYIPRTSFPNEQFCLVMTHDDDHCSCQDQAYDFTSTGFCAKLDGTVK SYRFISVGIFLVVLLELIIVLKWNDQCRDPVVPTPVSSGMTLPVYDQMSRPESARKYG VWNLCYTLR I203_07038 MKFTTSALFAVIELATYVKADSYANFFSDTNCNEDGSIGFDMHN DGCFVQAGRKSVYIPNTGLINDQFCLVSTGPDGSCSCQSQAYDFTATGFCHELDPTVG SYRFIHESCGSDNCP I203_07039 MPVKPVTTPSSTSSLGPSTPPKVPQYRRSPSVTEAIQQFESKAN PPAGSSSPSNSARRPSVPTPTRSGIPSRIGSTTVKSPPGSPRRDSRTTPTAATSGAGS SRMTGVRSPDLGKSGGIGLGVAGGSKAAQNRRATDSRIKPTSSSIPRSSVATTNPKSE TPSSPRIPTTKPFPSSSPSLPRSTSDTFYTSTSSRASLASRASTPSSVAGPSRLTTPK KTAVAISVSRSSPTPSPSPDKRPPPLRRKSSKPDLANPRSRSSTGVRRPSHRVPDPAS SAQSSATISSKDDRPAETTVYFGEFGEREQQPTAISLESGASPMVRKGSKSSQGTSNV TVSSHVSPPKEERISISIPKRTSSNPSSPKTPRSAGPHPISPRTTSRRLSSPTKDFPN PNNNRRASKNLGPLGPALEMDVAPLERPPHRRGSSQSSVSRLSEAASTIREPIKRPTS AATGKSKGFTSTHSSPLLSSPASPRGSVSPSKLAARRLSNSPRKSPNLTIQTPPPPPS LNSRLSSISSSAQPCPPVPAKSPLRGLSRESSDQNFAGRKQTSRSGSGESNMAQAGTP TSLHTRLERMAEMEEDVSKRSTMVAESRSYPQAQIASSSSQIIATPNEIRFTNYTVPS VYSQDSAPPTAHRGAWSEATARSSFDGVARRRPSRLDSVEWGKQKDLPPSSQRSSFDA GREGGHRRSSSLPRLNMVSDKALPHTPDEIPSTATTFGSHEGSMPSSPLSNDHREPVK SPSMPILREGKIAHHIHAPEIPSSPALLSSPNVRHSGTIETTLRPLLPTSPSIVMSKR SHLIREIASSERAYAKDLALVRDAYMYRFLRPASQYSTNGDSSISPSDVSRRSSVYTY QTAETKRSSGHDSPNWIFPANGSSTPLPKSPSDGYNLGYFPNSAAGSSNSSFSMTPQP SLKNHKRSSSNMPSMAPPVGKPLSPADLKTVFLNLDQLASAADELATVFEQAMGEDDT GLAAMMRDDEAGNDRLGQAFVSMIPRIRPLYNFYCARQSQASLRLMELQSDLAHNAHL KECWSSIKDHTHAWNLDSMLIKPVQRITKYPLLFDDLLTSTTPVHPDYFAIRTAAQMS KAIATEIDEAKRRKDVVSNAINPNPKKTTSTNVSPKDNRQPASKLLGLKRFKKDKSAP NASNSNVSLPSSKSTSSNELSLPAVIPESSLSALKDLVVKVEDLDQCVRRVGKEVILW TAAAKEVLVAEDGVMKTWLRVVQLEPSDPTDRRMLEFRKVIDTIISEVWKELNDEIRQ QIMPIFSKLLESTSNPRKVIHKRDTKYLDYTRYHALRASKKIVERIVMQSAGEFVALH TQLVDELPAFLEGCLRILDIALVGFAKAQAKFYQGVKDRLSAFEEAWVVLPLSPNIER SPTNTKTSRGIVKAWHDGWAPYAEAMDHFQCTRPARTAATRIATFNAKPGSRPVSRSN SPMLSPGQSHALRHSALVTSPTSLSGSSRPSSPAPNKSGRFRSSSLRSQTGPLPQVIT VTSPTQSTSSMFSLLRRSNSKNNVTKLRSNSTSQNQDGSFNRPSMHTRNASGGGLKPS SSSIISEASSRLSWGLPRINADPSQPIFDGLGLSPTKPSSATLTRNNSHRATSDPISQ NNIFSVDLNSSQVSLSSSITNVNNDSPSNTISSRTASGSGVGLGLGDVSTLKEKDKHP FSVIPATTPRQRTDEVDAAEGWRNEQVIYQCACVADFDSVDLGDRRYRGLKFLPMISG DLIDVFHEVGRIDELPSFPYPEVGVDNDGVLVARSENGNIGLVICSFLEPLRD I203_07040 MVNGTQGSSRPNAAASGSSSTTRSRRHAIPEEDATKLQFGEFAD GEALTLTEVSTLLAAARSAPNVPPAPDNKVYKSTVEYVNEFQNASMEVSESMRTALVA RPGFLNKFEIAQIMYLRPEKVEVAVALIPSLERYSQGDETEAILQSLLDDVRGMARYG VRP I203_07041 MVILAPTFALRQIRHRLPIYSTQLLQNVRLTHSAPKHTGLPDPI EWLPLPRSLSPRKALMLLSLPVSPKYWPSHLVMASPLLATASAHFKSKGIAVNAIYDG IGDQTFFAAEEEYPAIIHWPDGYSRSYNNFNMNSISSDDIIKDLEYTVKSLDVTEYEK PKEILVCTHGSRDCRCSERGGPLVEALREEIERRGVSNQVKVKEIAHVGGHKYAANVV LLPTLDMLSNLTLEHAPSIISHLLSPKPNSKIWAHWRGRYGLTEQEQAQVWDRVNPAK GQNTEKEKVQEQDKVELSFKTFEGELKAVNAKLGHNLLEVGKENDLPSLEGVCGGNLE CATCHLYLAPSPSPAPTSEPTEEEDDMLGYALGYRDGESRLGCQIRVTKELSEWCKQG GVIGLPRF I203_07042 MSKNPDHMGEQLNGTVFLISTKCASCKEYMDFVNDRFDPGSCNS VVLDKSGLGETDISSFKFDKIRGKSLVNLKEACDRDRKNHSDRYIESNDVAELQSGVQ VEVPHGQNQDIETMSQSISDSHLYADTAELSAINPWQRRFGSSNTAESFDPRRTPLIS ASLRFAPQVPQPSTLTLRAPVDVSGQYELPYNPSSEIHEVPGDCERVTDSQGGGYFDP S I203_07043 MSVTVVTNGHFNVPYVPSIPGLRSYKGQILHSRWWRNPRVVRGK NIVIVGSHASGTDIARDIALDDEATDAQTRKNVRTIYQSAREKDKPRPNDEGEDQSLY PNTKWRDHVELVSEIERIDGDLVHLKGGRVLSDLDVILFATGYLYSYPFFSPDKAPFD SHPIIRSSTQEERSLSAGPANRPINLDETDTFYVPDRTLAFIGLHRLVNPLPLFERSA GLIAHCFINGSIPPLPPLKRDSDIPGDLNIGHPQEFENQDEWLKAIGDVSASLSKQGQ SM I203_07044 MTIAPSTALPPLSRVAIIGAGGASGLAAIAQLLDKGAKPDQIVG YEARDTAGGVWNYDADAGECQVTWAEDGSLALRTEQEIHDAGRNGPNGTRLVHKND I203_07045 MSAPYFLGLDASTQSLKASLLSVNLDVKSECSIHFDTDLPHYGT KGGVLFGEKGEVHSPVLMLVEAMDMLFDKIKNAGWEVDKIRGVAAAGQQHASVYWSES SSQLLSSPNPSSPLLSQLSTAFSRPIIPNWQDSSTTRECRALEKAVGGQEALAQITGS KAHERFTGAQIMRFRRIDPAAYDETDRISLVSSAVTTLLCLDGEVKGIDESDACGMNL WSMNKEERGWSEELLEVIAGTEGVEELARKLGKVESDGGKVVGHIGRWYVERYGFSPE CLVFPGTGDNPATFLSLTLRESEGLISLGTSDVVLVSTSNYHPHPEHHAFFHPAQIAP SSVQDNEKREGAESLRYFNMLVYKNGSLTRQHVRDLYFDKSWEAFNAAVEALRPKSVE DLPSKAAFWWLLPDIVPHGAHGIYKYVTDPSVGSYFEVETAKRVREFDDVQQEALAIL ESQLLNYRSRSSSILEESPDISFPSTAGIEISLPRLTRVYATGGASANRTILSLMADV LCTQICKNVDFLDGEWRDVQWNSCSVGVAYKARWGWERTRQGREWISFDQVIQECRDE RRRIRGGEGEGQGQEVDLTEEGIRVIATPGEGARAYERRVEWWRELEAKALEDQKEDE MQ I203_07046 MPQQTSIWQHSRAYVLALAAFMGIFMFGYDTGLGGGLIVLPSFL RDFDMLDLDAQALANRKGNVVSILQGGCLAGALLAAPLTDRIGRQKCLIVNCFAFLLG ASLMTASPGNLGMFYGGRFTVGLGVGAMSMVCPTYVSELSPKHIRGRITGLFQVIVVI GVAASYWITYGVQFMEPTSAQWRIPVGFQLVPVGLMLCVLPFMKESPRWLATHHRDEL ALKNLAWIRKTSIDDEETRAEFEEIVASVAEEEAVTGGRTWKEAFIPGNRIRFFIAFA IFTFQQWSGQNSINYYAPDIFKSIGIKGASTSSLASGIYGIVKIVATAIFIFFGIERF GRRWSLTIGLGLMSLFLWIIGAIFNTHPPDANAANPSGASLGMAACIYLFVIPYCFSV GPVPWVYCAEIFNNRTRSYGLMTASATQWLWNLIVSRFTPNIVLSLKHGGFFFFASIN IFATIAAFFLPETKGLALEDMDVLFGLIDADQRRADLARAEAGRSIEKDDDLLDEKPG DTKIERV I203_07047 MSAQPTEQPVVPALGARPSTSSLDVSEDRANEDKNSDHGEKDGC QAEDGFTKKDTHSIGSKSKGVIGMEALQSKMNNQWQIVLYTFFMFASYSLSLDQSTAT VYLNYAVSKGFKLHSLQASVSVVTSIFSVMSPTPIAKFADYFGRLYAEVACLIFYTVG QAVMASAKGIVQFSAGSAIHTLGISGLFMLHNIVISDISSLRNRSWWHVAPSVPQVFN SILGADVAKSMLGYGNEYTSWRWGIAMFCILIPPIIAPIIITLWRGTRPERAVREQLK EIKKENAARMPLSERFWVDAKEFFWKLDVIGPVLFVAGIGLFLVTLTLANSRYRKWSD AHTIAQLVVDVVIAIGFVIWERWFAPIPLVPFALMKRKTVVGYCLLALLYPLAGRCVA VYLYTYLQVAANQSQLSVTRITNFPSIRGWVTAVLGALIARRFGVLKPIIIFGMALET LATGLMLKYRTSHSSQGELAIVQVLRGAATGFISYPIQALLQAAAPHEHLAIVTAGWL AIYYVAMAVGAAVAGAMWTNIVPNKLTEYLQGNATLAAAAYSDPLTYATKWPVGTWQR DGVGRAQDDAQQPLRLWSYSEPWSSLKYHFVRRSSRLHLLTRKPPSYRCCIPKESEQY ITEAEQKQKKALTLAVVRDGPVPAQ I203_07048 MLEPFILVLLALLSLSAGSNAQQLQGDCLRLLGSVVCPAYQYAY INPSNLSLAFPFFASVNSVASFDSAALAYFSNPFQYTNTKFGNEQLGCSNATDAVIRW ERTVLCSQWVNERWSLGCTELYTTSMKMVCQSTCLQFSASEHSIVNSTTYCPGTDLTS GSRDATLTKDYVDCTNWTTYATNSTDTCIRGEDNESNCGFGTSTGQLCEFCKGDTPDD CCYAGSTDMSVCGFTLPVRVDGTSTVTPSYSITGPTNSITSTNTAVNTGAPTGTTAND TAGTTSKLAGGRLAGVIVGSVLGGLLLLILLLFLLLCLRRKRNSKASQRDPISSLAAS QARPNSNVNNGGVFGFFASDKSGHSQNTSEKGLLSRRSDSGNGSAPLIDGDTVYSPST HVKSTEGLTTAAVAGAGSAAGRDATTSGPGNRNSMGTILPRVKDENQMGDIWIEPGME VCVLWPYAATLPDELELKPGMKLRVVRLFDDAWGTAEVIAGANDGGSPLGKQGAFPIV CVSEGSSLGSNSSNSSSSNSH I203_07049 MATSKTTTVSSPGKVLLAGGYLVLDREYSGLVVATSSRFYSTVT SLPKSTDQDKVILSVRAGQFPKESSTWTYAVSIQNDRLMIDQINENAVGKNKFISIAL FQTLSLAWEKIAAESSSQGLDSGHELLRRITNDGKSDGLEIVVLADNDFYSQREQLSA MSLPTQIRSLSSLQPFTPLPRPIPQTNKTGLGSSAALVTSLVASLLSHLNIVTLPSSS PQSNSNHDLQLVHSLAQFAHCLAQGKVGSGFDVSSAVFGTHIYTRFSPSVLSPLMDRP LDSITFSSPTLLPVLESSQWDQRTTAFRLPKGLRLILADVDAGTDTPSFVGKVLTWRK ENPEVAKKLWDDLDRANRQIERLLTELVAREGEHDYEETVGWMGERHIEDVTLSCANI HCSQSAPRTVTRSNFANQIPFQIIRDFQRKMSELSGVPIEPPEQTRLLDACSELEGVI GGGVPGAGGYDALFLLIVDTPSVVSRVDNLWSGWKEMSVCPLLAKQSDDGLRLEELIA VKGLKEALGR I203_07050 MSSPHGGVPIPAVPVPSPQDDSTRKKTNMMQSSLELVFSYRRHQ EMRYGGSAPSFIDSTSSRSHSSPTRRLDESYQDDDRDVSHRWADLEAAATPADGRRSS HAPNSMGPNLSQERRVSEEPSFFHSDPLPTAKGTNTSPVTSNQASPIIRPTASGKGLI SINMFSPRTTPSHLSTSLGTKSSGSQTALRSRSAHENATEDDDTEGPLDSADDELGAL VKSNHQNQYGTMGVHGGGDAISRTKIRRIRYPEGQSTDGQTLFNATAVLVGIGLLSMP LAFAYAGWIGGTVMLLSFGWLTCHTAKLLARLIRLDPSLMGYTDIGVRAFGTWAGGGI HILFCLELFALGVALVVLFGDTLNALYPEVSSNFWKLIGFFLILPTALLPLRLLSLPS LLSSISSLLLVLVLLIDGFIRSSAPGSLRSPMPTSLLPEWENANWLGGIGLVLAGFGG HAVMPSLARDMKNPERFDNIVNKAFAIATAISFVAGAAGYLMIGQDVSDEITRDLMQE KYQYPHLLNSVALWMIVVNPLTKFGLSSRPLNITIEGILGISPAHLGLASNESTFYPS QIEDVDPLDSGSKSDRNERRSSLEQPRRNSNRRALQGFGESDWSYTSFGRQRQGSAGN VIRPDHERRKATLRVMSRTVITALCVATAILLPGFGKVMAFLGSFSAFVICIILPLLF HLKLAPRLIPCTENSASGRLQNSGHWILVGICSLLMIAGTVWAFLPGSGHGGLEP I203_07051 MSPTLFKLSPGVQSYDWGKKGSASLAAQFGNTCVEGFQIDENKT YAELWMGTHPTLPSKLTSDSSLLSEHIKSNSALVGDKVISKFQDSREGNLPFLLKVLS IGTALSIQAHPDKTLARKLFDERPNVYKDPNHKPEMAIALTPFLAFLNFLPLPNLLLN LLVVPELKAIISSKLIESLASSVGLPTNPPFDLSTYRQTPCSPTDENKQILKQIFDAL MSADKDTVTTAVRALIERYKKGEKIEEAEKSVVDLALMLNDQYPDDVGVLCVFFLNVV ELKKGEAAFLEANSPHAYIKGDIIECMATSDNVVRAGLTPKLRDVPTLVEMLTYESGP GDQQLLQPTTFGSQDQATKLYDPPIEEFSVLRVELPSGGKTSHRKIEGPSIAVVTQGS GSIVNGDDKVEFERGNVIFIGANEEVTWEASKDLEVFRAYVEA I203_07052 MPEQQTSISQDTAQGMTAQAGGLENAAGQIERLRQRAEAAGLDP DEHINTSQRYIALSSQLAEVLQASSQSQENNNQ I203_07053 MIVIQRQDSAGLFEPFRLGDISLKHRVVMAPMTRLRAGKDDGVP SEWAEEYYSSRATDGGLILSEANLTIKRWQSLALYAYRVAIPGVNPVVYAPSNNHDPT PDRPRSSIKECTEGSKNAIGAGFDGVEIHAANGYLLDQFIQSVSNLRTDSYGGSIDNR IRFPIGILKSVTSVIPPPKVGLRISPFGTYQGMREPIGEASGPIKTFSRLLKKLYEEI LDFGYIHALEPRSTGDDDQPDDKLGLKNSVGPLRQIVLKHGSQFNVAGGYTPASAKVH GEKYNELIAFGRSFTSNPDLVERIKKDLPLTKYDRLTFYTQDKAGCLGWPTYQKQDIA SSAAEAKIDVEASVVKIAA I203_07054 MAPKVLLMGELFWAGPDAEELLGGIAELVPMESQSREEFFQDCA PGGRYSDIVGIYHEHLSDKIGAGYDSVGVKAAKARGIGVSNTPGAVDEATATTAVFLL VATMRRFSWCEANLRAGGFNPPGVEESARDLSGKTVGILGMGGIGLKMANYIRPFGCN LLYHNRRPNSLAPPDVKYVSELYEFLGQLDVLMVSIPLSEKTRGFVGEKEIRTMKKGS IIVNTARGPVIDEEAMIKALQDGHLGSVGLDVFTKEPEVDQRLKDMKHITLLPHVGTE NQEARRKMERTALVNLKEFLTTGTGPNLVPECQ I203_07055 MSSSDVRSILNLPQAGPSAPRRSTTANPAAKKPDGISRELYALI GDNAPSLAEAQASIAAVKYREKPSLKSKKVHWEWTPFSPAARQDNPVKLGHWARITDA DPGVSVEYFGKFNLHGPSVMEYSQFEYDQHLSDPNWTSHETLYLFDLLKTYDLRFIIV ADRYAYRGVTGTATEKKRSVEEIKDRYYTICRRLVRTRTAADPQAQQQLIHAYAFDKA REIKRKQYASELFHLTAAEIAEEEALYIEVKRMEQNERRYRADRDDLMRTIMGLDSGL VEFDQSNVEAIFGIDKNKKRKRAEEGEPAPPPPPPPKKHPKEQAAFDLAHCIYHLPPP PTNPHSSHLASKHPVHQPVHLRSTKIPPPKQNAAIRITELLNELGISAHKLVMPTRNN IETFDSLLQAAGALIDMKRQVDRVEQELRTVKAQKEGLLPIIDSRKARSESVTSTDTT TTTNKNRPSRGL I203_07056 MLPAYLTSASGLIKNLKAASDPPQSGFPLKIDIALEAWQQDSFH VPRKADVLRDWVIETWTRNHKGSSPLADAKYYRLLLEVSPRCDSPAQAPLTIIPSLLK SLPQAQDLDSVLDLAVRSLNLLFPPQQTSHKAEAWTDVWTGILQPLSLLSLTTHVLSL TKLVSSRVEESLSSSLNGKKLAAAVVASFPSFCNTILRHPSLLDILAPTMSAVLFQES VLQSGDPLQPLLSQVPSPGSHLAIPVLFDALVQKYHQNRYSIFTQASSSKVAHDVFVA GKEREAVRLALERTLDRLNGGDITAWQTRFALWKTIELWGGYMEREPSWSRLLDIEAQ ATKQALNSGDPSTVGTLLGTLVSLERLDHDQAKLGPDVVRWCLASPVAHHTIAKSLIS SLLRFFHLTHSLPTFFELILDALDGLFTSDLPEEIIISLYDLTLDGPLTRESIRQEID QSLRSTLGGQGRSNIWDQILRSLIDRITPLLRTQPVGKKRKRSSSPSFRSAALIGIHT RLISYCLISSGTSPNIGSSLQSVKELSALIEDWPIRNDTDPSFSAAVTESGRVRVARN VESLTSAISAITVPGLHRSAIPELSIESIRFILHRAVFDQSIPSETIDALLDILPEAS SAVWQIVIDQGIVLLDCSASPNQLNRLATLIAQRVTKDSLLFTSGVFWELPNVRGEFR TPTNICLRPDALQIYMTTSSLDYYILTNCPPTYLNRKTKAHAIESTEEVAPAAPWLSR IVTEGDSVGLILRNNKVLRQVVEVAADGNEAAISLFAKLINLMIQSPDQNEAALSTII SSLVALGKPSPVAKFFSVIMRKEVQDFSSVLPKLLDLYISVKTLPAPAVDDLDAIDTR NVLCAAGRWLVESHQTARLGPRLCSAFLSHPEPRLARCVLETFASETTDMRHIIAAAM IVYRALPDHSANLDTALQSIFEGRITEAISCGVNFDRSSACLRILAALCMRCSDPAAL RDAIDASLSSSADDPIIKFFERIIEDKAFALQHNDVVRLFPIITRSLDHQSLNSNIDV LISLSRRRPDLILANLPEVVDILSIMFKTLQRSRKLPSPAGDEPQRLSRLLVALTQMR LKTHETSPLAKHIPAILVAYVRAAADLHVGYTPSVRRDLEPGLYALCNLATTGGRAHA RGREGEGLGTPFGLGEGPGGDGERELWADLWQNWSKSRYMGQG I203_07057 MAPHPSVSPSFSSIPPKPPAPDPNINPFSPLSPDLPENTINPSN REDQVTRLLGIELSSPHKDLIIYTRRDLLRIGKSSRQHGPPPGMNSLDTWFGAAPKAN VQHVDDPAIASIGPPSSTSRRGGFGEGFGYGGGIGGGRGLGVRGGRNIGLRRQPETSL DANGLPIDNRSYGGQMGRFSVRNPGTMRLGGDEIKRDKRREDEWRRSDRDNGRGGQRD LRDRDTRRPNNYTREEDTSEPAWMDDAAPVDPATVDNTDPLVQFIPGEDMIAAHKRAM KARDVGGDWRGDGHLPAFFGGDPAIASSSAPAPPPGLIKPKSFNAADYLKQAEDLSDE EIVPQPVQPAPPASAFSSRFQKFFSPAQEAAPVVEARPLDEVKDDRTAKLMGLLSSKT SPPPEQVYTPSPSEQLRHLSSPPPSSDGQPSQFSPNYYGPSGGPLPQPSHANALLQQL YGNAQERQPPPPDPLQLLNQAQRQGSSHPRAPHMSLPPQFARPPPNMNMFPQQEENDM NQGYPPHFGRQPNVSHGPLPPGFMPPPPPQFFQQGAPPRPHGYAMPNFPPQHPPQHLQ HPQQPVPPRPYPHQPLESAQQDMLATLFAGLGPR I203_07058 MNFTSGAEVSELLSLDHIRNQLIRLEDTIIFLLIERAQFAYNKR IYQPGAFKDELNFDGSWLEWFLFEIESFHAKARRYTSPDEHAFTPLDKLPQPIIKPQS LPSLLHQPAAKHPSVNVNSRILEFYIQHIVPGLTSSTRLAQGKEVLEDDGNYGSAATR DVEVLQALSRRIHFGMFVSESKFLEAPHDFIPHILNPNPEALAGLITKPAVEAKLLIR LANKARVYGCEMDADGKVIEVPDEEMAARGKIDLATIVSMYKDWVIPLTKDVEVDYLL HRLDDVPQSQIDEWMKR I203_07059 MSSISPPYPYPVTNPDLIKAHSLIKHFEGGYFAQTVGLDSVLPT SPTPTSTHVPKSAALRGREQTASGSATEVLTPAPTAEIQGSERRTDATQIYYLLTPES YRGRMHMNLHSTFHLHHSGRALYTLIKPPSSPSETPTIHRVILGPDVTQGEVTQLFVP GGWWKASEIPDEDLLLLDAPDAKEAKLNERIGCLISEVVVPGWNPDQHQFIDEDKLKT MWGGKAGWDQYVKYIKAPEGLEYPDK I203_07060 MGPSSFPSHLLSHLSYAQTGTDSATDALEEWILHRRSKGDTLFD VSVGLAELEDKTPPHLTAAFDRARYVTNVPQPTDLTPPMGPFPLSRSASPSTILHHLL SQPPPSIEHSREVILEYVLAREMKLRDKKPGKGGKGTLGRDGFEEIGKRLGEIEAGLR GESTNGMSPKQNIANISSSSRDAYPTPTTENDLTLDENQQIGLTLVLSLRMSLSYFTL QELANQLLLLALADAERTLVQHIRRRIAGEGRWGVGKELEYVEALTLPRRPALRPAFR SAKARTQLPVKPLYPIQLPAPSKSSCIKLIQNLVKELEAGGPLAGAGYVSSHVPSSLT SPGPATYSPSAERSHLLSKKGTASPTTNSVFLGGSPQTPNSSLPPPLHSPGNRATQAD TNILANYTMELISEFITREKREHILKSKWAKSGREQLGKEVGEIESALCMASKSNPST LASTLIPIFLLLRRTFALPRSPLPPTITEPYLDILPAPPDPDDVPFREPTVQTTTASL YVNPRLDDSAAAVVLEELVEFEKENAQSAGGSREETVGWLIDLIDSVQKRFPDGSYEG VFATMKDQIARPTETSPPANHAISFAKQPSIHRRAKSSAVTPSSDFGSPTTLTTPQSI ASRQQHSRSLSMPNRQSTYDEETDSSSESDSDMSPAQVQIQQRPAVLTSVKQLPPLQM LSPIEMDDKRSSAGGSGGGWWDIVSAMDNDNPAPWQENHPIPQRQRTSSGAASLDLPL PPGAEPAQILDFSAPMLTGMDNLDLSPSPNPKPIPQRSPPTTSPGQRSKTMDQMSRND LAYSPLRKNGPNSAAGYFPTERLLPPPLRYDTPSAVSNRSVSASSTPVQSNPSVSPAT TTAPSRSKLGVIGRSMSLMHKKEKEKDKDKDKDKENEKEKSGGGKKSKVQNDPGKWDR SMVASIMGAPADRR I203_07061 MDSNRSRLPRAASNPAIRPVPAPSTSTSTSTLSRLPGPAHIVRQ STVARKPSIPLRSNKKITPTDTQDQSSNSPAKRLLPSRSTLGLAKPTFSAPVRASTKQ PPGHPNPLGPKRTIPSATATYRTSSVASSSSVKPISTSSVCVKNGKDNCFKSPDPFTE IKISTSNGSTTRTNIVKGIIPKKGFLGLGSPSRPTRIPTTPLSRPPQAILQTPALPRI TSIPWTSHNPDDQLKDVELSFTAEDDSNDNSLIEPLDFGFATSRFNSKSALPLYNNTC VSDLENIQYERDEKVREVRELKSQGVKEAWSEVVRAGEGDLEEVMIMQELIEALMLDM DMRGNSNAL I203_07062 MLDHVSISHQNGLILWSRSFTPTFEALAKTAASPVNALIKEAFI DRKARNEDEAFEKDGYSVRWTMENGLGLVFVVVFPALLPLTYIPELLQRTKQLFIALF QPYLQSLIDSLTAGTLALSSASTTALRVLEQKIDEERWAAIFDRCLKSLEGVSGLVPP SWNMIDWMSIVSKTDSNSSPALSDNENTPVTAEEIAKNVQALKSKMKGGRAKGSRGGR GEGPSPSPSPSRKTPNNNNATAKLMRKWGDSKVSADDMAALDFSAPADTNAQVDTEGL VSNDALGTRNSSGAYEVADWDHKRGNESGLPSEEDILSRRTGQLAITPKTDTEEDSTE SITWSNMFSRLTGKKTLTQEDLKPVLVEMERHLMSKNVAKDISEKMCESVGAALVGKK LGGLNSVKSEVQNALSVSLTRVLTPKTSTDILLEIQRKRQASSLASPDAPPDPYALTF VGVNGVGKSTNLSKVCFWLLQNGLRVLIAACDTFRSGAVEQLRVHVRNLGALGQEMGI DEGGSKKIELFERGYGKDAAGIAKDALSYAKENRFDVVLIDTAGRMQDNEPLMRALAK LVAVNNPDKIIFVGEALVGNEAVDQLSKFDRSLKDFSSAGGVQKKRGIDGIILTKFDT IDDKVGAALSMTYVTGQPILFVGCGQTYTDLRQLKVNHIVQALLS I203_07063 MPPRKASGSRQRATANALPIPAQYPGLIDGKGMNEAQWQACKEM LENVYKAKDGSRRMSDIFRDLPDKEEYEDYYQAIPEPECLDNISTHLGMQTYANPEAF FKQLHLVFLNAKHYNEEESLLYADAKQLEDQIHNEWKARAEAGIFTNPDPYHSSPVKP GRKKRGGSSIPSKSATPLPETKSTQMTEPKPVTPAPDLPPIPEVKTAAPSPTPAPAPA PAPAPVPPPTIQANTPIRTPLPRNLSQPPPAPASPTPSETGRQSQAEKDRHVVAALDA RLPRWPGPQAVLPGDTAIGGIQGYGWFGEGAPDYDRSTGDSAMWPHRIRAVIEAIIGY RDQNGQRLGEVLDYLPAIADIPFLSFDTPVSFAAIQGMAKANRYDTLRSFDMDMTKLF EKARRYYHDGSVEYGKVLVLQRLYNALTAVYPMPLPGSGIPAPSATLFASLPAGPGNA RSMHETAQDLKAGVTEDQVGYGITTFRVGTKDRHFTPEARHKGQAYRMGDYVHLINPD DATRPIIGQIFKTFVPTKGYRTHHVTVCWYFRPEQTVHTPDKMFFEREVFKTGHFCDH PVEDILEKISVQFYVKYIRGRPRSGEYYPGWPSYVCHSRFNDREHYMVRIKNWNSCIP DELRQSEFMSVISYERNIEIPMVKSPFLRGIQGPGFFGEPKKILAGDDDGDDDDEKDR ERDKPRRRERNKREVQPPPPAINIPPQHSPLPTFTPTPAPVQAPTPSPGPSRPPAAPT PTPTTHAQPIHRPPMPPSQSSSTGPPYLYPNRTVAAVMGGSQAMDQAAVKEILPPETA RLFERDARGHVLWYSGPPLAPGSIPIPTQQPHSLEYLEYVTKRKKGEDFIPKKRARQM KEMDDKTKLSAENNELWWAEGHTQEEVENILRSIIESA I203_07064 MSAIASKAVTKILKNRVSQQAPPDPHIEIIVDAKGKTKKVVRPL PEGLSKRDKKALKKIRRRAHYLDKGMNLCGFRVGWTFFIGIIPGAGDVVDAGLNYFLI VRPSKKLDIPQSLLSKMLVNNAISAGLGFIPIAGDIFLAAWKANSRNAHLLEEFLKIR GQELLAAQGQGPSAIQASEAAAHGVSPEALRPLFSPGAGMNEDIETGQAHKVKAKPAR A I203_07065 MVVYHIVAFKTRSATGLQALREAFLALPQSCLHPVSGQPYIQIA RGGRQMSTEGKDRGMQVCFVMEFENEDDLAYYLDKDPVHEEFKNKAGGEWETLDVVAM DFNEGVF I203_07066 MHSSSAFKTRNLRLNTSDGVEIGAWHVLPRSVYQSLNSFPPDSL PGDELFEHAFVQRPTILYFHGNAGTRAVSHRVRSYSAFSTNLDCNVLVIDYRGFGDST GRPTEEGLIIDARTAYNYVANSLGKAGLTKDEIQDMIILAGQSLGTGVVSGLAGQLAS EGIKPRALVLIAPFSSVTELIVSYRLLKVIPLLGPLAIFPAAQRFFQTFLLHPFNSVK ALEQTSSPTLLLHAINDNTIPYSHSARLFSSLLHSASLTEGFVHETSYKGWGTVRSID RGEKGEVIWWEGQNGGHDNLGWAEGTIDLIARVAKL I203_07067 MSSSSGSIASIPAHLETQPSFESDRAERHYRHYLSHGFDDRLSI ALWFPSPVPLGSIGYIRHGQFVHLMDAHIPPVGVKTLPPMPYLDEFSSLHTTRVAVNP RGTTEKGLDMVAALTNFIKPTGESSQKAVSRRIPFSLKPGMKQAALIVEDGTFEIYKS LAEARSYLTANIHWILQEFGDQHQITKEDVIIVVGTLTARNYAMVISNFTPRTTLTFN VHASSYRTDGEPWGTWTVNREPPVDHSKASKSALGLEGSSQSSGKGSQERKGSQLDEH ELKYSCKVSRCSSTSVDAVLLAKLKFPPGGSDPTLYP I203_07068 MPSPPPVPPKDTTSPSVSDKSKSKISLDILKRPKSPTSSEKSKD EKSSNKMKEFLSGLGSKKKSNISAGSTSTGDDDAKSDTTARLTSPVQPVAEKGIIKVS THPQNLSAKPVPAIPGLQVGMMGKALPSSKSSSVDSSNLFHQAVINAQRRVDTLNTTT LVLKGIAAGLAAGAAAWMPGVGEAVAVVLGMVVSAENISIGRVAALRLVERSATVLEA VEQAIIQHKGVVPTVMINHINQLLIHLHDNNRFLVRLSERSFLKLYLHSDETTRQIAV ATEDLEDFVKIFQLQAQISTAAWEEQSKVDHDNDMQILLQKLDEARESDQKMLAALAL RSEAQQEAIKTLQRSLDQMLIFQQAEYNTHHPTSPLGGFEASSYMAIESPIIPPTSIT LPHTAVPAHWRALEGRDNVASISSSSSGMTDVGSRSRRSGQWKEGTPPLRSVWESGSQ SPGEKGPHREFFEKALDVLRRTSDSDGGEVPDWTITNLEISHDEKITHDERINSGYFS IIWRGHWQGLDVAIKELTPMADRKLFVKEVEVWRRLKSDRVLRFYGASSTTGPPPWFL VSPFMRNGNVLNYLSSPAGREANKLALICEMAQGMEYLHSRDIIHGDFKASNVLVNDD GHAIICDFGLSQLKMDYTTKSHEFADQPSSVAGTMRWQSPERLAGGLLTRENDVYSWS MAVYEVLTGSVPFGYVDDSIVRKNIKNGARPARPSGVEDSLWHLLTKCWAQNPKDRPT FEVVVEQLSTIYTPAKEPERQHTHVTGK I203_07069 MLDTLPVIEKVVDTSPSSFTSISTDHERSPWPTDLTESAEGIQP EVSTTRPQPLSENDLAVRLKQAAERRIDDQGDRFALPQFRQLSMDSEWAQELANTLSA SQRRLTDAETMGDSARALRDRLTQIFEYQAKIKESMQVGGFTENLPEEALNLIRSGEE WDFERLYRCAHRTLKLEQSSDVEDTIRLLNMVDEWKRDGGVPETVKRKASFSPSTKIR ECPIAAESSSDTIHADTVAIPDDSKTKGGTLTLSEILGGNNSSSRHPLAIELMNYAST DAHKGQKTSVREIILSLAHDHELTDFRAECIDAIDYSIDKDMASELQSLADDIKRATK HLDERLGESLRTAIKENRPDSVADTLNYVSSNVRSLRRVDCSALKSVGYNLIELSKLF QTLQDRAKDMGIKE I203_07070 MSSSLFRPSSSKLTSLRPILQSRAKHTHSTPQSLWQSRTHLNPS PSVPPPMVPTYPMRVILSDGSTFTAYTTAPTPSTKKLTRDVNNNPLWSPASERKGLGE GEEGRVGRFRKRFEGIVMEEEQASVEAKKDEAFGAGDLDWMSEGGVEEKISEKQRNPV KAGKGKGKKK I203_07071 MSVSRTTLRSLPSSSSVRLGLRSLSSSASSLDAKPDSSAPDSSP QAIFPDTPAAPSSALAKKGRPWSVMNTPKFDFDDATSLGWMRMFRVQEGEGLVRKIEE DREALRAANKTTFTPPTSSIRLTSTIDLSSPSSKFHTKAVLLVPISSLKLSSPDAVQR IKLLAGPRWTPGRPGKEEFLPNGGAIAQSEEGKEGWIKIAEERFGNSRQNRIEVSNIL DRLVRAANDPKSPLPADIPIDTRHLLARHRKKRSRQNPFSWSADQTSLKKHEVVGGVK GFPIEWIPEGLREKALKKQ I203_07072 MSTSSVKAPSLNSKNGSLPSVPQSSSSTKSRVSNSTSNTPSPRP QLLLNGVSPQDVIAESPVSAENPDEQAQMIRSTSKHSISPLTKSTRSYSGNRSVIDPL DDPFAHSIQRLEKAVDRFEMVGSGMEFVGNVLSAIPLLQTGGAIMMCLRQMLDAAKKV MENKLDALGLVSDSITIVEAVQGRIRSSTTPLSEDVQYGVEALFVKLTSNTELLQNFV GRSKFKLFLYASKMQRQIDDARNDTLMYIARFTLESIVSFDQLQQEAQVQREQDRQDF AKRLEQFIRNPESARHLIANEEVPEILVTLQREVERQYHNRYRSPGSSSPSSAAVQFP TPHPYYPPPPPTTAPQTRYGGSEAVQSSEVQAFSVDNDDLALTRQATWEDSEPVITRH GRRAWQVTTDETDIETSKGMFCQSFLKYLRSESQKSVEDLPVWTITEYEVYREQRECT SNFAKVWKGRWHDQEVAIKDLDPLTDKHLFLAEVNIWCRLDSEFVLPFFGASSAVGPP PWFLVSPWMKNGRITDYIRSDAGSKVDRIALIHRVAQGMEYLHSRDVVHGDLKGQNIL IDDEGRPRLCDFGLSQIKIDITSKSANPTEGESNAGTLRFLPPERLKSSPMTKECDVY SFAMTIYQIYSGEIPFAALDAYNAKMSILEGVRPPQISDIPDQLYGLMTRCWAADPRI RPSFEEITAELQGMYNHADSPAQSYRSVPTLLDLSGDRLEPPQPTSNLAIPMERLNIG KTRTEAESTLTAQLSVPTELDEHDSRDPVFSDDESDRISVASDAASAIYLPDQTDREA PTELELERRYRKYFNYHDYSDHFNLPQWNPTIVALGDIGFMKDGRFVYLDNAIGSKIT PGQGLLFSTAAPLNVIAVSETAIYPKLVSDMAKDFGVRIVSAFRTKKTRFTKAVRRQT SVPMYPGRKAVRLIVADGKMQMIRDYSKLKAYLMENIDGILKIAEEQGYGLMQKTDIV LVVGVLIANNYAMAVSDFAPGASLKFNVLSPTRKSDKEPWGFWTLARDQGDDASSYRL ETSSGSSSNPSPAPSQSHVPPSPPGLPIINRRLPDVDDGPLQYSVKTSKPNGPKNAVH LSVLRFPPTGGDPTFFRDLA I203_07073 MTSVNTLFITTPVIRTDSGLYLSSEPVTATTVNKLASAISEPSY TDYYQKEVANLEKMIKPLDKFEIVTGLVGDIARTVELIAPAGAVLGMISKFFGYVKSI KTIKLEALGLVRSSVETTISIQDCLVTIEFKVPLAMVKSINKFYNYKQSSIFNDNNLG VTAQSDDFILTNALVNALQLNNDNLSDYLGINQLTIQEMISLRAILQARRTSAGTSSL TTRPTSPADQRSTASPRTGSTQQSATHQIYMVLGIRYDKADSPGLNGNVTEDGAEENS SPPRGYAKYAEGPASHGYKWNGKGSAIYIFPCLHILSQRISTDSTELETTSREGGIDE IGLIKERLLGSHAEKRSTSEVWKGSYLGKAVRIKRLDWLDHVPGATTETLSSVRQVYL KQIQLLKTMTSSPFIMRFVGAIGPTEGSSQWSIVSEYMPHGDVLAYLGSEAGRQADRV VLAYQVAQGLEHLHNQQIIHANLTPSTLTTQKNAPFIAPEVVAGGSATELSDVFAFGK TAFQILTGVAPSKPHVWPQRGPYRYNRSWASHNTVTIYEFLSRCFAEKPQHRFAIRQI LGIMEKIGMTRMSDTKDFTPYSMKIRLKWWETTVAVHGAKTLPGLSDAQRHALSSKID TLKSEFKDPNNQELDSNYSNAEPSYVNHGLFKRMPEVLLTSLPAFRANCYTSYFLVDD TVELYTLLQYVGNQMSTDSPADWLLAYEVASRRLVDNIEILRTVSACDTYVQLIFWEI LHEVMWASRILTCQRLVELRNRTGGGFQSSNDQSRKFWDSLCTLTADRKLCDSILVAQ LLRRGKDAGSCELLLGGRFPKDNLHLLGCVLSCEEFKSEDPKPTGFQGRLEDYPKWSS PILTMLGDLDARASHGERLVLSESTAMEREEPLLPKQEREILALVRGDDFMTYHESLQ DAWDLCSAHIIEYESGFGGSQFPSLDKQALESTTILNEDDQEATGLPSNIPDKAPAKI PIDPGFRFRTTKDQSLESRRPQGLSPGETALDEDLCETDEAKQKAKAIQEMWGTLWQE QEPSTRPETSSTAPTAWQSAVPMASRIAASPDDTKDDAQSGVPLFWYTPSARWVN I203_07074 MSDQYVQGLIPKIRAILEGSDLSTVSAKAVRKRLVSGGEDEVTI KASRGAIDEEISTIYDQLTSAATPSPPSSPDEPLRPKAEPGSSQPQSLHVKREVKPAI NEEVDPETDEQMARRLQLEYNDQASSSRPRRANATAPAKKKKKATKKRLSRADADSGD EDGGEGKKKKRKTEPNPNNPFNKEMILSDALADLVSAPRLSRPQVVKQIWAYVKENGF QDQSDKRYILCDEKLKKVFHTDRLHMFTMNKILVDHLRNPDDVIFKNENESKNGIKDE MPPSSAPIPLSIQPVQDIIDDESEEESDDENY I203_07075 MVRDKKIKNAFKRSDEHRKAKREKEQAKLARRMEIKKAEKNKEN GAALKAERLAKNIPRTLDNSRQFDATSYLTADPATMRDLEEKAARASRMINGEEPEED DEESDDEDEDEDEIEEMPEAGPSKQRTAQADDEEEEGDEDEEVDEEEQEQEQQQEILP EQPMPPPKILITTSASPCKLTYNFCDDLKNVFPGGEFFKRPRGRGYEIGRVARWAGKR GYGALIVVNEDHKAPNAITLINLPAGPTAYFKLSSVIPSAQIYGHARPSPHSPELILN NFTTLLGHSVGRLFGSLFPPQPQFRGRQVVTLHNQRDFLFFRRHRYMFTSPTSAKLQE IGPRFTLKLRWLRKGLPSVTAPDGRAPAGENDDEEDVDLSSDEEGVDEAELAQREKKD EDEAMAEMGMPDKQKKQPKDTVIKVPGLAETGEYEWKWKPKMEVSRRTFFL I203_07076 MPSLITSRTGSNTSSSSGSTSRLKNGFSRVNSFKRDWGNDDEEE KTSLKGSSEVIEVLDWSPSPDRVKRKGNLPPLPSIPPQPKFSSSSSSSSKPSFPSSNI PKPSATPSSKPAVASTFYNIPELTPAEKRRKAILEAMSQNTTSSQPLPPSAQKQHESS TITSRSETSTQSTMKGKVNPSEFVSASSQLRGLEKPSVNQELPSLPSLPKRALPWEEE HATSKKAKTRTGSAGRSSGSTLNIKQKVTLSAEQQKVMQLVVAEGKNIFFTGSAGTGK SVLLRELITNLRKKFSSAPDAVAITASTGIAACNIGGVTLHSFGGVGLAIEKADVLVG KLKKNKKAAARWQRTKVLIIDEVSMVEGQMFDKFCKVAQMIRKNPKPWGGIQIVVTGD FFQLPPVTKGNSMPKFCFEADMWNETIHMSVNLSKVFRQKDPRFIDMLNEMRFGKLTP QSNQAFRSLAREVRYDDNIEPTELFPRREDVDRANGTRLNQLNTDGYSYMSTDSGQIT DPIQREKLLSNFMAPKFLELKVDAQVMLIKNMDETLVNGSMGRVIGFCHKSFYMTDST GKWAPDADLEDQDEEERHKRLKLRQTFEDKVASGALKPPPVVRFNVPGGTRDLLVEPD SFKAELPNGETQAARHQLPLILAWAMSIHKSQGQTLDRVKVDLGKVFEKGQAYVALSR ATSLEGLQVLGFNPDKVMAHRKVAVWSAQLKDLNV I203_07077 MSKPQVLIAANPKNGIVWSKEEQNSKLGAVAEVLELTSSSREEF YKDLSSGGKYANIVGIYRHNDSASAIGIFDKDLIDHLPKSVKYIAHNGAGYDQIDIAA ATAKGIEVSHTPGAVDAATATVGAFLAISAMRQFYRAEVNVRNGQWKSGLSPARDPEQ KILGIIGMGGIGKALARRLIAFDMKVIYHNRNEITPPPDFPCTYIPNVEDLLKQSDIV SLNLPLNDKTKGSFGKKQFDQMKDGSVLVNTARGAVVDEEALLQALDSGKLFSAGLDV FPDEPNVNPKLLQNDKITVLPHMGTETRDSQKKMEILVLDNLISALSGKGLLNQVPEQ KK I203_07078 MTSPQAPALGRPLSFPHPHQETSTTQDDRTPRPTSTSQFTSMPL RDESGSVRDASTEDSHSLANTFGTTDLLRNRNSQTEFIAGTQGTVSPSFPSQEGISQT QSDQSQPLYQNAITRLPPSETKTDQSNADEAPILNTHNRSDPIDNQGEDSSSSATMHN RPSSSSSPPDQSDLRDPSKKRFKQAGILVGSASGAATAARHLLANRHDQVGNEGGRLP RGYEQFEISDKWGIGSKNIKEARWKAVKKGAVLYGFITIWLWICLSIFWGSTYRLTSF LPSLTVHVIPFDTPSSTSFLNGPITQQMTYVANEPPSVVHLGYQIKDPSNYPNGLEDV RRSVVAQECWAAIVVNDNASSAWTDALQNGDESYDPTGAIGIYYSGARFYQVVLLYFD SLITRALANPLATARSQALEAFMGSANTNPTLLTNAARVPQAIGVGFGYTIFDVRPIQ NGAWAGAAPMEASLIYFIIFAFYLCLFGGIARMKSGLNSRLKMSSMLGLRLGWPLLAY FFISLWQTLIVRAWQIPLSDHLGRAGFVTLWALNYVTIIAAGFAMETMLALIGIAWLP FFLILWIILNITSSFYPIELMPNFYRFLRWMPFVHNVEAYKIIAYGTDLQHRLGLHFG IIFAVIGVELICFPLALFFERWKSDRDQRKQVEQKKKEEDDERKAKEKKRDGNGEA I203_07079 MSPSLKEVFQSKHTPDGKSRVGFFSKVVKDGKKEWAKLTFMTFG LITIFKFLFLSIYFGSYYLQTSRSTHFSIEVVDLDSIASPYGSVAHPAILGPAITMAI QNSLSTEPHLGWYESDQSTLQQFRLTSTGQGIDPYAYAEERVLNQDVWGVLIVNSNAT SGVWRGLTEGVMWEPTGAMTFLYEEARNFYGENQYVARLGSMVMTAGGNSAATELASQ ILALGNASAVLTTGAQAGAVIGPFSYNLHNLRPFDQLAGIASTTVGTVYLIIFTFLIS VTWNNQGLPLIQDSLTMSSEVLVKLLVPFIAYLWLSLNYSLVSLAFLIDFSRKFGKGG FVVYWMADWITMSALGFVMETMFLWLGPFFPFFLIFWVIINVTVAFLDVADMATFYRY GYFTPVWNLVDMAKCVIFGTKNHYAQNFAVNLGWLIVWMTLLAITVVYQRRNKERENM RKKWEEMKKVDEKEVVR I203_07080 MAPPKSTTRVSAHRIGSLLFCPACGTLLDLPRDDQDEIACHQCG RKEPASSYENLPTKTYSSPNAFPSELRSKRALVQNKVNDGEAAKDRDPVAQEKCQKCG HIGLSYKEMQLRSADEGSTIFYKCLNCGDQTSTNN I203_07081 MPFVPPPTTPLAYGFTDHIVHSINKVDIALRVFPARDDGTKRPW LIWVHGGAYIAGKHFNTPPFHLSAFHDQANYHIVTFSHRLLPQVSFQDMWDDITFQFQ WCLTNLPSIIGEDKIEMDNYGLGGDSSVCMELSIHSTHTVNKEFEDGITPDTNTPPNV LMRMLEDREKSRAQIFAPWNWEMPSILTPEQLEAYWGYNYENTEEDKRRMDLNNHIHK KGIRMNLLFREETFI I203_07082 MALLDLISSITHTNLAGVASSSSKSPASEQPPQLETTTEPQPEP ETSTVVTEQSEPENTPQPESKSTPTEPESQPEPPVVVIESEPVPTIETEPLPEPVTEP VAENEQVPAAAEAPAAETVPTPEEVKETLKSDAPPVEEVAATSVVEPLKDDVNKQPTV THAEAGTSHTEADAATKADGSQADKPAETVETAATTEEAAKPAETKEEKKEEKKSAAK EKVEKTKAEGKGFFAKLFGNKDKSPKKEKKKTPKTEKADPVAEAVPAAEAPAPVAESS ETAAAAPAVEVTPAPAATEAPAPVAEAVPIAAEPVAEAPKETEAPVAAATETPAAATE EAPKETKEEAHKPNLKAHRRLSARIGDIFKIKKTHGPPSPKEETPKEDTAKPAEGETA AAAPVSEEAPQLEKPVSTEPLKLEEEPKPSGTTPAAPVVSAAA I203_07083 MAKSRFEYVRNYELPDPLMPGTFIVVRIDGRGFHKFSDTHLFEK PNDKRALDLMDQAARTVLDEYKDVIMAFGESDEYSFLLKKNTKLYNRRRSKISSSIVS LFTSAYVFHWLTYFPDNPLRYPPSFDSRVVVYPGEKEIRDYFAWRQADTHINNLYNTA FWALVKDGQSTTEANKTLQGTDSKDKNELLFSKYGINYNNLPEQYRKGSICVRRPATL VDDRQPQQQIEESITAGVSNVSIDDPAVGSVEDDSVSQTRINGVIRKEKKIKKAKPYE GIDGEIVVLHEDIIRDGFWKERPWLLQ I203_07084 MSSSSPQAALATPTKPAPVAVPTPTKPVQAPLTLAESFLCGGLA GCGAVTISNIPETMKTRLQLQGELQRHNPGAPRVYNNVFDVFVKTWRNEGIRGLQRGL VPAYGYQILLNGSRLGFYEPVRRTFNRAIGRKPTEGVVTTAIAAGAVTGCIGAALGSP LFLVKARIQAYSPALPVGAQHYYKGSYDALSTIVKSDGILGLWRGVNTAILRTAMGSS VQLPSYNLGKHYLTKWGMKDDSFWTFFLASSLSGVCVCIMMQPADTALTRMYNQNTIK DPITGKVRGALYQSPIDCLWKTFKAEGIAGWYKGTTAHFLRITPHTICTLVFNELIMA QYKKIRN I203_07085 MEFSNFEGASQYGPQDDDLTSVYSAVPNSVINGSSNHNHHHHAP STINGDFTSQFNDLGISDPSNGLRYGDDEFDEREREMLGAGVEHACAYCGIHNPQCVV KCMHCNKWFCNSRGNTSASHIVNHLVKAKHKEVVLHKESALGDTVPECYNCGSKNVFM LGFIPAKSDTVVVLLCRQPCAALTNSRDINWDTSQWSAIIDDRQFLSWLVKIPSEAEQ LRARQISLAQIAKLEELWRDNPDARLEDAEAQSGEEEMQPILLRYEDAYQYQNIFGPL VKIEADYDKRMKESQTENDITIRWDMGLNQKRLAWFCMPKLESGEVRLAVGDELRLKF VGTTSAGWEGIGSVIKIPNNVSDEICLELRRNDGVPSDCTHGFSVDFVWKATSFDRMQ AAMKTFAIDEKSVSGYIYHKLLGHELEPQVLRTQMPKRFSAPNLPELNHSQMAAVKAV LQKPLSLIQGPPGTGKTVTSASIVYHLAKMNPGQVLVCAPSNVAVDHLCEKIHQTGLK VVRLAAKSREALGSNVDFLSLHNQVNNADTHPELQKLIQLRNDQGELSQSDERKYKSL VRACEKDILNAADVICTTCVGAGDQRLSKFKFRTVLIDEATQSAEPECMIPLVMGCKQ AVLVGDHQQLGPVIMNKKAARAGLSQSLFERLVILGNRPIRLQVQYRMHPCLSEFPSN MFYEGTLQNGVTAPERLRKNVDFPWPVSDTPMFFHQNLGTEEISSSGTSFLNRTEASN VEKMVTKFFKSGVLPSQIGVITPYEGQRSYIAAYMQLHGSLKKDLYKEVEVASVDAFQ GREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRAKYGVVILGNSKVLSKHPLWLYL LTHYKEKSCFVEGPLSNLQPSMIQFSRPKKSLAAAMDPFKRRESPAGEYMDKNVGRVP GPAAGRFDPSYYRTHNTMSFVPSDAQSVISQAITNSAFPLFPPGGSKPKTYTGYASSV ISQQPTDSGLGLPSNRNSKGNLTPGIGGIGYSQFDRLGGGKRRGSFTSEAASASMYAY GYKGGDGEDDTLSVAPSQAGMTEF I203_07086 MSHLPSLTGMTSLKGFLPTSPVKPLAEVPTSPSKTRNIIGDGIK AVWQEGDLGGGRGISPLLLVTSKAHILQVFTIYNNHIPDDPHITETFRAPEEILSIPT IKYDKNAKKSSVEGVTIASSGGIEQVLSMVMLKNGAEDEPLVAMTVLSPSSRKSTKGS LALVFINLRTGLAVRRIELGTGSAAAVHSSDKAIAAIVAHPVPSIHLFSAKSFEPLPS SPITQVALNPETGLPTVSLSGRLLAFATSDAPHAPGAAGLGSIVTSTTLQPFQPPSEQ RRRSSSNAQDTQAAILSSAVEIGGGVARGVWAGLKMGAKAANRARNTRLAKSAPTDSS GTLGDEETDDFDGEAESRSLEESSALEKVPSSSSSAMPAPEGEWIKVVDIFARPAGRK RRSLSTSRRSKSSISDCHSPTRVGQYECIAHFCLPPSTSPLPIDTTSPHQSGIRRSSN NRPHPINHLSFSPDGTQLFAAPNDGRSFHILELHPAGIDKAELGEVKGQVWHLYELRR GHTAATVKGVSWDKDRKWIGVATGKGTVHVFPINPSGGPASSFTHVTDTITNPLRLHP LSTVIVPVARLRPRRSHQQDQTQSPTSSVPITGAAVFTFTCNRNHPLHKKLYCQDISI YRPTSNTLELARISVHTVEQAKISERESQSLQRRGSALTEIMRNRAFGDGSDLGIEVA VKAAWTLPEGKRDISLLDDRVDPERKSPRQVHTKSLARAEIRTHCVNPSILPASIYLS RQIELFSAKPIDDYSPLSIMDIEARQHKLLFRKEVEAFSPLPEHVKPFDEPLLSALHS IIEERPSTQLPGLPNGSPMQTGRWTTTTRIPIRSVTAGVDRMKREYVKAQSQYIKHKH QSQRREKARKEGLGLSFEDEDSISILNPDLSSSKDSQESPSSDLLPTTETSDADAEHD FDEEWNTGWEEEYNKAIEDDGPQELVLGLMDEEEEERRKWEIRRERLKKEYAK I203_07087 MLSITEEYEIIDCTQPQKVEPSQHLSLPNRVHRAGSILDDPTFL RFSQYSQPLKSPAFQSVPVPKVIPPFPFGAAHAAIVEGIDEGIWRAVNKARKEERREE KRMTKRQKREEGQREVLRRISTGLEVVCVDDEEGEGDENGEIQMLEEASYQTGTTDDE QIASPMTPSIKGSFPPPSAGPSSTTPRSFEPPAQNTFDDLPATQPEILDPLEDISIPI DTDQPSSEVPQPPSEQDIHASFEVTNNTTPVESGYSTSDVLLSDPAEIEMTIAEEGSQ VLDVRTSCSSEIIDSTSSAIPPEMGTIQETQTRGSLDTAEVKTVNDIVRGRNSLTQGA TSTDAGHVEPSGTSLSSELSDMTTASEVSQRLGPISNHDEPEKRDTGSSSSFHTPSPT PASKRVSTEPNGRLTDPDRSSAGSILETPTRPSDEEDICPSSRFEEDYWDSPLANLGR GVPKPSRNHIEVPFTPGPFSFPSSMSRFCQKTVPQYDMNGELNKPDMRKPGYLPTPSP SPSPTKLPKSNFRGHVIPGHSALGIGKFY I203_07088 MTAVNGFATASSSTTTSTQVVVGSMERIEEYQNVLTQLKNQGGN VQGEMVDRILDNATTLPSPPLTIHLILPLPIPSNLLSSIPPSTQLFIHIPSTHTESDL TSLHSSLASKSFTPLLPTPSSSIIAYTSPSSSSLPTPTSVPAPFSIGAAGGARPLTLK RSGDKARKAALWALDSPLLEDGGKSLLTPEDKQRPECIFPESDGKKVKRRRACKDCTC GLKELEDEEEAQTQNAIREAQKSFFLEGDDDIPDILKTATIGVEGVWPTEKRAEAKKT SSCGSCYLGDAFRCSSCPYLGLPPFKPGEQVKLSIADDF I203_07089 MASSLLQLSFDANRPQTHQGIIPSPAVSPRIQRPASQTSLHIAP SSNYSPNPGHDQSPHPMSAYPNQAYRHYQPTSMSLPAPGSFLQGFYPSTAPPVPFYPG AAPIYDSRGSQPMIRTVSHAHPMNSATEATVSSRYSSSPHSATGPPPFDGPPTASGQQ TNPYSLGMQTPSFNFQSSDSDSRHINPSLFQPSGSYSMSRSTSGASDFGPETTRLVTP GFDYRSDRLSPAENELRKVSDSLGDYNPSNPLGGRRLWSSSTSSTFTPSFDLQPHSIN LGPHPQPPPDSIFFGGSRANYSNDHFTMSAERGGESKPDLFALGFGNGNSHDADTEYE RERQNQIMTNKKLLEDVGLGNPSSYSFRSSRNSSSNGGGRPRKGSTPMRQRLRLDGPV RASPRIKEMGRSISYANLDDDERHAAASDDEDEYDSDAARGEEDEFRPSKRSKGSKGG YRTKSAAYNAPKMVAKPQLSLWGLLQVYGDIPFLFPLFYYTLNNDLTINSDSVPLIGS IPSTCTPLEKADTLRGFFHRGRRVLAQLDAFTARCDRKYEGPDERWPELDYHTRIAIR DVRRKVVERCENYKYTRRDILDKCLGKNKWPPIDEGILEWQVGMNTNDPAGDLVNVTL TLPTPPPEVYNQQMRYGNIYSSSSSSGRSIKPFPRSRVGSSVPRTISINMGEPEDSYS SHPQTSTGYPTGHSGLSIYPPPLTSNGGMYTSSAIMPPPPMYGEDEVPMSVQMPSSAP APAPAPATGPGGMNMGINTAPPPLTSSVPSSTAGWSAENDHTLGQRGVKRSRSHEDRQ EGVRDDDAQSFESEEDGHR I203_07090 MADPVAIDHAAQDGHAQTNDASAGGMTNDVKAAVGQMAAKDSSL GAQVHMFDPDATPEQKAASKAQAKASLGLPSSSTIKSTLGQVGSYVPGVTKKEDLDLG GRAVTIDTSTGKSAPAPTVTLADVDKASKAEGEGVGEQDIPGAIPTGSAPPIPTWLKT GWRQVAGLGKDDKTAEESNILAAYLTENMYGAWFHNAGIILFSILMTRFVTVFHLGWG WVVIILAFCSSYYSLSISRTRQRARDDIQRELVKTRLVTETESAEWMNSFLERFWLIY EPVLSQTIIASTDSALAGVAPAGVESIRLTTFTRTNTDNSTAPRIDYVRTFPKTPEDI VIMDWALSFTPNDLQDITPRQAAKRVNPKIVLTIRVGKGAVSKGIPILLEDMSFTGKM RVKLKLMTNFPHVQTVDMSFIEKPTFDYVLKPLGGETFGFDINNIPGLAPFIRDQVHA NLGPMMYDPNVFTIDLQQLLSGTPLDAAIGVLRITVLDARGLKATKFGGGDPDPYVAV ALGAKPAVTHTKTIPSTSNPSWHETHFILLNSLADVLNFNIFDYNDHRPDSLLGTVSH ELGTLTDDAEQEGVVGKILGGGKDRGEFRYDLSYFPVLKPEKNPDGTVEPVPDTLTGI VRLTLHQAKDLDISKLYGNLSPFAKVFLGNSRKEVHKTQILKNANQPIWESSCEFLVP EKHNSVITVSVVDSRDLAIDGDLGKMTVKLTDLLEARARQQDWFPLRGSRAGKIRLTA EWKPVAMTGSIGGAAAYVPPIGILRIWLKRAIDVKNVEAALGGKSDPYVRIMGNNRNL ARTEVQNNNLNPEWDQIVYVPVHSLRENLILEVMDYQNIGKDRSLGHVEIKASEFIES NEGEKQNEYPYKSKGSQERRDKIKLEKANQYKGELLYEVDFKPALSLKGGVSFEAQKN ELALAAEEAVSQDGGAQEALADTNTPSSAPPPNGDGTPGHRPSQSTGNASMVSAVTAQ TTVSKADGALTPVEDVEKGVDMSVEEILASTSGVLVFQVISGQLAKKGSLEVMFDDGY WPSFTSGKARSNHPTWDQVGEGFIRELDFSRTWLRINAADENDDEDIVAEYKCDTHEF LEQCIRGPADFLLSQSDGSNRSIVRLAARFVPVDIELEPRESINNMGVLRVDVLNAKG LHGADRSGKSDPYVVFTLNGMKVFKSETKKKTLSPTWNESFEVMIPSRVAAKFKFEIN DWDRVGSATPIGNGFVDLAELEPFQSTELTLPVISEKHGEKGSLSIRVMFQPEIIART RQKTSTFSQAGRVVTTIGGVPLGVGKGVVHGGGAVIGDKNGKEVLVEQPEPGLDGFEI PAGQVSEPSGTGLDGAIPGGGVPGPKAATLPLGEGTGPSEPGTLGVTVIGAKDLKAGT KPYVQLRLGGKTYKTDHVKSSTPDWDETFNFNVAPGTASFNVIVYDHHTLGKDPEIGT AEVDIFRHIQPAIPNADVWVELGSGNGLLRLRLDWNTGIGTSASGRARNRTPSISSTK AAAETNGNSPSKFSMRSIKKASVDKTNEGL I203_07091 MQARPTASGPGPSTEYHPPLAPSIPLSAINTLIPRLTTTINDID SLRNLIASGYNDGTLPTWDTLLQRYSLLLGRINALTNYLSPPNQANQPNKPSTSIAPL SGYLIHPLNPIPPPGPGANNDISPLAQETFLQAINTQLLPSDSVSNEKQSQGVPQSQS NWHSIEELRRLDERSLELLKRQLRERLNRENLKIDVIKREIERREEEVDWAMRIGEDD EEEEEEEKNKEGEEGGEEEDDLFGGDDDDATDEPMVIDVDAHADKSNVPQPEKHKGQG DGEGEGNWKVEDFLKFMDTGKLPLSTDQ I203_07092 MQQLLNPFAQKYPEAVDSTLSSQGVSILFNPYGPFAGHYLAVGG SDGIVEIWDVETRGIVRLLEGHVKAVGGLSWSRNNRYLLSSSLDSTVIIWDLSILTHP HLQPRTPASSSTSPSSSTSRLHTIRFNAPVSTAVFHPRNSKIILATLTCGEVVLVDLR DGCRTVLEDVVEDEDDQGQDDTDRRKKSIMASAVFSPCGSRIYVGTANGMLLIIDPVS KFVRQRVKLATSAIRQLRFDASGHNIITSASDRALRILSVDPLTASLTPLHRFQDLVN RTPWHAIGFSGDGEYVMGGAGHKMAHNVFIWDRESTVLIKVLEGPKEPLIDCDWHPTR PVIASIATSGDVHIWQTSSPDNWAAFAPGFEELEENIEYDEREDEFDIEDETDLARRK NLEEDLLIDVLTPPIDTYPLPPKPIIHLPAQYLAPTVSDEIRLEGEGHVKTLMGVMQW ADRDPDEDDWEGFYMSLDLNEELGEDEENGLN I203_07093 MMLMNSHNEKYQSDDADRIIISSDDISFSAHTDQLKSHSLKLPS GGEEGGQLPEIALCDQDLEISPNVSLFLDLIYGVDLAPPNYHSSLARFQKVYPLIVKY EAHMAIERLKYFL I203_07094 MPPPTPLFPTLTVSLSSPDDILLEPTNPPLALPILDKRQTYVTV SVMKNTTDDSTTSDKSSAFPVAIAIPALIGGMALALAGFGIWWWWTRKVKRERREAWE ARQRRKRKRAEQSARPSVSSATRTPPSGGQKSPINEKGFVPPLPTLPKHAATQDPYKE RGYGYSGQHQQVPPPSSQVGGAFGYVTQPGLEPQQASYGYDQYGQPIIQQQQQQQQQQ QRPQQYGHSRVKSNDSTNPSNPFSNSNSAVPDLPPTSHTKEEPQPSGKSEKSSKRAQA RMNIADSAAANASVDPAYRHQPKKPSPLALAAAERKAAEARMEMEYLAPPGAEQTLPA YDSGNAPGVNRATSGEWGVALGSPNNDGQFDFNRQQQQGQYDDNVGTHEDPYLQAQRA KSGMYTEDPYASYHGDNGDDDDVYHKAAEGMGLGAGNVTTSSTPKKSRWV I203_07095 MSTIVDSRPRGTYIPADCPSCRSQQEYMVPPTFIGTLRVRCAAC KQFFTHPQPKPTSGTSRQGGSTSNNTNGPSRDTGRRGIGTDKNPIDLAYYEVLGLDSQ CTTEEVKKAYRRLAIKLHPDKNRDDPDAEEKFKQISIAYQVLSDPELRHKYNEFGQKN GGGVAEPAGGFQDPEEVFGKMFGGDRFEDLIGQISIGKDMKDAFQQQHEAEAGDYMIG PTGKPMMTPDAMQRKLLRDRAVAEEKARVRRERVEKLAKNLVNKLNIYTEAAKGTEDK LVGASFKEICRLEAEDLKEESYGTELLNAIGKAYQAKSAQHMASSQFAPLGWFHGAKN TFNVMGDTVATVRSALELKAVFDKLQQAEQSGMSPEELRKLEEQAAEQGIRTLWKGAK LEVESVVRETCEKVLTEPSIPKEKLHMRAYALGLMADAYLAIRKDGESPAAEEFVKVE TPASKQRDAANASGKPPVPPRPVNGAASASTPTPAPAPAPAVPPRPTTQTQEKPAAAT TSNASAADKEKEETLNAAYKAYESKRRSGGNPGGPNDTFS I203_07096 MRIEKCYFCSANVYPGHGTMFVRNDAKCFRFCSSKCHKNFKMKR NPRKVRWTKAFRRANGKEMVVDSTFEFEKRRNVPVRYDRELVATTLKAMERVAEIKAK REKAFWKNRMSGNAAKNARDAALNIEKHIELVQPRSGTTAAAVPNLEEKEKIREKIKV RAAGRKAMALQQLEGTNKSKTKKESRLIPSGGASMGMNVD I203_07097 MLGYDVSHSEHSLGPTCRDGEGITDAYGCWLDSLHQIEALVKAG VKDIVLAVNYRPEVMVSVLKKTEEEFGINIHFSVETEPLGTAGPLALAREILGKDDTP FFVLNSDVTCIYPFEAFRDFHIAHKCEGSIMVTKVAEPSAYGVVVTKPGSTVIDRFVE KPVEFVGNRINAGIYIFNPSVLDRIQLQPTSIEKEVFPAIAADQQLHSFDLPGFWMDV GQPKDYLSGTCLYLSHLTSTHSPLLTDPKQNKWVYGGNVLVDPSAEIDPTAVIGPNVV IGPDAKIGPGVRLQRCVILSNATVRDHAWIANSIIGWNSNVGRWTRVENITVLGDDVT IKDELYVNGASVLPHKSISSSITEPRIVMCKF I203_07098 MAPKGGNAKKESGRAKKAENEEKKGKAAAAAKEAKEAEDWKSGA KNNSKADAAANKAAEAARKKAEKDALLAAEEASLPTKAKSAPKASGSKVKKNNDVLVK TGSGVAGYGLNDPMGHRRAKNEFGELEEVPELSAQGLEEMLEAMELAAISIDAHPERR FKAAFEAYYERELPILKEEHKGLRLNQMRDILFKQFQKSPENPFNQAKIAYNATKDEK VEALQNIIKTKENKYTVQH I203_07099 MSAQLDLDSILEFTINLALEAGELIRSGQQKRFASESANEDEKV NSVDLVTEVDKAVEEFITKKIKETYPDHQFIGEETFKGQKITDEPTWIDGTTNFIHGF PMVATSIGLAVGGVPVVGVIYNPFLDQLYSAAKGRGAYLNRKTKLPITGKLKPLQSLG HALIAVEYGSARSAPQLPSKVKTFQALAGSPELGGKMCHSLRSMGSAALNIAAVASGG LDLYWEVGCWAWDVCAGICILQEAGGVMFGPKTSSLSGEIDGDLLTGRKYLSVRGIAP TATETSLQVQQRFAKEFYDTTDDIEP I203_07100 MVHLECRYLLVSYSRHQALKMGRGPKKHLKRLAAPSSWMLDKLG GTYAPRPSPGPHKLRESLPLTVFLRNRLKYALTGREVTAIVKQRLIKVDGKVRTDETF PAGFMDVITIEKSGEHFRLLYDIKGRFTIHRITPEEATFKLLKVKKHQLGAKGVPYLV SHDGRTIRYPDPAIKVNDTVKFDFVQNKIVDHIKFEPGNVVMVTGGRNMGRSGVIVHK ERHLGGFDIVHVKDVLDRTFATRLSNIFVIGEGAKAQVSLPKGKGVKLSIAEERDQRR RQRAQDA I203_07101 MPTFRNFTGDLKLSHTVHSKYSTETDFAIISYDLVQFNVEKEII LRQSSTLRQIVSDFEGKGQASKGIIFLQYGYSNILESFVRLCYGGPLETPKPQNA I203_07102 MPSDARNSRDVTFWKSVVSDFQEIMRDFENNLWEGNRVDKGKLP PTESYTQKHLKQKYQFDDSDLTIIASDGAKLCIHRDILNHTSSTFKDVLACLQVHPKS ATELEFTDTEIEASRTVKLILAFLYNPVDIKSPASEEVREFVDLIQFCLKYDASDVLE NLRTYLYLWNRIGSVSFADVFLAASYMDDLPLMVAAFSNPKNIWGGGRVRADHTTRNT SLGMIEGAPMFDLTAAPFEWFVQIPMDIKWSLLRGTRRSFFQPLNDQRWLRAGTVFEI CVKRLPTRSRGVPGGRKLMTAPGDEDVRDSFEQ I203_07103 MSDIEDPSSPVDLKSEDERPVTKKRPRIVDPDEEDDVDDADEVV KADDEKAEEDVENDLDEDEDEEDDEEDEDDDEENDGERRRKRRRKQKRYRFLDVEAEV DDEDEEEDEDNDYGDVAEFIDEAPEEGATQSDYQHRRLDRTIGRNEEEDVQDIVQRLK ERHARTAAARYNGDSDAVPQRLLMPGVNDPSLWRVYVKTGREAAICASIFRKVFSQQY SANPIEVISVFFRDSLQGMIFLEARQSASVSAAIAGIVGIFPSRGVSLVPIEEMAPLL KIKKKDVNLIPGMWIRMKRGKHTGDLAQVVDTDQITSGVVGIKFIPRIDLTPREKRKE RAANGKGFTSSIKPPSRLFSYDDVRKIYGRQSVRQGAQGSYFFDNDEYIDGFCVKDVK INVIESENVNPTLEEISRFSGDDQSTAKFDLSAIADANKNLTVSVLLPGDQVEVYEGE QTGLYGVVETVTSDVISIKAVGGEVHDQIIEVPSRSVRKRFDIGEHVKVLAGKNQDAT GMVVEVKGDVVTLMSDQGEQEIKVFSKDIRKAADTSNTTKTGGLYDLHDLVMLDSTTA AVVTKIEGALLRVLDQNGAARSVTPDQVTLRRDNKRLAVATDSQGNDMKVGDNMKETE GENRQGEVINIFRSLFVFLHNRDLTENNGVFVARAQSLISVTPKSAVSDLGKINPALN QQLPYGGASLMPPPATNVNRNRLINTLVVVIKGTSKGLLGTIRDIQGDNARVELKTNN KTLTIALTSLKRKDQKTGATFPLEAGGGPGGYGRGVGAGGYDVNPYNGAPMNGGQTPG GFGGRTPAARFGQTPNPYAAGGIGGGKTPNPYAAGAGGKTPAPGWGGAGGKTPGWAGS GGKTPAPGFADGGRTPAWGAGAAGMGGKTPNPYGAGAGPSGGRTPAPAGSTYGSGMDT GGSRYNNGPITAPTPYGGPPTVNSAPTPAAPSNPYSAPTPYGAPTPFAGPPTYAAPTP GAALSAPTPGLGGPTPYGAPTPFGAPTPYGGGAGGGPQVAAGAIPWDWALDFRNVIVE VGSSSKPNSRSPLHFQRGAHDGKRFGYDQINGESCHCISLDDSSIIEDIPAEYLRPCK PDQPGQVVVCIGGGPDQKGQQRTTQYENDGSWMMEMDHGDLGALVIESGDLCRIWKM I203_07104 MASRRQHNNENLIHPVRRAGGVVHTLSSANKIKSTSSHTKENIL TSKSTSTSKNVSDKTLRESKKRLGAGKLTEPVDDKQTLQSSRKVLDLKGSSVKPFRSA LSLKSTGSQSSQLSLKPRIAATPSSRPKHSSISAQTQTPSQVRSGKLESTLNVYTPGP STSNISKPKSKSREKIDEGQRDDIDEVEYMPPDTKDPQDDGLLPPLQSTSSVNMDLDL DLDLDLDNRMNDLHPIEIPGNTDLSFELDLPPNQDVLRVDTNEQARPQDDFLLDL I203_07105 MRPKVLEISWHETQAVYSCDFQPLPSTQLKRLLAASTSQSENEE QSASTSTSTSAGAGVGTVRQYRLATAGGDSKVRLWMIHPNIPSISSLQHTALTGQEIT PHPPRVEYLTTLSKHTAAVNVVRFSPNGQTLASAGDDGNVILWVPSDRPVVSFGENPD DVQDKEHWRLQKMLQVTTKHVYDLSWSPDGEFFIAGSTDNTATIWKAATGECVFALRE HTHNVQGVSWDPLNEYIATQSSDRSVHVNTFAIRNNIPDVHPVSRATRMEIRHSRTPS IPSSTRPNLARRASTTSETGSVITTTSEYPEQTPLHHTSVSGAPATPNASVPSTPQAA AMNPPLTSNRPGSRRSSFSGSQAAASPSLSAAAFPGRGRSPSPIPPLPAIRAPPTSTS AIMQRLYGEEGVTRFFRRLTFSPDGSLLLTPAGQIEDQIFKGSPMLSTRSLSQDTLDR EPTSTSAGPSSVPKPKNVDNGKPTVYIYSRSNLAKSPVAHLPGHKTAAVAIRFSPIFY DLRTSSSSSFNTGEPKHVTLDRSDPSPINVSLSMPPPPAPQGEKEKDRPLGSVFALPY RLLYAVACQDSVLLYDTQQAGPIAIFKGLHYAGFTDIAWSPDGQTLILSSSDGYCSIV VFDLNELGTVHPTQQHHRQLAAIAQSHSSSSTSSHTIPTPISHRETGSANATPTPVPH SPAVSVARHSPAPVFGSRSEREGSSASSIPAAPVFVPAVNPSANAGPGVASSVASASS FEGVSLPTPSDEADTFSFGRNRTLSMTGSESSNTGLGLGVGVGVGVEESGLKRPASTS GNEMSQQQEGQAEGQPKKKRRIALTHLGDDVGQ I203_07106 MSGIPSLNSSITSAWSTSSNSNASTIPKKRVKRSLGLNNNPSSN ALASGSSSNAPSPSSQANNQPQTQGESSTQVQNEDKTSTPVVRRKRPKPDPESIAAKY APPDLKLSLLGGLQSQITQLMEIVVLPLLHPEIYQYTGVPRPRGVLLHGVPGGGKTQL VKCLAGELGLPFINVSSPSIVSGMSGESEKTLRDTFDEAKKIAPCLLFLDEVDAITPK RETAQREMERRIVAQLLTCMDDLASSEEPVVIIGATNRPDSLDPALRRAGRFDHEIEM GVPSQEGREEILRVLCSNLRLSGDVDFRLLAKSTPGYIGADLTALTTEAGVIAVKRIF EEMSSTPNPVPVSEHIQETEINGDHEILPPPSVSDGVENMIIDDVNPIAIPTSTPANI TPTSTSTSLAFSTLSSDLKETAIAKFLISHPSPLTSSELQSLHLTSEDFKKALKVVQP SSKREGFATIPDVSWNDIGALTTIRDELHMAIVQPIRHPELFSVVGIDAPSGVLLWGP PGCGKTLLAKAVANESRANFISVKGPELLNKYVGESEKAVRQVFMRARASSPCVIFFD ELDALVPRRDDSMSESSARVVNTLLTELDGLDSRKAVYVIGATNRPDMIDPAMVRPGR LDKLLYVDLPSPEERFEILRTHTSRNKTPISPEAWNGIRDIVLSDKCDGFSGADVSNL LREASTLALRNTLESLGAFEVNPENDPLSGDREMEEKKNKKAQVIVTQDHFVKASLKT QPSVSKEQRLKYERMRDKYAGVPTKGKRSREGGLVDREDERKFSKKDEGEGEGQMDVA I203_07107 MASQEQDPFVGGEGEEDFEMMAPLLVAKLQEAGISAQDTKKLSD AGYHTVEAVAFTPKKMLCTIKGISEQKADKILTEACKMVPMGFTTATEMHSRRSELVH ITTGSTGLDTILGGGIETGAITELYGEFRTGKSQICHTLAVTCQLPVSMGGGEGKCLY IDTEGTFRPVRMLAVAERFGLNGEEVLDNIAYARAYNADHQLQLLVQASAMMAESRFS LLIVDSCTSLYRTDFSGRGELSARQMHLAKFLRTLMRLADEFGIAVVVTNQVVAQVDG GQFAVADAKKPIGGNIMAHASTTRLNLRKGRGSSRVCKIVDSPCLPEAEAIFAINANG IGDPEEMKEQ I203_07108 MKPVGSLSLGRKLPSAGSLLVGILVGLLVASLLRRGDSGTEWRG SGSKNLRPNAISGSSRLQRSQITLPSLQQRLHILQLVGTLSAHHTKECLRHPQQIYVE QAKERYLPLFGFKKSWSNSGSFLAKLFGGSKDADQLMPHQRKMENIKRDLSSQQHKYF FAINLYNSFDVIPDIFATLFRTAAILGYHNVFVSIYENGSNDQTKALLKIFDSLARTV GLRIIIRTSMRTRGQFNHRIEYLAEVRNAAMVPLHELRDNEGEVFDSIVFMNDILPCV DDLLELIWQSRRQNAGITCAADYMYHDDIGAPVFYDNWVARDINGTALENAPFEQVFH HTESNHRFQRHLPIQVQSCWNGVAVLDPAPFYHPPHVKFRMARLPDGECSASECSLIC NDYFNAGYGRIIMVPRVKLAYDKKVYDIIHPERRNLTAIRGYKRIGGLPDDPRTDPQD RSWYGPHDRLFTPDEEDELTFIPGPEYVWCWGWDGAGDLDGPDVDPIWEHMQPRSYSQ EAIDVKHFRNMPGW I203_07109 MLGLTRPAVSSSFSELRSQLFAGPSNLANQVRFASKAAGGKSRN GRESSGKRLGVKRFGDQYVPPGSILIRQRGQTFLPGQNVAQGKDFTLYAVQPGYVKFY QHHLPYPHLSRPDQPGPQNLAPVKRPRQLKQFVGIVADREERLPRDELSRGRERRFWG WPKEKAEVVRQEGEVASL I203_07110 MSGEPSSSSSKISKKSGRTPEQQAARDARKAAKLAAKSSTSTST TAVTELPAEVPAQTDGTEGDAESSKKRKRVVPEGEELEIDVSAAAPLSKAELRAQRKK AKRGEEVEVVKREYEKPDKLPKEKTEGEGEVTKQDGIASGGSGKRQNSIWIANLSFKT TVESLTEFFEKNITEAGGAGNGSITRINMPKKNSREGFAQNKGFAYVDFKSPELQALA VGLSEKFFEGRKVLIKKGDDHGPTPNARTPKPLSTKAEDLGSSSRRPETSSLYMGNLP FDATELAIRDQIEENAVEREQPSEEQEALEEIGERGGKKSGLKKVRLGAFEDTGRCKG FAFLDFISVRHAKVALVNRKNHYFNGRRLTVEFASEEAAKRSGGKSKPRLPTGDKPKK FYESTPAGETSAGVEQDGTTEDGPVEKKNDKRGKKWEVTGRPRPGAALAMAKRENVGI VEGSGQKITFD I203_07111 MSSSSSSFKRDRDHLPFPHNHVNIPPQFHSMIAGAGAGLVASIT TCPLDVVKTRLQAQHFARGSEGYESVSIIISKIWKSSGPKGFYRGLGPTLAGYLPTWG IYFTVYDLVKDRAGGWNASHGPLQGNTAIVHIFAAMTAGATGTIMTNPLWVVKTRFMA QAGTTDSSSRYRTTIGAIRSIYRTEGFRAFYKGLLPSLMGVSHVAVQFPLYEKAKSWA DSDNGDHSSLPPTTILACSAFSKMVASLCTYPHEVLRTRLQIRKSSSSTVAEPITTSG KSKLHPQSIPTQPTSATPLYSPLVTGNQPPHPHAQTNFNYHPSINNPIPNPLPKIELP KPPWYKQIIHKPKKGGVIYTFLQIKNQDGWRGFYRGLSINLVRTVPNSAVTMLT I203_07112 MTDRHIFPDHTTLVFRSLRGLVASNPYLNLIPSLKVVYRADHDS SKVSLICGGGSGHEPGTVGFVGKGLLSASVAGDVFASPSARQVNAAIKMVESEKGVIL IITNYTGDNLHFGLARLMAQSAGVKNVELVVVGDDVSVPRSRGKYLGRRCLAGITLVC KIMGAGSETDMSFDELVPLGRSLSSNTASIAVALDHCHVPGRSGDGDWHIEEGRCEIG LGLHNETGVFNIAQPGPEELITKLLDLLLKQDDPERSFVKFKDGDEMVLLVNNMGAMS ELEMGGVVDEVLVQLESRNIIPVRILQGPFMGSMNMPGISLSLLNLTNVAAENSFVST SRLLELLDAPHNSPAWPATSQRYPLPEGLRNRKREDAFTEVEEEKEEVYTGGAKLVVD PKPIQQAMKTAAENVLALEPQLTKWDTIVGDGDCGETCALGAKGVLSALSKGLGSNGD LVELFRTLTQVIDDSMGGTLGAIFSIFLAGLTTSLIKHAEANPDEKVNEEFFGKVVKE ALDTLQQRTAARVGHRTVMDSLIPFAETLSNQGNLQNAVEECVKGGENTIKQEAKMGR AAYAGTGAERGEMPPDPGAMAFVEVIKAIGKVFA I203_07113 MARGSLMIVEVISSHMGLGLSQPPYTIVLACDDAGHDYKSTLKS LLEADKRVKGVIDVGVHKNEEGKIDKTAYPHVAVDAARKIISGEADRGLLVCGTGMGV AISANKVPGIRASVAHDSFSVERLIKSNNAQILCLGQRVIGVEVAKKLVGEWLGHTFD PESASNDKVKTIHDYDGFEYEAVPGGCS I203_07114 MASPRASAEPTLTEKPRRSFFGGNRNAVKQPNAIAPGSGVVGQT ETGAGYPSHTGRSRQGGFTHDFKFGTWIRLHAVDLITMAAMGAIGLGVYEADPAPARS FPVFNIDGSIAYPEFAYPLRRNIIPIYAAALIAFFVPFVFFALFQIRIRSLNAFLGTT MGLLESLITAAVFQVFHKWLIGGLRPHFLDVCKPDVSQLVQSGDGFRQIMYTRSICTG DQDEINDSLESWMSGHSTAAFAGFVYLFFYFNAQLKVMSDHRPAYWKMILTIAPLLGA SLIAASLTIDEFHNWWDCVGGAITGSICAIIAYRKTFAAFWDFRFNHIMLPRSTSLFH RRAVDGEVSTSRFSYTPQESMVQRPTAGEGGWRRDWGSAGAPSDASALMV I203_07115 MVDATANGSSSSNVVLITGAAGWLGGILASELLSDPKTPNVKLI LADIVEPKAPKGAKHALTFKADLTNPESISTLYDTEFGTPDTVYCFHGIMSRGSEDNF DLGLKVNIDSIRYMLEAARKRKTASGELIKFIFTSSLAVYGGPLPHVVDIHTIATPEG AYGMGKLSSELLVNEYSRRGFVDGRILRLPTIVVRPGVPSAATSAFISGIIREPLHGV EAICPVGNGLDSPELELAAWVASPEITIQNFVIAKHIPKEKFLPHTRVAYLPGFTTTV REELEALATVAGKDALKLVTFKDDPTNRRIVSSWPARFNNEYPLSLGFVVDQGGMVPI VQRFKDAVEAGLA I203_07116 MSNQNKNNLPPWYNGGNEPASGWTPSWNHVSMDPSNSNSRQQTQ PSYQPQDNPNWYPGQIETPTHPSRGGPTPSRAPTRPPAPQPSDRQQLHEQLQQSQYYD QAFSRPPSANTSTESQLQQTSLSTSTQPSPKTSSVTTQPTPAKTESTHAGHSGSSKQT ERKGGPGEASGQKRKRKSQAAAEVDEQSAPSPSEADKDKEKRTKTGRACDACRTKKIR CDILPSGDEPSGLDSQPICAHCKQSNLECTFFLPITETRFKKKRHTADKSQAASTDTQ TISTGLVPNGSLTQNSGGPDTYEYENMGGGVLKSDDRGGGRVEGEFTVSSSSQLHGAN SQCTGPTSIAFLLRTTIPTIPSEAFDLRHHNSWEVLEDGNGLIRVNAPPSPPLHADAD PSDPTRAHNRLNKPVLSGQTMSLLVNAYFSEVAPLFPIISRAEFAAKTPPSPLMLYSI CGLGATRRQFPREIFAGVRGVINGLLRSNDVLSDAKIENVQALLLLAQVGDLHAQPTA ATASASLIRTGAAIRMAQDLGLHRESAMRAQGAQDLAYVELRRRVWATCVIMDRWYGA ALGIPLLIDLLDCDVLLPAPYAISSSTEPSEWPLEPDFMALSEHLKLSILIGRVLKMI YSPTGLKHATDAQLAGLVSDMEKWKEQLPQELQFQGKESSHVAGLLHLGYTALQFLFW RVFMRITYSCPPHLTFCVEITHWSKMIAWSRAALEWLDANDDALDTLFIFPYAATSCA LIQYHTWARRGDSVALNTLKLVKETATRWEHAVQPDQMSIRRKTCETMTLLYEAALKT DPDSQENRMAPKIPANPTAGVIPRQGAFSKLQSRKDESLKGGGVFVAESEKERHLSGL NRGDVVLSSDMDSEQAQDLQDQAHDRVQTHNGQIVKANVVADQQAPEGLQQDVSQAKD ASVKDVLQVMPKETYNVNPLINQNGANGNTSMNFNQSDEGGMALSGGMMDITRQAQQY PMPDYPTQPHESQEYHFHQIPGSGGQGGKPEQHDTQFQNEPHHHHHQMYSGHGPGQGP GSAPQYHQDLPHEPHNNNKTNGYFNVNPYHSSQQGPGHTGPPDNNNNSSGNFDPSFLD SLPVSTFDWESWTNYFDKFLPAANQNFETMQ I203_07117 MSDNKQKVILQTSDDEQFTVEKIVAERSAMIKSMMEDLGEQEGQ AIPLPNVSSSVLTKILEYCDHHKNEPLPAADSSDADDSRRKTSEIGEWDARWIQVDQE MLFEIILAANYLDIKPLLDVGCKTVANMIKGKTPEEIRKLFNITNDFTPEEEEQIRKE NEWAEE I203_07118 MDYASSSSNAQFNYNIDQLEIEPGQEAGPSSPPSTKKRIRRESS SEPTRVQLACFYCRSKRIRCNGVKPTCEGCTKAQVKCEWPTSRAKKRTKKQMEEARAK EKISGEGLIADDTQQDPSFTNSFTADPNDLWNLANSTSNYLWPTDFSLPTNGNGNSNG NGNSSMGITDFSQAQAMQPPLASAMLPLTSNPTTMTDLGLTLPTDWSPSADLRLANAL EDQMAFINGNPGEDKDLELFYYRCSGSTAIHPGVNRISLKLQRRSAQSPLAAPQPAED ESPSAYVTPSSDLFNDQGMPHLHVFQPLLALFLKHSSQHFPSTSHQRMLERLETGTMS QFLACCISALGARFSQKDPTTAAAPFIAKAQELVIPLLHLPTYDVATGLLFLAWSNYG QNSESGLWQFSGMAIRMAIDLGIHEVSELYESPAHMVRTRLLFWSMFVTDRIIAFATG RPASIPEDIIEIPLPTDEDFFPDPARNLPTSPVEPVEPVPFVQLVKLMIICGRISNVL NGRRGKSRTLVSSVEPLPELLADLKIRLIQFYSNLPESLTWSADNFKHQHNRGHGGTY LSLHLWANAVLALVYHPELLKSPSGVETPLNKSMDRNVKLSLASSRQICECMVFADLV DSKSYTSTPYLTQPLFVGAMAFIYEMRSLSISSSDPTSQTSATDVLMLSMAKQNFQAL LNAIQRMEDYWAGAGYVAQILEKRSGSPRSTSRRANKKTFISLPDTGLLKRFTTDPEH PSNVAPHTETSLRESIARSERGSSFSASGPTPLWLADLMSGYTVQNMSFAPADTLDLE RLLASSAGYGIGETSGSSGFGMLKRSESDETPGSAGKL I203_07119 MSLENNLTLDILKKAEAGGYGIVAQTCYDAGMARGLVRAAERNK SPAILQLFPITLQAGKGPFLQYCLTLAHNASVPIAVHLDHATDAEHLELSIGLAEKEG IKFDSIMVDASHADNEAENIAIAVPYIKRCVAQGIATEVELGRLEGGEAGLREITGGM LTDPANAEQFMKETGAHILAPSYGNLHGSYKFIGGPKYKLEILQDLQRRFKDRIPYLC AHGTDELPDDLFQDLVKSGVSKFNINSWARDPYAETLSKALASKPFPDSEEEAIEAFA KVCDRFMNLLGSSGKA I203_07120 MSTQDHLDAPPKKVDEWHENDEILDQDAVALAATYTPGSDAEKA LVRKIDKRIIPCIWILYTLSYLDRANIGNAKTGGLESHFKLTSSQYSIVLLVFFISYV VFEVPSNLLIARLRPSLYLSGLCIVWGGVAACMGATHNYQQLAGVRFTLGVLEAGFAP GVAFYLSSWYKRYELASRFSIYYTATAVSGAFSGLLAGVITQHLDGARGIEGWRWLFI IEGVGSSFVGCFTWYFMPDWPSTTKFLSGEERVLAAQRLAFDGLANTGGAQGHIGHWQ AIKMCVTDWRTWMFVFLYMLCTGAQTIQYFVPTLIGAIGWTGYTGQYHTIPLYAAAFV FILTFCFTADRLQRKPEAILLASSMGVVFFIIVVATTKHMVQYVFLILAFGCVYALPP LILTWVPNIIGHPAEKRAVSIALVNALGNSASIYGVFLWPKTDAPRYIPGFSATTVFM GLITIGTILMKFLLAKYPAEQLDSEKMVLDEIEKQRAQGRLPPAQA I203_07121 MSGRDARDRAPRVKNRAPAAVQITAEQLLREAQERQEPSIQAPK QRVQDLEELSEFQGRKRNEFEGRIRYSRDSIRAWIKYAQWESSQNEFERARSVFERAL DVDPRSTELWLKYTDMELKARNINHARNLYDRAVTLLPRVDALWYKYVYLEELLLNVP GARQIFERWMQWEPNDKAWQSYIKLEERYNELDRASAIYERWIGVRPIPKNWVIWAKF EEDRGQPDKAREVFQTALEFFGDEEEQVEKAQQVFAAFARMETRLKEYERARVIYKFA LARLPRSKSASLYAAYTKFEKQHGDRAKVELTVLGKRRIQYEEELAYDGTNYDAWFSL ARLEEDAYRADKEDGEDVEPTRVREVYERAVANVPPALEKRYWRRYIYLWLQYAAFEE IDTKDFGRARDVYKAAIKLVPHKTFTFAKLWLAYAYFETRQLDVTAARKVLGAGIGMC PKPKLFSGYIELEMRLREFDRVRTLYEKFLTYDPSLSSAWIQWTQVESAVEDFERVRA IFELAVQQALDMPEIVWKAYIDFESGEGERERARSLYERLLERTSHVKVYISYALMEV SVLGGGEDEDGNEIEGEAGDPELARAVFARGYKDLRAKGEKEDRALLLESWKSFEEQH GSPEDLAKVEEMMPTTRKRWRKAEDGSDTLEEYWDLIFPDDERDANPTSFRFFQAAQQ WAAQRGGDEGEGGLSYDLPSDSDEEEDEDEGEKDAEAMDEDD I203_07124 MFSPKVLYLLHTKKTMSAIQEVEKQLVINEYNKLFQFNDSDLTL ISSDGVQFKIHKYLGLFPIVSPVFQGMFEAGDQDNTSTGKGRIQLTDDTLENGKIISV LLRIIYGIKLDPPSDWLELHNKYEPLVKLVDKYDIIIAREHLCTCFTLWAMTSEYLTH RYLLLGSQLNRHELVIAALKNNTASTWQASTDNLRRREALVKYHIKGENTLNLASWSL KHIRSMSTDHIFLWLRARENVLGSAKPRIDYKAIGTEFEKLLNDLSK I203_07125 MDEATLHDLDAELRAIRLDDGPRLQPLRLDKMFLRARQWHAANP RSYASESLYRPPTPPKSAPIYPLPKPLLSQSKPQAKGKEREGKEEGVSPLSALFDYPE LIPLVLDSFDQPRDLARISRVSKEWNRIARKKLYKHIWVRPWEDGCHFKLVLLFDTLH KHPELCRMVNRLDVRFFPLAARGEERSEMDDQVQKAMGEMDNLESLVWTRDRSINPSL FERIADLSHLRSLEISGHSYRYYDPTLLGTMPALEDLRIMMPDPNLKSKLVDVVRSLA ARPIGGLRGLGIICQSSSLIDDAILKTIASDLNKLKRLTLWGCTRVTTDGVFSILQTA GDEVEELSLDALTHSGLMDLSNSPSLPRLRTLSLSITVPHQDHKEMGHTISFFELPIL PSCPSLESLHFTLSASQLFLPLGAYQQFHEQIQHPEKIRKLSLINSVIATDTFEFILE EYKSLEELYISVNSKTTIIDSQGLIENGQGLEILHVNGPERWGPNSDDLKVIAERLKG LQQVGSGNRVYEVHRTLTEEIDGNGNFVEDTQLSRWSKSWIPGYFQVWRA I203_07126 MERIGAHSHIHGLGLDSNLEPRANSQGMIGQGKARKAAGVILKM VQEGRIAGRAILMAGPPSTGKTALAMGMAQNLGSDVPFVMLTASEVFSLEMSKTESLT QAFRRSIGVRIKEETELIQGEVVEIQVDRSITGATKTGRLTLKTTDMETVYDLGTKMI DQLQKEKVLAGDVISIDKASGRISKLGRSFGRAKDYDAMGADTRFVACPDGELQTRKE VVHTVSLHEIDVINSRTQGFLALFAGDTGEIKPELRDQINGKVAEWREEGKAEIVPGV LFIDEVHMLDIECFSFLNRALENELAPLVVMASNRGITRIRGTKYKSPHGIPADLLDR MLIISTKKYEEDEIREIVKIRAEEEDVKLSTESTDLLSTMGTQTSLRYALNLIAPSNL IAQRRKSNTVDIQDVRLAYKYFCDVERSAQYAKETSGMMFGETEEEIGANGNGMQIDG Q I203_07127 MSQPISFTVRPPASASYRPSPLGNGSSRGAPSRRLFEQNGHDEE SDEEDHHSGSRRSSKPRDEKIEGFGKGRALGGEKPSGPLVIPALPNKDWRAHSSSNRV PSYRPEARDPNEEVETHERTGDGPQKSGLRNVARPIEIEPMGEEGEEDVKPDIKTEPE VASSSGAEVKIQPLTLEEQALQAILKGEVKMESEQERLRRELVIGGPNPLTEEEALKR DIDELPEMSTAEDYAAIPVSAFGEAMARGMGWNPNSSDRTKIHEPKLRPALLGLGATA LVQKPPPPSRNGSSSSKKPQVTKRDSMKYNLGDSLIKKERNGENGSSGVSTPVNGSSR RPSPESDGSYAKRRREDDHDRYSKSTRYETDEERARRKAKEREREYETDEERARRKAK ERERDYETEEERAKRKAKERERREGYDSDRARDEKADRRYRDDRDRRDRDYGDRRDRD RDDRNRRDRYDRDGDRRRDR I203_07128 MTAAVAPIPPPFFSPYLDDQCNKINSKPVPWEGYQRAKLLSADE LSLLKSLNKLPQGQRSTVFATQGQQYAKLYIDLLRKLQRVDTVQAVLVSINDMLQSDP STISLYHNLSSTETPEDPYGPLVKCLSMEEEFAVLGSLRILALLIATDPKLFPQSLIS TLLGSLQTLLNGTRQPLWEVAAQVLSAILGRKQFRQAVWDEESCISGLFKSLKTNPNP QAQYWAITSIWQLSFEQTAAEGLDKKFDIVAILTNVAKGAVKEKVQRVVVATLRNLLA IAPSQNLPSMFVAKLLPFVVSLQSRKWSDEEIVEDLDYLKEELKTRLDGLSTYDEYIS ELESGHLVWSPAHETEDFWKENGMRIGQESDGKAIRRLIELLTTSKDPLVLAVALHDI GQFIKWGGDKSKKTIDNFNGKTKVMELMGHENADVRYQALMTVQRLMSQHWTK I203_07129 MAPNDPPPAWKVAGVVGFYMTVALVMVMTNKWVLSTSSLPLTFL LLQLSTSVLLLHLLPLCYDYVPPRWTRSTILAVLPVSIVNVVGLMFNIYCLKLVDASY FQVARGLTLPMTVVLQALMNGMRPSAWTIGACGLVMWGFTYSFLPIPGLSPTPTVNIY GVMEDTRRMEAPMAGMMLGVASAAMVAVHAILVKQALKKVEGHTLDLAYWQNALSALA LIPGIIFSGEIGDFVDMVIGKEGDGRAFIIGSGVTGVVGFLICLAGLLSIKVTSPVTH MFSSAMRSVLQTMLGVYIFGDILNASRIMSIVLIIIGSCVYTWNQSRGSLPKSPVQED RKRLLTGQEKTSYNYAADPEKGERKD I203_07130 MALPLTPPYTPLTDSSLPILTSKVQFPVHPNAIAAKALLTPPQT PELDHRTSEEQGRKLSDNEISYYLPSRGDGVNDMYVHHTLLASSSQMSPSRILHIWAY QLLLHPLLSSTVKFEDYEDMSFVPCRPRNTIEALSIASDRFSFLNDQRDIIDTYLNGP RTLSNYRLGYLVVKAPTTSISAEAKGEYEIMLCATHYLGDGMALHSFMNEFYALLGSD LTTQDISRKISEKLDPEEAVEIPRSLEDRLPPSKSKLASAVGKEELKRSDAKLIGGQS FGSPKEKLQRHTIVPTISYDKDKTRVILGNCKKNGVTIAHAVFALCNLAWAKRTDSDR IDPCLIYSALNLRPNMLASSSTAKESFFHLAVGYFNIVLPTLIPSTISTEELFWHRAR MTKQQTIKAVKSPFVVSRSQNTSIVRRERAVKWAKIDDEEEMKARSNPGLALQLPTPD NTPIIPEERKVSQKALMGLSMLGNLDGMYKHSEFPSIQLTSLTTGSRQRQSGLLLFAY TFAGKLWFSLGYDKNGFPAGVVEGFWDEVKDLIEKVLL I203_07131 MSSQYTPETFKVLLKKLVQTPDDFTPEDCAQCFRHLCVQGASEA QAGAFLTALTLSGLESSPDIVAACASVLREHAVSVTDLIPEGDGYAGLVDIVGTGGDG WDTYNVSTTAAVVVAGAGVRVAKHGSKAATSTSGSADLLLSLDCRLAFPVSEVHTFLE HSPFLFLFAPHYHPSLAHIAPIRRNLNFRTIFNVLGPLINPARPQRMLLGVAKQELGD TFAEVLRLLNVERALVVCGKEGLDEISPAGETWTWWLENGQITKGSIHPTEDFGLPLH SLSSVRGSTPDLNALTFQSIMSNSPAPPHLSSPASADSPSLDTIRDYVLLNAAALLHV SGKAKSWKEGVEIARETIESGGALAAFEGFRDASKKAMGEHVNEMAVEDDGGIAAKNG FVKAWLKERGRKRADSTRQE I203_07132 MPAVKRAAEGPEPPAKKPHPFFTGAAQKPLGVFQPSPPTLVHFT HLDPFLSSSSPSNGYNKVAVSFYDLDGTLIKPKSGAQFPKNRDDWVWWHSSVPELLKK EHEEGRHLIVISNQGDPREKIKLEWRAKLSLIAKKSPKEVPIRILGALSKSDVYRKPN VGMYEAVEKIYRDRGLEIDLENSVFVGDAAGRAAKGSQGKDHGDTDYKFALNVGLRFV TPEEHFLKHPRPHFPEPPNGFRPAKLGNLASRKYICRIRPLLFMLVLTVPHIVPSHTP ITRPTLEIVLFVGPPASGKSSFFRKHFAPEGYEHINQDLLGTRDRCLRIAEHFLTAGK KVVVDNTNRNRETRAHWIRLAMKLKIPIRLFHFLCPLELAKHNNVYRACYGPPDEPTR TLLPTLAFNSYAGAFEKPSIDEGFDEIRGVNFHFEGSEEQRRKWDMYMLEPKR I203_07133 MLDEEEKPIIVNEYNRSFQFDDSDLRLVSADGVVFKIHTWKLKA ASSVFQVMFESGNNGDTLELTDKTQEIGRILCMFLQILYGIKLAEPSSGWDLKRNHEE LLRLIDKYDAPAAKQHLTTCFRLWAASEKFESDGYFLLASQLDEYEIATTSLKHAMAC RRTWTGPSEGMESRQALLSQGLKGENTTDVAGWPLNDFRRLSHEYIFGFMRAKEIVMQ PNKPRVDYKLLAAEFEKIMKDLTLEEAN I203_07134 MTDKTKNQILFDDHSRDFQFEDSDVTIRTSDDVTFKIHRFHLMA VSAVFCDMMAIGKGQNEELCLTDESFEDASTIGKFLYFCYGKSLPAPATKEHTPYQKL INLCNKYECPGVLAHLEALVYKWYIEDCLCPRNVFVLGYSLNQPKLAIYGITHAGNWQ WSETSMDITEAEKTKSKDRTAVISSVIGCSALDPSGLTYHDFVDIPDAWKFPLVRATW GKIKDGELSKTDWKKIAEDFERIFKMVNGDSTC I203_07135 MISASLYRWFHEIDLGSCHIFILGCLLDTPKVVAFALRNSKPLT WSANKMLITEEEKAKARNPITTLENIVDARWTDPSSWSIELIEMIPEAYLFAMLRANK HGGTDAEKAKSNNVLTDLVPHIRAKPMFASCRYRLLLNV I203_07136 MSSTEAPSTREQPATKAASGGENNSSALNAEYQAEDTDIILRSS DGLDFKVHKWALMGSSKVFHGMLTVGNNEIGATIELMDEKIEHSRNIKSFLDLAYSKS MIKFALNGDDRNPSSDLIDFLLKYEATYPLLWLKAALKAFIAYNDIGPLDMFIVGAQL DSIDICIAAIRCESNIDWADGQVGIYCTCPLPRHGHLEFSG I203_07137 MSGGGNIKVVVRCRPLNSRELARGAKGLIRMEGNQTILDPPEQT GQASGRATEKKSMSFSFDKSYWSAGPRDDPKYASQQTLNDDLGVELLDHSFEGFNTCI FACESTCNSMMGYGADKGIIPLTTSELFSRVEQRSEKDSALSHTVEVSYIEIYNEKVR DLLNPKNKGNLKVREHPSLGPYVEDLSRLVVENYNQMMTLMDEGNKARTVASTNMNET SSRSHAVFTVILTQKRHDPQTNMTGEKVSKISLVDLAGSERQASTGATGTRLKEGANI NKSLTTLGKVIAALAQASADHGKGKKKKDDFVPYRDSVLTWLLKESLGGNSKTAMIAA ISPADFDHFCSTLRYADAAKKIKTHAVVNEDPNAKLIRELKEELELLRNRVSAGGGIE EATYDPAIPLEKQIVTYRTKEGEIKKVSKLELQDQLQASEKLMESLNLTWEEKMEKTQ KIHVEREKALEELGISIDKDMVGVHAPQRHPSLVNLNEDPLMSECLIYQLKPGTTVAG SVDDTKAHIKLSGAHILPEHCIFTNEEGVVTVEAMPDARTFVNGKRVPPKAPIKLQNG FRVILGDFHVFRFNDPASVRAQRQKLQGSVSIDNLSGVVGGIRPDSPSSRPDAELMDW TAARREVADIEKLGDHDLDRLFDDIVKVRTQRKRPESRYDISAELESRLMTASETQES LDPSSNPWANGQLPTTMTSNSIGTPVIHDNDKSTLEEGSEAATEHPLPQTTQIPPFQK PSDSALHQEHLTRQLKTMAQEVKRIRSQAAAARATKQTSIEPANWTFRELKLVQDAVQ RWKRLRLFAMAEEILMGAVNVREANVIAREMSKLVSYNFLIVDGVVASPTSSLDKSNG IVEFEDVSDDVTSSTTGPVVVIKIIDRGSQSIYVWELRRFQQQLSKMRRSLALKQNPN YSVHFRVDGTFTDTLPPSYSFIGSARAPLRLLANQLSYTTTVPIVCQYTMEAIGSCRV NFKSSFPPSSGIATPESSWTPLNNNLTVGNKLTFTITIDGVKGLSSVDYASVHGQIRL SSLVGSSVVSEDIFASLPIDLDKTSVAHLNLKRTISVILTEEMINHIQDSYATIEFFA KVRTEYLERLERWDRTREASPPNSTPGAPRKMDEVKPAMRRSETDFVGHEHHDILASI EIRELASNGDYVPCEVFDDIFQLHQGLQRRLQIKLTHSSGKAFPWDRIQNVSTSDIRV IDKGNVSSISRSHVEMRLHNQDIDYLPDGTSVLEASGVWDTSSHGCKQLDRRTPSDQC LLLKLSFLVDVETLDEPAILQMDLKIKILGRDSRRSSIFSTIFASTKIYHSVTSIFAI DLTPPIARSTDDLWRLDTSKKHVKGEEVLKGEWNPRSLSLIEDFMKMQRTIRNLADVQ ATQSILEMIEDVERKDLDEDERVRSMKRCLELWKMEMDQRIIINVKKETPEEEAVARK LRQLLPDLEPKLVPTVNIQPKFETVIRTGPLTLLRDSQNNQWSKLIFVLRRPYLHIHE TPNSREFQIINLSKANVTQSSDVELLLGRKNAFTIFTPTNSYILQAASEKDMRDWIGV ISTSSEL I203_07138 MPPRKKVTGDSSTTTTPRTMSSATGIGGSGSVSRSGRQGVNGKG WTMEHTYDSVGQKKEIIVIDDSQSPSIHQQTQPIRKRTRAQVAAEQAQAQAHEHALHQ SQNSIYNGNVNGHGSTTSLNASTKKRKVDEGSEHGSVKKAKGKLASTATSASVQATGQ SYAHQQYATQQKAQQPQKTQAAPPAPPGQPAPPPWDDAEGHYIVKPDDVIGGRYKIVR LLGQGTFGKVVEARHIETRRKVAIKVIRAVQKYRDASKIEIRVLETLKRNDPKNDNKC IHLDEYFDFRNHPCLVSELYGMSVFDFLKQNGFQPFPEKHIQDFARSLLKSVAFLHSL KLVHTDLKPENILLCSNDARLVGPRVKNSKSKSVLRNTEIRLIDFGSATFENEYHSSV VSTRHYRAPEIILGLPWSYPCDMFSIGCILIEFYTGDALFQTHDNLEHLAMMEVVMGK FSQRMIEKGRHKKPEFFRGSKIDFPNATVSKASRKYVKNMRSLQDIIAPTNKHQHQFL DLCLRLLDHDPDVRLKVQDALRHPYLRESIPEPA I203_07139 MSKCIISPSVLASDLSKLSEECQRMIDNGCDWLHMDVMDGNFVP NITMGAPILTWVKKNVPEIFMDCHMMVADPAKWVPEVAKAGGKSYTFHYEATSDPEGV ISLIKQHDMLVGLAISPETPASVITEALGNAVDMLLVMTVRPGHGGQKFMPECLEKVK ELRERFPGKNIQVDGGVGSGNACQCARAGSNVLVAGTAIFGAEDPKKTIQEMRSTVDQ VIAEQKK I203_07140 MAGPLSVDEILAKQKAEKEAASKPKFLSKADRAQLALEKRQAEV QTQQEREEAERRQRIEFERAAEEERRRADAARYGADVRGSYDRFGRQEYGRPEPRYTN GHNASRSNVPTGPRGNVPPSGPRGMRDSGTPLPYNGNGNGLSTGPSTPKAGSSTPGPN SPGDVAIPTDTELNALKARYLGQKVDGKKPRLRKANDKKVVFDWNENDDTTLNERGTW TMDIKQKGPGGAMFGGRLAGFDEGGHRRGQQAFTDNHADALERRRAGKGSNDDRHWSE KPLEEMKDRDWRIFREDFAIAARGGSIPVPLRSWRESNIPLNILDIIEEIGYKEPSPI QRQAIPIGMQNRDLIGIAKTGSGKTAAFVIPMLDYIGHLPPFNDENRHKGPYALIMAP TRELAQQIEQEAARFAKPLGYNCVSIVGGRSVEEQQFNLRNGAEIIIATPGRLKDMID KSMLVMSQCRYVVMDEADRMVDLGFELDLNFILDAMPATFIKPDDAEINHALKSGEWQ GWRVTTLFSATMPPAVERLARKYLRKPATVTIGNAGEAVDTVEQRVEFVHGGEEKRKS RLIEILRTIGLPPPIIIFVNQKKTADMVLRYVQQAGLSGVSLHSGKSQEQRESSLQAL RDGQVSVLVATDLAGRGIDVPDVSLVINWQMSDTIEKYVHRIGRTGRAGKNGLAITFL TNDDDEVMYDLRVEVEKSKMSKMNPELARHEAAKTKVTREMKRKRDDNDE I203_07141 MPDSLETAALNGSLFTFDDSPPSPARSNSSASLLNTDDDLGSDL DDGPSSSKIGQRGDTSGKGVPPVNGSQIEHDGPQTGPKGVISDRKAHSTVEQQRRDRE LNDKALEQNRKALIGLTVHEEDQLRRREEEENELNEWRRRRKEQLIRNPEQDEDEGED EGRRQSIKRGGLRELDKTNFIDAVERNGWVVVLIYEPEIPRCVSLLASLLHLSLNLPS PSTLSIPVTLYKARATSLQFSLLPPTSSTITHYEDEEPIGTPDPDVLPTMLVYKDGEL EKNWVRVDWEVDESGVEGLLKKEGILPSVGAGRMGARVGINRSRDLLDDEDDEE I203_07142 MNPNQQYFETKNGYSDRPQIQGGRPLTHQERLYFVNQQLQATYA TPHSADSSQQPNLYPLSTLPSDSYIQPLSFPQASTSHSPIVGQLPIVNLPTQTFEAAR SNLKRSRTENDILIKERTLKRMNPGKIVHIDAYTRRPLCGWAYEELWSTKEQNYERKL FAYSNYNILVSNVVPSASGWELTCLALAELFSMCGKVVAVFPFENANSENYPEVGRLS QDIDQDPLRGLVTIEFEDELGGLKAINLPKHLRMSGDREIGIHRKKYEWNVGWFRLKE FEGPRLQQDWIEMRTTRGKHVDRFLDYRLYDYNPYAYDPELVPACQPISMPFESMVEE ELPKMFSLATWIRRTPANAQNRRSQVQRQWWNPSQHPRDADPLRISASSHRKHTASRL PDPEWSIKDQGYFWTVDDSWRWNPQIPILFEGSLDYSKNKKILGDIRKENNQRVDIRQ TLNIQLPTDLNQYTRDTGRRTHEQCELELMHMDIAQALIPAGSSLETGIEEVVGGFGA IRDDEIDEQHEWARYRYVGVRKWHHENPGRAQAPSFPIQSAWKPAEKLKGKGKGKEKA SGGVTTFDDWKLDRQSTGKLKGKKEVGEGRMKELYLIESLEEEVTFCEPLPPLRQSED SSSPSL I203_07143 MSDWNTFGWTANGMYPEPNAAELLPARNIAIPDIWIDDGEKPHA GIERHNATMVACAFRSDREFPTLTDMLMMIDQSGVVVDQPETDIDPDLPELSADMEQA MVDEDEAKEKLRTQEMITPSNKSTKEEKEGDSARKTKKRELFFKFLDRELADKSIRED FSLFYRSYHDSIARNLVLHAKIGRKRLYQINSDGFLVKFWDWNSQLENYMWETRVSEE GLEYENFMVPLFAAYRRNEHWEGIMKVVSNADNCAKYRQLLCPDFKDASSSSLAGVYF LHPPWNLKAVSSEAVEDDDLLTVYESHPMEASGYLGYTQLFRQRYAGHKKEPVNSRME QRYRTIPFRRWRMHIVAEFDKESLISGEAIHFVEHFLLSAFHFTDEDQGGCNYNVVDR FGYTMVILPLDVERLLRTFDRILEQDPTQLLPDSYDVHAWHQITDPILLPDTKASMST VAKIVIETTREHIHKDVNPVHLDDYVDRMGNPDRNAEFLQTFPDHVWIRPRSANRVAR LAIPPLSRHDPRNPVLALDQLTRKVMEAIRWVDENPRQPKPKTKKKRHSHPVQEDDDD SDS I203_07144 MESDGTLKKWPEGYTEVNQFRQNLPVMKQIDLFLDAFYDTSAQV LIVSSPTGSGKSTSIPQVSLHVLREAGLSTSVLVTQPRQIAARGCANRLAVESGLDFG HDVGLQMRGDVQMPTAGLPSIVVQTDGYLLANLSSNPALDRYDVLIIDEIHELSINMI ALLGVLKKVMLKRPHLKVILMSATSNNSDLINFYSDFGAQVLNFDGRTQIIHTYSRPR PSQDWMIEALQNLVTYFVKKKAHFPPGNFLVFTPGQRECHQFVEYCQLLKDQFPQEFR FTDFLVLYRDLSAAEVKVVLAPHTEQIEIDGKVKEVTYTKIIASTNVAETSVTIDDLV GVIDSGIKKTMIYHANVNAWEFIPITCSKAEMDQRKGRTGRTREGFYFPLYTNNWYAS VPLFPPSPLLKTDITSLVLLILAMPNCSGIHDFAWFIKPKRSQLYRAIWNLLTLGFAQ FDENKVLTLTEEGLLASKLPLEPHVSIT I203_07145 MSDKYTPGDVSKGASIFKTRCAQCHTLKSNEGNKVGPALHGLFG RKSGSVDGYSYTAANVNKGVTWEGQTLFEYLENPKKYIPGTKMAFAGLKKAKDRNDLI SFLEAETK I203_07146 MESYRRIVRTHGNASFTIHDKTRLCVQAAEMIPLNVGDMFELGC LLRHLFNQYPLLRGYYWSKTGGKDTTHGMKIFLETKADRLLAKGGVVYNTLVAHHFDP TVLCYPVGRNDDGKATPRYITIQACVAVMEWSIRYKEELDNAAKDNRLPKLVWSVKGK AEDLDNVVLLPHHMHKYVTLQLYPSFSKMPVAKKNQHFSLVLQDLNNLVIWDSDRTNR KKVMVEFYPVGIPGDSNSTQHPVIVWRHMNTLVDPSQYNPSTSFGKFDRSAQPDWESL LCTEYKKAIKSRITRVNKLEQGLQSSTSSQPPASNQIANIPGHPVPPVSPAPFASSST WKPGKTAKVAAPPRETTPEALHEVPLNADTTDTPRKTDRKGKGKMIDSPSDENDTCSD RKGKGKMVDPLVKPGRRLRSSLSGLRGIDIPFEQPDPTTTANSMTNPIEIPDSDDEQP GPSKKRKFRKPLMILDSDDDGD I203_07147 MPSKTYTEKAQESQAAWNAASDPKLGKITHQKTEELRQTIDIPV SVLRLVVRHANVPANHLADWICQQLGTTLSDKSSGKSLDQIGLKKLTAAQQKIALDCY SNWNARYHLLYVHDEVDGRNQGWKCIARTTGSLRQLFGEPRIPDAAFEALMQLPLHND YDVVLRNLGRPASSDDIERMACICRWAYGSRLGMLNPNPQGVPLNSPILSCQDLVNRP PRNREPQMRMLFYWFSTPMDTQLYTEGRGLLPFYPTSSSRLYPEAAKIQAYDREALDR AFEAVCQKRKISIVEARNSIKTTSYDWAMFKQQDLTLGMELIMDIIHEIQTVERRGFG PVYYIWEAVRRHFEGRLARSLPLTKAQAEELLRLRDQYVVVADIPPAEIERSFAELPR HRLKKIFWRLRKSEEDVSRAQLVEQILAVDSQVRDKEAEEEDDENEEDDEEDEDDVWE GEKMDLD I203_07148 MVWRRSTLPLSKRSMDTIRPSNWATNTAICVFGIGLATFGVWRL SASKEQRHIAPTRPIPSQRWSPQAKEIGVRQE I203_07149 MKHANKLEFDRHEALLSKIRTEARSVGSFHDETDLKIMEDQLSC MSRRATLREMNTQRDVIALIGDFSIAISPDTHRTERENDRRNRIKSQRKNIQTVSKVL EKQERNLGKLFRQRYGSVEEIPSSSQGWNSLWASTRFKPGTSRIKYKLVASDGDTTSP TCRLRPEYIVDPEDAQEDWKPILDPAVPLFSGYESQHQDETLSACDVNLSRVSDGTGI PTGDFVNNVGSMLLLTEASKAKLDSLSEDPNDWQHEGGELEKAAHLKRMNNAMKIMQE TLPTILHDDWNTILYSQTQSLNSELTDRVIKGMTADESQVLNKWKSWMTNRVNAKTAD ALADLYDVLAILTKEDSHEEYVEYSQAKDNALKLKATHEEGTRLSAVELAHALPDAES CPSTIEEWNELLLNRRT I203_07150 MTTHSVGNNDEEYNVTPVLIHPDGQPKRLVTHFTPAYRERGSEE PYQPIIHDLFPIFDLDSGAKQISEEEDLPVKSAMLLKGKDLFTENFVKETSKYVQRYR EAKSICDNLRTELERELTALRRDQAKRDLSVIRQSGNLETHDSATGMSQDLYDREAAL DAKMNSWYRAKAGLEMIAQGFSSAVEANWNSIQPREIRSETQFIDHISSMANEGSPAD LAIRDAWGCYIKASAYFTRANSYVRLNQLEDKMNECSTVVGKQRKNNMLECLGESQGR LFLARDSKRGARDDFLNGFHSRYGWEVEVPDSGSKWNALWSQHQEKMIRKTNVRYELG TSDGTGTRPAGRIVAEYILGDEDEQRWRPIAHPNVPSFNELTKQDSGTDRPPGKRLNA RNFLSKEMNRKVLPLATAMTQISEARSALKDDEQGLAELKRVEIACKEIKNTLPEAIT SNMQALYDREVSQWNKSFRDSEMIAQRVIPYQGEIISRWMDRVQTSLWHHDCLERARL TSLTAHLRADTDQSPAALDVQHKLEEELDKLNGENQRFLIDFDETFGPETRIPITGEE WQRLCTKFPAASGGAETVFDANQASDYSSYTRRNTASGPQGFCKIPHKYLVLWGKT I203_07151 MSTITIINGEQNPNEEYQINVRHVSLVSQDGTGSARNVISFEPS YRTRPPQMSNTSATDDSDGYGWKRIYHEFIPLLSDSNLLLKAPRFENREVTLNMLYKN SEGSSQDLFTESFVKEVSNTVDKYLESESSLLDMQRDIINRQKDIRKNLNSIGKGKVS TANPRILQKLNKIREERREQLASDASALEEEEKSFYRARYGLEMYYQSYFSICHDYLE QLRSLQSKSVKSCLDNSAGEPDQQATEEDRKLKERWIEYKMIECNWRDLKARLSLGDS EMRMVENSIFKTDQRIQQASQRIIHLENELQKAGMEEINKGNAFQDDFDERYGTGEDV PVYAYEWSSLWDRHRNNHKNIDRPDTDITEVYPVNISRLDEGRAESEFEEDPKVTEQI FKSRRYRTSGGNTFYSGYIMNPGVADTYRTTFP I203_07152 MSVSESAPAPSEIQQISIPSQNMDVDTLAPASEPLTQFQQPPPS NKRKTEDDEPKEPLSEVILRRLTRIKEGDTVMLKLPSDAIKVITVEKNGLIQLGKYGA FPSSQLLGMHYDITYEIIGGPSASGSGSSTPLPFMSEENGQNTKNKKKGKSKENDNSR TNPGWKNVLRPLKRQNVVDAVVDDITETNEHIHDLPELEKQTLSHDEINELRSQGLSV EEIIKKQEEAHEMFKLKTEFSKEKWRRRKEKKFSTTVHPLSPSIPNILHHYSERSPAS VLHLRVDTLSQLLNMANIRPGGRYLVVDDTSGLVTAAILERMGSEGKILLFNNSDSPP AWSILQSMNISERELKGVKCLNWMEAQEDYERPPPPPEDDNAKPAKVAQRLRKHNAQV AELNATRDELHMGGWDGLILATTLSPISVLSFLTQYLIGSAPIVVYSPHMQVLAELLA WSKKDPHYLHDTLSESWERTYQVLPGRTHPMMNTSTSGGWLWSAIRVHPSQFQPESHS RFKRRKTGKQSGKETPSGEGGNASAEEKKQDESGAGPGVEVEAEMQSKADTSISEQAV SEILGA I203_07153 MASPPYMSSRSPPPLQHPKPTHPAYPPPEPPHTPTGGSAHSSPY SQAQRISQDGYIRYSSPPVGEQQTTASTSSSSFGAYGGGVQNQRAGYPAGTPGVGVGP APGPQGYSSMNNVNAFGTWPGMNDATAQMGVQFGKSAVAAGQEYVEKNFTRYLPLHLI KVSFSVTNSYVLNKLRLVLFPWRHKPWSRQVKRSSENGAMEGWQAPRDDINAPDLYIP TMALVTYTLLSALASGLQSRFHPEVLGLSLSKALAVVTLEFCAIKLGSYLLDVRGGGA SGVELIGYGGYKFVGIIVVIIVSMLGFGRLVCGAVFVYTFGANAFFLLRSLKYVLLPD ASVSTVTTTLSHSQRSRRVQFLFFMAMSQVLWMFWLSRV I203_07154 MSLFGQVKRHTPSTKPRRSPLPTTSWDAEKKYDLSPSPLQIGGG YGRFFSVIYLPYPSFLSSSKSDNSNNLLNGNSLSSSPSRTHSRHSSLSHGHGHGYGKN NNRRYIKLYIPIPPRIFSRLNSPIRVILLLIIGLIVGLFLLGFRKRGNGGRSTWTPPF VDPDTRVITADESAMIWEWEILSGHYPSTQRPPDNLPLSPSLHNPAVPSSLIPSITSP TPLVAYQNRNSPSQPQINLVGQGPERNYLNAWDTREGTPGFAPRPVPGTILDLDLVLE KCDFGNNKYVRDCLEFLRIGGGLDTNGRVRRGNYLSQYKQMYYESTTPEKRNDWTPRT TSLTTRSPDLSRAPLSLQNPYPVSASFDSRAACDELHPRIFHMFWAGPFTDKPYMAVM SFLFTQNLGLDKPLEATSDIVKGTCRPQFWVWINPGPAAAVPNPSAKREMYESLAINP WSAPFLHERFREVVKFKMWNTTEQLDGIDELKDHWRDMQIFNSGGNVYKQQQQKEKAS EINEESVEVPQTTSKPKKKDSVFEKVGSSSESDYDRLSVILSDMARFVLTYRFGGIYL DADTLFLRDWEELWNYRGQFAYRWSWHQKYNTAVLKLHKKSALATFLFKTALENGLDF HPMTVSRYLKDAGLDKLLFRVPDALFDPAWLNMERYQRERPPFPYFPEFSVFFSNDKF DTAGPQPLGFDGFFRGAFSYHFHNFWWLPFDPSRNWPDLGQRFIKGEKALRDAAKASS IEGQAHLVGDDVDDDEEADDIGKTKIEGDSLVTSREDIDDEIDLSWSTVLKRTFEGYL RGERPNAYGEWLEWEE I203_07155 MYDIILGICDGLNSSSDLSDLNSKISDLRTRVDQISALIPVYDR VKYDKQLTELERQVSSIRTMDKPKSKFSFNKSKKSSSTKYSSTPKPGISSSSQPQGEV STFTSISSPNTHTISNLSHRLIRPPKDVIGTYTLSLSNLSDCIIDLRSGVHDDRHGET KDQARLTAVHGKSLERCVVITPVVGGSILLNEVRGSLLVLGCQQFRIHSSSDTTILLH VDSLPVIEHCTKLKFGSYPYGLHDENTNLNLNLNYQKVQDFDWPLPTPSPNWILLSTD EQSDQEHGSLIRTIEGVRSDEEVDEIVRSLLNSNTDSS I203_07156 MAAPLYVTQSGRLFHAGLILIVTVGLPARGKTHISRALERYLRW LGVKTRVYSLGDYRRKVLGGAQNVPPDYFQTKSPRSEATNALRRRIKNELEDQIMDFY TVQGGQVVIYDANNGNVKNRKDCYEKFESKGVHVIFLESVCDQEEIITANIRSVKLSS PDYAGWDADRAVADYWSRIRDQEQVYDTVTADEGPFIKVMNVGERIEVNRIEGYLQTR CCFFLMNIHTKPRTIYFARSGQSLIEHSYKADSDLSPAGWEYSERMKAAVIARRKALK EEKKANGEAVGNENPLLIWTSARRRAYHTAWPFVHSGFKVVQKPIMSEINPGVWDGLS TGEAMELYPDEWDRFLSDPYAHRAPRAESYHDLSVRLEPVIFELERCQDDLLIIGHAS VIRCLLAYLVGLPPNEVPAVEIARGDLVEVTPASYGVISRAFHFWSGEGRGDASGENL YENFAESTSGKGTVLPDSGVNFAADAFNMEQEAEEEEKIEKEIQADNLAKSLKGKILN KLHNSSKDGTRSAPHPHPQGQSTLPHLQEDKENEREERTTGSDAEYGNSPASEEVLSE GDLEGKEGGSLRARASELQAFDIWNEIEEADKVSG I203_07157 MKAISRRLPSGAVIPLITRSRILPTYIRTHRQYRQISSLQFTIP SSSSSSSHPPNLVTTTSPAKPHFKKILIANRGEIACRIVRTARKLGVKTVAVFSEADK GCIHVQMADEANCIGPAPSSESYLNIDKILAVATATGAEAIHPGYGFLSESSVFAERI KDAGLVFIGPPTSAIKSMGSKRESKEIMTAAGVPCVPGYHGADQSPSALLKGAEQTAY PLLIKPTHGGGGKGMRIVRDPKSFEDELISAKREAIKSFSNDEVLLERWLEKPRHVEV QVFADTFGNTVALAERDCSVQRRHQKIIEEAPAPGLSPELKKDFAEKAVAAVKAVNYV GAGTVEFIMDAETGEYFFMEMNTRLQVEHPVTEMVTGIDLVEWQLSVAAGNSLPLTQD QIPCNGHAFEARIYAERPESNFLPDAGRLLHTKAPVNVPHRLETGFWEGDEISSYYDP MISKLIVHGPDRSSALSLLRSALGEYQVVGPSTNIEFLKSVAGHEVFASGPVETSFVP TYQTDLFPPRHIPTEVLAQAALYLTLRSENAHQVLSDGPWSRLVNRRFGDEVIKEYKI DDHLIKLKYVDQGYMISIDSEYWINIPSSQLNQEGIELISEIENDHVRSTIIPVASSG HGHGRSGEEKLHIFASSSHYIVTHQPTLLEDPSLSTSTSNGNNQDKLVSPMPATVVEV KVKSGDEVRENQVLVVLGSMKMEISIRASKDGVVGEVNVEKGKVVQEGEVLVELAKQ I203_07158 MSFALPSIRSGYSPSAVAGPSRLRSFSTSQVHSVSKRKLIAKRR KAANLELQASKVIKPESIDPVLGRVNYRAPSSRTLFAQAQSSSFSGTGITSSSLPPKP ENEWDNSKLKGVLLNYDEIAYSPPPNYTQGERPKHLLPGISKADEDLLFGALPHISTE LSYSTSNNRSGNGSEIAVEEEQNKQSEMLMRILDLRNSSKSAVNVLNRQRVIDAFGQG KDTGSSRVQAALLTSKIHNLLSHTVQNPKDTSNKRSLRLLVQERARHLKYFKRTNSKE DYDHLLADLGLESGAVEGKLKFAF I203_07159 MSFRSATRLSTRLASASRATLTAQPAARRYASTTGPQKGGDTTW MIGSAVVFGSLGAFLLLPSKASSHAATHSQEHAKVRAEINESAPTVKQGEAVQATDSG KYSPKTPDPSGIHKSADKAPSEIPVKKDESKTMPENLIENDSLKPESKSGGKDANEVA KSQSSSEDKQDAPGTEEDEDNAAGKEQGEPTQKEIKDSILRAERTNTPKAAMSEEAKG HETQAE I203_07160 MSSADLEVLSRWRTIGARHSEQVIELGLKVLKSGKVGDQEWALR EQLAIAALDLGQVELSQVQIKLLHSKFPNSPRVKILDGLLLESQGRLEDARAVYEGLL RVDETDVSAHQRLISLTLSSSPTSAIPLLLKYLDTFYSDPSGWSLLSEQYCELGMYTQ ALDALGHLMSVQPWDEGAVRRAGEVAYTMGDYQLSLKHLLRSIEMQGTKETNPNQSRT RSWWGIKLAVSRLLESPNSETTVPREMQSTEKQLKLLDELATERILDNGGKGVGLEVK RKVLSDGKDIIR I203_07161 MSFQPNPNPPTRFTLQFQRPNTSGTDGPDGSTGPPPRYTAVVQK VYPYSLRPVIFFTAGLGFIYGLALGVDSIRDMGNDNETAKMKVFDIVQAILYFVIAGI EAFCIFIAIVQKVNLARLFAILAPAGILVNVANQILSVVIHFSMKNDLIAQCVKNETG QSAFDSLGDTTTINTDQAETICDNAWDRGTWSVFAWLFLTLVISLLFASILLSYYRQL LDPSSIRSRRVVQQQSFQMQSGYYYPPPPGAPGAPGGTQAWMVPPYPGPPMNGAPPPP PGGYEKGDYHPEAPWAQPPAGEGPSVNREEEDAWNRAQSQGVTAHLTGHAPQPTRRSD EDERGGYRIGNAEEDEAWERARNEGVTAHLTGNNQRNREGTV I203_07162 MSRTISRAKYLRQLYPYKPPSTSIDHLVIGGGAVGLTVAASLVN TSGRDKITFLVERRGQLGQETTARNSEVIHSGIYYPLGSLKSRLCIRGRDLLYDRCES LGIGYKNTGKIVVATSPSQIPYLEKLQKHSQHPSFLTRPDDTSSSSIRTRFLSGDEAR DLEPDLSASVCGGLLIPSTGIVDSQGLVDSLEREIEDPDYNSLSSSSSSSSSSLNGEA RGEGVVVLGTRVVRIDKEEKGNGWVVQLETNWEGLGEGEKGDVESVRTDVLVNAAGLG AVSLTDGVVGDGKMKMYAVKGNYMSYKGPGVGKVSRLIYPCPSANIDHLGTHLTLDLE GHIKFGPDVQVIGTWEDYISNPEFWEKHLSPSDSPELKSQFAESVLDYLPNINPDNLS PDYSGIRPNISPPNAGFSDFLIRHDESRKGFVELLGFNSPGLTSSLAVGEHVSEMINR DLHGRHSKIGEGRERRLNELAKGWEA I203_07163 MDYNEPHTASTHGPSTAGLTWQNGMPTLRMEDAVVETVVTHTTR TTTSFAPIILPRIPPPETIGLPTHLKKEQYPLANQPAPPDMQFFTLNLGGRRVIVQDE SVISPSADGTLRSSGPGWTKTFPTSSYHSPGVTSQFHGPEERVGFLQALNRSKGKEVR KRPHNFSRPGSRQEEGMKIDTNAPPISAAEQLAIRQRSPPRKKIRALEEISIHPQKGN ASLLSPLPSPEHEAGPSTPTSATSPTQPNLGTGAEVSALLSLPGLVSQFDQLPDRLQQ HFLMHLLRRSRMPTIQRISTFASTALKRDFIALLPHEVAVQILRRVDRPALAVASRVC KKWKRMIDTERVVWQQRLIDDDLLQGHGVEEAEERKILDRYEILDWKAERETQNFGRE DTPSDDERMLSATNPSRLVYEQERPTPLKHVYRRRYQNRKYWLHNRPEHTSFSGHGTN VVTCLQFDEDKIISASDEHSINIHDTVGGHLRKSLDGHQGGVWTLEYKGDTLVSGSTD RTVRIWDLENLEQVHVFNGHTSTVRCLQIVEPIWNEREKQWEPPYPMIVTGSRDATLR VWRLPEKGDRPYNGTAASDDEGDAPSPEDNPYHLRLLEGHSQAVRALAAHGKICVSGS YDMTVRVWDIVKGTCIHVLTGHEAKVYSIVYDQYRNRCASGSMDNTVKVWDLATGDCL HTLTGHTSLVGLLGISPNYLVSAAADASLRIWDPETLQMKNVLASHGGAITCFQHDET KVVSGSDGALKLWDIKTGLYIRDLVVGISSVWQVAFNGNLLVAASNRGGQTVFDVFNF SPSPAYPHFPEGIDDDQLELLRTPKWKKDMKRLKLKNENKDKRSRRITGQSHSHSSKM IDMNELLSSDSDDEIRDDDVDLLDETPILASYKNNKSTTPLDRWEIGGSTKARYGGSP SAFGSGGGSGNRRSTRIAGRSSLGSSGIEAGPSRRHPTNQAQPPPPAFTHTSFTASPT HNHHHQPHHVGRTLQMSRRAKSRRSTGGGSSPIDPIRDPDGWRIASGRMTTSTNTNPH AGSSFAPIFDAEPSASGSTSIQRRLGDESPEEEEEEEEEEYVDRRGRDSGEMIVDEDD DEEQ I203_07164 MKLSTLSALLSIPAYALAQSSSASASASAASASAPGASGNSSDY LATVLGALNSAGLTLLGSAVQGIAGTSEGQALLGSLAQGNKTVFAPSNAALGNVSQDT LSDNALLTQIISYHILNNTYTAQGVATAPNRTIARTLLRGGNYTLPGNFTGPLVLAKD STNGSSFEIVQATSNISTGANATAANLQVYVIDQVIELPQSIDTVVSSLTPQLSGLVS SIPGLADQLASANGITIFAPNDAAIGAIQSSLGQLNSTQVTSVLSNHIINGTAVYSTG LASGNYTSAGGEPFTFISNSTGAYVQSANSTAKIVQSDIILNNGVVHVIDNVLVNTAS NPQAAESAYSSAAAAASTSTEANVPVTATSQATAPSGSSSSGASSAGLKIEPLGLTNS MMGGMVAVVAAIVGGVVTLF I203_07165 MSSSGPSTTNPSAKPGPGQGRPRKASTAASHPPSTADSQPHAQG QTPTPSVSAAGGGMSMPPPSFSSNGPLTVSDCEFMASQLLEPGVAIRKKLEIAFELRD SAESTRDFGFYEKYLAIFIPALITILGEEKSIVFMKDNIEQRYRHTLLAFLQRLPHHE PIRHHISSIMDLCIKLLKLENEENALLCIKIMIDGLRSNKEQMEPYIEPFLDLVKQMY ANTKAVVEKEFGPSSSTVPTSKPPVSAGTNPAAPSPAPATPASDTSAPAQPPHPPQPS TSQHPMLPHALHSPKVLTECPIAVVLIFQTYKQIMQTAMLDFYPLVIDSIKIQPEPQR LAHLEAKEKGEIFVGIASGIGNREMYAELIKAQVKTMAFLAYVLRGNQGNNKEYVDVF PEACLRLLRDCPPEDVGTRKELLVATRHILTVESRACFIPYIDVLLEERVLVGTGVSS RELLRPLAYSVVADLIHHVRNDLPLSQLTQVVYVFSCNLNDSTFNSSIQTMCAKLLNT IIDSIHSKADSQEFARIIKGIFFTFLEKLTAMSEAHDRLKAIGLRDKGKGKAKEETDG DVSMEDSAKEAAEDKIQNGWREIEQAMPVHSVAYANESLESFCRESRYLFKTLLHTFR TLLTYTRQGDNPAPQPDGELLSKFFEHSLKCLAIFDFMGRDPREPKEALELHSQILLL FEPHVFAEVWTSHMAFFTELAVTNAQVFPILQMLITHESVSHQLVAILLKHLMKNLGE LGTMDKPQAILTLKMFKISFLAINTYIANNEVVLVPHLQKLIMSSFEHAAKSEDPAIY YQILRALFRSIGGGRFEALYKEVLPILQEMLDNLAYLLQHAADDSQRDLFVELTLTVP VRLTNLLPHLSYLMKPLVHALGAGPDLVSQGLRTLELCIDNLTAEFLDPTMGPVLRSL MAALHKLLKPIPSNRNHANAALKILGKLGGRNRRFQEVDTVLEYNLFTDRLNSPITFD GSRHQLQLAPLVSTASTVLADEGQILREDALQVLMYSALTIFQENAPSPEGNDTFQRV MTGLFIACGQPKIGEKALEFVRNLCRRAFALELGRTETPDPNAKPFPDNSRKRFLPLT NALSDCFVATLHDSKPVERPGLSDLLATIVTDFKELAFSPIFAGKVDGSRSFERMVAF FAHRLVSLCHEEDWSKKMAGVTAMSAFVHKIDLSRKMIIDFELDFVRALLFCLRDAPK DTPGTSEEVIDLIKHLLKTCQSQEDGKPRLSRLTEALVAELNSQNALSRRASQECLET LAEVTSQSVSDLVMGIAKAKLLNTEHGPIYSKPLRALPFAMQIANINAVTYLMDLRPS VPDTGEEFIRLLHETLALADVDDANLISKPATHKQENWLKNLRISCLKLLRSAMSAPD FLLKPNLAQLRSRIIQVYFKHVYSTNPEIVDVAHDGLREVLHQQNKLPKDVLQQGLRP ILVNLADAKRLSVSGLDGLARFLELLTNYFKVEIGIKLLDHFKTLGEQQMLMKAAFSP LDDNPDISRMSRLVNIFRLLPANAIQYLNDLVANVVEVESALHQSEPGPFTENLGKYL NRYYQNGAQNLLDNIQNPRYIWTYRNIIALGCAPKLVEELASKGEALCKLCFSDAENI EMVLPGLHLIRELSRVSVNWVLDGEPALEPLVNVWRMIVSKSRDLKSDMTSYHYQQMP SLLLEMFMTSLKHQRHVPLLFHVIEAYEVRASFERSHVSFFLYQQVALQDSLEYRQEV IEYFFGLYEEDEVSWAFKTNALRVIINPTLRVHFSQPDADQSIISPQLIQKIVNLMWR PLAASVAAKQREDTHLIEVFALTTLLVQHCSAKVNEARKEIFKLAWMGINLLEPTVKL MAYVLAARFMVTYETPVKFVRLTWTGVLRLKETDNRTLYRQAIDTLASSLTVRDPPPA SGTPEWAKLLRTVLIEEGHATNQLVTVCELLVNHPDLFYDYRELYVPHVANSLSKLAF VQAATPENKKLTVDIVELIFNWERKRMAARDAEAMEIDEGPKRSSDHLTEASPVKRQR VDRAGTAVSGSSGGGWAAPGQVRELMTAHLLRLVSTSTESVTRNGLTRRALDLFKEIL GPKGLPNVHVKLGFFQRTMTQDINDNTRTGIANSTEVIAAAAAVRDSHWVKANLGLLS KLLEKVWTSDETELHEVVAKLTEDLFAEMPADENAESDPEPKALFNFVQNAVNDGLTA SLRSSSNLPGTLFILRSWLKTHPKVLQSEIISSALLKVLGNLVKVHTASSVPPVQGND PDPTAKLIISVLDIVRDRVGDLREQRKHLYSIITTLVERSSSPVLCRYVLQLIRHWVI EDSEGAAHGKEKAGILLRMPVFEQRDDDLFQEYLDVVYEIYEDDKLRGTDITHRLEPA FLLGTKSKNAIQRAKFLDKLEQSLPRSLDGRLQYLYSLQNWDTLADCYWVPQILSQIL GIVSHDETLVRRPSTTPLESDPIIDTARRATVGDIILPARNLIHVDNELANRLWIEVF PMCWSSLTRAQQTAFTPYIVKLLSKEYLRKQVEMKPNVVQTFLDGILACRPPITLPPL LVKYLAKTYNAWYVGFEILTKLIDVYRPDDGLRESCASALSELYAELCEEDMFYGLAR SRCVFPETTAALTYEQNGLWPKAIELYEQAQIKARNNLLPFTEEEYCFWEDHWILSAQ KLQNWENLTELARIDQDADLLLECAWRLSDWSSPDRDMIDQNIARISDTPTPRRKTFE AFVALLRSHLAREPPNEFFRVLDEAQQVTLRKWVSLPTNITNAHLPLLQMFQQCVELT EAAQVFDSLQMTNQQNLEMRCNSDLKTIFTTWRDRLPNFWDDISVWSDLLAWRQHVFQ AVTRVYHPLIPQGDTATYGYRGFHETAWMINRFGEVARRHGLLDVCSVSLNKIYMLPN IEISEAFLKLREQALCFFQKPDKFNDGLENISTTNLMYFAPPQKAEFLTLKGMFISRL GQNEEANAEFAHAIQMDMNLPKAWAEWGRFNDKLYRDRPETYAHDRAILASSAVSCYL QAAGLYNNHKSRGLLLRVLWLLGLDDSRNTISKAFENYKGDLVIWYWITLIPQLLMSL SHREAKQARLLLLRIAKQFPQALFFHLRVSREDFVGIKKQQMQSRAAAQRRADAQAKA AAANAAAAAEISGEAKPTEEKKEGVKAEQNGDTAATAAAPAANGEEQTQGQDQQSNGA SNGTMAPPTQVAPPPRQPWDHVDEIMNMLKTAFPLLALTMEKMVDQISLRAKPNSDED IYRFFSALLADAMQQWGGRNGLPNDEGELSAQTKDNLSKFAINLNGELKAMIEKDFMK DMPKLREYIKRLQRWRDYYEKSLDARPKVQPLDQGGCNLTEFHHTKFDDVEIPGQYVH HVDQGEEFIKIARFAPKAELGRGHGHCFRRIIMIGNNGSSYAFQVQMPAARHCRREER LTQLFRIMNSVLKKRKESRRRNLQFHLPAAIPLAPQLRLVQADSSYVSLQDIFEEHTV SKGMSREDTALAYFDRIKQLHDPAVPRNDPRFFQLKAEVMEEVQTKMVPEDIMTKYMI RTMDTPESLWLMRKQFALQTATTMFLTYVCCLSNRTPSRFYISRKTGLMYMTEILPAF APGQPLIASQEQVPFRLTPNMQNFITRVGIEGVVTAACTAIARCLTQPEFDLSGTLCL FVRDELLIWHNTYMKDSRQDSPLINHVYRNVDNFIRRAGTMGYIGDNKDKTPNASPAV HAIVTLISQATAPLNLAQMSETYMAWF I203_07166 MTSSSHSSHPGSIASQAPSLAASRESSVISSPPTELSQPLPENV EPENRDQARTPSGSPPNLPLPLPQMPAMVRRVSQLSNAASSDSSNSALIKDDLPLVQV IDQSGKEIIDPALRDEGVIPSIRSDSNQLAPMGDSQLPSIPLTQWSSLPSLNIYAAGA GTDTQPSTQTNSPTSPNGLWPSSFGSYNNSLRDFGSSLGGDTPDKGLSGDDNGSESGF DFGNRFAKDVNDDDTDNTGAGVPAPKKKSHARKQPEGHIKRARNAFILFRKHITDSNL IPPSVEVKHQNISVVAAKMWKEAPLEVRAKFNEQARLEKEEHARKYPGYRYQPVFRRT DIIRRRVRKDPAEDEKVDAVAEALIKGKSGDKLEKEIKDQIIQKGNESDSAQSEMSSS SSRRRRDTGQLSKGAIRAQKAQARAKQMRQNLLGTNLLNMSLYNAATNRLANSSSSAH PHQSQQYQQAAAAATAAYSGYPAGGGGYGMYPLDQSNYVTSVGYDIDGRPIQMVAQAA PVPGAGAGGGYSNDMYGGTVHNVLSTENNFLGQDHPEMYRLPPLEGMVDVNNHAGQAA TGYETWQTQPGGNGEYWDQQPLPGLSYDPHAVGPSAGDEYYNTSFGDQGIPGADPSGM IEPNGLEYRLPPLMSIPRDGQNSNGRPGDLIASQYAREHTSSHHSESSSGEKAREERT SKEGQPQQSQQTPSGHVLFNERLFDGALGSAGLSDKRNGGSGLDDGLGIFDQAMEQAG DLGNW I203_07167 MPRFLVYAPDYPDHLAQRYAVRPEHLERGSKDPKGMMLYSGPLA PRPGTAQRNTTLPEGQLNIAGSFMVYQMNSLEDVWDRLKEDVYWRANIWDKEKLIVEE LIDV I203_07168 MTDQPKPSLFSSLSLGRASRSPRPSNTPIEPLTHPLLGASTSSL NLPTSELAPSDASAPHLTHKSSGSWSAKSGAAELTNLPYKPRQRHGGGIGSAGSAASI FGPSGIASPSTQPATSSISTSPVTPTAIVSAPPTTSTSTSTTFALPPSTSDPPAQADY SALSSAPNLPASSVLTSRLQIQSLKAAAQRIGLGNGSMGMSMIDAIFDKGQLGRAKAG EGGDWGDLLRILMGGKAVLLLPTTPSSSLPMTPQTLKDHVAFIAPPVPLASSSFTSKQ PGNASQASSDEKPSTMDSVSVFVTMSGMIGTIQNDIVSFESTVPSDSPLIRDLRDATT RQSVLSTLRPTHTTSSPSSSSLFPTFTLSKETAILPFPPPSKTGPAASNETKEKEKPP PGKLGRINPFASLFGGSSNAPSPALSSSPVSIHPPAPPSPSLKADNTLTPDRPLSPSR PHVGSRPSSPTPASPKPASLLTFDHGDNASIMSDTASISLAHGEGYQVTAYTVSRPIR YHETHKTLTKAIRSHIREVLAKLPDKVIEKVVKLVLANACPSSQGDDLLKNHKGHSHD VDNIAVLDFYDPTTTGERLQDFMEGIYDDLISHYRSEGSDGLKRKGSGNVPWGRAAHG QSMSAEGVEESAKEKKEKDRLEKEEQVEKEASEGTEKVEAVVCRLLYNRLFSPLESDD ARHDEALASRIAALNMLDLSLDHLGLITRPEGEEPEGAIAKGLTDIVDDIGHEFQKLS GSDCLTPKDKASVLIKAHKIVVDGLARMPNVELRPEGEPYRPPQGETSARDTHSNTPG GEPPTTSIPETESTMTSPLSQNALLDIDHKHSNVSPSLSESSDPLNAIGGDIGATPKP IMQKSPSVPQLVLNESTADLQPEPDQLRQAMSDSVITLTPSRSRETTESSNSSVPPPK AATATTGRPGTSGADLILPIIIYSVVKSNPPQLASQLMYLRRYRSAICLTGEASYAIV NLTAVVEFLEHVDLAELGLGGQSDRVMSIADLSPIGLNYLDESNADAASIASASSRLR GRVFQVGELAGTAAGSANKVITGVVDSSWMALRGLMGNGSAPNPDSSGIETSSAESEN RPEMRPRGASTFSLASVTASVASIAAAAAARNRSRANSRASEQVWGGNQELQEVSSNS RPASLRERSNEYYSSDEDGSGSDEEHEVDENEPAGEEQSKNGKGLKEEVKERVSLSNR LASIGVLGRLSSPAVSSPTNSTENDIKATEDNIPAPAPSKVSNGTKDQETKQPQTHGR RGSILSNLDSIRSRSRSPRGSMSSLPLSKNNSGVELSENDGPLDRFMNCDVGDIKISE IGALLRDYRRLGNIVSNVQKNGQPQHPQHPSS I203_07169 MVDLTNAEAGPSQPRPKPLLPTRPIPDPPPATPPSTSKSAKRRS WFGLALGSPSVITCPGNDNDASPTNKDRRKSTSSIQSGREELDLDLIFNDGKGKGRDG GAEGEEEELLTIDGDPEKTIKKKKKRKSKGDYDEDLEVMRMKDLGRSTQQTPRSTSER TVKVSLNESDTPQPFTIRQRTFSRTASDRDPFSPPEPTSFPPKTPTPRSSSSSLTNHR PSIQPHSSSRPSSSYSPSHKILSLHTEKTQPPIPIDVDRPLPPLPPLPPKNPLSSTSV KPPAMPRGIVVTPSSPRKLSKTPKKPPLTQRRSRSATGHSRVPSLASNKRSHSNSPDP SIILNNTPGSKIGLGLPSALLPSSRPNTHTESPPPPPVPSKPQSVSSPFPSRSPSPIP KIAFVEPDGISAKHEDVSLPSSQQGEKRKVIRRARSLSGFFGRSSPNPQGTEVENPMP APEHIDGGLGESVGKSSSVLEWLGVKKAVRRKTSESHMKSTVEDSFPAVIGRSESIPG QQQQAASRESLGPPTNVENPVSESRQPTPRRPQHNLSPSTATSLPLTGQSKMISIFSR RSSAKTTDDEAEPPMVQVGPMAIPNAGMRNQTAQSSESSFNLPTHDPISPFIPGSGPW VATPQSEKDESEVLFSTPEAELSNWGPGRRPWMDGAERHNSSKSSISSPLDSLPEQGL PPSQSPLKAPPAFREGRVRSWSDAPLPPQKLVTQSSNPHLPLPRPTTPNSQSPSLTLS ASPRTPSRPKLGDRSNSGNSAIIGRMKSVFSKSTNRSRSSSLLGKGNANTEIDEFGNI PMGGDAGRSQRMRPSTSSSSMASSGALRGRSIGDDNGRPGVEELQTRSPRTSFTPSIA SLGSITRQDETKAQRKNRVRASTISLAPTAYHFAPPRPSSPNLFPIAATPPRRRPGTI HRISNGLFGSGSSGPSSPKTSSSLFPLPARSSGSMSSSTGGGMVGWEDTSGTNTTNGL LSPGTSPRPSTGSIPAAMTNSMIKQISQRQDEESPKAWLERIVNNVQRDEIANVLASS GDEFHTEALQLYLSTFDFTHNALDVALRRLLMHMSLPKETQQIDRVIEAFSKRYEECE PELYGHKDNTYVLAFSMMMLHTDAFNKSNKNKMTKVDYVRNTRMEGVSPIVLEAFFDN ITYTPFIFIEDDMDLKRSSGYESSSSTFGPSTPTFTNNPLPSSVTSTSGMSMGTSGKA KVDVYHMIVRGLLGTLRVNNIENQISPENPFSYRGTRPFLNLDLLCQAFANANNLIIP SYITTSPVTSVGGKLTTPSRRNTVGAKDQKMEDLVLRVVKVGLLSRKDESDQSSKKAS RRWKSWSVILTSSQLLFFKDPTWALTLLEQIQSLRDTDDGSGQLLLPRQTSFKPDEVF SLKDCVAVFDRTFTAYPNTFRFVMPQSRQYLLQSSDEYEMNEWIGLINYAATFKTVNL RMKGPSMRKDQVVLAGAAAAASHKRELKGAAVAAEGAHLTPLGSGRKAFFGEPKSSSN TTLDRNGHEHDQGLGLNGNIDVDGPDQVVHEGEQLEEVFGVVKAELAAGRGEAGSNRP STSSDLVQEKLPVKMDTRHIHTSRVSLIYEHLNTLREKCRPIEDSLRSSLILVRNISL LTPFQKSTRDKISSSIPSLAHKIRNDRLNITKLKLWIKVLEHEGEREDREWKIVRHVA LQAAARSLREDSLVGVVKDVNSAQGIEKEVPKLEIPEPNHSGSSSPSPSSSLVANAGA EERNNRSEITSPVELPEMIRRSSEEPLVENKQHQQGRKPSKSDQLNRSPAGGVHSTGL AMRKSNSANDLLNPNTFVQCRRSSEIEETPDPRSGSASEKYDLSHSPNLSVDTPMMMF TMESPLEVPSEKMADQDKAH I203_07170 MACLKFLQKAWSTTLSKGGHDTNVLSSLRLIEARPKCLKGVFTI DQKHLNNHGTIHGGVILSLTDTLTSLSLSTLGYPPPTGVSVNISTEFVRPGGTVDSEL VCIGTVEQCGRNLAYTRCEFYTPPEKGDKLVAYGSQTKFMGGWSKVTQFSQDGTEELE MGDGKAKL I203_07171 MNFDPFNELGGGSALRFDEGQFFSSIQDDYCLPVASHSPETERL DYIHDVYKYHAGNIPDEVAILLSDGRPWRILDVGCGTGRWALEMIEAFPNIHITGVDL AHPPITVFPPNFSFEIHDVTKGQEYEDDVFDVVHVRDIHAGMPDYHAMLTECVRVLRP GGYLLVKEIEWVPAVLDGSDAQERFPAICAILGSFRNALSERFLDPLVGVNLESYVDN VSGLCDVHYSRHMVPMTPWSDDPILNYTGQMTRLAMAILGGTMRIMLLDHGESDPDGR YAAGIEELRDLLGQPIWYFGSVVARKEGELSDPEPDAELEGYSQEMDEEKDETGWIRD ED I203_07172 MQDTSLSPRLRHLLIQEDRSTGRLIMPTRSDIRSSISLNDGPLP APGAGAGLGIGFGNGTPSQTHNQLSPSSRNSSPAMSPTSLSFDSSPNDTKRALPSDVS QHRLALPSPVSSNRRPSSIFSLLNGLSVGGSPPSSASSIGSGIVYANGIGQTREERRE DDAKPKIDLSSSSSSFASPYTSTRRLSSAPISPNNEYYPAPYTAPIVTHRQSMYFDSR PIQQQQQHIPPPEQPNFAGSDRPGLLRRHSSHPYEYTSSPSSTSRPTTAYATGPIHEA DYAGMGARAPISRTTKACNACRNRKVRCDAGGGDMGPCSRCVESGTTCVYTGAQKKRG PCPGTARQSISKPRRPSTQSQISSHRSSVASVQSYIVTPTDEQAPWSRSSYGFPPAPP TFNLQQKQPDPSEWSSIAPGKGRPSTSTSASTTCMTPARTSNGNGIPHPNGTTVISWS NVSENNMDMNHNGMGMFDRDYALGPRNSIHLHKLAIDPPPPHHHHNLINDSAPRALPP LRVAIDRGGT I203_07173 MSDPNHPTPTSLESNIIDLTLDDDDDAFSSRSPSDSITKTSDMD LFISTTQASSDSPSTERFEDDFLLTGSNCLPTDTHIDLALASFNNDMISRSAQFTKLT NQSIPAQNPAHDPHQYLPLDLWNVSYPYEYGQYTQPYPRANEDLTSVMDEVTLNLLSL TKDLAESEKSQNEPLRSTIALADKVKNRSKEVEQTLNDLKTSLMAQTPTSTPSSQSTI YPSHLHIDHTSNEHRDPDSSLERRIQHLADRNKQLEDKNERLEMSSLEYQLSFQILQG QLAEKDLQMMQFQNK I203_07174 MPSVLPNFLQGSPPRSPHVERNNFFTSWVSGSSSPTHSPHYQQL QHNTTSSQGNSRQVLRPTRRDVLLCLLTLSFSYLLFSTPGSTSTQSIHPSSTGGKYKM PDWLFPSSHTSSSSSSGVCAIGGGEKTFGESVKTYGFSTNLNIDDDDLPIGGGNGKSW DGGEEQQEDDELQGLTTDLKAHAPGWTIMERPYIYNGSFYAVTDDPAQWPELRMMTST GLPANSDPGNSEAREPKGDEIIFISPFEAMKLWGPRVWKMDGMTFLFNDGQFIDHYYH FAAELMLGAWRAYSSYDQHITANGETTLPPPQRVWFLHQNVTEWRDKPKFNPLLMYSL FPSISILYPEDWADMKNQTISSVPKAFVLERALLADRSAAFRGEWTGPTARTVASALH VGQASKWWWEPIRRQVLRYSGSTEPIISRNLEGHGATDPISLMGPGVDVVEPLAPKGS YTPVITYISRQSSRRRLTKESHEELVKALEERSKKLGWELVIVEAEKMSKEEQFALAG RTTIMLGVHGNGLTHLLWMPATPRSAVIEMFFKGGFARDYQWTAHALGLKHFAVQHDV SFTSPNLPKVDYPEGFQGTSITVVGKVVADLIEDRLAGRV I203_07175 MSYASVASHNIPFGEMPKPDPNLAEGHFSGETEAHNTVDSKVNV LPAGSDPSHPDIEPAQPTAPISVELHPAPEYEPSTSESAPRPLPTPTKHSVELPESGA EFEKKAEKAEKKVKEVEKKAEKKGHEYSKKAKDELHQAESALGPYWDKTKDVVLRPGT LGGLLGVVNVGILGTAGYFAYTRKDQPWDRRIVGGVATGILALLGAESYAAESYLQTP EGRQEAERAKAEGSKFYLQAKEVILRPQVAGGLVGAVNVAILGAVGYFSYKNWNQPWD RRTVSAVTIGLLGLSGLEGYAGKVYADKELPKH I203_07176 MSGPPGSGSQQPYSHSQSSPPAIKLDRSSQGEAGPSRFPNHQYP SGISSRNIASTSSQYISSSQSRIARSHAHRPSDAIDLTQNHKGKGKAAEPIDLTLIDT DEEGEIEEMGRAKLQSSSGSIVLMDKDGRPVGGKSKGKDPPTKTFLEPPSSSFIPGRP RRDGRADPGQTHPAGIRNPASAMLARRNFDAQGLSRHQAIDLCSNSSRSASPEVLQLI NDGNGRLPPRDDPVKPTLTQANNLHQRNFTKSASSQSINLGRTDLARSPSTSRTAVNS KKAASGSPRRVKRMPKQPTVHRMSKDEWDAAVDERKKTMEAEKMQRDSRTPSLQSTSP QTSRRSASKESSTLGTQVHKVMNESPLRKPIPLFKTENSPSRRSPIQTSLSQGPTQAL SLSQNQIRSKSKTPTKSLTQPHIVTPHPRDSCAPRLNAEAGPSKPTQSSVKSKHFSPY QPGKDERPHRPQPELQPRKPSRERPQPGAYTLPPVETSIHDWPRTQSTYSAGGSKKRN SLNQSRDKEKGKQNQSVSPQKRIRQDQLSTTSSVQKSTPDNSSTQLSMKSHDTLELKQ DNIIFRNSSSTSSSLTPLSSPTFPTSLFHNNEKERYEPSPVKSLSASPVKGKPFPRLF GDETPLSKIYTPKKDESVLGEEDENDSKDPSGNAEDIFAEFADFDWAASDDEAPKEGS DLVQDPSDLPKDIESVDQNQHPNDNTSSSRIEPVLATSPHKRPSSSQTMVAIQLEPGR TSPTTPRSSQKRSRPSTSPTQSCKRRNISEKYTKFLEEERIRVETEKEAEREKEKQME SEAQDLLETKQHEGNDEDGGGDLDGFLDNIAPTSPVKPNMRTAIQSRQESRKAKIEEA KATRRKAKLEAIQQSAKAERLGTKKLEDQKFRSVLKVINKNKDINDFIEEYQQRRQGE EAYPTPETEWDDDDDDSPLSYSSDLDDLEDIKMELDRSFDIDVDLDALEGEDGFNDVV EELRKEGVGLDDSLIRDARASMTSSKVRKDQGLTWEGFWEREPIRPEEKDQKRIVPFD IDTHDPMLKMICEMARQPDADLESLGHIMSSGVMIFVEKDRRELANALFNNAISSSDP TWSAIARSCFSDMLQMDEYLRREDLKYLLGLGIKLLLHLGARRSTFSNLNEDLGHFED ESGIMIGREEACGMICRILMAEASRSNDAIYYQTDPSGSIKPQNVGWIPILLLMSIDN STSSALKRTIGETIQVLLHESVLEAENMIQLVYSITRAIVDASTQYPNAVKVAILDSL GQKSNETTVLYRWLAMEWLLPGTMAKVETMDKRSLVPPIPFLLLSIDNISDLIRPPSN TTSDEKIEPDWSEINFLVTFLYGSMSDIETLLRELNVEFNNEQTSGIYGERSIKDLMQ DCEIERVREGLRRCRDLISDQSNGTLKSTVKARLHQLYEITRLTLMLSITKKVRAKRL GKGLKVGNVRGQAQLDFTVRPVDKKEPKKTPSVE I203_07177 MAETSPDPDAGTSADASSSVAASSAASSAVVSPSEGTTSPPAQS STSSALPVESSSTTSSEPAQSSTSSEGGASSANPSSSQGTTSPAPGASSSASPSSGEG SASVSGSASVTAAPGSSSGASGSAASASATSVPAGSQSVSGGSTVVYVTVTDANGSVV VTPTATVGAGSSSGGGSNTGAIVGGVVGGVVGLALIGILLWFLLKRRRSNRDDFDDMM FDPGRPEHQAPIDLGQEGNDPTVEPYYTPGVASTTQSPEMAQYPRSAVTSSDGGYGAQ DLSRGPSTGTSAGFAGRGAGAGGYDMQNLATMPMPTAHPTGIAPHPEIGAGAGGAAAG ALAAGGMSAKQREAYQESQRFRVQNQSGYGGPAAGPGPAGASGSNQLAPPMSPTETST SDQVTVHQDGGRVQDEQEPSYGAEIPPT I203_07178 MSAPTLLRLARPRVINPLRSYLVRGYATELKPNLSYTKPTHPDS TASSHTPTQNIITDQSQYLLNTYVRPPILITKGKGLILTDTLNRDYLDFTAGIAVTAL GHSDEGINQIINQQSSKLSHASNIYWNEHAGELAKSLVENTKKFGGLGFTSGGNSSND EAQAKVFFSNSGTEANEGALKFARAHGKVTGGENKTGIVCFTNAFHGRSMGALSVTPN PKYQSPFAPLIPNVKVGKYNETSQKAVNELIDENTCGVILEPIQGEGGVEQGDIEFLK LVAKRAREVGAVLIYDEIQCGLFRSGTMWAHSSFPVEAHPDIITMAKPLSNGFPIGAI MVRSSVAETITPGMHGTTFGGQPLATAIGVHVLSRLSDPSFLSNLKETSEYLGDKMKR LPELFPSLIQEKLRGRGLIRGIGFKDTTKPSELVRLARERGVLLLTAGSDAVRFVPAL TVSKEQIDQAMGVIESCLSIIVDGEKA I203_07179 MSEQAAAPPDVPMEITSDSINSYPDARESDGPELQASEAISHDV AGPSSTFIPPPSPPLPNLNTKSFPAIDIDSDEDDSEDDEPIATLPIYMSTALGNNIDL YQYPLQHRSITIPTWARDRGKYISARVKEKINRVEIEIPVDAGQSYWREERARDLGFV TDTREINGNGDDDVVGGYGFGGGGGSKKDKDNKKAKGKGREKWGDKMRLRSEPVPNAT GYYSGVIRDGALHLHPVSKLHQFRTSLGYLDDADEKAKERSTRRTANGGTGGGDSDDE STKKKPVKDLRQQQRKVLDEEDNDGSGSIKDFRNKMWWMSKKEEEDPWVPYQWRAGED GEVAETLEQLVVPQDKRERLTCKTRPLDYLDRQDKMQIV I203_07180 MSSTSQSPSSSSSSSSTPTNHPEPTRPSSSSTPLPPSSDHSTDS NDNPFSSSKLFSSLYNNNNKRDPSSSSSSSNSNPLLPGIPIDTASVLASKYDPSLSYF HSSSSPSSSSSEDGGLVHKEMPRIPERCFSFCTQTDGDRPLCRMFCIRKRPPVLSQKE QLQRLRPPSVHRPTATIPVKIDLDQDDGIPWYKRPFESLRRTVTPYSFIYIKGTPDGV IGRYMEELEWDDGEYDFGHLSRSVVSGSASMKGKKKEGEEGKYGWTWLDWGEHGSLLH LPLTTIFYPILSIPNTLSNLLTPSYNLLKLYEKSFVDGGQARSLEKFTAEIQRGGAIE MLNKLSDYWEKKVIETKERREEMMKQLKEERSRSGDVESGKNE I203_07181 MTSNHPSKGRQSLPPMSVHSLTTPTSSRHSLGGGGGSMILSSTR VKPTSATSGSAGSGLSTSRSMGNLRGSSEGLLDKDKQKPPKKKNKKGMKGWAWVVEDE NGNIIDAPDSEVDAAADADGGPGAGAENPTISRSRDGGEEDEMTAVEDSNIGIRSTSS IHQLINQDEANDDKPISRLSNSTIVQNDNDLYASSPMVSRASTATAEIIPSKRTRKSS SPAVALTPKDSVNHDEGE I203_07182 MTPERRITRSRTAAQRPIPILRPPSPSPSCSPTPAPLIILDVLK PDSLPSSRESSPDPNSDDDSDVSSSLSALSSAAAQSESDSPAPTPAAILATTTTQALN SVKADTHTKKKRRTSPPPPTTNQTAAPALVRATSTPKVPTTTAAASGKSKAPRVSTVG ATAPTRAQKALLVRESNSTSRAGSQHVVEDDTPLPLPPSRTGMGEGAFPTPKESYLRQ ENYFLRKEAEYIIHQAEVHKKELNKRKDRDERNGTTLVEGKRNRKSINYNEDEDEDGD VDLSNHEDEDGDDSEGGPGPSTSIARLKRRQSQLNGNRNGNGHGSSSNERRRSSTTNM KFPEPVKDQSFEGQFNQLQKEHKANILAEKAAEQSRPPIFPKNARPTVVLANGTVNGI GGKTVPRDKTERTYQEGLSGLSNEMEIDAQGFVDNVKENLRAILKYYFPERSPRRDAF CERIGRGLAQLGWELTDNADAALLP I203_07183 MSQETIRSSLTGAARPSAHPRLHALAKPYSRPSSFSGLSSSATM TDLANISSSLGGNEGWDESPTKSPSKTTRPGLSTSSSYGSLPRSGSDSSLFSGIKSIF SRPLQWLATPARASGSGNGRDSYSSFGHDLEDQSFSQSPSVKRSRRGSPSPEKQRAKR RKENGTATAATTTATSNEYEPEQQIPPFELHGRAVSGFMLPPLSPNVTLKPKSHLPIP QHVTNNTRTNFSRPLGSSSSSGLSSSKSMSYLDPPTDVLGASSPRKAGGMLTRSKRVE LSSLVDDRDRDEMEVESSSQGNDGGKTWSPWKSRYSTAGGAASSAANVRPTTPSRNTP SRLGSRDFKLPSASPFKPPPSPLHGQSPHISNAAASTSGLTRSATTANIRRAASVASD VSMGGGLTRSGSVRGLRQSGSMLFGSVNGDRERDREDDRMSVDGEHRQREGSVLDWFM KDRWDGTARPGSPASIAASRRLGSAGPSLSHPPIRKGQLVWHEEEKAFVRESDLRAAQ APPAIHKSEAERILYTLESMRKTPLTDARKGELPPVTIGQSSRTMRKTINVPLATAAA GDSAKQRRDKDRLGGDDRVSMMISPYGRRKVADQQAREERRSRMAEDRDYRPSPTPTA SDVQSQASDVEMEPPSSQKSASPAPPPTPRRSSRLKRTTGTDEDVPTPKASRKPKRGA SKQPEPAQEEEQPQPTPRGRRTRKTTVDRGTSPSPPPPVPTITATAPSPGGPANTSTQ TVSSSGYQPLADGERSRGGSSLRARSDVTKRTHVGAASYSRSATPSSGRFSAKEEDLP DMDELEQAKIPLPSFSGISFAGLNPTPPATSNGSSTSVTNPDVPSAPSQSTTAPSSSL NVPPPGRRPGGPLARVGLSSTRPRASSPLAGGSIVAQPESPESASKAAKPAEPAQAPA NGFFTLKGSTPTVPAAGSTTPLGKPPASSFFSVPSTGATNGESSKDKSFSFGLGKPPA ETEKPSETTSGSGIPDFFGKKSAPPSGTATPTSVPAFDFGLSKKAAEEPKAPPAQLPP ATFSFGKPAETATPPATNGGGFSFGVPKEAEKKNGPTPSFSFGSSKPAEAPKPAFSFG SSDKPAEPAKSTFSFGAPATTSAQPAKPTFSFGNPSTSTAAEKPAETAKNSFSFGTPS TTDSPKAAEPAKPSFSFGSSSATTSKLAEATKPAFSFGATSTTPASTPPPFGAAASTN GTSSTAPAPGGFSFGKPTEKKDTAPSNPFGGSAPAGGSGSTGTGFTFGASGSTSTTTP FGENKANGDTAKSNPFGGASTTPAPASAGFAFGASSSSTKPNESTSGFGSSAPAAASP SNPFGFGSSASATTSPALAPTSNPFGQPAQTASSPATTSTPSFTFGQSSSTPATSSGF GTSAPAPASTVASNPFGQQPSSAGSGGFNFAFGAGASGSTSSPAPAPASGGFTFGQPS TTPSTPTATNSTFTFGNPAPTTPGATSQSSFAFGTGGGAPQSTTPAAPPASGGFSFGA PPANQATAPRFGSPAPGPADGGFSLGASDGGVPGSPGGRKVKGLPNRRGGVKR I203_07184 MGIFGRKSRQNTSSSLILPHAQSSLRLDSSSVACSSTPSSPYTT SVSCIFHGHHGHHGKSGKSTPSSLSPNLNGHAHGSPSTGLNHNQPTPIRLRVAIDPIP FHSNNEHAKNLFYVQVCPEEGIAALRREIARTVGHGSMSLFKVSIPQQAFLQSRSYTE RYGKPVHLLSQFPAFNLDDSNQLELSLGPNHTHPTSENESGIVGNLKIKHWFPDFVNG YNPSDMISIVARPLLGLPVNHTPLTLRAYFAQPPNTSTSSASGSSSCLRSLPPPIVVD IDPHTTVDELKSELLRSAGKDDTLYKQVTLWQIEMTEKEMNVIDELGRLKNGKMPWPY PPGAMEPIPMTDGNLPVSLFFPKSAPNGDMLNLSIWLNSTSISSNDSNIPHFRYPMTN LTRPASTHCASPTISTRSIPSTVVSTPITELPPSVQSALSSATLRVKKSRVRPSTAPA ATASASAKSFGSSSTKPPPPGSIRSTSTSTSKSITTDKPKGLGIVTLTPSADTPILDR TSFSSTLSTESDMSVPSLINHSQLSLETLTITNVKTPLDDNKSDWLSPTSSHGQGLGP AQAHVQQNDTLLKQSMLVNKGSLRDRLRKVL I203_07185 MNTFISASSPLFEYQPCKACNISTGFSSGSDFTGRDYNGTESGM ITQTGDTSVTFNCTGSGVSFDVSYSQPLNSSFTPSLIVNGSSSDASNGVRANGTSITN LPLGKHSFQLSFNRTSHQNNDEWVRVNGANCNVGYQMISSQTNTEIIDDSAWRTWKVY LTPGWNMLEEEGISNYIDLDQYQAELPTASRDYNGSISWTEQANAANEIHFTGSAVWV HGIVGDVAGSYEVVLDNVTQGVFNASGGPRVYNSILYHTSDLADTDHSILLRNLEQGK RLSFDRLVAMSGLNQVANYSSSLLPTSSSEPSSSASQLEVSSYYPTATGNNAQQQDNI STSLSGGAIAGIVVAASIVVATFMITWIFACLKKRQDQRKNEQTELQDEREQREKSQT TFFRFSSRAPSPTPGHPFHPLPSPKQPQPQPLVTQQSQHPIPTPRKPTTSRLFNFSSP TPSLRSFIQNHTTASIVSEKDGRGSGTSFLKLNNNRFSRSAKHHQRNESNADTGSEIL NPLGHGAKKSIAGLNISNPQRIHQYPTRMQREKSEDYLPSLASHTREVPLPDQSPPPP PPPETGPDNTPILPIRTDSIASSSTTNGRRETISPLVAALSGKTSSPLAELSRSASKR LTSPLGGVFTKRKGANPHPNPNEGGRRSSVADSLDTKRESKFGGGSIKTAKTEFDPDE SGGDGIGILTMYATLPPGPASSQRNTAFDYSPNPDRYDEYDQAGQDNISGVGVALGSP MQDQNFQWEGNAQRMTDEMEEEEQFKPPTRRFLGLGDRPKSGVSNKSGKTVSSIGSGW RYM I203_07186 MSGFFGFDTSLPERGGQRGPGGGQQHFSGFQASNVDETFALGGA GEEEDLAVYTWGEGGMGGGGLLEGGDELNDETFGDDLGEINNNFQFSSQPATAPPTVK GKIASTQSRYKPKPVSDPFAFSEDDFYSSRPSKKTTTAKAPSRPKPSTGQSGSVENLW NKPAVSSPAWGTAPSAMTKLTPPPSASFPSQPPAPGHIKSLEEIEAEMAQMAIPSTST AAPTQQKVFSLEEIEKQMMEQIEPPRQATPQQPAPPREATPTQVPGLAGSGYASQQAL LDSMFPELGKGPSALGGLAPAFPPGPRQEGQSQPSQPPQPSPEELARMEELHKRITSK IQSMAKYNNLMGSSDKDFITRIQLSQLATADPYTSDFYAQVFSALKRSRMIAEGQGDG PTVVQVGAGMGLGVGGPVGNRFGKMGQNTMTKLSTQVKKLVENRAQHQKITNTAALQG ALGRVTRGNAAAPRPVLAIPTSNKPENRPASQLNQQTGIHRAALTKKQVMFALEELYD AILELEQMRREAPPPTAMEEIEIWHAKCQAKVDIIWRRLMVMEPLDISIPHPFISLVN PIKGQKLFPRLLRHLPHTQSITLLSLLIATYTQLDVVFRAPPPPVADASLLTKADRLD RVKREAETDSFLQFVIPGVDMIINRCGLGLVAGMLAISAQRSEVWRVAATRPGVALYT ALLSKAQSLIRSPTPDPMNPQQNQQVDPAELEQWSKTFTYFLHVLLPHLNDLFPSSVA QKAAFGPGAYLIGGDAVSDREGQEMERREAEVWGFVAVLAVNAAEEDQTNLVAALREK ILHTVQSSRHPNVSPARAEMKLRNVNMFLNGLGLDASMIE I203_07187 MLIKTSYRDVPTKANGRAGTIRIFLIEPNLPDYPQAKFPGCVVF SEIYQVTGPVERFASNIASEGYIVALPSSFHEFEGPEAIPYDTEGTDRGNKYKIEKTV EGYDEDATLSVDLLCSLENCTGRIASTGMCLGGHLLIKKQCAFDPRVLATFCYFATDV HSATLGKGKSDDTLIKIRKGDLTGKGEVTMVFGKQASRSADSPDTHVDRNGRTFIRDT LDKAGISFTFLEVQAQHAFIRDESSKGRWDAALTRSLFSMMMELFNRTVARDLGAKVG GGAKLEHVC I203_07188 MSQDDAPSFPLTHLTAKDIHIQGRHFVDNHGRVLNLRGANVSGS SKVPSKPIPKIHEHAQVDYVNRPFPLEEADQHWRRLKSWGLTFIRITVTWEAVEHEGP GIYDERYLEYLRKLLESMEPYGLVAYIAIHQDVWSRYSGGSGAPGWTLTSAGFDLSND GEKLALSGAAFLDGIKGGRLGGERGLWPTGYQKLAAATMNTLFWGGETFAPSLKVPAT TSDGKTKKVNIETYLQDAFLKMFERLVDAVEDVRSVLGFELINEPHPGFIGLPSIHEW NYNTDLHLGEFPSPLQSFSMGAGRPTPDVPVYKRSFPYPTTISKYTTANPEGVPAWTK GKGGCIWETEGIWRWSDNKKQAIALQEDYFTKNRKGDKVDFYQDFYFPFVQKWNKIIG SKQKISEDGDKLTRMVEMIPNEFCPEYEEGKRPDNMVYAPHWYDLNALFKKQFGFMTV NVQGLSRGMFLLKALYFGANGAKANYAKQIQTIVLEARLKLGAVPVIIGECGVPMDLN NEHALKTGDWKYQRQMMDAMISALESANVGFNLWTYNPANRDDIGDDWNAENFSWYSD HNRSLALKKSEDTNIGEEDLDAGGRLLDVIVRPYAVATAGTPLSSSYDAETALFTYRY HSPIRVSTDQPTIEEVTEIFLPRRVYSEGQVRFSLSLGGKIYFDWANHRCWVWFEESP HHKFIDLGPRGTAEHKVRRVDVWVPNKARSSQKGWTISQILLLILCLVFALLGIWWAQ EIEWDKEHSALRHYRPGSRSWFKT I203_07189 MDLYTTSFTYHRPSPRSLRSTNSPLPSSLALPGSGNISTIPLPN VTSATAPPSKSSLTTNLTTAAQVEKDWNDVQKMCLDITTREGCLVTVTKESIGVDLNP QPQPQQTDVNAESNGGGKDDSVPIPSTTTWNFHLSGGYQSVMSARGAILRETPKDNKT ILKISRTEILESPLSNISPLKVDVKRRLDEIALDSKAHIAVLNIEIPGAGVGGAVLAT ADGQAQSSEGETAATSASQREGSAGPESTNGTDKQPTKSTSSNSPTVPAPAPPPNAPV TYGLETERMCELVITGPLESVEVAKVRLLVMLDELSGLHSEVCDIDYKLHNIIASRKR GVIQSIQQETATNIYYPTPLVGVFNPPQPGQQQGQLGKANQIWITGEFFGVQRARDML LNVAVQKSKLVISRDTAILPRKLDWLLTERLEEVKGIMSDNGTYIQVPSIGSQASLIT VFGDHRVNIERTIRSVMGLACQFYVASFWLLPISFDVLMPQASLNPAQMQPILKQIAH ATGAEVVFKSNCFEMHGLEQEVRAAVMMVLELEAIHNFHHEIRFQIELANEHREFISG KKNGKINKIMKMAGVKIKFETFNDYNFLMDVSGSDLGSLQGLSMLQEELPAEVSFHVP ESYHKRIIGVGGKNIQRIMKLYGVYVKFSNAEEFAALGGYIDNEDNVVARTPAKNAIN LESLKQSVMELVNPKDKDYTVESVSIPRRYHRTLLGEKSIFIHDIEQKTNSVVRFPYK ESASDIVTIFGPESQVHIAAAMLLDHVPFEADLHVPPYPELTRLVTSTDFILFTERVK RDHQIAIVPSAKFGQGDEAIFKFRCQRSNIDFLGTARDALEEWLGQHNIQVYPSNATK RVDSFADAFSHFNSKLLATGANNTEAEGESLVERRQRPVTVSTDVRALFNGPGSGAYR SDDEGSLGFAGPLSYQNPPRSSDLWPGSNQPARTESDHSKRDSDPIIQDRVRQASTGH SNTTAHPHPHPHSQSRINPTMRHQSLDISHMKFSRSSSGGNSAFGPMPPSPTAANSSP NTATGPYFPHVGPHPIRANVTGRGGYTGSSATTSSDQGVEGVTQAMSNVQVSH I203_07190 MNPLSIPISTSTSRSVSPRGIPASATASTSRKTTWPLEIEENDI ESSSSTHLNVPSLIATTSTFTSNSSNPLSLSTSRGVKRTTRDTTSPKRTKRIREATPV EDGSTPNLVDATGTSSFQFLNASAPTTAIAGPSSYVQEDVVVETSENGDGEEDSKSVL FSEQTGKTELIEGECEGDETIIQQEEDEGADDYTVEGEGQYDEEQQYDQNEEEGEEFL VEEQYEPQDDPSVDDEGEASTNSRPLTIDLRKVMPPPPTDIISKSKSRSTSSSHRQSY GSNMVNDTMTQVIEGSSSRQRQNTNHNINDHHSFVDLNDQVYSDNVEEHQGGEEEGSG SPESYHSGDSDEYEMEHRPVLERTAIKRDIRSFTESLTVLREGVDGYLPYKVVDRLGE GTFSSVYLAYDCLNRTYANEYWSGIPDEDQDEDTNEQQPAVKVALKKILVTSSPARIE NELAILEALRGCRNVSQLISAFREEDQIIIVLPFHRSDDFRHFYRHMDPPHIRSYLQS LFRALKDIHKRGIVHRDVKPANFLYDYETGQGVLVDFGLAERYVPPRKPTCQHAPATI SSLQGSKIKTGETSVVEQAVYDARKRSRQGEGRIGFPHEDKRPTIKTNRAGTRGFRAP EVLLKCPDQTVAIDIWSAGVMLLSILTHKFPVFNSSDDIEALMEIAAIFGRAAMERCA LLHNRTIISNVPTLDSHPSSLASLVMKLNPHIYTPHMTNPTPDDAREHIEAIDQVIDL CQKLLRLDSTKRLTAAQALRHPFIAPREGEEDEEEGRDEMIHPQDGKCGELHEVEDGR HRAYFHPDMRDLPFGQGIPPTRDSLCPEHEHYQERFQINPLVTRRWVNQAAEDADEAQ EGDEEDFVVESEPIDKRYPNINGNGMTQPLKERDINAPTNHVHNGLTYDRKRRGVVIE M I203_07191 MVLSYEEQRIQNIKDNEALLLSLGLGAPSTPKAQAPPKKHLSKK KTDDNSTFQLEKPTREVKPKLRPLVKIDGEIDTSTDGLRRSTRSSSRITNGSKPNYTD DSPLYREDVKPTLSRSTPSKRKRQDEDDDDDDVEEENRWKLRKAQKLGIRTEDPKQFG HIPGVEVGRCWATRMDCSTDAVHAPTVAGISGNAQEGAWSVALSGGYPDDVDLGYAFT YTGSGGRDLKGTKQNPKNLRTAEQSYDQSFDNTFNAALKKSSETRKPVRVIRGYKLPS VYAPVEGYRYDGLYIVEKAWMARGLTKGLMVCRYAFKRVEGQPDLPLRSEDEGSESEE VAEEEKEGKVLVESDTQNINTDDEVEKLVGSSIKDYDIDGKENAEEILA I203_07192 MTSQPVCQFSDRLTSALNAAQNQAHTHSHEGDDSSRPVSFVSSA NDASPLHSHDHGNGHSHTHDGWTPDEHGHTHEHLEHAGKFSERDMPDYSGRDWEERGF TIGIGGPVGSGKTALLLALCRALRDEYNIAAVTNDIFTREDQEFLIRNEALPTERIRA IETGGCPHAAIREDISANMGALEELQVEFGCQMLFVESGGDNLAANYSRELADYIIYV IDVSGGDKIPRKGGPGISQSDLLIVNKIDLAPHVGASLDVMKRDAAKMRESGPTLFTS VRHNDGVRDVIDAILSAWKASGAAGKDGKGKGKDKA I203_07193 MRSPTPPSDHTNSSLTTPTLPVEINDALFCEHGLEVCQQCEFDA REDNDAMMGFDPKPRGPLELPAHFKNQKDNTFMCKAHGNANCKSCFGWKKQISKLHKD GKKEASKKKEKTTNLY I203_07194 MSQSNRRPPNSQILPGFPSLGLPELMECLSALGIPAQMEDLTKP TAVTTQGIYAGLIEALMGAPMESIEAPKQALLGMMEYKDMYNDALQFTMFFRHVRDLA NLCGITNFSLADLTRPEPARFKTVLSGIMNFAKFRDERAHFQAQLQAKLQEQAEKTVS LRQKIDQVENDISEITARNAAERPQSEQAQKRNDGLRAELMELRSQQMKLSSETEELK KERQTLMDQAAHNAHLNTQIQQQILSAKSRLVQSPDRIKKQISEMSISLSSEKARLSS FQLKARELSNRLEVINSLELDLKNLIDLQKTIEAQKVKIEEAKRNKTIGESKKESKEL ELKNLQSRSDQLNKQIENAQNKLDRQQELTNETRERHNKRLAELKAEYTVRSKERGVW QKQRDLLLAEQKELENEMSTFIQTHENEINDLLQAYWTMRRQAEDYMNTMSVKLGLQV KT I203_07195 MVSVITSAKKNRPRALTPSFLTETLPTLLPPIFEQVQHTTANHR KNIVSLHKIQSQCSTITEDTSKGIKLIKGIKLVGERTFNNAFIEMVNRVLPIKKGTAV ADRVVKFVASYVAYSTEQDAASKAENQGEEDEEGVETFSTRFIVKLLKHLLRGMEAKD KNVRFRVTLLAVSMINGLGEMDEDLYVLLRESLLNRARDKEAAVRVQAALGLAKLQSG EDEDDLEEGQESLGEVLLDLLRYDPAAEVRRAALYNLPRNPTTLPHILARTRDIDPIL RRTVYAGSLSATSLPDPRVLSIAQREEIVRNGLGDREGNVRKAAAGMLGGWVDLVEGD MIEFLSRFDVVSSQVAEDALISVFVTRPEILDMVEFNDEFWTSLTPEKAFLARVFVDH CISNKDDVRLEEALPVVTALAFCIQDEYNKLVASTNEGDEDVTDRAFIVGELLKLAVN LDYADEIGRRKMFQLAREMISQVNLPEPLIPKCLDVLSKIANGERDLIRVVVDVVTEL RAGGEDLNEEGEEEGPSDSQMSSTSDHSNIRRKSTFSASQRLNSEDPEERMKAGLIDL RCLLICISLLERVNTNLQDNSVFHGLLPDLIIPAVRNKEEPALRDQGLICLGLCCMID AKMAANSFGLFIQQLTAADDDLKVKVCQVVFDLLMLHDINDLVSNTMAADKVVELVRH VLSQDSPEVQAVACEGVAKLMLAGMISDETVLQSLVLLYFSPETADNQPLRQCLTYFL PIYCYSSSENQRRMLSIFSDTFGLLAQLSEEAEDEEMPPISQMGLMMVDWLDPQKTVE RGGVKIDTAIHLDLAEQILESLLTETSKEMRKALLSFLSKLNLPESDDDTVESWRLKS QLAFIHAIRAKRPFGDALSKNALVRYEGVLLKRYPELEEYSEDGFRNEASENEKIEEI WGFIDDVEEKDVDTLSEVGSQRGRRASSIASTRRGRDSVTPSVVSDLDEDEDEIPRKS KAKPKLKNQTMEVVEEESEEDIEVEGILDEEEDEDDELAL I203_07196 MTKDASTISLSIPNPPSTTARWSNIGTGVRHFQPSDFERTIPQG DYTTKFDNRSFGYPPIGVHSTPTAHAEVQPNTLSTAMGEERTFEGLASDLAKTLAFNE GDINVVVDIDDMSGQKSYDMYRRRNAQPAIQPIPLSPAVAGSLSENERSMERSNSNNS NNPFLPEKMKRGIQHQHPHRYQYPQPGSIGHGDWYYITPHDRSGSDKSTQLGEIEQQD GYPNANIQTYRNVGTFPSIENGNDIFAITDEHHGTVHLRQQDYHPSYIPELESNIHTL QTELSSLKEDYTTMADRKEHYKGKYNQTYTDLTNANERIDSLNGNIREFKEMYTKASK ISQRNYTDWSKSQSMILDLNGKIKSLLSDKETMQIRIEGLESDKVRFYADNHALRSEM KGLDEQIRTYRDGSYQDGLRIAEEKMYSESLQMKVRELEDRIHRTRGGSHSDHQGEGY ERSIYGEGEDEKVYRYEEREPTQAQDDQADQADRSE I203_07197 MPPRPIKTPTLDSLLPPILALLPNAYSAHQKCLTTTARLVHSNH HQLAVEILFATSKELLKINEGSSGVELGVRMIQIMSEAEIRVDDKSRASITQLLALTP PTGPWRKKLADAAIKWSQQFGECPTGDPNLHHYIGEMYYKDRAFPLAEQHLLSSGKRD SALTLAQMMYEWCDHGRLDPGPYAVRGTLPFLAQSPPSILPALTFLTSFLSLLSSSSS AYHKNFITAIPSQTSFSLNEVHLTTSPTLNFLQLALITVQRAPAPGVSGVAARGMDGG IAREWEGLCRRYSRNSGVNGVLAQKEVQETLMQISTGVFHIPPPRGAGGNDLLQNLMG SLFGGGGGGAGAIGAR I203_07198 MEVLGHVNNLSEYARSLKDTRLSSLRHPGEFFDYQRVSRPKDMQ EYLKRASYNVRYFSANYAIVVALLAVYSLISNPLLLVALGFLIGGFLSINRFVPEPIE FNGKVVTPQNLYIGLFVIGIPLLWLAAPISTFFWLVGSSGCLVGAHAGLLEPGVESEY EGLETV I203_07199 METISSAQHQINEILNSIQHFINESSEDAIYKAPIIDWHHPNSY KQSAIVGLKKFLLAVENERDYIDSLAESEVPPLEIASNIPYYQAVWEQVKYAQWPIIS IGQHFECQTQNDEQNRKRKVQQIKVDVVEDAGKVWVKVNTIKESRLMAEFREQDSYLN SDYDDDDDDEIHTEDDIHNAGPSSPQLINSLITQAKSLIKASHLYPRFEGCPPPRIKY VLNRLEEHPEGEYEDERVHQTFQTIRSMGIDLILASDQRIIPKRTKRPDIHPTNNILL DLSVLVALCCDSTHLHLPTTPQELEERFRSLKLTTDGEVVLADHIPVTKDLRDQLEWE MKHPLIQEMLDRLSSIRGNDGGKKVEFWVTEEVRNRLPGIVEIIGGEDEQRRAKILFS SSENTKEDFWQGSRWKDIIQSSKEGSILRDMKINILPPEYTGENFNVDDVHTSFQKGF VGVVKKMLDIVDVQERKKHEIQQKKNFNGNKNKDQNKDKKRRSKNPNNPPSKGISLES KLPSIHTLRTFLVGFQQGWTVLTNNRGSVGKVLREMRIDEGLGYCSPSASLDNSVSTT NENVEWQEQEQEQQDGEDSDKVDIWVVNPSSLSEWRRKEVKMRNAKLREYLSKPDNQQ SYKDWLKDQEGLGLDGNCEEEGKRWKRAQNKGGDLAEE I203_07201 MLLRLLPQPTLENPSPLTILFTLPLPSHPYISRSLPLPPALLAH RALCLQEDIDCPNVLLGEKSSWICGIIDDVTFSLPSLSITINFIDGSSTTLPISISCS AQLQRVVEEVQLSFTAPTTVPNSPRSSISSIASTSSASSSSAANPSTPRRTPSSLLLS LLSPLLPSSSTCSPPRPSLAAPPCAPARVHRRAARSLLVDTYRRYVLPLLKEQLPCSY FPWAVASETFRQMEEFSKVQSEINRIIDGCGINRDILDRRTANSSVSRIRSSSSSSLQ SMSDDESDSDSTPSPITPSTSVFSTCASSPSSNSSRNLAVSPREFLLSIPPAHALPAK DQMAYSSQLARLTQIASRISQIKKLSMRYEREEGKRRWLEGLERGRLSDKSLRKAFSL GEYPKTLTAPSCFTSEVNRSSRLRQSYTVEDLERELDEESQLRHPAMMDISDSEEDEE EEGPITPPKSRCSSSIDRPLLSAVTTSIHVDVDGLRGTLPIQRPTLERKLALITPTPG LYSDEDEEEEEEDVISTPISLSPPSITKKLGAMDILPLPIPVPIPGVGVVNKKLLGHV SPEMGYEGQDELEEGNEGWRVYA I203_07202 MTGDSLGESSRSVTHPHAHHNDANVVTDAGNANGKGKGKSNGNG NGNGEIHPMVDFTAGVIAGAAGLLVGQPFDVVKVRYQTPEYAGRYKSTFGALGAIVKE EKVKGLFKGVTSPMAGIAFINGVVFTSYSFFMKLQLSPDQINEPNLGQIFLAGAGSGV VAATLTCPIELIKIREQSAPPHVNTSTLGVIKHIIRTDGFRGLFRGLNATALRDLAYG PYFFTYEATLRLFKYIKTPPLPENTRKHEGHTLIDEAEMELSSGLSWPELMAAGGIAG VTAWLATFPIDVFKTRIQSASWPSDSPSNPSNRAVSVRPTLWKVAADAVRQEGWKVMF AGLGPTLIRAVPTNMVIFLTFEACVAGLS I203_07203 MMNLDEEVRLWTTNAEREKTENLATLYSIIVSLEYLERAYVRDS VNGKEYAPACIKLLAQYKSLMKLVGDEIGGVEVFMKRFKMDHPAALHRLTVGVPATVE HSAEATDGGAEAGKWVAETTQSFITFMDALKLNLKAKDQLHPFLTDLMSGYSRFKGSG EWEGRAKILHWLITLNAMKASDEITEEQSRQMLFDIENAYNEFFRSLSSSNKST I203_07204 MESYLPAIPSQAFPSSAHSHSHSHAPQHSLFTPEFGLPFYTKGT NTYYGTPHTLLRAQFPGQETFSERADTSISTEREHGHGHEDLEPDLTFIPRDEHSELS INFKDDEDIHSIEFPLSKKGHREVNGNDTIHPDSHVQDECILLAKLSMAPGML I203_07206 MCNPDDNVEFDSDNLCSQHYAEWEAITDSSSGSGSSESESSDDE PPAEKPQPQVNSGQTTTEGSNPDGTVQQATAQEEEGGEDHAAGSGSSSEGEEENNEAE EAEEEEQEVGGCMKCADPVDFSHAYGDLCAEHQAEEDERNNSNYNHAGPSGSDDHDSG YGGSGSESSDEWDSDDGPGQAY I203_07207 MHTYKDIILGDFKQLRPSSNCTLHLPEDYEALQKSKWYAAYNVH FLMEFDDGIKWLIRVRQNQGHRLPSQITEPVIQSEVATLQVLRDYGIPVPEAYLPGYM RLKGERNETTLDYFFLSFMEGTPMDIPRSGHLGEITLPDDQLQHFIEEYAKTEIQLRN LRLPFKKIGCIYPAEHDGMLVGPIVSRGSFMNPTPPYFMGPFDTLKDMYLAKIDSALR YISLNALQGQNPVDAYLWHLELRELVSHSKVLCEVPEQLFIKHDDKKGDEMMVNSEGK VIGVIDWEWAYVTIESDAFSTPWLFNRTLTYVREGSNSLTHPEELLVQQYETMGYSDL ADCVRDGRLYLRLDRIGYYDSAYKKSGFREVFGDDVPSDLTPPEHDVDWRVFSMKRYQ KDEGLKDVMNRFGWTLERAEEEAERMH I203_07208 MSDIHTSLECKVRISFSTWESQNTRLRAAQIHQDEMQTKVEEAY AEYYEFQAEMAPPHIMESAQARINNFATKLEAAQWEVSSATAALTSAQVQYEKDYRAW EVVNQ I203_07209 MRNGHGCTIEIPNSGETILEGGVNLHLILTFDDGKKWCARIRKR NILAATPAIRSMEDQSEIASMKILNRITNGIVPMVYEIPRDLQPNTDELDFFFMDFVP GKPLNAPILNGEETPEQMDQAVHDYAIFQISLKSLSFDSIGSLYPAPSEFKSEPGRPP VVVGPLLSLYLRFDETPHFVGPFQSNKQRYLSSIDLVLRKIREGIFHPEIARFAYLAH LEVKDMVNRYDHWETCEDGRFYFKHGEDKGDHIMIDEDGHITGVLDWEWAYVTSKSEA FGSCNAFMDPKTFWHGDNSLSRLELRLIQEYQTLGHPDLAECVKYGRLYQWLDVLVGR YPVLDFLNGIREIVTGNQYFFCDTDEYINWAHDKYGSDEEYRRLIMEISDCGLEG I203_07210 MFPQYGLLLKKLERSRLAIIDLAESLRPGHHCILVLPPDSLTIL DNERSYGAINIHFPLEFDDGVKWLLRVRQAHHGVPPIEILKQTAISEVVTLQTLRSFG MPVPQAWIPIKTRVEDEGGDLFEDTSICYFFCEFMAGKSQLMPHLTLQTYREVVDHDR RLIEEYGRSQILLSKHPIKYTLIGSLVPSNGHNDSVSVGPLLSLWGVNNIQAPHFPGP FTSNRQRYLTQIDIVLSHISEGVMNDNDALNAYLWHLTLKELVETCPELAEGVTEVYV RHADAKGNILWGDDEGNLTAILDWEMAFVTTKAEAFSSPLFCYHTNAPYERQDLHTVR EKVLIDFYDRSNRPDLAHCVRKGKKYQYLESIGRFHGWYVMICMPMALMDAFEDTKPE SLQPPFYADKDWTVYMVQRYIDNPELQTLISKIGWDLEEERKKVISSRGWEERDRKRS EWWALTAENRRRRKSEDVVAREKAMVENRLRIALKKQKKMDEGATGGMEVKSRVKKIT KSHDDTPVTFEEKGPNGPAKSS I203_07211 MPELVEHPCSFTGCTSTVLGWEAKCQFCNVVLCDVHDNENNHEC CRLARLEHDERNEAMYKVKQATREKNLNHILEQIKTHQAALEKEISTIRPGHTCSLII PQLEDLIKSKWYAGFNVHFLITFEDDVDWLLRVRQPYGPSPPQEISDIVMTIEVTTLN FLKANGVSVPGAWLPKHLEDVNCNTSARLPFDYFIYEFVEGKPLKINKNLYKPIELPP NELRKFVEEYAKIQIQLFPTYLLNTPKLDLYPRLPVTPKTFESVQ I203_07212 MVDEEGNIISILDWEWAYVTTKEEAFSTPKIFNQDYEWMRMGDN SLREAEKILIECYLRHERSDLADCVRRGKLYARLEGIGNYDPLCVKKGFREVFGDDIP DDFHRPDDDVDWRIYMMKRYENHEGLQKVMEDYEWSIERAENEKEKWRITQVEIEAER KKWMVEEEEKMKKRFEEMKKAYYQEKAGNAESGAQKVK I203_07213 MGRLRRSRTHHARRDVHRLARTRVRVKDLDQIEIDLRPGNRAKL ERQEIDEDKPGLGQHYCVECAKYCETDLALRNHTKSKVHKRRLKELRDPAYTLTEAEK AAGLGQDNRQRGVEEVVKRFEGMNNEASSNTQEGQKEATTA I203_07214 MFEAWISAITTIPILAQPIRIRLMQYDAMSWKSAGQLISGPPSS SSSEPVFKRLRPNSYGSSAFQRDTAHLSHYGPNSDLVAADLKSRKSDWDVVKENHRFI RDDEEPQNVSWEERVARAYESKLFKEFALIDLKHFKSHQFALRWRTAPEVINGVGEDT CGSLRCKYHNPPSTTSSNGEERKRQISLSNLRFKDPDTASSSRLSSVRRDHEDDDDDD EIAEDIDEIPPLRSYELPFVYMEDNQRKEALVKVRLCARCERKLKWKSDDERDKSKSD EKKSKSNDKSRDRDRNRGGRDDQETQRGPRSRKKLEDSGRYDLSDSSDEDYKHPSRTK GREDDRNTKSKHRSHPKSRSRSPSRHRESSRSYEHSRHHR I203_07215 MMTNPRLLPVSSKGKAKWETITESITITVIARSSSNVEIHLIHR GRFIMKQSFQHLSRTDASIRKIIHSDTSMIFRYEPTQTPVISGMKMKESYQFKFDSNE DCQSFIQMMTGFFEISEAKQQSTIKGNHVEPSQAIPFSPSKVSKSGNTPFTSPTKASP ANSSPESKRSPGSQERTKSRSKSKEESKSGDTPASTHSASINVSQKVEITAEDTPSPP VDEATERLKRALLGDYKLPPTPSDSQSVQQEHPSTSQAKGKSTQADTPLSVSVDEKIT TDQSAGFPLNQSESQVTPRPKSRRTCGPSSSSQKDGIHASVNDCPGTPTKSQPLTDFS SQSVDHPTHYYQVSSPNKAKDVSTSEFMSVDGEDHLSNIPNIPIDDYPVLMTHQELEA ERKRKGKRKRQEVEEDLTADVLDEEQDPVKHSQNGAQTRSFLNDAKTSPQLPYGRGIY DLATHDLENLVDQAMMESGFEKLVETIGHLIQKRLDGYTAHHRPTHLHTHFQETHLSN DLQCWIGDRTYQPYSFPSQSAFDGQTNDRDFFEPAPQSCNSSLNPFHNSHSEYRSYHS GSNSSDSLYYSLSQDLTQKESHHTYKPNSVAANSNHIWQSTVTPGYSPSLQAHSQHNH SQNTHIYPEQRRTQDGNINQLLQHSHIYSSPAVRNFNHPQYTQPGRSYPEYDYDNDQS EVYPPSPSKQSLSFILNSNNTDLQHFLDHTESASHYHRENQTMDASTIETTYEDKKTK LDEEDEELANISREFDNGSSSGSSNDVGEDEEEKEYDYDAMVDEDLELEKEIENEV I203_07216 MNTKYHGLPDIDTAQDIFETPDEPDSLLRPGDSGLADDEHHTIK PNSENIDVSGLPGRKNVEKVFGRGTRRRDPKDLSFRPRLPPLSRHTLSTYSPSSSEDE DPGSQFKESSISRLRRLKAELAELESEISSQPQASTSGSGAGPSIEGKEGKRKSVLPP KQPINLVSELNGLKDRLGSLDIDELGVVDGNGLEPSEWDDRLKRLTGTVNSQSQEGRD VRHEDDENSKRQYSLGEIDKRLAILENALGPLGEGSNQNGPLIPTLTKHSHLLSLLTQ PRQLDAISRRIKLLLVDLDRAASSSNKRNTTTNLSADSEKPSSSSNVNLSQSEYQNLQ QLFGLLSRLDGYLPIIQPLLIRLKSLNELHNDAATIAESLKQLKIQDKNNTTEIGQLQ AILDKLSKGLDQAVQGIMSNWSSTEGRIKGLEERLKVLEK I203_07217 MSRPALRRVLNNRKPFNLCGVPAIGCRHQSTSSPASSSVPSVKE NELAKIIRDTIKSTGPIPISRYMQFCLSHPTYGYYQKGDVFGEKGDFITSPEISQIFG ELVAIWFLTRWMEADSPSRVRIVELGPGRGTLMDDILRTLFSFPGISGSIKSIHLVEN SEALRKIQGDKLLERLEGKEVDLQWYTGINEIPETNDTYTLFVAHEFFDAMPINTFEK TDMGWREVQVDNDPGYAQNLPNQTSKSGLRLSLSSAPTPLSTVLPATSSRFTNLSTGS RIEIAQDSFKIMRRAGELISKGLGGCGVVVDYGGDRSYGQSFRAFKNHKIVDVFDDPG NADLTANVDFAYLRESLAGTGSSSLGPISQSSFLLSLGLQPRLRKLLDSATDEKRKED IRKSAQRLIDPLGMGGQYQVMGITNDSALRVAEGGEIYPFIKKKEQSKVLKP I203_07218 MFSNLGLLSAARCPDPSCGRPRCFFTHAEASSSKTTLPSKDVPS TVRQAVKRRSEAEEEERIRGVVRRVTGNDSRKGSTTPSSRKLDNGTIRPSAKDGPSAS TEVPPAVPQTPVRPIPTPATPSLIALNTARPPVLPINLKPSPHPRPDRQKGCDEYVVH PYAPILHLSPDLAHTSAFSQESETAAASSNVRIYKTAIHQAAVSISKRIPPDKLDHPS IGTVKESRVAHEVAEKEKLSKLSRGKIDKYCLPLDQFEIWRYPNPQDNSLTDINPEEV KIDGEREERVCNRCKVSFVVSSKNIEERMKNGECKYHYGRTLPERIEGRRKWIYTCCG KERGEVGCQDYIHVFSEEDDDRKLARRVPYKTTEQVIGKGDGGLGKWNEVVAMDCEMI YTTAGISLGRVTIVDENGEILLDEMVRQTVPVLDVNTRFSGITPDQLKTAVMDLPAVR AAACMFIGPDTITVGHGLENDLRALQLLHTKVIDTAFVFPHDKGPPFRRALRDIVKEK LGYFIQDRTSDLGHSSAVDAKSTLEVLKWKVREDNVT I203_07219 MYNGINVHFPVIFDDGFKWLLRVRQSHNGYPPPEIQKFVVRSEV TALTILKNGGVLAPDAWYPLSDGQSSQPDLCYFFCQLLNGASLNIPKRGIDALWTPGP EIKHIIEEYARISILIADTPISSSLIGCPIPDPDDPHHRSIGPLATHRSLNMIQPPYF PGPFNNNQERYLAQIDIALDHVFNGHLCQRNTLDAYLWHLELRELVGLCDMLAEKPNK VYIKHADDKGDHLMGDGEGNLTGIIDWEWAYATTKEEAFSSPYFCFTHNRYYAGNNFM TPAEELLIQAYERFGRKDLADCIRNGKIYQRLSHIGTFEPWPCPQRGVLEAFAQWKPA NLKPPAKFDKSWRVYLIDRYRDNETLQELIKREAWDQEKGRAEVKSEREKWLEERKEK CKEHSSVEIS I203_07220 MYNGQPPFRPPPGNLPPFPPNRPPAPGQQGQFPPFRPPPPGSFI PPPFPPNFAPGSRPPPSLSPSGPGFNSPGGPANGGSGDGGLPFRPPPSGPGGMGFNQY PPPQRPAHPGLGLPPAPAGLPQPPRFPQEGLPPNQSSNGAGTPPGFVRDVKTTSVFVG SIAPGITDDVLKNLLNACGPLHELKRVSGASGKPQAFGFAAYENPEVVLRCIRCLNGV ELPDLSPEGRRDCKKKALVVKADQKTQEFLEEFESTLGRSDNDEEADAATRKSIAHIV ALLTDPNAQHPDGPAKQGGGDSPLQVIVPEHLQDLKEGDLPENQRVAVLDQIAIFRVA AAKREKEKKAIEDERERYRIMQQQQQQRTPMANANYGYGNNRGLAKQQQQAWQNQNQN QPSPNTPLGQQTPQSQQNGAGPSRARDPQAYDKPVGFVAAQSAEGKVDSGRTDEEEEE LRRQRKQREKDIALRDAERRVEHRERIRIEALNRELAQRKAHEDLIERNRRRQIEQYE NWDDDEIIEKGREMFYSDRATWRARRQRIRQQEYQDDVRDRQQEEDELQALEKESEEF LKKQMAELAELENEQKAKGLLTEDAKPIRLNIQPTAIEVKPKEEVKPVIPNKPKPVIL AGDEEEENEQKKKRTLIRLDAETDGQGNDGLTEAQRLAKRNAKLLEIKKSLPTSRRDI FRAGIDWAAVNESTIKEKIKPFVEGKINEFLGELDQDLVEFVLEHLKDKKGSDDLVDG LEPILAEDAESFVLQLWKLLIFESEAFRVGLSTGSMMP I203_07221 MPPISPSSKRSGHSEQRTRPYIPLPILLLTSLIPLLALLFPYFS TQPTARFSTYIPSNRGDHPTALILTAHPDDEVMFFPPTILSLVSEGWTVSGLCLSTGN SSGLGNIRTEELYGSYEVLGVLRENVKVIDHPKFQDSMTSHWDASLISDILLDHLKDH PADLIITFDEIGITHHPNHISLPQALALLQTHTRPSPKITHLKSPGVVPKFTGPVYPM YLNLQTILFHIFKSDGQKEGQGEKGLVVVSSPAQWVQSIQAMMAHRTQLVWFRWLYLA ASRLMWVNELIEV I203_07222 MATSALPREPQQMSVPAQPIPLFFHGGVRPQPWAAAAIDAASHG AIAFCQVYYEAYDEPTRRDLEIPLLYLPNSKIIWNGNTVPSDKPSLAEFLKCIPLSRH DLQTLDCHPVSAEPNTPPSLIINVTGSVLHGPTVLAPPSSAPDNRNNNANGKDATRDM PRKFHEMFMLKAVEQSEGMQPKYAIHSSNFRFIG I203_07223 MSTTSTTTTQIGDSPSTPPKTNGLVSDKPVSSFTLKKRPWRPYV TPFEDILARKYPGSGTNEDPHIVDWLPKDKEDPQNWPAVYKWTCIAIVSWLTLAVALS SSAYTGGAQDIVVEFGASLELVIAGVSLFVVGFAFGPLFWAPFSEVFGRRVAYIFSYF FLTVWSGAAAGSPNIGSLLVFRFLAGLFGSSPLANAGGTISDVLDANQRGLGMALFSA APFLGPSLGPITGGFLGLKAGWRWVEGYLAIFVGVMLIIVAIWGSETYAPLLLRRRAN TLSKATGKVYRFRGDAKKPLQVGPLFVTSLIRPWKFLWYEPIVSILTVYTALIYGILY LNFAAYPIVFQQGHGWNVGIGGLAFLGILVGTLVSVLLSIVYVNPQYIKVAKKKGGRA DPEDRLPPAIWGGILLVIGLAGFAATDGPDVHWIAPIIFGVPFGTGIIIVFLAVLAYL VDSYTIYAASVLAANSVLRSLFGAAFPLFTRQMFAKLGVHWGVALPGFLSLACIPFTV LFYKYGAKIRAKCKYAADAERTMAMIMASRMAQAKQDDEEANINGEPKPEGQAEGETV EAQPAEQQGGLSKVESHAAEHASASAGTPINRIPSHVPPHMHHEWTTYEALADRDEVD LEDDERIRLEELHKKFHYVKATKASEGENQAGTQA I203_07224 MDPSTNYSNTATGGKPFTTHNSTPSPFHTSPQAIPGTGTNTGGT SPHSSLNQQPSPLVPAYLTRYPTSSLDQFGPSPPSGPGRRPTLTSSISTSNYPDNLDM YHTNHNGGGGRSDSFSHTGNWPALPSTPAGGIKEDKGWNNDSRYSISSSSSAKGRYKT QQHHHQQQQQPITHPPPHTGQQAQTQKIFPSAGPTAKRGSKACVACRRGKNRCEFDPT GSETSCRRCLLNGTQCIFEKPSEKSGGGGTRNRNGSINQNQNQNQSQNQNQMNQQSGS SNDGAGMSTEAESKINNLEKTVQSLTQSQDQIQNVLQQILQLLPSHSQGNLPTPNTSS IPNFSNHTQQHQHPTAIATSSIFPSSASPPFHQNLNQNNQGDDRQDDMILQAFTAAGK GNRLKSPQNTASGRSLSMSTTINTTTASQLPTPGKRDFPKLPGFAPPAHQFGTYGVIP LPSAPPSPSRSRHSSRSSSSHSATSSSALPRETLTAPIQALQALANAADQIAKTSPEN NDEVEEEEDTKDVLTTEREEGGGEERGRSRKRKRVLIDPNLDLKSMAMSLRVKKKKRP DPTPRNPFPDVVTKGLVSEQEARELWDIFFKGCHYFVPLWDKSYDTYETFIVRTPFST DAVLAVAAKIRAGNGPLGQTFQRCLEEAQGIARSTLFGPIVRKEAVMAMLILSVWSQN GWLPCGHALRMGLDMNLHRALDRLANKDEVRPEADERDLVVSARIWLNCYMHEHLVSL GTGKPLLLRDDSSVRGARELLSHPMASETDMRLVAGVELVNLRIRILEHLTPLHGKID SATISFVKRMLSDLNSWYKEWYSIHRSRYDDEDVLVKLLETELCYAQLWTVCVALRGV QWDKLAPDQRELAFQAKDASFRCLEIFLRHDNFRKHLKYATHDQLVSVAFAAVFLLKI AMLYPTSVPLPFLISQVSQLAHLLSAECFAERYALTLRLMLSNFRRKTGAMSTLPGTP RLSVSAGQNHHPGQTLPSHPTPNNNLSVIEGFNWPTEFSPSALPVWLQDGNVNDLGLP ADGSDSLFLPPELANLFLPSTGSQMFDQYVLPDSGDVGAEAW I203_07225 MSNEPSKTNGQINSLVGSAKVLVGNTIETAYQAVGGSSEPSSWT TAGQKQHDQGEAEITAAKAQGYVEGVGDRLEGKKDSIVGAITGDKSQQTSGNLQHDKG EAQMEINKREYMSNPKETREEREVVS I203_07226 MASITSGYTSYESPPSPPATEEERESIPSLAQDAYELLGQQESK VDSTVRMANTRPYTREELKGLRRANLQNLFKIHNLKGANGTNSILIDSLVEYFASPQY TSAHPPQITEKEQAKEKEKDKHVPVRPHSAAGGKVENRYKNLPITAKPLPVKGRVVSG PNRKAIEKDHSSTTTKGTQKKGVSEKVLPTIAAATTTTEDQNGSSEAYEAPQRAELNI QPSLPTPPTSSSSQSHPVSFSQVEALLSANDARWQAKFEALQQNLNDQMERLRIEMNQ LRSQVQTQAGPSRTSGGNRTWSPWENRDRSASQPLPSASILGKRRQHPLSTAIGGEGV GVGSEEDDDRNEAKRVRFNGSRPGDDTPLNEHPPSIIPSGSTFAASAVPPRTPSPQKT SAFGADYFANPSLTPLPAHSQSSFIPRTPSPSRQGTVPDNSQTPRLPNDWEGEDSELS ELEDEDLTKGQRTPISRRSVIPQFSTTPEPPSHRPISPTMERSFSGSSDRFTPGRVVI GSSATASTPLAQPPSFAVDDVNTNGIHLSNVTDLERIDEMDESQNSQNSQNHNQRLIS PNGQLNFPTIRPIPRLSGLGTPKSTQPHRIGTGGHQRTISASSSSSATLLAPPLLIAR NSRAGSELPLPTRHRVGSRGLSPPPRPRSANAIHGRDTPPRTIFPLNLPEPEEGSGYV AGGKIRSASADYMHVAMHGLQAGERDEVIGKGEAEGNDGLDFDDADIDMDHGIGKVKK ERERESTRIMDIPTPSHRTLLGTERYNDKRFGDIPVSFGLDVGGGETGIWESPR I203_07227 MPFCLDDHGREQLERGKETLATARDMRAIHTLTVREMLTVLRSE TVDYEEVRKRGPALERSLTPDAWLEDGMKFVRRTIRELTLIASTEIQPDQQYSSKPSS NSKPTKFRASTKFTGDSPVFTLIYPSDTVDSGAMSPQDVELCASIAPWKNAHLEKQME RFLKEILFPLSFPYGYERRLAASAKTANMVHDEPKLHTPRGRNRTKEIKKGEREAVNS LKKMHM I203_07228 MVAQLSTEQQKRLDDGETLLREYQSSKKLHTLNGVQFHEIIVSQ VAKFVCGRRAGPQKDLAPTYRQWMIDARAVAEMVCHKLTRLATDELQIHQSGANPSID LSVSPIADAKEHVFVLRHKTKRLVISPRVKKDLLDLGITRFLKYYYCHSIDVNSWICI ENHKALTRKKEQMENELILASAMNKKLHM I203_07229 MAVYLSDWIRRYLSVRSQVRGLPTISSLFEFFESSYRQKLPHIS FIVPVRDYTIDTPWDKYAKFRSDLFACPQLSTPSYAVYVTSNSNAAVLISNKSGLFGK PIDMFRYVAINIFGKQITSTQSGDEHYRHKKIVKPCFNENIMQTGWSKMVEAFQTMKF EETIEGGGTIEDVKDCMIKLTLYVFGRSGFNMDFPWNIPQSKAEESMPFAEALHTVES SITVQVLVPFWTLVYFPLKSVRRLGQAQKSFVSHLKAMYHSKRLELKSSSGYDQDRNI SPPPKDILGALVASQMSAESEERLKASKTREKVLGLMESEVIGNICEAGHETTGHTLT FLQAYLCVYPEWQDKLYEEIISVCGDEPPSYRHMSQLNICLAACYETIRLKDIVMTLP KIAMKDTTLPYTTWGDEGHISHKVHCIKKGSHIIIDSPALSLNPFVWTDPGRFDPTRF LDANGRVSSSINSFAGFSLGQRQCIGKRFAEVEMVAYISHLIKEYRLFPIEKDGETIH EMKARILKGTEELTLTPGKFAVRFEKR I203_07230 MGSAHSAPRHDHNGPLFGGHYPGDFSIRRNRPNTNKPLPPPPPP NETRSVRFGNDPPAWVFYRNRPADENLANTRYCYSPPPSNRSKTTPPPAYGTWDEGPL TVRNQ I203_07231 MRIAKTLNPQPDLLVVELAALFHDLDDKYRTPSSPTLSSLLSPL LSHASLSPKQADLILQIIPSVSYTSELKLTKNGEWTWQFSCAELHAVQDSDRLDAVGS VGIMRCAAFSCKVNRKLVEDSEGGESAEGHFEVKLLRIRDRMKTPFGKEEAERRHQTM VEFLSSLSREKDLLQ I203_07232 MFSPSASSSLYLFTTSQTPLPPRYPHPSKPKLVLPSLVHRTLHN SLDGDAPSLSFDQGNGKGTVIIPHPDGLVKHDLPSSNNSTSQSQSHNPSPNGNEIPEN GLSDESSKYLVDSELTVKLHLVSRSSPSKYLERVKESLGILEKYKGLSKEKVDTLLIG FKGVDYKGKRTETDSDLTSLADGDGEYTIEKQLESTILDAWKEMFEEKGLVGEQTKLG SLYSPLNLLKQLVSSSQGKGNVKGIKVNALDTPDCHHLPKEYTGYARGEGVELWAGGG GEGSDPLPSPHLHNLLQEFSSKLSSLTGGTIDSSLLGKLIGVDGDGLKFEEKRGAVDV RWVLSYTLVSKTRNVVKDKGYIVAADLYP I203_07233 MEDAHTVHLYLPPTDSSRKITPSPDIPQQPAGSTVTNDNEDEEG NEHALFGVFDGHGGSSVAKYSGTTLHTRLAGLDSYKSGDFEAALKQAFLKTDEDLRAD PNFFNDPSGCTAVVGLVTKDGRIIVANSGDSRSVLGYKGQAKAMSNDHKPTNKEETAR ITSAGGFVEFGRVNGNLALSRAIGDFEFKQNYSLAPEKQIVTADPEIITHKIDGEEEF LVLACDGIWDCLSSQQVVDFTRRAIANGDELGKIAEDMMVKCLATDSETGGIGCDNMT VIIVALLGGRTPEEWQAWVKERVENKVGYDTPESVPDIFGQNQASSGPGNALSSSGFR VAGAGGLANIASILGASGITFRPAYDSDDDDDEIQIIGEDGKGIDVNADKPDTGLIDD KGVEGKTKPKDVTDELDDEKAEEALKAESKKGSVELLDEDGDSAMDSGDESDTTTTGG AEKPTEEQITKAKSINTSNTPPSPIPPSFSSIGSPTVPTPQALQRSSLPSSSKDKQYD QLKSDPQGDAPSGAVKVEGLMDTSENPLKF I203_07234 MNPKDSALDVSQKLKEGIAKPTETLRNPDVSYADASEALGAIRN SIAKANAQFPAAGVKHDLSQLTKQVGLPLENKNDDDLNKVGGVLADVIRDIVEAVMNI KEELKKMPLIGSLILEIDTGLNTLLVGLQLVLAGVVEVLRGLLSGVSGSLKNLGNGLT FGEPDLDTSAVCQSTDDYA I203_07235 MPHQTEITTPEQSDPDVTYLPGVTIHHGPSDYAAIRDGKMYGVQ RGSENWKIVHAMVEKDSKDPRIMDTIIRLADDVTEGY I203_07236 MYFAKIALLALPFIGLASTKPVMVEVEKRATALDVVNELQSAIA GPITTLKTANVSSDDAQTALTSIKSAITDATSAIGSATASKRDLVAFESRQADDLAIV GSVLAQVIQDIVEAVEGLADDLKGLPLINVHRLTLVVKGAIIIDIDFGLNTLLLGVEL VLAGVVEILRGLLSGVADLLQSLGNGLLAGLIFA I203_07237 MAPSTKAAAKPQDAKAKSAKKAALKGVSGGSVRKVRTSVSFHRP KTLRLPRAPRYPRKSVPHLPRMDQFRTIQHPLNTESAMKKIEEHNTLVFIVDLKANKR NIKDAVKKLYDVDAAKVNTLIRPDGKKKAYVRLTADFDALEVANKVGSPPGLSDNCSK ST I203_07238 MCIAFLTLSQPGYKLILASNRDEFLSRPTAPARWHTFSSSPPID ESGEKEFWILSGVDKGAVNGGTWLGITRDLRVGLLTNVRLTPPTPPVQPSPDPPSRGL LLRDFLSPPPSSSVGVHDYLSSHHPRSGEYEGFNLLLFSLQKGKGEVGYLTNRPIPCL TDLHIPSSHPTASPPCGSLGEEGEKKNVHEMPAECFGISNSPMTEPWPKVLQGEKRMV DTLKQWQRDGEDDKHLVERMFGVLSQSIPITKESDALSSTTIPLITIPSTNTFTNPST DSGQAPKPRWYGTRTSTVIIVKENGETIFVERDVLVLDDEGNPKKGEGERWVEFQAEL D I203_07239 MVFSLKDEERKYLNDGQAALDTAQATQKVDALSGFRLNGAVLYT MERHLFDRRKGLFRDVPLTREHWMVDAKAVAQEAISNITSLATQEVQNSPLAEIDIPT SHSSSRRPSHPAFVLRDGNNQREVVVSRAVKRWLDKQVTSFLKYYFCPPIDVNHWPGP EMDKIKKERKERKEGRGKERQVINAMEKRLHIQDGKEEELVTQPQV I203_07240 MGEGDQNEQQTVWGRVKDHLNFFRIHVLIFTFTPIITACIFYAA NGSASGNANSTDLGRQKVNFLDSLFICFSAMTTCGLVPVNLSALHPFQQVLVLFLFVI GDPTFVSLIMVLVRKYYFRTHCEQLILNDRLRRTNTLHPTETVFQGFVTQPIKRKIKN LKEKKGLFISGPVSAHKIEGYVEDQDRHGREGVTDSPVEMDLEEGRISENVNRDQDHS QPSTIGRSTTAIPTNPTSRAESPYAYTTALPTPTSPATTHGLGINPSEKSYIARQRLR QQTRKATLGVGQAATVDPISPIQPIRQPKRKPSLGSPLGRIKSTPSASYYNHPIPHPE GHKNTGMGGFPTPLELIRDYMPSSTKNKLARPVRKLEILTNPTFDGTNKDEESHPHQA GEEGESWTEMIKGSVAKWMPDGLSGLVIGRNSRFWTEELDDEDLEQIGGVEYRALRLL SHLVGTYILLCQAIPFAIISIYLAKVKKWDTAFQPTQGVQAGSANKTWFSLFLSASAF TGTGMSLVDQGLAPFQNCYLIIYVLIFVLLAGNHAFPIMLRLIIWLGTKITRKGEKFE TLHFLLDHPRRCFLYLFPSHQTWYLLFIVLTFIIIELFGFLVLNIGLPVVESLSGYER FSDGLLQSLSVRASGFGIVAIGNMAPSVLFLYIILMYVAIYPIAMSVRSTNVYEEKAL GVYEADDPDTLGEDEPDFKGRRHEVFSKYLLWHMRKQLAFDIWPLAVAIFLICCFERG KLMDLEKYDWFTVFRILFECTSAYSVIGLSLGTPNNNFSFVGEFGYASKIVIICVMLR GRHRGLPVAIDRAILLPKEYSRIGNNQNQNDNNIGGNGNNEKNGIHEPNTTPRKGSHR VSI I203_07241 MSDHSHLKAQNLFSCKGLTAVVTGGGTGIGLMQTLALVENGAKV FITSRNAEKLQDVAEKYGGDGNSKGEIVAVQGDISNKEGIEKLVKEIESQAKDGINVL FNNAGIAGEGSREGYEDVNTEDAKAYSSQLLKSEFKEWDDILHTNVAGQYFTAAAFIP LLNAGAKSTKGYASQIINVSSISGLMKGASGGQFAYAASKAALVQMSKVMAREFLPLK IRVNQIAPGIFPSEMTAGDSDKQTHKSDLSDTSKGKGLPSGRPGNENDMAAATLYLAS YAGVFVNGQFIAPDGGATVATPSSI I203_07242 MVGFVPYPDNLNLDKLSEPIPGTQSQGQSATYRCAIWDKQWWEN LPDEPTTLFELFEQSVARHPSRALFLRRPLLPSSPSAPASTTQEPVYSRTLVPTSYGT VQSRRSNLGSALLALERDGRLKSSIERNGVSPPEITHPGIPYFGNSNRVKGGSRRGWA VGIWSKNREEWQVVDLACQAYGLVGVSLYETLGPDVAQYITNHCPLPIIFASSNHIPS LLKIAPKCPSLKIVVSMDPLARSEHDLLSEWAASLGLELLVMDDLEKYGSTDGVYIEP GPVKGSQGELELDRERMVTISYTSGTTGDPKGVVLTNKNFTYAIRSNILGTNKDLQGG KEWKYISYLPLSHIFERYLHYVVMYGDGTVAFTTGDVTKLLEDAQIIQPKFMAGVPRV WNRIHAAVVTQMNGGGLKGALLRRAVDAKIANWRQTGSVTHPLWDALVFRKIKALLGG QLVYMCSGAAPLTPEVHEMLKICFGCEVIQGYGLTETIGTCTKGIGEDVRSVGTVGFI QTCNDLKLIDHADMGYTSQDQPNPRGEICLKGYNITPGYLHNPKATEESIDKDGWFHT GDIGEVDAQGHLKIVDRVKNVVKLSQGEYVALEKLESLYALDPLFASLLVHGDSTRSS LVALAVLDPAQAASLVHHALGKHVKAEDLTALEKAVGDRKIRKAILKRLARTAKEHKL NGFEMIKGVHLTLQPFPDEIITPTLKIKRNIAAKKFNKEIDAAYKEAEAPAEKDEDAG AGAASKL I203_07243 MKLLETDTILAFMDIGPIARGHCLVIPKHHAAKLSDLPDDQTKD IIPALKKLAIATGAENYNILQNNGRPAHQVVDHVHFHVIPKYAERGDEEGLVIGWPSE WGNPIDPQHET I203_07244 MSYKSNLTNHNPDKYQTNASFTYSAKNSSPVLELLDAQSGEKII DLGCGTGQLTIQIKSIVGEGGEVVGVDSNEGMLQSARSSSPSSITYLQADIQNFQSFQ TSYPDYKGKFDKVFTSATLHWCKSSPGGVCDLVNWLLKDGGKFIFEFGGFGNGVGIRS ALHQSLKSINIDPIPLDPWYFPTVGQYEKVLRSSSLTPQSVNLVPRPTPLPTDLRGWL ETFARNSFLSSLTDEQANQVLDDVVEKCRVDNYWSDSNPGIGVQPTSKEAEGGEGWEI MYVRLRGWATKSQ I203_07245 MSTDWQSIPNASDHLKWYENKGKLKLNFFLSIVAVGMLLNGYDG SLISGLQASDAWQADVGYPNGVKLGLLNACGSFSGIVVGPIITYIDEHFGRRWGIRFY GVTILLGSIIGCIAGVSGANGYALFCVGRVIIGFGLTSFLMTSLIVVQEISHPRSRST VAHSWNSWYILGLFIEGWVIFGCVGYMQGSWSWRIPYIIQVGFALYILIAVQFVPETP RWLFAHGRQDEAFQFMVTYHGNGDPQDPLVLFEFEEMKNAITQEQEAKAEKWSTIFRG RSNRHRLGLATLMPFLFNLSGASILYLYYTIVFDQVGITDATTQTGLAAGLNGFTWIC QIAAVYAGKFIGRRQIVLYTWPWLLLCLIGLCVSGAVYSNTGEVSKSAGIATVSLVWI YQGFFNFACPVIYSYASEVQTYSMRSKGLLVWNTVYQLQGAYVAWVDAIALNAIGYKY YIVYMPLVVIEFVLVYFFMVETKGYTLEEIALAFDGGSKISLANADVLPSVEHSQRNG DNTEEQTRGDAEGDYKNAIEGR I203_07246 MDIDKSAAPPRPRPRRTVKRCLCISCILLACGIGVFLAVTVFSA AKTTISWFKDPHKALVYNGTITPNLSSSQVVRPLIDLETKFDILFTVYGRIPNDEVED EKQRKEYQDQIDKDTETDTETYTGNELALSARVGRSPVEIRYLPQERTIYQNVVFRGL TLEDKDVEKTINFDLPLKRFYDHFLYAPDVRAAIALLPQRPSKLDRLDNFTSWKPEEA EFPIRIDDNFIATSSLYPRTEEYAIQWKALEQMTYAFPLIEFFNHGDPCNSTKADSDE DELEEDDLYASIIADVDGHQEPPKPDEENEKSEKVKNVKREKSWMHPHIVTRSHVYVM KETRLLDRKAYDKAHKELRKNACGKGSLGHHVSRFLCSRTYVSNGYWENRFVLNPLEG QKNKELAYGPYIDAIFHAAGPKDVHALPVSRHNCSASNNSTIISEPVLDPEYLPVNYT IRFSSLTPARVGLLNHFVQPKRVSHNASEFEIAHSHNEWEQASGVFGAKKEGTHPIRR LIITTLRTLVAFPILILNLIYWYTRTTTVGINHPAAYISSGGMIINALVSLGQGFKEI DGWSDGVLLVLLSTLEFIPAILQLKVTLPIEMVRNGWWKFNLKRWRWSHNERNSMRRG TGIDPKIWIGIFLSLFSIIYFPNKYSLAILHPSILPPPPIPSESSSAITAIHESPIFA ALSRSFEIFALILQISHNAHNSTFAGNYKLTTYMIMGYRVSELVYFVPFIVGTYDLRM GIAYITVIEVAIEGVLVWQAWKLPKIDQKLEEDQNQVGN I203_07248 MEREEGGIRGRGRSLSTSTSTLTSFVASHPTAPPTIPLPSTRKF LSTSSSSSNIRTPSPHFSPLPGSQLPHSSSMTKRFRSTGVYPSPSPELGERTENFNSK VLQAEERMISRELKTTSEGRGRFIRIVEVSARDGLQNLPGPAVPTELKRELVERLLEA GVRNIEVGSFVRGDWVPQMADTPKLLPLLPPLTGQSLPLPLPSPPSSRPQTPDETSSN PIAGPSSVKHIIDSTVIPSSAGQVHFPVLIPNMKGLDNLIKLQEQHSTNGGGRLTDEV AVFVSATEAFSQANNHAPLSKILSSLPPVITKARSLGYRVRGYVSCVITCPYSGPTPP GDVVDVAFKLIDMGCYEISLGDTTGEGDPESWRRVWGECERRGMDMTRVAVSCHDTFS LALSSILSLLPYNLTSIDSSLAGLGGCPYSPGATGNVPTEDVVYALHKLGYETGIDLD KLVECGNWLSARLNRRNESRVGRAIWARRQARENQQKGKEGLKGGEGEETEDGM I203_07249 MQIPTSALFILFFSLTSVVEAKYHPPSTASPDYQKCKHGVDSDP SCIPIVSDDSVSNIRDSKGRFLLLNEKHPELKSYIKQQTKSPYMTPTATITGDVVIPS TPQAPALVAPELSTGTLAPTAMATRDDNEGDMKFGGASSWGRPFLARSEEPEPGVDTK VMMKRDTNHFSLPSIPFLADGNENGLFDLGLTSSPPAQVDSVINNDNPQAQAQAQPQV DLIGDLKFGYGGGWGRPWKRSPNPNPEPKDCLEETTIFSTATATATATNTQVQFQTPT ITTTSTPTTSTTDVEDNTGNAKWGFGGGWGRPFVRSSSVFTQQISEEPSSDDTGNMKF GYGGGWGRPWKRQGPVDGLTSSSRSMTMTTTMPQEDVGNKKFGYGGGWGRPW I203_07250 MSDNGSPAAPPAEAKPKPEADPNTLNIKIVSTNGDEVFFKIKKT TKLNKLKSAYADRVGTDVAAIRLLFDGQRILDDQTANDLDLEDGDSIEVLLEQVGGY I203_07251 MPRKLPPPSAVAPIETLLPPLPPSPIIQTLRKDWRWAAISQFIW TFSDAFGLVDWDIEALEADFDGDEKTLIPTLIAKLLFALTYNRQINRDNAFESLRKTY AKRKPDYKYLLGTEEAPVEWETLGLSQKVQILHELCEWQLEDPARFRGLLKSEEEAVS WRVEPVGWDKDGNTFWLFDDNRLWIQRLPPPPPRPLKKSSQKAKKAAKKSRPSVTTST FAPKKSHKKREFTPEPSLTPPPKEEEILSGSGRRRKSVNFYGNPTPTAQALKRGAASA AGPITPSNVGGSASRSTRSTRNNHIEEVIESPSKAKNTPLPLGTRVSRRLRNVDDEWQ QVPDEWLSTPAKATTKKGKGKGKGKKTDGDESELSELTDEEEHERLVLASRARKGKGK SPTPKENPAERTDQQEKMDVDEKEEDNAEEMAVDQTEAEKVDREQDTNGDAIEDGKMN GENHSTVPPADFTNDHDEVVGSVADISQIQDTDLDAKVQEDGQQPQLLSSAGDEIKEE ESKEETLHVNGAASGSNDEAANGGVADVVEKRSAPEMGGVNGQTAFEKNDANGAASSP GEAVQVPQEEEEVKVEEVIEKKSDLLLSWEEGRSHIPSDFVEWEAVCVTLYEWRTFPE QFAKSKDPDERALYALLTEEVGPTIIGFLVAKEQERLKQEAVNNRKRSSRIATRELER EEILKREAAEREMEERMERIRTEEQRKQREEEEALAIQRAREDRLKEREERALAREEA LLRKAEEEAKEREKRERRREKRKRRREGEVVSDDSDDEDMNVNTPRDNTGTATPSERW ELNCEVCKKVGWNIDEDLDLVCCDECGRWQHTECHDRLDRREGRPKRNWDQVDFKCKD CQHRAARKKQRVEHSIHPPPAQHAFQANGDPHPLSQSHSPYPVLPGSTPGPHARPPID PSRPPPPPLGPGEFYLPYPHPPAREDRPAGYAVFYPPGQTSPSRPEPGPGHQASLSDQ ERYHQAPPAQRYPITPQSVPPHQQQQQHPHAQQYSSSPSNFGHQISPHHQPVPHDQTQ RSQGQHISPSHARLPQQQVQNRPVSSPSRVAYPQVQPSHSSLGHANAAHGITPPSASR QQASQISDGPPVTVGTGHVLPTPEPSLASQRPAGPQV I203_07252 MNFGAGPSSSSTSRGPGGPTNNAPVTVPLSIDLLNKFRPSKHFK DALDPESSTSTPTSFLDQQSQAGGVKNITSLSFDDTGDRLVTAGNDDMFVLWDCKKGK KIKPLYSRKYGIDLPRFTHKTGTIVHASTKGDDHAVRYHSMHDNKYLAYYKGHTARVR SVDMNPLHDTFITAGDDGTVRLWDLRASGCKGLVKDVGGSAIAAMDNQGIVFAVACSD TQTVMMYATSTMDRIPFSFVPLNDINFARDSIPPPKPIFTSIQFSSNGEYLLIGTSSD VHYLLDAIDLVPLRRLVGHQGLERDKTGNKGHVPRRGSSGEEISFTSDSKFVVGGSAD GNILFWDLSNAQGGQDKLTRVELENGQKEAKSIPWHTMPIPDLHAKIVLRGSTGTGMS RAVRFNPRLQMFAAGGEDLTFWIPEKDEDAKIQEGW I203_07253 MFTLHLLKQKGISKRKIIQTQDDPIGRLTRFKDGKPATVNNVET HSKHIPAMDLEHASREKEEEAEEERVGIWGFTRSEVERFPALRRRNFWCIHRHSATAM HYDLRMQVDDGTVSWAVPKGLPGISKKGESSRLAVETTIHPISYTTYEVGADGRNFSA GRKGGTLLWDIGEYTITKPSSALDSTSDEERPSKRKRNSRSKQDSEEEGSEDRYQEDL FRQSLYRRIGYGKSRSIHFILHGGRKMTNHHFILVLASSNRHTFSSSGQIKKNWFLRL PREVDEYLWDQGGEEGDFWGRSVKTERTLKEVTEGYVKRPERWEREEERFKHWFGDED I203_07254 MVAAVASGESGLDSWGDFLKKEYRRPIFFCALIAIAPIAYGYDG TYFTSLLETPVFVRQFGDIIDGKPAISSSDQSLWVSIIQVGEVVGSLAAGPIGDYSGR KGGLLSAIILLAIGVVLQMIIVGSGALLTVGRLIAGAGIGIISNAAPLYLSEIPPVEI RGACVSSWQLMLAIGQVIGACVGLGAHTLESTASWRIPVGINLVWVVLLFGVLFIVPE SPRWLLYRGKEAKAERALKKIHAGSDYQDSLVQEQLAILNKSREEEAESSSSVSRWSD LWRNPVERRKFIATVGILVSQQISGVQFIFSYTTTFFTLVGLKDTFIITIIVDCIEVI GVIASFFVVNRFGRRPLLLYTGIFMLFTLLVVGALGAVAGQGERFEPYLADHPSFGKA VAAMICLYVFAFNVAWGPLAWVVAAEMSTGRNRQKHLSIGTALFWVSAWAVTFTLPYL FQPNEAGLGPMIGFVYSLGALASLLFVYFFIPETIGRTLEEINFMMEARIPTRKWKDF DLATVVAKDEKKGTRGTTEHVETATGAGAGPRPEKPRTRRFETSFLNNKKANEEIDAV AESP I203_07256 MAFAIGSFIPVPFSETTVALLKAGPAQPLQPVAPSIGVYSEHVA GEPTGLVLQSDPFDNHGEDFLIRDLEGKVRLVCRTDTASGKHGKVITDINGNILISLK SKISMSKSYVGEDVSGKEVLKVKKKFALGSAFEASFHDALSSKSKSIQLRGDFWLGSA DILVVSGPLIAQFSRRKVDSQGLNSDKETYIVNVAPGVDLALITAICICFEQASKEKL LQQQIAESKNGSQRRS I203_07257 MASSQQLDNSSKGRVAVLGLGISGIVQVKNLVQQGFQVVGFERN DYYGGLWRYTEDKEQISVLKNTIANTSKYFGHYTDFPFSDNVLTYPRASDIYSNLQDY VDHFDLLQYMRLGITVTLLERSQDGQAWNIHCTSGGKDRVERFDKVVVCTGPWEKSYK PRYKGEEDFKGEFVLGKEHKGPEEYAGKRVVVVGMSYTACDTAVDLVGVAKEVYMSHR SGVRICPRIVVGKAFDTKVTRQLSSLMTFFDKYIPWLGDYIPNKVMVSNMLKVYPNLK PEWKLLPAPAFKNTWGVINDHIIDKFTSGEIIPLNGIKSFTPDGIITEDFNGQEMHTE VDVVIFCTGAYFDYSNLSPEANPTIHQPKEWENLEHSNGLLYPRLYQNIFHPHFIDSL AFIGPCKGFSFAIHPNSDLISQAISQVFAGNLNSTDKLPQSKEEVDEWCEGNYQANVR LVKRWRAFRTGPTIARDFESFLNDVAGTSVNEYLGGWGWKAWKF I203_07258 MKVNLTEYDQIRELYTRVMTDTQSEHLHSDTAKLLKFADTIVQH KYLVQQCAISPRYAQAIYDILPADQQAKLDIEKIGQDASTAHLVGKDVFRMPRKLMYP TTKIIGVCRTSLARVQGLIK I203_07259 MSTHDNQQHEGITKTTEKTHNEHVEDSIAGDLEKGKDLNSGDAK YSDLGAAWLAEYTGPRNEITDEENNAVRKRIDAFLLPMIFIVYFTQQLDKSSLSFASV FGLKTDAHLVGQQYSWLSSLVYFAQLVFQPLSVYALVKLPVNVWISICFFGWGASLCI MSAFTSFAGLAVWRFVLGAFEASISPSMLVVVSMWWTRREQPLRNNIWYSANGMATIL GSLITYGLGKANTSLHPYQLIFLVCGLIAVVLSIPFFFLFPGHPTKARWLNDHQKYVS LERIRLNNTGTQSTTFKWSQVRECVLDPKTWFCVVNVFCISLVSGGITTFGPLILQGF GLSTFDTILYNMIPGAIGIVSNILSALAVTYTKRKFPVLLVASAFPLAAAAALFALPR GAEHKSKLLGVYFILQVYQCISPLFFSWQFANTAGHTKKTTTTGMLFVGLSVGNIVGP QLYKSSEAPYYHTGLTGNLIVLCVMFGLIICQGLYLHMLNKRNIKRRIASGKTGAHVD LSLENSSKWEELRAKQRAGQVADGQLEGEGAYNDQAFMDL I203_07260 MFINYFVLLTAATAGTFAIPQRFRWGATTSTPAAVTPQPASTSA APPASSSTPPADTPPASSAIADAGAASVPSTEGGAATGGESHQITIVNNCGEGQPLFA YAVNRAGQPVQGSVTINGPVDSGIAWMDGTKDNCGFDGTGCGFMEFTIANSMMNSADY SLLTAGLGEHHFKYAMDFKFTGECTAGPGKCQSGDNCPGAYTGTDTFSGDPTTCGGQN VGLLA I203_07261 MVPLTPKPLSALQLTKHHIPAYQNFPNTSLRPYPLMIYHSAYPS CLMPTSVEQHLTSVGIVQPAWRYTMYRQHHFHSTVHEVLVVVDGSARLCFGGSVSNPD KVEVEVGKGDVMIVPAGVGHALIEDKGSFEMVGSYPKESDNWDMCTGESDEKDKNWDN IRKLKWLKGDPVYGDQGPVVDVGKEGN I203_07262 MRLTAPPRSTSLSSLFILPIPLGLLIIAYLAAVGRRPDIPESAQ VDDPHQWGFPKWYGNHPTPFDYRSTSQGEEEEVCDHPKNVLLFIDLSYDSPQIPSALT LHSSLTSSNRFNLTTISSFSPTWNWDLSPKENLQNAGCDQFDWIWKVGDESGHAELGC REGKVIWVEEGMKIPGTANVSLLTQPHHILKPEHKHDALKTTHGEGWELGLLTHVLPS VNSFSSPKIFYPSRHINNRRSIVYFPLRTTATKDYPKLPWGRTWSIYSPSRRSPPLPK AGEDPFSPNSRWRKFWLKDEERLAKAMRDAGICLFEGWQYGELDDRIAKAMLSGCIVA TVPPQTHHDAFSSLILPLATPSSVSILPDLPVDNLNQLLHKYTNSQLQRLALKAFISA RNRLVPPSRLKGVESAVQIWEDGGRGYDFKKGFRWDCDSGHGGWCG I203_07263 MSDGYDFSQLYHASLISYSPGTTFLATIYQNRVIIRSTATLQIV RTWACQLSNPPSSSSSKTVEDYVKIDYLEWSEDGLHILSFSSICRTAWIFGLASEGDG LSGEVARIGGEGTEGMVRVEWVKGGSGKNVMVWSDHNLKIMIYDLSNGSTKIIQNPKS PNQCHTYSPDKRYLAIVEKHLGKEYIGIYDMLDHYNLFRHFQLSAFDVQGISWSPCGR YIAVWDSSLSYSMFVHSPLGPLLAHFDHTSPTFSPTSSTEDPGLGIRTLTWAPGGRWL ALGGWDGKVRIIESEGWSCVGVLSWGSRIVEKDTVWKEPHDWVKDTRGRGIVQFDRIQ TPTSIPTTRPDLSKPNPSVGVSQISFDRDGASLLIRLDNSPNVIHIYTFLKTPTAESP DVQHLTSMMFENPIKNAEWCPSGSGSGTVKNRKLAVTVVGRTSALYMWDEEAGGWEEE STDDTDGELNKVEKENDGRGGMMEGVGIPSRSDFSANELHWAPDGSSVVIQDKSQFCL LYMNESETTDTDTNTDRSIKRDDVDEGLSHVVEEEEEEEDIEGWSGYGLGLRGGLRTA VWA I203_07264 MASTSSRAPAVSRLGPTTSGTSNSTLSGNSGASAPPTFDTRQWE DELSKVDISKHDLNLLIFDYLLIEGYPDAAVEFARETGLPADVDHEKVQERMEIRQAV EDGRVEEAVRRVNELDPEILDTNPPLLFHLHLLRMIELIRSEEIDKALAFATEELAPR GAENPEFRLELERTMGLLAFPDLVRFADDAGTSINLDGSEDIKPIPLETLQLFSDPSF SPLISLMKKSYRVEISKELNSAILENQGHGRETKLNGLVRLMAFGENKLVDAGLGLPV QEKEKGRNWAKKILNLNDVDSQ I203_07265 MKFTIIAPDTVYEHEVSSDMEIQDVQALIEAESGLPHTSLLLST DSGVPLTDVKRTLSSYGLTGEESTVFFTSKPQPAASSSSSSTSQHASASGPSSGVSYL GGSDDDIERMRLQALGNPGLMNDLRNNDPETYQAIQGGTQSFKRVLLNAQQRQRAAAA EKQRQIELLNADPYDIEAQKKIEEAIRMEAVMENMQHAMEYSPESFGNVTMLYINVEV NGHPVKAFVDSGAQTTIISPECAEACGIMRLLDTRFAGMAEGVGTARILGRIHSAQIK LGGLHLPCAFSVLEGRAVDLLFGLDMLKRHQCCIDLSTNTLRINNTEVPFLPEHELPD KARRRGEAEMANELGDAASQGVKAGVASPTVPKREFPGNGQALGGGSTSSSVGQRLGG GSAASSASGSGGGSVNLIGLGALRDQAIQLLQASGGNVDVAASMLFG I203_07266 MFATNFTTLDSHTRFSRGEDHLTLFVQDQITTTGKNMINGFCKT CGTLMYRKSEGFPGTRFLRVGTVDDFKLYDTVLRPQHEQFVGCRVNWWGGVDGAKKYE KMME I203_07267 MRSLYDIWLLKTRPADKSTPNDFELTTRPTPNIDDLKEGQVLIK NTSFGNDPAQRIWTDGSIDPKRLYTEPILVGDAIRASTMGTVVLSKSNKWNVGDDVYG DGDWAEYSVVEDSGLLCHSDIEGQSPYIPNSILGLTSQTAWVGAFKEMELIPEHVLVV SGAAGAVGSTLIQIAKKVIGVRTVIGIAGGKDKCEWVKSIGADDCGPKYPEHLKKLLP DYADRFFDNVGGEILDNMLTLMKEYGLVSICGAIAGYNDGGMTLKRGGELIYNRFTIK GMLIFDHAAVLNQAVSELAQWIRDGTISNTESESVIEGEFEDIPEIYDKLFSGGNKGK LITKLVSARA I203_07268 MSPYRPNPFFSTTNTFFVPSVGDKVDNAVAQAKALGQDAQSRAS ELAGKADNKFQDAKEAVKVTAKDAAGAPQGIDLYSRFALAGALGCAVTHGALTPVDVV KTRIQLEPEVYNKGMVGGFRQIIANEGAGALLTGFGPTAVGYAIQGAFKFGGYEFWKK KAIDVLGVDKARENRQAVYLGASAIAEFFADIALCPLEATRIRLVSQPSFATGLASGF LRIAREEGPAAFYAGFGPILFKQVPYTMAKFAVFEVASEKILQATGKSKDSLTSGQST GLNLSAGLIAGMAAAVISQPADTLLSKINKTKGAPGQSTTSRLVQMAGQLGVSGLFTG MSARLVMIGTLTAGQFLIYGDIKKALNATGGVEIAAIPK I203_07269 MNSPFRTLLSFSQPLRSSSRLSIHSTSSLPRRALMTFPHPTLED PSSGSTKLIIKSFNRNFPSLIQIYTVLKQVEKKLNIEIFDFSILKDHDSLSPLNTIFL TTLKPIQLESPILMEIPLGSKNISGESNFLGGPSLKDIQNALNTTTQTHSPLHQDTEN ISTTSNQIKKGGDGEDGDVLQIKIELQRKPLKSKSERKNQTRHKRARHSLTGKEASDI VQQLKAFNGGFYGGFEGLAEKFDHLIIRAEEEQPQQVEQIGGQAVGGGLNDESNGQKN TSN I203_07270 MAPAASSSSSSTWVEPTKDDKPPKDADLKTAWAFLQVGVEHMMS RMHLGMSYSYYILLFTAVYDFCTQPGKTGQSTFSANRGGASLQGADLYRSLHTWLSEH CKRMRQDSENLSDLELLKFYATQWDRYTTGARYVNKLFNYLNKHWVKREKDEGRKEVY TVYTLALVAWKQNFFRHFTVSSAGMSRLTQAVLRQIEQQRDGEVIDSTLLKKVIDSYV SLGLDEADAQRQNLDVYREYFQTPFLNTTEQYYRAESAAFVSSNSVSDYMKKAEARLQ EESDRVNLYLHDSTRTDLKVKCENVLISEHNSIMWNEFQSLLDADRVDDLSRMYGLLS RIVGGLDPLREKFGEHVKKAGQAAVEKVLPAPGATSETGKAETLDPKAYIEALLEVHS KYTEVVEGPFRAEMGFNKSLDQACRDFCNQNAAATTSTRSPELLASYCDQLLRKSNKD LDAESLEAALNQTMIIFKFIDDKDVFQKFYQKKLAQRLVGSLSASDDSESSMITKLKE VSGFDYTNKLSRMFTDVNLSKDLSERFKDKERTQGVSSDIDFAPLVLGTNFWPLAPQQ TDFNIPREIRSTYDRFTAFHNEVHQGRKLTWLWHVSKNELRTTYLPQKFIFMTSSYQM AILTQFNENDSLSYNEILTGTKIAEGILKPQLALLVKAKVLLQEGENYDLNLNFKSKK IRVQLNQAVKSEQKAEAKEVLAAVDEDRKFIYQATIVRLMKGRKTMQHQALIQEVTAQ ISSKFTPKIPEIKKAIDYLIDKEYLERSAESNNT I203_07271 MTQLFVGTFMDTPKPGELRIRKDHLMAISSEGYITHLSPLHSDS SQLFLSDHSSVSPIEIPNASFLLPSFVDLHLHAPQYLFNGTGLDLPLLEWLEKYAYKA EERIDSSTELAERVYEVLVRRLLDNGTGCVSFFGSIGVEANLILARKMQELGLRGFIG KLSMDQSPRPTYGESSATSSLSSITQFLDSLQGYLAQFPAHQRLVQPIITPRFIPVCS DELLVGLQKLAKERDVRIQSHMCEGRDQMDMVLQRGKGQDHEYWDELGYLGPKTLQAH VTYLDEEMIPLVKDRQVTMAHCPLSNAYLSEKQFPLREAIDNSLSVGLGTDIAGGYSP SIQTQMRQAVIISRMREGARCESMNCSFAESTQKEGQHLKVDWKESLYLATRGGKKGM GLGGCFEVGMEFDAQLIELASSNSPTGTGPLDLFDLSLESKLDDDESWLENIERWWCN GDERNRKGMWVQGRKVA I203_07272 MSRPTRERKPAPVFGSYVSSDRMYGSDDEGEDEINADGDDDDDV EGDDEYGVEVEEAESEVEEVDSEKEEEGSEYEEEEEEKTSKKSRKSSTSAKDTQRKST ATKENKSDSKAKPKPRSKPASSTAATNKGKKGKDDKEVQKEVKKIELKSGPIKKKSKK IVESDIETEVPSDHEQEIEDHEEHDEGEGSDGDEGDAKKLVKKEQPKKMATTGIVRKR VGKIESPGASDEEAVSKAEDDINDEGIATDTDEPRMTSKKSSSTTTKAASTKKVKPPI PLLKPAVTMKSTNTKLPAPKVPKNKIHLAPSSGSSVTSGVKPTAKASTAAKKGNGKFT PLLPKSFSIAPSSASSSFTTPSAIVAKKAQNASTKKIKEGKEEKSDDKEEKTVKKSTK GKVEKVNIKEDKASVKATIKPKVEVKKGKPQGNSTKKEGPAEDAEDEMVSGDEETERL SLDPGSSDEAGESGSIAQNISDLESSKVERRAGNSSSGRRDEADQAETPEKKSKSNSS KGQGKAAAQEEASDKNIKRKISETQLPEEDESGEDEKKDSGEAFGSKVEEDASGDDQE RASQHGGSEAGSSQLSASSKRKGSGGKKVVKAGYAAPRRSDELKKKSSSKEKDVPKQA EDDAEMDVDEDQPFSPAKEESSNIQLVAYPTPVTPPKRKEVSSPSTPCPSPKRTPSAT KIPSSVRGSIISYLLDSKFTKTLAFTSIETPTYNSTKLARHWREVLAPELQKHFEGKS PKKGKASIDKGLRIKIWELVTKNYEKVDWKSIEEENQEFTTTKLKRHFREAMVKEGKK YIESC I203_07273 MSRIAPLRSLTRLTTRQLAHNNVSSIVRPAMVASQTRGYKRNPQ PMRDVMTGEIIQTPDLDASLMKITKTTSPKTPLPPSKLVFGKTFTDHMLTVNWNSANG WGTPEIKPYAPLELDPSSTVFHYAFTLFEGMKAYRQEDGTVRLFRPDMNMARMNRSAA RIALPTFDGEALIELIKKLVVLDSEWIPKEPGYSLYIRPTLIGTQNALGVGPSSDAML FVICSPVGPYYASGFKPVQLLATTKFVRAAPGGTGGYKLGANYAPGVVPQAEAAKEGY SQNLWLLGEEHALTEVGTMNLFVAFKKPDGTVELVTPPLDDVVLPGVTRDSALALARA HANGDKIPGLPENLVVSERKLIMADLVEAEKNGTLVEVFGTGTAAIVSAVDKIGYEGR DIEIPTGPDGLGSIAKGLLDRMTAIQIGEIEHPWSVIANPVKSV I203_07274 MPAIVITALSDPVAIVHIPISPSEVYTTKIYWTIDRSSVESAEF FNITSNRIEMAIFGSLDLISLEWSDISEREKGDILISTSWRVFEISSGDQDEIGNYDS PHLRHVSAPLAKAGISILYQSSYFTDFLLVKESDFEKARNIFTHQGWQIDPSSTPSPR RRSLISPLTPSRPSFPSCSTSPSPARSTSSITPEITVLPSPLACIGFSKSAENKFSER VRKFLVWPERCYAPRPLIDDDDDDLDGTTDGCSLPLPRGRPFISYTKNEDGSSLVTEI EVLKHIFTNDNGEEDGEEYGKSSELIRSDQEELFFQDHEDPQEDEEYHSISDDSTSYH SSDETSHHPPGEETTRRFNGQTFTPPPDTPCPLEYSLPPTPYDRRSFDVSHNINDEAN HEHGHDCDDGKSDYSVGEVPIKWLAGKEPSGNSSGNTGGRKRCLQLDLRGIGDFDLAD NEEKGAYHLDKSGLVTRFSELLSSSSTNGARNGSRSRPIRMLYSSTFHTANLLVEARD VKRAKRLLERRRRSVEWNGSNFQSLNYEGRPDRRL I203_07275 MSNITTHVLTVSELTPQLWSMMKAEPEDTIWYSLIGTLLQAMLV TSVLGMTIKYFTYFMNRDSPYLLWGIGVGTTIQLGELMLTCAEDYRLVYCGPDHFQDI FRFLVISDMVRLLTAAMFNIAAGGYYTWRVWMMCGRKIYMIPPFAIGGMVQMMMTVMA VVHGCKLPEITVDSIKQLPEKMPQILKLFKIWGAVTLVVDGALCIIMTILLFKTQDSL FSKETKVFRKLISLVYETMLPPVICLIILEAASGSKGTPLMGKPTADMRRIITCILPV LYYHSALHTLCGRQDLRDLLEDKLASGGIHAISNGSGKGSGGRVYAAYPPGLRSLNNE ESGIEMQSPISSSSTRKNPQMPMIKVEQTTVVSRSDEYILSTPEAISMPRSSTHDDND LGKSDDEKSVMTNKSAPVHHS I203_07276 MTTSSLTMEIPTVTTENSLAVSAYHAALSQYVNSLLQSHSHSNT SSSSRLPSRSRSRSISRSQSPSPNNLRRRSTIKAFNQSNNIKNNDNSNGNNESLLDPN IRVETLVDGKIKPDLLEHENLEKIDQDQHQDQDITHRSGHECGGDGPKSSIATHRSVI TTVTTEGSQVEVESSSLSTSEGNDTNRGRVRSRSKTIRPKKLGEHDRSESYDHKRRLK FAKNRAQLDSKVIYWLQGVRDASPEGVEMSPDIPPEIPDPIPSPSIPHAVIHRSTIPS STNVSTSKVATPITVKPSPLILYDDFPTKAEQHSPRFVPNARSPRSDFAFNIDHMPVP IPIPMGGKSTNPGSEIGYTKSGLNFSSNSSKATLKSHHHCNHNTGTSDKGTESSDNQQ RDNKDEWLLTVLGQSDNWDIPCRIPPISENDSSTGIEKRRSRSPMRVMVSGEMPTPKP VAAGTSFWG I203_07277 MLKGVKQLWPSSHNHNNLHTGRETPSPTLSPSQSFASLGGPADQ ATPKASAHSTANPFDSATVPSIHQPHNAGVGTPGGGLSRSTASLSLDPSAKRPNSPAV GGQTALPGSAHSAGGPRTPTNYGFMPLPLGTPGAGQPTTGVTVGDSVGMNTGMGFGLH EPPKMRKAMSKLNDGSSTASTSPTSSTNVPDSIPAPPETDQPMNAAAGSSAASSGGTS TPQHREGGGPRGTLTVKVISARGLAVTNQPDGSPPQPYVVIQFEQNEYVSCPPHQTTS HTAVPFTQSTPQPLGAPGNLTRSTSGLGVGAISRAFADAVGRSKTRRDGDGSGAMTPR AEEPSGGGSWLGKPGPGDPIWKEEVTFDLTSNRSALHVSVYDKGRAGEGFLGMLDIKP VLQDGYTLDNWYKLATRGEEHVTGEIWIQMTYKVVRKSLSLKPSDFEFLKLIGRGTFG RVFQVRKKDTRRIYAMKVLSKKEIVAKKEVAHTIGERKILQRSLECPFLVGLKFSFQT DRDLYFVTDYKCGGELFWHLQKEGRFSEDRARFYIAELILALEHLHKYDIVYRDLKPE NILLDATGHVALCDFGLSKPDLSDDKLTNTFCGTTEYLAPEVLLDEKGYGKHVDFWSL GVLLFEMCCGWSPFYAEQTQEMYRLICYGKIRFPKHVIDDDGKQFVKGLLNRNPQNRL GARRGTEELKEHPFFKSIDWNLLYKKQITPPFKPIVDSDESVANFDPEFTNSSLIEAG IIPWEENENISQSQPGKHSYLGPGGSLSSASHMNNAAPSGVAINKPQRPPLPGGSGSP LTSSVQENFRGFTYTGESLMPHSMLADQSMDAESDSENAVDDEDDDEEDDDDEYDDED EEVDGDGVRTRRQSDVDMD I203_07278 MSDKQQQTTEKKEENATAGPLNGTAPTTEKKTLPKLGALEDDDE FEDFPATDYGGNVLDAMKKAGDGPNDNLWEDNWDDDDVEDDFTKQLRTAIQEKQGVPD ESMKE I203_07279 MTSSSRPLLASSYTLIEKRGERLDAVRKELNLVINALPTQIHPT ARSLYLEAILDDLSDRDDAAWTIWSQDVYILALTAIKSLGRNPVGSETLLSNDNFPIL LHHSGLPFSSTFSHVRPSPLPFSSPARETLKVLANLLVLHEEGRNVMASSGGAKAIAR ALAGKDVDGEEVVYEKEEDHLERLFLLGRLGFLVTIERPRAVGVMVDTEDIVESLVGV NASSILADSLSIYPGPQHFTNLQSTPANHMALGELLKMTNNIIRFYPYTNKSIGNSSA EIEQWNLSPPLSHAIHVLLSIPFITRLLPTWHSVPSSPPSPLISNASPTSSMKNLLSK LSNMSTSQNHNKKSSSSASTTGESLIPPNHRKTPSPSSSRRSSGSTTSKRPTVPSPST SDPSALPTRLLRTLDHFFDTYLPWPKKPDDTIPQGLVPDEMLPPLLLLLNRAAAGSEP IRVYLKETLLPSTIDRSPEGGPLESRKGTLGNILRLMGCAGHTQCKNTAGEMMWAICK GDASDLCVEIGYGNAAGLLFQKGLSGPPPAKIEEIPNSKVPAQTDDESQSQPLKSSTA INIQPATPTIPEHHTITSSSISERNPITSLSNDNSSNKEVDEMTEEEKEREAERLFVL FDRLEKNPVISMNKPEEQGGGKISMVDMMREKLNSGQLEGSNDDDDVQRRREEEQDKK DEEEAKKEIEEYKKRLGRN I203_07280 MSANEKVGHTEEAPVKESSIENKVAGDAPALDDAPEKKKREYKE MEHEAHGDLHAKVDMNTIQFTATDLYDKDKVDIEHVVMEEVFQLLQCDEGGLTEAEAT DRIGIFGPNKLEEKKENVFLQFLSFMWNPLSWVMEGAALVAIALSNGEGEPPDWQDFV GIVLLLLINSTIGFVEERNAGNAVKALMDSLAPKAKVKRDGKWRDIESADLVPGDMIA FKHGDVCPADCRLTEAIDVSMDQAALTGESLPVSKKLGDECFSGSTCKQGEVEAVVIS TGPNTFFGRAATLVGQDNDQVGHLQMVLARIGSFCLVSIGIFVVLEIVILYPRFHYTY RRGLNNILVLLIGGIPIAMPTVLSVTLAVGAQQLAKHKAIVTRITAIEELAGVTILCS DKTGTLTTNKLTIDKENVKCYSQWDVEGVCLLAAYASRTENQDAIDGCVVGTLPDPKQ AREGIELLDFKPFNPVDKRTEITYRDNRDGGKLKRATKGMTGIIIELCSRNKTSELED QLEADVEEFARRGLRALAVAYEDVVGDAADGQGSGFELVGLLSIFDPPRSDTKQTIDD AMALGVKVKMVTGDQLAIAKETGRRLGLGDHMYPAKVLKDGPEAGGKHANLDEMIMDA DGFAGVFPEHKFEIVKRIQALGHLCAMTGDGANDAPALSRANVGIAVEGATDAARGAA DIVLTEPGLSTIVHAIYGSRVIFQRMRNYAIYACAVTIRIVVCFAIMAFIWQFDFPSF MVLIIAVLNDGTIMTLSLDRVLPSTTPDSWDLAEVFAYGIGYGFYLSASTIALFATMH STTFFEDKFGVNPIKDTNDPEGHMVIYLQVAIISQALIFVTRSHGPSWTERPSVALML AFCLAQLISSIIAAFGDWGFTNVRSISGGWIGIVWVWNIVWYFPLDAVKFLMKKTIIA ALQRRKARKAAIATVDENGERLQRTASRHESLYSNRTSFLSRAANRLRGGAKISMSQN ELQRFSSIQAQQSGAALTRAHSRPAA I203_07281 MQGKFSGFLGKAQAALKDGQTRAVSGGSNLMQSFSLPGESQKAA KILKSFLADPTAPRTALNSIPKAVLQKAKGLAVFTILKAGFVFSGKAGSGLVIARLPD GSWSAPSCIATAGVGWGLQIGADMTEVVIVLNSDEAVKAFSRGGNVTVGGGISAAAGP IGTGGQVSASLANPAPMFSYSRSKGLFAGLTLDGTILVERKDANRDFYGSTISSTDIL TGRVPAPEIAGEMYDIIEAAEGLDESGLPAEAYVPGQGGESLPVPSPSTGYPTAPPAA SSGVHPTSAVPPTAPAAVPGSGNKTVFDATAP I203_07282 MTFTSFPSKTSPHDRWVSGCQAIFAGFVARATELKMQPTIRHFW STDQSLLLLPSLRSMVHSQQQHQHPIQNDNDDTRASQDTILQNTLYLLLNSKQNKFTK FSSSTIQPSDVIDQEWELTKAGKQTFHLRPNPTTSIDLATHHKQFII I203_07283 MFKLFKDLTRAPESLTPPPSTPAASSTPVPYATRSRSNTRARSK SPAPAGVGRSKSPAPPGGPSAHGHDHAGDSGWSIGTELARKDEESEDEDETDPDAIKV VEHLRDIRGLEDGSDVMRYVETFSQLLSIPCHFISRRAFRRHTGFRTLLLSLSEGLAW TSKEDSKAALNEEAWQVREIQRMEGVRLAFEVLAWSLGDRQGELHFEKLGGYMLLLPS LSKLSPPSESPNSQIIALLFAHICNNNYSILSLFQSPPTTSTSNSDQSISSIISQRLG DLNIRPSTSGALKLLWAYIRREDEKDKGKRRQSDTQSSFEGEEGSDQQRLIEITFQVI LVAASASTLNLFAIVTQLPQLSEFLVVRLYGIPEKRTYEVTFPARDDWIQAASSPEEE QIEEWKPPSDSLRKIYLALFRRLLEAGVTQTLVWRLFGLVKTTSPVVVVEQEDPENSG SGNGNGEDENGVKIASPSDISPPSGPVTSPGPILDTPKMQLKGKPHLHIDVDRLPPDE ERLHLEVLDLLKHAMKSRWPDVFVFKGGNGDTLGGLQLKETGRTWMGGQKGFNFSCWL HITKLNQPLTLFHMSQKSSKQPLFQIRILESSQIGITTSAHSHETSSSQPSTPLSETA PPSPSEGEMICGANDALIPHFQWVHFSVGCRKPKGADLGEIRIFVNGMRVGAMRMPFP VPSVVMSTQPPQLGVRSGIPADAIRIAIGREYRPEEEEVKPKTSGSVGREEENEWMLG RTLLLEEAVPEDLVRLMHHLGPRYTGNLQEPLGKFLTYEGATSINIYLSNLARVGNDK KIFTHSSNSILVRAIRSGRAIPEDIIILSLAARDYDLTLGACINAAIPHPLRAKQVRH GIARLVGNISPFSATSLDESTTAVGGGLVLLKLVDLSRTKEELITTLGMFRDMIKDSW SASEEMERIHGFDLLAAILRPKMSQLFDEQCAKILLSMLGINMDKPATATVHNSVAYR ALGLEFELWSYASDDVIRFYLKHFEYLLSTSKHKRFNVLRTFQKSAMVKKMLYALRSG LFDLEVVPDVVDALKLALEARWSGEDAIKPVFSYLISSLCQNNMSFSLNPTTDPPPYQ VPAALIINMIASLCADKARLKKLNKSVALHRLLVIFISSNSSYHVVIPCLEVLERCTS STTSSSADQFQRAFENEGGFALLATTLAPIWRSDIQNMVIRMMLGEDRKTKTLQSPHM ATCVLAALDSMLQQAGESEDAGYIPSLQGRRRSVASIRSISYLSAVNDNSTGSDDNRL EGLLKEVTSIYRCSSGFRKQFTSKKVEALLPNIADFAAVSAGSTGKPEIIRGQREAAA ELLTALEESAKLPVALVNQIKLLIEQLSTQPSSPKATTSSPFIMSPTSPRIGGSSSYF GQSFSGRFGSSPVSTPGIGGGPSRRRPSMDMPGFTKSRSIMEQRVPLKRVITGESILE GGKDKNAAWKMIIIQTDSQSHSKMTLERKEHWQKLSSINWPRQAAALRAENGLWPEKE DKVCWRLDGSEGPLRMRARLERVTNLPESGASRTRHKLRDAIPSVDELSSAVSKINVA PWEDPFAVASDDNGEIESYLEVEDDKNDKMRRIARTLQAGDVVEEAHNIVRIVGVDAC PGLLILGKKNLYLVDGLVQTADGEVIDAKDAQKDVLSIPSGTLAGLDAGDQQSHRWSY NEIVENNKRAFLFRDVALELYFSDKRNFLIVFQDKKERQAVVQKIGSKNDHRDAISRS VIGNFVVDTVAKAMDRSEQQLEALTRKWQNREISNFAYLQLLNQYANRTPNDVTQYPV FPWVLADYTSEILDLHSDSSFRDFKWPMGALTPARREDAVERYSATEGVGEKPFHYGT HYTSSMIVCGFMIRLSPFTEIFLALQGGNFDLADRLFSSIPRAWESASADNRGDVREL IPEFYYTPAFLRNLNHHDFGRKQTSGDQVDDVVLPPWALGDPMLFIHKHREALESDYA SRYLSYWIDLTFGYKQRDSSALNCFHPLSYRGAIDLENIEDEGEKAASTAIIHNFGQT PLQIFKQPHPHRYVGGKSSLPLSVRFGVAEHWQLLFRSILPISEASVPIDDIVPPYGV DTKPKSTQKFRLVVPGHPHLSLQYGFTDGSIRIYYQESQIKLIHLVEGIYVVDAIFAS PSLLITVSGHGVLTAWRLNIKSGGYRRGDATLQREATLRGHVGKITCLAANTSWSLLV SGSENGNAMVWDTNRLRYTRTLQAGMKEPIKYCAINEADGQIALASARHLCLFSLNGH PIASTTIEEGSSGASDKSSTYSETDDRIEFTGGISFLNREFLNGGVLFVIGVGAKVVL YRCIPGQKDPMASDEELVRPWTLVEQGKVSRSDDHLGADCCMVKFMGETLYAAFEPSD EKSKYSLYQWSLPDGPARHVSENVSHHCMAQGCARHFGLLEPKRHCGGCGGAFCGTHA LHVETFTMRYCDTCRIQLSIASAQGILRSGRGTLAPPSRAVSRRNSLTGGHSRRPSAE IKAAGVVSTSQSASRRGSADKTAGTGNV I203_07284 MKFTTTALLTLLPAILAEPAPASPNFQIGKAGAERNVNIGARQV GSVIGDATSALGAGTGGLGSVIGDATSAIGAGTSGAGSVIGDATSAVGAGTSAAGSVA GQATSGAASVAGAGTSAAAGATSAAGSAAGGATSAAGSAAGGATSAAGSATSAAASGS GSSAGQLVYSPGMWSAFLGGAAAIGVARVLA I203_07285 MSGKGVEPVGAPRDAAITIGPFKPSRPGYGPVLSYLQAAQLDTP LKVHPLLQLRTWDPKPYAKYLAWNVIEHPMFAAIWVDYDGIKERGQPATSPIVEEINI GHVETGWSIRVRNRMGITCEDVLFAIYDFFASPLYVDELGEMHPRAVRIMEQQYFEKR RMGFFDLMEGYRKSDALKATFFAILPLLATVVAATAPTAAPRVKRQDDGETTGDQVGS AVDDGLDGATSVFDEATSDIDSVFHQATSAVGTGVSDVTSAFGEATSAIDSIASEATS AVANGIPTSEIESLATSASGAVASRISSATAAIGSATSTIAGATQSAAASASKAANSA ASAASSATASATGSDSAGYVLGVDNKLIALGLGAVVAAAGF I203_07286 MGLLALGTPLTWDETKPLAEHIRYHGITQFLKTWDRWKDNTGKG LLWGDEIEYMVASFHDDEKRVLLSLRQTEILTRLQSVTLDPALDKYKPACCNAIPTFH PEYGRYMLESTPGYPFSGLPSSLVSVEADMRFRRQIIRSHLKKHELPITLTSWPRLGV SDEVFTDPPTKPDNQKSSSRSDYVGELITNPHARFPTLTANIRQRRGSKVDIRVPLFK DENTVILDGLKANMSNGHSTPAKPEPGTPYIHMDAMAFGMGCCCLQITFQAWNVDEAR RVYDALVPVAPIMLALTAASPAFRGQLADVDARWNVIAASVDDRTEEERGLKPLKDNK YRIPKSRYDSVSLYLSNDERNKPEYHDVSAPINEDVRKRLLDHGLDDKLASHIAHLFI RDPLVQFSETIDQDDESSMDHFENIQSTNWQTIRFKPPPVNSPIGWRVEFRSMEVQLT DFENAAFSIFIVLLTRAIISFDLNFYMPISKVDENMQRAQTRSAATSQSFFFRKHVFP RDKPLSRFDLTSRPVSPPSPSTPVTPSHSRSQSRSQQLPDGHENGNGHPSSSSRSECT TPIEAFEDNDDAPEMTLDEIINGDGDLFPGLMGLVNAYLNSLNVDVCTKCELRRYLDL IKYRAKGQLVTPASWIRRFITSHPAYQNDSIVSDEINYDLVKAIDEVERGVRPAPELL GKDYVGSGPTGCL I203_07287 MSELQTSNSTSNPVDALWRLMALDNGDAVWYAFIGILLQAMLIS SIMGKAFEYFDYFKKRDNKYLLWGIAGGTVLALVTLGITCAETYVTIHQQTLDTAPAI RFLILGDVTNLFLGTLFNLAACIYYSWRVYKSSLDNSYIWGVPPSSARGCSSCHGRWL SYRFPVITPDTLQDFQNHLRGNIRKFQIWGSLTLGVDGTLCIMMTIMLLRSRDGIFHR ETRLFNKLVSLIYETMLPPVICLAIYQGTTHLAGNPVSDFRKIITCILPVLYFHSLLH TLVGRKRIREILDSNLAREGVKVISDRNIDNYKGSNTGKFDFAVTGPQGIHSDYDGVG GRVLGGSGNEIPTGPKSFIWDGRK I203_07288 MSTTINGTSLWDSMGLSTDATTWYSSLAIFIQGIVLVSIISKTM QYFERYDKSRDHLGMMVVVGCGSAISLGILILTCSQTRVLVYKRKIDAPSSIRYLLLS DMVILFFSGFFSFTTGIYYAWRCYVMTKKNRLMLGVLIVALLAEFIVTLVTAAHGFTL RSLTSEYLATLPAFKDKGNHLHKIWSGITLALGLSMSMLLSFLMFTKKDGIYHYDPRT WHKYFSVTYETINTEGVITRLPPTLCSLLLVSLGNLPGSPMTDMRRVFTSILPLLYYN SFIQSLVGRQQIRCVIETKSMYDSGSPLGSNVNGDKGSSYQPGSGQFMFDVIKNKSGP RVYVSSPKRS I203_07289 MHSSHNISPRLDHWRRDGCVSCDEATPQCDCAAGEKCILTSRTC NQCPTINCIKSSSSKSKGVNPGAIAGPVVAVLVIASLALFWWLRRKKRRDLARLEGLA ARARKAESAGFQLSQPPSPQPGSAHSRSSLPQRPPSAARPRSPLPPAPVNAEYYDDHG ATIRVYDGSRGTIHVDKNDNDPFSDRQSISTMGSGGTANIIPIQYIPPSKSDEALSKK SLPDGPSGQSAAAKALDAARQNLFHPRRPARAPDLDLRLNPPSAKDNLGPPTAYSFLA DQRSPSGSGSTSQYRDSYLSGNSAAPSYWSGQSDVHLDAPKIVTSKQVQIGRLQQAEV VQFGNGNKPQFIERLSPTGNSHGQLSPVKDENVLQQQQQKAISPTVGSFRSSEATTRT LTPISTRYNEEDLEEGLRSAEPSSAGSQDLRFSMGSLAYDRTSVSTMGTGRYLASAIS TGHPTSSPGMPQLPLPPPTGYRNSSGSSKSFADSVLGSFPMIPPNSSSALPSSHFGLP QSTSTNTLEHVALSRPLTQSSASPAGKKRPETQASIADSFLGSFPFVPPNTEDLADLP TANVPTNASGRGVSTNSEGLGGFEFRLDGNAPPVPTQRKG I203_07290 MSSSTIPESMKAVIFKAPYSVAVETVPTPKLRDEGDIILKVHLA GLCGSDLHLYRGHEDAGKDYIMGHEVVGTIVEKGNGVKKFELGDVVAVPFTVSCGSCW YCSSSHTARCHQSQLFGTPSLPGCQAEYVRIPLADGCVFKKPAELPDELMLLMADILP TGYSAAANARSLLDGPGGKKKDGVCVVIGCGPVGLCAISSALTLFSKVFATDLTSSRL ALAEKHGAIALPSGELKKAVLEATDGRGADAVLEVVGHEGALLTALDIVRPYGAVSSV GVHSQQIKLNGGDLYDKNVKLQFGRCSVRTFYQPALEVLVANQDIFKSFIENKVGFSE AEEYYRLFEKNKVAKTVFVPGQ I203_07291 MSNSTVAGAGAGVGMDVNTILWKQMGASVNNTTWYAIIGTVLQS LIVSSILSNTSNYFSYFTQTDSIWLLEAVGLGAILSVGALGLTCAQAYHLVYENEHNI ATHFRFLMYGDMSHLLIGAIFNAAGASYYAYRAWRMSGNKWWIIPPFAIGIIAQLVIA LVAVGNGLKMPKLTIEAVKDLPTFMSGTITWFRAWGAITCAVDGTLCLFMTFMLFKSK QGIFHNQDRLFKRLISLVYETMMPPVLCLLILESCSGISGSPLTDFRRIFTSILPVLY YHSVLSTLVGRKTIQKILARKLNAEGIEQLSGSGSGSGGHVFKSGGRVYVSRPKGGEK DVELGNVRSPYTPRSKMSEGPIVHVETEQTTTTTGPDGYEIYLPTLGRNRLPSDHHGV NSPDSVSWEGANNYNQKWESTDRLYDGPGTGRAT I203_07292 MELYIPPPTNFFRVLPPKPVVPAVPIVPIPNLPDKLHAPEGTYK LNPPEGFSNIGSPAHPTAAELARQQQSTSTFLAGASGFMVAGPGMMPGQMPGGPPAST AMSYGSSIVNGQAQPPQPVKMTFVNIWYPPKQPNDQRSFGGLLGNKQQQNNPLVGVDS QPPKEENYQYEPSLYSDDGEGNENASQPSSEPPPLEMNIPGNSTSTGKRIPFTKNQQS TNNVIPRPKNNLRSSSSTFVTRVQMQENLLKNLGEKGRSGVGEMARYGFWNLGRTFAW GEENPKTKEPLTRITFSQVPTCHAVNMHTLGPDRMDVIIGFTSGDLLWVDFTIGKYSR LNKGGLLNNTAVIGVHFDPRQPHHFIAHFADSTILRFHLFADDPPTSIMAFVLPWAKY FDSVAPPSSGTEKERKNSGTLPDATPIGSVDDEWEEGLIKWKNEEWNLFNNPNVNTKK DKDINPWAGKNPIAAYKIEKVKISAMAYSPDGRFLAIAAEDGNLRMVDVAEEQLTDIF AGYFGSLNCIAWTPDSRFVAVGGQDDLITIFSARESRIVARCQGHSAFVMSIAFDQSR GEARAYRFASVGEDAKLILWDFSAAALHRPRHHHPTSSHHRLGAGGSSLSLSAQHSKS HLPLYVKSSIFHPAQPRSEVALLQPVMARVVEGNLLTGVHMTQTSIVTVSRAAQVKFW TRPPRTNTHHHGHNTKQRTASKGAASSNGRRDREISTPA I203_07293 MCSSPQVRYHHCQKPGTSGLRKKVKVFQQEHYTENFIQATLSAI PGGPEGKTLVVGGDGRYFSPEAVQIILRIGAANGIKHIILGQNAILSTPAVSALIRSL KTDGGILLTASHNPGGPDNDFGIKFNISNGGPAPEEVTNAIHKITETIKEYKQINLPD LDLSKTGEFTHGPIKVTIVDPVSNYIKLLKDIFDFDLIKNWLHTTSPKPTVLFDALNG VTGPYGRAIFVDELGLDESSIQNCVPKPDFGGSHPDPNLTYAHELVERVEKENIEFGA ASDGDGDRNMIYGKGAFVTPSDSVAIIADWAEKAIPYFKSGVKGLARSMPTSGAIDIV AKDKGLEVFEVPTGWKFFGNLMDAGRLSICGEESFGTGSDHIREKDGVWAIVAWLSIL AAANKEKPGSGINDVLLQHWKKYGRSFFSRYDYEECESEGAEAMMDHLRKLFASSDFV GQSLKATSSDTLFKVKEADDFSYTDPIDGSVSKKQGLYIKFEDGSRIIFRLSGTGSSG ATVRLYVEKYSKNESEYGLDAQDGLKPLIEVALATSKLKEFTKREKPDVITHSLRSVQ GLGSGEEVN I203_07294 MSEITSFPPPSTDLSILLLGSGGREHALAYKLSQSKRVSKIYVC PGNGGTALMGGKVSNLSIPWGAPPAFNSIIEFAQSTKIDLVVPGPEQPLVDGVEGAFK KIGIPVFGPSPQAAMLEGSKSLSKEFMFRHNIPTAQFRSFTSDQYTQAVEYIKSNPFS SGRCVIKASGLAAGKGVLIPETDEEALEALKSVMVDKEFGDAGDEVVVEEYLTGPEIS VLAFSDGYTIIPMPAAQDHKRIGEGDTGPNTGGMGAYAPAPVATKEILDRVVKESLEP TIKGLREDGEFNNTDQ I203_07295 MLTYQLSFIGYPFVGMLFTGFMITPDGPKVLEYNVRFGDPETQA LMLLLDDETDLAEVMLAAVERRLDSVKLGYRDGYAVSVVLASQGYPGKYPKGVPMTIN PDMPSGVHVFHAGTAIKNDTGVTDGGRVLAVCASGSTLKEAVDLAYSGVDQISWEGKT YRRDIAYRALSSEPTTSSTSAPSGGLTYAAAGVSITAGNDLVEAIKPVVKATRRPGAD SNIGGFGGAFDLAACGYEDPILVSGTDGVGTKLRVALDYGKHSTVGIDLVAMSVNDLI VQGAEPLYFLDYYACSKLDVPVATDVITGIAEGCLQAGCALIGGETAEMPGMYHTDDY DLAGFAVGVVERKQLLPSNDIKENDVLIALSSSGPHSNGYSLIRKIVSLSGLSLTDIA PWSKGSQKVGDALLEPTKIYIKSLLPGIKGGLYKGMSHITGGGFTENIPRIFEGDLGV QLDLASYQLPEIWKWLMKTGQVEAKEMVRTFNCGVGMVIVVDSTKVDSALQSLAENGE KGWVIGKVVQGKGVKYTGLESFGQ I203_07296 MSQAHRPTWNPTQGRETKAGSQQISKLALASHTKLKFRQPGQTN TSDVARRDLKAELAAAERNALEKKRKAQGLPPLPPIEGALRIENGSAQTEEDEIAAKR RKVLEEAAELDKDEESDEEEDAKDKGKGEEVNGGAAQDDDDDDDDDDSDDYSDDGDDT AALMAELAKIKQERAEEKARLEAENAASSAQSREAEIALGNPLLNLQAALGQSPSSPS STPGSTATAGSFTVKRRWDDDLIFKNQASGLNDKPKKTEFVNDLLRSDFHKKFMNR I203_07297 MSRSEDIISYAGEEHQVDYKPTIGDKVSGSIEQAVGKITKNPDK VTEGQAKKTGHPTDQGHHVDAHDHGVEDKDSLDHARESPHLSPSERSPVNPNSEGNLN TSTDGAHHVHDSVHAANIPPPPPATTNPYVIDQSATATLMDNAAAQKGTSHQATNIPL PPSHQNSFANYTPTTPGEGGNPSSGYIVHGGLPSAGQGHSDVGLGQGGVADRNLFPPA HTQQLE I203_07298 MISLRLARPASSILRTALAPQARTKAMSFSGVRFISTRYTTDHE WVSFDSNSNIGTVGITDYAQKALGDVVFVELPGQGAEVAQGDSIGAVESVKAASDIYA PVSGVIEEINETLADQPGLLNKASEGNGWLAKIKLSDPSEFEALLNAEAYKAHCEGQ I203_07299 MSSSNESPYVSKEGNEPDQSSRELLDDRDDLDPFRSIWFVRELK ARQEPNSEFVSSTGTRSRTMRPPPMLTQGPQNFNYDPTKTSGWTANEANSDTLSDHGQ QDDHPADAAGSNASEHPTDDRGKPMPPSTSTSIARSEGLTPSVTKDKQGPDTTTEESN QGSVMLSSTFEGETNPSVNDIQQSWRRPRAWASLFQPTKGPCTTSTAGASASVSVEIT SASGVSSTKEATGTGLATESSEGEKVVDEEGEFVTVIRGKRQSQRLTDQQHHQFAEED SRHDVYSYDDIGSEPKDEDWYLQQELALQNASFTRKRYGVNPNRQASSKKRDMDNKTH WGRGFR I203_07300 MPSSFKTSVPVFHPDHPHNRNPYPLYESQTNIPTVGSSSSSSKI GRMFTKQAQHGEEGMSDSESSLSPSPSSRSRCFCGNPTQDGGIYCSVSCARSDAFSSL CYKPTSQYSESIISQHGQHPSIASAISSSLSRNPSSASSTSIASSSSNQDVGEWTASH YRRLARADIRREERKEERRKRRAEGSLASSISTSRSTMMSVSSSASRAVPDLVGGHSH SRNPSIASSITSMSSSTWGLGGSLSRNPSSASTSSRKGGNGYGGNVNIGAAIMEDEDE EEWLQSEFSQPPIPALPISSAPTSSSKRRPSHSRTGSKSTSSSRKGRKHTPDPLPFGM GKDMRDVLEEIIQMEKSFLVSDNENDDDDHMSQSGNQIPPSTGLFTSQFDRPPRTPSP VSNSKRRGSIAPGAPIRGHRSALSHSALPPPSPPRNIRDPQPQRPPSLMGLHQSSLSE SHTALYLATASPVAPGPTGRRSASPKLEYRKSITFTPDAAGPSISFDGLPAPRRIFDS PAGNGTITPMGGRRRLNLTPQAVHPSMDHWRFPFGSGSGSTMATPTRPSQSQVPTPDE MRMSMGMSIEPTLLWPTQPPNLQPALFPCSPAVDTPEFHSTAVLPTVDEMGRRDSQLS APGSGMRLGELLGSGHGDGDVDMDMEIEEDGDGSTEHGHGQEQGRTGYLPVFLEAEGF RAGDGNRRW I203_07301 MSGKVIHFKRYNRISTPEELPTAMRLGMEFERRAEPHLSNNKNA GDQQEEDWFQNHSTQVFLIFGSILFIITLLCLYCLTRKQGSQVLPSCMKRHKKAKARS GRNSHRPISNASSINGLLFPDRSRSRDLNNHAIVGGMRIDDEDGQGMGRMRMWQNTGR EDKRLWRKTAYMSDLRHATKENSKNYQRVHGRGDFDEGFPDGFERVTRDTFYQPTKGG QGHKRNTTLSSFGHPPSSSFYDYSESSPSPYSVYDASGLVRPISNYIPYNPILTSTES LRYPPSVKRPITDYRRSFVSHMSNDPGYLEDLKAGSHGRKSGSYYPKSPASTYVPPRE SVYSWRSYPHEEDDYEKHHYNQAGRHIQMGHQYDQIQPRHQHHDANYHQYDQNQGYHI DQIQSLHPNHDDTNSYLNPSPIEYEQHEYTPKPSIDQSIVNDYTAYTQPQPLANLSHS PQHLENSLDEKTPSTRPRHERTPSMGSIKFPEPEPSPAPAPVPVQVGDGMISPYTRSS TYSFPAPPTGEASTFRWAIRYL I203_07302 MIMIMIMIMIMIMIMIMIMIMIMIMIMIMINQNQHQQENYQGEG EEGEEEEGDPYSPSRTEVNTKLNSASYHSPSLISKHSRISTVPGQTKLSNYSRGFDDS DGLEVTPRKEKRNSSGPSLAKSDNPNPSPNFPPPSNLIIDTTSSIINSDTQEHTITTS SSSGGGGGGGGGYVISTMSKKIQRVKPPSLLKSTLPPKSPKSPSPLRYSSTFDMDDMP IWMKDNEAEAEVDEEPVPRIRG I203_07303 MQYYNQTPNPMMGGGGMGMGMMDPRMQQQMQMQGMNPMNGMNGM GGMQPGMGGMQPGMGGMMNGMGMGMNGMGNYGQAWRQLGYDYTLPTLGYKPEATWGAW DLANAQYDGGRLERSFFDNIVRLLREYQYLKHKDKYQREREGLQDSNSPLTTPLSPKF LSKQISSLTNFFANRHLSEEAARDAHRRVYYQAEGADAGNKTLGGAAAYQAYLIWDRD HYSAYHANPTQENRERLVGLAVAELFSLWDRVQPRSSRANTEDAAQYAAATAKYLFDR HYDLPHSHSHRRPGSRYSGYGADNDSDSDDDRRMRRRSQYNQQPYGMGGMQNPMMNQM PMQGGMMPGGGGGMMGPQSMGMAGMGMAQPGMGALGMPGAMGGGGMMNNPMMMNQMGM NGMGGMGVGGMQPGMMNGGMMGGGPLGGIPGNHGQLGEAQAGPGMHPYTYGGQSGVAY GNTPIDQMGNPTFPGGPGRSWYGYGQPRYF I203_07304 MAFALHPLLSTYPGASFFPNGNLNGPHLAVQSGGPSSGYWGQVP WNLPEFPEWGLPPAIIAAQEGRGTGVYGYTLEGGGQGMRFDYGWPKYGPMPLRPELGG FVGKTNPFGRSFPHGFYKTTY I203_07305 MASSHNQGQAHGHGQYDETAAKPSIGQKIGGGIEELVGKATHNP GKVAEGEAKKHGYAGPPGGAQGYNETLHRGENPGGLAGEHSINPSAHGNHGQHEHDPS RHEGFNSNSQSHSGAGAHTGAHHHGGGVGSQSPFPPGQGEPGFGGHPQQQQQQFQQGQ HSGLGGGSTSRTHEGIGGGPAGHNSGLPLGSNAGGPGHHEHGVGGVEYGSGTGGHAGT GYGAGQGIGGGQGIGGGHHTGAGTGVGGDNVQPPFGGSDRRY I203_07306 MGLLGHHHGQGGPEPPHKPSLGEKILGHVLGKNHNGGPQGGPGG PGGGYGGQGGFNGQGGPGGYQGGGGGPGFGGPGQGQGQGYGGGGGGPGGFGGPGGPGG QGGFGGGGGPGGYGGGGPGGQGGFGGGPGGGPGGQGGFGGGPGGHGGHHGGGPGGQGG FGGGPGGFGGGPGQGGPGGGFGGGGPGGPGGPGGRY I203_07307 MTTTTAQQPGLSYKEIADAIISFLEISLHTILYLRSVYPPSTFS RRRAHQVPVYQSRHPQVRSYITNVISSLAPEIHSGKLRRMTVVIKGVEDGLPRERMIF DLGYLAELDKLREGRGSEVGLIGAPNAEELGLILRGFLIKLNALDGQLLDNQGETTFA VIIETNDSLEPSSNNNEDGSVPPWIPALANDTLHPPSSQDLDGCTEKHEPLLNVKAVE TGVIDIRLMVQECVAKTGVERLDP I203_07308 MTTYITKALSSLATNGTLTPPLTPNENDAKSILRSSSPHPLISP EQNLLRLKAHLQNLPTDLLKHVHLSKIRREDPSLYFSAIRDDLTGLAPIVYTPTVGEA CQKYSHIYQGPEGLYLSIDDKDRMPEILAEYAAKLSTTPQILVVTDGSRILGLGDLGI GGMGISVGKLNLYVAGGGVNPHGCLPVVLDMGTNTESIRNDPLYIGLRRPRASLEEAT EFMDRFMAAASSAFPNAVIQHEDFYSEAAFAFLDKYRNQYRMFNDDIEGTGSVILGGF MAAAKQASAASGKALKDHRVVFLGGGSAAVGVAKEMMNFFKMQGLTEDEARERFWLID TKGLITSTRPDVVAGKLASHKKYFIRNDTEGKEYPSLADVVEYVKPTALVGLSTTFGA FGEDVVRRMADLNEAPIIFPLSNPTSKCELSFADALNWTGGKVVFASGSPYAPIERDG LLREPGQGNNFLVFPGIGFGALQAGAKRVTEAMITASAIALSEALNEEEKKAGLLYPR LDRIREVSARVAAGVVRKAQEEGVDTNEKLRGLDDQALIKEMNDAQWWP I203_07309 MRTINFITLFPIFATLLSVNASVVPTPRPAKRQGDLIGGLIDSG IAGATDLFGDATSGLDGIINDVTSAAGQGVTDATSLFGVVTSKVAEEFTEHTSIFGEI TSKLDSAVDQIPVTKIESWASSVTAEVGSKVNSAVGASVTGSTHTPTSTPSPSAAASI SQAPLNNNNSDSAGYIAGVNDKLIVLVFGAVIAAVAF I203_07310 MTSPSLDPLEISTSTLSTFTPTRVLSESTSTGSIYVLGTLHDLP AIVHLQKTVLESNKVGESLRGLERLNVFLENQPYFSTHAWIRPTPDRLPDLQIKIICP ATETHIKKYSEQERYMVHETPEIYEKVVLQYINGFDESRLGWVYAILDGKKEADRILY RKQGEDGFVILPDLKWDQTSMNALYLTVLVQTRSIRSLRDLKSSHIPLLNDIKQAVFQ TVKEKYGVGSNKLRLFVHYQPSYYHFHVHVVHIDAEPTNGMAVGQAHMLDDLVTLLQL SPSEGPSILAQKTYTYPLGVEHGLFKGMQDAGAIAFD I203_07311 MSPLSSQSKLLILRTVFQHQRPSTSFPVNRSFSTSRSYSTSQST TTSTRISRETLHRLHRLSALNPPPPDSSEEGELIDELSELISLMDEVKQMELPHSLEE RADLLNQGVFGEVTISQESLDRLDKIRQLGNGQVEDKARERSGKQLLDWSTNRLGDYY ASKK I203_07312 MEYPQPASESGPSNSNSNSNPSYTVLRIKRKATEAPLSSLDIEP RIRAKRRRDVSGRPRGVFRLAETVPDTWLGAGEEGEVLKTRIQGLISSSSSQPDSPIS LPKSPLDAQHASDPTSTMQPTSISSPSLPTVTSQSQSQNQNQNQYRVIPPISPRTRAM LPPRIMTIAETEGRGRDSPLVFVDAQAVPPSSTSDGGQSRNLRPANAEEEKEMANFLP MLEEYLKLEEASKKPEPSFSTPFQEDEWVYDLYYRDNTSVPLGLGVGDGVSIGQLLGF EDVSPPSSISGSEPEDEADEDSNDEDYYRNDYPEDEDADEDMQGFRGGGDEDYSDEWS GSEDGEEEEDRGEWGYR I203_07313 MSNLQDITHLHTQAFHLSSIGRSESAPAILSTSSITGVQPMTRT DNEESSQNQHGNNLSTLREKLSIDDIKPDLPRFFSAFAIGADNNWRDSYEAIGH I203_07314 MIESNYTMISNCHCPSSAAILNYLLISLGDYFSEKLVLIACAQI ASLLWICWIYKVKLNQYTWRWRHQRYRTLVDLTDILFYIVAITVAILQFRLIYILYQY DNTRYIPIQLVSLGVLRYTLNQSSRHLEDIYLHNLIGETFTIDPNRHQQRQRVGSDST TGSGSSTSLRSIVESTSTSISCSPNDSASTSPSSSISELPKSVEEEYDNSRPPSQNMA RLREIAKRENNSLWWVIFREERDWVRMRMNNNQSIFFPQRERARERAARGQERDRDSR NK I203_07315 MFTQNLGWTLFIFGMIACGRSLVVAMRGCNVSNNGCGSSQDPLR IPRFIPRLFLLSYLSFTISYILQSIDLKLGPNVQMGEKMIVWFITLGLMGLPIYSAQV RMIFKHSFGNGSCSSSLKMYECECYGDNEDEGERNLEGGVIKEEMRRDLRWLTVGHSD RSRKVRE I203_07316 MILNITGQDTLIPSFREILGSTLFFAFLGIPLSFLTVLRWVVNF CLSLRWTFTNIVVILITISALSCIFLAGADRSGRSDHTHDQTWYNKYQRYNHLSPIPS EDEVVRAKRNGRAAAIHARGRYTHRKYP I203_07317 MVQPSFSHPRSDPSSSSVSNSPTAALDAFLDNQHQSYFEELYKS EAVCLCILRSLPPVCRHLILHHLWSHMPLRTTEVKSFLQLDVHTQLEVVDEIVRPALN RKIIHPMIHKKNKFYWPWNDAFKKGLRNALTGLGTSNSFGVPFDTPDDVELPSQEDLV AYGEEAFEGILKYMVSSGLGIGFSVARPQAEVLELLHISGLMIDPTDATGENPNLNKM TITSKGFQFLLEDRQTQLWEILMYYLTAKEANSERSAEVLSMFFSLNCMQLGQDYSAS KSFPHALSALNDLAQYGFIYRPSPDSDQFFPTHLATALCSGDAAATKSQEADEKRFLI LETNYKIYAYTSNELEIAILNLFVDIRIRYPNLVVGKLDRKNVKSAMEKGISANQIIS YLSSHAHPQMYNSPPPLLHPTIVDQLHLWDRERNRLKMEDTVMLEFFSKELFEDTENE AKANAALQLSVSTQKFLFIEPQTKAAITDFVKERQNQLRSGF I203_07318 MPLQDLSTRQTIFLTAAATAIATSSLILSYQALRREQRTTKLKK QVGEDVEEWERSRAGSGLGTPEEKIDRIIKKDRNWKKGEFDEGLIREQLTRNYNFLGE ESMEKVRNSYVVVVGCGGVGSWCALMLLRSGVGRLLLIDFDLTTLSSLNRHACATLED VGTPKVIAMQKYFKKVAPWAEVDVQIGLWRKGSEAEKWLEGADWVVDAIDNLETKADL LTHCHKNGIKVFASMGAGAKQDPTRVQIADISNTYEDPLARSVRRKLRINGIPGGIPV VYSTEVPSEIKLLPLPEEEFQRGAVKELQAFDDFRVRILPVLGPLPAIFGLNIATYIL LDLAGKTLEDYAEIKNRKKLYQSLEKSLMKFEKDLRGLRDMERIPINSDDIGFVFDDL YSGRSSIPPYEILSKPSVIRWDNNKPLDVDNLVVMGPKENKLHQELIWKEGKSLEELY GKETVERISRKSAQARRVMSWRRNE I203_07319 MSSETPSTLLTYQDSLSLSSCLTRYKVTIQDPQSRSSALTRISQ HSDRQSNKHFLRSTRDKNGNLIRYLWLTDASNVEPLELVEVDGPKPENKPMIRNSRNQ VDLHIPFDFREKFDGIEMSGFTNSIESSNVVNDWKEKSEFELIMTDGGELHKGNSFVL ADMIDEVVVPQLTLDFYMGESKVKTLGGLVGSLRGKTIIYGKEIQQQDESTDDLASTI II I203_07320 MSASTEDQSTASKTAPPTYDTVTSPPTKYQITILDKRSRSEIFS KMDSHTNIDTDTGSGTGRVATISHLHSYPSRDRSDPQKLDQYIQLTEVSDVCPVEVRV ADPHTPNEDQLTDSDGSSSKMMRGSRNQIDLVVPFNFHPESGDPNADGDADGSRESSI FDSFVLTGLTNSIKDVKELDEWKDRARFDLITNQDMTNSESIPMILGDMLNGVKITGL NLDLYKGEEKVKSLDNLDARVNGMTFFCGKISEGSLDHIIGETEGGTGKIPTEATQND RDGITKDLDKLSVSK I203_07321 MSATGKDTTTASTSSFVTALVTAGITVGALTAVWLILHNRQKLK RVFQPRSVLAPDAKKPPVLPSGIFGYWKTILISTPDTDLIVSNGPDAYFFIRFIKVFG LKMLLPYFLLTFVVCIPASAVKPNNQLEGLNLLSFGNVPANHQNRHIAHFFCAIVLMG YTCWLIWQEYNHFVQIRQAWLTSPQHLALARTRTVAVTNVPDSVNSESGMKEIASIGG VRQVWLTRKCKDVEKIWQERDKECSRLEGGASKVIKLANKNQAKKKTPEAQGQYDAER SSGDIIDRYVLSKKRPSWKQGPLGLIGKKQDLETSPLYIAERNAKLSDLRKTIDDLPQ GNTVFIRFASQHEAHAFARLVAETDKSNKLMEAGVEVVPEDVEWSNISMNPYQRKIRK VISWALTIGLIIVWAIPVAFVGIVSNIDALCQNTAWLAWICENGPVVKGIVKGVLPPV LLAVLFMLLPIVLRLMVQLQGEVRKTDIELKLFSRFWLFQVIHGFLIVTVAAGLISAL SDIGNTVGQLPTLLAEKLPTASIFFLTFILTATFSGAAKSYSRAVPWVMYLLSGVLAG NTPRKVYMKAFKMDSMTWATTFPPTCLLICISIVYTVIQPVITLLALVAFCLLYAAYK YLLHWCADQPDYSETGGMFYIKALRTVFVSLYLEGICLAGLFFLSSDENGDRAKSGLG CGAVMIVVIVAIALFQFYIDWFRFKKPYLYYVHSTTTHSESLSVDPKVGVTQTTSDEE VNNAGPQYGNTSGFHYRAFDHPALWKKQPVIWITNDPLGIGNYLAEKFNGKNVESSTE FTSMDEKQKVQVERGPPDEAWYGGFSS I203_07322 MNDPSNPFHVPSSSSSSASASQSLKPKKQLLSKIGQPSSSSPRT ELNNRKRFGGLFASFGLGNGTGSPLRAPLGTPIRSERRHETFQPGDDVQEDSSGKGAI GGVWSFAEKMKELTLTVGSPARNRNKEKVREDTDEWEGEGLMGLPDEILLHILLQLPP TLSQLHIISRVSTRFYDLSRTPVLWLRIFHEAGFDLNEHAQQNGVAVEYPPEGHWEGK EWIHRQPQAEGSSDNPNSNEPPEDDTGEEEEEEDSVKDSTPIHYPTLVRSRYIVQHLI LDSDHLPTPTRLRGHKDVVYCLARWRNYLFTGSRDRSIRLYDLSTGECIWVDSEAHGR SVLCLDLDIDEDGKGTLVSGSSDMIIGIYTIDLPPPSLSSSSLAVGKRLNTIRCESSI LSIGLTPRYLLSANKDTKIHIYDRKTHELVKTLEGHTQPINSISLSPRKDKLVSGSGD GSWKIWDIASGLIEREGGGGRGVACVEWNHDHIVTGDGDNLVKLYSSSNGQLISTFQG HTDLVRSVTISKSAGVVVSASYDKSIRIWDIKTGKLIKIINEDRSSLVFNLKMVSNKI IAARQDGTIHVFTFGDNLPYVNLFA I203_07323 MQQTQAESPQTQHLPDRDQPPKSCQFLIINFNINATETQYANCF TPEEMLPELIKLLQEFQYNGVSPASISYNTAGVCVVAHFEDEQWERIFGLTPPRHHQV ESTLDRD I203_07324 MSWLVPSLPTWARATPASTSTANPPSNNEQEEEEEEEDIDAPPP FPLLNSHQRSSALAPAPSFSVAPPSPKKATNDDDEPPSDLNIAISDSPLGNMAPPPST VKKPNFGIQKGGQDSSAGEEMAKKTQMKTKKRTKVALTPGHSALDWAKLTSSGEDLRG VQGFQRVTLAELKEHNTPDDAWSVFNGMVYNISPYLPFHPGGEDELMRVAGRDGTKLF MLTHSWVNLDFMLKECMVGVLVRG I203_07325 MTQSLVFPLSSSIPSPRQTKSEFIPEHSSSTLIPSFAGQLPSTN MASLNKLAIRGIRSFDDKHVQVIEFYSPLTVIVGHNGSGKTTIIECLKYATTGDMPPN TKGGAFVHDPKMANEKEVKAQVRLRFWNVNRQRMTATRNLQVTTKKTGALTMKTLEGI LAKTDPDGGEGKRNTISTKCSEMDEEVPLLLGVSKSILENVIFCHQEESNWPLSEPAA LKKKFDDIFEATKYTKALDNIKALRKERTAELKVDKERLKFLKSDKDKAERMRKDLQD AINQENSKQTQLENLKEQLQRVKGRNADFYNEAREFREIFERSESLKDKKKMYEENRQ HALSTMNVMNESTEELQHMLNNFDAHLRSIESKRATQESLKEKEEGILEDLRNKERSL ASKQGGLLANRRMYEKNLREREAVIREVAKTHDFPGYDYSPLEDHKIMEFVEKMHELV RKAENDLKKLQAENSRKERELQAELDKLSNSKASALATKRSKQEQIAKLNDKIRSSEA SFDSITSLDAEISILQGKLTENEEQKSRLETEIRESRYDEQIREKAMLVRQKEAERDK INAELSALNRQADSRAQLTIKRNEVGGKTAQVDSSIATHATRFKELVGTDVDAETMED KIALASGRKDRELQDAEAASAAVNRNVSQLQTSLNIAKQTLKTKKDELSRLEKSVRDG LAAAETEKATVDEAVEEAMEEVDYRRNQIANAQQNKALWEKFAQVAKTKHKCYGCDRE ILHDQERVINRYVQTRIDSYSPEGLKEYKEEEEQWNGVLQSLRKLQPSVAQCAELREN VIPSLEKQVQEEAEASEKAQEEVEEAKTKVQKAKLATRDLQNLKSAAALVSRTITELK ELKSDISRLERDLEGTGSLKTVEEVQREVDQVSNEIKTLSRDQQSLSAEKELKTNALR SAADDISRKTLKMGELRSQQERRMREEQALKEMREGLEGLQAELKDLDAAAQNAEAPW RQKNEALNRYRAERQNSENDASLQVGLYQSSMSEVEGKHRACQAYIAEGNDRQIREVE ASLSDIKREISVAQDARTTIESTIASLSSELSKAESLKGNISNNLKYRDDGKRVAEVQ KELDGLDLESAAKSRKEFSEKYRDMMDEEEEVGGKVHLYSGQLLAMTENRKKTEKTLK SDYKDIDKQFKDQLIKTKVSEHANNDLEKYGKALDNAILKYHSIKMDEINDTIGHLWN KTYQGTDIDGIRIVSDHDETTSTSTRKSYNYRVVMVKNDVELDMRGRCSAGQKVLASI IIRLALAESFGQGCGVLALDEPTTNLDQENINALAEALAEIIRERRKQANFQLIVITH DEGFLQRLAAYDVVDFYWRVSRDASQKSILERQRVA I203_07326 MPHADSSYVPSTITTKSEFYKHVITHLEALLEGERYWVTNLAQT SAILYHSYLASSLYGGGSIHPVVNWCGFYLHPPSSSSSSSTPSHSPNSPLLLGPYQGR PACLSITPIKGKGVCADGFLSQRTLIVNSVDDYPGHIACDGDTKSEIVVPLRNDHNLV IGVLDLDSTLLSTFDEDDQKGLERVVEILRTGCDWS I203_07327 MASLPSPAGPSAPQWRSFTFFDVDDVKDNDDLAQSPRSIRSLTP PIILTPTSINSPLPPSLIVSSSTHVTLLNRHFHVERTFKAWENNGRATALLEAGGLLM GIGEEEGSRWPVLKIWDLTRDEKRKTAQKDNGNGGSSDRERERGPVLVRNTRIQHGQR PHPVSSIAITSNLSHLAIGLGDGTVLLYRHLLQSLTTSPTSLTALPKARVIHESREPI TGLGFREPQEPNGTGISLFIVTTNRILSAPVSGKSSSSETRTIDELGCDLGCAVMDSQ RKEIVVARDEAIYLYGNEGRGACYAYEGPKSSISVYQHNLIIISPPFYPSANSASATV RHYVSKQANTTTNSDGVSTSNSSNDISKVIIFDLQNKLISYSGTYRDGVREVFCQWGG IFVYGGNGKLTRLSEHSTSAKLDVLYRRNLYTLAISLARSQGMGESGVADIHRRYGDY LYTKGDFDGAMGQFVKTLGNLQPSYVIRKFLDAQRIHNLTTYLQELHSRGLANPDHTT LLLNCYTKTSDRARLDSFIKTEARRSDTSGDEELPFDLETAIRVCRQAGFYEHATYLA KKFGRHEEYLRIQIEDAGEIGEALRYLRGLGPEACEENMVRYGRTLLNAEPQATTALL IDLCSGDLGKKKVTTPALESKPNGNTTTSAGPAMLSYLGYNRVTGLFTGDAPSGAQSS AQTDGAAPHPNGKNGDGPNGIGTSLESAPVIEDEPSYIPPSPRQYFAHFVDHRELFIT FLESVASALWNQSLTLPLPTKTTTLTAPSRNIDLPPPSDPTTIDQRAVWNALLELYLA SAKSDDIDLIKLSSEKALAVISNVDLPYDTVHALILCSTVGFDQGLIKLWEQMGMYED IIRFYIDKNDSEKVLKHLVIYGKSESNFGLYPLVLRYLTSSPAILSKRHQDLVKILEK IDEYRILPPLAVIQLLSRNGVASIGTVKEWLRGKVEENRDQVESDKHLVESYRSETLT KKKAIQDLSNVDQPEVFQVTRCAACGGQLDLPSVHFMCKHSYHQRCLSDSEPECILCA RQHSVIRELRRNQTRLADRHDLFIDEVKDSEDGFEVVAGAFGRGLMGKERDLDDVV I203_07328 MDRMDGWLSRYMVASELATLRNDRLDLHLVDTSSTEQVVGDDEQ DHNDNLEIHGIKRTRLTPQAAEAKRLKEQSKIEAYLALEQDVLGRKHRKEYNIESLDQ TTRLLDLNPEFYTIWNYRRNILLGLFPSLSPEEVVSHLTTDLRLTTSYLLVHPKVYWI WNHRKWCLESVPDGPGDTVTWKEEFWKGELKLVEKMLDADSRNFHAWDYRRYVLSSLP TQRPLSDELKYTQTKIESNFSNFSAWHCRTKTLSAIWEKEGTNQQEINKTKDEEFELI TQALWTDPNDQSGWLYHRWLIGSEPPLGVLQIEIKNIKDLYEAEPDSKWCINALAHYT LLLSKDPSTTQEDQLMLKEEARSLYKKLEEVDIDRKERYRDMGT I203_07329 MTEYWVSKKQYYCKYCSIYIRDDAPSRKQHETGLKHIGNVERYI RDLYKTGSMAKKEKAEEAAEMARIEAAAAAAYANDKASGSASPSTSKPSILSSLPSSS SAAAGSSKPKAVKPTDKYSNYSTAEQLGYKEEKTDYEIQQEIKNQIGQPSAWETVTLP DQQAAKEQEQGEKKKWPGEHEEDENENWKFEYNKTANKHKRDPYEDDWDPSSIKSLKV KKKEEKIISDPKKEKEEMDKLGLNRDNWTGRLELNPVSSSTDNAGKDKEGLEYVQGNG WIKKDEDELQDNTVVSGKDKESNQDGTDIKPVVEGVNQEDQSVRTESGATQVVESKPA VGESVGGGSVFKKRRPPPSSRKK I203_07330 MAKKRSGKGVSAGSKASAAPGARINKIDRYEDTLEPGSVDDLMF KRDQISFNPQDESDDDDINANEGEEVLSLDLPKKSRKQLQREQEDQEDDDNEEEVEIT KEQRKEKKNTPDLNGKGRFGKPIESSDEDDDSEGSDSGSDEDSEDENWGRQYYSKPSN RREKEDGRVDDEKREEEREMEEREVRRLQKKAREALDAEDFGFVQDDLSEPAEVEKIA EAEPQSKTIPAPPKTNDPVALLRHLEAHEPVKLALARDFPLIVKKLEKTSRGIKKMEE QKGDGELHKGLGWLHYQTLLTYATTLAFYIHLSSLPPSARGEGEINIIPRLLQLKEGL AMLEDLDFAAGSVSDGAFNLHPRMGLGDDETDEELKEGKMELLKRMQGLNGDEDDEDE DQWEDEMDDADDLWGKEGLEEGELEALLQDAEDDEEANELREMIKLSEKAKKKGKKTK ILEDAAAAEEEDGLDLDLDSIVVPKKDKTKKKSKSKKDKTEGDEKSSKPTFAPLAEPE FFIGGTSSSSSKKSPVYDELDVLVDPTSLGNADYEDKQTRKRSLAFHTSKINSTLARR EQGRQNRMGGDEDLPYRDKRKARDDALKRSQTNQAVGEDLDLDAEDDDTRRSKSSKRS REDEDGQEDDGEEDYYDLVKRRRKEEKNAKEAEHEAYEAEKLAAIASLDDPSHEGGPR ALTRAIEKNRGLTPHRSKGGRNPRVKKRQQYEKAKKKVASQRSVYKGGQGAYGGEYKG EKTGISKVIKSRKF I203_07331 MAQPVEQWITDIPPVTRVWVAASIGASVLVECQVVAPLQLYFSW KAAVGNMQIWRFITTFLYFGPLSLDLAFHMFFIMRYSRLLEENSFSNRRADYVWLLFL CSVFILIISPLLTMPFLSSSLAFALVYIWSRRNPSIKMSLFGVVTITAPYLPLCLVAF SWLLQGGFQVAIGDIVGILAGHTYVFLQDYWPREMWSTTGKGEIQTPAFVKRLFGQAE R I203_07332 MSSTLSYSVSVDVPPLSNVLEELSKHTLKSTEIRRFPNTGTCRI HFEPISLDTTAIIESKDDDMAFRKEVPTKFDFIGRTIMTPNSGTTRMSLSSSSGAYIP LGYDEISLFFHFTKDSICFLSELEPMYVNLQQHEMVLYTKKKVPSLSTSTLSFTQGRR ILVPKTNKLTVQSGKGGMEGAVNDAFAGWLKTLTCELLEGPTVPVNLNNTKLMIALRN LAFTKCNEEGASKSLGWTTGNISEGKR I203_07333 MSFMTQDMPSFDHYAFNLRDGLRRAAVTTLSELEGSINDLGYGW LDGYMEQIMDRQNRAPITELMKTPSRTQTVKKTRATTAAAKERTDRVKGLNAYLALSP NTKQNSRAALSPLQPRSLNVSTLSPTTVVSPKGGASPIKSKPEKAKPKAKATKKAKSK KVAEIDENSPPTSVDNTSTSISTSEKSKSHSSASTTDDIVPKKSKSTRSRSKKEKEKA AEEEMAEQPTVHAMEVEAVPPVVEDELMPDVQVEREPEEGEPIEVEEEAPQTASFEPA AWAPSPESQNVKNGGSSAVPEKESSQLVEEGKEKDVEEAIDEENKEESTEVVNEERSE PQQDIQPEISASAIKDSISPQPHQEEPERKVDPTMPTSFANRTMATGTSQPVRQVRSS WLSKALGSNAVPINALPSSEANAALRRSYASAQRPSTTVDFAGLRTSLAPTNGLKRKS DHGVDEEEEEEKVEEERRPEKTAKYNADLPAPNYTDALPARTPGPTGRPIAPSKTPSF GSIGPVSQPNSLGRSVIQTDNTRSDIHKVTRALDELREKTAAKEMAKQRAVLAASQGT GTGRVQQAKSTGTGFLRGLGSIGAGLLGLGGSDPEEEAQRLARELEEERLAEMEFKRL MSEATKPDSPEPEKEEEKGMEIDEQPESRAQFVRSTTPEITSPRRKPQAPKSPEEEEE EMIEEQSVIEELILVDPRTSMATTQRQPSVPVEEEPQSTTPTGTPTRPASRYQARALH DREEKHAREPSVALQKEQQRNASIKKHETKAVKEKIDARKAREPSEHEDEEMEEEGEL DDLSGEEEVIEVQKPHRAPVVEIKTHRKMPSSSSAAPTPLNMSTSSIVTSGSMLTQGQ NMAAKALGVKPATGPVKSLQLAAAAAKKEQAAAERKATLKEAEARRQQAAKKKAEEDR IRADEERKAKIAEIEEKRRQRAELEKRQKERAEKAALAAKEKAEKEKADRDVQAAKLR AAEEEAARKRKLAAQTAALHKSQNKAASGSSSLQQSQKGKEPFRPTKQATLGSSASTM ALNGKMGPSAFRTAETATQSSTITLVTQNQPTGNGERKPLGPPSRPSQHQPAQPMRTS TAVPSHANSILQQSRVALQTQLDEKAAMIQSEDIVLPDIASEYSDSDDEDRTKDFIPP TWAESPQLRAALEAQAHRNPDELFGPIKPLNMEELFRVKTNKFRARTSSANWSKTGDG LTKAEEIEYARRMGFTAMPSNLGGGSGSGQ I203_07334 MSGLGARTKKVTTYGKKKTQIISIHSDISKPIVPSSPLPSHIQI KTKRPVLQTKLSNDISNLPSTPLPPSKINKAKSKIIEDEVQPISVPSSPEIIFKAHKR VNRPGKVVIPRSSISSPPSASAIKTKPRSRKSIPEVVIPTSRKVKPLGELTKQFKEIS IEDAPLSAAKDISPIEDSQIALDDLLSTCSSATIGQFTNFLEGCQLPEYGSIRKVGEA SYSEVFGLVVAEEVEFVLKVIPLLSSMRLDKTDGPMPDCTKMEDVKREIEVTKRMSQV PGGGFVQYIGSYVVEGVYPQQLLEQWDEYKGTEGSASVRPSALSSTQKYCLLLLSHAG TDLETFKFSQTQGWLQAAGVFWQIVSSLARAERWTEFEHRDLHEGQILIHPSKPTHDD DEKNYLNPSASGVQTTIIDFGLSRLTIPVSDHSDSVWTEIPKEVYEGKGAQWDSYRLM RDKVESEKGMEGWKGFNPITNVMWLQYILTYMLNTKLPRQLRQPNRRSSRAIKTYERE ERAMNILQQAEKLLGWSIDIDKDKKRKGLRGQVEYGEEDRLKSAKDILDWGVREGWVT IETSFMKTESGF I203_07335 MAYTASTRRLPRESIYPAPPSNDFLNALEDCVSATERCSNTLNA GLNRLQVGTSDLPRLTKVMKHKHHFLVLPEPTILAHKSTLSSSLAPQIDQLIAKAESM VEYEKSKVSTLEERLSILQSARTPPINPQPSNPTSLPASLAEETDNKQDTSCKISDLN LRELSILQRKKVMMLKQKRERLEKELERLKSASSQ I203_07336 MKTTQLISLAALTVSLNALKILAEGTIAGRFTSPNTASFTGMAT TDYETCASYCTTKYAWIYYGNYCLCSDTYGTYSSRSPYNPDTCISNYRAASYVKSTTF DFANCATPGSVYFDGEENTEYTTATDIIDCFGQCKTSRYAALAYDNIQSSYVCANGDE LSSQISESCTTASTLVYTHPAGAAVSGLARRNAREALRRSRQAALVQTCPKGMTACVI PGLEGTDAWECVDTHKDLESCGGCLHGTYKNSTTAIGRSCQDQEGVSLGGTTCQAGKC VKYGCASGYRLVDGDCIAV I203_07337 MSSSNDGGGGLLGGLLGGGNEDEDTTTQLLSQTTNTRQAQITAT ATASPEEDDELTSTGIGVTRTANLVDSTGTGVATTSSALFEGDTTSATEATRTVGQAA SSSSAASFNTASGILSSSQSQTGSTLSSTPAISSTRSLAIPSSSSIAISSAVPETTSA DITSTQETATETTPPVIVTISAGQNVTLTSSIYSTPPPTSSDNTSKEAANDNKDNTSI LNTDNKLFPLGVVLVVVGSIIALVTILWPLMKVFGITRRRKRLRGAIPSFVPPERIDF PDESNEKCDGYPSVHHHHQRNRSNSSWDYMPGYDTAPAGGRSITPMEGGNLAGFGVGH TNHQDIIPDSPPAGQQYIPPSQMMQDQHQHPQQQNPFMVDNHNYNNNSRDLVPVRSNS QSSNSSNSHDRYGLTRKGTVTDAYGGMETDVQRNGSVGNGHKLNKSRDWQSGAGGYV I203_07338 MHFQFLLSLLTLTSVLGLSVPSPDQLSRRETLEEAAHNARVLVK DVKTGTLASVFPNMTDLAGRPFAMMEYHAPCYSNGSLTLILMPISRSTQNIFQNPGHH AAYTVSMPTEGVRSPMSRGRVALMGNVTTLRDISSSQSEELAQCYTIYHPDSKYWLPG NDNSPHTSYWARLDIDRIYYVGGFGDIHYIGAIPVDLYAKSENGVQQGYEVKEKDDSE FLRIQNDLNDQVTF I203_07339 MEDVSDRTDPQGAVTIRTTQFITNRLLNRRQFIVTIFHPTRANI SRSELGEKLAGLYKTEAARVSVFGLKTKFGGGVSTGFGLIYDDEESQKKFEPKHRLVR SGLADKVVKASRKLRKERKNRSKKVRGKAKTKAGEPAKKK I203_07340 MSRNRLGSVKASAAEHEQMNSLWHSLVDTLRLLPSVPTNPSSLK SSSLSENERERNSRIIQSEKKHLDEALDKLNVLLALRRGPGTSSSSSSSVPPEGIPGV IISNVKGEPSYPSIGTPTNGVKKKRKLSLSASASPAPADHPSPMPMPISKAGTPLSAS MSTSMSREATGKQLREIYWDQLPLQPGRRVAFRLPKSKSQDINSNNNEKDSQVEVAVP GGGGGDDWILATIKRCIQMDKMRYEVQDVDDGNAYNTTLRSIIPLPDPTSASHLSSHP TNLEDFPRDSQVLALYPDTTSFYRATVVSPPLPGTGNGLGLKTTSKSGKNEYAGSKKG VYRLSFVDDDGNIQEVGKDDVVAVS I203_07341 MAPGRMVTSSRRAGRVTNKTKLLIYKGTDKVDLGAAETIVWESN DPSSSNSAHANSESTKHQHVGAKGVESGELLEHHLQAALSSASLLHSRVTSAKPSSPD GKANGSTLKPETPSTSSLNYHIPTPDATGLVKDDLFAPLYQATKYVEPVNYIRFSDTV EESSGGWGGLGYCMDDKDYKWLHEFNSKQEGSSGTANSPQTPLKESNNGNQPQPPTSA GRGMRAKGKEKEKDKHDGIPTSLFISEDTFEYIMGVLEKYAEDSVPMLHTNLSLLPPF SSVEPLFSSPISASFLPSNEIPKGLPDLKVLSRMARNVHPHWKARREEREGKPIIPAL NYDETNDNDPYVCFRRRDIRATRKTRRTDNYSIEQFQKIQTELRLAHNLASMVVQREK QKRELFKSDKEVWEAKWKLFETKRRWPSLGMTREEEEIITGRPISGILPPPNTIIPSM PLQTSSSTNIPQARKRTFHEKDREERDKRDRQSDHPSRITNDKIPVGSSGRPNIPEVL KERLAALQLRHEELLARRKEADSAWDDCTDNSYQPLPPSAAIQTFRPRTSLDPHYART LNRGREDSDDDDEPNPLYPSAFRLRRGRGGVLRLDRRTPLYSHRTGLPPSSPSDYADW LFPDTVPLPNSSRRPKSIDQVEEDEDDWSQKRRKLNELSRYDVSRGGALGVGMGMEED MDRVVVDDFDSNYIRNRISLLQNDDWEKLKPDVSVLDQAVTALDAPMELPPTPVFVRP QIQNNPQLVAVQLQQQQQMMQQQQQMENFQRLQMIAQAQAFQAQQQQLAQAAVQGQNL PQGQNVPSQPRAPSADASSVASPVVNGQPMPPPTGNGRPQGVKRQSTNAQMAPPASNQ HRPNASASASPTNSIHPNPANGSPQIVNGTVPNNTNGNGKPFTNSPVVNGNGNGNANG NAIDPAIQQRILAARAIAAQAQQQLPSQLNLENMTPDQLAEISRMAQQAGYGDNVQGF VELRNKTFLLKMARMAQQQQQQQLAQVNQQQQQANQQQQQSPALPTGGLNLKLPAHAA ARLGAAGGQQNGANGQQQASPAPQRA I203_07342 MSTPRRSSRPSTPSSSKPTPTPIRTNVHHHRTADELAAEEAASI LHTPTTRLRSAGSTTSIGMPSEIETGSARALRHRRLNQVREATSETREREDSKSIASV SSRSQDDDGDDEVSKSLDVSIQGDIDRQSTSEDVHDYNDDDVNSSPSTALTTGQIFAR PQISTPLDDEEEDDSDSESDDSETDSDDDGSSTASASSSNTSDSDDEDDSDEERMDRL LAAAKAAAIAKQSKGKGKAIADEDEQEVLDFDEDQKEEKKDAPIPDLSVPQLPKPHLS FTQDGKAQAATKLPELGQAGPSKKSIPEGKKREVAIPELDDRPYERELSKREKAAQPR KATTSELWASIPTPRSDLLPQMKRDYQALALANSLDPKRFMKGGSKSDKIPESFAIGT MVDVPRHVQDTTLTKERKYRAGQVVQNLIRDDSTGEYAKRKYGDLQWNRMENGRGKGW KKRVKW I203_07343 MTTLQLMLERPSLPSNAPSPTATAPPSPKSPPGSRNVSISVPPL TIPSSPTVDQPTRFRRPTITSKHKPSRLATNNRGLYETQKLLSHLLDKLESRDAAPDL LERAAISAREISGRNKGKSKGKVQRIGNVIARAAHHATGGVPYTSASSSILSPPGTAT SSSLGPGVMGIASEDFEDIILAEGEIDTEAIYDLVEQTRGLLVLAEKQGLDFFEDEHG GARPSIPGVPVLDSTPIKNKRKAGRFSSIAPSGSIKPSFSLSSDKTDNQKQEDHHPRN SHDNSIAGPVLLARMLHVLQTLLTVECLHRTHSFRPLCPPYALQAACLDIASYLYTKS GMDTKIKVVGMVVDGFYGMSGMLEKVCEWLEGKLGELLIRLAKERGGPGKKDQVEDMG WTDPFSTKEAPGKAVPTFAISTDSQDSLPPPPNQSTPGWKRYSPTSPSFPFFPPGDIA GVLSTHATKDASHTTIVIASLVPRILLGLTTMVDLSISKLTTIHRVHRLLTLLLTAKP DSSLDLLEIVAHAPSKARRTALEILSTFYPAVVGHNTISRRLANTTYAAQRAKWETGQ ERALGEDDDTHYFVPWRYSSKEDPEDTCPKCGVCESEVHGFIVRCTMCREYRHLHCYH TGGVHTYDVITLSSDNASTPHQMAHVKFSPTPPRLDEQVLFGSTIRGNSTSTKRRVGQ HDLVLVNLFNLTLCDACNEPLWGTTAQAYSCFNGCQRFFHSKCLDKMEKDNRGQCRFG RDVVIDEISSQGSNPFVIPLSRFRHSFNDISAQLCKGKDELQRRTYDEIAILWGDLWI QYQLLKNGLSSGSLRITDYDIKIEPDLLSMKSTLKLYEEQLRSRYSEASPASSDFTHV IGTEKPLGCGYLFSDKFLTYVIALLRAPSSTNPMASGTQTPSDVLLTPLGLPAPNEEM DGNEGSYEMLPLSIISSSLSSDLGISDQYISTLFLTQLRSIGLITINNKLSITAEDLT SPNIWAGFNLPLLIDNSPTIELLVSTIEVLLDDLDLSLNEQGLRLLAERCWPSGLCSP YALDRLGKSVVNWIMNHDDSLLQIVKHYASKHRKLPGLQISNKNTNANQSSVNMYQDV RNGLMDKYAKRWLRALHDLDPIPYAAMVYEECKNPLAAGKLNYDSEESNDQLASKMAG IALERMTSVVDAEVIFSTLMELVTAWLEDLGALADQDVVYRSLPRLLRHSNSSPSSSF DQTDLFSLSLSVSQSGPEGLIRVCRWLRVLSFSGVEIPWNVLIDLVELQSTTQSEVDA RLDLVIAVGANGMIMDPEAFAGLCAKVGKGIFTDMGGQGAEYCAFRLDLIRQCMVLCL RVYGVSLDDVARTSLNSGELHTKQPASMSKKKRAPSGRTVFSLDKEMVSSAALILDRP NFPREMLLDFLWLLFTKASMVDNVDGFIHRACSKLYPVIWPLVDVTVDRKSRTRVLLR MLSVNSNSLEKIVREQLDSGPEGRAKVRERLLTFILELSDSSLVYELTSWQSSAVGLI LLLFDVLTDTSEMIPDNLVILQCLLPAQLQAMSSCFEDYLARHSDEKRLILLTRLRRL RLNLPTWPVISWTKIEELLAEEVASITQLRPGRASQTMNALMDSQSVRCSLLSLGLDM LSSGISVPWMIAQRFQQHVASCLSLPWTNPIEGITSLVLPSIRAVLDSSARITISGQA FESKAKKTALVGALFVPIVIDLGSELGKFEYLTQRTLLDILMVTFFKQDVRTVELAAL SSLQTLAQFVATTECTENRLLALQILQTAPVRMDRESVIRAVPSVFATIAGVLVKENE AEHSDSAVLEQSRVFLRGIIKSFGRSGLFIQLFRVNPATYKPHESSSLGKALQLLHAS ERTLEGQPSIFDNVFHNLSDVLKRGRQTVDQVLVSLCRFSESLHVELGKHVAEYDQHE FDPNPALKSCAAILDLVQPIASTTLLHQISTFLHLALTRFNVSHDVLSTLLAVSERVA RGQSTEDTVRTVTFELAGSIVHGLNVTPVTLLTLLNFLAVNAFPDHDLDARSFAQQKR VLADSAPGCTQILLRTHPTFAVGQLDADVTLAIMMKTATVLCKAEMIVQGIISQNLGN IITEAASTQVNLFVFLLLSSLDLQMGPARKRIISLYPLLARATSLCLRASADFLTLQD TSGDGAELLSVVFVVFRSTVLALHDSEAGSSTLMSNMEEDAMDTFWCRIWPDWYRLLT ISLDSSCINGPLKAVSHSVFLDTIIFLGQVHSSILTRHSGTLSHALTVLVKYQESLSS TSGGKMQKAAQVMDRISNGVGIGHISDSDRRTIVSNIKKDLSATERLKALRAM I203_07344 MDQHEILSKTNRILGLPQENSNATVKEGKRSFSFPREAFRSERS TRRGQGSRSVSLIVTKPTEPSVKKIMMKTNGYFVLNGEVEADEAVLPHIERDYARAQD KFRTLLGRHVGLHGPLQTQLVEQGSTEMAPVKVHTLLEGYIRGREIAKGTKTLFLQGP YYLSDYEQEGTEGLAERSE I203_07345 MSTQQSTVTNQDPWYSSKDTTYDREREWTFTKFPKRAYQIGTFD PDGPKISTDETTFDKPDNSAVKKIGLSTTGLYPSSRNLGVDEVRIPGIVKEWTEAREF FKKRLETDPNLLTQLQDASESDSRLYRVITDMTGYKNGKYFGASPGRLEFIHHYYLFD YEKDIDTEKNE I203_07346 MSVIISVLSCKRSNKIVPQTYRSIIDDVIANVKVDFEEYGMEEE VLLNLQAKWEARLLETRVADFARAPGSSSSPEPGEGGGNEGVSPNSTIPTNPVSSPPG PSSGIFPPPGQGLALPGRNLNGGDDVRVKAEPSDDIMRIRGGASEDVKPVITIDSNRP EPNAAGLLPGDDIIDSDLDDSDDELRDDAEGGDDDGDTDIVFCVYDKVQRVKNKWKTV FKDGMIHVNGRDYLFAKCNG I203_07347 MRKQLDPRIPALINNGVKSNHRSFLVMVGDRGRDQVVNLHFLLS QARVSSRPNVLWCYKKDLGFTTHRKKREAKIKRDVKRGIREANEQDPFELFVAVTDIR YTYYKDSAKILGQTFGMLVLQDYEAITPNLLARTIETVEGGGIVVLLLKTMNSLKQLY AMAMDVHARYRTDAHQFVQPRFNERFIMSLGSCSDCLVLDDELNVLPLSKGKDITVGK DNEDDRGRKRKAEELKEMKESLDGVDIVGSLAKLAKTVDQAKALLTFVEAISEKTLSS TVALTAARGRGKSAALGLAIGAALAHDYSNIFVTSPDPENLKTLFEFVFKALDALGYE EHIDYDIVQSTNPEFKKAIVRVNVFRGHRQTIQYISPEDAHVLGQAELVIIDEAAAIP LPLVRKLIGPYLVFMASTINGYEGTGRSLSIKLIQQLREQTRPSMSKQPAPSTVTSNA GPSKAASAGRSGAGLVRSLKEIKLDEPIRYSPGDQVEKWLNNLLCLDATIVSKNVQGC PHPSKCELYYVNRDTLFSYHPASEVFLQRMMALYVASHYKNSPNDLQMLSDAPAHHLF VLLPPIKEDDNSLPDPLVVLQVALEGNISRDVILKELSQSGMRSSGDMIPWLISMQFQ DNDFAMLSGARVVRIATHPDYARMGYGARAIEALNSFYSGELYNFDDAPADMEESFED AARVGPNETLQNDTVSIRDAARMPPLLQRLSERKPEQLDYLGVSFGLTKDLLRFWKRA GFIPLYASQRENALTGEYTFVMLRSLLNNVEQTEGWLTAFAQDFRQRFMNLLSYSSFQ KFESSVALSIIEAASPRLPAALNSPKKTITAEELNALITPFDMKRLESYSDSMLDYHV VLDLVPILANLFFGKRLGDDCTLAAAQQAILLALGLQRKSVEALETELGITATQCLAL FGKILRKITKQLQDIQKASIASTLPAQPNPIQSSNSKFQALDKTIEEDLEDSIPVSDE ARAAQREILDSINMDEYALPLEGDWTNAEEQVKKLVSNGGEGLSTTMTVKYNKKDEQS KKDGNNKKDGKGKRRVSEGEKGSKGKKMKV I203_07348 MSSSSNNADSSSLAGMVRNSYLRNISMFFPDSSTAKEYGARSPF KFASRPIAQLEGDSWTKDWYGGVSNWTGVRNSICLIPASKERQNDNRSSNPIDKVELI SEWKTIKPCALGIVDEADQRKLGKGDASITLKSKLVVDASQFVQHSGSLRENPSLYQP VGSDGPIRNDKFDDFANLKRSIPDFIRIMNHLNFHRKEWLGEKIDLSGVENFTMTTAS FNLSKERDCPGDNLTEVTFSWSESDSDRRIPVSGKEVLTAEFQITNCQWW I203_07349 MSASRPKSKKVIPKAQPSTHPKLTPKPNKAPVIHKSPANGVRRH VPPPSAEVKSLMDKGKGKAKETSAPLSVHTISSDEENEDDDFEEVPIPSAAGPSSPYP GTPTTNGNITAGTTPGTNTTAPSIDYDLEGYGEDSDAESGEGEDDGVIHLEIGGETAE EKAKRIALALRKKPMTSKDRAIRLEIHKMHVIALLASTRIRNKWCSNTLLKARLLSLL PHPLQSAFNIPPSRFPDRAQRSRLFFDALQDLVTWWSYSFFDVSDPTLGLRTRPWDEI QEIIDQLPKLTRADLTPGHYLSATKEKVKEREEALEKMSVGAGAEKLRSVNSMMKKAL QQEGSRDISAQLFVALARACGLGARLVVSLQPVPWRAEKVVSKKKPVGAGRGGRTVAS RQGMGPTTDEDEEDEDEFEEVPIPGEEEPKERKNNVRAAGTRRLQDPSDLYRLRQPKA APQTVGRPSKPKAKQKQDLSEQPPVFWAEIFSRSDQRWIPVDPVRGIIRKKTHYEPTS DSGPIRMVYVVAYEEDGFARDVTLRYTKNFGSKISKLRVPVRKDEQDWWESVMGYLTR PYLLNRDKVEDAEFETSQISEAMPQHMSGFKDHPIYVLERHLKREEIIEPRAKLAGKF RGEAVYRRENVLTCKTAETWMRVGRRVKDGQNPLKMVKQRAVTLQKRRAQELAIQETG EAIQQGLYAEKQTELYIPPPIKDGVIPQNSFGNIDLYAPTMLPAGAVHLPYKGIAKVA KTLDISYAEACTGFEFKKQRAIPVINGIVVAKENEELVMDAYAESAAAAEEKERMKKE EKALRRWAKLINGLRVRLRLQAEYGTGEQLDESSALNPLADPSMDPSDVQQKTKKSAA SVLAAAHQQGTAQWTERVKDRSPSPKSESENEMENLPLTITATEDVVPPEIIPQTSED RIEEAEDVKPIEIDSDSKLEAVQIPPPSSGRTTRITLRLNGQNGNGATTKSRPPRHAS VRSTRKRKVQESEEDEAQSTEEGEEMEVAKPKRPARGKGRTSTTPAKRARNKVVAAPS TEGVTRSLRSRAPKSAQQAEEEEGKRKRLQEALEEDSDVDME I203_07350 MASTHSSTSAKDYGSFKLLQSFPIEYAPVTVSKWRSEKTGLTVV LGSHQAPVTNGYFAIASEIFDDTGRPHTLEHLIFLGSKKYPYKGVLDSLANRAGSNGT NAWTANDHTAYTIATAGSEGFLKMLPVYVDHILHPTIDDAGFVTEVYHINGAGEDSGV VYSEMQGRENTSYDLMALEIQRTLYPPTSAYRSETGGLLHKLRVLTAQQIRDYHARYY QPYNLCLVIDGAVSPEELFRVLNEQVDPLILEQRNNQPKITPPEDWQRPFVETTTSHR LSIPESVTKVVEFMEEDESVGEVILTYLGPPPIDYFTNTALKLLGNYLTSTATSPLSK EFIEISKPLCTSISFDSADRVNHNELQVGITDVPAKHLNSIGDDIKTKLMKIVKEEGI DMERMKRILRKDKRKLLDYMESRATDVLADVVIGDFLYGEKNGKDLPVAFEDLKEYKV LESWKAEDWISLLDQYYVAAPSITVIGKPSAALSEKIEKDEKERVEKRKAELGEEKLK ELEKRVEDAKKQSDVPPPTEMISGFPITDPAGITWVPVETAINNAKGQDLKVDQGEVQ KYIDADGAELPYQAHFSHVKSNFVIVSALFDTFGLPTHLAPYLNLLISSIFSLGVNRA DGTQLSHEQVVDQLNDLTIWQQACFEFRGGFAEVLCVHFKVETKRYEEAVAWIRDLMT GVIFDKERLSVIVARLLQELPQEKRDGSEVAVAWANRLAYDETISSAQKCELLSRLEF IPKAAELLEKEPETVIKALEELRSKLIDPSKIRVSVRGDVLGLDKPRSVFERSFLPFK EAKPLAPISTSGQTLSELGKNPSKKCIIVPMPAIEGSYSQHYAKGPSGFDNSDLPALR LAASVLNAMESYLWKSIRGSGLAYGAHVAVYPEPGLVGFTVYRSPNAMLAYEAAGKIM QGLVDGSIKLDQDIVDGCRSSMTYDFARRSETVLDAASTAYLNEVLKGIGKNHDQEFL KKLPSITLDDIKNAIEKYFLPLFKPETAMGAVSVSSSKAKEVEEGFSKLGFEVEKREL PVLKGDEDEDGDIEMGEENGSGSESESEGTEEKQ I203_07351 MSRHDHFSTRAIHIGSEPDPSTGAVVPSLSVATTFKQDGINKLL GGYDYSRSGNPTRSALESLLTSLETCPSQTISNDARHDASGGESLVFASGSAATAAIA QWVTLSEKEGGAGGKDGNGHGGHILAVNDVYGGTARYLSRASRPTGLEVTFLNMEKAG EKGIREAIRPDTELIWLELPTNPLLLVPPVQLISNIVHSLPSDTRPLILIDSTFLSPY YFTPLISSNGDHPLADITMSSLSKYSSGHSDIILGSLTISPLTNKVRPNLLKGLRFLQ NAMGASPSPRDCHLMIRSIKTLSVRMIKHGLNALRLSHWLSTQTDLVERVRYPGLKTD ESFEYVQDLISKNAKKELSYLGWKFPFPLKTSETEGVEEDSLEFTRTLGIPFGGMITF AIKGVTAQQAEKFCTELRIPILAESLGGVESLVEVPYGMTHAHLPQETLKELNITPNL IRFSVGIEDYDDLVEDIEQALRAARGQVNGNGHA I203_07352 MATANDCNMNIACILSVSALGFTLHHAWKFDRCRCLLPKRKEWF RVLLTWMLIGSTVMIIGWAVGWTLIKYHLGWTTIPPYGAMPFPPAMYEQKYRDLNLPY TIIFNLAFSIQASLNAEEGLYWYHLIRAVRQPKSARSWLTSSFFYAWIIISIICTVCQ SGLGWIHSGELDLDMQMSRIMTVHGCVEFAVVLSSSVVIWKFPAFLADVKASEANKIR NFFRAVFAICMMILGIDGLTDAKRVHFNKAANDLNPSQDLLTHIIFGSFFFVLIISTL LYLPRSWTPDNETFRNQVMVGNPDAQMRGAIEGGNLASGIALMSLLREGGQWDNDDDL RGTNRLSRVNENPDQPRFGHLEVPLPDSGVRDEWRNNALQKKGSWDSEGSELGMPMAL ENFTSPIAIHSAESVSPSEIKIRIEQEVTRDDMV I203_07353 MAPLNRSYSLPVSLSNPVSRLKALSGAASRDEVSKNQRDSVKSP LSTPPLAAVIEDRASSSTYQRPSPESIESAPLEEERARRRFRRPKSVKIKEVEEGKER EHELTIPVPNQTYPPSSTPFSTSTPLPLDNQASNLILGAIDRGRPGQISPSSSATDLN KRSSGAFAALGLKAASIGLATPSRVPDETGTDTAPTSTTSTPKASFLQPSKPVGGGSS RGPRAPSPFFRARKSREQAREREKSPEVKALKKDNYGAESEVEPETEAESVSGAPKKY RPQASAYVDDDSASDSAASQSDSESQSGDDEDYADIIDEDGEVIFDEETEKNTEANAV FFEGDAAGLGGRSATGEEGDKEDTNDPEVPSISPRDDDQLDFFGEEVEQDPLGEGPNV VVPPQSLFQTSSLHQPKRRKSLRSGIELVTSRPSFARDRCTITLTHGDPDAALEASGK RLRRYVVLSDLSEESRYAVEWAIGTVARDGDELFMISVKEDESKVDPKAWSNSDRAQK LRVQKERQTTALLLVKQVTGLLQRTRLNITVTCQFVHAKNARHMLLDLIDFLEPTMVI VGSRGLGKLQGILLGSTSHYLVQKSSVPVMVARRRLQRPLRKTNPANLRHSPRVSLAS ASIEKTASSKQEDEVMDVAESEKEDGKPTSASTSGPNPPA I203_07354 MDVIQQIPETKAIHDFIKDQLDNATSPEHRSGKSDEPPLPVRLD SPCRLSNTNLFILGTGQVFLWGLTSASILETAFALPVIGVELDLTPGEVQWVSASMMS TWGCFQLIAGRLCDIFGRKRGYLTGCLGLMLTNIISTFMPNLASLSVFRALAGIASAI ALPASAGIIGSLYPAGRLRTLAFAAITCGGAAGAAGGELSPRWTWRPCFFLIGILTTY PLAIGHLIIPLDPAFTTDKAVDWLGACAIGSSIFLLLLTFTLSETEERGWKTPHLPAL LVASVLFFAVFAWRQRQLSRSAKNPYNKKPPPLIPLSLLSVKNRNLVVIYIASACTWA MTDSFFVFASYLYFDVLNLSPFQAGLRLSITFFSGTVAALVVALTSSHISPRLLMTLG CLISVVIPVIFAVRGLDWAYWKGDIWALLVIAYGTDATIAAGSTIISQTASPEDQSVA SALFQTSCRLGFALGLAISTLVQTSVEKSALSSYAISTGEQKLNALVDGLRAAQWCNA GYVALAAVLVGFGMKGWQRLDHHTASNDDQTDLHREAD I203_07355 MSSKMGVTTLVVGMFLTGCANSLLSKYQDMECVEDCGPDAPGPR LDFEQPVWQTLNMFAGEFLCFIPLLLSYHNRADKVIAPPQASMFTRILARLPLGQRSG PYEALDEEEDENQPLIASVSEDKLLTGWRVCWMWFPAFFDICGTTLMNVGLILTPVSI YQMSRGALVLWVGVLSVIFLRRHLWLYQWAALVIVTLGVCLVGLSGSLVKKQLSDPID LLITLAERPDDDPARVALGVLLILFAQIFTASQYVVEEKIMSHYKVEPLAAVTLEGFF GLTTTLIGMPFLHICFRKRSPYFDIPRGWHQIISTPTVYGSCFAIMFSIGAFNFFGLS VTHRVSATTRSTVDTCRTLGIWVVSLGLGWEKLVWPFTLLQVGGFAMLVYGTFVFNGL VKPIIFPPPPSIHLPHEPELEETSDVPAAGAQTRAGYDVVPDEECAR I203_07356 MSQSGPPADAKQAQAAALQELEAAQKKKRAIDTNLANLEHSIYA FEGSYLDETAASGGNIIKGFDNYLKPPPTNVNKKKLEVSEADRLFSTSSGTYQQSLAA KRQQDQSAE I203_07357 MPNQQVRYASAHVVAGNPEKFAKARGEYVRTHFKNMREVAAALS GMNLKKAYTYLADVQDHKQVIPFRRFAGGIGRASQAKQFKTTKGRWPEKSVQFILRLL KNAESNADAKDLEVEDLIIKNIVVQQAPKTRRRTYRAHGRINPYQGHPCHIEIILSTP SSEVPRAKDLDVTSSSKKGKTVAAIEA I203_07358 MKGSSGSALKALRASVVVRTATRPLSTSSAITQAESSTRGQVYP GESAEQAWKRNLNEAREWRRRRDAQRNSLPLFIPQSANPPPRPRSPTQATLSTLLASG AALGHSHSLTSTAYTPYIYGKRAGLSIIDLDQTLPILRRTAALVRDVVKADGIVLIVG TRDGHKKMIHRAKERLEDNGYAVNDWMPGVLTNSETFFGIEPMLNKSYKPDLVIFLNP SENTAAIRECTARHIPTVGIVDTDTDPRIVTYPIPANMESMRTAELVVGTLSIAGQEG RRLRLKEAERRATEQKGRARRDRR I203_07359 MSADTDSVEKRYLSLHERYSVCRRNSTFPAVVVVVIAYSKASAL PSTSFLARRITELQQHFPLLYASVEGARSTKPYFQSRKEVWPPSEILFHTTYTPSSDA KEELGQVFCEEPDVLAKKQDFYSGPSWQVRIHTHPAQPRAYLTLAIDHIYNDGRGLLA LLGCLLADDISSLPYEKLSSIPRVEDTVDMKPSLGYFLPIVFDKLLLPKLPNFIQSYF KKSPTWPSTSIRQGPIDLPAGQSIISIPLDLLSKLKIVSKEHGIKTLHGLLKAIYMVG VWSVYRHTLTPFIIRGSTPRSERDSALGHPLCTGNFVSSHKVDIPLEGNDDFWTVATK VSNHLIDPQSIKYGRMDMGMLAWVPDGEFDPPHEDPRRVTKWEDFFLTQAYSETPFNE SFSFSNLGVTKLPQGAEDLIWGQEASPYAPPFNVSLISHEAGLRLVTVWKEGSVAVEE EVKRMETVFEGVLRKLVEGVEDTTLASLTKL I203_07360 MAAPRRAGGQNNYSYTANTANNKQAQLTNAYQELAKELGTDKLK VVGGYTLGRVIGEGTYGSVHIATHRLTGTRCAIKKIPKSFTPHLTREIHHHRRLHHPS VVHLHEIIATESHIWLVTELCSGGELFDYLVERGRMLEGEARKLFGELAVAVGWMHRQ GVVHRDLKLENVLLDGELRIKLGDLGFVREWQRGRLMETFCGTTGYASPEMLAGRKYL GVETDIWSMGIILYTLLCGGLPFDDDDERVMKEMIMKGEYEEPEWLSEEARSLIRGML QQEPSQRLTIEGIFNHPWFRMTIVDRIQGHAGDSHSLPPSPLPTSPGSGDELFAEPFM NNGSSSRLTPHMAQPSPLSLHTTTVPHVESEPSETSVADSEAGTGTTPPTTAEEDDGE DAEPPVHRVNSSEFSATEKALELLHPNSSQSTIRRPGSASPKSGSLVKNRVAMKTHLE GQKEEDEDGEVDDVAASLHILDDHSLHLPVAQHSRTPSRTKRRSVSSTMSMERRHSHH SMSGQWQRYHPEDYLTKLNEERPPPFTTPSEKYLLNQLNDMGMDTGQLRHSVESDACD SSAAMWWILRAKQAERGETDDVIVAREATAAKKREKAAAYAREERRKAREAAKEQANA ASDSLENRSPAVTFKEEPASIPVTPSFTIMDLGAPITGPSQPFFASPDNLPMSSSSSA IEALNATNLPPFELKPSPGTLTPHSQPPTTPPQENPRDLLSTPDASPARGRDEERSTK RRSPSMSMLQRATSAWVGNKKTEEKDRVQREIDSPASYKDEKRSTSPSKLHKPPPKPK TLPKADVEHDSLLRPSPAPTPPLINTPLSTPQRERPAPSQRLGAAVDLTEELPASSSA GSITADQLVAGPSKAIKGSKRDSLWTTFRHLFNEDKRRRKRDIPGSPLAAEVKAAPSV VLSRGPNARAPHINRTPLAVPGSRRTSLDGRPAMHSRRSSSVNSRRSSFDGHNLHDPS ELSGLYRRTSQRSHGSQTPTSDREHIDFPSRPGSAHSLQRGNSRRSSMSVRSPSLISD NASGRFKNGAPASPLHNYRRRAPGGSDSSRVRHFRVIHDNQSLRPSSIASSIKSNASS RASSAEGRREKGGGDDVDDSGRDDASSSRSQRRRRESKSSLAHQIHRNRSPLSAQAKK GPIRDVFQKKQDDDWISEEEEEYACGLGQIGNSRIAGSSNTMWINGSRATASPTSVNA SMKTSTPSTKRRERGRRTSLEERQENEKGKNKEPTGLGIGLDSTSGGGSGGGGSRARR GLPPGRSAAPVIEEEEEDEE I203_07361 MPVTLTDLPIELLHHIHFLALNPFLPCTNHQLHSIFHRPSSYYA ATYLLRLYSAYGPTEILTRSLRHLICTVEVAEEIRKIWDRRRGYVEPPILSSETKRRN STPSIEKGKQRRDRSVSRSPSPTPPPEPTAPALQCTEIPRRLFRDPFDPGRPIHPLIK YLFDKYQSSPNSHKGYPLFRAILTSNYELVTFLLEHGADPGIKDCFALDIAISMKDLK MVKLLVERNPSETTPSPVKEGSKNGKKVKLGDRVEIGTRMVEKAIEKGSQEIINYFVY EKKVMPPLHSIMNIGKTDRNRSQKRKRQMRPALPTEA I203_07362 MRSSIRRANLPRQIATSSRGSSLIRSSAVLPLRSTAPVPSQLQT RSFFGLFKKKATPTVFEPEPPLLSQDDLFHPLSQSPFPALREKADRIKSVSLCPTSFE KHHERVRPAFDCPDCGWPTHKSQERWEEGKEEHKEYCGRLREVNEDEHDLRSGRKMAE FENMPEEQPYESAINFASWDTLFFTRNFLSIDSDRSVRHVSKILTYPMTIAGVLHQNG PFTSGNGRITREGRRSMAAVHSILHLPPGATEGTVPEKPQPPFRLFLLGARAESTLPP HLWAQLCWLFPRTNFNIYFIGPEVGLPLVSAEQRQKKEYAFSEEGGWGVPSYTLNYNQ RLSLTSIRAPYEQIHEQFGPFDPYTDVFFAFSPGFGFPHQPLLEKITKGGKGQITFDR AEEVNKSEAGATAEDAANAYSPAEVDGGESKDVPYAPPETLVQAQTTWRRPLQQILET KCPFFFTAFSPLDLQRDVSALFGTNPPSASSPGSAVREFPDYVALPTGPIEPIEGVTD EFELVLTPGVNPFGSLKWEIAEWDVRVGVKTNWGTWGIRGKKYDVVNGRDRA I203_07363 MTSQGSVPLAPRKAEEDVWRYPRPPALQRTPNRLRVIWTSSEGE ETVIADTTEGYRVLETSHPPTYYLAPSSVKVPLSKTTRQTFCEWKGKASYHTFDPPSS SKGIENRIWSYPRPTADFAPIKDYLSFYASTGKTEAETGGRWKCYVDEEEVGVQEGDF YGGWITSNIKGKMKGGPGTWGW I203_07364 MVFHPFEVSAAHLAYTFLGGFVVIFGMLSLFLKEKLYIGEAPIA TVLGIIIGPHCLGLFDPAGWAGQKDEITDEITLEVTRVVIAISVFAVGVELPKAYMKR HWRSLFFLLGPCMLWGWMISALLMWGLIPGLHFLGALVIAAGVTPTDPILAQAVVGGK FADKHVPTHIRHLLSAESGSNDGAAFPFLYIALYLMLDKSPGHAVGEWFYMTWLYTIV LGITIGVLLGFSARKFMKFAERKRLVDRQSYVAQYVSLAVLSMGCTVLLGSDDLLCAF ACGCAFAWDGYFNKATEDAVFSNVIDLLFNCAAFIYIGAIIPFGEWNTPDLRVWRLVV LAILILMIRRLPAIVGLYKFIPDIKTFREAIFTGWFGPMGVGAIFISTLARTSIPEGD IEKDTAQVERVREVIGPITAFLVLSSIVTHGLSIPFFSLGRRVHSITYTRSRGLSMDQ RGDEPAWTTHARRILPGQQITINRDDDPEEGDMGVRRRDTHHDGFNGEKLESQDSGGS SSSRTVLHGEDIEMREQYQGKLEPQQEEPHEGEAAELGRRHSTDSRASGTRRTDDEQE DEEARGDKEIDDKAGERTPPLAEYREGHHLVIERKKKGSEEVEVEVIRNHFSDDKPSE KDTFVHPHRLKSKELDKMLHMLPKSLEHATSHVRDEGKEAVDRLGLGLMRGPSTPSVL ESDNHLEQSISAQSNQAQENDNEEAVSDTEEGPPAGNYQSYNKIPAINVHSGSGSESK SKRKSIAARLFGRKSNDSENIPSKAEEGLAHPNPTLLVPPSKSDISRPVPIVSSPEPI DEGSRSGSFGIPLTRTISASRSPAIRFAPDVEPSSETAPTINNYGANAPGFKKNPNLA MFRSASIQSTGSNKGGEGPSVSFVEPEKKR I203_07365 MNPYNKDRPPPDYPPYQSRRQSESGGDMMMGGHYSAGGMHHPAQ TQDDVDPDLQNRLQNLQIDSPQPPYHPYQPPPEEQPYQPFNQNFYPPPQPFQYPAYSY SPYPDGHAPSPINPAQPFGIWTSPPISPAVSSTPFRPPPPGPPPPHSRHGSAGDYGGF YRASYSGPPSAWTSPSMPSTFGFYTPYQQQQPTMEAIRPPLTNEWSQSPPLRRNSRQS WSGPSRNPKETTLQEKERERKAYHPQAPARRSDWVMWVGNVPSNTSHEELWRYFNNTI PAVNDSEADSEPWRGPSSIFLISRSSCAFVNLSSQADLDRAVAFFNGKSLRPWDNRCP RMLCRVRRKDDDLRSGVGAQRGTGMHRGWVKDQEPKLPRQTSNASVSSANSVPPSPAA LEHPPEGEGRRRESIIKEGNPLSKHQSSGSFASTNSSFLARHFPRRVFILKSLTTAEL EESVQTGTWKTQRHNEPILDQAFRTSQEVFLIFGANRSGEFFGYAKMIEPIDKERAKK QSTGVSIARKSTTNIDGGESRPPFFLTPSQSHLASSSPGEITPNEEAKLEHAVGWRRT DPSDIRNKPHHDNKSIQSAPEFRAQTLDPKALQHDYFPPVPINDEGEADHQEYLGGSE RQPTLDDQGVLRKDTVLTPDEKAEREEEEAHDEFVEESRGHVFRIEWVKVGSIPFNKT RHLRNPWNSDREVKVSRDGTEVEPAVGSALMAEWEKLES I203_07366 MAPPSVTLTAPPHSPSRSRSISQPIPEDSALDNLDTLSPLKPSR STVFRSQSSNNMSRSQHASGNSNQHHSSSPSLRKPQGRARSSSLVTVTEVGGDDPDNV VDRLGVGNNENAEWVNAPGSWVMHPLLILLAKMLIDAIPGMTQDVSWTIVNLGYMSVS FLMFHHATGVPFESTMTSAGAYDDLTLWEQIDYGAQYTPAKKFLTSVPIGLFLISTHY TRYDYILFVLNFAALVFVLFPKLPILHRLRFHFAVPDNDSAPTPLTSRPPSPFMDKSS KLSS I203_07367 MSTICRSCRSLAFSKRSFAAAATPRPARNPKSLANKRTPLPRLG RQAPEQESLAVLIQRLARLKSENRRPRPEAYVAILRAAGDFSLSRTAHGEESENLGWQ VAQAAWEDAKAGNVELGPEGMEAFLRFSVIYPHLLQSFLLYTDSKLAGSYEGLARAAS INGNLEHLIYVINDLFVNDIPVPLHTVKTAIRSACEWGCPRLALQIAEKIENDSAVGV RLDQSAWVDILIASADAHFLSGAETAWYRVKSSYTADEGLILSLLNAAGRWGRPDFAS TILESLPVAPQEQHLAPLLEAFCNAGEMPNAFQVLVSIREAGLTPTMATVQPIVSVLS NAEVIDQAFYGLEDMYKAGQPIDITALNALIDASARLGDLQRARATQTAARDLGLTPN VDTFNLVLSCCISAQHRPLGDTILNEMSSQSLSPNAPTYENMINLCLTQPKYEDAFYY LEKAKADGFKPSYAVYNSLVRKCITTNDSRWRLVVDEMKSIGYRLDNELHEFINSGGK PRRAEKGRRRSEESKRSDSKGKQRSERGE I203_07368 MSSDEAFVSTADANVPETNLNVAAEDRAAEESEATGTISKDEVE GLKDSIGGGEVLDDSEGYTRSSNKDVSPLKQEDEVDAAVADLE I203_07369 MSRRSSQGYIDQRSQDTVPYTDAEVTHQFDDARSDASGSSIEEE SEEGLPFDDLSDGHSEQNVGVDNEGNLEEDEEGDWDVDDEDWELANGDFTKQYNRVRQ QHAATTGNAPLPARNAPSQSSSSKIAKSNPLAGVGVAMNPKIAHEKQEKDKSDRATQD QVLDSRTRLVLAGLVNRNIIGKIERCVSTGKEVSNSTREKNLLTVQANVYYALPGVAV KIYRTSILIFKSRSNYIIGEQRFKGEYTSSKNPRKMVRVWAEKELRNLRRLVQGGIRA PKVFDCKENVLVMEFLGDGDNASPRLKDAEIEADRLDILYAELVIAVRRMYQHCHLVH ADLSEYNILLHQGHLWIIDVSQSVEHDHPKAFDFLRADLQNVEDFFGRRGVKCLGLRR AWEFTVTENIGPSHEEELSADGDDKLAAIVTEWLGQPSNKTDDAVFLSSYIPRTLAEV YDPERDVDFLKRGGGDDLIYAGITGLKLADKTEEKAPKEVKEVRFEDDQVEEESESED EAQDEQPHKSRGFRHEDKDAKKERKKALKEENREKRKNKMPKAEKQRLIKKSQK I203_07370 MSPLPEILFDVARNPFLAVGLPIGLGMASGFATGQSSRSNWFKN MTPPPGNPPKEVFGPVWTILYGLMGYASHLTVRAFDSAVTPSGTAQADQALQLYYGQL LLNLLWTPTYFVFKQKELALGNILALFGTVTAMTVKMHNLYTPFSTTWFLAPYCAWLG YATYLNAGYVFLNRDRK I203_07371 MSRSTFDLHANYPPSPPPSRSRRPPPLRVNTHSTAPSGSSIRSA GHSPVKPGFSYNLPTSIESPSHSQNRARPGGLAALSGDVPARVRSPPVTPGSRAHSRT GQWSRRGSNESNLPPAVVRHGSEQGPSRSRKDSGVQSPPLASVYSGREGISYHRVEGV RSPNPDDLQLFAHHCRLFYFSPTPPEESSSYISSTLASLPPSHRAAYTRLQSSLRSLA HLHHLRLRISSFHALISSTVSSASLSPLSRQDLVSPRARSERSEKAKKFISTWCTSKT GGVEPFFRGLWGALRAQSRGDVGRGGAGSSRVVWEIDDAVFLESGGNEFMHEVVTFIK GVLGFEDQPLTSPPKLRRIRTLPRSYSETRSRPPPRPSPHKAHVGYDSQEELAASPPD RSRSRTSDSRSRAISDPFTDARPHGRGPAPPPPPSRRHASTSATLNAQTIPNPLQRGS SDDMVPSPNPESPLLVQDLAVEYSANGHNRPPVPPRISEDRVHLLGRRAMSDTSDGGF GSFSQAAVQPLEEDDEDEPTEDDIAAEEADLNKPRFRLWIFPAHISDQESEHLQSLFP RFIGAKGDVRFPFVRPGHGVKAMEEARWEAIAGECGLEVIREDPDGREANGFDSRDGG EGCLEWVSVIR I203_07372 MAEATPELQSSGASDEVTEIALTDLSQVLVELGDHPDNVPLIRR QIQLMFRLSMTAEILDAYDRLSSLVMLDEATWLSYFDLKIPSCQQPLSLDAFVEILEK YDQAEQDYISAAVLTRHIQFVLSCFHAGGPEDVANSTTTMVDSDVTEFLSEDTTRNMI KALYQRGEGLLDQSEEIWQIWLQWELGLLQNASIKQTQMEVIHTMFADRVKIPHTNID QTTSAYSNFCSQYCPEEYEVRMVESTESSRAAKMKLSEKRYGKTRSDFEEQLAHTTEL NAQIAVLLEYASWESDPRARNNARGKGPQPDHELTQSVYERAVSRYSLASGQSQAALD AAEESLRHYRHQCKGQGRKKRDEEGSDEMAAIYQQIQVAGQAIRAYKDAEAAIWAKYG GWAAETLPKDSAGRLWIRATRACPQNGDTWINALLSECIFEKSLALGLLTVPEGRTSD LVTVFVGKAAYENRLASPEDIESSSHPVLSTVLRGMDLVTQVNKSGDTTLKLEKFLLS WAEHRAPAYIEQALAVIEKPSKARSSSYQMVLLHTDILSRRGQLDLARSSFFKAIQRS DLDWPEAVYDALIQFEHVHGTLDSLLDARTKIEREQEKLSKRREKAALETQQYIMSTD DAVATVQPILQSTVAVEATNAADTAKEPEGHQKRDREHTTILLSGLPKGTTRDRINSL FSDCGDIRETTILTDDESMFDAALVEFTDVEAVPHALQKDRRKIDDAVISVSMLWRST LFVTNFAPEMDDAALRQLFGQYGRILQTRWPSRKYASNRRFCYITMETPAAAQEALLL HGYKASPEGFGMNVLISDPSAKTQRSDASNSTLFVGGLNDKTTESDVRGLLKDCGTIR HLKLGWDSVKKVCRGFAFVEMASEAEANACLSLDGTPYQRKILKVQISDPNYANKKAK DRKPDQAAERRDRLVTLSGLPDKTQEGLLQQWLEKIVPVRRLELFAKTQEAVAELESS QDVGKLLLRSEPLLFEGKEVHFSTQRNRSTGVSTVPAAVPTSSTSFAPRATRKAKVIA KSRPTAVAAAASTVAAAKDGSGVAAQGQSDFRALVAAKNKQREDNLANAKQNIGGEKR KSEHDDNDDAKRTRT I203_07373 MAGTLLYLVTLLTALGFMLIGFDNGVMGGIINEAPFQTTFYHPS SSLLGTIVAIYDIGCCLGSIITAFVGEKLGRKRSIMIGAIVMLGGAGFQACVSSADAM IGARVVSGLGMVQVTRRSVMLLMSLAQAAVYLIRIQLLPPDPELPSSVDRCLRGRAVL THYSHHYRPA I203_07374 MLHRPRKASSPTMQAFIVVVLWSKVRCLVKDETGNSFAHEAGVW VQPLNEVWFTSNLFSQGLTSQTEGLARMRVDISAVNVSTGGGFVLFCDQGGDIEQSSK LILVDPENPHRAQNLINNFYGRQFNALNDVIVLPPPHGRHAEFVQSSDDIESRQELPK GSTIWFSTYGFEQSFRPAPQLPSQVHALDPHTGRIKVVADRFDHPNGIAFSPDGSICY ITDTSHIHGTIKLDPHLQSTIYAFDVVWLGDV I203_07375 MPTGQLITVPQVVTNNPLPSSPISLHEIVIDSLPKSTNSPPCPS NHPHLPSYETAITLAHRYLNYHCQTHHVIDQEEIEDDINKVYGENGLIAEELSASRFR VFSVMYLEMMMGDENDLVVQTCRQLAVNEVRHVIRGQDLVAVQSLVFLCRFAIREPGG IGLWQLAGMAARTALALRLHRRDDIYKQGFLDPNEIDWRQNEKRKNIFWAVYNLDRLA TFVLNQPPSIRDSDVDVDLPSVSSLYGNGLPVSGTSIRNHSLRLRMLYGNIQESLYGV SVKDSRPLEERQRLVSEFVEQVEAWYNSSPLKAAFIPISDATINRQLLDDISYQQMIL ALHRPSSLIPEIPSEYVDKLLNASTKCIALYQHYFARKEIPMTWIHLFQIYTSSATLV KCFSEFRKRSGHQAASLSDFENRIGQCRDLLAQFGTKWPESQLYQVMFGNLIDLYNSE SELATHRIYSPPVTSSNNVTTNAIDPVFHEILGGFCGEGTQLGTCSSFPTSLLEPSSP ASFLKTLGVDCSTW I203_07376 MTNHNENDEPRTGAVQLDPQGHDGHVKSAEEKRFLRKLDLCLLT FTCISYQLNLYGNQLNYFTTYFNVGYCVFLIPSQVMITRFRPAIWLSCLEIVWGALTL GLAGVKNYKQVYVIRTFIGVAESSAYPGAVALLMTWYTPLEMAKRIGFYHSCQPIGSM IAGGLQAAIYRSLNGSHGLEGWRWTFLINGIMTVVIAAFGFFMIPDFPDRPNKLAFWF TAHDKRIAEDRLTRWRREAPDAINFRTAKKAATSIITPALMWLYTASLIAVAAVSYFN LFLKSLKHADGTAVWSTEAISAVPMIGYTIQILSTWFFAFCSDYFRTRWLCLLTIACI GIPSAAILTTWNTSTAAKYYAFFALYATNTGAPIIWSWMSDMLPTEPEQRTLTIGVCI SFYYAINAWSNPLIYPAKEAPHYKHGWAVSLGLYCSVVCVICALRAYDVKVIRPRNYR ARLALEQQYLDELNTAQLAANDEEQGAIPDNKHKNFPTQVSVLERVVTK I203_07377 MVNMQPEEQRPKLLLLGTFDQFIHSAIFDPVAKTLHAGHTNKSS MKPTWLTQHPTHSDIVYSNGHTEGKVFCNRIVNKSTGELEVISQVVTGGEAPTHMTVL PNGSALVIAHYRSGTTGYLPLSSSGSFSESAEVKHYLPPLLQYTLEHSRQGAPHAHQI LNGPRLDGEVYVPDLGYNQVLRLKYNQNEWVPSTPFEGFLPGDGPRHSALHPNGKYLY TLCEISSTIVIHLVAKPASPLARVSIVPAKIGDGAKPIAAAINILPSTTPGGPHLLLA TNRDLGLDEGDAIALYRLEDDGEVSLIRHESGVGKHLRGMAVDPDNHWICVAGRHGGG IVIFERVGTDGLDIKQVARLPDVQQVIAPMWLRI I203_07378 MPGKVNVTGAGIFGLSLAVSLRQRGYDVVVFDKNDYGLDEYRDF EVQAASVDQNKIFRASYGKKIHYQRLAMEAREEWEKLGHDLFVPSGMLRVQPTEELGA LELETIAGMEKDGLREKIFVKGDKQDEERAQQRGWHGKLLSFPIPDDSSKEFAQVLDM TAGFTRSSAACHYFYKQARDMGVEFVFGGEKGAFDSLIKAQVGDNSCKIIGLRTKDGI EHLADKTVIAAGSFSHSLVPELAYHIESSAGSVARFKIDPSQTELWDKYSPEKFPVIT WKKAKRDAAGKDVGSVYVFPRTEEGIIKIGYRGVKYTHFRSAPAEVAFSQDGQWSTPA GPELKPPPVALDAIREFVSIFLPEFKDVPYYSTKFCWYTDSLDNEFVIDYVPLYADHS LFVCTGGSGHGAKFLPVLGEHAADIFENKDNAKTPLRGFWRWRADAPRKNGLEEGPEG PRNIAKGSVSL I203_07379 MSNVEKLSKADGRLDQDDSSYKDLDVAQHPSTLLNNESPNNIQV VHENVADDTSAFYNKYKDTVGELTPEEEKRLTKKNFWRLMVQTWWIAFLIHLDKSTLS SASTMGIFDDIDMTKNQYNDLFVVFYAGYLIALWPGSYLSQRIGHKYFITGSLALWAL ILGVHPAAKTGQQLMALRFLLGMTESQIVPSTAILHQAFFDYKRSPWIQMLWWASGSL ANVLLTMIAYKLILDDNAGTLPGGLSSWKWLHFVCVILTFLVFLPLLYWLPNSPVDAK WLTIEEKVHTIAMIRKTHSGVRNSSFSWNQVKECFTDIKTWLFIFHMFFNELPNNTSQ QIPLLIVGFGFTPAESALFNIAKPIWGSLLLAAPRDNKIALVVGTQISTFKPSYLLAL SWAGLATTGYTKKMITMSTCVVAAAVANMIAPEFWKAKYRPYYTLPWSFMTAFWIISP TMCVIIRLYLQRENKRRQAILEEKGDFEEDAAKINMETLNGVVQINDWDLDLTDRQNK KFIYPL I203_07380 MLYVITSERYGISAEFVLPNPGGQKVFIRLDSATHEGEVYVNDR LLVKHVAGYTPLEADLTSAGVKAGEEVRITIGVDNVLTRHPIPPGELQKNELGKTVQI IRHDFFNYAGLARSVRLYTVPLDVRVGDIKVVTDVVEKGGSGTVKFDLKLEGGDGTVA VDLVDKHGKIVTTSDSSSGTLTVPNPKLWGPGQPYLYNLSIKVFAAGAVVDEYSLPVG IRSIKISGTQFLINHKSFYFKGFARHEDLTVLGKGHDDAWMVYDYELMRWTGANSVRT SHYPYAEEIYDYADRHGWIIINEVAAVGLNLHLGGWLYGKDERGTFSDEYCDATTQET HKQAIRELIDRDYNHPSVVMWSISNEPASQEKGAREYFQPLVELTKQLDPHRPVTFTT WAWHFPIPIESLTFST I203_07381 MCEYGADTLAGLHTHPAQPWSEEYQSEFYTMYHRVIDKIEAIVG EQVWVFADLSTGPGVIRVDGNKKGIFTRDRKPKLTAHTLRKRWGGLWEETE I203_07382 MGFFTASAPAQLHPVSPPLGLHPNYFAKQPTTLVLREKVFSWSG DDFSVKDTNGFTVVKCHGQAISFRDRKVINDPNGNFLFGLRNKLLSIHKTFIGETQDE RELFRIKKRMSFGSKMEATFTNPATNQPITLLLKGDFWGGSADISIENGPVVAQISRQ VFNMREIFTDQQTYFVTVAPGVDLALIAAICICFDEAKNENSG I203_07383 MSCPTTNSNITDTDEDVFFSPDGIHWDAHRIGWAVAGGCAALTT LITLFNLTMHAIRYQHPPAQRQVMRVLLMPAVYSIVSFFSYRYYREYEYYILAETAYE AVTLSAFLMLLMELVSMGTTDQQIKTALAEKDKKKFPFPFNFLRFRASKPYFWHALSF SVMQYVILRPLISIIGIICQYYGVLCPEEYSVHYAEVYLDAVDFVSISVALYGLVVFY VLCKDELKGRKPLNKFLAIKLIVFFTFYQSFLFSILQSHGVIKGTALWTATNVSNGLA ALCTCVEMVFFSIYMGWAYNWTDYTDPLKNPYQRRTSFKTYLQAIWDTINLADFGREI YLACKFLVDYIRGKPGTHSSSTKLQRTFMPDTIVDEDRPQELSNLKTGYANKQKPDSD STDQRGGFTSSPPPRQQHQQSRRSSSGHMTPTLRSTESYQRLQEGNPQYTPQGQEVFP SAHPTGTHQYTSSANDWSHSNQANVQWPEARPTQGYPADTITREMGRRQGQRGWEGVS HSQRSRGYDHNQPDSYHGEEGLPGNPKLY I203_07384 MVKYILVCGGVISGIGKGVIASSTGLTLKAAGLKVTAIKIDPYM NIDAGTMAPTEHGEVYVLNDGGETDLDLGNYERYLDVSLNKDNNVTTGKVYSHVIDRE RKGDYLGKTVQIIPHLTNAIQDWIERVSKIPVDGTGEEPDVCIIELGGTVGDIESMPF VEAMRQFQFRVGHDNFALIYVSLIPVVGGEQKTKPTQAGVRDLRGLGLLPDLIACRCT DTLLTATMEKVSMFCHVSPKQVLGVHNVSSTYHVPLLLQQQGMLDFLKKRLNLGEVTI NDKFKKKGEEFMGRWKALTVGQERLFDTVSIVLVGKYTTLEDSYMSVVKALEHASMRC GRKLELQWVHSSDLEPHTQISNPVKFHDAWSALCSAKGIIVPGGFGHRGTEGMISAVK WAREQHVPFLGICLGFQVAVIEWARNVCGLEGANSAELAPDTPHPVICFMPEISKTHM GGTMRLGLRPTIFQDHTESSKLRRLYGSNQVAWERHRHRYEVEPKYVEQLESKKGLRF VGKDERGERMQMLELDDHPYFVALQAHPEFCSRPLNPSPPFLGLIAAACGANVLTEQI GQNENGGYVDPHPEESKVVPESEAYTEQAKGKKQSIEGVIRVRGEVDGDLQQREEQLE GVAINGDA I203_07385 MSTVTKVHARQPFPPPHRPFSSLHYHISHLSSVDGLTIIRNPTV EVDVHTEKGRFRAQVPSGASTGAHEAIELRDKGSDYVGKGVLKAVKNVNEVIAPALID AKIPVTSQKEIDDFLIKLDGTDNKGKLGANAILGVSMAVSEAGAADQGKPLYAYLAGL AGVSEPYVLPTPAFNVINGGSHAGNALAFQEFMLLPTGASSFTEALKMGSETYHTLKK VITKKYGIDAANVGDEGGFAPNVSGAEESLDLLTEAIKQAGYTGKVQIGLDVASSEFY KEGKYDLDFKNPNSDSSKWLSGKELADLYNSYVDKYDIISIEDPFDQDDFDAWTHFTT TSKIQIVGDDLLVTNPQRIKTAIEKKACNALLLKINQIGTISESIQAVQLSQSNGWAV MTSHRSGETESTYIADLAVALKTGEIKTGAPCRSERVAKYNQLLRIEEELGDKAIYAG SKGLSKGTTAPELKDN I203_07386 MVSEDQQKVATRKLTQWIENDNRIVTYRDISREVGCHVNTAKNL LLSHYNSNPSLSPTYLLTGPLLSTSTINQTQLHSLTQIHGSSTQRVRIVDMDEMSEGD RNSEDEDDDDEKEVGNDNGAEDGLVGDLKLPVPGTVKDDEEMGALEGEEVKRWGLVLV GKDGLEEKKKLFEQDTLNVHIHSLAPAPINDPAQYLIPNLTLREHKNYHNPQIYGTIS GEAFRPTIPAVVEKKAMKDGGIDWSSKKIVGGGKKEEVKKKEEPQKEETRMVDTKKPS SSTATKVTSKPASTRPTPAPASTQGSISGKKKRVINSDTEEDEPARPPKTATPAAGGS KKVDPTSSMIRADDQRAMEAMMSMDMDIDMELSDNEVEVKKEPDTVITTESRKVRKKR RVKKSKTVEDKKGRMVTKDYSTDESYTASETDEPAPSTKAKSRPAPTTKPQIIKREST SSIGSGTTKTPASSGSHPPVKKATGGGTAKGQSTLKGFFTKK I203_07387 MAANLPPGLLEQILRQRAAQAASAGSTQPSYASTFGGGPRTVPL SSLFGNNAGTQPSVPLSSLFGQPQPRPQQAFSTYGGLPTGTGIPSSYLNSTNTNNPFY RPGSGFGYGYPPPPPSVPSNISYGRNGQRFDPSQGFPPPDWFINDTNNTPSRTGWGNI GTGGINTGFGTSTNANSPHSAYWSSALPPTVSRLQNGPTTIGGAFQSYISELKKDAIG TLKGSEQVISQKSQSQEKLSQYISETTTNIMSALSRNANVGGGAVLKALRELEEVRQK QDLVSGEIANERNVKTQAISRVNELLSTEVEFTKGELSKEEALKRSREWGSTYLGRNT NGVTWSTWDDYLTSATGTRSQLNPFLKRNELRNQAQQQQTQGSGSSFFGNGNGSNPFS RFFNRSNPTQAQSGYSGL I203_07388 MNPGDIYTPPHGRQQQQQQQQQQQQQQQGGGYTSPTHQTHSQGQ PFPSYPPTYQQQQQQSPYRAPPSHHYPSDPNPQYSSPMTNPYDTPPPLHQSHSSPPPQ IFPNSQSQPYGDPFNPTVPTQQGNQGWAEPQGSRHHASQPLSPSHHHQSIVGGAPRYS LPVQQQPYGSPSPVPVPISPPGGRTRFDSNLSFHSSAPLSSYDNRLSSPPPLLPHDSS SSSMANLAALAHHPSYAPHGYGNHVAGAQDDDINDSAPLLSHAAPDTRFGIPSQNQSR YQLSDNGPSQADGGDVGMLPGRWVDNEQQRNGYSIPPQQGQDDEEVNVHYGPLPIRVV RRNRTQKRVQLFRGHLVLDIDVPTMLLDQCPIREGNEFTKMRYTAVTCDPNDFVEDKY TLRQRLYDPPRQTELFIVITMYNEDDVLFCRTMRGIMQNIAHLCTRNKSKTWGQDGWK KVVVCVVADGRLKINPRTRSVLAALGVYQDGVGKNVVNGKPVTAHLYEYTTQLSITSN GKIGPGGSNTVPIQMIFCMKEKNQKKINSHRWFFNAFGACLKPNVCVLLDVGTQPGPD SIYHLWKAFDINSSVGGACGEIVALKGMFWKNLLNPLVAAQNFEYKMSNILDKPLESI FGYITVLPGAFSAYRYIALLNDDHGNGPLKQYFIGETMHGAGAGIFSANMYLAEDRIL CWELVSKRECKWKLHYVKSAYAITDVPDTVPELVSQRRRWLNGSFFAAIHSIVHFGYL YRSSHTFTRKLFLHVELVYQTLSMIFAWFALGNFYIAFFVLTSSLNSLGSAWKYVNTP LHYLYIALLLWCFLLALGNRPAGSRVGYTSSMVGFALITVYMLFAAIYLAVRGIQSIT ADGSISADDIFGNKIFRNIVVSLAATYGLYIVSSLLALEPWHMLTSFLQYLLLAPSYV NVLNVYAFCNVHDVSWGTKGSDKVSEDLGVVKSDSKDEVTVDVPVEQQDLNAVYASEL RVLATKAPKQVKVVSDDQKQEDYYKNVRTNVLLAWTMSNGALAAAILQTSGGDSALAT TYMGILLYTVAGLAFFRFVGSTSYLIVRLFAGE I203_07389 MPPQPLSPAEISQRSLKLFNPNFKSPRAVREPTKPSLAWSKLPP LAAEIDERPSFRVRRFRLWKEVTPELRKVVLDQRKRIHLRRYQSYAIPATFSEEKRKS LLGSLPRPTRPGLPTLIPKEHEWTWSTPYRDRYRLRPLSKIRGDTSLLGEGGLLTPPL SPEERYIDNDNEEDLQVLRDDGHGIREIQVIQPGLSCDMDESEGRKSNPQQEGQCTIP QQNEESSTSGKEALAFSQAHIPIDLNSSFSSYWLPPLPPSNDLPWRKKSIAKRTRNMN YQKLLEYKWILDQEHERRFRVGMQQLMRDMIEWQDDHTLPYNEWRRGHRWKDDVDLQE IQDTTEFFRKIRKKSSNVFLYLLPKDISQVPFRDRVHSLVKDEEDRKRRKREKKEAKK RENEGLHMEAKDRTDPSNRSTTFTSNASISEVQNAETPSTNQTMPNDQPLLPKSSDRS EVDSMILDLSPFRNEPKVYAEQHTELTDLIGRLTALRARKEELTKELEEKKNRKAELT VSGRSNDENESKTKQRQRAKDKERLLNKSLDGDEKIIGASKVDSITNDGWARSKVDED LQVRYGSLNLDDDTTLPNSSDIPSSAFSRREIEIDFSDESHSSSFDGEGDGQSVGCDV LPVKLQDDDENDEVNFTPGLDTADQRDTQYSGLGSLTSQITLV I203_07390 MPSSKGKPTDPKLREEVKEKVQNETNKDGSGKGQWSAWKAAKLS KEYEAEGGSYENEAGSKNEPEKGAPNPKSKGKKDAEEKQEAEKEKPKSKGAAKKDDTE KGENEKPKSKGKAQDKSEKPKSKKEEEKTEKPKSKGKAASEKKEEEKEKPKSTDKPKS TDKPKSKGKTEKKDEEKPAEKPKSKGKPASTKKDDAAPAEKPTSTKGKRKSDAGEVDN DKKSKKSKA I203_07391 MSVHELTYNSLKEMGIDPVIAREAASRFHSVEPAVNWCFGDGAN WTPEPARPEGPPSYDSWRPQRAYGTSVEHREVIDVDAPSSAEPSPSPQPPAFASNNPF RQHLSPPPPPPRRNIAPAPSLTPTVANDDEDEDLRRAIELSQRSDGISGTGAEGEDEN RQNRERSVRATGPPPPSPTNQPIDLTGNDNDNLDIGTLFGPSNKDDAEGKLAMVPSSQ NNPNSMSKEDEDMDRAIQESLMTASFHSASNVRDTDKPVPSERIDGAPLVLYSESGHS TYAANFFQAMYAVPQLREAVASVVAANQASASSYSELLNQLNDSYLTSTSSFIEVDQQ LKAFRDGREPNQLPPNAPGVELHQLFVHEMTNIILSQIGDSPTPEDWKNLNDSEPERL FKTRVESDPPQNSSYVTFLRSSHVSPDIYSHLSQILWNTDSDSQQQSLIELGDILTVM LEWGSGAKREVWKLEERVVLDRFMKSNATYSAQKRAHQSVMAGNARRTQEKIDRLTMH EGNDYQKSISALIEHLESTPETEDSMQSESRREMKQRLGKILKVLQQKVSTLQLELEQ HTQAASGSVFDTDDPAYNQHVYILRGILFQDGALVGGNHLYAYIRGDDGRWWKVQEHQ IEPVAWKSIAEDKTGLWMDGGAYMLIHSRDGPRPSAPPSNTSSRIDLSPATTIRNDLP HTAVVPEDDLIDISMTTDTSLASTRQNTPEPSIGKGTGDEEGDVEMKSTSTLVDRSER LNLAESEVGRE I203_07392 MPSQPKKDASYSVDRLLPYVFLCTIGPSVVAASNLFVVKYVMCR YYWTSRGADSIPDPGDERCANRDVQALAGSVLAALATLDGIFSFLSSPYVQSLSDRYG RRPLLIIGPLIATISTGSILLAYYINNSTIAWILLILTGIFVSASTKAVFLPSLCVAD VATDDARTRFYSRMEAVALLGPGTAYIISALVSRYVPNIAVPYFIALGAQIGASLWSF FFIAETRKFPSNNDNSSDDEEEEEEGRGVVAEITENLEAPVKPLKLIWPHRHKGKLHW ELFVVALSLFMTTSGTVFIATASLLFLSDKFNFNPENNAWMLAFLTFSRFAYLIVLFP FILKFGRAGYNRYLLWKEKKKQADGERQPLVRRDTSAKQEDANYFDVILAFFSVIVDA VALGFVSLSLSYQQVLAAFAIMAFGAGDNPTFKAVFVSYAPPEHSSEALAALDMVFSA AKLASPPLLGSLYAAFVEVGKPQFLFLTAGGLCAMGALLISPLIFAKRKFKPTE I203_07393 MFTKTLFALFGSFVVLNAAATPAAVKARDETHSVNLVNNCGSGN AVFLYADHGVQGSGVISGPVNGGVAWVDGFAGADCQSSGVNCGIVEFTLTNDEGAGQQ NAADYSLLDGPGLGNHKYTYKMDFVFTGSCTKGPGGPCTGDSADQCPGAYLGSATEGG APTQCLADNTGITITFC I203_07394 MSSSSQRSGSPYIDNDATHLGKLKTKACDTCRIRKVKCTFAPPL PAGEPSPKPCMLCKKHGFACTYERKAKKPGGSSREGRRMSDIGGRARPIAPVPRDPKE NQDASSRPTQSAQSNMEGQGIHRKGISAIPEIASNPFDEILPRQLLSSAISTFFDHLY CLTPLVHRPTFLSGLECRREEQPDNQEWVSLVLLLVAATLVQAPWAFPHLQRKEIEKL AQSCYRRGKIWLVKDFRDITVGRYLIAEYYRRACMVSAHLEEIGMAEMFRGSLWGLIF KLRMHEESSYEGLNPVEEELRRRVFWLAFGLDKARCAVHADMVHVTGEHCADVHLPKA LDDEYITRTGYVSPSTEKTPILLGFCYVSRIFEILGQALDLRRIDRRRNPTGIHLQMR LNEVNGLLELCESIMDDCPSALRLNRPLDTSQSQAQSRSSFLVQQANIYMTQQMTRQL LLEYRAQLRALQRHQQLEDLGQAGMPLLYDMHLQSGLSDEEKECVSRDVLNVLEAIPM QALAVNTTGVVNKIRFIAVSLLDGLTENGVEGEDGAVGLSRRQYHREYLCE I203_07395 MSKHDEVHHIEDPHGITKPDPTAEAAAEHIKLEQGLSTWASIKL YRKAVFWACMVSMTLVMEGYDKALIGNFWALTAFAERYGVYVPAKGTYTVEAKWQSGI TNATTVASFIGIFLGGYQIEYFGYRKTVIANVGFMIPCIAIVTFAPNKIALLFGEMLC GFPWGVLASLGPAYASEVAPIHLRAYLTTYVNLCWVMGQFIASGVVLGISDRRDEWAY RIPFAVQWVWPVLLIPVLWFAPESPWWYVRKGRLDEAEHSVRRLAAPSLRDEAKQTVA MMIKTNQLEIENMAGERTGWSDCFKGTDLRKTEISTLTWATQVIGGGHFSAYLVYFFR EAGLASSDAFKMGLGNTGCAFIGTILSWFLIKRFGRRPIFVIGLLWMATLWFITGGLA VVALNGNGRAKWGQAALMLVWVMSYDMTIGPLAYCIVGETSSTRLRNKTVGLSRNAFY LAQIVSAVISPYMINPLAWNWQGKAGFLWGPLSFIMAVWAFFRLPEMKGRSYYELDIL FERRIPARKFAKTVIEPEAIEQMRREEGEHLEH I203_07396 MSQPNGHLVADVCVDPNWWKQAVVYQIYPRSFADANGDGVGDLK GITSRVPYLKDLGVDAIWLSPFYPSPLKDGGYDVSEYRDVDPRIGTLEDFEEMTSVCK DANIKVIIDIVPNHCSDEHPWFQAALNSALGSKERARFHFHDGLGPDKTQPPADWMAT FGGPMWTPTGKNDGQFYLHMYDAAQPDLNWSNPEVRDDFLKTFRFWGDRGVSGFRIDV ATGNMKDLSDLTIPWDELKQRRANKSKPGNEHIDHPIFDRDENFELYKTWRQVFNEYN PPLTAVAEAFVSPSRKRHYASPEGLGQVFSFDILLSNFNIKNYKQSIERQIREAKEDG SSTTWVFSNHDMIRHHTRYGLPDIDPSNLFTFRKGFLKFLETDGKDPAVDWPTGLRRG RAATLMILALPGSTYIYQGEELGLPEAAAIPEDQLQDPYYFRHGRSDKGRDGCRVPLP WTKAGINFGFGSGKPAHLPQPEEFGEFSVEAELPDPKSTLNMYRKALVIRRDLQTKEE MSWYDHGLGDQLLAFERPNGWITMINGGKTSVPLPQGKVLIASGDLSEGTLPGETTVW IKRA I203_07397 MTEQVTRSESTMHSIGISLADVPGSNHNMDPAVVGSAQFGQLFK TQLPGNYGGVAEQVFASPLVYTTSDGVQYVYIATQQNNIYKINAKTGAIVASRNLHIP FLTADLDGCVDINPHVGSTATGVIDPNTNTWYLTTKTYANQNVVGAQGRPNGRYYVHA ISVDDLSERSNFPVDLEGTVARNNPARSFNGGIHHQRPALLHQGQYIYAGFASHCVQY NFTGWIMGWDKDTGKTVEMYATEGAGVKNTVPGAGVWMSGGGLASDNAGSMFFASGNG YASQLNGIPVAGRQPPTSLEEAAVHMTINSDGTLTPVDFFMPWEKTQLDGADKDLGTS PLEILPSQFSCGNVKRIGVVTGKSGKTYWLNLDDMGGYQNGANKLDNVLQVYQNENSV YAGAGVYPLEGGYIYINVIQYPTHVFKFSCDSGVPSFTKVADSPEKNAYILGVGHGTT TSLNDQAGTGLVWTQDVEGANLRIYNAVPQNGQLTLINSFNIPGTTKFSRPVFGDGRA YMITTTGYFYGFGSPVNLPLNCSSPYDFGTVNLNNTSAYKTIQCQASVDTQVTGLSIS GNKNFVIGNYSTLPVTVSKGKTFSFQAAFAPGQVGSLSSDVLINTTQGATTGYTTSTP VRLKGTGQSVNAILGVTPNTVSFDGVITGQQVGGVSQSVILLNQGNANLTITEIQCSD SSETGPFTIKQITNASVVCGPFTFTKIPTTIAGNSQATVNIAFDPTTSGSYATFLNVK STGGTKVIDVLGVAGTYPSALLEFQTPDGTGWVTYDNTTAFSFGDVLENTSRNLKMRL TNQGTNSSTSLSVTVSKPPFGVSGIIGAQNQVDLAEGTILGPGESATATLYCSVPKSQ INVDSYSGSAQWTMNLGDPLFGKQHIQFACNAVSEQSLPQFANGTSKYRYQGCYKENN PGRQLKTQIYGSSSNTNDMCVKACSDAGYTYAGTEYLTECWCSSYPPTLQVDDANCNY ACAGNINEICGGNGIDAAGSYISLFMDTTQGAGSVPKGPFTNNGTNGYSSIGCYTEGT NGRALSVGKGLGQATNVANCTAACAGYTYAGVEYGQECYCGNTFGVGSVAAPLTDCNM VCAGNSSEYCGAGNRLNVYALNSATTSTSSGASASASISVSTSTSATSSASATPTGPV QPLTVGSYKFVDCHTEATNARALTGKTVASDDMTLDNCAAACSGFLYFGVEYARECYC GNALSAGSVTTLDGRCSMPCKGNALQYCGGSNGLSLYMFSNTTTTSTSASASTSASSS VSSAISSSTATTSNITSVPPSSSTSIVASSVASSNTSSIFASSSASIVVSSSSSATSA SSASSSSASWSSSSSASSSTSSSSAAPSSSSALPSSSVIASSSAAASSVASSSSAAQS SVSSSMPSSSTSIASSSSSSSNFASSSAPSTISSAVASSSVAPSSSASKSASSTSSIV PSASVSATPWQYLGCANETNPRALSLAATASNTMTIQQCQSYCLSKNYPLAGLEYGRE CYCGTALQSYSTLGFTGCNMPCAGSASDTCGGSSRLSVYNYTSYVAPQLIATVGTYQL QGCYSEPSNGRALSAYSFSNSTGMTAEWCVSGCQLKGYAYAGMEYGQECWCANTLSTS STKLADSACNMLCPGNQREYCGAGSKLALYKAN I203_07398 MKSSLTKAQYEHVVRSIRRNGGTIVPSPTYSGLTHIILTHNSND KDEIFDVGRPKRKDTHTIRSLDDNGWATFRLLENFGEIVNSPHRRSHINPSFGHGHGD GHDHEMVGGQRKMAVVILKKNWVYDCIRLGRVLGQRQNWHGWKVKGRYPDDASGFESA LPYEYSRPTPVTGSKPSGISNISSSPIVSTNFDPPKYNNQPVKLITRYYPLHPIKIDP SIRLPSMTWSTRIPAPPNGQFQTHYLELRSDQLKSKIYLTGYLPPDPRFSQSYGEKKE EHGHAQSFKVDGQRGRVGFDYHGSQEIYKEARGTRDVSFCTDDWLRSEKMGGIIEDPR TTTTSFQTLNSNNQGSAAQDLDDPLPNLDISYAGNKDKGINIILPPSINDGKRYHTNP ISTQQNGIRLTICATDRKTNFSTLPEKVVYPDQTFDERNCLIYPKNNSSMSYIPNFLK SSLIYPVFKKQV I203_07399 MPSELSSNNPTSTTSKIKKTGIIKDNRPGLLDDMVFYMHEYMGN YMGQGRHRFEVKREILAKGGQIVYSPTDPSVTHILVPPDREARQRSEDSSSILNLREI QNQTYNTKTKEEVAFWDIPKLVLFFGQHLNEDGEIIKDAEVPVLRMGWILRCVKKRRI LVHEDGDWDGQFLRARIFPDFHAKPRPDARPIQAIEMGGQGVSREHLDFARQMSSGGS MTYNARPINPSAPTSAYQPRRHLVKDEIRDQAYPPKDWTRTIPMGAKVNRWSALTNAQ ETSKKPQSPVHVFPKADQLSVSVSAESVIDTQKLSSRQNCVGTAVINEHQSPVVNSLK KSSSQSSSPQHTCGPEPKRSEANINETHVPMTPISSFGPISHDQPDQTQQPNRKRESP LDMPPLALDPRQHLKRRKIQDLAETKVTEDERPPTPPLPEEMQTILPIVHQAKSKLPS PPETSPNIQVTGASPVGTRAKQIMDNSQSVNSRSGAKSKSVTPSSSSRITQASPAIPR STSKIFFANGRPMTFNVHQGNFATQFLIQSGGGVIMPIEHASTIIFDRKSSKQPCTAE EEDVLDGVELRGEWQVVVSSKWIEDCLRNGKQIEDPNYRITKVPQSVAIPEDYTEHEG RPDHDTMDVDYNSDEEESVICLSENRPALAVRPRIEPAKREERKPVVDSHLLPRMQNP SAGPSLRSTAIQTCKADRSRSSSHNQRHNNHLVKSHKISGGTTGLKGIKILEERKERS SNTSKGVRKVTGSKSSVQGIDQSVNILVEEMRCWNPKNCRRTKFLNELTKKRPERHWR KFFDRHRSKILSRFDQLGYIYPDTNTIKMKMQKKKQEEEREDDDDDLEELISDHEEDS IYEPSVN I203_07400 MSTKPSHTPSISLYRHRTNTNICPDLKRKRYGPYPTTPIRYSSS SAETSSSSFTRPSATRLDISRTPNLTGRNGCSTYTETSTPKSYAPGLSGQKVIGSDFA QTENSFDSEEANKDPDDEAGDDSDLDCLDSDYEEERDIPDSQSHSPLTTSMTNSTIYH AGRDDIHNGISSKDREYPHDICTNSRPAPWEITILKIEERLSYLRARWKVDFTPSTNA SWDKTRMARITSTPSLLVRDPRSAPSSFKAGDVGHQMLVVNEEDSKIGESLHHKFDLD TFEDNHQPNDAILDTQKMNIRMIHQHQNVEDGGISIFRPSSYRCDSNGPSPRPTPPAY TPLFVHAQLRPLRMYTKGLPSDLTHDLNYRGAHSTNIPLKADIILFHRERDDTKPSTP RSSQELQLFYRAFERGQKVLSSLWVEDSIKIGKLQDEGPYEIWLDESDIPKKRAAAIK ADKKRTTQHDTMRSLFHRRIEPNEQETSAKKVDEQSDKSEVLDLGSELESDEEFDGIG GIRKVGEKDPVTLHQFKSRTSPRA I203_07401 MSHNLDKIVKLACKPKNAPPKAKYIEVLVAATYSDDGSLQDIIR SLSLRLREANAVVVFKALLTIHQMMRSGSTDQFLGVLSRQDVLKLRNVSGQGFEGFQP PASLSAYAAYLDSRIKCFRDIKHDVVQMQTESNRRSEGSSANSKARKLRHLSVEKGLL REVKFVQKILDSLIQCRFYDDDLRDENTVLAFRMLVKDLLVLFQAGNEGVCNILEHYF EMSKVDATESFDIYKSFIKQTDRVVDYLGVARKLNHVVNVPVPNLKHAPTGLVKALEE YLNDPNFENNRLEYKKSLGVVEGKSGRDPSPAAPVKETRPAASSSTSAAPAPVPAPSS PPPPAAPPGSSQKIQDFFDSIQADQQPTMFGGPAQQMNYNQMTMHQNQQFNPFRQSMM MPQQTGFMPPQMTGFPGQQPQQQGFLQPQQTGMMAFGGGPPNQQIQPQQTGMMAFGGG GGQRQSMFPQQQQQGPFMQPQQTEFMQAQQTNFVQPQPTGVGAGFLQPQATGSNPFRQ SMMLNTSTLNGGGAMSQPSPPFGNHPTNPGGVGVNGFGQIQRPGSTPALSTSTAINTE PKPLTAQVTGSKNPFAPAGGVPPPVPQMPKGPTMNELLMGSMNKHPTGNVNGNPPWGQ VQPQPQQQQQQNGTSGMSDIASAFTFDASKSNNNGNDFLSQFGSGSTNGPSSPSSTTN GISQFGSISSQPTGLTSLSSQPTGTGSGGFLQPQQTGYGGSTIKPFKPTSSFGSQLLE SLPPIQEPGSNSNTASTPNKSSIGLPSQSTGFGQGLSPQMTGTPNPFRQSMFGSLGPN SPSGGIGGMNSQNAGFGTIGAGGPFGSGSPFAGQNKQSQGLPQGQQQQQQQNQNQNQT NQFGMFGTGGNVGGGGSLI I203_07402 MDVDSDISSQLDSISSISNQKERTQSYLSLLTTLLSSPPPSSNA LITFGKHFTTSTSMAMVVGRRVLGSYVIALCAGTSMDKKGTSAIPLDEELSNDQAKYE DLGERAFKTEKGEEIRRDVVEGVLSGGGLSGWCDEQTTVLRHLYSHLLTIEDDFLGAA KALMQIPLEGTSRLISDEEKLAVYIKIVRLLLECGEWGQAQTYFSRASLLIHVTKDKE TNLQYKLSQARLFDFSARFNEAAQKYHEVSFESSVAEDDRLQMLKAAVTTSILAPSGP QRSRILATLNRDDRVQSSLPPSLATMLRKMLLEYIVRQEEVKEFEASLEEHQRAKVEG GGTVLERAVREHNVNACGKVYDNISFEALGNILDLDSDSAENTARRMIEQGRLRAWID QPLHLLYFESRTSHDTDAEAQGTAGGLGIESKEKEIEPRLWSERWDDRIRETSMKVES LAEQIQQKGLIPAVSVV I203_07403 MVLVGLLPILAFAGTIQAAAIPVDSTPPASPALSLPAGGGSATF SPVPTFSPQLGVPSPTFPNAPSFDNTPDAHQNTSGGGRYTQDGSQGGLRSGTGVGRGK GMTNAERMRRGLGILPPTRRMTGKPSRRSGIPNGSPQVDAAQFQSPIMDGDVVTTPTD GSVAETSLPDNNNQNQGPDQATTDTNTNTDDNSNDATNDQDQSQPSDINQSNNDTTNN DNDNDQTADINNGRVLASKKYAMRMTNPEDGSDMGLITGPQGDDNPLIGYSPSPSDPL QMTMLNNPSSNSPFSIVPNTDSPGDDSTFKGPRKLLAAVPHRTSTDPGSGDLRPGNGN YAPLGMGWKDGSGLLHINIQALINHPSSSIPGSSLPLPGSDYPTSDLPVDPSTVFGAP KQLLSRPGGSVLPSTDSLPVDTSNLPVGSSSDPTSLMHGTVSNLPLGGGSFGDPQDTL WTFEKGSNKLLAQYVNSDGSTVPTYLVTGGDCSHTICLTADVNAFKDAQGDGAHEVHV LAEALADL I203_07404 MTLPIPSHLYSLIIAIHSPDPALPLEDDIGQDWRLGEIDVDWID FTQEKSSDKVQPTPAPSSSQGRKKHNPSMPSRNTPSSSKKNPTTVDDLSLQPLLAHYP NPSTGPNILDSSFASGISELGRGVVHLFKHPPPASLIASIDSHPIGEGSNTSQQLHSD LIGEKAEGEDGSLIAILAVPAWMRPADFIEFIGGWSNCLEGVRMIREATTPNRSIVLL KFRDPLQASDFSVIFTGKAFSTLDPRETCHPIRIHHLILHKLDRPSSSSSTSTKSNTI AVPAFPPSVYSSRARELPNLLNGVGVDTKSTYELPSCPVCLERLDSTVTGLVTLPCAH TFDCDCLRKWGDSKCPVCRLSHLLLSSNHQNQNQTASSSGGREITRLTKCSMCDSTEN NWICVVCGTVGCGRYEPSKGHARRHWEESGHVLAMELETQRVWDYKGDNYVHRLIQSR SDGKLVELPSASSLVTSSHISRPLPLGGTPSQPPSVNGTPHRPSHTQPSSARGSMSSN DAGPSSGDVEKMSTIESITLEYSYLLSSQLESMRHHYESQQSTLLSRLEKLESISKEN EGRLKELEDSKKARDKAENKALKAIELSRSLQQNLANEKSLSNGLSSKITNLQNQLEG LRGRLKEKEDECTGLEETVKDLMFTLEAGLKVKELGDEGGQGGDLVVVPGKGKKKNKR I203_07405 MDVGFKSYPVRTTVTGFDESFNAITGLNGSGKSNILDAICFVLG ITNMQSVRANNLMDLIYKRGQAGVTKASVTIVFNNQDRSKSPLGFENAPQITVTRQIA VGNISKYLLNGHKSTLQALQNLFQSVQLNINNPNFLIMQGKITKVLNMKPAEILGMVE EAAGTRMFEERKDKAVKTMAKKDKKVEEIESLLREEIDPKLEKLRAEKRSYLEYQKTT SELERLTRLVKAYEWTITVEKAQKAAENLKSKHNDITAAKEDVERGGRECQGMQEELE EIRRKREKEMAKGGKISDLSDAVNDLDRELVKVKTQIEIIEGTLKDDVKRVDGAKKAV KETEKSLGDRRNQTTKDAAAFAELKSAYDAGQAELEKLEELLQSLITGLSSNKEDDEN AGGYMGQLAEAKARLAAAGTEAEQAKVKMGLAEKEIKEKEPRAKKAEKEGAGLIQELT SKTALMEKLKKQVEGADWDENKERELLETQAEHQAMITELMERRDALKSRLAALDFGY SDPEPNFDRNKVKGLVATLVDLDQANFKSSTALEICAGGKLYNVVVQDEKVGSQLLEK GKLRKRVTIIPLNKINAFKISAEKLASAKQVALGKVNLALDLVGYSDDVSAAMAYVFG DTFICADKQAAQAVTFNKNIGVKSVTLEGDVYDPSGTLSGGSAPSSQGTLIKVQELRD IETQINQHKKSLDEVVKQLNGAKKVIDQYKKDKRELDLKGHEVRLLEEQVNGSNATKI VGEVENAKKLVAELKEVVNQAKEKQKQASADVKKLEKEMADFKNNKDSKLKEIKADIA NKKKELGKKTTQVKTRQKEVQTAELELQQLESDLEAAKAEIEEALAAQEKTKTEHSEL KKTLQAQQADYKAAEAKLKAERAVLVAFDNEMGDLERDLKAKKQEIADAELKLKKLDH DIGVVAKEQSSAESHKENLERQFTWITEEHQFFGKPGTPYDFHGVNLNQAREQCRELE AAQKGLGRKINTKVMNMIEGVEKKEQALKKMMATVLKDKSKIQDTIVELDRYKRDALK KTWEKVNGDFGLIFEELLPGNFAKLQPPEGQDLTEGLEVKVRLGSVWKASLTELSGGQ RSLIALSLIMSLLQFKPAPMYILDEIDAALDLQHTQHIGQLFRNRFKGSQFIVVSLKE GLFTNANVLFRARFRDGTSIVERTERRSNNAMYTSTEDKENSQDATTTSGKGKRGTGG GNARSALAVR I203_07406 MRDPPRSREWQDYYDNQKTYIPPSYPTTTSRYSRAHSPPTSPRR SSSGYTAAREQGNRWNLFEGMTIYIHPRENETSHEVRRRLNLMDKIKYHGGSLSVKPN ASYVTTVLIHLPQTYPRHTVLLIDRPREIPNIGEWTRDELVQHLAKVSTTEDSPVYQY GRKRVLRIDWAEECLRDGRLRGENESWGGWEVRATYDPKLVNDHSSYQTLSADYGFNE YLSQQEDDRLEARFQLSPESYTSPAEHVETLAQDPRKYLRAKADQLKGGVVGDRENII GSITDERGHSQEEANTYETQEEASISIEEPMPEYDTDATDLSGSRDSQRDRSLQIEDI HIDEIRANNGEKVEQSQAHGLVEPEGVHTINTVQESRGSGQGIIVDIHVKEDIRKQVY GDKEVTSTDVEDETERVEVKPEDGDSIIRPGGSKIEETEDGTEGNDNRPKESQNEVGD NENKKEDQDDGSTDTKPVIMDNDEEPSKDDIGQNSLRSQSQSRSIRSRSSSSRYSLSQ GSHNQSTRSSASEAPTANAVSAATRVPSSPTASQFFAVPRVEQRVFTRGVLLPHTFCV LGEGREKRFTERIITSGEGIIASSGSAIFLIVLLSPEQNASLADHPEVCRMVRKIQSE PGRRAVSVDWVEDCIERNTLLPLEGYVMAAVEDRSAEPISPPPSGSGSSDSGSKKRDG GARDGREGSKKKSRT I203_07407 MPAGKESLFGAVQSPPTRTSPRKTSNNNTTTSINRLYPTPLSMS PSTSSSISISVSTSTNNGNGIGSLRFPPSFDPNHPSSSRYHSTTYTPYSPYRPGEYGR SERGLASERTKIERKLFDDDDDDGNDDNDHNKEEVEDDNVKQQQDRLTVDTNKKEGSS PLAPAFQAKMVLRNGASIDLISWLRTNFHHLPPPHTVLTPCMPLNGIRHLLLERFPRA PEVEEISKAVLAAFPHSQWDYPSGTSSEPPNIRGLVWHGKDITDEEDIEPVKQTTTKT SGINGGTHGSSKKNKQNQSQSQSRSPTQSTLVSPISSSKRQLPDTPATRSVLEEFAEI ATLADKTPTLKAKSLPGDHDIDVNDTMVESKKRRASQSPESGFSSNHRRRASTPDKLH GLLAAAEAVEGSPITSLLTGPSTTHSNGHKRRRTIGGFSSAKEMMSSSQRKFNRSSRG TLSPPPQAISKFNFLPQLNEDIDYLVPLNDTTSNNGSGLEQVDDDHEEEDAISTSLRR KAPNSSSTSQSSIISSTIPSTSTTSITGGTGSGRKVNELPTEGDAPGYDCKPPYPYHE MIRHAIESAPDCRLQLSQIYSSIADRFPFFKTLDEKKTAGWQNSIRHNLSLKKMFVRV NKPDGTPDDSGGKGGWWTVQPGVPDEGRPGRKAKAKKAKAEAEAEAGAGAESTTTTNG EIDSHDNSSNATHIGIEDVKFKYNDYQNSTSPQQQQQQQPITTEKSKLPAMTTANHLT QVSVHEMMNPLGTDPNGMKYDI I203_07408 MHPGKRKTPSWASTSPQPGINGRASSVSSVKQEGETEGDSKRSK PNIPTGADGRPIYSASHGMYRDVRTAALDLFHQLKRAATMSYHDAYFRVQETSPGLDA TAALELLKGMDRVDFKEINNVFMYIPDLTLNTLPEIRSHIRIHSTPTSGIPVKTLREA MPNGINPLGELEAKGEVLIMRGLTGHFKDIPLPRLGRKNLNGYLINDGGNGRWKTVFW DHEREKGLAGKRVDDEFIFSWADVPMAETDDVTKLLAEQELTASSAIPAPTKLTHVAP VKKKKKTTRALKITNTHMKEQGIDFSRDYEKPS I203_07409 MKYRDAPRTRSPPRQPRQQSPRRRRSRYDDIRDDGRGEIPISPS TITRPDQRRRSTGSSFWRGFTFYVHSPGGGSGGGDQWKSVPHCGSISRTPSSSSVTHI ILPLNPAYPQNVVLQILTTGPDLVSLEHQQDWTDNDLIRYFACLRGRIPTEEPRAVHL SKRKVLLRQEWVNECVRQDRVVGRFDDFAGWEIKGTYDPQHVNITPWGELPLPLQQPL YTPPATSNVPPNPFESLMKRSNSSSSSLSAPQSYSNIQDKHPVVPLAARLSSRLAEDP STRSRTIDQPEKADSPVQDPSNARKTADDDGNATSMDLDGSAMREQPLRPKVEESGIM EMETADERPPEIENPTTAQLSNDEDIKPLIDEGVKIDTRPETPDLPSQQHLPMNIPPP PTPPMTASNIIGRDDISVTSVKKHQLDMRTTATPSPQPRPIMQPVNLSTPQWHSEPNL DDITPSSSYVEDRPKIRPEGIFAKGLLLPLGFHVSGSARERKFIELAITRTGGGVIVP EPQATIHILPLSPTESVIEPEHSRIVREISSDPTRAVVSADWVNDCIETDRLLSLDEY RINSNDNDNGNGDIMTPSPTERG I203_07410 MKSLFKGKRFYIYCPPDHQGERGNEIVGEEDWEKDIRYCKSDIE LYGGQVITSPNYNVNHILIHPAHLEQYLCDFNHNHDYGRSDLKPHLILDIPLEQVTPI DDWDQYEYGIFPWTVDKIIERYGDTVPSGTGKEVILKLDWVKSCIGAQRVLDQRYGKF GWAGMSIRSKIAVKSESENGPSVPSTHSIEILDEDLDSVFSTPRVRSEDLHDDDEVHD YHRHHGGETEQQISVQVGEEREERISADHSDHSDGSAPPSVDQTSDEIMTASGPSSAI SGQEIDEKWENDIHAELDRLLGQKGKEKTVIDQATPVGSLRIHLRKGASLLKFIVEDL GHTTSTIEVADVIVLQRLYDGPHSLRSKTEGERQLIESAKEHQKVVSSQWLIESHKAR QTINTRIHGAFGCTANSDKMSFFCLLVYFAHHSAYQAAF I203_07411 MNSADEMDMAESSDDDYNDDQDNNTFRSKRAKKVYDVSARPSVI RDQAQRRRRNEHLCRLILDQPEGIGLYAYLTGCQPRYGITDWGKSYNSHKQTGRIDEM VKHMRERLSKKKKKAELRERV I203_07412 MSYSNQNPYHYPYHNINNNNNNNNDLYHRQHHQSQHHPFMANTS VFAIAPISTYSDPPSASASASTSTSSSSTGIGPSRIPPYTHIPSQQHDGNAILTTTAT STPIKRGKTGCITCRIRKKRCDEAKPTCDSCARLGLECMGYSVQRPSWLKEKDMEMKL REEMKRHHQDMEEEDENDDVEEEYDVMELDDNNNMSSSSMGGPSRSVSVPTSSVSYPP VVARSQNLSPTPLTGAPLISGLNHPITGSLARHPWNPSHPQGPHRSQYKHPSPCPNPN AIAGPFTKSYTYPPPAEYYQNQYQNQGSSSNTDTPSSLIELSNPESSLEDLWIYLLNC QPDILSNLVSPSPIPPRSLSKSPSPDSVDRYFHHYLNVVLPLQFRFTMKSSAAHLLAP LAMRDDTVMNGLKALAALHLSVYRNQNTKRNAENNYQDQIHGGEGDVLYGALEEEGEN DKEVAERSFDKTIKSLRSPTSNPNETDGLLVSSISAISYVIFRGGIASEWVEALRIAR KYLWGALRDSPELGIFIPSTIPTNTHSHQKQKGSPWKRYRYFLQAIIRTDIFGSITEN KASPLLPIYRSLLNRSSIDFLSDGSMSGRPNGLKEIVLNLDDMDNTTLLALAETVALS EWRTEQYQRGTLDLEELVRRGNEIKVLLNGRSRREKQYSPIFNHHHVRQSYSNGNEMG DMDPKLKGQLMSDAFYESTKILLSITINGPFPKLSTVQEPINEIINLINQLKVLENRQ TQSQTTTTATGGTQTAIRIENKKRMTNATTMGTGTITGTNEFIRTLIFPITISACHCL PSLQPFFRGLFLNLNKSSLLFGNTRFIWMLIEKMWEERDILTNTYTDEVNGIESQSEF GRPIFWLDVMKRLGWEGGILLI I203_07413 MVTKQDRPYYLQEDDQEGEALVLPAEEDDPSIPKDESKKDKKAR EKREKEAGVRRVKVGRVELDPSIDYANFTSDGPGLDSKAVLDDMGMINIWVDLKKPLP DLPKDYARAVKEYAVDRRKGVKCSPLNIVIFIVGSRGDVQPYLSLALHLITSHSHRIR IATHPDFKDFVLEARKYLKDKKGPNGADLEDKLEYFDVGGNPKELMAYMVKNPGLLPG MASLTNGDISSKRKMTAEMLEGFHKSTYSPDSVSGRPFAADAIISNPPAFGHIHIAEA LGLPLHMTFTMPWSPTTAFNHPLVRIEQSNAEKGLTNYLSFALAEMLTWQGLGDVINS FRSKTLYLEPLSMSSGPSVVDRLKVPWTYCWSEGLIEKPKDWKNHIVIDISGFYFLEG DKDYQPDQELKQFLEAGKPPIYIGFGSVVVEDATAMTKTIFEAVKHSNVRALVSAGWG GLGGCDVPDNVFILKGNIPHDWLFSEGRVSAVCHHGGAGTTAIGLRNGLPTIVVPFFG DQAFWGEMIHKAGAGPPPIPQKTLTTENLAKAIEFATSPHAKAAAKGMADKIKSESGE VKGVESFHNHLPLLNMRCDVDPDQLATWWSDKLCLRLSGSVAALMVESGKLDWKELEI HRAKEYDSKRRVVDPISGGASAIFGTITGYYAGIAQIFYNPPKGIINTTTAIPKGMVN IIDNIYEGMDNIPRMIGSEQVRERGKIHDFESGVKEGAKGVFYGYWDGITGLVREPVE GAKKEGFVGAIKGMGRSYVNVTARPAAGIVGAISLPIRGLTKAFQNKFSAPREIVLEQ PRRLLSIESLNSLSSQKKDRLSKKFEDLTRPEKVKERKESLKKRAKRVMEGDETALDE GDSTPVEEKEEIKSTNLSVADADVKVNTPQGEGTKTSEMEEAERRGYERALKELKERE GK I203_07414 MESLFSPGQRPPSPSSSGPVTDFALIESQKENIRPLATGRSAAT LSNVFKEPTAADKVVQEGHERFRKDIEDAEKRDKEGEDMIDKILDILDVYNKYILFVA QHHVSSDTHLLPLLETTTRRFVNDTRYTQDIRYLKLWVMYARQIERREEIWAFLESRD IGTRHSVFYEEWASALEGLGRRKKADEIYRLGIARKASPPDRLKNRHKQFLERIMAPP SGVVPDDEPSSSTSAPARTLGRSVLGQVATASASVAGATQLAPSLRVASKGNGSKMEV FSDDNGRSEDTAPGEWADFGTRDARRKENTIEATPWKGETLPQSASRLRMAPRTPKVE VFKDVSENEGIRSADEVFVRQKQPPTEAELLKSDPLRHYDTSELSTALPSLPAPPSAR KPPRPAKASSSSRFVMQAWECPRDGPDTRNAAGKVERRMFDWNAVYKNGDEWSFEEIR ARQRGLLGREWKGEVKEWERSWHNPGSSTPKAEEKKVKPPSPTVNTKLAELEVMKMFD QTIHGGTVRNSASDSDDSSDEEEEEEPVQCAPTPLPARPGSIAMLAATPGAMIPPTPT PAGQSRLFTPGENPTSKPLVFNHENAASSKPTVFQDENIQSSNPAVFSDENSVPPSAS KSGKFNIFNDTPAKTPLTSRTSLASSSSKPKAFGIFSDENGDQENAVQATPSIAPKER QPLSQNLFATPALTRQSSYGRGVTESIQEVPEGEEEDEEAEEEEAGFVHEVQQGVSRV DLNDVYEEEEEEERPRGMRRFQINTMTPITERTCEYTHMTNLRSSTASNTRPFSVAED EDEGQEDAFVASDPAKVTAGVSSSNSENQLPPQQAGDESTSPPAQCIEYDKSILSEDD MPSGDINGKFRLPEGFTIHRNENTMHTMVLTDGETMHTARAGSVDPTTESENFVTANH QIPEIFNIPNPCNPASPEILETILTSIEPPIPQIPGFIDCRSTSSSNWAQLQKHAKSR GSRGSTNSRASVAPSDDIAHIELQGKKYEFGDKVGEGGFGLVFSAVDVAQRQLEDDMD SDVEEEDEDGDEKEDKSILAIKVEQPSSIWEYIVLNRIYSRISQSAVSSIIQTRQLYA FKDESYLLMDYSSQGTLLDVVNKANTIGVSPSTSGGPSTLEEMLCIFFVVELLKLVEE LHSNDFIHGDLKIDNCLIRLPDIPNSSWSASYSKKGENGWSSKGVRLIDFGKTLDLTL YPAKRKQKFVIGGWKADEKDCIEMQNGENWSYQTDYYGLASIAYTMLYGKYIKVVKGQ DGLWAIDQGLKRYWQQDLWTNFFRTLLNPGDDLPITDSLVEMRRGFENWLEENCQKGG KNLKSMLKKIELAAITGKR I203_07415 MSPIKIGIILGSTRTRSNIQGISTYFQNILSTSYPSLEVETIHL SSSPGHPLPFQLDQAVPQAHSLSTLPDAYSDFAVRRWSSTVMEWDGLVIITPQYNWGY PSILKNAFDHLYHEWTGLPVGIVTLGGHGGTKCLEQLKIVLGGGLHVVMVQSDVLVQS PRELIVSEKRLGRDESLLGAYEEGMRRLVDEVVERIENRRKLKTEE I203_07416 MSYSVTQADIRYWNAMGSTAAGTSMILALFILVSSCWIYTHRLA RHTLDRISFRLLLWSMAWEVGYSTTYLVVCANGLTYSMNGGMKPSFVVEYKKTKACVA GAYFMIGTIGVVNWLCTCIAINLMVTICFNRNPIQLGMSVYAHAYLFHPDWISLQLML RLDLRTEGLEKWYIIGSTVLGLGVPVIPAIIGHLGEDPVFGSCFNCLIGSILATSPRY LWQILSCVIATVAVIATLVRLIRHGRKTTRLMMGGSSLNKAFHADPENGQGYGQSPAS SGGGGGGQTDSSFQDSLPNCLRFNFQQATRPRSTTNRLQDKLFKIALKISLYPISLLI VNGIMTSGDLFLTLSGGNNSKGDFIIFLIYNFMFAGRGIVFACLGIFVDPCLARGYKA AFQERNESQKYYTESELRVKTPNTGGGFGRSIPMIEISDISPLPYKGHLLEYGAGSED CSTSKGNASEQDKEQEHQSESAFRAESFLDRVEEMSNVKLPNLDSRGQTLSDNSRKTS RLLAVSYPDVWDSNSFTKSKPGREATVDITTGTCTGDNGGNLIRYVRSESALAAGDST NQISIPTDKMDEKPPFVNTGRGRGFTGSGNMRVENNVDPLSSTTAAAASAAADAEAED NEIERIFQRAQKRL I203_07417 MSMSPIPTSATAANGYRSSHYGLTDAVVRNSGIAANVFSAFSLT MTLGFLGGTIWIYSYPNCRHILDRVSFRLLIVAMFFEFWYSFNFLFLYINDTIYQPGG TWGPKHCTAGVYFLASSMHVVDLLVMFIAVNLFLTINMGINPLKLRLERWYIGISIAI GYIVPLPSAATQHFGWDFALGTCWINGRGRKKRVYYLIEGIYITPIITCFVSTVCVAI VLVVLFRQGRATSRALFGGQDGKQTIGDLSQKGTISLDPLSYSNSTGSDTGTGTILTS PVSFVDSPDTDTTLFEEGNTTTKEGNNPTQKTTKGGGSRLMDKTKNFVRGKGWKTTQQ SPRSYFHSLSDKFLSIAVKIAWYPITLLFINAVMMVGDLVIAAQGGVASHKTVWLYVV YYVMYGGRGICIAGLAIIIDPSLRRGLKAAWRERQMKKNPDILPTTKQSIAVETTLSP TFNRTPYSTAFDPMSSSVGQTDSIQAHGNGYRPRIDSDASFDFATALAYIPDPTSIQR EKNRVKEDSWTDNQTPLPTVEVDLSYLDQESDMTILNDSNANLNNDNGISTNSPPPPA PPPPVRPMPVALRGRPVTSNSQKTGRGILSRLPGNSGTTKPLELRPIDPEVERRKEEK RKREERVKEIKRRFEEVQRHL I203_07418 MSSPEAVQPLFTRSPLIFPPHTQTQHQNQDQALFVTSPISTSPP PASDLPTKSRGGYQSSTFTSTQSSPVFETLSFEKIKIPSSTTASTDSEAFSSDYASNS NIQSPSLISCLFQSTSIVAGNTNNHSRKYSNSTTTSPYPSRPPSRNGSLTKGTGSKPI LRRDTMMSHSTSASEDGFGLGIRQLPLDRSRPATIDGQAPTATAAPAPALRKRPSCLT FAVSSPTPRSSGNAKAGPSRSTSASPTSSYTNGKMARSPCIKPNWSKVRGQVEREIQE DEEEEDEDEEDDDGDDVMVDSPLPIPGHGESDEEDQGYVEDEEDGFTTDEDQDDDEVN GGRDRLFGNWNTVEWNEEYLITTPKRRNTDFANMVPAHPMTSTDDNDIRDPSHENIVS PRGRKTSICINTNTKPSSNRCTRHRSPPPPTRSSSTSYISAPPAARSPSAAGLCRRRG SGSVEHPHQSQIFTSSKKGWKSDDSAFFSTGPPIMKTNSFKLPSASAAGFTGYSRKSS LPTPKLEDKCYRSNSSTRSILKHSENEGKTKVKAESQPMIRSSGENKMKIPPKTPPLT NDNNIMVVPNTNMNHIGSVNLLRRGSAPVTTLKKDTEHLGVGVGNRPNCLARSATGYE REEEMGGYHHHHHKGIRIL I203_07419 MLPLRNFLASFSTNSAAKMAPKTPPNPTVPTAIKGREWLKLGEG VEHATFASGCFWGTEHLFSKHYGHLPQFKAISGYTGGQAENPSYRQVCSGTTGHAEAV QLSYQSGSVSYAELVEFFYRTHDPTTVDRQGPDRGSQYRSAIFFHSPEQEELARKVTQ EVQEKYLKGKPIVTQIVKAGKWYPAEDYHQEYLDNNPGGYECPTHRFYW I203_07420 MSDTGRQSLTDKAGAALKPDSEKTYLEQAGDTIKGKSDSAASSA QPQSQKSFTQEIGDAVSGNQNENQSSIADKAKDALGINKQ I203_07421 MADAESIAQQNPGLDTKHDSAGAKHPATGGSGNPQTGEPFEHAK PDAHQRLDRKDERSHPNALEDAQRVEKLEKEAEKEHEDALRHPTAAAKAHGNKPSAGA VKDEKILDEEEEELRKKDEAKKQSAEAHKPKHH I203_07422 MSTFQDLKKSRTARQGISRPQPSSSSTLNGANGPTPDCVDDELA SKAREVLSNALGRPLGQDEPGLEYLNDPEVEITNVSSYYSQGRKQEVSRGIGHPKDQG KGKGKQPQMSKYTVTKEGKDEVSHELYKSELEGSGLEVHALPGRGRGLITKRLIKAGT IILKTPPSISALQNQHFQRVCHGCYLTMKERNIASCKNEKERYEKKMRGELKIKLNRC SGCKVLHYCSRECQLFDWPTHKHECIALQRFRKMYYRTYPNKRQDDDDLSWTQASPEP VRALARIIWRRRVEREKNGGKDGIWVSGGNMLGI I203_07423 MRLAQQAQHLQHYLSASIPTKGSDEDEDQLLPVNMEDFGFENVQ EVMNFCSSFHVNSFTLSSPSLTPIGVSNSPLMALSNHSCDPNAIVVFPNGAKYMELIA IKDIQIDQEILTSYIDLSCPYDIRQRDLKERYGFQCDCTLCEKSKDQAADWVDPRWCV RHEGCKCGDGKGKMPVTGSKRVVSVKCDKCKEDFKVNAEEVLSVVQKGVDVLDSDEHR QLDYKTAQRTLSTLIPNLQKHLPNHSYPLLSLLRLSSVSHTPPQSPKDLQIALKHIKQ AYEACYEVYNQNHATPTLILCEYAKLLSLKDSEQPKAIDLNGKKGTEMKNTIIRNLLK AIEMLTKAVKQSEITFGKGSVVGKELEGILEGCKEEMERLKRS I203_07424 MVPPPHPAAKVGLSDDATGIPTPESTLRQRKAQASETTSSVSVG DEKSSVDDSKDKEGEEINWGKTSSGEVFRAPNTHSFLHTLIYTTHKSTITRLTLFSLL FQPFLFYLLRNHSTTRSIFFLVYFAFWRGSYDFGFAWLLRKQSEKKYILRWLKQKGWL DLNSDVGGEEGRQWAKWWKSELQLKMDDSYRWEDVPQEFNAWLMFRQLVDVVLLNDFV SYTCFAWSNLHFPTNHSVPLHILRWAFGWSLILFNLWVKMDAHRVVKDYAWYWGDAFW MMVMQNDLVFDGVYEIAPHPMYSVGYAGYYGLSMVVGSYTVLFVSLAAHAAQFAFLLW FENPHIERTYGGGQKPLVSRTPLSWEHDNGVTGESGFAAIAEEGGEGPTPPVTEGETE NESELPELPPSNVEIHPIIRKPRSDSLMSSGSNTDSGYAKPYPATTAGRKPSNKKSKK LSMHDLTHRYFRKPMIVLSQLDIFRANDFALVMLIIYALSTLIPPLSPRLALSGHFLH ALSWRLFHSYGLGLLLRAQSKSKWLVRHYLKHYHYPAKQDVVKRATEEAFGNWQVGYN ISLVMTYVSFAGLAWKTYHLPGDWTVSGTILRHVLGLLLIALHIWSAVSSYEVLGDFG WLYSDFFLLERIPSQLAYTGIYRFLNNPERSMGGAAFLGMWLISNSKLVFALALMSHL SHWWFLSFVEQPHMKKLYGDRLRKDGGLTKTLKNVADKTLSTKGGKRGSEIRRVVQEV KGSIEKVEEKVTEAVEEFLDHARPMFTDMVHDTKILLQHSRERMIITRVANDISAYDP SRYSLTLPTSSSSPVPRYHVGQPIRVSWTAPSNHSRKDWIGIYRLGSNKSTLVTRISS VGKWMPIYEDEWDGDTYLNPSVNEKSDAGEVLFKGEQLPWLPGNYEIRYHHDGKHNVM SRVAPIELYVSKPSNPDSIRSIRSTLLNIVSLSLDNDPKLIPRSAKSKIRTLSSTSTS GSSSLSSSMVKMKVKHQPQSFGSALSQLSESEVTLRRNASSDCDSPIGQEESSPDGKA KKAYMEAEQEEEEEDSSTPSAKSIPILQPNQHSRNLDVHVGTDGEEDIFGSSPSSIDL HDPPSSFFAPDAKDIGEEDNPPLKGGGGIGTDQDDFIIMTPVQAQRISDLAQLAFGVE VSKDVVVAEANVGGLARRVAGARGLTAGLGPAPIGSEKDE I203_07425 MSSLIMLPSRTDAFSAYQPSKNAHLDFISAVDLGRGGSSGSGSS KDGVKTIKLNISVKTASPPPNELLQSLLSSIPAILSGTATKESYHTLSHTCHKLVLQP HTLGPTIYGRVKDELEKHASGLVREWRGSILSRQEGWLGRLVEGWIQWEKRINLLSAI FVYLDRVYSNPINGIASIKELSISTFRKVIWENEIIVEKTRSDVLAWSSKERELESSI EDTRPTIQNITSISKVLEKFVESISNPYVELTNEYYSTSAQEKVNLVQNSDKEFTASK YVEWVLEKVVQEKERAESCLDENVAEQVVKVVRKEAGENVAEKVVRRALDESMDSQDQ GSLSRLYTFSTDVNSFPILVRSLEDHLEAKLKALISDPANDPQMIDGTLKVKRFTDKA ISSLFEPSQDDVIMQDKDDDVEKDKKDGKTMLEAKRLRRNRQFELEEAARTGFKKGMG SRQNAPAEWIAKHLDLAMRRGPSLSDSEFNSHLDEIIALIGFTKDKDVFKAFYSTQLA KRLLLGRSASDDMERNMIAKLQKEMGEEFTSGDIMMKDLQLSETLVKSYQSAQAREPE QYKDAGNFTANVLTESAWPAYPLLKDGWNFQLPSNLQSSIDLFTSWYTTQHKNRQLSW RFQLSTVILIARFANSIRYEIGVSLFQAVVLLLFNEEDALDFSEIKKRTGIETQELVR TLQSLALGRKNTRVLLKKPPGKEVNPTDIFAWNKGFTSDRIKFKINGIQQDMSAEESR KTNEQIHIDRVSVLEATIVRIMKGQKKLSLQMLIDNVISDVVKRFPPDVKEIKKRVES LIEREFLMRDEEERGVLHYLA I203_07426 MAHHANEDARGKPGNYTMMQYFEWYCPSGGVHWKKYADDAEHLA DIGITACWLPPPTKGSSPEGTGYDVYDLWDLGEFDQKGSKPTKWGSKEDLLDAIRKAK DNGIISYIDAVLNHKAGADDKEEFLATMVDQNDRNKLVGEMHNIEGWTKFTFPGRGDT YSPLKWNYNHFTGVDYDAKTETKAIFKIQGDGKGWAEDVDDENGSYDYLMFTCPFVVD HNHPEIEQELYKWGDWILKETGAYGFRFDAVKHISQSFIGEFVKHVRSSEGGKAKAFC VGEFWHDSVDALVEYVEGLGTQFSCFDSCLQDNFHTAGEERENYDLRQIFDNTLVQRR PIDAVTLVDNHDTQVGQSLQRWVSSAFKPLAYALILLRVDGYPCVFYGDLYGTGGDNP QQPVAQLEDIIRARKLFAYGELNDYWDHPNCVAWLRKGDEEHDGCVVVICNGKEDGSK KISVGKEHSGEKWTDVMGWHQGEVTIDDEGWAEFFSPPESISIWTKVDARGREEFKKD I203_07427 MTTIQMTDIKPYESHVEDSKEEEILKGTPVLKSELDNLTRWETV KRFWKAIVICNMICFAAACDGYQINLNGNIIANPGFVNRVGEENAAGKIALTTHATAT WGAVQSLGQLVGMWLLTPVSDRIGRKYMLYLLWLILFASIMIETFTKNWSQWAAAKFL AGTGIGCLQATLPIYVAEWAPANIRGGMLLAYSAWNHTGGFFGPLILFICKKTLGESE YKIPILTQWAFLGIMLPIFLYLPETPSYYAARGLHDQGLAVLKRVNGGVKGYNVEGEY QIIKNIIVEEQERLAELGLEEHDWRGVLRSYVECFKGSNFKRTIAASLPASCQQLTGL AFLSGYASLFFKEAGFTNAFEITSILFGIKIFFVIVFALTTDRFGRRNIVIYLAGLCC AMLLVIGILGHVPHNNATKDVLIVAACFWSAGSVGLGAFGWSFAGEVAAQKLRARTSG LGSGIAVIFGLTFNTSVPIMLLDGGKRLGNNTYNTAFIFLGFGTVVWILTIFMLPEVA SRNPAELDEMYEKGIAPWRMKNYVTDVQKAHTARTGQPIPGGQTDEQR I203_07428 MTSPQPPSTPKSNRPTITTPHRRTNSASHASPIDFKPKSPAARR MSMTSTSQSASLTPQTLAQRIVENEQLMLVGLVSSLMLCGILYSLIQSTSLDTSEIHH HTLPHRAEYFARKSNIFNVIFVKRAWGWTSILYLLHLFSSPTIPSQMHTGLGGRARRL GIWMLATMAWLVFTSWFFGAGLGDRIIALTGGNCAVQIPTGVDLKIARETFPSLFTAG EKSTTTNGRIYVPLPHQYCSGTPLTSSTFPQLFSLIPSHSDIHATSRHESLQALPRPR WHRGFDISGHAFLLTLSVMVLGRGLAETWRSWASTASGTKSRRVIKKDDGYLALIHRW SGIAATGLVGIWCWMILMTGIYFHNPPEKLSGLVLGLSTAYLINILIPPSTPSSLVNP TSPFSRPISGIGGMFDENAARRGGVVDDGVIYENPAESDSSDEAVDKGKDKTE I203_07429 MPSFFSLPYILGSLVVLGVAQACYYYVYLESPYDYYYRYCNVGC AGMDQNDENFNQCCLPMTSGQTAPAVCQTLSASCAAAGTTCTWGSAVPTSDQPSTSAP PATYTPPAYTPQARDAAPPTSTVWITETTTTPCATTEQAAAPTTSAEECECEDEEGET SEAAVPISSAPGEGNTPDEGFNSDSRKRRRRGFKVRDHCVCPTSTPAASTPAPTTENA ATPTTDSGEEECVCPPEGETSGAAAPSPGALEVGTAAPSGFSGDSRKRGIRARGGECV CPSSTSAPPPPPPPTNTECVCPPEGETSQAAVPTSSAPGEGAAPSEGFTGDSRRRGLM ARNGDCVCPTTSAPAPTTSAAAAPTSSPEETPCECPPEGETSNAAVPTSSAPGEGAAP PEGYSGDSRRRRGIMVRDTCVCPTTSMAATPTSSAPGEGDTPSAGYAGDSRKRAIVAP QARDTPPAGYQGNDKRDSAPGENHRRNTNPVQTVTSTTYVTTDDCAQQTSVPPATTAN AAVPTTQAPSPSATAPGEGDAPPEGYAGDSRKRKFRRWSDF I203_07430 MPGKYDDAPLPFTSTAPLPTRRQTLKSGGGGGGTLKRGKTLTRP ERHVAPVPLIAPPPTQSFSPSSPLPESKSFMGDLDWWRLWSYATTWWAPPVVLKWFGI KEKQSRQAWREKVTLCWIAILLGGIVGFVTMGLQKALCPDGGSAGHLYERLGENDLTL SISGYVFNISTSKTQETVDFYALSKQMPGQDITNLFQRTASDYPQCTSTAKYASTPYC NTTTTTTSSDCPLAKPGDSTYSTLAIQNTSKIEGYSWEQVAALEDYMVIDGMVLNMKP YLDANPTAISGDEVDKAIRQVLKNQPTSGKDATRLFYHRKVMQDSIPCMQARYAAGRI DKVAPGCFVASLFLYTSLGVILGVVLVRFAMACIFNWFMSAKLVRPPKDLARTAISPA VMPEGANMSVHNRTGTAPWSNTNNSGKQKLKNGKKINGLNTSSTTLVNNQSTEPLISL AKIGAELFTVCLVTCYSEGEDSVKGTIDSIASTNYSDSRKLIWVVCDGMITGHGEKVS TPDICVSLMDADPRFGNPMPMGYIAVGSGAKRENRAMVYAGHYVSKNGHRTPTIVVVK CGMPSEARDKKPGNRGKRDSQLILMNFFSRVTYNDRMSPLDFDIFRKVQTLMGVTPDY FETCLMVDADTKIYPDSLRYLVNCMHQDNMIMGVCGETRIANKRQSWVTAIQVYEYFI SHHHVKAFESVFGGVTCLPGCFSMYRIKARKDTDNDWVPILVKPEIVSEYSQSEVHTL HQKNLLLLGEDRFLSTIMLRTFPRRKNIFLPQAKCRTVAPDTFSVLLSQRRRWINSTV HNLMELVRVRNLCGTFCFSMQFVVFMDLVGTVVLPIAICLTGALIVNSIIDPPNSFQE AIPLMLLGAVLGLPAILILITTRKVIYIAWMICYLFALPIWNFVLPVYSFWHFDDFSW GETRRVEGEVRSKAHDDKTAVFDGTTVPLRRWEDWEKSRLRKLRRDEKKRREMERQFG AGFHGDNDGLGLGPTPRAAWTRSDYESDSGSVFGSEEDVWGAEIGGYNENNPAFPPPP IALSQQSHHDHGQGQTLGQDEMAAILDSGFDDGPPHPPQSSFRNERNVPSPLHRHQHD YSPQMRYQTNGDYISGPKTPDMPTTGDSVSSSIESRPQGSGHAKKRSGGVNNQKARAQ AQAQNYGPLGPLEDDDTGWGGDFKAGYPAFVGCVDDQYYPDDSFDVGQFDDPISCAQA CFGQPEQFIYSTWTLVPQDINRCYCSNTFPESNLVQAGSDSEGSCDAETQSYIHITSS TFDLVGCRSTQSSSFQVIVSSPQQCLASCINTGYAFLSPQGDGYHCSCGESMDVGPQS VNCGPDVWSTFQHTSGDFVANAPSGFVKRQKQLRKKRLSQEKYEYCPAGLKACKVPGD ELAFECLNVNTELESCGGCLYGDYGVGLNAPGGSYGVDCTSLPGVAMGAITCTSGQCT AFACEEGYELTRENSTCVSLF I203_07431 MSPHRKLCISSKRHPTQIQDIFLGLALSLSPQPTDRKPDGSDPG RELEYSAVLHDGTGVVELETFHTRYYSHGKEGDDLAEENKRIGREVLGLIRSIQTDKG MNVRMVAVADPVPQEFKGHQGVQFFSTLWLHVDVIPILVTPSTSIFTKLPAPSTSASA TAAISAGVKHLHPATHSATTADVDPVDHSVQVDCDGQVKLCSIVQYKESTSEALWDRF TALADHLVKNNISISFFSATPQGGGVALMRHAMIRLWRMVGLNVKWYVPEGHPTVFDI TKRKFHNVLQGVAPQDMDLTDEDKKWFELWTEQNYESFWSNGAIDASIIVIDDPQLTA LIPIIKKKRPDAKIIFRSHIQIQSDLTNDPQTMQHRTWNYLFDFIKDVDLFLAHPVKI FVPKNVHETLPVLYMAPSTDPLDGLNKPYGRASVRYFRQYFNQLSLQQCGVHIDWDRG YVCQIARFDPSKGIDDLVAAYLQFRKKLENSAKPPVDGGPQLIIMGHGSVDDPDGSWI YEKLHDTLGTKEYALVRDDVAVVRAPPSDSILGCILQGAWVATQLSTREGFEVKVTEA VNKRVPIIASDAGGIPLQVKHGKNGWIVPTGDRSAVANLLYDIWEGKVSVHRDLSGAN RDLDGKTDPNSIAQAWVGDFDKEAQKVHADEGATSEDFWTVGNSTRWMLLFDRLLGLS PEDNVSQPPNANGKETTLGNEEEFGKVKITEKQVGLLKSMEIGKKLNDKGIDGVNVWE MLMGNDMIKGEGELI I203_07432 MQLSLSFKSFIFFLYFTLITTSAYQLTLNEITNNMADKDVIVQF KKSSSTEERQKIIEDLKAKGATVVKDDNINSKILPFITVRLPESDFSTLQADFGGDHD VVENVGE I203_07433 MIQPGDGPSSGQVRYKNVNSEEIAKRARVTIEKVSPEQLPLYAP RLSAIVHSHMLLDMSTFFTFPYTLSDSLHLFNNIASQLVSPSAKPSFPPPLGGIVMFV AKSYPSEQPLESMDMEGNPTSYPEIVGSVQLGFASMPNGAFRSEVKKMLVDTRYGGRG VGKALLRGLEEEARKWGSTTCMLDTEQNSFGEKLYRSCGWTELGVLPRFHWPPDKSEQ RSTVFFYKHLDVDEDETNGQIEKRQISDGKSD I203_07434 MSQSQSATLRPSGSASASASGSGSRNSTSISIPATAAAGGITVT QPPSTASASYYKIAKDSWITFGWNMTSLYVTPTSLTVVASCSANGNVYPVGPNPTPSG NASANVFPGNTTQVVWNPYDWEQIPGQVPFAEATYVLKIYDERGDGSAVKGGYLSPYA GTNFMMYRPGGYTSLSDGWTCSTCSSAFETFSQPAHLAIFTSLFITILTAWGILRR I203_07435 MSEDKAYHDDVPPPPYQRIAPSTSRQDPQSFTSTSNTLDSSSSW SITHKGKIDISLKSKEQDKSQDSLGDLQYGITPDVREYAIDPNGNGAVPPLNIVVFYL GDEFGLIPLLTIALELFKTHSHRVRISTQEGHRDTIMRYEERLYGLNAIDGEGLGEGL EDHLEIYDALAGSRFSLKNWSDVSSLAGGLKKMGYPAIVTIKGMKNTGQLPLDVFVLE DQEAASWLYSSNKVSAIIYDGNSYLATLAIKYSAPSIAITLSTGDMYWLERLSHLGAS PRPMTLSQVTVESLSVALDEALSPERKVIATVNS I203_07436 MLSSILMNGHWDMWLPGPKEYAVTQADSDPMIGGVQAFLLDSIY NEVNANPITQTNNGPRPITDLKSGMKEARREMTEGVKDGVKGFVNGPLDPLKRGNLIG GVFGLIGGSIGLVVQPLSGAIRSIESTTRGISSEISNPKPKQLAPTAATSPSDVLRKP RMEISKSHARFVSIQSKRDILDRWKECKTSESVLERRRRREEILSGRGSGSSSWSAIP REGIVIKYTRDNPSGSGEQDRERKWWKGKGKA I203_07437 MSAFLSGAAVECGPSNVLKNVQGRLDRDTSLQQDRLVSTPNVAS SSKQPFKSQTSSYSPPAQIPQNAQSPFDITSLRQHLPPAQTSLLNPQASSWANAFSVH TQSQAGPSRPQERTVPRQSQAVTHGWSHEYAQAQDSTIPNHQLTRLSHPLVAPWKRPQ HHPAPDISTQLHQAPLQHHPPPHVTTRPSSVPARQVSPISHEPLTESQDILAKTAQTF VDELEGPDGIMKANPKLAQSNFISLLRKVGNGNVVIDEGKQTYGDDIGEGANLVERGM LMERTEEILENDKNDGAMYNWAESFNAASPKDKVGRIDERNLAQPMGYRHGVMPSLDR YDTPAPNLQTNWESSFQDQEALIRSSENASRKSVHFDSDVDLSSASGVPKTLEEALRH TTSIPGMGASWEEEGLDLDDFNDEVFMGYNGMMKTVPPTEVNKGVGNDQTWGRLQNDW ENFQRAEPAKQQLRGMGVDDRYLFQRKNPYFGVSEVASYWEMAQESPTLKGVLELEAA VQNSPSSFEAWYNLGLKQQENEREESAILALSKTIQLEPDYRPAYLALAVSYTNESEI EAACTMLDKWISLGEGLGTNASRVDAQEGGKLRDRAKLIERLIDVARQDPENVDSDVQ VALGVLFNATEEYQKAEDCFLAALSVRPDDWLLYNRLGATLANSGRSNEAIQYYHKAL ELHPNFVRALFNLAISYINLGHYSLSAQSALDALRLQHSDASEGYSFGQEGSKVKSKG VTSEALWNALRSACIHMNRHDIVGLVAQHDLSGFPMSFGDLEAQQ I203_07438 MSNLFIPSFTGPIARSTLAGPSRLPHRCFSSIPRRQVLGRITPK RIAVPRSAIENGQYAAPAGYTNSSLPRRSIWRPIVFCGLLGGGGYAAAALYTNNDTQK WGDKLGGSSWWRKGQSQPSDREIHRAKQLEGARVAQKTLNNLPNTLSFLPNFLLIPVL RTYVMASEFYLNTPSAQLAPMGLIFVMGNVFVWWKLRRLEPFMRKWWLHRPIVFGTKR DEWRNCVTMFTSVLSHQSLPHLAFNSLALFSFGSAAYSYISSSPSFPALSSSTHTPHF LAFLLTAGLFSSLGSHLWTNIFRYPALMKALTHPARISSPQALMSHQGILPSLGASGA IYAALALTACAYPDSSVGIIFVPFVSVPIGMGVAGMVTLDLIGLIRGWRLFDHVAHLS GALFGFVYYRVGREVWQWTREKFGAEHKGSGLF I203_07439 MVITTENAFKVLQGLGRTSAFAITPQVARCFRTGKVAEMQEWER SKKSRDVDEDVKEHRSEKEREQLELLAEISGEDVVMEEGNVEERLDAKGRPLIDITKI KVGHTAAFDKSFRLRLPARNPYSCKAKLFIQHNHVYVNFSKRVKKQNELRVPEGLLSE HAIQLWYDCVKSAMNVLLVAYPDDIGPSKYPHPRWSADLASQIVMNRLPEQVDPIALG MSTIRRRAPIDPTVSFDTVQLPNISYYSAVKTVNITLPRRTQIYPGAKEFSIQRGLQS FREDHSPTITSPQSSILGQVRVNSITEQTKDPKERSDADRAVGWFKWLCYEVGLKGRM GMSYDQWMKLFLDELPRCLRDNVQSQQRRMDNRHFTVHPDQKDKSKLQLVAVRSNFMR TDTYSVHQLGIQLTGMDAATAAKFSLWINERYMKNRDVALTLIEFAHHVDTVRGYKDV WQSATDCSHRFLGRGALCGSCLEKAILQSNDEYLQTCSEILKTARQDTGGAAMLLSAI SKHDGYRRRAEALKDKGYLQLSNVLALQATVRSWEDSDRDIKDAVLGLGGLGKRSEMA GHDNVLMRPSVVRRNRAYVESGSTYLLHEKDVLPTIAAIGIMSATNSLAATILVSKAT KIAQSRWEDLIADQPSEEAQKLQDSMRKIEMELEALNSLQLQIPYRISGIAQTEPAIL AGPLQSLEEQLEGLLIPQTPQSE I203_07440 MLFDVPGLRWINQEARDAMTEEVAFSVDEREILAKDSERRVAII KVVLLQSGVLTDKANTSGLSAQNLLERTFKLRDRFLNALGWSPDQPQLGGINSRLLRR HQYRVRVGENSKSPLDFPKDAGVPTSVSLRSKERKHRAQTPERRGRMKRFKGTIRDSE DEDELRMVIDDPFY I203_07441 MLLSPRPAPLTHTLDNTSLPIPIVSPVKGKSKASSPAYDPRSET HDEEEVKPKISRSDSRSRSTSLPACRTERRFKCLHPGCDKAYFKPSRLAEHELCHTGE RPHKCPNCGQSYLRASHLHAHMRTHLSADAKPFKCEREGCDKTFWTATHLKRHHDVHD KAEVYACDQCDETFVKAHLLRDHVIVTHMPEGAKPYPCSHEGCGQSFKMKAHLKAHEK THDPNRYTCSHPSHGDDFPSFPVWSALQTHIHTAHPPVCPHAECNGRVFKNAGRLKDH LKVHAEQAVDKAALAAKQPEGEIPQIIADGLSRRAKRRRISEINATDDGGSSPKLRRV LSGEAGKDWWCDEEGCDKRFKSKFALEAHRKAIHLSLRPHICPIEGCGKSYPHKANLT RHIASHSRPTTPSGTTNKDDTVANSNGLVGKVKEARRFGCPAHAFAKFAGLSTPTTGD INLTTVTDISDHQIGRDDEEYIPSMNDGRCLMRFWRVYDIRRHLKAQHGIELEDMETR RLLLFDGQTGE I203_07442 MSTYNESNAPTRRPDIKRKLVVVGDGGCGKTCLLTVYAENRFPE EYVPTVFENLITMIPSPNDPTKIIELALWDTAGQEDFDRLRPLSYNDTDVILIVFACN HRPSLLNVQDKWFPEMAHFCESVPILLICTKTDLRSDPQTQSLMAAQGTKPITSIEGE KVSKEIGAKRYLECSAKEGWGVKEVFDNAIKESLKKGGRGKGRGKKCVVL I203_07443 MYDRTGDTKQPTNEAHEPRNDDEGLSDEGISGGSSPHSSSSHDI TTKLQPRMNTEGKVEEHTPKSALEKVSNLIRSGQAKNIILLLGAGISTSAGIPDFRSP KTGLYHNLQKLNLPFPEAVFELGFFRKRPEPFWELAKEIYPGKFHPTPTHYFLTLLHQ HKVLKRVFTQNIDTLETLAGLPEEMIVEAHGSFARSHCLDCRAEVDREKILRAGVRRG EVVRCDNLVKPKGKKGKDKTVCGGLVKPDIVFFGENLPYRFFNLLPELKQCDLLLIIG TSLQVQPFASLIDRVPSDCPRLLINREPVGPFERLSSSGALPDSLKKILSPKSKDERD MYWEGDADQGIYELVQHLGWNEEFEEVMRKGKKDLEKRYKDAEKLEGKVDQDVRAVKE IVDDRDEAEELEGAIRRQLKL I203_07446 MIAEKLAAYSSSPNDDSDEAEESTKRTRERQKVIKGLMSEVGSK GLGATVPFVEGRDSGLSYAQLWKLLLVKNDPHVVAAYYMVSRLIIYQKHNSESFESKD NVSVPPIIIDLTVSLVKEIADFKAKDLTDFTNLPHPIQSSLWKVKRTEELPSDYQDYD FFRACGISKRSKLSLKLFETFHRNEGRSIAHAQQQMRRYWNFEEEDNEEQILIDHLIE DVVEQMEIEESRKEGRRSKIVKDNGRKIRLFDDDDSDGDYRPRKKPFEDKHLYENRQS TKKPQGNHTTRPLTAAKNDLRRQFEILEESKKTSTK I203_07447 MTGNNNVVIDMKKQYTPPKGLYPSPTYQLLSRNLTSASEMSAPR VIAELVVTGIRVLGKATAAAGQQAVRNFKHKPEGAPDSGPVGTGSSKNKITSQLNMSL DEAHLILNVKKDDPMEVIQKHYDSIFTANGPPTVKPETHTTPSSSAKKSSRTPTHSHY LQSKVFRALERIKAEREAEAPPPPSTPEAPIPTPASTIETSNPISKEATKTVKEGETV APPPPPGSV I203_07448 MASPYSPTERRANSPLFDFSAAPKDKDDDGEELLDNVDLDAPAP NPDSGKDQSWRESSASSLSYASPSIASSSRPPLSTKSSAMSSTTVSTLLTSGGGPTPL VLGVAVVDFNHLIGPTVEFAYPPSLQLAIQDDEDWMRLLPFLALPDGAHLSEEDYSYF HCTYTPSGGQVPTDVPSSQTLFGISCNRQLASSELTRRPSDVTRSMVQKAVVVIASQP VFGPIRDKLGVVTRAYFAQRDFTQTEILEDFYTSLESSLEGKSNEGAIYIGTSLRELV HKFRHRTLVLLKLLMLQKRVMLYGYPVEKLCTYQYSLVSLIPGLLMSLKDSGSPDLDM KKTKVRPTSLRTSDRSSLLRYMGLPLQVFGKDSFFQPYMPLQQIHMLQAKSWLVGTTN QIVTHQKDCKYDLLVNIDTNTFEFTDPKIERLVTLTPADRKWMDDVVRTVEESWSLPE GERPGFKGSDDDLRSRFEEYICGALSSIKYADFLTKAKRQDISIVGVAGDGPILSPFS EHWIASFKSTPAYEIWNGCTDPALFDICEPRHPCEGKVNAVSDLGLRLAEGLHDLHLD QQLGPTRDALSSAFAAGSSSLFKAFDGVRSEVNTRLQQREEAQAQKTSKGTSTPPTTS TPPNTGKQMEDIKATLGGIGSGIGSFFGSRINTLRGGQTGAASAPTTITPSAGQTNSK DENGAKGLRPISLMGGSNANSSNTGSIGRFGGWGRGNSTGQ I203_07449 MIPIAHGRQGDIYAIRIQEQDDPNDHGSSSSEVFSNNTVSKDER KDKYGHADRSRGWKALKIVYAPRDGYIRGNEPHNVLKEVELLRKIDHPNIITLLNYTF DEEILQHRLTLPLYPMSLSDLFQDPSFSPTDVDIPKIISYQLLNAISYLHSMNPPIAH RDVNPSNVMFDKQGRLKLIDFGIAYSPKSGESRDSFLVGGVKMVEGDGIKANEGGRVK DHEWEENVGRSKEDDHNMCCDVGTGSYRAPELLFSPRSYDPLKVDLWSVGCIVAQFFR PYGFALDSPSSDTSSSLSNVDSSDSSDEDQMDPLDNEPSSSIQTRRPLLDSTYGSLGL ASSIFKVLGKPSPENWPGFTTLSDSDKIDFPDSTLRPISDHLPLLRHLSEDTQIDVLE VIEGLLRLDPESRIRADLVLVMRWVKDIHDVCCKAKEEGGLKQRLRIAIIRYGNLESE THKGGA I203_07450 MNELNIRFGRKLTSHQIKSKSPFQYDAWIWPVNVGGEHWTSVAL YPSHQVVLYYDSFGGGGAKCRECCLLMLEWAEMVWNSEGRNSPFPGNDWQIVQITNGP RQPNQYDCGVYCCQALYELAQGLPSRRLAEGWRFGGTDLPNLRMVMTEEIATRRLRVR F I203_07451 MGSSEPTTPVPPPQEQPSDSTPWWLRKLGPAGPPYPPERFLFSR KLGAIGPVPHWRYDPTLTALLHPPVAPKAGSSSLELPRPPASPSISHSMAEQKGVVSV KEEPDDLKLSRSEEVALKAREDKSIEVISVGSSSPPSVHIIPSSPALVPDSSPELSPQ IPSSVPAVGASNVASRQQSRSQLKSKSVRHHAKEEASKAIRELAEHDRAMKELLEKQP KGGIGKGKGKGKGKK I203_07452 MVRISIPTLPKAPLIPPSGLSILPLPQAASYLAQFLENGKGNTV MLTGAGVSVDSGIRAYRGEKGTYSNPSYKPILYHELVEDSDRGDMFRRRYWARSFLGY PPVRDAQANPTHIYIAALQHLGLAPKLITQNVDNLHRKAYSLLSPTYDKSSILELHGT LAKVHCLKHRHQQTRDIFQEDIARMNPIWDQEAKEAERTGTRPRTNPDGDVELHGVDY RSFNVPPCRICEKEKAEASMVKPNVVFFGETITPEVRDESLHLISSSSSLLILGTSLA TYSAFRLVKLAVEHKKPVLMITTGPTRADPFMGNGLDKMDRVAGDVLGKYLDEAVKIS TGKEVEDVKRYLHTGVVKRPPEVEGPRAEG I203_07453 MSTTAIRMSSHAPSPSYVPRSSLPSRPNSPALPPKPAGAFHHGH GRSSSTSRLDSHSHTLSPSLASGQAPTPRIGPMERSSSGLRNEVKGDSKKERSKAAEA ILKILSSLPAPLPETLPTTFLPTPSTSPISSTPSPPSARSFGEYARSSVKRNKRKRST DSSDSSGSDGPSSAGIGLGLGLSMSPTDQTARKKVKNDTPALDRRTSLSTKKLGNSAL RNELGEKEEGKESWSRDKWRKMAQVYRDRALLLKRHGDAYQRSASAHPQFTSVLPHDP LKGLLSHTDAALLWLYSYFCDEQGGGRVRSTPYNESANLRDFVRRSWESEMRKAPEEE SGRREMAQAMVGLMHLIEAVICYHLTSEQLAHLSKRGRELASSNGTPTKSPGSTTSNS PPNSASVQGPGQPPHPSPAGGSGMSTSSSPESTYVSYSLPPDLLPLISTSTSSSSRAQ QHLLTSRHHLSLKLLRTWFPKTFNFAINSELNDEALPVPGDSLGSARKIDIDSHPERF AWPIELGMHNPVAHTVAFGRCLVEEMAEEMGKEWVRVLE I203_07454 MSQPIAITFHFPPSNKPSSRTLSKRKVATNNRILSSPYITIDKS STTSKPKPTPQPIITAESTTSASTSSSSTAIPTSTGSKRRKGPVFVVPSSDKLASLTP LLRPIVDRCSTTAIPSSTEETSLSHHSPSRKRSKKSTPVPTILPLSHHPYSRLSDLSN TRSNVPSPKEVKRNRRLEEEKVQMKKENAAIARANAIAKKKSNRKTVSGGGRKGTTSR RSSFEQSKPPSPEEKTVPAITTQAASEDGSSPVLGGRSNGLKRTRSQGVIPILTSGLN SVVGSPVIGSPLKEVMSREQEDETGPRKKSKLSTDVTERSNARRANTHSPVGTPSTLP EGLDADLQERLTKTVPLSHGQVLPKSVTGGIGLRRSVSNSTPPTTFHRAGSVASVGSS DNGRIRRETQLPERLRDYEMKAVI I203_07455 MTARTSNNGGPVLQHHQSSSSNPTSSTTTNFRVPRAKGLNRPTT WFTSSSFGKSSTSSSSSSSSSAGGGGSSSSTSSSATATAAATAASPMANPFAVPSSSS FKSPFPSSKGKHKLSPSPSPSNRQQDDDFVMISPVRTTYGLGVNLHPHSVHNGYSPSA SPSRLAYLQKSNSMMREDSAASSSSPLEESPTPACGMASATARLKLVDDESPIRRVPG QSRSLARAGKTKEDPRSVFLSAEKERLTLGDQSSPLKFATSHFMNTGDNDEEDESPFS PPTPIMGNGLPVPPPQPLFPPMRTSQSQDGTSSNSLFSHKADSSRIPRLSTSHPLRAR AGTDAERPTLGSITKKAISLDQIPTSSESDKDELFGSTSHIQVKKTRPASTFGSSGLS GLPSSSSSGRAHKRINSGEGLPHAANTASLSRSFGAKSLALSLTPNLGPLPDFSYSNS SLSSLSTANLTPPASAFSPAEPPIFEDVKPLQEAFEQPHNTVSRKFKPRDSGVSMGDE DDRPKPKLLIPPPSVMRPTASVRPRRPAMLKRTSSMGDERSSSSSGMDVETPGITPMM ASGWPANQNAFDFLGETGVGLGLKHGGNEAKPSMPDTPVKKNAFTHSSTVPRGIGHSS SQPTLGSTPFESDDTNGAPLEESTKPNIPSTSRSSFIPPPSTKKLPPPSTMKKRPGSG VPQLTLTTSSSPDWGGSPMDTDDAASSPTVGMGSKAAQSQGLKPLTLGAGTGKMNRVG LLRRLSNGVASESEDEGTPTKGGGEKATLAAARSNVITPTPSPKLSSTATLHHISHAK SPPSSMKNISSTGSGIMPRLSLPALPPSSKHQHHQRTLHHRQSHPATSTIQPAEEDLF EKKFITLEVLGKGAFSTVVKVQDRHGEGLWAVKKARGVFDGIKDRLRHLEEVDILRHL SKNPCPHVIKFEDAWEQNRQLFIQTELCLGSLSFFLEEYGRVVERLDEGRVWKIVREL SDGINHIHSNGVIHFDIKPANILISSTGSLKIGDFGLATRYPRIEPSEILKGSGLGVG SNQNSSIVAFPSKSEKLEREGDRVYMPPEMLRGVFVMAADIFSFGLVILEVSTNICVP DGGLPWQALRSNDFSVVDLSPLSPALCDLITQCMNSEPECRPTIGHIVQHPVIVRAKS KSEKPALAPEDKNWLVDVLAGGFAIPSSTISEDVEMMDG I203_07456 MHAQVYYQILLSLALLPSAFASPLRATNTDSPASKGTIIPITHR SPLLSHPILSARTDDYIPIFDTQAAELVQKELKAVKNKYSKAAQYLSGVQVAEADVSF QQPNAALPVQAAQSAKSITTTRASTATSSIQSTIPSISSPTTTVTSTAKTWSTSVTVN AANTVSTSVITSTKVPPPSGTGIVAPLASISIDNDGVFNMLGNHAPLLAPIIGSHTRS AVSKSDPSASATNTVSTSTFFPRQKTSTSTTSNIVVSSTTKNTLPSLPTSLVSADLPL DHRLGDLHVRGKSSSPIVPLTDYIQGSLDVLYYGNINIGTPSQTLSVDFDTGSADLWF PVKCSNCQSAQFDSSRSSSYQSTTQSFGVQYGSGSVSGQLARENVMVANTMVQGQYFG AVNSESSDFQGNPNSGVMGMAFSSISSSGKPTYFENLINNKAVSSPLFGFHLTRRQAQ GSQLCIGCYDSSRFTGGISWIPVISQTYWSVSMTSFSTNGGRSNALSQSLIGAIDTGT TLIYVPTSIADAFYSQIPGSSRADQYGEGFYQYPCKASITISLGFNNKNFNMNTVDFN LGKTSSGSNLCVGAVLAVADGFPDNLAIVGDAFLKNWYSVYDYSNGVRVGLASSTNNK I203_07457 MSAPPQYTDNPQAGPLPPKKSYGAAPTDGDVEATEPLLATAQAS TSAQRTGNAWMDEGSSDDFKVGVNVIDCDTEIRLAFIRKVYSILFLQLLLTSVVSLGM SYPKVSEFTKQNSWIIWIPLIGSMVSLFMVYWKRHHHPANLILLGIFTLFEAMSIGFV TSMVEGRIVLQALFLTLGVFAGLTLFTFQTKFDFSSLAPFLFVGIWGLITASLVQIFL PFNANVDLGIACFSTLLFAGFVLYDTQQIMKRLSVDEAILGALTLYLDFLNLFLSILR ILNNQNNR I203_07459 MTHSVLPPSLKRPRSTSQPPSPTSSSSPKRAASEDPSDIFPSLG GTNSMVGTSSPLRVDKDGDGDADETGSKNWVERTGEVKIGSSDDGNGEEEGDKTITQD GPQTGNVWKKRYDETLDQLSPPLVPYERYYILPKTILTKLTELAYDEDVYQTDTSTLP EELKAAMTRLIPDQSDETFWVIQSKGSHTDGYQLIGEAKKEQVWALGDAEENRDFVFI PSEGWQKFIEWFGPYEGPILPRYCVPPENIEIQPATIRLFVVLAPSSTYTKPENDESA QVVLMCPSTASMPTFKEFVKSVAAEKLGSSAVDTTTPIRLWKIEKTPSNDEKLLSSGP LVISPSALIGTTGNYLPAEETAQDLAEAVLGSSKNQIVAIEIGKIEVGNTVWNVDIDG ENKAVVKKTAPLFSKPAFFGGSSNALTVPQASTSSNGAVQTRSQSKQDRKGKGLVGLQ NLGNTCFMNSAVQCLSNTQELNEYFLSGVYTEELNRDNPLGMHGKIAEAFGEVIENLW SVPSSSYHSYSPRTLKFTTSRFAPQFAGYGQHDTQEFIAFLLDGLHEDLNRIIKKPYI EKPDWKAGGGDKDLAELGKECWDGYKKRNDSVIVDLFQGQLQSTLVCPECHKESITMD PFMYLTVPLPIAQHRHMKMIFVPRDVDKPPVLVKLLIPQNASFAQVKERLAALTGSKA SHLLGFDLWHGRPYNFWIDADHNGEAKDHDVIIFYELDAPVSATRRSVGTVPTDESVT VPVYTFKSNENRSRYGNNYPSDNHAKPFFITLSKSDASDPAAVREKIMQGYTRFVKPE LKDKLYVHASSSKAVVATPNTPKQEEDTLPVTEIHLNGDQTTVIEVTPNEEEATMDVD LPNPSSNPSGLHASPSTTSLVSQGSTSGRSLAGSVSGKLVPRADLFKVYVSEPASEPM FNSFKTKEKINVHRIYSKDPNEASSSWSLLESRRKPKKALFKRMTAGINSIVSPSYTS EDEGNLSDSSTPHSHSHAHSGQPVVRPGEGIFCEWSAEAFHDWLDEDIMGDEIVDPAI EKELAKKKEGRKITIEDCLDEFSKEETLGEDDLWYCPVCKKHQAATKKLEIYKAPDIL VICIKRFGSSRRMGDKLDNMVNFPIDGLDLGERIGERKVAQSLKVNGTNLEELGIEEH EDEEMIYDLYAVDNHFGGMGGGHYTAFCRNKVDGQWYNYDDSRVSKADVDAVQSRAAY LLFYRRRTKRPIGGISRIKAEEASRAATPFQPSSPVIQPAPSSTLQGDVSSPSSSKSD ELPAYSDIDDDDGNMPSTPVVPSAQVSDDEDMTDSIDPSLKTGLEQETNIDLGQVGQS VGYGNNAWGVSSAEPGIKHTFGQNLVPNTFPSSQSETGTGTPTLDGDSDVEKEGESIM PGGNEVIGGKDE I203_07460 MSHSTKSININRNLCPAGALGNAGAGACSISSPLLFSATHNPNP PLAIARAGGQGGQIYVYAEDPENPSTSYASFAGGGRQWGPEASESSETMRESLTSYLH DPLSQQPGRSVQVDPLTPPSSFTPSVDIPISTTTPRHIQSTSLPLTPVSSSSLGDSNT SPPQPFKSNLPSTQTRTSRPDKRERQIISLISSIFPTHFQTISNLSITLEIVTPPSNV LKGFIVDTAKNGRTVFVHMEPNSTNEGALRPETLSPNFSQVLRPHDPLLSISPPKLSG GVGGGMYGMDIRESLTALLDLSSDALEANNLVLVLGRQEAEQDVLAETLHSLMYVGGQ VLKSPSKTLGGWEWDIRKWVLVGMEL I203_07462 MAGTITITISRSIRNLFLLIFATVLLITFYRSATSTSGSLSTSY LLPSSWQNPSSSSGGDIPEEIANLIPAERELALKQHEYANNMQPYFPPNPHWGGGRED YKFHSDVELRKLAVCTATNTCRENQTNVIILGYIHAHFHIYEGYMGGEGIWTRSLVDT LEKWGYTILHARDDWPYMHYLHNQIPDMVKAIIAWRTGQYGTFDDQVKTHGRGNGIPA WKFFVYNYFPDHYTSTVGDAWNVHSEFGYSKKPRNFTFIPYVVEPATTPPYTPAITRP YQVYILAKYVRYFYPGAQPAWEDRGIFLRAKNILEKEFPGFEFVVGCKDDRNAAQQKE IPMEVPAGVRNLGQMDRLEFERQLANSRAMLGIGWPTISPSPHVALSLGIPFINPYSM NPGSRKDDPESWAQSQHHTLKVLPPPHIYNVLRNNETEFVDAIRQALLHPIEPFILPI MTREYHEKQISEWLHTDWRSKAEAILEDRKKGIETQNGKEVKLFEL I203_07463 MSTRHAATRLLARAGSSRQTLAPIASRAASTSTSTPTASSSSSS SSSWQPALPAGTSPAYDAALSYLSYHQSTTLSKLDKQRSKLDANNPDPDLLQRIDQLE VEAYSNDPAIRRSFRETGGKGQMGQMIFRWLSEEKWKKQGGLDLLMQRLLQMNVVPDL LPTLPPTSPLTITLNQVIEPGSIQLSSLFSNPPIIHSQLFHHPSLPTTTQPNPEALHT LLVIDPDSPSHETHSFQQRVHFLKRDIPLSVLSGEVNLADTAVGKEVLGWESPAPEQG TPNHRYVFLLFRQTQSQSFSSVSSRENVDLREYLSENNLVVDDLVGINMFRSKWSLEE NEFINNVYVNQRGVEGGAPVYGKVPKQVRYGYPMSAKRQRIEEAREDAWNHAVAELKG LVHDVEGLAGSTGEAEKVKV I203_07464 MFSSSSSRRSSISPLPLLLTLLATALYVTGLPYNETLDPWNLNK NQDAGDDVLQYTTTRSNKTYTPSPENWRSLPTYTLLLDKWIDGAPDNNDFFGLKYEYD IKETQLRSGGDASGLMDDRGLDYLQAMGYKAIYIAGTNFLNMPWQADGYSAIDFSLLD PHYGTLDDWVKLIDKMHARGMYIILDFTVGTMGDLVGFEGYLNVSTPFSLDEHKAQWK LPDYAPWGFQEYPDFKFTNNYNDSCTYPTFYEDDGSIYDPGKTGCYDSDFDQYGDMEA FGVFPDWQRQLAKFASVQDRLREWRPQTMDKIKVFSCLTIQALDVDGIRIDKATQVTV DALASWSNHTKECAAAVGKNNFFIPGEITGGNSFGAVYIGRGRANNLPPNFDSAVNMT QDQSQYFLRDQGQIAIDSAAFHYSIYRSLCRFLGMDGNLQVAYDVDVNFVTAWNTMVL SNEFLNSQTNEFDPRHMYGTGNQDVFRWPGLTNGTERQQLGQFVNNLLMPGIPLGWYG EEQGFYLLDNSAANYLYGRQSMVSNQAWKRHGCYKLGSTQYYNMPYDKVLTGCEDDWN SLDHFDATAEPFRGIRNMFWLRSEFPSLQDGFGLVTRGNWTNFIQLPGSNQTQTELGM WTVTRAPLAEQEGHGAFGTNGTLDQAVWLIYTNENYTKKYSFDCKSDGWISSPYQSGN TVRNLLYPFETYNLQDSLDPFYANDEAPWRGCLPEITLQPFEYKALVPIAQWKQPPPS LTKFSPGHDYRAASTNGGNLDISIEFDTEMNCDSLRNAMSLTQSIGGNTATPGLSQGT CATIADEDQEAPSLVSVAPGSWRWTGQIENAVDGIYKITVGNVSTQENGLSTGSTAHF LVRVGSTENPMVYPEQADYSSTLFSVSGDTYSLNHSAPGADKFRYSADFGQTWSAWQD YETTSTLNATMFTSKDIWWDGDHVIVQYYSSFAGSSNHQVHADANWKENYRRYYPQIL ARGSFNTWGYDLGASAHLTLQSDHTWRMPMMAAWPSYIQLNIWSYDDYFYGDVDGDGV IDRFPPNSQTANYLNMSVPPAPHLAWNLFVNDKTGEWSTEPIGTETVTTVAFALLLII PAVTAFGAALAFRYSFYSIKVNKWGLKPNKGAKETSYFPIVGGHGEKKGDLNEKGGIV ALNEKSSKAPHKIIGWPEDQNKRRKVLIATLEYEIIDWKLKVKIGGLGVMSTLMGKAM SDVDLIWVVPKVQDLEYPQGEYAEPIEVIIFGEPYLIEVETHQLDNITYVILDSPVFR AQTKADPYPQRMDDLSSAIFYSTWNQAIAETIRRYPIIDIYHINDYHGALAPLYLLPK IVPTCLSLHNAEFQGLWPLRTKDEMKEVCAAFNIPKEVCSKYVQFGNTFNLLHAAASF ISHHQKSVGVAGVSDKYGKRSWARYPALWTLRNIDSLPNPDPTDIAALDEQPLAVDKI QIDTEAESKRPELKRQAQEWAGIKQDPNSDLFVFVGRWSKQKGVDLIADVMPSLLEKK PKIQLICVGPVIDLYGRFAAEKLARLMEMYPDRVFSKPEFTSLPPYLFSGADFALIPS RDEPFGLVAVEFGRKGALGVGSRLGGLGLMPGWWFPVESNATAHMLSQLTKTIKLALK STEDERAILRARSAVQRFPVVEWRQRLEDFQKRSISTSRQLAGEQAWGYDLVDASVNG FYAQGDNGSVTSLARGEWGRSATPDSTAPNSPMPGHSPLTGGDNPGYFDPNTPMAGSN NGLLGAGSSDHGDTTVVGSATSARGGQSAAQSYDNFLAAANKQFARNNGGRNAPDPYF DNRQSMDAGTPSRPFTVHSRVSSFDSISSIVDEKGSSPLNKAMETFTDSDGEVAQSFV QKLRDLSADNSKGDLCIEKFLIKSEKAFFDEIKKEKISGKLDSLTLLDNRRDSSIAPY SNSGHGHDDHMDHYGGNGGDMYDDPGPSDAHMTRLQIFMGRQIAGWPLYSIIISLGQL LSATSFQLSLLGGSNVQKAVDLYIICSIFVVSTLAWYTLFRMKPSVWCLSLPWATFAV AFFLIGLPSLHGALLGPRITITRVATWFYAIASSAGFLFFGLNFGEEAGAATEVWVTR ACIVQGLQQIWVSALWYWGYTLNGTDPTEYVPSRIILYITWPLAVISAIFAYLMWAGM PEYYRQIPPYVPNFFKTLFRRKLVIWFLVSEILRNYWLSGPYGRNWQYLWNASDVARW AVVIMIVIFFIGIWGLFMGILIKYSKVHSWLLPVFAIGLGCPRWCQMWWGTSGMGLYV PWGGVAGPYIGTCLWLWLGVLDAIQGVGLGMILLQTLSRLHVCATLAGAQLLGSCIVM LARGTAPDKVGPGNVFPNLAIWDISTGQNNPFVHWEFWLCLVCQIVIVIGYAFFFRRE QLSKP I203_07465 MSDSEDDFMSDKYLIDLPSSSSTNSKNTKSYSEKRNIDQLKSLR KGQAKNQLPLKQLEEQRRKEGLSTSLFERDSNGDQTKNVGMGLMQNMGWNVGESLGKK RSPPPPSSSTTGSRGGGGLGKRPKFLRGDELEDEDEDEPKRGGIGSTSISQNRTEPIR ISMWSGRKGLSARSPSPPPLSKNTSGRDPDALDPEKLERLNNQTAGFRERQRAEYGEK ERERKGRAAREKLRDFDIEKGVKFHPLHILPFSPLTTVPRPLLKLIYPSQVFSPSPSP PPNRGGVEGYEKESNLSAAEKLRDQMRKDMLSTLKSDDDDEDDEGVIRFGVMDDSEPG QERGKKLPTQKLNENEDEYKGVSWEEHVNGAKRVLSMDPSTYLQFVVDQLRTEHIFCF WCSYKYSSFEEMDAPGGCPGEEEDDH I203_07466 MSLPPLNLTLSTSSPSFHPTITLHLQHPSSSSIPSSCNLRAELS LKLPDEVFLDPDELEDKFAGSAISSYTLRSTEGKGRVKVDIERPSFELDHEDKDSVII DLEISTPQAYATIVQGRDMTPGELKEVNVEIPLHGRYLAPTEEGERAMLFPKEMRGEW KCKIDPLTTAHLPLISHTSIYITLPTGKHSHQPYVEIITPIAIWYGWGWLVYKIFNLR SRISYRKADMVKDKKDL I203_07467 MATAHRQPLGPSDALNVQSQVPKHNDAVYQLKKARSEVHEERRK RAEAEGSAEGWKRDVEISKKEVESLKKDLEKAKAKISRRDEMIKSLESRQANGLSPNA ELERKLRNLVETHHMSKDKSQKQISSLQAENQSLQHTLSRRTEELKILKDQYSGLEIE HRQLTQHVESLKQASGDQDSKLNTNRKEIREYENEKTEWKIENHRLERHVSRLEGEFE ILKSTVTRGKQREQKVVKREEELRKSNQESQQVVTSLREEVKEFDEVREENDRLQSLL EATSISYRLLYRGSVTKEKYQQLEGKWVETSTNALRWQEKAERLEGKLSRNREIIRDL QEQVKIAKDERKLLSSMVKDMLQDRHNLREEMSSYIKSYSPSDSLIPLDQLPFLPVLD MAMTHNHLSTSHLINQLTSLRQEHTDLLKEYETTRESLFSSSTTLTALQRSFAELKSS HQALEESHTPCSGLIANLQLDLSTVRDEVIRLKEEVKIAHEEMDKSKKRSRDDREALK RANEVVMRSKMAEEALDEEVKHLQEAYYESSKYEELYHDLKEQYEILESREQAAVDEA ERLELENAELVGHNNEGQKINYVEGVRREMVMIKQELASTRHLLNISNDKIIKMENEI QAYKSIDPIDSGLNGIGLGSSRTKVMRRQPENGRLTVSRSKPRNVSGPVWR I203_07468 MKSNFVFQNLCGTVYRQGNVIFTPDGNSVLSPVGNRVSVFDLVN NKSRTLPFENRKNISSIALSPDGNVLMSIDEDGRALLVHFRKGTVIHHFSFKTKVNHV SFAPDGKYIAITHGHKIQVWKTPSHLVREFAPFELHREYTGHHDEVVYVCWSKTSRYF VTTSRDMTARLYTLNPLEGFKPKQFAGHRDVVISAFFSEDEKTIYTVSRDGAVFAWSA KRGVSAEDSDVEMDILDAPSTSASGANLALEHTVAYTRWGISNRHFFNQPGTKVVCAT FHPKTSLLIVGFSTGVFGLWEMPSFTSIHTLSISNEKISSVAVSASGEWLAFGAAKLG QLLVWEWQSESYVLKQQGHHYDMNTLSFSPDGQNIATGGEDGKVKIWNASSGFCFVTF PEHTASVSSVEFAKQGQVLFTASLDGTVRAYDMVRYRNFRTFTSPTPVQFSSLAVDPS GDVVCAGSQDSFEIYMWSVQTGKLLDILTGHEAPVCGLAFSPTGDQLASASWDRSIRL WSVFGRSRATEPITLSSEATSLAFRPDGKEVCASTLDGQLSFIDVEEGQIKSVIEGRK DISGGRKMDDRLTAANNAASKYFNSVTYTADGTCVLAGGSSKYIIIYDRQEGVMIKKF QISQNLSLDGTLEMLDSRKLTAAGPIDSIDLDGDNSDLEDRLDKTLPGATKGDMSKRK YRREVKTTCCRFSTTGKSFAACSTEGLLIYTLDELNNFDPFDLTLDLTPENILKQLQQ EEWLIALIMALRLNENKLVQVVYEKIDLRSIQLISRQLPQIYIIPFLNFVSKHLESFP HVEYDLLWISSILTAHGKHIKENKGQLAPTIRGLIRGLMNLEGSVAKL I203_07469 MSTNNDNNRILHESPSSTYSARLGLGPPIPTSSSKYENSQSEDV GVSEIHDSPEKKRRYLEEENTPSAGAGQGGKLGSSPTEGRQGKRYSPRAKNGSSSARM ESSEKSEKRRSRVLRTEQNATGSSTSPSASPNIGSETIILSGPTQGGDDDDFEDMEDD EDEDGRRDSILDKPIPPRNPTKVSPLAKRLGEEPPFMLPHPSRNTQTSQTSFPGVVIG SFAGGGGSTMTGFEGGMDDILDPIANANSNNQGRQGGTGANPSTTTHTQAAPNIAPWL MDDSSPSSASSSTTVIHQPPLEIERTPSTRGPAATLREKESSSRKASGVLNHFSSVPS LPKIRRQPTTDTLIQSELGPSSRNTSHSSMTTQILQSSGQQTPLASSSSSIIEGGSRQ RNNSNDSLQTLSGNQRLARDNSPKFDTTTNQSSSSHSNKSHGTSRVGRFGSTASSTSG SGGTGNEKKKGFLGGLLKRRTGPSISLGSVPDFQPPEAPNRGSTGSTSSKVSNSPSIG SMISSTYGGLSPGDRMTSDSYGRNYRQGSNYISEGAISPLQEIAETPFHLDMNLDDME GIVDPTKSGVQPTFARPPPATHNSGTTATTESTAGSMSVEDALAQTSSFATTVSGGES SGSGGSSGQLPATGRNLVGEAERVSGPFVRNPFSTGSSVGSLDNTAKPSTPPSPHTLS PRHALPPTTQPRRPSQLRNVKMGSIDSENSEPAEGATWQHPIAPSWAATGQSSQTVFN DPFGSAKSLPPIFDDNLAEAIPESRTPSESTIPDLTSSISALPSHMGGGAASAAAWAA PESWGVEGDEEESDESSSSDEGVDEQWEGDTSNVTAIANGRQSVGVESLRSPSTASSA PKSPGMSPTGTKKPPPFGFKSTQPGSKTRSSSGRPSTGQGGKRGKTASGRPSTAAKSK DGRPGTSGSVHGAAAVHWIRIYRSDGSYTLHQLPINTTTAEFISILSGQNDAPPGKKI TTSMKLYLRERGQDRLLLPSEKPIAIQHRRLLQAGYTEAEHPEEIGKDDMAILCRFIY QTPALPIMNPEEESSYDSFEFIDVARRDLQTIPIFLHLHAHNIIILNVSANPMTDIPL DFIQACTGLKELRMSNMALKRVPNSIRASATLARLDVSCNRIADLESVPLNEVETLVS LKVQNNKLTSMPSYFAQMKALKYLNISNNKFETFPSVVCEMSNLVDLDVSFNNITELP SKMSDLKSLERLALFSNELTSFPPSFSTLLNLRILDVRRNKLTDLTAVYALPNLATLQ ADYNNIVTLDAQIGANVRQFSVPHNSITRFTLAPQPNMAMVTYNLTNLDLSHGKISTL ADEAFSGLINLVKLNLNFNQFTRLPTTLDRLKNLEIFTCTDNMLSDLPLGLGKLQKLR VLNLHNNNLKNLRSELWACGSLETLNCSSNLLEGFEPFPEDWETASGLPRSELTDHYN GSPPITTSGRHLYLGDNRITDEIFHYVAHLPLIRTVNLSFNDIYEIPPDTIGHCSKLE HLYLSGNKLTSLPAEDLEKLVNLKTLHLNGNKLQTLPSELGAIKTLQHLDVGSNVLKY NIANWPYDWNWNWNTALRYLNLSGNKRLEIKPTSAQEMNHASSFRKELSDFTALTQLR VLGLMDVTLRIPSLPDESEQKRVRTSFSDINNMAYGISDMLGHNEHLGMFDLVVPNFR GKDDECLFGMFGRDAPTFNAGKIPKLLQELFAAQLVHHLGKLGKDEDLGDALRRTFLN VNRALFEGCFTPGTTRKGSAQSMASIDDMFRGWAPAVGSIYRTGSSGAVVYLSGKTLH VGNVGDILVVVSRKGEAELLSKKHDPTDREETARIRRAEAWVSTKGFVNDDKDIDISR AFGYYHNFPAVNTSPEIRTRPLTEQDEFVIIGNNALWSRCSYQTAVDIARTEKHDPMM AAQKLRDFAISYGADGSVMVMVVNISDLFFGQSGHRPRGVPGSNVPSGVTDSTTGEID STTATTSTNTALAKRPMTRRREEVGDRTLNRLQREIEPPTGQIAIVFTDIVNSTHLWE TNPGMPTSIKIHHNFMRRQLRLDGGYEVKTEGDSFMVSFQSVTAALLWCFNCQIGLLS QEWPRELLEAQDGKVVYDSQGSLIQRGLRVRMGVHWGSPECERDPITRRMDYYGPMVN RAARINASADGGQLMASGDVINEITSVREYLETNDEEALNELQGDIKREILELRRIGL DIKDMGERKLKGLEVPEKLHLLYPKTLSGRLEMSNDLRAEVEVNDARKRMIDLEQVKE FSLITLRLEALCSLGSTSISSLSPGSANSDISSLSPPGSPHEGQKEITGTKKGTVALP TPNLNLGPTIREDMNDEELMVIIESLTGRCENALSTLYLKQVGGFSTVMSALEKATRI DSKLITHALSLMNGAFGG I203_07470 MSSTNSDSPLLPLDIRLRTLEAQLFGVPPSIVDRPSFSQGKAKG ESSKSAIRQTQEAEEIFERLSSESEGIRRLLDGYDQYLPLLNPPSTSTTTLRNDQEQD STESNRKERITESDLLPDAIKLTMVLEAYNDIKGAERDLREIDLLKNKDVQGSGNLEE LLPLKPNLIPSLKQTQNTSRELSKLKKELNTLLGRYNEFTTTTSDLFIDLHHQLQYLE DRVYKLERKRIKEIKERY I203_07471 MSTSLSPRPLFGGAISLDLPADCIDASDLRQIPDNQEVFLSSTS DTAIVLEVLGMVEDGLASTDLWEAIKFHFSSIAHDNASLHSTILTPPPSSSILSQPSD ESSATTPQPLILGGTQRIHKFSHNPTGAPRPGHEDDTPDEVWIGVALWRIWLENQQTG KKKKADLVLSVNVNLSAEGGKGLEEKERVEKWFVGSCVRSLKVLDFGLFGYTD I203_07472 MAMSMGAARRGKPKIRPPKRPTSETPIKDTWTKLANAIREIQNH NASKLSYEEHYRYGYNMVLHKHGDQLYNGVKALISEYLDRLAEEKIVPTFPRSGGTRG AGKLGGGAEAIERALEGDRFLKAIKSAWEDHTGSMRKIRDILKYMDKGYSTANGLPLI YDVGLTLFLLHIIRSSKYPIHTHLISTLLSQVQLERDGETITRSTIRECTDILLRLHV SEREGGKNVYLMDFEPEFLNRSAEFYELEAIDELDKGDAASYLRRVEHRLAEEADRTV HYLSTVTHNALQELLVANLLTPHLQTILDMPGSGLVTMLDSDRISDLRRLYVLFLKVP KDQGKTSLRNALRLDIEERGKAVNQERLEQPGPSTLGQDDDGAAEDGKGKGKEKAKTI SPANNALNSALKWVQDVLELKDKFDGLLESAFMGDKQVQVSINEAFQSFINANPRAPE YLSLFIDENLKKGTKARSDSEIESALEKTIVLFRFLSDKDKFERYYKNHLARRLLYAR SASDDSEKQMVTKLKMEMGFQFTQKLEGMFTDMRLSNESANTFRNYLTRHGALPIDLI VNVLTASYWPQPIVATTTCTFPAALQPSMETFQKYYDSRHSGRRLTWQGNLGTADVKV RFKNRAHDLNLSTQALVVLLLFENTKSGETLSYPEIKTSTDLPDPDLIRTLQSLACGK FRVLTKIPKGRDINPTDKFEFNESFTSPLARIKIMQVASKVESTKEREETQEMVDEER RHQVEACIVRIMKDRKQMSHNDLISEVAHQLSTRFSPSMSLIKKRIEGLIDREYLERT SDMGSYRYLA I203_07473 MSSAQRNALYVLRMLWRDRQISLARRSVSTATATVPLTIQPDHS SSTTSTSTAPSRSPIDNIRSDNVHSLLPIDASSTTSTPSFLEPESDEYTTANLLLPER QLHPEPIPWPTLFPNSNVITTQSKFCDPIYRLVARDRYKDALTIYKEILSHNIRIQKG LLGHSIRIQHRHEYLKPAIRALVEGDHQSTLLWLNIYPNRPATTNHPVLKEIWKPVLD IVINEKESFKEDPQFLQEFMVLVGKKGLLPTFLPHLLPHLTFAFPPETSVRILGESIK AYVNSTTSDNSQTDRARHQEDIVHPQITAWWGSYLRKLIIAGWKEQALNLAHKKPFGG HGEWDDITRKFIDEELLEVGRKKKDESLRITDTTDIIQRIRATLRDLPTPTELSTMIR ALSHPLIAQDHPNLLNRFESRFTRPPTIGSRRRRNTPTVQSKLWIHSEIINLQKEGNH HQAVDCFRENFFWVGLPPLETIYEEPKTPIGNPRSKTLPNIQLITTIIPSVVYTLPRP KSKTVKQFYSSYMSLIDNIPPSIRPNQVTYSTLLRELAHHSGSMIGLLSLRGLIEKGM NPGQESYAAVLYALAGRRHPEQMWDLLDQAEKEGMVGSRTYRGLLAILVKTGLAREAE KVFWRAREKTGREDVFDELDVQ I203_07474 MTEFLGDSPPRPTTVIPGQWIIQLPSPDTQVYIHGFKRARLDNE AGPSNYELEDVILDPFERAWRQINDSPNDQMFSVNNLERPCAIISQTPVDEKQEKVLW VFITSNDQIVPLDGLEEITPHLQPISITQLVTCPKHGHDPSCLDSQSSSDNKTTCIVS LDTSQDVARHLDLLASALAERMAWKKGTRLILDSKLHLQSSLKASIQPISSLKLLLSV RPSTHPIATSSITLPPLRLLPLNLPALHVSSSSLTSTQHRHLASTFDSALGHTWKHGR SESRTLAQITGETYSDWSIYWVPLSTPSSSKGKLTPRQLVERWKNSQGLLTIWPTHLA QPYFATRYPKGKKSVVRPLQPKSSDLLDISTGVFDFLSSYKEPDPPLDHEDDEDEEAD ENIDVESTVITAEPEPEPIGNDVGGSEKSDIDDLFSEHSNSITNSPAPTIPLPIPPPD PILDQNGLEPVSISVNEDDTMNFINMDMNMDNENRQLSRNASNTNGLDNTQKEEMVTE DDFAFFDSPTDQIANEGELNESTQENHVAEDDRMNLDLNLPSVLEVQPDLTLNVEQDL LQIQIPTQDQTLPLEAHNLPTSSSYLNPTALVDDEDIHPEESPHPITADVTALPRQPP SPVPLPFQRSTNDLIPLSFSPLPLLPISESPFPYSLPTPAPTPSSLNWDLVERLQPPK TSVSTYANDWKMDEEISEIDEEEMYTGPPTPESAYTSSDEDEGNTFINDRKRLSESNG TEIEFSGVRCIASEWVYLAYEFGYGVDGDRLKEFMRDWNPSWIRDMTVLPPTPPAEVA DRSWNKGLDLKRFVKDMIGNRALRQMLDYSDNTTSTIYSDMMGLSILDGGITLSDLSK ESKQRFLPQPQISAGYHNYTINLSIPSLQYWSELGLQPHGGYKDVEAIILCEDTDEAR EKGKEIGIGMKRTWEELHLGSHHIAEIGGATQGVISVPTSVFSEAVANLMNQSPTHTI LYILLPPSTTISSSLLNSLFNISLSPSSSTVIQLVPQCALNPSCHREIAFEVYNRISE PVKEINARSISDPYGLSQHSTSDDHLTRHAFTLARDGNPTPEFSMSWPLKSYDVLNIH RSIHAVYNFDEDLGVMMGFIVDDLGEMFDWMIWGDQIDVHVDKIWKWIRGKSDDWLIN WRLNVMRVGKMYSDELQAWRKVLSNSQASITLLTTSYDFNGGESDVHDMDDIPRPKGF ANIPLTTLNDPNSQIIDLSLSAQLTTLPSVKLPIGLEVPNCKDNEEVEIVYPVSSFIL THPSSSHEKYRSAVYNILHRNHSHGKKDLDTGVEDELGEEIYRIGCLIDSRWGINGGL AGLIRVGIKGLSSLAQGGGD I203_07475 MLISPSKIHFTLTSLLSPSSSIGPHTALLIYPNGHLVSSACVDE SSTDDDQDQVEDQDGTAEDEDEDEDEDEEPYLERQERTRLLLGLASQWARDDSGKIEC ELGRLHFTYIALPPSDPVSSIGKESLPSVKPQNVDGFVLVLNATKEVDWKVLISKSDE FVDKWK I203_07476 MAPLDLTRLHPSYQPQFSHFPSRRSTIFSTKGVVATSQPLACQA GLEILNKGGNAADAAVATAAALNITEPSCTGELDYIFCLFYDVKTKTVKGINGSGRSP KALTFEYLRKQGITGDSIPLTNLNSVTVPCAAAGWLKTISEFGSGNLTMREILDPAIR LAREGVPEHELNSNAWQKSEQLIKNASPNWKEMMMPDGNPPKPSHVMLHSELADTFEA VAEHGREGFYKGRIAQAIVDLVQSGGGVMTLDDLAECDAEVIQPIKYDFKVGDAGDQG VSLWECPPNGQGLTALVALGIVEAVESQHGIDVLEIPHNSTLYLHILIEALRLAFAAR YYVTDPEVVHVPVKELLSKEYLNKRAALIDLKKAGQITHGDPINSSDTVYLATSDKDG NSCSFIASNYAGFGTGAIPKGTGFTLQNRGTGFTLEEGHPNNIAGGKRPYHTIIPAMV TQNGELLMSYGVMGGFMQPQGHVQVLLNKLRGFSPQASLDAPRFCISAGLPDTSQKGS LAGAGDINSEIWFEDGISSETVDELRALGHRCEVASGFARSIAGKGQIIQRVIDPNGR RVWAAGSDLRGDGCAVGQI I203_07477 MGSNKPRGLQAARKLRTSRRENRWADKNYKKRALGKFYKTSPTG GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFTDENDEV LISGFGRRGKAKGDIPGVRFKVVKVSGVGLLALWKEKK I203_07478 MAFSSLADELAGAFDNDPSLDQGEGIGLGQSLADEFGLDYGSEH NLEHAEAGDEFDVVTQLPSTPPTPSGRNGSSPKPITPPQNGRRTAKSSYEPTNLDDIS PNRGIDLDLELELEYGQNHSFSTQPHFEAEDSDNYEYEQDQFDNLPLAASPVRVRGIQ SKSSLRSLRVNHKSSVRSLRPLIVNDKEVGIQEALIVLSEGISNNSRLLNSLRQINQH HQGEGEEDVEIRLQRHLNRMNEVERTRDEWIRDLGIWWREVGLGGLEGNGRMGGADNK LIDVNEEDEEEEEGESLGWTGESIVDDNNHLSKGEELSKNPRIALSHEQDHIDPQDSL LLDDDEHDYFLTYPSDQSLMSPITPSKHHRPAQDLPKLIMAIQKDNDILLLSLRNLQD TIHTTTSFHTSLARMMKGIKSSIDSYRERENVEEQARRKIEEWEAERLKIGLTRNNAG GGEEGWTIKERLDRECREFEDVLERYGDRLKGLKEGTRGVVVAA I203_07479 MSSSTRPTLRPNPSLPSHLKPPHAHTSSQSQSRSSTPTPHNQQH NYVPSFDDVGLSANGINERALFSEHNTPIPSPLPQTPEDPFNGNGTVDDAEDDVPEWR KPSPRWLYPFILGVPLCIGMSIAPKAELFVNLACLAHPPSATKDSTGGHHLRAIEEVH INQAYNLGIHNYVHTPLTKGDQDQTLVPLPVDRQIQPQPEPNGNISIGDDYVLSPADK WFLKLQHDIYQYHLHHPSNNSSKHSPVPSGRPIIVVPPGPEPSEPLPKPDEPLPSDRD DDKDHQGQSPVPSDDKDGGKQDHRQYEEIDPRLCKKDPKVQAAAAKLTMLMTLTMGLL SALTTGFWGQTSDKLGRTKIIAFVEIGLLLNEICFIVVANFPYLVPGGYRALLLGPTI EGLLGGYSTISATLNAYVSDITPDGSRVTLFARISGMFMAGFALGPVLGSMLITWSGD IMTPFYVNAIIYTLYIPLIVFLLPESLSSQARLQLAKNAKLAKEEAKKRDQLEREWEN ETPFPPDGVEGESDPLLSGWSRISNNAQASKARKRTIGNFRRLMRKVFGFLQPLSIFI PVKKEGERHRDWNLTVVGAGLFFMSMVFGIMAIKAQFTFYAYGWTSAQLGPYMSVTAF SRSFVLIVLVPVVMHYVKPRFLANEKDSNAIANPTIEEEVIEDPTSSAITANSTSSNP AKTKQPQRSAHLDLLTVRIALALETVPYLLLALSPSPMGFVVLSMLLTLGGPSNPAAN SLALSLLPDSNQSGRLFGALSVLHALGANLISPLMFGTVFASTVGTYAPTIFAIAAGS LILAQICMAFVRLDRHKSTGSGSGVEEDLGGRGRSRRVKRVSSSSFSVGGSGSGVSRS GGGGGGTYGAVDDNGN I203_07480 MTVLQQIRKAAIIGAGQMGLGIAYVSAVHAKIPITIYDPSSAVL SNATDKYKSLLSKDLTKSRLTKEQADEALSRFNTIKGDGSTADESVKDDVDLVIEAIP EIPELKLGLFKRLGQNLPPSIILGSNTSSISITKLAASAGLGGREDGKKSSERVIGIH YFNPVPIMRLVEIIPALQTSQETIERARSFGKACKKEVTTSSDSPGFIANAILMPMIN EAIMVLERGIASPHDIDLTFQLGMSHPLGPLKLADLIGLDTCLNIQRVLHTETGDSKY RPATLLVKMVDAGWVGRKGAKGFYEYDKDGNVLG I203_07481 MSSSITSSITSSVTSSASASASAAGLVDKNGNSSFKIVGICLAV GSGLLIGSSFVIKKKGLINSTKKYGNVAGEGHGYLKSWLWWAGMITMIVGEICNFVAY AFTEAILVTPMGALSVVVAAILSHFLLKEKLTFFGWIGCTLCIMGAVILALNAPEEQS VTTINEFKKLFLSVGFLVWASLLIAGSLVMIFFVAPRWGKKSMLPYISICSLIGGISV SCTQGLGASIVTSIQGNNQVKNWFFWFLFVFVVITLLTEINYLNKALELFNTSMVVPV YFCFFSSATLITSFILYQGLKASAVTLITMVLGFLVTCLGITLLQLSKVDPESLDTKL DRKSTILMQAAKHQTEDAEKGQVTSAEDPGMDALRGGFGAVGSIIRARSVSRRMSQSS SSATGFGGGIGGKGMYGYSSGNLSTHGLGHLERFQLSDNPMPADAMDQISLHSAKSPS ALSPTGGFLHQNHQYFPSPQRSKSQLKASSFEYIPLDIISSPTLKIHPTSYQILNSPL KRISNSSLYNPTTPAINSAQANLENSSSSIQFEEADVVHQYHYGSQPDDAAVHSYRPH PSPSGIGMPSMGSRQPSSGSVYPVIEETDEEDLSREKEKGPSSRVYTNPVPVIARDQA YPFPVTHQHPNSKPYNLDPYTQTSHDPNVNANVQPQKITSGHRGFSGLFHFPSGPDLS LGDNHGNGKRSKSKDRSEKFPHKRGEDDELDKQERTALVPSTSYDLDQDQSFDQSFEQ GFDEVDRYGSDESDGLDGKTTTENAHEAQIGVGHRVNLSISTMDDPISANTTTTFGTN PSDRSIPTNRVSSGGGPMGPRALPSNKGRRNDPPGFAGPRYGS I203_07482 MPDRHRTPHPYGQALPEPDNQISMKVHRRDSVDSNWTFDGMTGR PIRSPQDQVPTRDSLPNSKGMEEARDERMTEGGGTEENKENKENKENKENGGVGGNDE NDESEEPLRKSFWDPNLSDPSPQVADQTLHYTHSTERQEIQEEKDQEEDEDSPYPEVR TSVHPTDDPYLPVSTIRAWFLGIIMSIVLPGINQFFIYRYPNVLVPGIVAQLVVHPLG LLLAKLPRRGRWKWVNPCDWNYKEHTLVYIMANVSAGSAYATDIIATQKFFYNQNWGW GYNLLLVLSTQMIGFSFAGVLHKILVTPASMIWPATLVNTALFNTLHSRSRPQTSRAK SNSRQVFFYITAGLMFVWSFFPSYLFTALSMFDWVTWIKPTSQIVNLLFGYQSGVGMS ILTLDWGMMASVGNPLATPWWVTGNVLGGFLFFIWFLGPILYYSNVFYAKYLPFSSAS VFDNTANTYNITRVVDDNPTLDVLAYEGYSPVYMSLSLALSYGLNFAAITATVVHSIL LFRHQVWHHLRNPPSKSDIHARLSSVYPSVPGWWYLCIFVINLILAIITIKVWPTGLP VWELFVAIVLAGVMVLPIGLIQAITNMQVGLNVISEIIIGAMIPGKPVAMMIFKTYGY ITTTQALGFIQDLKIAHYMHIPPRHIFFAQVIACIVGSITQLLVQVWVFENVPDICSA KSERWWCPHTRTFFSASVLYGLIGPRRLFGVESLYKHLNWFYLLGAIMPLITWWMARR WPRVGFQYVCWPVVFSCVSLLPPYLPINFISFCIVGFITQYYVRRHYFNWWSRYNYTL SAALTCGYALCIIVIFFTLQLPKDGKIGQNLQNWWGNTIYLNTLDGKGGVASAAIHLK EGEKFGSN I203_07483 MFYPHQQTSHASSGGGASKHHDSNGDTGGNNRLGSGGGGGWARH PSHPSFTPSGMPLPSPGGYPSLGGGGAFPPPSGGHGGHHQHSNHVHLHGHGQHHPSFG GNSNLGGGLGVAGPGSGNTHGPSGYGGMSLFGGAGGAGQQNSPPRNEPSMPLTAFWQH QLLRAEASRLSSSPHHRARAAAISSRATNKPSAVTITDPNNRPTSSQSIALNGIHRKQ TSLSVTRPDSDRGVTPPPPPSDPSSTPGPEHADPATPAQPPAPNDKEEERVSEPWTGL DLGGIRLKTLSTALFSFDHITSLYVNHNALTTIPSAISRLRQLTLLDATGNELTSIPP EIGVLCKLKDLLLFDNHLTTLPFELGTLYQLETLGVEGNPLDDRLKKLIADEGTSGLI HHMRDNCPPGPEPPERHWIEIEPDISSPSSGKQESFTVLTYNILCHSFAPGTTYSYTP SWALEWGYRKQAILNEIAQASADIVCLQEIDCEQYADYFLPELKRHGYEGQHYPRSRA RTMSADEQKAVDGCATFWKSDRFQLVEAQVVEFNQLAISKTDMRTEDMFNRVMSRDNI AVVAALEFKASGARLLVANSHIYWDHRYRDVKLVQIGMLMEELEKIVENFSRLPARLS SDPEYNLGRGPPKYERSEKGRDIPLIMCVDLNSLSGSAVYDYLSTGEIPPDHEDFMDH TYGTYTNKGLRHRLALRSSCASFGEMKMTNFTPTFDAAIDYIFYTHKTMKVTSVLGDV DREYLDKCVGFPNTHFPSDHIPVFAQFRLKGQSDSHSQSNGSYNH I203_07484 MKSISTFPHSSHSSHIASASPNPLHNPQLSHVLVPESPLIDLTM SSPDHRGLQAETASIASSTGTGVEGVRSRSGLKRSGSHRRGPPEPRFLSDPSPENILP LLQAQESPNNDDDGEMGRELRTGLILRRALKFGVERCDSELIGWLVGLEGRWADILDA QAQQLEDEEGWGLVGMAIQASCGRQETEECVRIIVGRWGLAVGQRGGRDRTGWTPLHL AALISTPPLISFLLSRGSSPHALTNRGLTPLDLIVGMPDKEDVALFLEHATCYGESSS TPKTATALIPHFPPARQAMLERRRRHATLKMEAIEREERRNRLEVERETWLRERARMV DVDPELLIKPLPSKRESKTPSDDSGLGWMGYELDLEEERKDDDESEYGMDDDDFDLDL NSNMLVFSLTHLPMIFDILILNYTPVCQPLQKRSLPANALYLYARFAHYKCDEGWLEE LIEGIVETIEEGVHNNVENLAYLAFWTYNSSVLLHLLRSDPGINTACEEMSLLGMMEE LINAIHVFIIRVAERRIDIYLDAAILDYETLEDFNDIRFEGEWSIFRSFAPKKKRETP RAASIFAPSPIQVNNIQDSPASSSVFSTPHRTPNRHQSMSDLRLGAGTPRSISHESNI SGTSGHSQTPTVEVEMNPSRITDILSGVHLILQLYEVNPAIVVQAFSQIFFWISCELF NRILAQKKYLCRTKALQIKMNITALDDWVRSNGLPVQTATKHLEPVSQLLQWLQCLSQ IKEFDTLIGTMQNMRAINPLQMRRAVRDYKYEVNEGKMNEECGQYLAQLQKDWEKRRV QFSVQEAERRRSHGSLIDHSSSHSHGGPSMSDVSLQGIIDDSTPIDALFDGSTALGEF VPQSAPECLGELLDSRYMLPFVLPDDDTYLIASPPRDAAYRNLLLPASPFISDGSGSS RPPSRSSFSSSRPMGWSLPKQRKLRELPMDFFEWLKGRETDCKLNSDAWAFKQKRTIP STNPEDNEDDRKATIKDMRDLPKKIERKRSIEKSPTPTPTRPVVDTIKANEIQVQVLL PSLTEDEEKTPITHKNGVELPSSRLRSMKSIEQLRETSKLIQFDNPSSSLGDRQRLGH ERSESFELKLRMQRNSSGSYMSPMTSPNPSSMKSPTLSQMSESSGGSGSGGKKKWWKL GKKLSTINLKEEFSVNWDKDQDEDRFDKRKIREGSEDTIGPGGGWYSRNEIEMRTPVK SPGSGTPGRERNSFFS I203_07485 MGEEDTPLQLSVKDEKDDILDMVLDSPSIVQVQENTLEDLFKAD EQDDKPEIPITTTTSGTGSPRSVIGEEDLKSRTHTRDDQPQPSSSSSSSSSPFPTIGG GGGGGRGRPKKVKDEKPQIQLIDDLPTAWDEAHETFVSLDKCHYESKGLGLSREQDEM MVCDCIYDKHDPDTDPCGPDSDCINRALFIECLAGECRAGKHCHNQQFSRKQYANVEV VLTEKKGFGLRAGSDIPSNTLIYEYIGEVVAEKTFRKRMQQYADEGIRHFYFMMLQKE EYIDATKKGGIGRFANHSCNPNSEVQKWVVGRRLRMGIFTKRDVMKGEEITFNYNVDR YGHDAQICYCGEPNCVGTIGGKTQTDVSLSTMNDLFLDALGITDEVEAGGMKGNKKKK SRQLDEDFVPTLRPIQEPEVQKVAAAMRQSMENKTMMSRLLQRIKMTEEPAVQRQLGR MHGFSLMSMVLAELADDREIVLLALESMSKWKLQIRNKIEDSNIEEPIRKLQDSQDEE ISKLAKQLLEYWSTLESSYKIPRVKKLATLDAEDEAGTTTIAEAASHSTSTPRRPDAW ENTTQIQLDIAPVRPRIPIPSFHRPRPPPPAPAPRPSLPVSQSSDRLKLDAIIALALA QQNLQSAVSNPAPSESPAAESSRSGSMATIEDDERRKRQKRSHYDLSDTEGDEEAKKE KRLTKLVGEVVVRSMSKYKEQMEHDTFKRYAKECTGILVEKEKKGHSYSTSRHPTLSE EKKAKMKAFTKEFTHKVLKRLKEKGKLRRINPDHNASSTTTPTSTSTSSRNNLKIEGG TINTPTPSGTIIETPTDPEGSTKEGDLLDDIFGKDDIDIDMEMDMDMEGSPSTSAPAS RKESMSLGNGGKSPVDEIKTAPVAVKKMNGGYTVERLDLSTLGKVNGMNHHQQPSQTP SDDRMNQ I203_07486 MPGPRYITILGGGLSGLTAAYKLSRSSTSGQKITLIESTNRVGG WINSTIHEVEFQNPDNRREMIKGEVTVESGPRSIRPRGSEGAKGMLRLLKELNLTSSI LPIPFSHPAAKNRYLLNTSTSSLTALPTSPLSLISSNSSLLKGLLPSALKEPFRPRLN GVTDESVDSFFARRFSPDIAQNLASAMVHGIYAASSKDLSVRSAFPSLWDAEQRYGSV VLGMLRGGKAKQARQEEELELGELGKESKKWSLYGLKGGLSTLTNTLYEEIQRSGNVE IKSQESVKSISVQPQQGVEIETTKGKYTTDHIISALSSSTLSSILTPNQSLPHLDVNP YTSVGVVNLVYPLPPSQIHPAGFGYLIPRSSPSLNPFGVLGVIFDSTAIPLSTDVKGV TKLTLMLGGPYWSTYDPKLTPPKTNEELINNAIQHLNGIFPHLKDVQPILKLAKIHWD CIPTYTLNHGRRLRELHESIQSGGWNGKLSLIGNAYGGVGLNDCVYSSESVVNALGQG RSVTGLERWENWE I203_07487 MSIYDTEFSRRIRSSVPYPPSPGSPRYDLRSNGKVVDGDVFGDI TSPMRNGSASPRASPMSMGANVGKGKGVVREYGDRFIPTRDGSDIHAAYQLTADANGV TGQMVGKVKGRRRSGPQAFDGDVRREEANATFTQLLKTELFPPSQSSSRTSSPAQSRS RTRHQQLRQPMTFDTSNIPTHNSHSYPISGAGDTPSHHYPPPAATSSGERGREMSPAA TLPPLPMHAPSTPTSGHGRPPGAGPSSSHHRAHQSQVALTSGTTRPGASPPNSTGRRS AFSPPPGGNAVHGSPSTPTKKRILNFTSPGTIRINGGLSGVTGLGVDSLDDMNHPAYS LSPVGKESQRMLLSPRKEIRSIAKTPFKVLDAPELADDFYLNLVSWSASNVLGVGLNS CVYLWSAQTSKVTKLCDLVRVASDGASIDDTVTGLEWTNKGSTLAIGTNSGLVEIWDA EYCKRIRTMSGHTGRVGALAWNSHILSSGSRDRTILHRDTRIPEHYIRKLAGHHKQEV CGLRWNPDTDQLASGGNDNKLFVWGGTDNKPTWRFGEHRAAVKAIAWNPHQRGLLASG GGTADKKIRFWNSLTGGLVSEYDTGSQVCNLMWSRNSNELVSTHGYSGGPVSNQIHIW KYPSMTQIATLTGHTYRVLYLAMSPDGQTIVTGAGDETLRFWNAFQKSKSEVGKGFGD GMGVLAKIR I203_07488 MGITRDSRHKRSASGARRAHYRKKRKFELGRQPAMTKLDSSKRI HEVRTRGGNTKYRALRLDSGNFAWGSEHVTRKTRLLTVRYNATNNELLRTQTLVKSAV VDVDATPFRQWYEAHYAQPVSRASKNAAATEEKAEVKQSNHVKRVLEERKKDAKIDPL LEQQFRAGRLLAIITSRPGQSGRADGYILEGKELEFYNRKLQLRKVKHAA I203_07489 MANHPSLDFLLDGGLIPSLPTNGKVGHQELMGMSMGIKRSTPNF PAQPAIPPNHPVRSPPQPVYGLPYIPNNLPPRVISNQPYTQQVVITRSSSEGKKPNVH GLGHDHYISRTPHRHGKNHSISNILRSQPRPDFQPAHSGQLHEDVSVRRSLSFNQIQI PQSLIPGGEAKLHHSISDSAVHSNSHTHKRSISTSTTHPATYSDNPSSGIYLGSSGDE EVIKHLLDTLNSLIPKERALDNIPDGKGVLNPLNLLIPLSIILEALVNERTILKDESS MVSESKLPLLSDGVSVVLEGGEVNWNILNWYITSFGQLLNGLIPFLTITGDLHNQKQD QEILEDLMRSIKVYITKTKKVFGEIASLYVDRYSFVRGWWDEEGMKGSAGEVGRWAEM FDI I203_07490 MSAARELNQEKIAFIEAAIEHGVLLFGEFTLKSGRKSPYFFNAG LLYTGSLLSSTSKAYAKILTSSRIPEFDVLFGPAYKGIALAAITAVDLSRAGREVGFA YNRKEKKDHGEGGVLVGSPLKGRIVIIDDVLTRGTAIREAIEIIKSQPEATLVGVVQL VDRQERGTGDKSTVQEVEEEFGVPIEPILNLQDIIAYLEKKGGYTKELEDIKEYRKNY GIEI I203_07491 MVTRESHSPDPLALTDNFDDNEEIGECIVVARISPKYAVASTPK KRKDPVVEILTPKKRKKVEEEGTITASTSRKTPMGPRTPSVAGAGAGARASPTPNGKT KSRPVGSISGSITTPNGKSTSTPSLSKSTSTPKHKGTPTLASSLHKPLPTPPSAQNGS NRKRPRVSSPARPGSSVAKALTFDEEIFETPNTKTKSKEEISRETFLANEALKRQREA RNFNYKGEASAPKLTRSGRVVGQDITPSRDSEELDEYGGIIYQRDEVNPLGIQAPIIP DVEIRNDLQEKEDPDITMVDDEEQRSNTQIEQLPKGAQIYLKNVLATLTSQGTSTNPP PFVDEEKNEALIGIVNLLKGTVERGEGNSALVVGPRGVGKTRTVARALNLLPSTSETS PIIVRLSGLAQTNDRLAIREMGRQIAEAEGKRVENDEEDVEEEGNDEEYAPTTLPSHL LALLTQPSPRAIIIVIEEFDLFTEHARQALLYCLLDVVQSIKTGPVETTGRGVAVLGI TTRIDTLLLLEKRVKSRFSHRTFRIPSPISSEGIGWNALLMDSLIPSKGKENEEDRWK GDWQFAIQMLLKVDRMKRNLDRLTGLTTDVRNLYRPFILPVLSVLSSKIDFMSIQELA DSVISQIEGAGWGLQLNKLKGLPHPALGVLIITKHLSYAGREEFNFAQVEEEYLRFSR TKLVGSGKVRWPIGVLMNAFDHLLKISLLVPASNASLKPQFQKVRCTLSPHEIVGWFK GEGANVLGPELGNWGRMMGGHA I203_07492 MDLDKSLDEIVAEKRKTAPSSQNQGQQRRAPRVSSGNERRSNNS PYSRPPPRSTDEKWVHDAYQGPKSGNGNDRRGGDRINTASLTGTGAGFTGISTRIEVV GLHYEVTPADLKVSYPPVLDFHWIEAECQAYQTTDTS I203_07493 MEFASPQQAKIAINKFDGAMTKGQTISIRLLPPIVAKSRPGPGG PGSAGQANAGGQSLLSRIQGGGGVVANPPAGGRGGRGGRGGPQRGRGGAGGRGGKRGG AGPKSEGDLDKELDSFMNHSTNGGGDVDMA I203_07494 MSHTPVDDHFISNPDLDLLGHRPHKSAILLFQALTATAVFRVWP SLLFIGGWSAAIVVINQQTKADMTVPSTLLTALGVLLGLTLSYRTSSAYGQYAEGRKL WTQIIQANRTWARIVWIHCPDATRATPPEDPPLRAVEETRAVIEKATLVRMGLAFAVA VKHYLRGEEGILYEDLYDLVKFIPSLHLPSGIPSSEDANIATGKFPGKHHHSSSITVN SGTELKTLSFPSPSDSSQSGPRIIARPALHPARDPPKFRFSETFPFKFLVTRRQRYKA AGRAAMRERMKTAKSSGGVGQNVPLEITMFMSSWIANLQKRKTIDVPTTNALLAAQLS MAEALSNLERILTTPIPWSYNAHIWEVTWIYCLVLPFQLYGAGFGWITIPAVVITSYI VLGFAEIGSEIENPFGYDKNDLNLDFFTKNIIREELAAITARPFSLPDEWIFVKNNDT LGRVGIGADELAAKGLEEVRNSLAGLSGEKIQNAGLRGRARSPV I203_07495 MPIQTLAQQFKESLERHEQDPGRSETPVSLYDKRRNFSYNAKYN RVPPNADGRKLYQEMQAKREKERGVKEQSIKEKFGISMNDVESTKKNDIWNKNYVQRR PTTLSSQATRQTPSWLKLAAEKSDVTGLMAAYARRREVELSKPQEDNYISEACRRGDS FHGLPPAPTPSPLPQQSPYRHYREHNIGYGASNQTRTDVHQGQPEVLLHPPQTYQQHH YPENQQLLHVHQPRAHPTPSQHDSDLNQQNSFSFPHQCPHYNNQLVLNDQRYYFDQNT ARYSALPSTSYYPGTASNILAQSWQNGGDKTLHSTESISSFQPFDIYTSDATSTPQRD NRFLKAPHYPSSGSSHSQQPFYPEYGGSALDHRHGANYSIPDSLYKAQQYPTTSMNIP QYNPMSNQEQYSQKSSIQHSHDPQPDRTVLLNGNPYYQASLTSQILTPKNGDQRVHVR FRSTPEVFHLPPQPYANLSGNIQDGSGMTPIPSHQVRQTYQDIHSRHSVPLAHPQPSP GWIDNTSTNWYQPVSGPLPVHEQAANINSAPPAGLQEAHEEIVQLRKAKRQKKSSQSG KAQRLSEKVVNDLERIADGTVDELDLLRPEMLRLDDQPQGDSSMASDHTHLLSHPQNP REKYHHRSPHTHDDLRNQEVRHRSRSHHDDYYTDDTETNTDDDSYTSDNSLSSTEDDD AYIPSAHSHGSRGSSHSHRSISSSHSQRIKGSTKRRRTTSKTHRRKYGSQRRKRARYT HPSFIRYERLGDTTEDSSDTSDQTQERYDPDVDVDNDEDMEEDPLPPRQKVPRPVKPL SAIADTVRLPPTTKTSKPKSTTRGQANMMAQVRKARVPITDTGKRRKRNVRIPSPVQT VLGEDIEVFSDDEDEEQDDDEVMQDDIDEIQTNKVKARGRWLRDYEERDRLSTVEEEN EEQEDKTEAHGGDTHREYDGVQVDERTEEDKFWGDGGDLGFKIWRDGY I203_07496 MTSKPTLIIYGATSFTARNLLSYLDTHSEGDSFEFILSGRNQQK LEIANGKLQRPREVVVCQLNDEDDVKKLVEKGQVVVNLAGPFRWHNAEALIRHVQSRH HVHRKCCRTGKHYIDLCGESSWLATDIIPKYDSLAKETGAIIVPSCGFDSIPSDLSLW LAHKTLQSQYPDLTIQESTSFFKMKGGSMSGGTIQSMHSLAELPKDKRRSGEYDCIPQ DGTIVKTVSPVKLLYSLSDPTEKGKTRYGSFFFMYPYNRTIVRRSQYLSTTGAGIRYG EKMTYAESMDIGRGWIGSTIFSLVLSISFGLFFLSSFVRRLSNYVLPKAGEGVTDEKL FKASYVVDNLSISTPSTDGKNVKVWTKFKAEGDPGYLSTCYLLAESGLSILLNLQFLP PITKQGGLLTPSIAFGDILLDRLNRSGQFQVTSEIVDTSGNRKID I203_07497 MFPRMRRMTLLDLPTRSAVLAEILQRRNTTSREMEGCLVEFVIK AWKEGRKVREWCRDVQQILEVIHITDSLRDLIPSVPMRNLLPFVNVPSNAYFPTTEPP LPPPFIPTELLPYITRSQLIEALSRLSVENHNEGSRPFCDEPLIYELCFSYISTVWKD KNLDIGDSNLIDWISKSLTEVEYELQLQGESGSTSILPILHGFRFQLGFVTCSQLITR LNSNSNSISSLLRIANPTESFDTAATANTVHQDGTTLLETFIRSWIHRRLSAGLGYRA ISDELSNTKDRIEDREHKDLIDTTLENELGFCNNTSTNLSTDQSGLLWSSPSSNPVHP DINTGTTTKTEPEDLYSQLASPSALSLLTFRMGRKRSFGHHTPKWLIHKRNNSSSSKL RFPTSDHSRPSSGYFGGTFIPESSSLTSPSTLTFSCPPHGNDDIRQSTLSENTVTRQR TTFRDDLTSSLMSLTDPEDVRTVLLNQLMEMRYHLHGHEDASWFLGGGREHAEELLSH LEKKMIGKKDLMGLKEVFVSMRSAFDLPPLVNTCYSAILSDQLDSPFSRGTVSERNSV QRDSLNLDQFFDEVAQLPDTLEESSSRENDDGHYHEAEPEDGRYGHVEPTYQPVREEG KRILSYGGSGEPSQDEGHAEGTDTARGPFGRRTSTSAHSVLTMTSMFTTDSQTEGTRL SCFEIQEAHREYHTESQAIEYHFPLPPSTPPPLSASQIQWQLFERNLASVPDLTDIEG KYTRLPLKVGSLRTSKTRIAASISETTSEELGLNTVPSTPTQQPSPGLPEDAQEELSI ISCKQGRHSSIEPISPICPLSPSSMTEDSPSKSTFDAHIVTPTTIRHPYPYGHHQPLS TLSSEATLYHRHDHSHLITPDRRRASLGPIDKNRRQIHRHFAIFDGEQVSPSKELREL GMGMGKSLEDPVTPTTKLTDFSESGLVEEDTQHNPKTADITPKVRSRTSRSGIPNPLR IVPPRPSSSQMKNILSFSRPSGARNISSSSASKEDTASTQSQTFVPKSPIPLMEVLDL FKRHQESEDGLSLWEVENSLYRLINIEKDRVERSNGIWDEEKKSRVRWLIEQVAIMLG DPIYVAPISRVVASLSSSDTQNHRPESMTLTASIPSQASSFSVVKVLERPPLPRYKSQ PQFPTSFSSSPPRPEPVRPNILRRHTRECLSVGSIDSASSIYSTPSATDSFSEKNLEL EMELEEEMIDFPCLPSPSIGGVVIDESTRSRWASVDREKSRLRRVSKGFGYVDWDVPL PQRMEWPVPQVNHKQRQR I203_07498 MDALRPGHAHRSSSDRLLNNYLDSQKSLTTSLLTLLSHSHSSTS SLLAYVTSSPGVIVPIRRGVRHAAFEGPLSSELMNDPHSHSFDQDADHGQGGWATYIS SLDQFRKDLKQIHLLEEELSRVKRDREILVTRLIKSTKSRPTKSDLSALATSYASHNG PAQSSRISVLSMSSNGSTTATNKESKRAGKLADAQAELLGCEEHLRGLEVRIENERNK VMMRGLEERFRAMDVVGRMWVQQSKKGLRDLERLQDLPPDAFELDSNGSLAPSQSASQ IAYEDSPRRGGGVPFPRGFGHEHGPGSITGSIQEEEEDGSSADEAQGGTLVVHENRPG SRASALQAKGRTTPKPSPLGVPSVNTQVRPLSSTIGGGSRHNLNEDDSDDGLPNFRSG GRRAASDIGAMAYKPPKGRQPLRRTFSDDQHNTNNNFSFNRPRANSDSSSIANQRKKK GFFASLGRLFKGSSNKKSGRSGRESPPYGSSSHTRRGSGGGWNTRTDSNIKRSGTLRS GRGGRGGDDSSSDEDTGNFVSVTNNRNNTWSIDNSRDTKGGIKRSSTMPVASGLIPSK PATKSDLGMKRNSSQRTITAKPRSVTPLPAAAKANAQGSLSRSNTVKSSMSTASVKSS GTVKSTGTAGGKKKTRPNGSIARASITAQQAAEGRNIMQLVDGVDGPPKMPEVPKAPK SQVTPQMELPKAPGSSLVHAEPLKPQPAHPHGEIPTLGKTISRSNSVKKVAPKSDQPH AQQQQGSPKKETSPRRSTTPLPPSRTLSPPLKSALRPSSPLPPPAPLPQEPPKPMFTI TAPGPVQLTPEKEEPEEKLIPPPNNKRNSYHSMTSDGASIYESANEGDSGDEEGQGSS AEEDDESVKGYKVVENEKVRRAGEIATGISRYEEDDGDDTASDHTVEAAPTSSGNRAP PPGPIAVEPPTGGGTSTVSSNGVSRRKSVRMAVPDSPVVEKAPPPVAAHSATTDEAYQ PPTSNYGYNEEAQGARPTSPEPERVKGDWSTRIGRMREDTSDEDEDKDPEYMKARKGL QKLEKKWNELGDQGGKDGKLKKRGSVRSNKSNKSRSSRV I203_07499 MSNADSPSPITPKEEDAPVASLLSRISGLSTNNDDDTPSAPSPP GPSSSSPATRPKPNTSNPLFGRALAGVKSDRPSQTPSAPSGSTSAPGSKKNVELIDNQ NKQEEKKMSGFDDGWDTPARNGDVQSNGQAQETKTDATMSEGGLISNDFQVEVKLADL QADPNSALYSAKTFEALNLHEALMKGIYSVGFKKPSKIQEVALPLLLSNPPKNLIGQS QSGTGKTAAFTLNMLSRVDPDLLTPQAICLAPSRELARQIQEVVDKIGQFTEIQTHLA VPGSWSRGQPIRKHILIGTPGTLVDMLSRGKSIFDPKMIRVFVLDEADEMIALQGLGD QTVRIKKMLPPSVQNVLFSATFPEQVQQFAEGFAPEANKLFLKKEEVTVDAIKQLYLE CDGEASKYDALSALYDCMSIGQSIVFCRTKATADQIAKRLTSEGHSVASLHGDKQSNE RDDILDGFRNGKTKVLITTNVVARGIDIQQVNMVVNYDVPDLGRDGDFKPDIETYIHR IGRTGRFGRKGCSVIFVHDDRSRQDVDYIMQELGKPMRKIDARNADDLDQLEKALKAA LKGPN I203_07500 MLTKLALTALTFAASAQAAISILYPNSNTVWYKNNTVHMNWTLT NPETDTYLFRAYLSNGDQSVLAGNHSIADSTSASAQDVRILLPQIPAGEGYIVNLVNT TNEAQVFATSEPFEIADGIVTTSSTSASSTGSATSATGDIPNAKTTSSQNPFPSSTPS STSISSSSASPELTLNALMMNGLMVVSIGSGALIAL I203_07501 MPLQLKPRSPLPTFTFSLASPSPPTDLAAPVGQNEPIPPRPPAD FIPEKDMYMFGTFPLFGDGEVGRGVIRCGKCGKKGIEWAAGEHRRICNHILEGTPLTT KKVNTKSSGNKTTELSKKRRASEVSNPNLSPKKRTKLSTIPTPNDLTEKDNEDDDSIG DDDISIDMSNYKGLKKSEIKKIKKEKLRLERKEAKDKEKSEVAERKRMRATNPINLDR QCGVINDKSAPCARSLTCKTHTVGAKRAVQGRSRPYDELYLEWQREHNPNFKEPQKRE VKDPKDKQASANLKKKKKSSSSHHLGIKRSLGMGADEGIDMDDEDGLKELQELIEITR LGGNRVKISFGNLGLDKPKLIEEENITTTTTTTTTTGLKLPNGNGNGNGNGRGSISKA TATPLPVTRPIIPFQPNWNNSTFTEFTTVGQLLNKALAARSNKFANPPGHGHGHGHGH KVTLPLTAAGGVKV I203_07502 MDMLDDRRVKCTRPLIPPQILLEELPLSLKGAQTVLDGRRQVEA VVKGDDDRLLVVVGPCSVHDPAQAIEYAKKLAEYAQEAKDDLMIVMRVYFEKPRTTVG WKGLINDPDMNGTYQINRGLKLARKLLLDITELGLPTAGEFLDVISPQYLADLSSWGA IGARTTESQVHRELASALSMSVGFKNGTDGSIDIAVDAIKAAGSGHTFLSVTKQGLSA IVETEGNNSTHVILRGSSKGPNYAAEHVQSAGEKLKKAGLPAKIMIDCSHGNSSKQHI KQIEVGHDISSQMSSGSTSQMIMGVMIESNINEGKQSVPPEGPSGLKYGVSVTDACIS LEQTIPLLDELRKGVQVRRENVRNKHLNGE I203_07503 MGGQLSKALGKLFGNKEMRILMLGLDAAGKTTILYKLKLNQSVT TIPTVGFNVETVTYKNVKFNVWDVGGQDKIRPLWRHYYTGTQGLIFVIDSGDRDRIDE ARLELERILADREMRDCLLMVFANKQDLPGAMSPAEVTEKLGLHKMRDRSWYVHPSCA TTGEGLFEGLQWLSQNVKGTKS I203_07504 MVSPAAPGLFLAFAALVLLLFASISPPAWDEVDFLHAQTPSGKV VYGVFGYCIKNGACSHRSVGYDLTLPGADNVSLNDKILHNLTYTLILHPIAGGFAFFS LVFGLLAACAASRVATIFMALAAALGAVVTLVIFVIDMVLWNVLKNRIQDAGYEAGLG NANWFTVGAFAALVLSMCASVCGAFGRFSSGRFAGEKKR I203_07505 MSHLTFIYKVFPKTRIKSLYCTILSSHVNQALYEAISFNTTQSD RDDKSEVYTMYDNIELMVINDRVRPEECTDQEALSMWRSQIKWREPGWKEVRDDPERY REHFEDDDQCDYYLWLRHGVANQ I203_07506 MDQQNRLLAMLKSATTVPGPLSSSASPQGSINSNSTHSTSQTQP PPSSQYLTAGNLGSAGSPREPSPTSPPPSLQAVTLLDLFKNISSPPPPTASSEGSNPD DQKNKLLGMLNSIGQATPNAGVISPSQGSNVGTPTTSGEKGDPLAVFKAGHPSHPPAS PTGQGPIINHPSGITSPHVKPVQAQHTGTSTSSSQAKAKPAKTEDKPTSAATSNETPK KSIFHFDSPFDAFEQLPRSRQASTAKPATPKEVKVKLEEDHALNRVKSTEKLVSSGKG SPQGGEVPLQTTNNPYEPPATFNTPVSVNELPQAEIDDKLRNTCQVGKVVKDGQGKGP KALTSHTTIDLSQPNLDSLVNTGYPVHIAPTTKMRTDYMTFEKGKRVGITNTYMAYTM PKVGRVRLIDSSSGARLVIQLLGTASFGPVVDLAVTSNYVATIGWDKTVVVHKVPVNG WKTDNPEIEMSFYCVAVNGPIGWPKKIEWVKREGKDWLAVGGSEGVVLLDPSAYPGGG QVVMMENVCKQNRILKTEGDVVDFCLNQSHQAIGLLSSTSQCTLYNVSNLNRVWNRQL PSASPSSEPSSIQFCESNILVGRARNTHYDLIQITVDLAVLSTIKFTAPSPCLEDLNY SHAVYDPIKTTLYIAPFARGSLYAFKYALKGQQPVKDASKPDGLKVVAFDKVAEYPLE PVISLILAKKGVEEDSEIFYATPTGFSQATITRSAYDALKAPLPKVQQPETNLPTSTA KAVPSPKSPKGGKIELPKPRSKAPSKNTSPAVVKTELPSTSEDEVFTQPRPKVQPRKA SVAPVVADGEESAGGAVTQEELNKTLKKVCEIVKADQFRLSLQTEDRLSNHLKQLLKN EIATLNVRFDGLTGPDFAADISARVERQIKGSLSNTIVQEIKKTVVPAAASTIQNEVR TVTSNQVPAAIYDALQTVPKELERSLAPVVQRTISNLVSNAMDKAVQEAIQHTLLPAM TQTSSNVIEQLSAEMRSEMLQIRKELSPPSKEGQLANDHLLKTMSTSIAELQKQVTVL TEHLKSGHSGSALNGIHPAPLPQPPSHQPTTFALPPAHHQPPPPPPPAAIPAQPSGPS PSQLEDTFLSALGAQTTASTLQLVVDHLALTDYCLPNQGKSPLSQAVLLTLLHRLAIV ISEIPSTHSMFQQVAGWERRTALLVDPKDQNIAGYISRVLSVVQGQLTTVLNNLQRYP DQNTQSHLVVIRGIMDIIGHKMNG I203_07507 MEQLARVGEVARHFRETKLSTLKPPQEFFDHRQLSRPANMNEAT SRITYNTRHFSGNYLLVILILAAYAMLTNPLLLIALAFLAGGFAAINKFAPEPVQVGS QTITQKHLYIGLFVIGLPLLYIASPISTFFWLVGSSAILILGHASLMEPGVESEYQGV EGV I203_07508 MIRSNPTAIPIRANDIKLLQAEIDKRKTAREAAQSQVQAKTNEV EGNRGKQQKGDEVFGLGEERKDRQGRSVAERIGL I203_07509 MYTQTRSSRPSLAPSSTSSHQSRARTPLGDRQVGNTPTPPPEPA LKGKAPIRENTTVLPEDDVKAKTAIRTERIVSAPVSTIPKQPRKSSSTARSTSGPVPL PVNPNVHRLRNENQTSAGLRSATPMIIEEDEEAVQSESGEKQSARSSVSQQNPPNDPP NERSAPPCIMSQLKKTEEYLHEPSIHLDWALYPPRERAKEREVILTDNEMIEELKREL RDLKLDMLRMSRGLRNEIRQATKPLLKELEENKEVMERQRREIERLRRGY I203_07510 MAPKAKSPSGTPLKTTPLSTPLPRKASADILSKSSLAVDDETLP SGNTTLKVRKLAYPLPTHISPTTINNTESLLRFIILALICGAAIGSRLFAVIRFESVI HEFDPWFNYRASKVLVNEGFYEFWNWFDPTAWYPLGRTVGTTLYPGLMVTAGLIWHFL RLINMPVDIRNVCVMLAPGFAGLTAWTTYLFTTEMSTPSAGLLAAAFIGIAPGYISRS VAGSYDNEAIAIFLLMGAFYSWIKAMKTGSALWGMVTALFYGWMVAAWGGYVFITNMI PLHAFVLILMGRFNNRLYTAYSSWYVIGTISSMQVPFVEFLPIRTSEHMAALGVFGLL QLIAFVEIVRRLVPGKQFQLLLRAFVVLVFVISFAALVVLTFSGWIAPFAGRFYSLWD TGYAKVHMPIIASVSEHQPTAWPSFFFDLEMLIFFFPAGVFWCFKELRDEQIFIIIYA TLSAYFAGVMVRLMLVITPVVCVAAAIAFSKLLEAYIDPVIPESEEELEEATAHQPMS KAKAKKIAAAQQNKSEFNFTGILGGKGEKGVFGLDTRFAVVSVLSLFLFLFVYHCTWV TSSAYSSPSVVLASRNPDGSQNIIDDFREAYYWIRQNTEKDSVVMSWWDYGYQIAGMA DRPTLVDNNTWNNTHIATVGKAMSSNEDAAYPILRKHDVDYVLVIFGGLLGYSGDDIN KFLWMVRIAQGEWPDEVQEHNYFTQRGEYAVDERATPTMKNSLMYKMSYYRFHELFGG HPAQDRVRGQQIPSTGIQLDTLDEAFTSENWIVRIYKVKKEDPIGRELKAVSSFEGGK KLKKSASSSNAALASGAGGGRKSRPNM I203_07511 MSPETPRSSLFKSRFSILSLLPSRHPPLHVSHPMPQRHDVSRHP TIVSSTVSPVHSEYPDPSTPLPPTKPKRPPPLDLDKTRMMYPPNHSDIVIDPGTGKTE RPVSIPILYNAPPLTSKKDLKAQSKPRPKPKPIPKPIPTKKVVEEDPFEVAEVEVGHR YPSWKGGKVDLKPGQVLPRNIIPSLVSHRSEPYSSSPKTKTRDEVEGLDNYESVLHNV LLTPTYLRASSLPPRTATASPSSLGESSRYAKRRTLLDKASDTISEVAKNARNSKWLP GKSILKPTYALEADRSLRAMKEREEQEMDRFRRNVKPVRLNVPDYEDDDHTRYPKSRE NIGQTSTWSISSSSSPIRENSGTYKRSPGWVGAREWAAGGAAYDGQRARMPERGAASG SWRANKAEEMKQKMRQRVWKYGIAFAILILAALIIGLCTTLLRKDSKSSSSSSSSNST DTSTTATTSAAVPTSTSSETLTSCLDQFRVLPTPSSYPCSDCVPILSSVTNDFSQAVV NGNATGVGSALQFCALRDIYQKTASKDGLTDWMNDASPCGGWSGISCDSRGRITGLLL QYPNVPDVLPESISNIWALEAIHIMGNSSVPTGKFPSNILSSQNIKTIDLEYTALSGP IDQLSFSTAKNLNTLVLVNNPNLGNTLPDLSGNTALLTLAVTGQSLVDAKTDKLPSGI TYLDLSYNSLSGTIPNLSQLSSLNTLFLQNNKYTTSPSTLPSSIVSLSLTSNTDLSGS LPDSICSSTVLENCDLRLTKLSGTISSSDSNSTSPSTSAASSSVASSSAGASSSSSAD VAQLVTTSAAVSSAAAAASASASATSINTIGSAVSIVAREPASCGLCKFT I203_07512 MNPTPAKRARRTSSPSSPTGSTSSLQRQRPPTASPSHPILPAPI PSNPPGSSGGGGFPPFAPGPSGWQSNWRSDSRRSFDAGSPTSTTSPKLPGDPGHPEEP QGVIFTRDVNSRAPRSMMACTRCRRQKMKCDGPSQVPCRGCRQSGQPCIFEPRSRPKS ISVIPSRPPPFHMSSGRPGSPGLGFYPAGPQPAPPITSRAPPLGAETYAFRQAREPMP PPPATSLAALTSPYQPSRHSPPPPSTAGSIVGGPPPPPPSQAIYHPPVNVVHPPPFPT SSMMVQAQSQSHAPPALTSTSTDSRLRHVESSLRHLQSLPLAVSNLQLSINSLQRHIM PKRSVPVLEATWESYRTRAWPLTPWLVGLRDSEGLPGMVVDLLGRRTIIERDEMRKRE CEGLLVDVTAEVGRLVGERSDWSREEIRSLGVLATWINDPTYSAVSIAQARSAGLDRL FAVRKTHDDWREWIYLVIMDHLCHIPNLLPPLTRDPLAVAWRERLFVSPASDLAVRDR DSKLLAWLEYSELLAELQQLQNIARTAPLPSDNPTEEVILEDRMQRAMDPWRKLSGRI DMWLRTWAVSTDPILSLYHNYVILFACSPAFLADERIWQELADSKEGYSIMERGRDAA TNVIQSICSVEIGRTLPYSFALSRPLLGLSIIHLVSLTLTPLPSTSSPLIGPSHLLSV LRQAYDAILTHQPIDRVPIQNTSSSQTSSAPPPCLLAEIVESTRVEIAKRWLGVELSK ESWRRIVG I203_07513 MSQPPPSAPAGPSTPGGTVRPSAPGQSLMTINTIMHNLPNLFEM QSQGKLTDVQIIQLRQLMHTHFRQVIASSMASGRPNPLLNLPAALDPTMPFDGRPPLI SKEAYSASIATTTQHLKEAMAQRAKDVAAQNQNQNQSQIPRPSTNTPPTTLPQPQPQS QTQNNAIPSVSLPPQPQQSQQQALQGTLQPTSTSGPSTRPVAPNVAPNQIPQGAVTSA GMAKINSNPTSVSSPALGAASTTAPAAAGQNTGTSTASTNQPNKVPNGILAYSTMREL MKLTPEQRTTWLRADPQRTSAFNLSAKYWTSRTNANAAANANANANANANAAASSSTS QSSQTSQRPTTIAPSALLTPPIPPQTNAVPPPTSPSPIPQQTVLPTNTTLGDTSRSDP SQSAPVPATAPTSSKNETNNPPSTEDNTKPASTNDDKTATVEDSDEAPKAPVEAGADP ALPSAIANPQAGIEVPAFATALPDLKTFSLKPPPPPPEPENVRRKRKCKEFIGELHPG MEMEYGMDEVIGDILDGLLDEGLKGATRLAKHRKSDKVELKDIAFFVGQCWGIETPGF DALGHTHRKTHIPPERDRRRGRAVNPRAARLGQGRARDEE I203_07514 MSQNLQNAIVESSHQLYSSLPAHGKPLIRSNGVPEWTILSTFSL VVPQPQIGPQTRVIPISLGTGVKCLPYSKLSEYGDTLHDSHAEIIARRGFLRWLLWQA HLQAQVTRGERVEEELFVEIREEEGDRGRLRLKDGVQMWLYISVLPCGDASTYYTSIH QPAEEASQWTVTDGDHSRPSNMGVIRGRNGYTAISTLRTKPGRPDSIPSISMSCSDKI ASWSVLGIQGGLLANLFQPIYLDGIIVGGLERPANRIEKSPNAWEDQIKQELKRSLWG RLESINALSTLPYTLQRPSIYLTSIPFEHSKMTMIHKQQNDSSDLGPSPSPLSLSHLP FLPSTHPKKGTKPEIIAEGGILGFPWKKGVLIKEKGRSRICKLSLLIECEKLLLNEKA KTLGITNDGTYYKYKHLTVFGREYQHNKMILRGIPGHRQSVRGLENFEAISSTGVPGS PKIKEEPPFRGWLVSGKQFESFTSSGQIHR I203_07516 MQVEYESSPAGPAPDESMIEEGESFRPGEPDPNNQDEEEEGAVV EKEKPAVKKITKQRQSLAEKQLGTTIFPISRMKKIIKADKELDNMSSEAVFMISVATE YFIKHFMEEGYTKARLEKRKTVNYKDMAAVVARSEEFDFLKDVIPQPINLSEALERRK QKLANDENPGLHDTTQPDTTLNEDDLPPLAISTNPLFPNAIMKKPPNTHAKTAMPKPP PPPESENDNDNDNGEEEIAVVQAPAPTKPSSSRKSLGSKEKEKEPREAPTPNQNKVFT GKNAPSTPHGLTTRGAARRSLAGTDVDLSPVNQLRTEIAAEVDEVVTEPISQVDDEDE RMD I203_07517 MSNKDTLSLSCPPINADRVTWEKWEEWKEWATDDHDLMVKTTEA CVERSRAKLEDVISEPNKREFLDSLLSSAIRTNNYLAVIKECHLSEMDIIAYPCQTIL NDTVERYRQLITDKELLTQWLDQEKDELYPGTTRDTELFSDHRGKVN I203_07518 MSRNDRLFLEIPRMPIQDVPLWKSEDESLELESPQLLIPPSPQT RPPSSQGDEPVLNKSITWPSGQADDFQRLGPDTLSVPDQQEEDERNGIESLSQSRRSL TLGGPIIASQTNAGPSVLDEIAFSNNLPKFPSAYTTYQSGEGPQINPSQIAELDTLAS AICQNHFFLQKIAGARVSDLSMEEQFALGINKSIAVDNLPQDDDTKRWTALWESQKTA LEG I203_07519 MRSKTPLVAFLAASSSLVSATHLTDSLLNKVYNVMNEINSASWE NGTKSIAILESKYPDLSVYSTSPFQPLDGLKSGQISEIIDIAQTTLNNRPKSNSTTSS SNSTLSGSSLLEDGAAGDPPSLGIAVLLANASTNNEEVNGIGYGDAATSQLNHLLYNV PRTSSGAISHREDQAQLWSDNVFMVPPFLAYYAVLHNNQTLLQEAYRQCSLYRDTLKQ DSGLWAHILLGTGTHDSGLWATGNAWAATGMLRVWATIKASSYASDMSSQMDDLKNWV TEIFDASQGYITSDGLLHNYINDNSSFKDTSGSALMAATGIRLSTLNITDTYVPNSLK LLAAASSYINSTGYLTQVVNPYDFSKQGTISPEGQSFVVMAYAAYKEWDSLGRKGSTS GNDDPLGSSSSAFRVMSGDVPALLGGLLAALLGVWSMM I203_07521 MVKLKAALANQQHSAAKAAAKKRSLQFEENKKQSIKASLSGSKK GLKKKTKLDLKDGLSERHSTTAAIASGESSNAASSTSTKTKIKSKTNPNQKPVIPFTK DDTILLLGEGNFSFSLSLLYPPHNMIGKQILATAYDSEEITYKKYPDAEEIVRELRGK GVKVEFAVDAMALEKSKVLGKGRRWSKVVFNFPHVGAGITDQDRNILTNQHMLLKFFG SVEPFLTDGPSTININAKKSKTKGKGKENSDTDDEIEIDEEEEESPYIIDDDDENGVS SIPLPTSSSSTEMKIPSKQGSILITLLTCPPYTLWSLPKLATKPPTLTPGTKLIQPRY ELVRSFEFHPDLYSRYEHRRTIGWKEGLSKGGNAEITDRKGKARTWEFVRREKKEDDE I203_07522 MPLDLNYAPGAEEEADDDFIDDDDLPGPSSRIKKGGTAGDKGGA KGKDPGRHAWEAEYKKSWDIVQEDEKGSLESAVESLLARGRRKRALMADTPLRRSIIR HMFIILDLSESMMDKDFRPTSRFEVIIGYLRSYVVEWFDQNPLGQIGVIIMRDRLSEV LVPMGGNPQEILSVLSDKRKLEPSGEPSLQNGLMMAKGGMSHLPTTSSLETLIIFSSI STADPDGPITIHNVLQEMVDSKIRTNILSLSGEIKICKQISERTNGKFGVALDQDHLK DLMWDTIPPPATTIAPPITVGVRNALAGAAAGQQRGAGGKAPVGDLMVMGFPIQLPLG GETLCSCHGLLKKGGYLCPRCGSKLCDVPTDCEVCGLMVVSSPHLARSFWFLFPVANY GVLAVEDLMDNGNGDNCFGCDVEFPDTSTIEEGVAQVEDGVSPTGRYR I203_07523 MSTTSSSGPSSSSLVHHHHHHQQQQNTNTKDRKRSAHWTDSDTE TLVNLLLRYKDSGRTADNGFKPEIWEEASLLLEGNTYMGGPKTPDACKSRWQRLQRDY KAAKDMEAMPGFSWDRTTNRLFASAECWINAEKQLDSYKYRKIHLPTFDSIAVLCAND STRTRPRAQKGRSSLGSISNASSMLSLSTTSTSNNQNGNGNGNANGNGYQHQNNMPDS NTTAEAMAQLQVQNDQNVFTWSTNTGNGDNGNGEQDGTDDGFENAFGISDGSQSFNLG QKRPLPFDPSILSPAINTNHGPGPPQNAMHHNLPIPQGSPPKKSRPSHPQAKSHTLPT HFTHQQMAANQQIQHHLPPAHTIPQHFYLPHTHGQNHTPTQPSSQVNPQMNMVSVPHS PEFSSSTTTTSNHQNLLEPSSIIQTPPPASSTGIIRQFTPITTSGSSSNIQGLTGVVV GGGMEIQEITNAGLSESQRLTKAILLLQNQQDMYELKDEDLIEIFNEFENNINSADTY LAITKDHLRKMWLMKILKRRNGSSGKKK I203_07524 MSVADEIPKSNEVYVTKRGAKLNLEEIGPKPTKKVAHICCMDAR IDAFSAFGLKEGESHVIRNGGGRASDSIRSLVISQQQLGTDEIIIMHHTDCGFFTFSE SEFRSTLKSKGFSGPDVDAMSFMPMSSKDIDQNVKEDVDYLKNHPLIKKESKISGWVH ELNDGSVRRVV I203_07525 MSDLKEPGFKTSVVLSALASVFEKMPDKEKQAQIKKTNGIFQLN VKNSEGKEAVWVIDLKKDGTVTKGPAKKPDVSISLSDDTFIGLADGKVNAQKAFMTGS LKVKGNVMLATKLDGVLKGAKAKL I203_07526 MAPTTPSRKAIMPPPPPLTPRTPSNALVIRQPPGPLVSTTATKS SVSRSTHIPSVTVKRELDSTPGPSTPSRVVALRTPPSTSLVRRLRSITPSPRIRKSSS TPLRTRAPALSSSAAFKAKLAEVRSKTSRRRSQTPSSASHSGQTRNSSVTVEDDEDDD EVQFWKGSRVRGSTVDVAIELCSDSDESPPPSPTPSTFRGGHAFQSLVHSSSPISNRS NATPGPTGSNRSERAESTFSLTSFGSRATPFTRAPTWDDSDQRYGRKYPKDGPPRELI PTAATSFSHSSSIPLPLTSAIERMSDLISSFKPKIPKEDIKRGQEERFMSLEEKLSIV MESSFSNEVTYYDGELMKRLKDQLAASDISSSTFDLLSIIKFFKSSLHQSLKSSINEY RAEQSYKGNKEVRRNLWFSDFKVYLKFATPTLGDRINKETMETILLYLHVALNEKNAM KHTVTRLEDNFDDCNNPKIMKLLDAMIDLCAAIVNIAFAK I203_07527 MSAQDHLSTYFDSPPSSSSDKEWKILLERLELTHPTINEIDQND QEEIAEPVYGTITWPSILDYLPSDIAENDAGAGAGAGAGPSTPGSRQVGEGYHQNGFG NGGREGFGGDLAKRIQSHLPIQSTFTSLITLLSSSKSNDEIQSELIEIMGFEGNGLAL VEEILKTGAREMIVDEAGSSGSKSRSQSSLTYLPTNRMSVRAGKGKERKHQIDISDVI GSAEDIERRIQEQLERPKAMFSEDGPRLIEQEVLPHVYTATGSKAVNTSYGGKMALPM GTQRHINDTFEEVTVPPSNPVPPKRNERPVKISELPRLARGCFKYVELNRMQSIVQPT AMNTNENMLVCAPTGAGKTDVAIMSIIRVLSQHVIPGPSTHPSGFNLNRDAFKIIYVA PMKALAAEIVAKFGKRLAWLGVKVRELTGDMQMTRQEINETQIIVTTPEKWDVVTRKP TGEGELASKVKLLIIDEVHLLNEDRGAVIETIVARTLRQVESSQSLIRIVGLSATLPN YIDVSDFLRVNRYQGLFFFDASFRPVPLEQHFIGVAGKPRSQISARNMDRVVFDKVSE LVQAGHQVMVFVHARKETVKTAQKLKEMAIEEGVSTFFETMDHPKYGLYKRDIGTSRN KEMKELFESGFGIHHAGMLRSDRNMMERMFEDNAINVLCCTSTLAWGVNLPAHAVVIK GTQVYDSNKGSFMDLSVLDVLQIFGRAGRPGYETSGVGYICTTQDKLDHYLHTIMAQH PIESKFIPGMVDSLNAEISLGTIANVSEAIQWLGYTYLFVRMRKEPFIYGMPHDVTKD DPQLGNKRNELISQAARLLQAAKMIRYDEIANTFAVSDLGRIAAKYYLRYQTIEVFNT KFNPRMSNADLFQMLCEATEFDQIQLRENEVEELEAINKSDVIPLEVAGGATDKRGKV NILLQAHVSKVYIEDFALVSDAAYVAQNAARIIRALLEIALSRHWANCAYLLVELSKC IEQRQWVYDHGLAQLNILQRDTLHKLNQYTTDDMTIADFRNMSAAELGEFIHMNEKHG QAVLDAANMFPTVGMTYKLRPVSHDLLQISVKVEPQFKWNNKISGGSEPFYVWAQDEE GLKIYQWRSVRIQPTTSVIELDFVLPFDDTPPSSISIVSISDRWLWSHEQITIHLSDL VMPPAPAPSTDLLDIPFLRLSCFDDPALEAAYKTHMSTLNSIQSQAFWTMYNTSVNAL IAAPVASGKSFLGEAAIWNAFRHSKEGLVLVITSQHHAVHETVARLRSICPRGKNIDI HSLVGSADFSRLSAPRGVIGVTTPFALLNNDKLDDIFTSSRLGLYVFEDLHLLDEMYE LCIAKVLSFARSLRTRVVGITSSLDDPSTLASWLGLDIIAPPLTDASSEAVPYQPPAL YNFTPANRDNPISVSIKPFTIPHGPTLLRSMIKPTYDILKSVHHTSGGAIVFVPSRQA CINVSNDLVTQSGTEMDLDGFLTTPRDHVEPLLVGKLKDKSLYEPILHGIGYIHSNLN SGDLALVLELFASGILKALIVHRTLCWTLPVKGSTVVVMSAQYTPTIPIPGNKKTKDG KEMFERRIINYSNKELVKMQGFASIDASPLQQGNNGEGGRMCIMCQSEQQILISRVLS NGLELESTLSEVLQRQSRSGLTTWTSNRLEEVLSKKLIKRKGESQYKQQGQAHRLKVL DLRKRDMMDLIGYSYLRERIKVNPSYYDLLRGVDSQSVSVSRWIDAWFEGVHKKDVVV NGADKEKGKDKGKKKELNGDDQDGSTDEVNGDGVQDEGDELDMNDDSERVAVVGDQVN GDAEADVEEKDGETFDKHGEE I203_07528 MVTAQLFITVLSLLSISHAILTPAHGSSKSQSMRRSDMPLGEQR RDILPRSQPTRGKARALKRGPSVGVGGVYLDIHERKYTRTKGNRKRAVEINGTEVSLG TAQNTFVVPVSIGSPPSVYPLQLDLASSDLLVASTLCTSTSCPVSLGPNVNAYYDVSK GSSGFEEVNGNRTYWNSTYADGTVASGVVVRETVTMGEVVLQGQIMGFINSTNLTLSQ QKISGILGLGFPRLSALSHILLDEEYQETSSSSNVSSSTSASSSVPSASVSASSSSSA TSTSSSSSPTYYPPLLENLVRTPHIPYPVVALALAPPPSSSNSTATSTSTSTSATSTS TARYQSSIGSLTLGGVSSHYISNQSGSGRTITDIEWHEVMPFGRARSVSNDTAEALSR TLTASSSPTSSPSPSGSATSSSDSESTRRRKRSDQSQLNDLPSSLEQLGEEEYLFWAL ELHNLSLNGTDIPLNPSYADIGLPSIALLDVGFNGISGPQQDVVALFSKINDARQVAE GRWVVPCDTRMTIGFSFGGRYIQLQPSDWISTQIDSSSFCLAWPIASPSTGDGMDWQL GTPFLKRVYSIYSYGINGVQAPLVGFLPLEDNPSTSESSSSSSGSAIPSHTSYDPNSP TPTTIEDLHLTTTIKTVLPNNILPDPTYTTPTYVYSASPSLLQAGITQFIGLANSSAY SVEQVPVISLDSAATSRIASMAGGEDGGSAAPASSGAMRVYGIGVGMGMGMVVGMIGV LFHLL I203_07529 MPPHISTISHSINPSSSHGIPFVQQIAVILLSFVLAAGFLLVIL SCALWANWLPLLVALTFVLAPLPNSICARCSRADDLSPEYNSAYVDFGRFLTGMLVTT GLSLPVLLAHSKLIQPAACWMSIAGGGLVYGTILVYSGWFGGSSDDDGW I203_07530 MSEVDPSASAPLRLPAILQNPKSTTLKQVEAYNAQQREKAQARR EARLTEPKLEGRLNGKGKRVIRRLDNASFTSNPHIAPPLKSDYYPSVPLQVHPLQKPI FPSDAIPRKQSIPSTVRPERNANSTDSVNGNFQLSLKGIRALLRKKRGRRVESLVQGV EGEMRKWLGGDWSLNLTATEGAEGLRWKVIDDTLVDFPIEKNGETSGSNPSTNNRRRL PIQHQINGLLPSLPKENDQIPSILEISRSPAHLSWYIVDPFERLVVHLLARYYELISW SESYQTISNETIRLTHIILPTIIKPRIISSSSNNLLTPETSELSSQSGPESIGSFTAS ETDSGSDTATERGDDDNDYQSENGYSLEVEEEGDTTITSLPGTLSNLRLTQESIPLQR SISNTSSAYASSEGGNSDYSLLGDSLTLPPKPSIGGGDGEAMGEIDGWSDFGSDMGIG ELPKVNTNINVMIRNRDVSSSTSIRKGWEDKPTFFEYLYGA I203_07531 MFFHLSALSVFLIIAFLIVYYRSRILPILSRYLPTSVVAKFTNY QPLRNFSFSEQAAAGISSSNFDLESNNIAEGSGESRMGLDEAGVEEVRRIMAIERCTF DQARLIRHNRILAKNGIAPDGTPLDSKAITRL I203_07532 MSGISLADQLKKLSSAPQPKTLDPESAYTSLDSLSLPKKDDPNE GKEHYLDVGPSRLRMQMDDQEGSGGTLRGVKYQGEKVGRQKIFDDDDDDDEEDGGMNF GEVEDSEDEDDEDEDEEDEDEDEEDDNEDEEEDDDEDEEEDEDEEEEVQPKPKSSNKT LDPMASLRDSRAKDIEKGIAIRRQKALFESLLTLRITFQKALSSSTSLPKSIDAYPSD PEGEIASKTAEILKSLSHLNERLFTLRENLLLPGTEKSVGDKRKRDDYDEEEYWKQSA VRSLELSDSNHDQIVPILNKWSSKIQAASLQLGSKQAGGSKFLQSVKNGSGGVVEAIE AGLASKRDSEKTLMESEESAYRSLLREVIESRSGSGPAADLTHLRKEKKKKREAERGG SKGRKLRYTVHEKAQNFVVPVPLSNGWHEEQVDELFSSLFGGVGMSGGGAVAEKRVEV DIGQVDSEGLSGLGGLRVF I203_07533 MSNSAGTPTYSTAGTVSAPIDLTGLKGEHTTLDLSSSKKGKKIV KPNVKVTLTSLTLNNSGTLRRINSVVIPIVYSDKFYKEVRDPLLDDVNKLIYYADIPV GAICCKFDNLAKGSKEPPTLVILTLAILAPYRSLNLGTSLLLSSLKASLHPTTPPPPI PSDNKTNTRASLIVAPPRVRVNRALAHVQVGNEDAKRFYERLGFKEVGIEENYYSKVE PHGAIIMVCEDIAKALGESEQPNGSA I203_07534 MSSIRMDGILSPLVISVIAFAVGYQAHSLLSTRANPSLLTPTDA SSKSKSRSKNDTKSVTSSSGSGTDTESDAEDTAAALSSDLTSTKFSSSEEMKLVLVVN DELKMTKGKIAAQAGHATLACAMTLKEANPRLFRAWQNQGQPKIALRCANTEELEILA AQARSLNLCARTIRDAGRTQVAPGSKTIVGIGPGPARIINTVTGKLKLL I203_07535 MPPLFNAAFGKGPPVPYPHHASSAFHHSVVIAIHGFLSQIPGPI PPGLKQLGTDAMRALDSAYDREAAARRALVVARGVGRSAPTRQPIIVDPRSTAPPRVP TARAPSLRVSTSAAPARPPASPSPQASAPQEETRLPFLDTAGWNEYLATMSSGLLAEA EGASSSRDPSNPIQVPLDVYEEWPAAFAGQNIQIIPSTSTTLPTSLEESLLAGPTGVS PKPPVQGTAAPPAESSSSVAGEYYYPSLSTVGSSSHNEPQAVESTPSQLSDFGRWAEA FTGESLIPPDMVPQPPSPDLASLSPTWRGGFLPAVPAPVPSISVGSAPSQITTQVFNR LSTAPDIFGTYNLSGYLATNVPVSINRSRSASPLSTRPTQTLPRIPKIPSLAPPQPPQ PVQPLRVRRLSGPGSMEHPSEDDKQPTTEGPREEVEQPRSGPRLKIIEPTPRGSLGSN ASPIDPSAGATAPTTQLGKEAVLGAAVQYLIPERSREAKNKRDTSVPPPQYPKGGRQL TVPDRRTLVSSSRRRSSSDPTTAMARYDARKALVAAAYPNQPIPLDQQVYLQQLAREA QASLVQAQTAIALREYSERQSAEAARMMQEINRGRSPSPGMRSYIDETGTEYIIRDPE YKPRSAPASSSAGQSSSTTTGAVTARSRGVGRGNAPSERRLSQEVRAALIQGSSLQSG SSQEVPTGEEEERGRSRERKGKGREISEAGQQRDPKKKR I203_07536 MSSDQDPPTPPRLSKQDKGKGKDKADPKPKLPRSFRIAKPGDSD FSIVAREMHKHLDPDLLGTETMLAEELERIDLLEGEELPIPPGAEGGSDLRGRRIPLS PDPQIPPPSQPILKIIEEGTSGTSEQSPTKKAILGRLKQSPGKITSFMSTTFRNFSSS PSSKLRRPHSQQPLTSGSPSSHTLTATVEESPSSPSTGQFVRRGSVSDPEASEYLYQS RQVAFRSGILRPSIPVNIPLSPLERIEVDKEHRRVELAHKALETGCIDGSSPSIEDLR RMPPWSSSTLVDPSREIQPSFLEQIMSPQDPDYPTSPPPPTQAAMKRRSKHFESAPVV HRWEVIEPSPGEPILKRVRNPPPPSTFPARRSSFTFDGGSPVSNLPPGAAPAIDITQV ITQSGIDHTDDSDEFRRNLSRYTGRLWEEVSLLSRPTAQEALESVQEWANHPEMSDAH HFDSHSLQEHVHLNENSLPRYRARSLSDPPSRRADSTNTAPPAGVSPSRITATSHAEY TPPLGQDTGSSHSEDQDHHYPEYDDSEQVQNPSTIGQADEVDDTSGTRPTIGQSDAMR LRGGSHHSIEREDDSRNDIQEGFSSAQDIAPPIFKNYWPRWAFSYDRPTENCYDTSGA LSTLDETVFNSMTEEDNQDWYYKNARQDCQSSVSSIILNNSNQSRGHGEARNESSCPP IHSNMLSVTSASTFPNFAGTLIGPAPAQTMLQPTHSYPNDWSISNQSSSLVPGKQISY HVPLGIEIEGHRPQTHPIGSPSSAPYIQLQRIDPLEMAVMQKLALADGPTNFMGVSEV DHDKYVQTASKSMKVLQWRRCVADALEVTEEDVKSTSQQPFGLTMPVRKCYPVPKHPA SSKYRDRIPEEAEEYPLRLRGGKPKARSTDTRSRVSEEEEQIPELSTSPALPHRSSED MLTTSPVAPYTGTFAPQPPHFSAFSC I203_07537 MASIISSIWPILSSYRPNAARDRQAQREKTPLRFGAFEATYIPP GWGVISPRNDKHAQRLYNHIRTVAFWLDAAPVLADLGLPFRAGLDDIISLVPIYGDLL SGVLQLYQVWLCFIFGVPRNILGYMLFNVILDVIVGLVPLLGDFLDNLFKSNLRNLAL LETWLLEDERAKRRYHILIMPEGNEFIPKPKTSRFSASWFGNSNSNKSALDEERERER LTGKVKVTRRMGKDEGEKGPLNETAREAGSSSTAGDTTGTRRRTRRADPQ I203_07538 MNSQTAEPGPSSPRYRQTSQSGQGYSDYSLQPAYTPWTTQPNLS PNNPYSTMPPSLSPAHTLQHPPSRERLTSSTSNSSFTSTLKGRRAPAPAALDLSPRRD DRYGGLGMGLEPSPESRRVVTEPVRRTSRPLPHPPTQAPLAPSAHTTPIIPQVSTSRQ STLTVDDNDARISIDSYYLKPSSPLTTASPEQPDPSNIDRKALIGVGELATPRWGAST QHQHLRTPSMPFNLGSIPPLPQPTMDDWMQGKEGVGLGFEAEGKARPHSMSQTQTPPR LPSKSPARDRNKESATAPPSTKKEDPMFSLNTLADFNFDTSMEAALAASLSMPETKPS TTAPSEPVHALVPESPVRGLNQMHIPESPISPNSARARIYARRQERERAAAAANAAAA SLYPSQPPTSADSQTFGNRANLSQPPTSADSQTFAHRTSKDSRKTPPNQSRHSSHDIL KQFAPKDFSHLPPSPSTASINQILKGSGSVNNFASSSTPPSSASGTTSHFGQKSIQRS DSQRLRSATSNPRLDAHTEEAMRKLDGISTTPGKSKPKVKTPVVSRPGTPPSTKHKKV PSRSSNGSLKDSESPLNNWIDIGEELPAVPIPKAKTAKRESSSSASFIGTPTSRDSHS LPTTSTTPSLSDVPSAKARRASGGSDVSTPSITVGEPLDQDRDVSVPPVPPLPKSYIS MRQGMNNVASFVPVREEPSASTSPLLSPPAQHATSTYSPPETTTSPVMPPPKMNKKWS FSSALNLKASSSPAVSNEELPRSPQTPWSEIHQSELPSPGLNRHESEESQYLATNKPA AKRLTPSSIPFFRRTSSTSTQSKGTPPETPKQSDIKPAPGSQSRKSVLGMHLPSMLRG STSKRGISQQFPAPAKPEVKAEPPQAQSTGWTGRRRGKTLSISGDASKPFVPAELLKL KSSVESSLDSRSSAASNRSESTINGQQDRRLPAIVGSPARPADVRPSDSSRNLPSVTP TKIPRIANRPGVGSPSTSMPPPAMPSLRGKTVSSSMADLSRPPISEFGVVEGMTTPRQ STSSAHRAHLLAPMSARQEARRTTSHNRPSDPPIRRDMVPPSRRQLPQPPPTSSVTAA TVSSSAKRSSRDFRVTRRDSKDTLQSQSGGSSGRTSPIKPSKSLHSKLSIPSTSRMSS SSSVGAPGTSFRKTSLTAESPSMSPADDEESLADAEMAAYIKRRRQRAAAGKKDDLSD VNEFPEDTLAAEPMSQRAFISRFLQDMSDFERKEVLDFDKIYYTPLPKKITRPPASGG VVYNHGYDDERGDYLVVEGDHLCYRYEVVGILGKGSFGQVVQCRDHKNGQSVAVKIIR NKKRFHAQALVEVKILQQLVEWDPEDKHYMVRMTDSFSFRGHLCIITELLSINLYELI KANQFAGFTTVLIRRFTTQMLASLQLMRSHRIVHCDLKPENILLCHPAKSGIKVIDFG SSCLETEKVYTYIQSRFYRSPEVILGMNYAMAIDMWSLGCILAELYTGVPIFPGENEH EQLACIMEVLGVPDRYLVEKASRRKMFFDATGAPRPFVNAKGRRRRPGTKTLASVLKC DDELFVDFIAKCLTWDPDKRLKPQPAMRHPWILAGRKRHVLSPSRTEEKRQSTSTNTI NGVSNVLSNSSLRSSGNSSSSNSKSKLLISPPTPLMARNGHSLQQVPQSLPRVGGGGG GHSHSVNTTGSRLSHQTRNSFTVSHTIL I203_07539 MSERMKRELEEKRARVAELRRAREERKAQLAQASSQGTVEPFTT SRKDVNDLVDSLLARPSTPIAAPRQSSYVSASSQATPSRGATRATPEESLPGTPGGRA SRLSNEESIGRASMTGAITPSQGVVDLDMVGSPFPSVAVDMVDMQQELYELPSKLVKP VTYSKAIQTSVTISTSKSDLESEDESGDESGTTRRRRRRADGESGRETEEEMRKRILQ ELEEERKALERELKELKEKGEEMKLNALSDEQRQAIFAAPDFSAFIEESTKIVQRALS DGYDYIRDYTIGIDGAFDESEGKKVELFCAFSDDRWTKSRSVTDLDWSPKFPELVAAS YNRNPTAVNDPDGIVAVWNLHLLERPEFVFHSPSDVLSVTFSPYHPTLIFGGSYSGQV LLWDTRAKHLPVLKTPLSSNGHTYPIYGMKMVGTQNANNLISTSTDGLVCSWLSDMLA QPQETLPLTVPSHNKTDEVSITCLDFPDNETSTFWIGTEEGSIYQANRYDRASSKAGL NTDDVYRGHAAPITGIDFHPSSGSIDFSDLFLSSSVDWTVKLWRTKGSSSTSSTTNAT TSKTTKGDTGSGLGLGIRSLHSFEEADDYVFDVKWHPNHPAMFGTVDGNGKFDLWNLN QDVEVPIVSTKVSNEAINKLSFDKSPLLSRKVGLGSSNGKLYIYDISEKLVQPRDNEW VELQKTIQRLVASRESRDSNSTLSNNHIDLTDQTRRYR I203_07540 MTVTSRKRALHLALPPRIAMTRTDSDDSAPSTPGPHTPLPYESL GYFNAPLISGDTMNLDETSSIGLGTKRGRDEEDERYVDPEWTEEEIDVIQSTLIHPFR PVSTSYPPGELPPPKVLDELTNQILNFAFRHSCSSSSPDGVDPSTSPERGLSEKKWEH SWDATHKKLFEIALNESKSAFGFDNIGEKKKMTREERINHTNHRPGLRRVDSMDFLNQ QDDEDVDENEQKKSDNVGRAIRLSTSLQNSAKQEPLLLSLTRSTSVGSGLLSDSPLYP AGPSVTPAPPIAAITLTPASPTGPTPKSQPLRRKPSLRNLSARPSRPTSLLQRGRSFT AEDLRAEAESNPSSDDKLPSSSNGNDTDNPIISPTSSEMVTSTIYITSQPLPLLRTSA SSSAGSSAKLTRSHSSSSTLYPQPHAVQKAFLQNPKPSTNDRSRLALPLPITDDPTPT RSSSSGGWSDSEDESLSKKQPRKIKKLKQSKNKLELGGGIRTPAIINQQMLLPELASS GGGLGLRSPFEEKEEPQFV I203_07541 MTVTGALSADVAQGTYVPPFQYVTSYAQAPEVTGSITSALPVNP TVTASSDAAYATAYLQAHMMSWPSYRYAYLLWFIFIALGGIYALSHHLRLSGGSLGAG FKRWGMKRKPVGRKRAGGARGMALPSNGALISIGIMSIVTVVLALIGADYINPKSSTF NFGDSFRKRASINYTINKAWWTTGSRFGFMAFALMPLVVLFALKAPPIAIFAIRGFTH LYSDKLALFHRAAAWIVWGFTTVHVVLWTVQLFQDQQKGRAVWFLIWNSYRFIFGCVA YAAMTAVMVLSLKPFRKNSYEFFYAAHVVFVVLTIVCSAIHHPVLWFWMAGALGLWGL ERAYRFVRLAKINGMLGRKKRDSLEVVAGRPYKDATQSYGMQELKRSSDYDPPYTDKT LPRPPQAQQENEYESNDFGRSSNYGYYDEGSLQPLGSYESRYDQPQHQRTESTTSMMP MPRRPNMPSFVPVPIPIGYAQAQLLPSRTIRLTINVSRPFKWSPGQSVLLYLPELSRF QSHPFTITNNDPNEIVLLVKARKGLTRRLFDLVRARSLAAVGVHDVKDKRISLQSINP SETKLALQVPPIFIKAWVDGPMGSAGRVRWDNYSTVLIICGGSGVSFGAAICDHVCRK INNGIGKNRRVRFCWVVREFAEIAWVASQLRRCQNLVSASQLEISIFVTKSHKPSYRP QQSGYEFAPPRPGFIGGPTHQRRGSADSITSQLSVDTDVEPQMDYDETVDSHLSSNYA DIIDLTNYEDEEDVNDPAENILSENLQKQGKIKRAKSRKAAKRNTRGSGPPAGSPLYP PNRQSQHQQQSSYDLPMPRQNSSYGVYNDYQNSPQRLSTSSYDDPYSPRRPSGYDDGN YQAGQNTLYAPQPNQYQPHPQSASMMLSSTSGSNYDRRQSFRSLADSTYNQYNPFSGP GGGNYSMGPSPSPSIMNFDDNASVAGESVRDLLSRTSRTQSMVLLEDPDYADNSSISN PKKDGGLWIDESDYVAMDILTELTIKGKPKLSAMLEEEIELNLGNMIVATCGPVTLNT VIRNLVSKHISPSKIRKGDKSGQIDIYSEDYEA I203_07542 MLSVSPKPPPIPLADLPCDSSSSNIDVTGIEADDEGDTSDDDQG VFLGDHRPDELSLIAKLSASTSASPSPIIHRAKKRDSREFMRRKTLLLSPTTKPNLVT RSVLTELQIRPDNDDSDITSTPSSSPLKQRTCSCPTPSKAQDASDLTLDFAAFNLSSP RPPTNSIHSQSDSAGSDKENMPVKTPEWHRDEAASLEEVVIMGQNDDSDGLEDEVTQL DMGGLRLSDFSDPETGFEDSQSIEPNHYDDADQQESVGNSSVDLLPDGDISSNMDSPL PVARAGPVVIPVLSSHHFTSIRAEIGSPCRDLSPSPIIPVLEPFEDKIRLSQTESPTS LQTLSEPTRTMAFTSTPSRFAPLPPPALVERGAKLLKEATSAKPLKDATLPTKSASRA MAIRNQLDTAYSSRIGALGPPQRSVSSSSSSSSSSSTAMKASSSTSSSSTARATAFPQ QSRSVAAPARSLAVPKAAAPVQKKHTVVPLASSTTKILPTTEVLSRPALAAKKTLPAI SKPTNKPADKTANPLRPLSSSSIPLKRPAPVTSFARSTNVNTAVISSSITPASRFPTA APTRPALGVPSRNLHFHPNHQPIPLQAAPIFSVGVAGDMQVMGRPAFRSPAKAGLLKK TLLDKGTPRKLGTPMRFGTPRMVTMSTPSTWSSAPIDDVSAVPPPVSEGPPATATRHA TAEAISTASLSSASSPTEPIRGSSADHEEVSVQLAKPPSSPVKSPSPKKKKRPVGRPR KISQPQAVPTVIPVSPKSKPKSTPTPTAGSLMSEKEIKTTTHRNTIRNQVYHCAIDRQ IIRQSGPRPPSPTSKIRTTAERNQEEKKNAREARAKRRKGQEVDEQPDKPVVEKLVQH RHPGDESDFETPQIQRPLKKFKSNEDDKKPKFVSFDKGLTVIRDDGSARPSSRSSREE SETVTEVKSCLRAKVELDQHGNLHDAHRPIDNLKRTRVVVNAVFYDGEEPVPFSYSPS NGTRSKKKWS I203_07543 MSYFMTHLHSGWHVDQAILVEEDRVVCIRFGHDHDQECMALDET LYGVSEKVQNFAVIYLVDITEVPDFNKMYELYDNCSLMFFYRNKHIMIDLGTGDNNKI NWAITDKQELIDIIETVYRGASKGRGLVVSPRDYSTRQKGR I203_07547 MAQIDDQGNQPQQGVPDLVEQLLVLADKNNGQAELLPVLDQLAV VLRDASTRVPLGQTDLPQILVGLLKSQDEQVLRQVGRVAANLVIGCDENRERLIVVGY IDSVLSTRAFDSQSQPEPESSTLSLTASIHNLVVEKHAAVISALKQDLPLRTLLEFAR RWTNIFYELDPLDPTVTIIRWTWSILSIVLEEPPSSLDASTFDIFILPFSSTSSDIDT HLHIITSASDILEAILAPEGPVHQQILPHLDVLLEFVEKAEVPEEAKEETQDEDEGSD EDETPDRTKSLASAKAAIIRVLVGLSSEIPSASPFWQRMRSWLSMKDRSDLVNCALLS SGNSIKDACSARSLLEGDSSLLPVILPLLSPFTPATTQHAVIGLVRNLSVPIETKTIL GEAGVVERLAEMQVWSQEKDLLGSVQGGAAVILKNLCRNDVKNSHRFLAQPLDPLLDL IKRAEDPALTFECTRLLVNIIKSLSLAKEPLNPVADQRIVDALVRMLVDGAQYMILQS ESVIALTLLATFGGGQMRTIVSASLEGPGVQAVQTLAENPRREIKENAQALLDFIDR I203_07548 MRGTINLLSSILLLPLVASFQCSLTASSIPYDLSPLRGLRQVSK DTPTPPTTSEAKVRMELCSPEGIGREDGIADEDQCPPNTRVCLTLLNHKPSASDPDRV TAVIPIWPVDIPEEDVFTTPMGKKGEQGLKVYVQGGDYAGVQQHLNLTLLCSQSDTAP NPTFVSYTSGLVSLEWATPDACPRSADSPSAPSDGPSGSGIGFWGFIKFIFWLSIIGL IAYFAIGIFYNHQQYSAKGWDLIPHRDFWREVPVLLQDLFSHLFAGLRGSSGGRGGYS SLG I203_07549 MTISNDAQPEAGPSTSGLTPGPSPYHESSQFRHWRYSPSSLLSI REELNGKSVEIVARNTELEKEAQISLGHSYTDPPPPTAYLTVSDELLLLRFYCSQITQ ICRRGFGLPDVVESTAISYLKRFYLKNSVMEWHPKIIMPTCLFLAAKTTNYPILMDQF ISKFSKLSPDDILDTEFLVAQSLGFEFWVRSPEKALRGWSLDLQNQPDPPIGLIQKTL PDALKNLAHCLLTDLEFIYTPSQIGLACFHLSNSQLVEDYLERKYSTESTEDEDEVEI EKLTFGMRKDRLLEILSNIEATIEKDGQSEIDLKKVKEIDKRLKNCTNPEKIPGTALY VKRKREKETAEAASKAAKTAKAAAAALDRESVFGSDLSIPSSKSPALSDRKPLSPRVT MNGVPVTSGITRPGGAEVALEDDGMGVKDENGIVMGGKGLRDVGLPL I203_07550 MFYPEELLLTKKNGSFGIIWLAATLGPKNKKITRKQLTTVNLAK TCQIIAEPPEPMALRLSGALLVGVARVYNQNYDIFFTDVTNLNSNLRRSIATDFVTGG SGTGGTVNLDLPGGGKSRIDQITLAQASLEFELGLNLQFHHVDWQNPLNHGRKRRSSS MLSSQATHSQGEEDEESSDDEDEDEDEEGEVGRDVKRKKVSSSPAIGAYGLTTKTRNS IHHPSDSTGGHLYAGIDIPMGEIDLGLELDGMDHPVGDDSFSGPSGRDFDLPEGGNDA GMVLDGGDLVLPSRHPSLAPEAVPTVTTPPPKNAPQDREGSERGSVDDVEQQLVEVKM KKPRKVKKVIFDSTTELDSAGDQEARKRYHEDMNRERAIIESRLKGKTVAATATSLVD GTGGLKFFDPEMKAFFSALTRVETFKWESDLTVHRLGKAGVVAEGDEPERPGDGEFDI FGGGDIAMPVHMICPLGYETSDLQSICQAPDAEYARRISQGSQQGPLPWEEGRRSVTP GLPDLADTSFSPASLRLSIMTPQEARLRSRSAHESSTGGRSVDRRHQRSVSLMSDRPD DDPLLLVRGDDLDLPQDEDNFQLEALAPSQQARLADLPPAFRPEMLATLEKQCRDFFS YVERKMVTLSLEELEFEDLAPVKSKKHVAALAFYDCLTLATKKILSIDQEEAWGTIKV RFAIDSI I203_07551 MAPITPAERQKLLLQQEIAKLSGAISRHSTSSTSSSFHPYRGRG APRGYVPTRGRGRGRGGRGGSYALDLRQTNKQPSSAEPSRPSSSASVNPPNTVNEKES GEIEPSPPASDSPLAGPSGTSAVWVKGKGKNGNMSLMTAEKSAQLKVQRRSKPRVPPQ IQVINSLATSSGDKRVVIDGVVFQFEQDGKKLTRIGEAPSSATGSFTPTRKNLSFGGE KYRRTSRGNLVMKRNGSTSKSKQLCRYFTKTGRCNFGLTCPHIHDPNKVTICSRYLKG ACTLGTSCPLSHTPSTHNTPSCVRFQATSSCTKPNCLYPHVKVSSDAPICQDFAKIGW CDKEPGMCENLHIWECQEFREKGTCSKNGKCGLRHVVRAEINRPEVVATSSSVPVHGE GGFEEQDNYIEFDQGSPGLVSDEDGEEENGSDSGSESEEEEEGSDSESESGSVSSEAD NGKRNNLSSPSAHPPSDMMDTDEVDGDAVLDVVF I203_07552 MASHLANIFGTEQDRVNCSFYLKIGACRHGDRCSRKHIKPQFSQ TILLPNVYNNPGHTPEGQHMTPEQLQANFDTFYEDFYIELAKYGHLLEMHVCDNVGDH LLGNVYARYEWEAEAAKAVQALNDRWYAMRPLHCELSPVTDFRESCCRQNEMGECKRE GFCNFMHLCHPSKPLVKSLEASQRLSRKKKSENGGPVEENGGMGWVPGGGGGGGEPRD DGPGGWQPRGREDGDLGWVPSRRDDDRY I203_07553 MGKSQAEDYLAKAEKKASSSVGWFGSSSSKWEEAGDLFAQAANA FKVEKRWRESGQAFEREAACRQQANEMNDAMNAFHNAAKSYKKSDPEAAVTALHQCIK LLVNSGHFRQAADREKEIAAIYAQDGLDVAKARDSFVRAGDWYKQEDANATANQCYQQ AAELSADLQDYQRSMELYQTVADWSLTSALTKYSVKEYWLRAALCSMAMGDLVTTQRL LETFAQKDVTFPSTREAKFAHELMDACEQADVERYTAAVYQYDQVTKLDNWKTGVLLR IKKALEEDEGGLT I203_07554 MALPSARSALAAFSRPTISNAVASSSRYLTTTTPRLASPPATTS DDAETGLPVPFPKINKYLSFPQVTPRKPTHGVHVATLHLQSYHPYNLDLTTQFAVHSA HSLNIPTTLPAFLPRERSLYTVLKSPFVKKKAQENFERRTHKRAIKVYDSSKEAVDLW LRYLRQNGLPGVGMKAYVHEYVELGFGKKEQQESDNNQILNEKKIQDAAQDLVKALSS EGEVQAIPAGKDAEQAVNEVVKEEKK I203_07555 MSTPISLDYTADRAEELRENIQSVQADIDQAVGSSGSGSKPRLV AISKIKPASDIKALYDAGYRHFGENYIQEMVDKAEVLPRDINWHFVGSLQSNKSKLAA SIPNLYVLETLSSTKVADLLQKSLSSDRTTKLNVYIQINTSSEDSKSGLPPLTPDAEG GEVVDLAIHVIEKCPGLNLLGLMTIGSFEASHDASKPNPDFETLKSTRKELSRILQEK GIKDAPKEDELELSMGMSADFVQAVKEGSNSVRVGTRIFGERPKKVSKAA I203_07556 MDTQAKPEKVKRGYRACLHCRSRKAKCDLGDIDAPSSPPCSRCK RESRECVFAPSRRGGNNRKRETKESSEEQSISYPPHTSPTPSPKRRRLHLNPPLHDAD PSSIVVADMQNESDALQILALASGQAATKESIVGGRGKRNQEIYMHEKSGQATTVNNI SDFKRFYERRRSDQAPVLENFPLIKLGVLSAEQAIRLVDRYFRYYHHLTPICPSAVIP KCKDDLAVFAHKERYTITTFIIIASRLDDTPGSREIHDKAWAIMRGWISDVQSLGAPP TIGLVESLLLLAENLPRSPPLVTPIELNPTGAREEPHGDENRQGWQLIGLAVRSAYEL GLDKMALRILSDSERTLEIERARVAWTYCYLSDRHVSIRLGKGFWARGAAVCFQGFSS SAQSGPSAGFGNFPFLRGIPDDQEHPQEDYGNLIQAYLELTQLMSNAHDTLYPNAART RSLVIHGEYFKYLDELARSLDAFKILWMKKRWKMFPLTDIMWTMFYYTQLYICAFSFQ AHVERATIRAEEEYRTKTTTSLKLSLFPRGAAQSPDARYIFHMCDAAREILTICVDKL HPGGALPYLPTRHVLWFTYAAIVLLKALYSGAMLRGDHQNQTSVDPNYPAVRYGRQLE SLRKKLAVLSDANTTPNTLPDSRVNHDWDGSELYRDSTYQPMPPMHDWGNAPPTNTAP YAQQPMSDIGSGSYQGVEAGFGLASTYGPTDNLFGFISNWQGDGMPQAVGETGEMDLG DFWVQVGPGEAQGGFPFR I203_07557 MVNADPRISDAQLAQINAAAAVREYSVDPRMDYRTVSAVNGPLV VLDNVSFPSYNEIVQLTLPDGTTRGGQVLEVSGKKAIVQVFEGTSGVDTSATRIAFSG SSMKLAVSEDMLGRVFNGSGNPIDKGPKVWAEDYLDINGSPINPYSRIYPEEMIQTGI STIDTMNSIARGQKIPIFSAAGLPHNEIAAQICRQAGLVKRPGATKGVHDGHEDNFSI VFAAMGVNMETARFFKQDFEESGSISNSTLFVNLASDPTIERIITPRLALTTAEYFAY QLEKHVLVVMTDMSSYADALREVSAAREEVPGRRGYPGYLYTDLSTLYERAGRVEGRN GSITQVPILTMPNDDITHPIPDLTGYITAEGQIFVDRQLHNRQIYPPINVLPSLSRLM KSAIGEKLTRKDHGDVSNQLYAKYAVGKDAASMKAVVGEEALSADDKLALEFLDRFEK EFVGQGSYEARTIFESLDIAWDLLRIFPKESLNRINPKILAEFYSRKTTRQPEEKKEE NLIDA I203_07558 MLTIDQIARAAHRDHDHHHEESQAEVYVREVVERLEDPSMDTGY PMEVYLPGDVDTEGDVDWSELKERWVGWGVEIPGEQIWAKGSDDEPGPSLPTSVHAKY PLPGVNGNYLGALIKVYDDAAFKPASTHHFIGILSTSPLPSNEPESVELVPTIHVLKT VDKVEPQPVEDVREELVDYLATAFSPPDRVAGEFLLLLLLSSPTSRPMSMTPLGTLSV NFKRKNEAATERFNQVVKSVTPKVVSLPLSVSLLHSHPFFPKSTDSSSLDAGLLQLSE GTVLVVEEDAMGSGGQLNEKAVKNLKALAECMTEQKVRYEYPYMEGLKMECATRVAVL SQGKSLLPVDIDVPVKGTGEVKSAREDLSALRNYLIELSSPKHAAKLEIPDDVAGLIQ DAFVKGRKENAESAEETLKRRMKVARLMALSYQDARLSKEVWEKVVELDEELVARNL I203_07559 MLNIASSHFPKSTAQASKPVPVETQHEDMIHDAQLDYYGKRLAT CSSDKTIRIFNVVKGEAKGEPVILKGHTAPVWQLAWAHPSFGSLLASCSYDGRVFVWK EVGTGQGKGSGGELQDGWERIKEHTLHTASVNSIAWAPYDLGPILACASSDGKVSVLS FQNDGSTDVSIFPAHGTGANAISWAPSVVSNANPSTGRSSQGQSSISPQKRFVTAGSD NLIRIWGYDEDAKKWVEEEVIKGHEDWVRDVAWAPNIGLPGMYIASASQDRTVLIHTR PSPSSPWSSSPLLPSLPTSQDPHFPDAVWRVSWSLAGNILAVSCGDGKVSLWKEGVGQ GWECVSDFAS I203_07560 MEDRIDLNTWTGGSDQQSPGIDRHVHNNIQNGSSEWDAEVESSS VNKASPVIEQAAGQSFEAYPDGGAAAWLQVLYCFCIFFTTLGGIYAWGIFQDALHAAQ LAPTSTLAFIGSTQATLAALCAIPISALVTRYGNRNVAIIGAILSGVGPILAGWCTRS VAGLLVTEGFLFGFGQALCFFCAATLPSSYFSRRRNLATGLVYAGSGVGGAALSLSAD GLIKTVGLAWAFRILGLIFLAINLPCAFLLKPRVIVSPINPMTRKNGMTWRRLVDVNL LKDFRFVLVLLGSAVALFPLFIPPFFLPLFATSIGLNSGAASLLLAGFNLASATGRIG WGFMADRWFGSLNALLLCLAANATSTLVIWPIAGRVEPLAVFAVINGFCAGGFFSLIP GVVSSLFSDNDLPVAFSMIVSLWAPGYFLGAPIAGYLLQAFGGPDSGYEAFRPAIFYA GGLGLFSALMVFGVRVKEGGSWRRRV I203_07561 MRARQLAKKLETRHPEGLSHLELFLATEDLLPVTEEKKTWTAWS FVSFWIADSFNLNTFTIASSMIASGLNWWQAFVCVIIGYGLVGPLLVLNARPGAVHGI VFPAVNRTTFGLFGSLWPVFNRAGMACIWWGVQAWLGGECVYVLLRALWPSVTRIPNK MPASSETTSAYILSFVIYWLLSLPTIWVPIHKLKWLFAAKAVVGPIVGFALFGWSISR AGGIGPVFSQPAQLSGSALGWQMIISISSCFNNMFTLITNAPDFASRARTPSAAVWPQ IIAMPIGFSVTSFLGIVIASSTVPQFGEQIWDVVKIMDKMLDTDGDSKTRAGLVFISA GFIYVQLLLNVAANSVSAGCDLTALFPRYINIRRGGYIAAIVGICMNPWLLYKSSATF GNYLGAYGVLLSCIAGPMITDYWFVRRGHMRLNDLYTVDRSGWYWYTAGIHWRGYVAY LCGFAVNAPGFIHTLAPNVSVPVGAQRIYYLSWITGTGISGLVYYLLCLLSPPPGMNR TFCEVDESAGEPRIDEIMREKYSSPREGVGLSSPSGQSGEEGDGYGYDEKAKSIGAQV HVLPSREA I203_07562 MPFLFGSNEQLTGVNKSRLLIINPNATQAFTQSIQRALVPPHDM ILDFYNPSHPHAPYSIEGSYDSVISAAACLKDLRSQLDKWSGFVVACFSNHPLTASLR ELTSSPVTSILAAPLLLASNLGTRVGILTTSPRWVPLLTHDIHSLHLSQQCSAGVVSS GLSVLDLENLPREEVMGTLVRIAKEELEEKRDADVIVLGCAGMVGLDKEIQAVCRSGM VVIDPVIAGVEVCASIVRMGLSTGKLGMYAAV I203_07563 MTTSGLTFSPIPLPPSANPKYFTEFGRKVDGFDPSQMTESQKQE IIDNLYKHSVLLFKNTKITPEQQYELTHSFDPAADTYGHGNNKTGSTKSSILHPDLKT IPRQPQVQLIGNGKVPGEYEGLDSPQLKHPHHKTFHKTPVSEEDEDKGITRFYRWHID AALYNLSPPKVTSLWAVKVPQGHTQICRYDDGTGDELPVPLGTTAFVSGKNMFDILSP ELQSLAVRTKVRYAPHPYVWMSKAHALPTGLGIENEGLELDLEELPEWEESKIKTFPV CWKNPVTGSLHIQVHPCGAHQLLIDPLHPNATKANALYPDGAHITDLTKVREILYTLQ RPGIAPELVYPQDWEEGDLVLFHNRGLLHSVVGAFKEDQLRMFHQCNLAASDDPIGPN DQDVKQYA I203_07564 MDDSSDDKNQIPRTANGGLAESGNANEKAGTQLTDEATLFASPL PIVGERKTTTRVEVWSWYVYYVGNSGLGPFNFAISAWQNLLYLAGWDPAFPRGTVACG DGGCNLVAYGHERSVNSIVLITNGLSFAFQAVIFLIVGSFADYGVWRPHITTGFTILT WAVSFAWLGVETPSKWQAGTALYILGLIGYQGALTFWTAAFPGLARDLPEIKESEENL AKGQTDQKTHDRKDMLARNRLANVSFLVCSVGELVVLAILAGILQGVINDDPDSNTKA LSIVCAYSAGVWILCAIPWLFYEQYRPGNQLPPKTSYLTVGVKQIYHAFRLCLRLKQT FIYLAAYFFLGDCLNTVVIATLQNEVVSYDTKMLNYLLIDGIAAQALGIGIFWLVQKR YTIPTKTMLLFNAFWILVLCAWGCIGITQQKFGFHNAWEFWAYQAFYGIFVCPWYAIS QAMISEVVPRGKEFLFFALFSIIGKTSSFIGPFVSSAIIDRSGNTNMPFTFLLALGVV SVGILACVNVEKSRKECRKYLEDEAIRVYGMSSAEVLVVGSQYEMDGQRGVAVEKDKR I203_07565 MSSPKDEARREDQDQPERPRSASPHPTFVISSPKEPPVSIPTSP EPSPSISRASSQSLAEEDDPADKLTSKQEIHDNDQCNEEHDQPEEQTGDCAGDDRESR STTAEMRQELDKSAEDTKELMKVEDARRPDLLKVHWKSRLRDHHMVDMSSVQRSACPD ASSDNRSTKQMASRLVRKHTGRPALPPPPSDTEDEEAVYDSDPTNVPSSSAISLNSTD SPDPVQMRSRNRRSSSRRSSRSSMYTSDEESEDDRSIKPRGVLSALLGLYRDDRRDKM DMRQMLRRSEQGDGEKTKRRWSSQSLFAIPSGNSSRRSSLFNYDDTSNLGGEELEERL KYEKRRVHRNRKRNVDIPHRSQNLEDPYIPSPHFSNQLTPSANINISQRFRRFLSGQG YPASWFGYSQDTSPRQGGDGGNYQRSMAALLITTSSLIAAASPTLTHVAPAYGDDAET TSGNRRISWYEGVAETHARQEDLERREDEAMGAGADDAGNLEKQMEEGRLKNRSKRKR RRGKRVQKELAVTKHVSNIIQRKKFIEMLAKAVVSYGAPAHSVEAWLSATADILSVEA SFFFLPSVLIVAFRDSDVHSTDILLVRPSGGLELYRLSLVHEVYRKVVHDEISASQGC RVLRRIERRTVPYSRWTLILAAAIASAASAKVAFSGSFIDILMSGALGSMLAITQFTI AEKNKLVSNIFEIAMAGILSFVARGLGATRYFCYESLASAAIVLILPGWHICLGALEL GSKNVVAGAIRLVWAVVYTLFLSLGLGIGSQIFDSFGPSQPTMQSSTQLATITGSFTS NDTYWDQTFNNGTFTFSNSTSSEQEATTVACYRYSDWDYWWYTEPNDWWLFLLVPIFA FSLAVWFRADWRSKDIFVMVAVASAGYVVDFFLSQQIDQSNVVSAVSAFTLGILGNLY SRLGGGSAFPSMVVGILLIVPNAIAAAGGLSSSGSNGDSSSGSSASSSTGSSSQEINA AVIVR I203_07566 MLVLWIGINDVAMHLQNPTLDIEMYMLEEILDRMYVLGLRNLVL IDVPPRRPSMSSASFMETISSRISQWNDLLPSRVQHWLSKPNTTSKIFSAHDVFTEIF DDPTKYRFKHEDPDKSSGGIWVDGLHPTSQVHKVLADELEKFLSV I203_07567 MPKAKWYAVRVGRRPGVYANWADAEKQVRGYPGAVHKSFPHPQA AEGWLRSGQRHNPTVTTTSLSRHPSKQLVSHEDMESDISPVEIPKRNSVPIHLIRSDS LLGTGPDHTTSTEDPPLSAQQEEILRRILNGENYFFTGSAGTGKSVLLRAIIRSFKQR EADEQDNAEDIWKRYLFGEDRNGPRTEVKRWKLGVTASTGMAGVNIGGSTVHSWAGIG LGELPAQKLYENILRNKITAKRWKSTGALIIDEVSMIDSKLFDKLEYIARKIRKDDRP FGGIQIILSGDFFQLPPVTKGHLNNCSFAFEALTWSQVIPRENMSSLTRVFRQKEDQF VNILESMRKGFIKPEDIEVLKGLKRSVQYPEGIEPVGLYPQKAEVAAINSARLEALET PLQVFSSFDIPGVNSHGYPLEAKQATDCLNKNTIWPQDLELKVGALVMLVTDGVLVNG STGTIVDFMTLSEASSVNIHPAAGNYGSAPDPKVAWPVVEFIPSKHAIGKVAKRVVVS QMSVDVLNAAGRPEATRHQIPLILAWALTIHKSQGQTLERVKIDLNNIFVEGQTYVAI SRAVSLDTLQLLNFSAHKVMAHSRVIEWAKPLEQEQKDEEEWDELLAGAELDF I203_07568 MASAFNLLTAGGAKFDKNRFKQDFELFGGGKKDRKGKGKASTKS IETHTKSLPRSLDFFGDHPSTNHQTKPPIAQEESESDSDSDASSSSSSSSSKIAPPVQ KITLTGPEPLPKSLHTNLPSLVNHPSVSLSSREGEPLLKALKGANINSLWGVQCSVGG CLLEGKDTLCIAPTGSGKTLSYILPTLVKLRDPSRSLRNTENEVKGHGIRALILVPTH DLAIQILNVTKAVTKGRSWRSMVLTKATEKAVCDSSPGTALDDSEEDVEDDGERNEDE EEGSEDDDEESTGSVDEFAQPKSGNPTGLGIDFLIATPERLHHLLESKRISLALTQHV ILDESDRLLSPDFLSQIEPILAACMNPDVQKCLLSATMPSGVEEIAKKWLRNEGVRVV VGVKDSAVTTVDQSLLYTGSESGKLLALRNLLSTGSLPYPSLIFVQSIERADELHKNL ILEGIKVDVVHSGKGKSKRDQAINNFRLGNVWMLVVTEVLARGMDFRGVKVVVNYDFP QTVQSYIHRIGRTGRAGRPGKAITFFNLEDGPYLRTIANVLRSSGCPVPEYMLDMKKP TKNQKRSLAKAPIKRKAIGGGGRDVGREEGRKKQMMKEASKRRKMRTDE I203_07569 MFALPASRRPHLFLALLSSIALVLFLHNSYHPQYAMIKPPSLFQ SDSDKPKAYTGLEADDLNVRRRVERMRGYCEGEDRFEKEYGRTNIRMTRAYEGSHHRI RQLLHKALRGEHLTVSVIGGSITKGHQVWVNEIWFHKFWEWLNDFVGNDVEVTEVNGA APATGSDYFSFCFPLHVPTDSDLIFVELAVNDEGIVEHVENMENLLRGLLDLPSKPAV VLVEAMAFSNGGMGGGGGRMHLPVAQYFDVPVINQRHPLANHFGRYPQLVRPYFSQDW WGNPDMRHINSRGHRDLGMLSASLIQDVSCTMLSEPTFFVPSPPTEESEHEKSLSILV GGGRPSNPEDASLLDESLAALQITWPEQSKSWRKNPEKEEQIGELMPGMWVTPAEYGL LPRMRVLDGWNPDVSSVLPKFEPTCLSTRAKEAKFNLTPSWNDGDWEYWVHPEHLDKP YLVARKPGAKVQFELETNVGVVKIYSLKSKTFGLGTIECWADEEKHKSTKVVGWWDNG DVNIGRFATIRNDLSKGTHTITCELLEETSDPDGGHEFRMISIMR I203_07571 MVQCDDGAQTIHTASDREQAYVTPDDSKSIIPSSKDPKYATKEV VEKVVGLLKGKFNDDQVTTNPDELLSHGVSANTYHAAAIPNVVVYAESTEDVSKVMKL ANEYRVPVTPFSGGTSLEGHITCPYGGICVDLSRMNNIVELHEEDADAVVQAGCQWEA INEELKERGLNMFFPLDPGPSACIGGMMATGCSGTNAVRYGTAKGEWFLNATVVLPNG EIIKTRQRSRKSSAGYDLTKLFIGAEGTLGIVTEATIRLAPVLPTRVAMCGFPGVEEA VQAVGEVINRGVPMQCVELCDTLMMEAIGKFGNVFMPLPSLDTIFFKFQGSNAESIES NIKIVSEISQKYGGQDLVFAKNDKESDELWQARKSAHWSAMALVEGATCYSTDVCVPV SNLPKLVKQTKQDLKENGIVGPLLGHVGDGNFHCALIFKADVPGEFEKVDAAAHRMVK RAIELQGTCTGEHGVGIGKREYLPLELGEGTLGVMKQLKDTLDPKGIMNPGKLYPDW I203_07572 MPLIHNLSGVVIKCFKKVEYAADWMTGAAGPVFVFMCWTLIITG GFIYFDVVVRSLSPLSLLFLLPLLILVPLNLYGQYYLVTHVPPGFPAPKPSGEDKNSA SWLIPNPKSIWSGERWGFRKRGRTLTGMGGGAGGESSRRVRRCRKCDGPKPEVGLHNQ RHFFLFMAWLSTGCATVCITGYRLFLATFDYYAEWTPVTPKLGFTLIYVLCLAIGFAV SVLMFWHLYMVSNGETSIESHDNSYLAGKAKKEGLIYLNPYDLGRRRNIQLFFNIGPN GYSPYTLLFPLALPPASNGWSYPRRPIPSHPQTKPSNLHAPELADGLIARANGLGLGL EGEEASPLSSGGHAEDGMGGYVMGDEEGLTDDEEGGGGWMDLGDPQYRFGEDDR I203_07573 MASAAGPSTKPITPHYCAICSLPTEYCEFGPSFSKCKTWLESED KDEYERLWGEGNLAARIGTLSVEKQEKLEADAVKAEKKAAKKAEAEAKKKGETKIIIK RSERTKRKHQTHVQNLELFGIDLKKAAKLFAGKFATGSSVSKTPQGEEEIVIQGDVGD EIVEMLRAQVGVLKGAPADQVTRVEVKKKKAEDEAAA I203_07574 MNHSQSQTQAKQPGQTQSSNQGAAQAQERTTKGNSASAPRKVKF NVGHTYHVLDVIGEGAYGVVASAVHRPSGTKVAIKKIAPFDHAMFALRTLRELKLLKY FAEEGVSENIISVLDIIRPASYESFKEVYLVQELLETDLHRVIRTQDLSDDHCQYFLY QTCRALKALHSAEIIHRYLKPSNLLLNANCDLKVCDFGLARSTQTATPDSQGFMTEYV ATRWYRAPEVMLSFRMYTKSIDVWSVGCILAEMLSGKPLFPGRDYHHQLSLILDVLGT PTFEEFSAITSKRSKEYVRTLPFRKRRTFESLYPNASPLAIDFLSKTLTFDPRKRFTV EQCLSHPYLDAYHDPDDEPSAKPLSPNFFEFDMMKEENNREDLKRLLYEEIMSFGHSN GNH I203_07575 MSAPRQISRLLSRRSLISGSRRSTPTPIFKSPSLSVRHGSHLPP RSNQFTQPTKEHLDALKAISSSTLSTLDGSATEEELRSFNDDWMNKYHGKGSIVVKPK TTEEVSEVMKYCYDNGIAVVPQGGNTGLVGGSNPVHDEIILNLSNLNQIRSFDEVSGV LVADGGVILETADHFLAEKGFIFPLDLGAKGSCHIGGNVSTNAGGLRLLRYGSLHGSV LGLEVVLPDGRIWNGLSKLRKDNTGFDIKQLFIGSEGTIGIITAISILCPRRPSAMNV AVFSLESYEAVQKVFAEAKGHLGEILSAFEFFDKQSYALVKKHQEENGGERKVFETEG DFYCLIETGGSNAEHDEAKLTGLLEHLMENEMVLDGVLAQDSTQFQSLWSLRELVPES AGKAGSVYKYDVSVPVGKMYGLVEKMRAKLREGGVLEGDGKSEGPIRAVAGYGHMGDG NLHINIVANKYTDEIEKIVEPYIYEIVAENEGSISAEHGLGVMKAPYIGYSKNETSIE LMKQIKQLFDPKGLLNPYKYIV I203_07576 MPTPLTLSQPIVNSPSPIAGPSKPPSATSSSSKTRSSSTSSRCS TATSLDDLNDISRINHFRPMYDSNSSLESDMSGMTVTALDQNEHNASTKHADDQKKQR KFPEEEDEDILRESNDRFVLFPIKYREIWQAYKASQASFWTSEELDLGHDLHDWNEKL TEQERFFILRILAFFAASDGIVGENIVSQFSMDVQISEARAFYAFQSMMEQVHSETYS LLIETYVRDSDEKDFLFRGMENIPCVRKKADWALKYITDDMPFRLRLVAFACVEGIFF SGSFAAIFWLKKRGLMPGLTFSNELISRDEGTHTDFACLLYNHLKHRCSEEEVHNIVT EAVVIEKEFLTDALPCALIGINADLMCQYIEYVADRLIVDLGYSKIYHAKNPFDWMEL ISLQGKANFFESRVSSYQLANVSRSGTPSLPEKGGDERLSRRVFRTDADF I203_07577 MKVFENDITFDFSPIQTLSALHRKYPNPFATHVYSVDTIDRSID PDTGILRSERLIGVQQGAPKWITKLFHLPPTAYVREVVFVDPSNTSATMMSVNLSLAQ YVSCLELINYLPSPSNPSTTEFKQRALLISGFPTRMIARRIEQASLDRFKSNAGIGKK GFEWVLQGGDQASLPRPTLD I203_07578 MNKQPGTQIKLTNVSIVRMKKGGKRFEIACYQNKVSEFRSGVET DLSEVLQIEQIFTNVPKGLVAKKDDWTKCFQTDDMNKVIEEILRKGELQINNLERSHQ LSSLSREIATLVSEMTVDPNTNRKHTVGMIEKTMSELGFSVKADKPAKAQALELIKKL SSEEGESTLPIRRARMRIRITMPGKDAKRIKDNIMKEVEETEEDDMGQEWEAIVQINP SAFRTITDLVTNETKGKGRVEVMGNV I203_07579 MSETALFKSLGQGVLEIPKLFNIEGWVAIVTGGGTGLGLITATA LAENGAKVYITGRREEPLKAAVEGYEKLGNKGKGSIVAIRADVSTKEGIQKFVEEVKA REKWVNVLINNHGIFPGATDINACEQTAEGLSKQMFEGESFETWADVWRINTSSYHFT TFAFLPLLAAAKTLGRFPEPGNVVNLSSMSGITKTSQRGQFHYNCGKAATISLSHQQA LEFARRGLGIRVNVICPGYFPSGMTIIPSENNTGSDDHVEEFTKKWGIPFGRPGNAVD YAQTIFSVISNQYMTGGEIVIDGGWLLNSGTSR I203_07580 MKLITLAVTVVTCVTTANAHVALWDKGMFGLNYPYQANDPQNNN YNNNEPVNPLRQTDARTTAQWFGHGLLGYPPKSGDFMTLPSGGTYNGEVSCNRGQTTL GNPNDKTAKYKYACKPDNGQYSGVGALHVMNTYNGTVDNKWFGGTALAIAYTSDVSNL KPNDFTVISVNQNSVWERQISYKIPSGMPPCPSGGCLCSWNWIHQGGHGEGYPYEIYN VIYRCTVTGSTNSANKVQRGAIPNKCDGNPSNCVKGPKTPMRLRAQSFSSHSGNNLPK LDDPPLYRDNWGFADGAQNDIFTPAATPATTSNPKASATSLPSGWSSVGCMVDNTNSR ALPGVSSTNVNNNTIQGCASSCASKGYLFAGVEYGQECWCSNTATLTSAISTDCNMVC PGDIWSTCGGGSRINVYRSANAPTNGQPTPLPDSSLPSGWSSLGCMVDDQSNRALNGG STTSSSNTVQSCIASCASKGFTYAGVEYGQECWCGKTARLTAASSGCDVACSGDKSHV CGGSNRLNVYVAKSATSSTSTSSSASSVKPTTASSTSKAPVATSTTSSKATTSTTISK ASTTTTKASSTSSSAPSATTSLPAGWVSRGCYVDSSSARVLDGNVFESQSGMTYASCI NICTGKGYSYAGLEYGSQCFCGNNLVKPTSVTTGCDKPCAGDSKNMCGGYNRITVLQK TSTVKARHEWSPRGGRRHH I203_07581 MSSSLIDSPFIHPAWYHIVPPTYSRTHPNQYNPYEYQHEPNRTF NLHSLSPSPPHPIPSFTSFPQETTLPVTRQTGRQAQKEIEKGDGKSLDRFALSYTPQW LQQLPSPLNYLYLGPSPPFPPPDYAADVFTPKLLFNPLPIKEQCPSILSLIPAKGSSL PLQPKITTYNLDYYARHFSNLLSLHLFASLEETSRSSIPSTLIQPYPVPGLQNTYRIH VPGVREDAPRLNIGDRMIIRGLYQRLRQASQNAMEAEVVGLEKAKSWVYVQSPYLELL DGDKVASGQGIRQDNQSPGIPADNDSGIRCQIKFLLNAKTICDMQDADIRATAWDRMG DSSAMAFPRDTTCTGRDTRPSSDEH I203_07582 MTNYDQQSAITAIVSRDHKVPYLISGPPGVGKTKTLVEAALQIL TTHPDSTILICAPSNSAADTLARRLCVPAASSASRPYVGPGTVLRLNLPSRTFAEVPD EILPFCYITPTPTGTSTFGIPSFAELMKFRAVICTCQDASILVTANATNIAMMKAESE MMDTFHRVSDSSVTRHVHPHWTHLMIDEAAQSSEPETLLPLSVVVPYPLPQGYRSVDP VVVLCGDIHQLGPVISSPEARDGELDVSLLERLSQQEVYASHSNARKNQKIANGACPS DWEGPFTNLIQNYRSIAPILMIPAALFYEDTLIPSARDVELLKWQGFPNPRIPILFHG CEGEENWIDEVSISWYNSSEIEYINDLVQSLIETVVGLKQKEIAVITPWREQVWRIRS KLRSNNLHAVDVGNVETYQGAEFRVTIISCVRSRSRFLDEDRRMNMGLFNERKRFNVA ITRAKEILIVVGNGNLLKRDPYWNGFLQIMLRNNLYRGPDLGLETTGAFISRLESTIH RNEEHDPEEAVLRLAGALARETLRDD I203_07583 MSHFIRSKYQQYKRDNEQLSTWLGNTAVNYGFQLANFPEADKYL DGGDGDAKPAANQLKNAKKKAKAKARARAKAGGQQKQAQDGVPEDLQKEKAAASKLEV KMEKMNINDPPALAPAFTHIKGYLIPKHLYRPIAELLVACSVRIPVEIMKC I203_07584 MPSFQSTPDSNQYSRTSAPEQSTHGASEKNKVACLTCRRGKTKC ETTEGKESCKRCTRLKKSNCSLSSDARPFASVAEQVASYLKIYRYSKDFIAKGAVSSN NSFLSPTSVSEPRSMSPSRVTKPQSTAQADAALGWGDKSSCGNCRRRKIKCRTLPGQE EFIGPQFSLVASQTPADSHFPSSLPPQSSDLPALLAEDVGPITAYLQTEEGRQLLSDY LEEIEQGTSNHGSERTVSTVEVSPVAVFAPPISDDGGFDAIDPTVGIKINIFHSERTI TKPPLAPKLGLLAFKLP I203_07585 MSSTSGSSTQPRQRRKVSCASCRQKKIRCDREENSRPICSPCAQ TRSECTIASPSTSRGSASYNDTLRYVPRGMFNQLACNAQGAQGAQGANSAQMAYEDAH RAQIGSDPSSYLDGYLYPNQPSVPASWHQAVHEPTFSIHQPPPDPQNSNQNGLIGTDF PTLGQYTQDEFHVSSLQCPNTAQVSVRNDPAVIQAYLQHVTLARQQFMEQWGLSQQDS VEP I203_07586 MSRTIKLSDGKSIPAIGWGNGTGGLFGNHDPAITSGVQALKSGI SHIDTAEVYKTEQATYEALKQAGVKRADVWITTKNLSPDIEVVKSNVQERIKLLGSKP DLLLIHFPTVPQQGTTSQFWTILEDLVYDGTLEGVSLGVSNFRPQDLEDVLKV I203_07587 MDLRRSTLLTGADTPPTSSSSQLTDGDLASIRNITRSFYTWAKA KGSSWLANNNNIDARQTYVLSENTLSKVQATDKDADDTYQFTSTWRPLTEDDEVKGTD FEFRCDRSQLSGFEPLGAFLQQYSAEGHYIGETITVKGHFHRFDEADVQFVGYNVVEG TEDLPTPSQA I203_07588 MSDLPSTIPKRSWIETIQDGLCIASQQVTSDIKRFCSSLTQSGQ QEWELINRSTRFVPAPTNGGRSFTEVTLDWKLTNYSSTKNTENPTIMASEFDLLYTDI TSILDSQKEPEEDSTAKRAILHFEGAAPTSTNIDGILSYNPPIVEQSMVRPRVVRRGN L I203_07589 MFGLRAWPTPIVKPLWPFMISGVVTIAGVWKLQDIGVSSPDALK DPKNPFAASKAKAAAH I203_07590 MASSGIKRPHDDELTSSRRHDRERERGRDRHDEDRRSKPRDWRD AFLDEDEPKRRRSRSRERDRDYRRRDEHRDRRYSRDYDDRRRGGGLDYREKERDHRER EPSKGEYHKRHNHSDRNDHATSRNDKTQLAKDDEKEEGELEPSPPRPSVPLPVAASPS HSSVTLSPSRPTSRPPPAGPKASFQSLAMPSQPRGSTPSRPPPPANRFFESELSASQA PKVEDAKPEEEEEPISVLEEEVDTEKLLEERRRKREEIMAKFRANGGKPTTVTTPKMV QGDLPGPGTGAESVTSAGTRTGYQTGYSVTGATPLLKQLGAHSVTDTLPGTSAPTPIG NSPALVSTPMGNDFDLTKRADSDGEAELPADTRSQGVGADMMISAADYDPTQDTMADQ EKRKKDMEAMQVKPSDIASGVGEPIAVVPSPQADEEEWEEVEIEEEDEDDDEFDMFAE FGDEPKEKKKRKVTIRRPKNGGKANGNKVEYVKTKPASTIAAEVVDNVDDTEGYYRIT PGEILDDGRYQVTISLGKGMFSGVVKAKVLKAVNQERRQDVVGKEVAIKVIRSQESMY VAGRKEAQIIKKLNDADPEDKKHIVRMERTFEHKGHLCIVTESMSMNLRDVIKRFGKD VGLNMRAVRAYAHQLFLALSMLRKCGIVHADIKPDNVLVSENKATLKVCDLGSAAEIS EGEITPYLVSRFYRAPEIILGLPYDTSIDMWSIGCTLYELYTGKILFPGRSNNHMLLL QMELKGKLNHRMIKKANFGNLHFDESLNFISIEKDKITGQDVAKTLVISKATKDLRAR LLPPSSVQLKMKDEELKQIQNFVDLLDKCLQLDPSRRIAPRDALVHPFLTGA I203_07591 MSHDAKIQMAYDLRRSSELLASLPKEYRQAPHLPFSPPPQPHTL FPNSPPNRPRPSIGDFLPSPGPGSFNAEAGPSRGRTLHGIEAEEEEDILEEDEFQLAR GYFDLKEYDRVAWVLKDAKGSRSKFLKYYSMYLSADRKAQESLPHFLDTKEERLALYP ALSPLLTELKDEKDPYLLYLRGLSYMRLDRRPPAVKCLMDSVRMKPYNWSAWSQMAQL VYSADMFISMKEELPSSTMLTFFAISCMLDLHTATELVMSMIKELLEIFPGSVHLKAQ RAMVYYHMRADFETAEKEFDSVQKADPFRMEEVDIYSNMLYVMNKQAKLGKLAHEYAE IDRNRAEVCCLIGNYYSSRADHTKAITYFKRSLMLNREYLPAWTLMGHEFVELKNSHA AIEAYRKAIDVNAKDYRAWYGLGQAYELLDMPMYAIEYYNQATSLRPYDCRMWTALAT VYENLKRLPDAILAHTRALLGADRIQTPTILLKLASLHSTLGQITQSVGYHRKILALG EKSGLGVVDLSQSYLSVAEYEMRDLLLDPDVDDERMVAEDLTKQEKGDLALASQYLEK VSSSNAPQRDRAEELLRVLRIREARMAADL I203_07592 MQQYISASTTPIGSPHYAHNDPWAHALICCDQDHGASSSNPMNT QLPPPQQHHGQTLYQTPPNHHPLAPPPQARRCIECSAECPLEAYCCGGDYCCDGSHSH GCNQSEESICGDPTCEETMKEPCHEEHPFHPQGQCGDTLSHHLDPDHIHTNNQQDNIG DMKSLEEWADTKEGCHAIQQLLECCNQPDCNIPVCPTDKKAVHPAPPDPLAAIFGSMV AQPIEPSNTNDSGPSSEMEGITHTCHWGNCHLIFKSMPDLLAHVAADHLSAWGSGTGA KSIDPAPPTAPPPQMTNVIGATPNFMSTSTSTPPMQLANTSNTGLNSAFTTPQATETD QLLSCLWDDCFPVADMPSSSVSGTFPQDATQIQTQQIPHTHVHQAHSHSHEHAHPTGE PFSPGTMLRHVLEEHLGVPGSIIGWPNDNNNGQNGNQTNLDKNHHHHHVDPHHLAHHH AAMHHHHSHSHNHSHSHSHPFPTPPSTVNTQLSTSPASIPSSTSKVNSGIGTNASGNP LICLWPGCPVDHVFSDSASLMDHLSEVHIPKGKDSYVCHWDNCGDGQGRVFKSRQKVL RHLQSHTGHKPFVCGVCDQAFSEAAPLSAHMRRHAQQKPFKCEYPGCGKTFAVSSSLT IHMRTHNGEKPYICPHCGRGFVEASNLTKHIRTHTGERPFACAHPNCGKKFSRPDQLK RHMLVHDKDKTNGNQPGQGHARRRSSVQTSLSDDSGSSHVVNGQNAIMSRA I203_07593 MKFFITSLIFTLSLLVPSITALEPGDVPVNVAVRMVNGELRHFY EKDETEYYRKRDEVADAELAKRQDPESDLYPVSNDGSGVKAFSGAGAA I203_07594 MSLNSESRLNISEAFQAKVDEWVLSNCTRTDDGSILVNENKRKI IKHPETGNWIHCARRAGKKSHPSNTSLDIPLRNIFRRAGDTSQNTSMSSPRLSAWGFN SERPTSSIRQRYFATPTLSTDSPSKYQSTASTASKHIPNSPVLSLVLDQDQEKVRLRI PEEVGEESSAYIVENDDDISREEDIHPSDVKPTTAGSTCSKWYETSESYISSFFPSLT SSATEEKSYVSSAIEGGGSLYATGTSLASQASAAVRSGRNRLANGLKSFARSLHPPDS DR I203_07595 MPSNQTIFPSWHFDHWRAVDDRVRGGASTSHLDQVDLDLSDYSN GDLEKGKSNAGARFWGHLDIDTLGGAGFASQSYRYGPSPLRLPSLSYTGLSITYRPDP QTHYTEKTPRDYTLVLKTTPTANIPKHPKTPGPPREAQLTYEVTFSLPTTTVGKAEAK ANKEEKREFKWNEFQATYRGKHVPEGDERWVPLDPGLIYELSIMCRSDFGKQKGDFGV VVRSLEAIRKNENGWWSGLGWLWSWVVGLVNWGWSWSSRGGVKLEDGEKKLIA I203_07596 MDLLIPNLSIISLTCQTQPSGEQSHKGYGQAIPFVLTGRDRYHF QPNQRDTKDELITISFYSYCNEKRHASISIRIAPNRNLRRRGWERLKLSEGNGFSAFR LYTTKGDRSTRDTVIIYEKPDTANFLSRIPDDTHLGEITLPGTHESCALYGYPISQCQ QPSTPIERQLLDGVRFLDVRLRVVGDELLMYHGPRPQRSTLSALLIVLHEFLQTHPTE TLILSIKEETPPWHPHFSQILYKAFEPFLDEWVLEERIPTLGEVRGKGLLLTRFDRDK DGNGRWEQGMGIHPYTWPDSRKEGFEWDCHGTKVRTQDWYRVHTFLEIPEKFDAITTH LTPTLTLPQSPTFTLSFLTASYFPLSLPTIIAKGFGFPSWGLGVEGINSRMCRWILER MSNGERIRACLPIDFYRQCAGDEGLAELLVQMNLMGDNQ I203_07597 MSTSIIRTSLRAGQASILRPRRSHTVAQSLMGIRYNSSASSSKT SSPTPSQQLYTLNEIDKLTTSIAQSSSGPIHHLSPLVMRALPAPWLSSHSPDLASQGD TCSVDHHASSAEPFTEEELLRPKHMSESFTSFDLPLATDAKLYERYVNTSGGFRMGKL LEHLDSLAGAVAYRHCLPTPKSAASTDESQAFHEASSRAGLYLATASADRLDMFGRLN RENVRDLKFSGFVTWTGRSSLEVVVKMEGHRPSEPSGQFKTLMLGRFAMVCRDSKTNK ARPVPRLLVESEEEKVLWAIGEEHQKRRKTSAMNALDKVPPSSEEAEELHKLMLQVSA TEEVDGEQVVPMQDTEIQTVQLMFPQDRNLHGKVFGGILMRLAFELCFTNAALFSQAP MRFLALDQITFRLPVPIGAVLRLSSKVVKTTKPQDGPDGKAKVHIMVTAEVEEVDTGV RRETNTFFFTMAKEDDKPIGRTVIPSTYSEAMHYLEGKRRLEVGDEMRRLYQAGKLQ I203_07598 MSVTSPISASPGLSLLEWDEAAVQSYLSDLGLSKYEDVIYEHGI TGDVLSVMDHSALQDLGMTSLGHRLNLLRAVWELKKDQGIELGEDDWKPQDAEENDKV TQSNVEKLLDMIHEQQERLIHLEREQMRMLSAFEENGTPVPTKPHSADGEVRGIPGME GKSNLDRSGSLRWKDFKEKGSDGEEVEAGPSRSNRRASTVFPSSLASSTASNPNGQPV PHTSDTPTFQDSFTPTTVTSSYPFESPLANNQGKGEEKSHSRPNPIQPPPLTRLLSSG PVSSNSITSPPPNISQSQSQQQQHQQSLSAPRNTSQPISGPSPTGNAPSGSGTNPPSD KVKSQANAEARSAAKSFRVTLEDPCWKVLPAALKKYKINDDWKLYALFICFDNTERCL SYDEKPLLLFQKLKESGHRPVFMLRHIKDIRSPIAVAQQKQAQKLGLPPNTNVNVLPK IKPSSDTSISPTKTSSLQPSGGSRNGIDGDMPNGNGNFPELPSPGLKDASFDASASAS TSSRHPATNGLMNLQPGQNPGTMVDEDGNIVNVTYAVAIYPYIADRQDEFNVAVGSTY VILSKAKGWYIVQKDPDGLGQSNDATQGWVPAGCLLELSQPISLASPTPSGEIPAYPG LSPLPPSAIISSSYAGNVLMDYEAKGDAELSLKEAEKVRVYKKYCHWIKSDTGERGWV PAWFVGKAATEPNTTTANTPSSATTPTPTGAVPGEGKMMNNGDVEERLRDDTPTSTLG AGGGHPGEGNKI I203_07599 MSSLQFHLLNAFVVGSNPHSGNQASVVLFSDPQDKRSTDDVWMT AVARDFGFSETAYLVPINEDEGEWGLRWFTPEVEVNLCGHATLASSKVLFSLYPNLSK INFQTKFSGILTAVKVNEEEIQITLPGLSSKTLSTFGNSPTNSEEVGLLESAFGLSKG GILGYEENDFSGNRCLIVQLNEDVDVQSVKVDIKALVEVTGCAVITQVDTSESLRTGQ LHINSRVFGPALGIDEDPVTGSFHAHLTGYYLLSSSTKYISEQLLDTVTSPKELTVIG HQRSSRGGLLKCKVDKKDESKVKVVGSAFEFGRGTLNL I203_07600 MSSSTAEQDMSKLKNIVIVGGTSPHPILLSPLVRCTDEIFLASS AGQQLANYLTPNLPSSHRIILVDALDYAFWPIASLRAAVVPGWEKRITVPLTQETVFP KNSNHRLVVPNKVVELKENSIVLEKVFEGSNEIPFFKCILSTGAQQPSPMRPPAGSTK QAYHDTLIKTQGEIKQANKIVIIGGGAVGLEIAGEIRANYPDKSITIVHSSSHVLHPQ ASAPDPEGKAHSYSSPPTLPKLSITLEKLLADSNIDLILNDKVDIPAEQISPEDWSGS FGLQDGVKKVKLASGKVLEADYVFVSIGNRPNVGLVQQTDEKALISGMVGVDEYLRVI SENSESSLTKNYYAIGDCCSTPGWKTYMGADYDAKGCSVNIINEIKGKALKKYVRPSL AAMMIPLGPEKGSGTLTFPVVGTWQVPGAMVRAAKGGNLLLDQLFYPRFKGEKKVTAG M I203_07601 MKRSPSPSPSNEPHTPTPTPGQDVKRADKSPKIPKKTKVELTSS GSASPSNTHSTPDKKEQFLEKIISIGLKACNVDEICQEFGLTKIQFRNATQAGKKGNL RDKACKGIRGE I203_07602 MHRGNPYLSKKPDFARLASRFPDFAQYVTVFEEGFASIDFQDPS ALRSLTKCLLKEDWDLDVDLREDRLCPTITNRLDYLLYVLDLEPYLPSSSSSKPIRVL DTGTGATAIYPILLHRLRPNAKITATELDQVSHEHSLSVLTQNSIPTSSIDVLRAPSS GPILFPILDDEVLEWDLTICNPPFFGSEEEIREGQEGKELGAHAAPTAANNELITPGG EVAFVGKMIEESLKIGERCRWYTSLIGKYASLSALVELLRQNKIDNYLLKSIKLSKTT RWILGWSHSSIRIPDVSYSRRVETLAHLNDFTPLQNIARPEEVIPNTSFTRLIPQPNT FTHKPQPAIPIEELRQKVLNILQSISLHYEPIDGDEEGNGVIIEPINNTWSRAARRAL ARQVGQPDEQGEDDKGNESQKEAQNPMFRVRIQFIPPLTAKDYSSISLDWLEGKDRSL VESLWKFLLNKAELIGKKDHVDSGYRGSLGDGVDTWHRGRGRGTGRSRGRGGGVGRDR NEEDSMNEGRRYGQRKRLA I203_07603 MSIPVQSTNKVSFTDFITKKCKEQPFVPIGCLATVGALLGATYH LRKGNRNKFNQFLRLRVYAQGATVIALMIYGEIPADTYYPGRLDVPKPPKNASSSRFT IPDNAPPKTETDFPTSLPESLSTAVDAPPSLSREGESSTGPGGAYPLRKEERMKVSDF ARRLKEAEQLHKEEEAAKRARS I203_07604 MADLSKANDFISWLRSKGAHFHESAELKVDPETGLSPFSTSPIG PDERLVSCPFDLAITPELATQAVCEIANVAEEQLVWPAESSRQGEKWNARMRIGAYLG LHWIYQEKLSEDSSFPPALQHLEYLESLPPPTSLTTPLYFSPAELELLKGSTLYGGVK ARQEEWKAECEVVRKLLKEDGLTWDRYLATSTYMSSRSFPSKLLHIPDRADSQAHEAK KEDGDEQSQPVLLPGLDLFNHSRGQPILWLSSLIPSTTSSAQPIPSISLVSTQPTEKG VQLYNNYGPKSNEELLLGYGFVIPANPDDTLTLKLGISNIPLGKLDKLKSKGLDPDRR FDLRKDGEMDKNLLEILRIILNEHDHHDHEHEEIDEEDEHALHAQEEREMQLELDVLG MLGGMLDDKLEKLQGNHEGKDVNGEVREEIRNMCEVYKQCQVDILNAAMDKLTERIER IEGLIDEGMGGCPCGC I203_07605 MTVPASSKTLPPGIYCPTVTFFQPTPEQDLDVDLHIRHMTFLAK SGIAGVVVQGSTAEAVALDYEERKTLISTAKQAFRQAGNNGPIIAGTVGAQSSREALK LCKDAYEAGADFALVLPPSYYPAAMTVDAIQGFFGELAFASPIPIIIYSYPGVCSGIQ MDTDMICRLAKHPNIVGVKHTDHDVGRIARETAFAKQNNFGSPFTILGGATDYLLGAL AVGGQGAITGMANVAPRVCAKAFELAKEGRQEEALKYAGAISQAEWGMGKGAILGTKF MTSWANSYPSDSILARKPLALCPESTKEHCRAVGAEIVAMERQLEKEGWVGEALRGSA EKVNGNGTANGNGILDPLKNSVPAL I203_07606 MTSSKIPTMGDLIKLDRQDPLNWTRDEFEIPNIRACGGEGDGEA IYFCGNSLGLLNKKARQHMIEELDVWGTSSVTGHFNHPHKRPWKHVDEPLTPHLAKIV GAKESEVAHSSTLTSNMHNLFTSFYRPTKQRWKIVIEKGSFPSDWYAVHSHPKLHEAI LSQQQIDEAIIGLEPREGEDTLRTEDILRVIEENKDTISIVWLPLVQYYTGQLFDIAS ISPKVHSIGALLGLDMAHGIGNVECKLNEWGVDFAVWCTYKYLNSGPAGIGGFYIKDG LDDGGRRLAGWWGNDSATRFQMLPSFSPTPGAKGYQHSCTPVLSSIPLLATLQLIDKV GFTNMLEKSKKLTGTLEKLLKSSKYYNPPDGKVGFKILTPEHPYRGTQLSVSLLPENQ GVMPRVFSRLLKDGLVGDERYPNVIRLSPVVLYNKFEEVGRAFEILERALKAEEEGAR VGEEKDLDMVSKD I203_07607 MSESDLLPGQNLAHLLPPSWKTEVSRWYAEDTPSFDWAGFVVGE EEQEAILWGKSGGVLAGVPFFDEVFKQAECTVEWLLPEGSVIPPNTKTKVAIVRGKAR QLLLAERVGLNTLARCSGIASVSRRFRDLARAEGWKGVVAGTRKTTPGFRLVEKYGMM VGGVDPHRHDLSSMVMLKDNHIWATGSITQAIKSVRRVAGFSLLVNVECQNYEEANEA ISAGANIVMLDNLLGEELHGAAKRLKEEWKGKREFLIETSGGIVEGGLKARLGPDIDI LSTSAVHQSCPHVDFSLKIQPRQKQ I203_07608 MSRIDSLTPSKSRSRASPSPSPSPGPGSPAKTTETTHHRMLKLV LSEVRKIIRTWDELVIMDGFKACKGVIDEGTEMDNILAVEDKPERPEISGHLNSWYGH RQSLESTLKKLDNNLYKLSALTDQAEKILFEAYKREGQDFVFMEPLWFTWTLEKFVNS LSPIISLHTNHLASLTSLSRIILDPNTSFDDAKLTLEAWRDIATGGERWERVREWEEL VEVELAGGTDEGDEDEDEVPIKGKKKGRK I203_07609 MTPRSFLPQIVGLFYAHFDATLGPVVQHQVPENLITSRATSHEP DTRSRSRSKSRSRSRATGPGPTPITSSSTSSTYPGFATLLNFGLISEYVIPKKSLHGR LVTLLTTSNASSEEDKKEYRVMGFPNVMTGEGGRYKRNEYMWNLCFVFHSSSSLEAFE PVVRKCARILRSAERDSLYLSSSRPEHTPLPAVLEQLFEDLNSYSETSISLDGFNSLE LKLFPFYPNPPECEDWHVPIALVNLNALKDDNWDITAARVSQYIDGINHVKKIAELAD ADEALTRETLKHMLYYQVVMMIDIFQYSNMYTLKPAISRLGSDETIINECPPYVTRPG FPYPEWPVLLRLYSKLTPGVTVHHWIESNEVLSLGIDPRRFVSFGIIKGFLRRVHRWP KMIERTSSPLIPVPVDTRRRVGFDESARLGSTTTLGTRGDNRSHGGDSGLSRPGGGAG ESAFTLRSVGSNASLGVSPASRHMYTPPSITGKSPNMGHGSSNRRNLGFSSSTSASTT KHHHQQQQQQLGRSHTTSTSVAESHPSTSSRRAVGGTSVSHSTGTHGDRHGERGAGNS GIRFGLSARQREEAAAIRAAEEMEEELTAYLDGTHHADEIQVRFGMSWSKLEGILGLE EVKGGMGKKGITLVYK I203_07610 MAIEPAPILDGRVGGKKAWVTLITNPGYIAGLLTLHRTLISVSS YPLIVMTTSSLSQSSRETITSSGIEIIEVEHLSPSSDQHSGFDPSFSRFNDAWTKIRV FGLEQFERIILIDSDMIFLRGMDELFDLELPGDDWIAASPACVCNPFKLKHYPEDWIP SNCSLSLQNPYTTLSSPPIPSPDSKRTSHLLNSGLVIFKPSLSLLDELVHHLNTSPTI AQSKFADQDVITEVFKGRWKPLPWWCNALKTQRAVHGSMWRDEEVRLIHYILDKPWNH RPSDLPPHPTLPPTPITPSNFTQQQTQNTEKRRPLPPGLLDAVRNTSPQESLTNYDAV HAWWWIVYEDLLEEWKAENKAGWREVDQYVTR I203_07611 MWFGSSSSSSSSTPATHTVTSSPSLPPANEPSPSSSISKPMNAP LNIPAGNSPITPDAEPIRPDENLTGDTVADMKKALARIRPKEDFENIGKIPCARNSLL YGIAGGAGLGAVRFLGSRKPWVAANWAVGSFILISGFQWEMCNRARRKELAQMRAITE RYPHRHISKLKQKGSDWVPPPPSTSSEGSPS I203_07612 MFRPRPAQQPAAPVHSEAGPSTNITVDPDLASQTSNVPTPPVPG PSAEDQSFVSRIMSDNPYFSAGAGLMGIGVVLTVFRRGLTLGTTFAQRRMLVTLEIPS KDRAYPWFLEWMAAQSASQAGKGKRPMGFRSHELAVETSYKQHENGSSEAVFNLVPGP GTHYFKYHGTWFQVKRERDAKLMDLHSGSPWETLTLTTLSAYRHLFSSLLTEARALAE ASTEGKTVVYTAWGVEWRPFGKPRSRREMGSVVLAEGVSEKIENDLRSFLGRGKWYAE RGIPYRRGYLLHGPPGSGKTSFIQALAGSLHYNICLLNLAERGLTDDKLNHLLGLVPE RSFILLEDVDSAFSRRVQTSEDGYKSSVTFSGLLNALDGVASSEERIIFMTTNHYERL DPALIRPGRVDIHELLDDAAGEQAKRLFVKFYGNSTTVNPDGEEKGRILREHETPLTD EEVEALGNEVQQIVEDERSQGKTISMASLQGLFIRTGATESLGGIRELCRSKGQEGV I203_07613 MPAHHNAPASTGSKSLTFQAAYTTETSTGRRREKFNCLTSGVDE ADLREATAELRGWFDHTVTTDSDANTIYHGHITCGTSEPSAAQSAIQTILTTAAWKHN EDNSGCKYQFFTG I203_07614 MSISHTARSSSGPIILRFEATIITDATSGERTARFYCNTSDAAQ HDVDQATREMKGYFDSVILGDRDNHELYDIQMRQGKGSVQMAQTTIASLLCMAAETHN QEAGDSCQYVLST I203_07615 MLELYLTPKQGNVGGRFFPHTGHLGVTPVVISGKVGTRLPEVCE PLGVKSITLGIRCTETAGNGVSQVLWEKKKVLLTAPDDEEYLEMGDWDSMFKTTIPVD AIDTARSTMCIPEYKVVWRMEVVIEHRPIPYVGTSIAKAFALNLHSHRSLAIRPMSPP SPYILGSESYTSNITVSAQPGAFGPGDAFPVCVQVNPLDPHTTVKKASVYLERQMEVT NTRSVSPQSQHRLSSIFRPSHNPHQRLPEPQEIVLSRKDKLAEASGSNIVVDKSGTSW CQMEISLPQRHGKWDLGETHSTKLVSLSYTLKATVTLKSDKSRSSRSFSCSPVPIVIA STSTEDRAAAVKSIDSHQKKRHRSSRRGLYMHEGNVDVSDPSLGEPTPVYTSVKGIAT DVKPILLPSNHPAQSQNIQFIFPSPPPYASKPMAVASLLNPASSSTSPSASTSTLPTP PPTRRGLDSEANNILRALQSTGRRISTTTSEEDEVQPSRSKQKVRADREGNDRRAEFA TLPLPSLDALSSGLPYVPEDDRPRSRPRTAPIHSTFSMSIPPPLSGHLSIPSGTFGIG PRPMTSMARMGSDQSLSRSFEDRPGNEEGSFAFAFSGTNSPSSSKKQQ I203_07616 MFGRDSPARPSMRDQIAAKRAEYQQSPAARRIAASNASASAASA GYESPAPASRGGRNIGGTGQDDGALADKTVEGQIKKSIRSGKLDLCSLSLGAIPPEVY TTLLGIPSEDLSSPPPKEIPSSDIPKGSVLDPNSTPPKGLSRDEERSLVFGSKAAPKA KEWVEPEELTSFRISENRLQRIEREIGMFGALERLDLSRNQLKELPDSIADLLRLTSL DLSGNSFTQLPPAILVLPALQVLDISHNAIHTLSFTNPVGPSEDGLAYGAGFFTTSFE RQAQLKMKRPILPVLRSFNLGFNKLTVAGLAELAKIKLQAMRVMNLENNLFQGVLDYE QSGLDDKSMPILASLVLCRNTNLRGVAGNLAESAKVDLSGCNIREGTPQPQSQASSSS NDPIAPNPQAALDAGIKGKSEKPIPNPDMTLVYRTLPAATFDSEPLPVDFDIYLPSKP SSKKGHALVIWFHGGGLLQGNKENLPPHFRRLPNYPFPSQGGEEENVVVISPNYRLSP QTPILDILDDINQLLVYVRTKLNDRLVKEGKGENLIDSTRICLSGGSAGGYLALIAGL DVPKNCTDEEVGGYRGLQDKNGIKCLAPFYPITDLTDGFWSTETDPVPWKGTSVPHSD AKPHLNPKSPPICTALSGGPRSILYPYMLQHGLFPGLLFQTQKSIGYGLDAFRPTPLS LSIPHRNDLSKSKGLLEHVPIYFVYGTIDDKVQPMPITLKSFEGLTGEFTVEKVERGD HAFDEDPEIECKDFREWLGKTLI I203_07617 MKRVPPSYTSSSDNDIDNSSSYSPSLGESQDIRPIFSSPPISAR STPKKPKLDNENKGIKSKTPSPKKSKMKSESGSSAAASAATGTNVNGVWDGDKRALFV DEIIAVGYKNANLDELANKLGMSKRQLIDQLVPNKSNLRGKW I203_07618 MKKRLSSSSPCAGLEEDFKPFQSNTSTPEKGESKNEKQGTSTPT TSPKKKTKVGSSPTGGGFNGEWNTEKREKFMNHIIALGYKAANLDEMAQELGLSKRQL INQLTTGRKNFRSIAVAAVKGE I203_07619 MAIPNGHPTNNSQDEIKVISSPLALPNGVVIPNRLVKAAMAEGI GLGGGPPREGHINLYRRWAEGGWGIIISGNVQIDPRQLASPHDLTLPSHRSTLQAYTK LASTVHSASSSPLLLMQISHPGLQSSSTINLSRWPWESAVAPCEDRPTVSEGPVGWLW SHVMWPTKSRQIVNIYEWVEIVNTFVGAAVLAERAGWDGVQLHSAHGYLLAEYLSPLT NPDPKPLPDVPGSIPLRLHLLYLILKGMHENTGKRFIKAVKVNCSDFVQGGLDEEQAT DIIKTLVSWSLLDIIEISGGTYSSPAFASPESLKTSSRQTLFAHFTTSLLPSLPPPPT GPAIILTGGLHDRQLIASSLKERACDLVGIGRPACLFPQLPNEIILNPKLGDGEARVG GYSIPGSDLMKIILGESNRNEGIKLVGAGISTLWHEWQMCRIGRGVEPDINMHWLRGA LVEEVWFEVLKGGPMGWWSYWRG I203_07620 MASEDEALGSVASFGLSSVLASAGIDLSSLGSFLGEGSGGSSRQ IAEIEAEDNDEDKYEDDISVDELPGEEDADQRKREEEKRKKEEERWIRKGLEITSAID KGKGKEKETVGNTKKEKELEQVMKIWPNFEKGKRLRMSEVFYETPQDKRLLAIQKRKK RRLENGKEFSFTIDASSIPNLPASFLLPSLPQLEIASSDQPNYKAPIGSYFDKEWVKE ARARRKKEMTKPPVSLERDNQNSQIAPLDWQNDDLGYALELEDWEKGITMCSLDIPAL KPIEPLAPRNGILDSGDWLNDVIWDARRVSPDLIDSEEEEPVPTAPTKKVTAEAGAVQ PAIAKLDPFNISNDHLYEHSREARYRIRQTFGAIEVFHSLPARHLQLPFYKTTFTKSE ARAWHRPALQFPTGVTFSFSKIKSNPSANVSSKKSKIVADPSERFKTTKDLTLAEKGP YVLLEFSEEYPPIMSNYGMGTTIVNYYRKKDDKDEHVPKLDSGQPSILNPGDAEPFML GYVDRGNVTQVIHNNLIRAPIFRHTPETTDFLVIRQTINGHSTFYLRSINHIFTVGQT VPNESEVHAPHARKNTNTSKMRLMIIAWLLIQKSKQKRFKMAKLLKYFPDQTELQMRQ RLKEFLAYARGPGPNQGYWMLNPDYSFPSERKEVLELCTPEAAALFEAMQVGARHLHD AGYHKTAEGGQEDEDDSGLDIEQQLAVWSTTHNYKLAEAQKAWLVVHGEGDPTGRGEG FSFLRANMKNYFLRKGETEQGRRLEAEAKAGGAVVKISNAEQNRIYEEEKRKVWDLQW NSLSNPHPPEINPDEDEDPNRQQPFVSTPAGLGPRFNRADSRRAFSRGNSMANTPMYA DSPREMSPAMSMDGESTYTGANPTAGKVLRIKRRVKGKEVIEIVREPAVIQAYLRRIE DKKLEYYMDNYDQLAPTGNEEDDEIMKAALRKKIEQIKLNQQRRLMRKKYASRTLDPT DVDGVDLEGGKRKCGACGQLGHTKANRNCPMFNASSVAPSPSMSTGGGTPSGFGGYTP IDTTTIDSVPTPSTSFKIRLGGGQR I203_07621 MATASTTVPLKMPAPAVAAAASGSGTRRAGKENTRSSRRRLGST GPPVILGGGVGAIAKAAELGVQNLDPYTTLYNKPAIHQQPPPPPPPQQQQHSRSVSPA AHRPLYYPNNPAYPSPKSPLFSSPTSTLQSSSGGSTEKSDSGSSNSKRSRSPGPNSHS AVAIASASTISLSTTASTSSRTLKTPHSSKTEHNPIIASGSGILDSSSPSPAVSTAAQ RAKSFQHQSPTPNRTQVPATAQGPLPPTPLITDMNNFRGSTKPLNIPPNRGRTPPPLE VTENRLSQNWVHIDDTDIDTKPKSTTVIEEKPVIPARSKTRLPTAKMVPVKKMSSDIA PSEGRPPLERNQTAPPLSPTPLPQKGGRKSLDHLRSISPSPASRQASLSTPPSSLMDN ASAGASSSAFKKAEKPPMFSRRSEEMLRKSTEAAPTKKEIRAMQQRTLGVASTATPNP PADMDRKPNGISLKKSSGALKALFQRKDSGKGKEKERSETPPSRRRPSGDELRRPSTD ELRRPSMGSRPTPSPVQHRDSPESQSRASFSADRTLYPAPPPMLRAASTGTSPLLNVA GPSRPRNPFREAPAPPQTVSPVSAPSEQSPETPSAEMIPSSSLPYLSAMANRVSLAPP ADITPASKIEDLTAQAKRSESSASITRASSSTPIEISPIKHSKSLHLLSLPDLDLDFD FGFEKFAGHPNLAGPPTTPRRSPKSPRSPYRKAKASPKASPARSYSTRSPRAAPLPPK LQRTASERRRSQSFDGPAGSIPTLDDFWKSSSDMGVALNPSYITPSVAKFFASASSSS APMLSQGSLSPEKPLPPAPVVPEYGPDRSRSRSSSSRISFSDHVRTPSNASSTNETPS PSPPHTPPERNLDGLGFGDISPEGTVIAETSAVPVTMETKQEPVEERKSAPAVLSIAP DIPLPPAPEPILASPATIESAKPEEKKELQKSRQRSMSLMSKSQIVNPDPSFNIRSLA MEVERLLYSFRYPSTGVTSADRATLLRNDLLNLMLEVDKRAYDPSEEQAYTMLRAACF EWADALLFELRVEQPANERGACLEGLAAVVESACLSEQALKNSPIHLAKFTQMMIKCM TFVMSKLGAKGVFHNTLLFSGRFLAFAFFRVPHVGEQLVTVLQPPKGALMRFTKNIMM GVPACPVKPGYPQHLLPLCFDNSRAYSARLAALSPEFPTEEERDAFLFQPGNWLRRWQ SDDSELFPAFYRAYHRQLAIYLGPIIQYYEALNRPVPASELMRAPGYAHLATIFAKKC HSYILGSVNAVTTSSSSTNFEATETAGFRGSQKPPVLETANRRLVETISTFANLRVMI PDFSTKKGRMIECDGTQLWTDIIDVWTKNLISKTSLYAPKGVFSLFDMLDGIVDPPFE TTASGIGFGNNNNGVVDIQQQPIYSLLDIPHLIYVVKLILTEGEHALTLVKAIAFVFT HWEVLTARAEDRKELCLELLLQKDLFERLLLFWSQSVRSYILRLVVFRLGHIHTKKED SSGHLVEIESVKLLQTRLDRIKRRHDELEPKPYGLDEETKEEQFVPTTPVSEYGFNGI PRSKSTITMVAESPKYMAPVNKAEKLLGLGLGIDGQSESHGKIQNQVEQGNDVTGGPG SSGKIGKATNWLKKSFGTKKKRKDSSSPSPPSNTVSPMLGESDASSSSPSASPNIGTG QFKPSPRIPEIHTKPPSAPSSPELSPTELTVAPSTARKGKPPTILTGSPAAGGNTGSK SPNTFSFEFELPTTSPRSDTFDPTPQPQPSSPSSAARRTSQSPPPPPSSPGRTGPPAS PHMSRSFSKRSSLLPPKTASALEGLIKEEDKEKLRSLDSHSSSLSAGTKEEDKGYDKR LHAYAIRMLAELEDAQKEYDEWWSDGGVGKMDGAPPRLTVAWPFHDGED I203_07622 MSSSTPTSNQNQPFKTDSLLPTSLPSATLGRRRHISQTQTSQTG ESENLNTEERFQKGLDDEHEKWNERIDKEVKGVVEGLKDLVELANIGPNPSPLQSSTL PLHLPLRTSSLIRSAQNLRDIAHELKLLLVLGDEQGLVQRRDYEMDLVRKEIQRKRGE VGKELGGLLGLPLDEQQGKGEQQEKMDDTPTATATDNDGQDVMMDQSENILRDQENTS DIITESQQPFPEDTAPQQQQQHQQQQEEEEEDSSHPTINTNPNANSESMEVDQTNEED DEDDFEEVS I203_07623 MLLKRLADTLPAELASLIPELDSAGIKTTESLIFSSPSTIFSLV PILSNIQLEYLISTCVRLTGPDCISGDAYEEVEGSWQRFGIDSLDELLKGWDGVGVIE LAGPRKVGKSLLALHASLRILASDPEAICTWIDTEGSFSPERAKMILEEWHIDEPNSV LERMVVINAFKLEDMFETISQLKNSIDDPDARETRILVVDTIFTHFKDLLSATSAQGH ADLITLMEEIAEITYSRGMVSFIINSTASSFPTNPQSSFNKMDIKPALGASFTFTTDM TLLIQETGRVFGLIDAEEKERIRSKPGLRGLVEVIRSRMSGTGAWTVFETDGIRMFDV TPPHEVDERTTRISEGLPTGPYRPKIGSLAQTLIP I203_07624 MTQVKPKSAVIPQPSTPSGQSTSTMTSSPPLSPSSSHPHASDDE LTSDLSESWMEVDERSSVGLSVLGDVVFSDTSSDSHGLEHETRSQWSASSDGGRDGDV EDGGAVILEPTHDDEIGSPSLTGYTDAEASTCKLDSSRETLHTSSDQIRLIYPDGASF TTSSSGTLSGGFTPSASISALTPMAQPDQGRRPRAGTSIVQPTISPIVALGSMSPRRS SSPVRRGVEDSWLKSSKLWTPPTDSPNISLEDRSKYQLLQSNDDIKETDEDDKASQAV EDLEMPKLTIGNEEVGDETLTGTRIQSFLKDLDENISEKSFPSEAAEAAESVRDDIVD DMETQQVLAADNIEAIKTIAKKWSTRVSYFALASILSVALIRTFGSNMFVPFVPDYKD SATDIGTTSMPLETPKSSSFWGHLSFASHASTSSASSASTPTTAAQPDPRLIEQALST LSSIHVRLSSAAIIKPTADTGYQKTDKDDKARRNNARSSTSCCSLSVRDNNVALTVPP AAHPPDSRVSLARKFTQKLLRVNKTDGPDMNTTSTSSAPVVDCSCSLSTIVQSQILER IIRPAKAYALTTSRYIDAVLGPILHSTGRLLGSGLYATNQALHQASRGANALKNRIKH FFTSHSPSSKEESLARASAMFDSLSEYVETRLDALSDSLDEQADIMHEKSMDCIIKAK KGLDRFISDYKAYRGAEGDGQPKIKSTDVEKDGPLPFTHMDSSCQLAKGGEGCKKTRR GQARHEKRMLKQEWRLRGKKVNMNIPPMEKLSRGKKFMDMLHHGAMALVL I203_07625 MSTPPSPLPFHVLSAFSPVPGTGSQAALINYPSNADPRWLDDKY LLKVAGDFNYTATVHVAPTGQKGEWAIRWFTPKSELALCGHGTLSSSYILLKQHPELD TIQYINLIAGKFQSVRLSASDKEVQISLPSLPFDVIQSMGHGSPRSHHDTVKLIAALG VRQTEDVLDISEFKYGSHKSFIILIRGNLGIEGIGSGYKGVASGQIIITQISPETDSD LVIKSRMFAPGIGIQEDTIFLPTRLQQDPTSLVIHATQLSERGGGMKCILGEGGETVR LIGKVREFGNGYLVDDDDDVD I203_07626 MSLLRDSPAHHAPSHDPCKPRSSSSRNDLFTTSWQPELPPPKPL SEAAAAAGPSTSSLNSIFDLGGIGGQSNALPTSMKKRGELGLKMDLEGISNSFARNTT TNTGGEGMGVDEFGVSTKLQNKSSYPTLDKNDISPSPRTSSFFDTPIESVTPPPAPRL VSSLNGMSSFAPSPLNLSRSSSAIRKVPAPLPLPVQGGFIDSGIAGPSRQEQKQKQRS NDSYTSNSSKSAIEDPLSPLHQSPQLPPSGSGGLEGWKPPLPPSSDDIELRLVPNSTY LLGEGRYAKAYLASYRRKRKNRYGHGYGGGDGGSASMQVNEDGDGLVGGSWRLCAAKR LAPDRESQTMGLREAFFLNRLTGPTKHSISADRNGHDYTRRIRPRQRAVSPLRGSHQP SEVIDTQSQKRKRPCGSVYVVKLIAVKEDIEGFPTLPQTQTHARSTSDVLSGTGSGTD SKPIANTISVGDLRRQRSSTIITAHAPLPPDSTGTLPSYPSLPSLAQSVRYDQHQSAP SLSRLVLLLEHAPLGTLDRMLRTSPQLVGRKLWERWAREGAEALEWVHGKGVVHADVK PGNLLLTVDLHIRLSDFGSSLLIHPAHPPTDGLGLGTLPFSPPELVDPTQTFSFPVDI FALGATLYQCLTGREPFRGIRTVEMMHHVRKGGLWAYEERERFQRVGNEDGMSTAGSP YPSAWRGYSNTSTTGAGYPSGSGNVTVGLGGGVRRAGSLRVPPSYSREHLAASVIADG HGVAGAKPKLKRMTSAERLRASDEATSSESPSGVKLYANWVKSGPYANSTSTSNMSTP GPGLDAVTRLLSDDDELDVTSPTYGISRNSSTSLQKSQAQEDRLSLNRSTSLKSESPT TIMTMNTSDLPKGMMTVQLPTPTSPCSDHLPSMDQINDLLTENNSVRQHQISLNEAYQ DGSPSMLFLDGSERVSEEIRNVLKNMLNPFPENRMTALEVRMYWDELGLGLEDDDDDD GEGDD I203_07627 MESDPTLPPPVIPPDHTDEERCKLLGTTGLVVQASMGVFVILSL VVKRQLERRKRSWRIWVYDVGKQLAGQAVVHGLNILISDVVASVAHNNPCSLYFLNVL IDTTIGVGIIYLSLKAYTWYFSKYMAMEGFISGQYGHPPNPIFWWKQLAPYIMSIITM KLLVLLPLTLPGISKSLIDWSHNLLDHLGAKSQVIFVMAIFPLVMNVLQFCLVDQVIK AGGKEDEEDDYNERGGDYQRIRGHDDDQHNVNGRGDIESGLTRQISSNSINRNSRKGS SPSIVIPSSPLLTSNQRDYGSATPSPIGSPVKNKSILPGQTTDSNSLWSKLINKVSDV SSSTRSSSTVFFDAQTDLESNPNSVQSRSTIGGTSEGGTSRLYVRDERNRRGQRSAAP SPETMPFEASPSMSSIQSDTYSEEEQRSGGSGRTPSPPLTITNLEGISRHPPRELERE ARWTLSPPESPTVTHGNTTMTDSNDSVSLKEVNRS I203_07628 MIALQPILRCSHAIRPTSVSRRGVPTLIRGFASSIRLSEELHKT PLYDFHVENKAKMVPFAGWSMPLSYGEVGQITAHKHVRSSAGLFDVSHMLQHNFTGPG SQEFLLSLCPSSLDKLKPFSSTLSVLLNEEGGIIDDTIITKHSDESFYVVTNAGRSKE DKEWIQKKLSEWKGGEVKWDTLEGWGLVALQGPKASDVIKQMFLKDGQFDLDSIRFGQ SAFVDLDGVKCHVARGGYTGEDGFEISIPPANAVELTSKITKHPDVQLIGLGARDSLR LEAGMCLYGHDLDESVSPVEAALSWVIGKNRRAEDSQPSFPGKSRVLSELSSGPSRRR VGFEITGSPAREGCKVFDLTGTTQLGIITSGIPSPTLGKNIAMGYIANGSHKKGTSVM VEVRKKLREAVVTPMPFVPTKYFK I203_07629 MSDLHLPWSFYREQWPPYPPAPKGDYLRDKTVVITGATSGKFVI QLLSMGTFSSPYDIYLPRIGLQSAKQLADASPSNLILGVRNVTAGEKVLKEIQSTHPD LQGKVIHLDLASLSSVKKFSEEIRIYDMIDLLINNAGVNLGMEQIPMQVTDDGYERTF QVNVFAPFLLTCLLLPLFKRSSDPKVLFVGSDAHVIAKEDIIEGALASGSVDGPAIIR TFNDREKYTTATVYIQSKLLLQMLTRSLIKPLSSLNITIINVNPGLTFTNIGSDAKFT LSLQLVYIFLWVMVNARSAKKAARNITSASAWKGGSQDYWSSCVPTPSENTWLYSYKG IRGTEIFYEEMIQEVEKISPGCTADLK I203_07630 MEYTSTSSKKVVVITGATSGVGLEASKQFADAPPEQLIFAVRSV EAGEKLLGQIRKAHPNLQDKVMYLDLTDLQSIKDFSEAIKVFGRVDILINNAGINPNF DEGPYKSTKDGYERVFQTNVLSPFLTTLLLLPLLRKSSDPKVIFTGSDVHHIAPSDLI ETALQNGQSIISAYNDEGKYHNPTRYYESKLLLQILSRNLIKTLPDITTINVNPGLAM TNLGRDFNLSLSPSGIVGILWFVANARSASKAARNLTSAAAWQGGSQDYWSECVPTAS ENTYLYSGKGLKATQVFYDEMLKEVEKINPGCTKDLQ I203_07631 MTSRARQSLSLRPLLPPHVKSATPPSLTESSPRPPQATPFFRDP GHTIPTKWSLYRPLLRSTFSSDLLSTRREIRTRWRETQGLVSVPRVRSFIAEYHDLLT YLNSDDISHKEEIRLLEDKLKAKHDKLDLDLETAQEAKQLQEEERRARKSKMTGSFHR PTLFNPPLPRLKPQPTSIGSMIHRRLRARERRMERRRLYAGLLTDMKLEVGFWKSINP LESGDDWSKSKDPRSPGGWDGIIKNELKVMDDRFRNENTRAEMVFDEGLSERISRAKE KKGSWWKGVKEREKAQKGEGT I203_07632 MNHPLPPRPDFVPSLGGPSRLSTSIILPTTTAPAAPIIKPTVPR VDPTRCSSCDLPQPKYTCPRCSKRSCSLECSKKHKVVDECSGVRDPTSFVPLTAYGQG AWSDDYKWLEEGRRKVTQWGENVGYQEMVNATKKPSKHTHTEKRKDGKIVKKRNTKKD LLKRQLLMAHNCHMDYMPMGMERRKLNQSSWNPRTKQLHITIHLTIPTCILDPSLPSS SQTKTITHTRVLFSSPSADISPLPTLSSLLTSPPSTSSNIIYVLPFQSTPSRPAPDHT KGQKLFYPPLDLLKPIAEALSGTSWVEFPIIEVMEKTTWAEGIQQGEFVVVPFSERPI ALRSKDSGWVKRKVENVDIQEDRAESPKKAKIDGKGLMALGDYGSDDEDDVDDDLDDQ EEEDDDVADDSTLEGDEDLEQEEADSEEPSTEVLQAVGAALAADLGGA I203_07633 MEKKAENQHTLSSGYNQTDIKISGQSSLAYPEMRELFERNDKWA EGVRKRDPGFFPKHYPGQRPEILWIGCSDARVPETTIMGCQPGDIFVHRNIANLYSPQ DDSLNAVMMIALQNFKVKHIVVTGHTNCVGCQTALNVSLLPTSRATTPLQRYVEPLAT LSRTLFVDKRPPSLDLLVEENVLQQVKNLAECDVIKNDWKRRGTDGVRVHGWVYHLED GTIRDLNCSIGPPGHTPGQRMVNNYF I203_07634 MAETRPRKALIIGAGPVGALTALSLHRRGWEVEVWESRDDPRGK DAAPSNLRSINLAISSRGLEALRSVDPSIAEQFQNEAIPMKGRMIHHVDGKQESQIYD PINGQCINSIGRPLLNQRLLESLPSQIKIRFQTKLSRVDLNNRIAFGSGAEKDKKDVH GTKFDLIIGCDGSWSKVRTSMMRMDRIDFSQSFIPHAYIELHMPADSTKPGGYAIDKN HLHIWPRHSFMLIGLPNKDGSFTLTLFIPFSSLSTLNSREAASRFFIEHFPSAVQIVG EKKLLDDFMNNPRGNLVTINCTPSAWSSHALLLGDASHSMVPFYGQGLNCGLEDVRVL NSVLEKHKISSTTSLGLGETDKDLELALKAYSVERQADLKAICELALQNYTEMRSHVL SPLHHIRRFLDSFLTRIIPSRSKELHLSLTDPFPTTKVRGWTSLYEMVTFRPDVPYSE ALRKERQQKEIMRWTGYVSGLVGLGGVGVVGLKLARKWLDRK I203_07635 MPDHSTSTSTSAASDAPKVRDFACFDNTSAQAQGNERQADPSGS PASGGSGDTINHFSNDSSLNMKSWYYCEEPDSM I203_07636 MSSSSDNHNTSSTDNDDRSGNDQSANTDTSSTNTSSSAPDFLSM LRTNTSAAEEFSWHGPDNGVEGVWN I203_07637 MYRGRSFMPGRRALVLSTATVAVGSAYLLARPAYADSNPVPVYK KRPGPLWSPPSRAQMLEHLRTSGVYVHRTLDGAPEPGAVLKKDEAESEGEDVFDLLIV GGGATGAGTALDAASRGLKVACVERDDFASGTSSKSTKLVHGGVRYLQKAIFELDYEQ WKLVKEALKERRVFLETAPHLSSMLPILLPIYTWWQLPYYYAGCKLYDILAGKENMES AYWMGKGKAMEAFPMLKSDGLVGGVVYYDGQHNDSRMNISLVMTAVQHGAIMANHVEV TALHKRPDPSRGGEERIYAASVKDRMTGEEWKVRCRGVINATGPFSDGVRKLDEPTTK EIVAPSAGVHITLPNYYGPKTMGLLDPATSDGRVIFFLPWQGNVIAGTTDSPTTVSQN PVPAEKEIQWILDEVRNYLSPDVKVRRGDVLSAWSGIRPLVMDPAAKNTQSLVRNHMI NISKGGLLTIAGGKWTTYRAMAEETVDAAVKEYGLKPNGPSTTEHIKLVGGHAWSKTM YIKLIQQFGLETEVAKHLSESYGDRAWTVASMAATTGLSWPLHGVRFSPLYPYIEAEA RYAVRCEYALTAVDFVARRTRMSFLNVQVTLETLPRVIDIMGEELGWDRAKKETEFDN AVEFLKSMGLPEQATKIKLADVAKSHGNIGTLGLANSEDAKLYARAQFTPDEVSNLKK QFEEFDFDHDQHITKDDLIHAMTNMGYDASTELADSILREVDFGRKGFVDFQDYLDIA AGLKELSLESAFTHLAQLDSSRKIGEKAQIGPHADDASSQRDTRRKIPVERSGGGT I203_07638 MNSSTRSTGLGGLGGSTSARPPANTTLSSLLAQANSLNEVDYDS ELPQIRFGIDDIERMSEAVAGRGKKGRNEKGEGFNLLSNLGVNTSQLTHNIAQLPNAA EPSTRPRRRRQPQHHRLEPLGDIGPSYGIGDGDIGAWGRNWHEMVILGGIEVQRQRTI NSFQKQFQQRILQNWELEKARVLQDELGVTDEEIARLAGSSNGGLSGSILGKSALGAS TRRFPMAQSQLGKSTTESREGGLVMHTKMVRYERVIGELNQRRLRKEPFELCQALEET VKGDSKHPLLPASYHILAHLTHEPSLRDSADYASTSAEPAYAPGEPVQERQYATAYLG DQRSNHAALLRGRLVVGGRRFLERDFERHVEETIAKNPKEAALGGVPGIRNKIRAFVD VTLRSKESREAYRPETVNGSLLWAQLYYLVRCGYLDEALTLIAENQQHISRDDWTFPG AFKTASSSSERRLPKSQRDQLYNDFNAHIRNNPNVDQFKYALYKLIGRFELNRKSVKV ASTTEDWMWYQLSLVRESQKDSDSPQEQYDLIDLGKLVLKFGNDKFDSNGTKPLSWFN LLLFTAQFEKAIAYLYSKPQLKTDAVHFAIALAYYGLLRVPSKGEEADLLITDDSDVS YLNFPRLIKQYISPFFRLEPQTALQYAYLVALSSDSPNPAIGQKQKQLSLELVRDIVL SSRSWSKLLGSVRSDGTKEIGIIEKDLKLLKLVDEQDYLKQVVLSAAEQSSLDSSLID SIELYHLAGSYDKVVENVNRSLGQSLGQSSSVDVGSTNTEDTIGLSGAFGGTNDIYTL ALKVHDVYENDFTKRTRVSRLHWDTLEVLLKLKLGLKQFNENRPDLALETFKSTQLLP LDADSSSISKYAQNFRDILDQPVISNLDEIIITTMKCLHLLSQNLKNSPYGDHSRLIQ LNLLKHQSQCLIQFASTLRLRLGSDVYRQLSSMSE I203_07639 MAGPHYDFLIKLLLIGDSGVGKSCLLLRFCDDAWTPSFITTIGI DFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEKSFNNIRT WHANIEQHASPGVNKILIGNKCDWDEKRVVTLEQGRALADEFGLRFLETSAKANEGVE EAFFTLARDIKTRLIDSQPQEAAPVSLGADRRGVDVNKQSNTSQGGCCS I203_07640 MSDNNSNTNQNQYQVSTSTSVAPSSSGSTNNNNTNNNANNSDGG NTPKDRHEPRGRKPNDKLPPSRAREVQRAFRLRRAEHLATLEERILHLEQENGSLRAL LNLPLADRGRIGSGPTGRGKSLKEGGVPMSERVRARKEARERERRALGLPTPTVESSE NETNDEMSSRNMRDSETLSPRASLPPPPPIPSSSTSSSFPQHHIQQPLFRDTNTSPQP FNYQLPMPFNLPVSPDPQFPDFTTGLNTSDLYKNGSSSTGGNDSTPNFGGMFSMFDTP PNIEQQQQQQQQTNESSSSIQNNNNNNNNNNNNNISPISPPITTPQPVQLDLLTRLKS CCHVSDSHVVNDPGLLVFATRLCQQYGCSFSGQHTDAHPRSDNDNLTLEDSWKALKNT LEPGGSDPDGENRINTGKMAAELVIRAVNSRSGNMVGNHSNWIMCRFREGLTIKKGMI EALVQGLGGTLE I203_07641 MLVVGLTGGIASGKSTVSKLFSEHHHIPIIDADLLAREVIEPGT SGFTTIVNHFGPDRILDPNGVLDRAALGEIIFNDPNERKWLNGIIHPLVRREMVKRTI RYWLKGEWAVIVDVPLLIEAGMWKWVGEIVVVYVNEKLQLSRLVSRPLPNSPPLTETQ AKSRISSQMSLSEKINYSTYVLDNSGTIKDLEVQIDKLVNKWKVSQGFGIGIPIIDGW WYKLCWLVPPIGLTAGLMVLISRWMKYNFGGNKKGRRKGRGEVERNWKPEEIELRERN GRPGGRRRTGGSITDE I203_07642 MSFPPSLDSDSVNLSSLSIHLPNGLGPSAFNLSPPPPCPIILNI SMSLRDGSILDTATGDSMSGLGVNYSAVSKAIYALISSPEKVWNGPWELLRKVSTIPL GLTDVDRVKIGVIMPKALLHGDSARYKATYSLKEKEVVVENRRCEIKDIKLECIIGLH PHEREEKQRLEVDVEVGDVDWDEWGHKDFADQVYEVVSNSSYGTIESLIHSLGSHLFN LPILSTSPSSSLSITIRKPSAIPFAVPSITIHRTKSNYPLSTSSSSSSSSADKRIFIA VGSNIGDRVDNIQKAINELQNHGCQLKNTSRLYESEPMYVEDQDRFVNGVIEISTTLS PLDLLRLLKRTEKSVGRTKTFTNGPRVIDLDLIFYGTEQVKIGERGDEPDEDGVGWLE CPHRSLGEREFVLRPLADIAPNIVHPSLRQTIHQLLSRLPQITPPPLQPIVPFSGPSK PLRLSTPSTPYIMSIFNATPDSFSDGDPARTDPSHALEAVEKLFEGDDHPDILDIGGM STRPGSDPCTEEEEFNRVIPLIQAIRSSSNDHLRQVPISVDTYRASVAKKAVEAGASL INDVRGGSEPGMLEVMAESNVPVVLMHSRGDSKNMNSAEMTDYASCGGVVEGVKQELN NLVNKAIQSGVKRWNIILDPGLGFAKTHQDNLVLLKDLNKLLEGDLKGYPMLVGGSRK GFIGKTINKAKPTERSFGDAALNAHCALSGVVDLLRVHCHAEARDTVKMSIAVRDA I203_07643 MAPQHKHLLNNPATLVVDSLKGLVNLNPEVKFDEGQRVIYTPPT KPRVALLSGGGSGHEPAHAGFVGKGLLDAAICGNIFASPNVAQVRRGVELVTREKGAL IVVMNYTGDALHFGLAAEQHRASGKPGDVRVLLVQDDVAVSREQGTIVGRRGLAGTIL VYKIASALSDQGADLDSVEDVAKYVTSRLGTIGVGLDHCHVPGTKVGESHLDDNQLEL GMGIHNEAGTHKLELPSIAQLVDQMLSTITNTNDPERSYVPFKNDGSDEVVLLVNNLG AISELEFGGITGEAVKWLQSKNIKTRRVLSGTYMTSLNMPGFSLTLLLLPGKSESSTY SSSQILEYLDAPASAPGWAWTSGKEPGVVGEKVDEVVQEKKGKEVDLAPTDSSEFLAA ITRSCKALIAAEPELTQQDQIAGDGDAGLTLEAGAKAILKVIESGKLAGKNVIEDIGV IAEVVEEDMGGTSGALYSIFFAGLGKALRDAANQGNKQTTPDVWSGAAKQALDTLYKY TRARPPSRTLVDPLEAFIASLPSKGLNGAAEDAHKAADKTKELVAKAGRGAYVNQEDL KKREVPDPGAWGIWRIVDGLRGFEA I203_07644 MAAEYILSHFPRVKEDMTVEEYMKEGDRKREELFRKVEPMRGAA ALVKGLYDAGIPIALATGSTYENFQYKTTHLPHIFSLFPPSCILTGDSPEVKPGRGKP KPDVFLAAAHSLGEDVGTAEECTDAQRIERSKGIVFEDARPGVTAGVAAGMNVIWVPD PELLALDPEANFGAKQVLSHLEEFNPEEWGLPRLKGFNHCLPTSETISDSVDPKNL I203_07645 MITLPPSINRLVLLFILGTSLITYVNAAAAAPADKLQPRAPQPS RRMGAQKRAKKVEPLKKKDYSSFLCPGGSVACPIPTSDDITPESVNNLETSLNSLADW FKVGFECVELDSELNSCGGCLALGAGQDCSLIANARATGCESGTCQVYSCFDGYVVSP DRQICVKKGTTTPATPITAIGLESEDGGGDQIVLS I203_07646 MSVKEWTPSSWREKPIAQDVIYEDKAHLEKVLTKLRRLPPLVSP VEIDRLRSQLADVAAGKAFLLQGGDCAELFDDCSSDPIEHKLSLILLMSLIILHGSRL PVVRIARIAGQYAKPRSKPTEMVDFEKREILSFRGDNVNGYDKSDRNPDPERLLGAYF HSTATLNYIRTLLLSGFADLHKPLDWSFSHVRSPEIHKAFSAVIESLQDSLDFMKVAT GAVGGGERGGMETVDLHEALLLEYEEALTRSDQGSTSRSFSPPASKPSTPAVSRSVSQ VRVGTNSESAYPHSPVRPPKSPSLANSLANSFSDIRLENTASKKTEKWYNTSAHFIWI GDRTRQLDGAHVEYFRGIANPIGIKTGPSMKPEEIVRILDIVNPDRIPGKVTLIGRYG ADKVDQFLPAHIDAVKATDHVVVWQCDAMHGNTKSSQTDPSLKTRHFVDVITEITKSM AIHREKGTILGGVHLELTGEVNEEGYSVTECIGGSMELEDKDLSFNYRTHCDPRLNFE QGLDVAFLLADHLRSKRKGEQPKDILLSSLRGRSGQPQK I203_07647 MTTLHETIIPTKRVRRMTVLISGSGSNLQALLDSALTPSLPNSQ ITHVISSRSNAYGLTRAKTHVPPIPTGVCALKTFQNRNPGSSREDYDCEVARQIIESK PDLVVLAGWMHILSDKFLRILNGEIPPPPPPALPPPKVDSLPSQTEAIPSLKDLSLDE KPTKDTDKTEKEEEKEKTSEDAETKVVKKDKVQELPSPPHTQSFPIPIINLHPALPGA FDGANAIGRAYDAFQNGEIENTGVMVHRVVAEVDRGEPLIVRKVEMKKEDKLEDVEKR IHEVEHQIIVEGAKMVLEELDKEGRP I203_07648 MSRPLLASLRRPLLRRPAVPLRQAQFQPLRYASTTPSYPSARRR FISSTLFVAGGVLLIAYYYDSRSLLHEHVIMPLVRLGFDAEQGHKLAVRLLSLDKWAR PRDMGVDDVGLQTELFGQKITNPVGIAAGFDKDADAIDGLFDLGFGYVEVGSVTPEPQ PGNPKPRFFRLEEDSAAINRYGFNSLGHGHTLARLRARLVAFAQSHPSLFPSPLPLNP LPPAGIPRSLRPGQLLAVNLGKNKVSPAESNEDYIKGVKLLGPYADVIVINVSSPNTP GLRALQGKEVLKNLLSNVVNERNNLKNSDGLPKIAVKVASDLSEDELADVAYAVRSSG IEGVIVSNTTVRRGELGLVSDKQNEIGGLSGKPLFPYALESIKTLRPLLPPSIPLIGC GGVSTGEDALKMARAGASLVQLYTSFGYRGVGTPRLIKDEITTQLNTGRTNWTGQVGK DYSNGQMGWDENRIKRESDNIKKEAENLGDLLRHISEKESMADLINRAEQALGRGKSE SVAEGPRDNLAGSTSSTSAGSISNQGNDVAQGLIESGGANIQQGAEGQQQGRLIEDTP TQTQTPSSIQEAMTSTPESIDLTPRLVIVDHPPIPETRAEEREDEWVQSVRSGQRRLV I203_07649 MVKAIESHQEFKDLISGSQPVVVDYWATWCGPCKMISPHFAKLE EKYPGVKFVKVDVEEQDEIAKEAGIKAMPTFIAYKDGQPVETVTGAVPAKLNALLEKI SA I203_07650 MPSSVHSLSDYDEADTAFRHSLGLGTRRDREDFVTFQDPDGGVM KIPRSEYIAMNIDLEGQEMSEGDVMLARKDEEDMTSARSMESLAKSRSLPSISSTYPF GPLVEDGGMIAEDQVPEMEDDFNDNEDDDGLSLPDLSEDGSVIESPLNDMHDPMEIAA TLPEPSISITTPIDSQPNTSTASSAGKQTVEEFRITEGPPPWVLREFETGGGHGIAIS PDGSTIVKRTHLQEIIVYERINCNDSPLRAIKDWVPNYEGCFTDHPSVLVTVPELSYP FSKADSSNSHLRPPMSRRPGLLRNNTSDTHSTSIMLENLKYGYKPSTISEFDIKLGRN MIDPWASDNTAPKLKRMEDQVNDTTSKLDAVRLIWANTAIQDAITGEWDHVKTDKYYG KSLKRTEDDHEREDCDTLNDAFVRLFPSPRDTIVFKGDSSRSKSTQPVSAFGSDLERY RHDELLNPEKNPRRFASTMSGVSRTLPDLFDLQRMNKETDQVDLMISTMRHIRYRIKS LKEAVKQTSWRFVGSSLYVVHGEDEEELDEPNGLTNSLVEEDVNESQIDSYLHLIGYN NYNSKNNEYYPNLNTRISVDDLPDIKFHYFSPFYEAEREPQVKLIDFARTDYGEGPDK DVMDGLQTTIDLMSKRIDTLKDTKKDIQSQIQSTRDEIMNASRSY I203_07651 MRSIAVLSLVLGVVSASPLKRWAYSKYFDLQGHRGGRGETIENT LPSFAWGLINGVTTLEMDLGLTKDGHLIVWHDENIDPTKCKDTGAVEEGDPMYPYVGK YIANLTLSQVKTLDCGSLRLDGFPLQEVYAGSKLSTLSEMFDFVSCATDEEVLFNIET KVDGDYHNLTRSAEDFVKAIGEVYGQYNIWDRVTHQSFEWLALVLSKELYPQLRTSAL FDDSTIYKQLPNGSGGNLTMHGTGPSNWLAGLDIDNFPGDTIAERVVRAAASIKADLI SPSVTAGASLAVDPAEEGWIGFVNKTMVDTAHSLGLQVKPWTADRKNLFEYLFDIGVD GIITDYPHELRRLLEHKGTYPLAPVGDVGRIMGCLAKHNQYTETKGNGKGY I203_07652 MPRHPIAFSLPSPIPSLPVAPRPDPSPLQQSISNTLSSTDYDLV SLPLTNTAWQDRWERLCLRPVEEDEGLTPDQLAERDRERETVDLEADVWRRDGGLKRD EVNVSRLEESQAVITTAAEWLELDSPDEGIRFDSEIALRSEMAQALYLSLPVLIIPAP SLINRAFLPSYARAISNLLQMGGSSAFTQISIRIPISDPVELITQGPAPSLPQNTTTT PTGNKHKRISSLSTRPTSMHQAQLNQMINQANTPSSTSNPQNLRIASGASSTMSTKSS AAITGDPSSTWEMWDCIRTLCGYHPRLSVTLDLTNPLPPSVGALARWTAEPVRYIWLP VGSFIPNAKGYPVLSKACQAFLRGMSKQNPTYVLHGTTMQKHTAGGPNAYLQYVRHIT SQPPAPNGLPPSADEFTSGYADYLQAPLQPLMDDLGSATYDIFERDPVKYRQYEEAIT LALSDLPAGQTHVVTVVGAGRGPLVACTLRALSRADRQANVYAVEKNPNAFITLQERK AIEWGDQVEIFFGDMRNVDVPEKCDIMVSELLGSFGDNELSPECLDGAMRFLKPTGIS IPTSYTAHVAPISSSKLFHDVHQPSRPAGATETPYVVMMSQVNLISGDGGGVSGRCGE KVQQCWQFEHPRRDLILDSSGAPLTNTHNTRSSRHIFHIPHAATLHGLAGYFEAHLYS NVGLSIHPDNAHRVSPDMFSWFPLYFPLKEALYLPSGSELEVNLWRLCDSRGRKIWYE WSVESYLSVSQNIPTSAGLTPNGSRHVSSSGGLGGQPSPLMDAPFSPGFSSSNSASTH IGELNRIKIGQTSLHNPAGVHSWVGL I203_07653 MAVTMDRPSSNLRKRKSNGNLNSDNILNGKSTALLKINAMPTEH GQEMDKKLDEHQSYEFGGPVGVTAMMVGFPLLMYYLWICLWFYRGKFVYPTSVDDIRP FLNKMGQHIYEGAYPTKFAWVTYCGLTAIQLIFAQFMPGLIQNGLPVPSLGYKALPYH CNALYSWYATLLLAYGLHKTGIYRLPWTIENYGPIMTVALIVSFSVSILIDVCGRLFH YGGKPMRLSGNVIYDHFMGITLNPRLGRIDLKMFAEVRVPWVLLFLISLSGVVKQYEE FGRVSYNMWHMLLATGLYINACAKGEHMIPQTWDMFHEKFGWMLIFWNMAGVPFTYCY PVIYMARAPPSTYEFPLWGNIAMFVTLVTFYYIFDCSMAQKSNFKMHQQGEHNPRKAF PVLYGSDLKNPTYIQTKHGNKLLTGGLWRYARKINYTADWIQACTWGLTAGFNTPITM FYPIFFLAVLTHRCGRDFAKCSVKYGEDWDEYCKVVRWKFIPYIY I203_07654 MSSLISTPSSGGPSTPLNRLHVHSSIASHLSTELSFLQTLLLRA RDQHRTQLFLRRMHEVLRIGKILLKYVRDTSSFQTDENGWETRRLTGERLIYRMVKSL FTAQRFTSQIIELHHFLPLQTSVLAIYARLFTITMNIASGLGMDPDQVIQHGGQVKYQ KKKKLRTVNVDDERDMIGEVILSDTTKGLGEDMGIHVIELGERIERPSITPRTQESSP STSRRQPPITKSNDRQFDRTSSQIEQPTGLSSRSQSPLILAQPVLGEDDGYISSRLPD TIKFVNMPDDNDPTKDGRMEGITKKEKKRSHDLDLISDSISFPAQSSEELPEDSNDSK KKKKKKGVTVVDETLERPKKKKKKKKDAMDDIFGF I203_07655 MSQPTIIPLTPSSSSSSSSISKRKNISSATTSLGQESIEHTVQI LHQICAHEHVFHEFFAVLDKLEKPPVRATEGLYYDRKGLFVDPRTGLLAKREDDMEVL QGMMKKITNYQRVLPENVIPEYWLDKIEHNFLSALSNVQIHLRSVPELDHQAGLFAKP IPPILSPTSTKTRKRKVPNYASGKHKGDISVSGIEFILISFPSEIDDPVDLGFNDQLM FEEWHGEKEITCIGLGMARVINHRCSENVCWRFPRDKMDFHTGLQDVGCLIGYFNRKK KLFVGEQLFAFYSKNFARNECRCQSRAYHPPGPDPLPTPPSSTRSNVSQVHTPSYTNP PTPTSLSSQSRCRKSTNQGTTVGDPATKKLYADPDDNEDLDALRSISPPVAKRLRTVL SPESDLADNNEDFFGQKTSIPTEAEVVLEQPTHVVSLVEGLGVKGSSWDTPIEVDFDD ELLDGGTSLDVGLDDGTVDYGRLIVIMDDEGVEDEIKESDLAREEEDGSEMNGLESID EDEWQAIVKRRLCNSTTHGFNDTRVSVYPLARKEEEEEEIQFVKIVSKNRNWTENAVG GSVIERETEDQFTGRSSRSKLDYTGS I203_07656 MRPRNYGKGSRQCRVCAHQAGLIRKWGLDMCRQCFREKSKQIGF VKYN I203_07657 MTTDTTSLSPTAPTTRLNVAATQSDPELNKLDAVNGKLPNGHDA LDLADSAQHADKLEAKRLEHERQRHAQRARFEQQMQELEASQLAEERQLLNGNTPAGE AASAPTTPPGRLPHGTTASVSDNKEAPAPIGPPGREREGLNGAKSMPGSRRTSTYGGT FGMEKLSLSVMADAGRRDWTEDDDVDAEGAQSSVKYLQMGDDDPFPGIPKSDNKRLST ASAALDLAPLSQTPPRAFGSRPFETSLKTSEWPQFSAVPATANANTARGMTSPLPGQG LMSDDGRDPILGSRKTSPTGMADSIASLPAMPSKSVPATPFGFNSISGAKRAPGPQEN AGEGLSHAQRGFSNPDLARAFGKVGGGFSMNEAGRPYDAYNAFPPSGAFNPQTAYDPY GFDDDGYGSGALYPGGSIGLKNKRADQDREFNRFTGVRIEDIQGELLPLCKDQHGCRY LQKKLEDGDPKHRDMIFNETYGHFPELMTDPFGNYLCQKLLEYSTEEQRSAIIDSVAN DLVGISLNMHGTRAVQKMVDFLAQPRQAKQIRTLIMALSLNVVALIKDLNGNHVIQKC LNKLIPEDNQFIYNAIAANLIEVATHRHGCCVLQRSIDHASPAQRMQLVTEIIFNSLY LVQDPFGNYVIQYILDLNDARFSEPLIRTFIGNVCSLSVQKFSSNVVEKCVRVADPEV RKGLVGECLNRSRLEKLLRDSYGNYVIQTILDYCDIGQRMLLVELIRPILPSIRNTPY GKRIQSKLAREDASFSPYGQGNGYGGNGGGGGGGRGYGRGGYHGSNRGHIGRPQLQHV NALTDIYGGGGPFMQYGGGHMHPGQAHFHGGERGGPGGPPPPQMGPTHTGMSYHAPGP DGQPWLHLRGPTGGPAPNWHLQDGPGGPPHPHGPHPGMQGQQPPSVETNGAEVILPEE SLVGGAGVPAGNWQDQQGQPFVPYHNAPPMM I203_07658 MATLVKEWEGAILQKNTRAEDVRRGTKEGERSKDPPEYHSDRGN QIGGPPTRGPPPRKSAPFEPEDSAIQVLHQLCVFEQVLQEVIAEKDGLKISPIRASLR IELYFEDIIKPFLVKLEIEGQIGLYQQNALATKALHPKDLQFVVFSFPEEIDDISEAG FEEGLTFEHEVDGREITLIGLGPARTINHSCEPNVYWELETETLDYLPDGSLPNIGFT CLPIERVEGKSINRGQHLTAFYSDYFAENLW I203_07659 MSKAKLTAEPKPNHTESPSPFHSLSSGVDSLPLSSGIDSLPETL PSDSPPNASQEFATFAESLSISKTSGRAQNRVESGDVELSTELALAHQVCAFEQLFHE ALAAFHGTKQPAMRSSLREKIDRSSVKGVKRELKGVKGTEKEDDIHYLIGLLEKITDS QRNAKDNIINERLLTLIQDNFINALLNRQVELRTCRNLSDNQVGLFRRNTSTVERTTK KRSRSGKDRSESGFGKMDMTGIEYIILTLPTSKIRDIDDAEKHRFHPGLCFERLRDGK VTVMMNLGFGRSVNHACICNVDWEMPDDELYKLIDAADGGVATQEFEFVQTSSIRPGE ELLAYYSEFFAKNFCTCRWGDKEDHNYSSSSSEHDSSPDYTDKKSNKKKRYTKKKSAP LSNMKTEPSDLTERPLKRNSKENMPMPEDADRTVHEDGSTLKASAENDYLENDRGEAL PCTPEVNAYPNEDSYPTFLPYQPKNLQSSHALQTGLPEGEPKDTAHFHTSPQHLSDSP TSLTWGFTDADPEERIIGLSAATSNLAGDIQDKRYDELDRATMDLNSFPWTPVSTSRS KTPNPVTAYQNTMIPAPLAQKATKSGRSGGSRKDRARGI I203_07660 MPFRARKSSRTANPASRPPSPPPPSSPPLRSLSPEQDDEEEDQE SARLDDLFSPDSPTLTPTDSGILLKKNDKDTLSVLHQLCAFEHVLMEFFAGLDGLKIA PVRGSKIFKFDRTEMFDNSDTGRQARRNDEIGGLERIIERIAHSQKVAKDNIIPEDYL DRIRDNFIAALRNPFLHLRESKEVPGQVGLFVKPAEAPSRIDVLTRKGKTPNMDGIRF ELFAFPRKIKKPEEHGFLDDLTFDYKHKKPGEKEGKNCVLMGLGMARVINHHCQNKSI EWLFEANALKFESDYKIGRMSSKLVKLPRRMLKPGMEIFGYYGDEFARLDCTCSSTSL HASTKENHVAPAGPSTHHYRADTPMEYPTSRADSMDLNTIHFEGSSRLSPARDCRTPS YSDWDRDHTLSEGRKRSLRRQKKKRENGTTQGEEDDNEVEYLTTISPGESSTTRQTRS STQKQKKKRLQRVDSDTEEDDVPGTRGRTGAQRLEEDDVFASDDDETIEILDGEATTN PTKMEILDQLTRLDTKLNKHMHRLKTHEDSLVAIVSELVDLREKIGEDRKTMERCRVK QRMLVDQLRRKDKGKGKQTLRDRNVLEIPVVNLEDMAIDEEGDGCRNKGSSSI I203_07661 MSQWYTQEWICSSDGKRLETTREMIRSFDLMKQANRNLYRYILM GRGVWEIARAQVPKDIAWQIGRILEGTGRELTLAGTFQISFEKTSAFLAELAFIGQYI PTWFRLYYQDDEIEISSISQRLHRMFYLLTESTTHLRDQDQLRGNYVELIHLVFDEDE ETKSFDISSDAKTSLRIVDKLDLWPLALSETDLQIGFAPDSLRISKNQYSESVELSPS VYALSRDILVNANDNRFGSWFPYVLVHLNTGTSNFNKTRLENDTSILLALKLYHGLYS QAMHTIPVDCELHVRETRYVFGIRVLADWVEVVMGFLNGGKVLVVPIFASPMSIPSKY LQFVNILLNIKDERDIRKSIIENWLWCSSGRLSEKLLLGLARNRSSASTALQHYFNSS GPAFRTPTKSPEEIDMKKRIDKWLEDNHDHRHSVERGYLLTL I203_07662 MSSLARPAAHAARRAPRRSQPDNTIFRNSTPPPHLLTLADLSPA QIKTLIQNALAMKYVSKHRSPRALITTLDKRTIAMIFSKRSTRTRVASETSVQALGGH PMFLGKDDIQLGVNETLEDTARVVGSMVDGIMARVGGHHEVETLAKYSPVPIINALSD LYHPTQILADIMALHEHYAPTPNPPEQPAEGEGTHTSVLKYFQSTVDPLKTLKGKKVA WVGDSNNISNELLVTLPRLGMEFSLAAPKGYDKVDERVWARVTEAGTQPLVKLTNTPS EALVNADVVVTDTWISMGQEQEKVERLKAFEGYQITNKMVNDAGAKEDWRFLHCLPRK QEEVDDEVFYGPRSLVFPEAENRKWTIMACFE I203_07664 MFRSRVLNQIANPASSSTASSSQPTHHLITLIRSPIGLPASSRK TLEALGLYRLRESALHPFGETTAGRILKVKELVHVANVTKAEGEVLRKRRRSEGSGLE PSGRVYGGGKGVTESQI I203_07665 MGLSRSSRIITLLVIDTLIPCFGCSQELVTGYAVGSLALVADSF HMLNDVLSLVVALYTIKLATSPSSSANSYGWQRAEILGALINGVFLIALCVSIFLEAI GRIVSPPEISNAKIIVIVGSLGLLSNVVGLFLFHEHGHSHGHSHGAIALPDDDDENAE EEREDSVSELYQHPAETRAQIIETAQEFGYGQNNQLSNSLDSGLNNVLKSPPTHHRRA LSNSRRSGRHGSISSRPGETRKGSASRIPPSAGQNDTLPPVGGSASTTSSATVVTESA PAAPAKSKLSVAHGHDHDHDHEHDHDHGNGNNKKPLASNASDAENGHSHDHGHGHGGH GHSHGSMNMRGVFLHVLGDALGNVGVIAAGLVIWFCQGRWTLYFDPGVSLLITCIIFS SALPLCKSASYILLQGVPSHVSLEAVRKSIVDVEGVDSVHELHIWQLSESTVVASVHV MIVSGQDYMDVATRIRQRMHGHGIHSVTIQPEFYADEDALSANGEACLIRCPPDQCQG DTCCPPISSKLDDDSPSHEDDHDHDHDHDHNHNHDH I203_07666 MMHSGAGVPTMAYYSYSNQNSPAVETANKENPFDFPSVNLPPRL KNIGRKISQMSNDFLPTEEDMTSRKVQLERRRSHEMEVPGMGDEPVMCPFCNKPLPPS LLASQLLSGKHDHNHQNQNNRPGNVRRASSMRASSNTSSPRITPAPLSRVPSASTPPA KPPLLDALPVKTPEPAASKAVEAPIPSATSETFAATVKEGDVANHDAAEKMITEEDIK RWSTLSGISIPKSSSTVVKPTEPTTIVSPIPKPLEEKKAFPLLPPPPPAASKLTKPPP TDRPSPTSSSKFNLFRGNKTDAAEEDESDDEGVATGYTKLTGPASDSEDEGESKKRVE EKAEEPKEPEPKDSTKEKAMPVDASSPGSNYSELADEQKSAEVVATADPDEVKKVLQE VLGRVNELSKSQAALLASHSTLLTSLKIARSNLAMAEANSEMLEAQLKRASATSAASK AGTSRNVSGPATTTPGTPQLSATASRASADHIRATTTSNSVASPASAARTPARVSLEE RTRPTSLHITANELAGAGGLSAPSPGGLSSTSNGNSWGFWNGGKKKVTGALSHVHVPS ASSVIDAFQQPSRPGTPNPDGQPRKSTDSISNWIPTSPAAPYSVPLAGASARPQPSRT ATHHSVLSKSLNEQPSLSRSMSVMNVPSTASQRSVSARTSSTVSNEELSTLRQAYSAA VAKMDGMSKELAELKKGKVEMEAELENLSQALFEEANKMVADERRRVAELEDSLKEVK EEREALRETIKVLGGKVEDIPQITHTHPEEDKEDSSKVEEERDGDEEEEMFRPRDLDK HYAALRKSIHHVASGADLHEDEDDAEAEGRPLVSEPAPLAPSLGNSISLGLEVPSRSS TGLTEEDIERPLSRSMPSLPAAAENNPWATVAPSSPPRINVKAATPSPHIPLGGLPDL PSDQAGEGEAPSGLGLEVDKGLVEGAVAGAEEKRVDND I203_07667 MNTDRDDIPTESGDRGPSNSETRSNDDDVKGHSLDDDIQQHELF QYNETSDLSSKDKSIEVSSQCQNEIAQPHPINPLNLHLVSHPSRGRGVFAPTIIPAGT LIEESPVLVITQREWEEGKMNDSVLGSYGFCWRDGGMGIGLGLASLFNHSSSPNVNFI RQFSNSTIKFITTKKIEKGEELCICYSADESKLWFVKSDQAVNPTNSQDEMVSSEEEE EEEGMEGFFSKIDLDEEDLVNEDGIAQKKERERSTSTPASATSSRTSTPSSSSIYPEA SSGIITSLPPPLHSTKTDPKSIDGRYMELTDELDWDEEYWTDTAPYPTGEGREDEFAE FIRIKGPAEREDEVDQDGTMEIWTLEFTDPRLTRTALDFSKELTATDERLRHLKRVCR RKENDQEICRIVLAMVTEYEQSTLQTIMASYSPTLSHLTPAVHIVPSSIARTQEQMKV KSHIWPVSFQPTPVIPIDSWDWPIGRKAWVTSGIKRVLSLALEAKSKGELPIAAYCTA QPSHFWPKKEEGFIPPTEGLRASSNDTRVSDNHPLRHSALNCIKFIAYLRTIPPFTEI TPTRNGADYLLTSLSLFITHEPCVMCCMALLHSRVKEVFYVFDRSHGGGFSGSGLGIN ARNDLNHKFHAWKYDGTVDERTKELLKFDEDIQV I203_07668 MVNQDFKNIVIIGASGAGHALVNELITTLPGGYRILLIDALEYS FFPIAALRAAVVPGWEEKVVLPLKTSTVFPFGSIHKVIAPNKVIELKKDSIMLEKPFE GSTEVPFYKAIIATGSIQPSPMRPSGDWRDINDYLEALRKSQEEIKRAESIVIVGGGT VGVEFAGEVRALYPSKEITLIHNRSTLLTRLTEDQPDGNKEIPNSYISPPTHLKLAKS LTQLVEKQNINLILDDKVIIPSEESNSTISNSNSNSEEWNGSFGLQDSLRDIRTENGQ VIKGDYIFLSIGNKPNSLLVQIADKDAVESKSGLVKVDKYLKVQSDILGREDYYAIGD VSNVPGLKTLYLAFLQAKSAAVNLVNEIHNKPLVTYNPGTFGGLFVPFGPELGAASIT IPYLGTWTVGSGMVSMVKGKKLLLDKWVGSWKGEEKVEIPI I203_07669 MIATAQIQSPPHLLHPISTTSIMPQQQPHASSSRGAPPAPIQTG GRGIKGFFSRTKTMSPEPPELPPSRSRLRSFINDTARVGTSSKSPPITTQGRTGLRTG VSKDGTAKANQRTLSSEVTSGRQRMEKELGRIRPVTPTERTQRQIRFNPTALPAMQKG DDGKWQPPSLSRGSMSTSALATLVQDEDDDKTARKGGEERARKGHKHTLSNLFQSTTR HKSTSVAAPIRRQPTDDHKTIKATPRDRTSDVGPVSTPQNHRPSPADSNGTWQQISPP RTPSRQSAIRPNPDNTTMKVLPQSKSPIEPKRPTHTVPLPPTPISAPPMQQSKSDPIR PTHATSTSPAAPARTTSSNSMPPPPVPTHARHDSSPTSILSREHYLLRLSTTFIVKSL TPIIKGSAFVQNNKNLEMRRIADERLTALARMEKAWGADWVRAANTLASTPSSTTISE SPAIGSTEAKVRLVYVGDRAKERERKAWMEAMKDGILLCFLLNDLFPAQPSHIPRLNV TEDGILRATNLTRFITACQTVGLPDTDIFGLADLQEGSESSIGRVAQTVIALARLAGP AAAGVSRAKSPKNSRPGSRSNSRPPPRAAATTTSPPRSPPTSPRRASVDLSSPSKRLS IDRNIVSPKPFPDGISMDRQGSNDSQNELHTKLQIATNAKAAVELDDPSSFKTPTTST FALPPAASESPAIKRTPLSRASTQPNISPVRPKSPTSSPSSRSITPVTRTGNLQIRPS LRPRNTTGSRVSVSFADNEPSSPRSENPPQSPVPLISHSRERTPSLISAGSRVTSSAY SRSSAAYSVATVLGGDHANAIDLTEDIEDEAMMHQLRERRASEKKLQDARQKIIGTLL SSEDLPEDLRRAVKDSPEGLGRASEEARNTALSNSLAALEGNKTAADPPTRIETSPGR RPLARRGMSIEIGRPDVNRVVEEEEVSSNGTSVAMSNDGSRPSALRRLSSNGKVYLPK RSASPASNLTSPTGTVFPPSPSVSNPSYMIRTTSLNAYQPAVGSAQTRPTDKAERRQS DGNPRSKIHQHGRDISVSTEESSRPLQIRINSMVNLPVSGMERSPSLYRENSSQSAVR ASQSLQVMEFREPGCPVVKYQLGNCIGRGQFGSVYRSLNLSTGQMVAIKRIRLHGMRE DEVTDVMKEVELLKRLSHPSIVKYEGMSRDEEYLNIVLEFVENGSLGQTLKSFGNFNE RLVSSYVAKILEGLDYLHSQGVVHCDLKAANILSTKNGNVKLSDFGVSLNMKAKRVSE VAGTPNWMAPEVISLAGASFASDIWSLGCTVIELLTGKPPYSEITNSMTVLFRIVEDE MPPLPEGVSDALMDFLKLCFIKDPHARPPAVMLFEHPWVKGLNPELQALRAQDSVPFL RRVSMDLRRVDSQRLFDNGNPSPSLDGAMDGRPHRHSMASSHARDGSGPDKSHVLIKT SFGKAIPCRVCLIDVKKSGVLCQDCGLIAHTSCASKASPRCDIHEQMALFTRQQEILQ SLSPPRMASPQPSFSFENREGGTPLTALPAKFLNGIIRSKSRGGLHSAGTSNPSQLDL NATGGETRRKPGIYGNGNISRPSLDSQQQPLPTSRSTSFNLQDHHRSSMYSNMTEYDN DLNEAKRRSGVHFELGESTPSAMPFPYNYANGAGAPVELTAENLALVGQMNNISETSS KTGGGHVRSRESKSDCCIQ I203_07670 MTVDQQELKNIVIIGASIAGHEAANHLSTNLPPKYRILLIDARS FAWWPITILRAVRGTPHQVLAPNKVMSLKESSVVLERPFEGSNEIPFFRCVIATGASQ PLPTMPGWNQTETEFIESLKAAQRDVEEAKKVVIVGGGAVGVEIAGEIAAHHPDKSVT LVHKAYGLLSPTPPDEIQGKVQKGGKEVGNYSSPPTDPRLSVELKKICDKLGIKVILN DRVIIPPRNPPKAWNGQFCLQPSLVTLKLTSGKSLEADYVYPGCGMKPNSKLVRDVDE GALDGELIRVDEYLKVTTNNPGESIFSKGRYYALGDVCSSPGFKIARTAIVNAQKAAT K I203_07671 MPYHPNHIFKGVVTKAGVMRKTVTVTVERIFEHPKILKEIKRHK KYLVHDEGEVAKVDDKVTIIHGPRTSKTKSFRLQSIDGRDTRKYPDDPIPQIISEPHI RLRKQKKMGVLEALNEVRSEGAKVASP I203_07672 MSTPRNNLQEIMARTQGKRASPTSSSAVAGSSKSDGVRTPGIFK LARPPASSSSRSTPTTEQQILNMPGVKQSQSA I203_07673 MAKPQSSHLMTPSSTVGSPMGMLGKGKGKDNTPGGNAVGSGARP SKFASWSNEKVAAEIVKLLEDKDVLKDKKMAILMGQGDEDGDEFIDESIEFINKKINA LKAEMTARTSSPSAVPAQKTIPPQSSGSTCPSVPPRDYPTPDTIGSDSSRAVLGDFKE NRSKGLSSDDGDSIPLFHKTSSGNMHRTEQPQAGPSRPRKAPAPPPQYDDFDIAMAEE GFGEEDDPEEMLIPPSSPPPRAITPPPRSQRKQPPQPPPSKSAAIAEMEDLAVEEIFS SPIQVTSQLPPRVVASQARSVLGGPRPPSSPPKPPNVQAPQQRTIQVEKTYPWTKEVE HKLRQMFKLPNFRKHQKEAINETMAGKDVFVLMPTGGGKSLTYQLPAVCQQGKTRGVT FVVSPLISLINDQSRHLCNLNIPAIAYTGDMTQKDKNLAHEELSRPEPYTRVVYVTPE MLTMGGHIKSILRSLLQKRRLARFVIDEAHCVSQWGHDFRADYLRLGDLRKDYPGVPI MALTATAQNKVEEDIIRSLGIQGCSVLRQSFNRPNLHYEVRPKTKKVIDEIVAFIRTQ GQRASGIIYCNSRDGCENLAKQLRDNYEIEAHHYHAGMSKGDRRKIQEGWQEHKFEVI VATIAFGMGIDKPDVRYVIHHSLPRSLEGYYQETGRAGRDGNPSTCILYYTWGDGKKV LNQIDQDQNLTRPQKERQKASMNEVLRYCNNKADCRRSQVLSFFNESFDPRNCNQGCD VCLGRDRSVYTTLDVTDDALNVIKMVQSFEREDRITIVNAAECFRGFKGSSGKGLDQN PLFGIGKEWTRQEGERLIQTLVIEGALEEFCVASAAGWTNAYIRLGKEARKYLNGSKK LNMDFRQASPRKQPANKKTASKGSGSKNQAQIDSFARKLSNPISRKRSHQQILAETEE FDNSPWGDTDDENYRPDDDPIEIDDDADGNATEIEGDDDLPLAVKRRKTARKEIGNTI VPKGATSRVQVIEVERSSTASPVENCLKALEKMRGSVLARNKNVPQLDDETLQYIAAT MPTNEASLKECEGMTSAHMKTWATRIMGICVKHRPAYHAADPQVAPLATVSASSASRN SAISRIQRYAFDPKSNSNNNASSSSAKASSSSTPLKRATTLASSLLTSKSPLTPNGQL TLTSRANGMRPVLVPQNSNGNGRKDKF I203_07674 MESYTMNAQAGPSSPSSRFTLGPIISPTTKMIPLTEQEIDLDHN ESTPTTPRPFNTSLPVTPPRPARSPRRSIDCSHHRPSISSVHSGMVTYDWTPLRIQPS HSRASSSLRSSISSTHPVPGDENRCRVSFDATPVPPSISEFSVTTQTPTSSRVSLGQP TPAQMEAVFDDRRKNVKKARFLEAPLMTRLDLGTSSDTAYTHRSYLSAFTGEDDPDMY AEEFRVPVDEAIRSVPGVVGLGEGWAGGPQKREKKKWFQRRQTVEEDPLSLWKGNEQK TTSPIRGIWSRSKKNLFGQSSPALLSEQQHDTQPPRSSTVLGKLFSLSRSNLASPDSS QHANPNKSKSKRFSLGIISSSEVTLQQDPTIAIHPSPSMPALSLTAPSDSNPQRHRQK QYSQSSLARSEGDLTMPSQIPSRSSSLRKQGLPPPWRPSSMILTNGGQRNSMLLVPPE RDGNATSDSTTSLETPATCLSSTSSLSRGHALTRTATFGLDDIAVEQRIDEENNISEE ESDNDWEHVRRESSTPNANELEKDKELPRIPSPQRRPSLKQRRTTWLKRMKNVLTSSN TRDSSSTSIGQTVDRLLARRKSSNARNSTVDLDSSVNSAEPTMEPLKTSPLAPRRKAS RSKHRRNSWMIRSSSSLAKRLSRLTEHEEGITGHENVGHDEEIDIEDVDHANRLASPL GFASFEPSEVRKDRRKTSKKMDLSERRRSSNFSLLAVKSRRSSSVHPLDEIDRFPGSI SMPALSKLTTTDLNLRVSLDGEELGLEDILDEQRRGSIVQSIRSGTPIPSLTLTPREQ AIENTLETLSRKSNSIKIQRSPSPNPSYRSKMKARHTSLPLNTTHVSDINVDKRHAGG KSHKRSTTVSTMDSAHSANLITPRHPNELATFMDALTLISPSTPVEEEPIVTETEETL GLGGLTVPGNEKRSSINTHRFSGESYLTQPSLYDTEEVVQGHAIRVDSIEDMQRQASV VSLTDIGRNWSTGLQMSKA I203_07675 MLTEHFLVLFGLLPLLVISAPLQPEPLHTLALKKIPIARDGEHP VAAFERHQKAAIKRMHRYKRLVPPSEDEFHQRNLERRRAIESNSKLEKRMYIPDIPLP SLPQREKRIWWPPTDTSAKPTPTGAIPHVAAKVNGTGGGIAAATATVQASGSNANGFS EVAVQALQGVSLTNSDSELIQGGLDYIIEANDIGYLSEIQIGTPAQTFLMIMDTGSAD TWVPSASCGVANCGDHTALGADNSDTFQASQTQFEVTYGSGAVAGVLAADSMTIAGMT MINHVMGVTLQESVQFSASNVPFDGLVGLALGKLSNQGVQTPLESLASTGLIKNPILG IALGRLTDGENNGELVFGQANNAKLDSTTTQTLQVTSLEGFWQVDMAAVTIDGTNAVT GRQAILDTGTSLMIAPPTDAAAFHAQINGAADVGGGMFSIPCTIDQEITMTFGNVAFQ IDVRDLLFQPLSNDLTGDCLSSLSAGTIKDDVTWLLGDSFLKNVYMTTNSDDLTVQLS ARTDSPGSSSFATVASTTGVANNAMNVQSNSTNSTSSSTSTSSGEASSTASNTAAVSL ISAIAALLAGKNL I203_07676 MSRSPPPPAQQPSPSNLHAPPFPQPATYPDQREPSPRHPHAEQF INAATKHVFPTHPAPGKEQEREDEQALENAKGLDQPKEEKEERPIISGTPLDELPYTP AFEIPSVRFEPAQEQKEEEETITEEQRLEEEERQSGDIIHPIPHTHSRGHVSLSSSRT TSASVSPDDREIDEDDEYGDRMTQSRPGFGAGKDIGSVSDTAFP I203_07677 MASQPTHTPHGIHPLHRLQFPFRDNTIARRARRPNETELAYQFA EAEARRRSLEASASDFSSESSVPLPQGSTDISRRPSNPLSDSLSIDGNAREMLNEKIS HEEELGEAEEREEIVRAAETSPLKAPLDLAPVISEHEQQEINTDAALRQADSKEEQII EESIQPNESERRRLRKEKLGEGLMEVFGLEEREDVLEEMRCWLLRSVMLKGYMYLTKR HICFFANMPDKENLVVKTGPLYKKASRTKLNTKFWVVLKNDVLSWYESTADPYFPKGN ISLQYCSSCDAIDETKFKVRTAERNYTFSADTEASRDEWIKAIQKVMFKIQHEGESVK LIIPLQAVLEVERSPTLEFAETIEIKCVDSEDQMSVESYFFASFQDNERAYSKIQLLL DERPSSDLPRISSAITIHQQPVESLEDSVKTIRRPEQVSDTSSTHQLGLKKIGSVLRP LITRSNDKVNETDPPKSGLTIPFLSNKTHKSSNDSLETVRNEPLIEGEVESPQEGDLD DGYPPRQNGPPPAGMTHDDHRNSWGPSWIRKPASKLFGTSPGSTSTLGKSPPEYASGD SKSSTTRTNRRKQNSVTEIVEPAVPNAEDSSDDELTQRLSGRGGRLSFASDVSSGSQM VQSRSDYSMMEASESGQREDDETAHKFRNVFALGEKEELIDHFPGYLYRVLPVSGRFF VSTNYFCFRSSQLLYKTKQMIIPIKDLYGLKAQKAFRFGHCGLIVVIKGHEELFLEFS SSKRRKACVALLEERMEAVRVSGEKGEPDRADIKTRIMEDLDESVPIESRTTNNVPPV SPSPLFGSTTSTFLEFKPEPMRVTCLTIGSRGDVQPYIALCKGLQAEGHHTRIASHGE YKDWVEGHGIEFASVGGDPAELMQMCVDNGMFTVSFLKEGLQKFRGWLDDLLNSSWEA CQGSDLLIESPSAMGGVHIAEALRIPYFRAFTMPWTRTRAYPHAFAVPEHKRGGSYNY MTYTMFDQVFWRAISGQVNRWRRHVLSIESTTFEKLEQHKIPFLYNFSPSIVPPPLDW TEWIHVTGYWFLENADESKGNEKKWTPPEGLVDFINSAHKNGKKVVYIGFGSIVVSDP EEMTRCVVEAVVDSGVCAILSKGWSDRGSKSKGESTGSSDGADGVKYPPEIFSIDSID HSWLFPKIDAACHHGGAGTSGASFRAGIPTIIKPFFGDQAFWAERAESLNVGSAIRKF TSEALAEALIKATTDEKQIAKARVVGEMIRKENGVAKAIEAIYRDLEYAKSIIRPPPG TEDKALDKVTSLLHADTIVPFRARSRSKSSPSKSENQSRHDLSSSGEHLGNDVHASDD GWSVVSGGGDDRSRNGSVSFSRSQSGLSSPENDSGNTSNSKNDLFSGLSLGKALPIPL PNPFSLGKKKKDRSDKDEDGQVEGEEQEVTDSAPVIGTAGAKDKVHGDIPIN I203_07678 MTSSTTASQSELESARVPLGWRDQCSALLIPLNVCRHKTLYMPW KCEDERHGYEKCQYDDYMRRMKQLTRQKKAAAEAAAAEE I203_07679 MSENTTSITSDHSNPSGRSANTRSKTGPHSNSSASGGTKTENGP TPTSSESRSKYHRIQPEGDVRRFATNTQDLPDPE I203_07680 MSSSTAPELSYSSTSRRFDNDHTDAPEEEGKKTIPHQDFNDQEG GAQFYDDNSSQENMLAGVAKVEALQAVWGPTSKWFLFVGIGLSAYIYSLDGVTTWQYL SYATSSVLKHSVSGTISTANAIIIAVGKPLMAKLADVIGRAETFVIVTVLYVVGYIVI ATANDVNQIAGGQVLYSFGYTGLQMLQQIVIADMTNLRWRGLVTGLVSAPFIINNFVS AEIAEGVLPNWRWGYAMFAILVPVALAPIIVTLFWAQWKAKKLDHIKAQPNAYKQVIP WSKVITDAAIEMDLAGLILIAASLALILLPLGLAPSAKGQWHNASMIAMVTIGAVLFP LMLVYEWKVPRRPVVPMRWLKRGPILGACLIGFTDFVSFYLQYTYLYSYVYVTQNWSY RDLTYYSATQSLALTIFGICGGIIMYFTRRFKWMLFAGLLVRLIGCGLMLKARSATGN TAELVMCQILQGLGGGFAAIAIQVSAQAAVAHVDVATVTAMVLLITEVGNSAGSAAAT QIWASHMPAALAKHVPTTNATLLADLYGSITQIALFPQDDPIRIGAITAYQDVMYKLV LGALIVAIFPPIFCIFLTKDIKLTRAQNAVDAKDLAGNPTGEAREFEDNRTPSERNLE TSQRV I203_07681 MVRFKNRYLLVEFLIPSTLNPTIPNPTSQLSEEEALNLNLKYTP QQADEEVDDEEDDEMGLSAIPKIPFLVPTSQPVLGIGDEQVIYKAVRGCIQDVFGDEG WARVASSFRVIYHSPLTTLTFIRIARPYYRLIWSGLTFLTSLGGKQVIPRVVGVSGTI KKLQNRGISYHRLVVAQLIAHSLSSADEGLKISGGGASAGQKRLDKDGEREREEIGRL TEGQ I203_07682 MTQIPLGAQLSPVDLPPPPPNADPREPSSALTPRQRGSRTPQRS RSPLASRDASPVRPIGQGRSHSSELGSSPPSTLQQPIPKSTSGTPSPSVPIQTHSHHL SRPSSPSSIHSSGSAIFERDIELPPVASLSLNPNPTHQQQHHTLNHKSSRLSHLSHGS ALDHTVPTVLDDAVEALTAGGLDGTSRGFEVLEIEAPAPAPVPSTIGVGMARQSSSSI PRKISSGPRSGGGNIHSRSPSPISIASKTSSIISPATSPPILGQLNTQQQQSAGLTGT GQNVSQLSSTAGEEGLPGTVPRPAMPQRISTGPQVPGGWASAFGGGPSAGATTLPSQA ENSVVADDRAASPSSDSPNNAFQTLTPTSLPSHISPNKNKHRISYLSPNDLLLSLPTK VTSLEDITSGNLSPDHLPGTVSPSMSTRSPVMSPHNPLSGDLSPVTAGGIGPGATPPP HAPGQGRQVQPKSSFDNYSSGRPGALGGLGLGEGEWEREGLGKGLEQRLEEVAQGQGQ GQSHTQP I203_07683 MSIASSSSRGIKHALARSSRRASSRLSQQKIDIPSFLLPGLSVR HQSTSSEPTKRRDKERYNYNTSLSFSDAPDPDHVHWRRVTASELTSRKEPPTRVKMLV RDFIDDSLYNPNYGYFSRNATIFTPPKEGFDFSSFADTAAFQEAVAERYEKEYGLEPT TGQTGGLGRQVWHTPTELFKPYYARTLLSAILQSYKLNHFPHEPLILYEIGAGNGSFM IDSLNYLQQNYPEIYGKVKYRIIEISASLAKGQKERAEKEGFGDKVTVINSDFFKWDG TIDGVSKGWEACFVVALEVFDNFAHDMIRYDISTLTPFQGVVAIDSSGDFSMIYEPIT DPLIRRVQAYKRLLPPSSSTTPPLSSPLLYSGLLRSLYTSLPFSANLSQPDFLPTKSI LFLEHLREKLPNHRLLISDFDTLPDAVEGRNGPVVQTRYGGSMIPCETFLVKQGYFDI FFPTDFELLRDIYSVIMNSPSPSSTTTSPSTSPSSAIPPTFNNSSKQPKPLSKDFFSG VQGFRRRQVNIYKHDEFINKYGDQQQVIEKTNLKNGENVMKQLYDNAKVMF I203_07684 MSESPTSSSSSSTSMPRLPTPPSPIPSSSHLRPSRPRGASINTA RSYSHSSTRDLSDWEIEELEEIHRAGGRGRKRNEPTSPLKAVFSLYLIFQILTRSDEL DIFPSSNPSTSSIRQSHSPPSSMEVPSYPHLPYGFPPIPNPIPSALPPQPGTSWWRLF FGVLLYPVYLLATILTTPLPLILNILYLLKELLKIILYPILVVLYALYGTFIAAPLGV VRRVLEAFYPLVVFIGGLVGVGCTLGLGVGWIGRWVLDSILNWKNRRQAESKLRRREK MERDRDMKDLRRIREAEIDFELERLHDRFIPKTPTSTSQSHSSKARMISSVGGRRPVL NLNIGTTNIRGTKKDERRQQDLQSQSQSQRRKSVSSSESERLTTPTASSSGSGSGSRG GGIGTGKRDRRIETFDTTYTTSTGIGTGNRNSNKKKDGRRLSFQDQDNFESMGIGSSR EPMVVGIRKRGVRETYTVHG I203_07685 MHEFNQSLKYDKRMYAADVKGSIAFSKALLKAGIVNENEQKEIE RGLKIVEGEWAEGKFAIQPDDEDIHTANERRLSEIIGKDIGGKLHTGRSRNDQVATDM RIWLMDESAQVEQYLKDLLNVMVSRAEKEVDAIMPGYTHLQRAQPVRWSHLLLSHAQS FLSDLDRLRQLQPRISVLPLGSAALAGNPYSLDRELLRKELGFQSIGENSMHAVADRD FIVEWLQWASLLQIHMSRMAEDLIIYSSAEFGFVQLSDAYSTGSSIMPQKKNPDSLEL LRGKSGRTFGQMAGFMMSLKGVPSTYNKDLQEDKEPLFDAVDTVSAALRIAEGVIATL TINPTKMSQALTMDMLATDIADYLVRKGVPFRETHHISGRSVALAEQQKIQISDLTME QWKELSEHFTDDVMDVFDFENSVEKRNAIGGPARSMIKRQVDIARERIGK I203_07686 MKVPIQSILTPVRNHALAGIRRKGKFSPSLIQRSFKHDSQGLTD IAAEDNDFILSILQASPSVRDSRSYLSSFAPPPSSTNDIPPPGSTSSETQPKEENQLV NSLLNPIIRRPALVKIQGPFTDAQLDSICRGMAYLQKLGLVSVIVVDRDDLPPNEPKD KFELQRQRAIVRHEVERVVHFLTRHRAIARPIFSTVARIHEVNQTDPDPKEKEKMKVV IEEEGLDHVRRAVQEGEIPVLLPVALDEGCKSTRIQSNKVLLALAKSMSTSTSTTTSS STSTGNSNNHLTPLRLLIINKEGGIPSYARQGLPHLSINLSSEYTYINRTFQSDWKES HPTALANLNLANGCLEYMPKESSALIVSHRSPSSMIANLITNKPKHSASLPHSLLQGI TRDTPTIIRKGLPVRVLRSMEEVNIPKLTNLLETSFKKKLNHEQFYGRLKNDLDFVIV VGDYAGAAIVTMEGRDNLPESKNKEPICYLDKFAVNPLHQGDGTVDFLWVALRDETYG LGLLDASNPSIGSLRGVGTGKDLVWRSRSDNPINKWYFERSNGFKTTIDGKWKVFWCD AEQRLKSLWREREFGGGRLVKVVEDEEKERVEWWEDQIGKIPSAWK I203_07687 MIVKVKTLTGKEVDIDVQPDMTINKVKERVEEKAGIPPVQQRLI FGGKAMADDKAIQDYKINAGAVIHLVLALRGGR I203_07688 MPSWSTRAKDDGGKKNDPVKEKMKHVGTSTKSTPQRTDARPSTS LKELAKTLSESVAERRKKDGTGGIKKDDRRHGHYGLLDAITPKPRPQVEASSSNSHKT PSSKPVSLSFTKSAPDSATSRKRGARRGKSSTKNDKEKEKEKEPEEEDGEWDEFGFWR SNPKPQPVPESLIDDPTETMKRKEEEEVDELEDTQVTPSNKGKGKDLPTSSPSPPPTK LRTPALQHTAINPRIVDDTPLPLPPPQTRLHRLKDPDFLFSQNVRKDVSHQDKTQTKD TREKAKEPLFLAVSISPDSDQEPHQREEDDETEEEVELIESPIQRPFTRRSLARLSIS DDEDSNQGQGIPPPSFLAERERKKREEEKRAQKAREKKGKINLEATDSDTSVSQSDDR GLVLVPDSNPQGATPARHFGIEITPFVNNSPSQSRKNNSKSSRKSKTYGKGRSHAYQH GNPLPHATSQRLTLTPPPAPVVSSYRGAKRKGSTSIWRDSSSSTSPEPDAVVGFDQDD DMGYETEPPRPGRKRKRWTRNGPPPDERLLGLFGEDEDEPHRCRRKRGRTPRYPVTTV FKWPNSDEDVKVEDQADYDPDTARRRKKRRKREIERRHRVMMRHEPRLQLTRSGSCRA RIKDYVGKIGQTLTLPKKPLHASKAVRVRSYKRMQGVPKHACKTMPTISYSEYVARYR RSPNSASSASRPSGGRVNELISAGRRARFEMGFRRQRPITRDITPKLPLVPLVPSQED PQIEDEIEVEPSQRPFRRRGSSLGQPLVPKNVPRLKFTKNQPPSVSNGPQSSTDPSER IGVDVTSILGHLFTMPPSRQNPRREMPQAFRKASTPLIPFEDIILSDDTPETSRRNPQ NYYEAEDPIQPWPTQDSQLEVIGSYSGRTHEMFGGSPVLPSPPERLSETFRRLDGIYE QDMSQHVTRPTIREDMAQPKQVRLEATPLQERPEITASPIHQAAQLAGENGTNKGDLP EDDMDWAEIGKQITPSQWTGLDVHLAQVEEEIKARKSSKSRETSEKAKSAIVSRRASQ TSVNPPNTKRGMVGTSNSPKTATEIAQPAARAPSTPGRSDPVRRMTGMEVTQHLLQLP LDPSIAQTMEKTFVNTSSRGNSAERPSTSTSRKAKREERAKRVHDKRVKDKKKEKEKQ TLLNFDKPRSSTSRSVLAEVRPPSDTEEEEEIEKIETPRQNAKPQNSRKQTLSEAYRN IHPPPSPPRLKSRASAPSRYSLGDTPVVKNFKDAKQPSAKSLSERNRKLSQEALGRVF RPPIRGTNSFGPGTSSTDIAGGAGATYEPTEVSRDNTQGNITRQPSTNSIRSIKNSQP YINAWRTEIEGTEHPNERGRNQGGNPFEEDLRMFEHSPTEPSVIDQVDQVEAARNRRK EGKRYLSVEG I203_07689 MLRPTQVALSRASTIGWIGLGAMGHPMALNLFTKTYLASHNSRS SIASGYVICEQDDARVSSFLSELRERGGSELAGKVERVENGREMSKMASRILTMLPST PQVESVYLDSTNGILSGLQTLSKDEPPLLPLATSDQTEGIERPSSSTTISPEPSTSSP VVPPLDSSTTISPTTSSQRESSPHTLLIDCTTLSPVSAANIAKNIHGSTSGKVAMIDA PVSGGTVAAKKGDLTIMFGSSSNEATEMALPLLQTMAGKDRIVYCGENGKGVGVKVCN NLILAINQIALAEGLALGNSLGIDPILLHNVINTSSGQSWSSRVNTPLKEIPGSPGER GYDGGFQSHLMLKDAGLALESAAALDLPIPMTWAAKSIYEAVCREGKGEWATKDFSVV YEWIKKKQLEGVERGWKADPTET I203_07690 MPRSPSPPPRGGYRPSYRDESPPWAARERDDYRYRGESSRYREY DRRDPRDEPGYGSRGGYGYGRDREYDYDSGRRRGGSPMRRSFSVSSRDYEYDPSPPKS RRSRSRSRSRSRSKSRSRSRTGTPEEGQITSPLPSSNENAPAAVKSSSNPAGLPPRPR SPPPPISNRRRSRSPPPYRGYARERERERDWRDRDRDRDRDYYYRRSRSPYSPPPVRR RGGRSPSIVSSISTRSPSSRPSPVKRYQSRSRSPERPPKKRSRFPSPAPVSTPITPAP PPRPIPVAVPLSNTLIGKPILNKIPPSAPRSERLPIPGLPPTGPRALAHLNTPVPVPL GRTLGMNRSIPPTAPASIVKEEIKPTPSTPSAGIPDPSKPSPAAGSSTGLTGTARLSW SERKTLLSPSTSTSINQSTTPEPTKISPTPTPTPGPVVINPYTGKPFGQARAAAAQGN SSPAASSTPSIMEDIKPKIDEIDARPPTPPVIYQDTKPSTEPTPILTRPNPPTAPSHR TSSVSVPVVVPVVSEAELAERKAKEEESRILAELPSLKVPFGGFSWEIELSNYNHRMI GLSNNTLRAQSAARHAAMILADAEAERIAAGERRKICEEQLLSFSVGIIPGV I203_07691 MAQPLSSSEINKLCANLVYQVAYAFYDTPYIIILKMMIHDNVTT EMELANKIGLSSPEVRKYMGTLHTHRLVRRHVNKEKVPIPEWRLKQLAAQPEKHIQPL KPGQPKPGAIDKDGKPLIIERERTRDVHYWYLDYREFANVVKYRLAMMRKGIDDKIKQ EVGHRGYICPLDGRMYDPLDLSNIFDPFTNTFKCEDCGSELEEHDPSTSLDGTITTAQ DAMQRFNIATAPIRDALKAVEAQTVPSINIIAWIAQNVKTSVKVQDGENRNGEEDKKF EVVMGKDENEEKEKERLAQQQREQNALPHWYTHSTVTGEKTTLGIADQKRKQILEERS RGLGNEKEIEDESLKAHYEILDDDGDGEEGEFEETPTPADVDEKQVPIPIVRVESGII DVDDDDDEEGTIDVTPNGGEDGGKMVTVNGVPKRIEDVTDDEHEMMTTEEYEVRRFSP LV I203_07692 MKLHLCALISLLGSIAASQSQKPLETAPSPYVPLHPELEARSRE ILAKYPLIDTHIDLPATMRTINRRPMDAIPKLNESHPGHFDLPRAKAAGLGGAFFTAN APCPGAYGRDVGPDFLDPTETVQHVLESIDLVKNTLAYYPTLMKGARTAQDVRGAFSE GRLAVLMGLEGTHGLANSLSTLRIYAELGVRYVTLTHVCHSSFASSNGGGAGTSGSTI PPAHPGNGLTPWGIELVHELNRLGVMVDLSHTSDNTARDAIKASKAPVVWTHSGARAI NNHPRNVPDDILEMIGDGPDKNNGIIPSVLFSTFIDPNNATTARVVDHIEHIAKIVGK NHVGLGSDFNGISLAVEGMEDVSKWPNMIQELLSRGWTEPEIAGIMGGNLLRVMEEVE VVKNDLSNLPPSPEVYYKRTDLPAHEWGGPNMAYLAPDVQNIVVQQRRLRDEL I203_07693 MSTIDDKSSVDETEDANAFLASHAIGTYTDESNKKLLRRIDMYL MPMMCISYCIQYIDKSALAYAAVFGVKKDLGLVGQEYSLLTSLFYIGYLVAEYPQAWL SQLIMFIWGGILMCSAATQKFADIAAVRFFQGVFESALTPAYIIVTGMWYTRREQPFR VAIWYSMNGLGNAIGALISYGMGLIDGKLDSWRYIFIIEGVMTIIWSFAVFAMFPSSP MRVRWLNDAQKVQAAERIRSNRTGILNREWKWDQVKEALDPRIDPSGHILFWACVLNE VINGGVSTYKGLVIKSIGWTDLQSSLFGIAYGAAVTIEIASCGYCAIRFKNARHWCMI LWLLPSAIGMILQITLPTSNKAGKTIGVLLMPSFVGSSALCMGIPGQNTAGFTKRSVV VGMAFIGYCVGNIIGPLKKGTRLIMYYRDSIAWVSGQTPAYISGYTTCLACMAGQVVL FLLLRIYYARQNKLRDKMVAAGQAPDPSIPDGGEFEDLTDKQDLKFRYTL I203_07694 MFGRLIAISSIILSFTSLVTAQSTSTAPVPTGVPVQGDYNGPLR PRVHYSPPKGFMNDPNGLFKDVNGTWHLYYQYNPTDVVAGNQHWGHATSPDLYTWTNQ PIALFPPNSTSGVFSGSIVVDPENTSGFFPNSTNGVVAIYTLNTPEKQVQEIAYSFDD GYTFTPYSGNPVLDVGSNQFRDPKVVRYGDSWVMVIAFASEYVIGIYTSPDLKEWTHA SNVSHIGFLGVQYECPNLVEIPIRNSSTGTDKGWVLTISINPGAPLGGSITQYFPGEF NGTHFVPHDGATRLSNFGKDDYAGQFFYNESVSIGWASNWEYTNLVPTATEGWRSSMT LPRENYLIDSGVRGWELVQEIYDIQPILSDQLASSDNLVNTTSQVDFGNSTSVYFDMN ITYPADVTFSTYASINFTFSTQSSNEQFKGGYILTGSTPNTIWVDRGNTNGFDYPLFT NKFSASQVKPAERIQGIIDHSLFEFYVDNGSVVGTVDVYPTEPFTSLSIGTGDLPEGS TVSLQVWGLKDTWA I203_07695 MASDHVPETVRPATKRIRSDHDHSKASTKANESDEKDQEKHEDD DWLKEPPFSVGADKEGWETKWRESCWCGKVAFVYNDDPAGSKICHCEDCQRLHGAPYQ HSCIFHKKNVRLDSDADSKWIGFLSAHGEVHPLSSTPTPLPRKISCRACGSPLMDEGR NMIMAFPPAFEWTRTHKEVKRSKEQNKDGNHGEEGDSGKGARKVQGFPPELKADCHIF YERRCVDVKDGLPKWRGHKEKSDLMKDDEK I203_07696 MRGLAAIGPMRGAILGKDIPLSQPFLHTRQLSSSPSSSSSSRIR HGYSTPTPARLRPSSRPRILSLLPLQSQRISTPSSIRSFSVLPSSLNPSLPEVIEEAH AITTTDEALTPVSTIFDPVIDPLSSLLLEINHPFGYGISIICITLLVRTIFTLPVSLW QRKRALKTKQIVEPELKIINERLAKSIARDYRKAGKGYKEYLLEVRRQVAKAQSALHK KHSTHPFITTWAPLLMHIPIFVTLSLTIRRTLEITNSPFSNENFLWLDHLGEVDPYGV LPILGSCLAFGNAELMGMKRSQQSVKTDQVDNDKIRDQVNKEDILPTPQPRPQSQQDG PKPQPSGLFSHKQNPTTHNHSHKSIPTSNRSRKLSTSSSASVAQQSQQPKGTNPLVEV PQEDVPVPFSPARQQEIRRNFLAGVLRFSAVGFGLIASQMPAGVTLYWVTSIAFSLVQ NLVLSWWPQYKAQREKAKLLAEMAAGR I203_07697 MSHLALPSSARGSPAPSAASSPKRTGKKRRLDRVLLDEDDDVDE NDLIAVPRARRRLNEEYDEDEEEDDHEQDHEQEQEGEQDQEQEADGEGEGVQEVPRTS KRTDKDISEGLRLRLERQKEREREKEIDMKGKKRRAETFLLRDQLDAEQAKRFDTFST VALNKNAIKRLNRELYDQHASPQISMVVAGMAKIFIADVIEMAKDLQPHSAHPTGPLQ PYHLKLARMHLEESGLLADRKQAKKSVFRRR I203_07698 MSSSSSSSSTSETSTLALMTPPDSSPGSPTKIQSEYESPFSSTP ISRTRSPAPTKPFTYTDSLLTLTDNVTSSIASSIYSIGGGPLISVAKFAILQMMSSQI SYGKLTIITPQGESHTFPSESNSTQEDGEADGQKTEVTIKVSKDSFWLRLVAQGDLGF SESYMMSECEVDDLVGVFKIFIKSSPSSSSTNISGVRTIPSRIFSLITSLTNSRFANT ITNSICNISAHYDLSNGMFSSFLSKDMTYSCAIYPDLDKDLYDGTEMKRRQGKIVNAY DNDKGEDELEQAQLAKLRHIIKKADIRPGHRVLEIGSGWGSMAIEAVRQTNCTVDTIT LSSQQKALAEDRIKAAGLAGRIRVWLMDYRKLPESWKGTFDRVVSIEMLEAVGKEFIP GYFGVLNEMLNESGVACIQVITIPESRFEKYQQEVDFIRKWIFPGGFLPTVTYTLDSA TQGSKNRLVLDSISNIGPHYARTLREWRHRFIANFNDSVIPALLDEHPEMDQEDIQVF KRKWIYYFAYCEIGFSERVLGDHIFTFVREGYSDYGCSTCQ I203_07699 MTYSPSGSKPKRGLGAIIDSIPTQADRIPDSCSEGSDSASDDDD DEVVGVGSIATPQPIPLTPQVGASRSSSKGKGRAVDSPRVEMSVGVSGRNVYQVVTPG KFAEYFAVQWRKPQQKKHSEFKTWDGDAFIKVEGNKITMIDEDGNYKGVTGKGERDVC ADQELRIGGFDVLVDREVSEQEFKAGTTILNRPKASSILGPTAYRATTFTKPFKAPTQ THAAKPVAGYIPGRTASGGLTAAPPGIPLSQKPEMPISKPIAAQSFYGPSVIKPKGNR IVLGEKSSKERMEWGGALFNPNAEGAVVMPRPPEKLLISCPFFSDLDVVDVVVDPILG SLLRKHQKEGVQFMYSCVMGYTAAEAEGCILADDMGLGKTLQSITLMHTLLYQSPFDN QSQTIQKVLIVCPVTLVENWRKEFKKWTSAKTDKRINVLVADGTNYRVSSFINNKNMQ VLIIGYERLASCQPPIGLIVCDEGQRLKSKDNKTKKMFDALSCQRRIILSGTPIQNDL AEYWAMVDFTCPGMLGRYNAFNKQYEKPIMAGRAVGANPKVVELGEERASELHKLSKE FVLRRTADVMENFLPPKNEYVLFVAPSLLQLSVLARLLNPSIVGGFIRGGAGTQSLAL IDMMRKISNSPMLLRKKDDEGSMSDEIGSALSEAKSAIPLDINVNDVTTSGKMLVLDK MLHSIHQETTEKVVVVSNWTATLNLIQDMCKIRKYPYLRLDGSTPQKQRQELVDSFNR DVRREDSFVFLLSAKAGGVGLNLIGGSRLFLFDSDWNPSTDLQAMARIHRDGQKRPVC IYRLLTTNAIDEKIYQRQITKMGLSDQMMEQGETGKESKDSFSHAELRDIFTLNLNTD GCQTHDLLGCDCTVSGKIAETTSEETSTVAEDDDDGDEEKARFVNAAQYDPKPSAKML RKTAAEQQQKLRALRKWTHYDTYDHHSFRNIMDVTLYNMLYESWDSDESALLAAASAE EGGRHKTKKKRRIVENDEDDDADEETPDKVLDSASEEDDDDGSDSEGSTSVPSKRKKS GIANKRKSRSKNDFSEEYEDPLGMGRKKKHDLKKIAENGGTGRVMFVFEKVSKAKMK I203_07700 MVKYSYTHLDEFFILSQWEEDVKGPFGLSPPPGDWESFKAKIKA LNDACPPGARVKVIYAARHGQAEHNVIADKYGEVGQMQHPILDPDLTSLGRSQAYQTR LALQREVGRGMPLPEKWFVSPLKRAGETCGIEWGWLFHDEYDSDGLKLWKGGKGHGVD ATVIENIREHLHVHQCDARCPISHLKAQFTSFTYPEGMSDEDEVWKPVEVRGRETEDE LVARRCEGIREVLERSQNNTYISITSHSGALRGIYKSLNVVPRKIIVGEMNVLVIRVK ELPE I203_07701 MQHDKPLSAPPSVVAQGKGTDREARSEERPSLEEQDSVPNDLLS MEVTRRRELLARLLSSCGISGSEGSQDQYYTLVNPCNPTKTFEMSTEQYGQIMSRFTA ERAQRSNPASRTVSRNPSEAQVAHVTDKAITPTESRDFSSAASRSSNLARSSDKSNIA VSHEDNKQDGGFFSHGAVFSRNAPPE I203_07702 MSEQQSSSRESSKPKASRWSDLVKESKDCDTAFTTSTFTNRVNL IDMARIAAEAGWHTSKKEATSTYDELSSASGLKGTNAH I203_07703 MKFTVAFALLSAIASYAAPAKRAVTDTDILQYALTLEHLENAFY HQYLGQFDQAAFKNAGYPDWVRNRIENIAEHEAQHVALLSGALGSAATQPCSYQFPGI TDPASFLATATLIENVGVSAYLGAASSIVEKAYVTVAGSILTTEARHQAWLYSSVEKG PAWSGPEDTPLDFDEVYSIASAFITSCPSTNPTLPVKAFPALTIADDGTVSTSASTDG AYVQVIAGLTTNTFPVVNGKVQGLPSVQGISYAVLTSQGNTTLVGDDNILAGPMIFNK PFNSKSSNPAPAF I203_07704 MLPLLLLSTALAAQAINLPRQDSEVSGGQPVYIACVLDTKIPSL TESVAVNVTSREECSSSCSTSWDLAFYRQDTSECYCSPSGDAPTPDEVVYATDDLGNC RSQDDASVEYLHSSYTLQTCKIPPITTPSSTSLSTSSIGCLGSCPNGTQSISVRPEYD GTTDKFQYECGCYDSPADQIEGQKTDCGFGINAIYSKV I203_07705 MKGSKFLYPALLKTLIVPPLLFSLCVHLFALNHLKTILKILGYI LSFPIIYIIRSHISVYLSSRRARLMGSRNIPRVKGRWPLNLDILVDWAKSGSEEEVGR MMVLLQRKWGRTYNTRVLGEDQVISSDPAVLKHVLMDDFDNFVKGEKFKDRAVDFLGD GIFNSDGDRWKFHRSLMRPFFHPAHISPKLFTPSIRAFLDEVPSDGKGFDIQKELGKL ALEMAILWMTGEHLSSTTSSAKVRLEGNKDEDEEWEKSKDKLGDAMTQAQRIVGKRVK IGTVWPLFELTYDPLIEPMKIIRTFFKPIIERAIKRKHDRHNLVDDEKQDEDHLHLID HLVDATDGMKLIEDQLINTLLAARDTLASCLTFCVYVSILHSEVGSKLREEIRLIAGE GDGDGEITKDVIRELRYTRAYINEVLRLYPPVPLNIRRTLRPSLLPISSGGQPMYMPA NTSIILAFILMQRDEKVWGDDVNNFKPERWLNDGLGKKEREAFMSWNYGPRMCLGQPF ALTVTHTFLILFSRHLDKLSSEGKKLNFAYDSQPEGTSIPSLWTTEEGCDGRARGGKD GMWVVADVVLAIKGGLWVRFDSVETSSDR I203_07706 MSIAPRSLIRPSSSFRRAALQRFSSSSSSSPSSSSNPPPLIASL LLSRAPLLTPTPTELEKTYYDYSRSIKHSLSSPLPTEFYFKSGSLPLRRYLKAEHEYE TEIYGERLAGGKPDNIGDIPPETEYEILPRNHWEEKDEKEGKGEKSLERYPEEEVYCL LQSKDKKKWVFPSTTVERLQSLDEAINDNIIGPNGQLDGKGMDSWLVTRKPVGVVREG EQRTFFLRGHILAGQPTLTSSSIYTSHAWLNVREIEDRLRKQGDEKIWESVKGMFGIP EQEVEES I203_07707 MSSPPTTAPASAKNGPSPIENFQGYLPHLTQALDNLTNHAANLP SKSDLSFHRTLDKKFATDLDSASERILKLTERLLDLVDKSQQAQKNPSNQLPKIDQVK VAKIKGKGKGTINRRKLGDEDDVVDGFKQSVMGVVDGLLEDADSCLDEVSGQKKKAAI AVKPHLAAQAGQKLPGPFSKQAARLPQNILHASDIPKPQLLFHEPIDNTPTTSPWRPK LSSKPHSMVPLDFVPALDYSLSMEEELDPSKEYWRREREIRLRQHPYYYETKHLPYPT SLFINSPPVKPKSFQDTPFQFVDTPEQLVELTEILRRSKEIAVDLEHHNMRSYSGFTC LIQISTREGDWVIDALKLRKELKEDKLGDVFTNPGIIKVFHGSDSDIVWLQHDFEIFV VNLFDTYHATVVLQYTQRSLASLLKTYCNFEADKRYQMADWRIRPLPEGMLHYARSDT HFLLYIYDNLRNSLLEQSSRPPSPDPNGNPVIEVTRRNPQQAMREVLGRSEDTALKMY EIDEYNEETGKGTGGWMGAAKKWLNKTVVDEEPGWVWRKLHAWRDRLGRELDESPIFI MPQDILKNLALLRGTAPILIKQAINPDRAPIAAARLEEIGQIIKQAKAEWQANQLEES QKAAGLEEAARIKKQGIIQKQQQKMLESQPKPIPDVWDAITVPGPSATTSSSTKSSKN SGLFGSTIKSSSSSSTSTPSSSKVVNSSKLTSSLFGSTIVQQGKGRKPRNTNRKNKEL SPGFENVQNSIHGELQSTKQAVELLVPEQVPYVPPTERGANMNEKVNGNSSTTTISKT EDKEDIATGAQPVPIPVHSKKEEGGIVQVKKSRKPKRERGASSSLPSTSGVGSTNGTE NVIGGKKVKLDTTTDTSTPNGNGEQVIKSKKEKKTKKVIKPDEIPDFDYSSMPNILDQ PDSAMGKEGKEKKKKRKDKKGGNGGIGAIEAPTFGARAARDMSQPKGGNKSGTFT I203_07708 MNHPYSTYTSHPPPTLNEPGPSLSSSSSSSRLHPHPHEFNRISP MPMEGYESSDIEANNPKSAKRKKKDNAGSGNDERIKKTRQSQSCDACRARKVKCDRPP PNSTSSSIAHRDICSHCEHLGLTCTFDYKPKKRGPPNMYMRKMQNEPEGRSTESPPPS STDPPPPSTTLAPGMPDLSYPALAKPRPHTDRNEGTGWEPTLASASVGGSGNVTPIPI QDPTQVQVQAQAHYGGRHPHPHGPHGHLLHPSYIDVPRSATSSPDHPRQYPLPHALPA ISHRGHPQDHIRNFSGGSTNGTGSAYYTSPQNCNNSAYPTPVTNSPVYARPGAGAGGA TRPLYMYVEHRPNPQNPLEKILPRHLLYEIIDLYFDYIYCLIPCLHRPSFTHDLNVKR EERPNEEEWTSLVLAIVGSTLTQLPRSFVRMSRKETKDLIIACNSRIRDYVVKDFPAI TINRAIIFYHCLFMTRVMGGIPAGKGLFGALYAYLLALKAHEEKTYALLNPLNRVFLR RAFWLLYGADVSIASLEAIPVFFHEDDCADVAFPEELDDEYISEQGYLPQPEGHTSIL CGFNYISRLHRITGQLLDKHRRDKRKPPSGLLLQMRLNEVNDLYERTMTLMDHCPKPL RLDYRSGSRSVQSLSPGWNARAKDDIMAIFSDPNYDTEVVKDHFLVQQANIYVTQQYV RFMILQYRDELHELQLAQERDNSNKAINEKKEEIMVNSIAEKDEVICDLLAILQKIPL TVLAVNSHPIVQKVQFVASTLLDSLEPSANNGKGESAFRPDNQMVPTVLETRTQKAQR NLWQFLNILSEIEGLYSLEDE I203_07709 MSQTVKRSLSSSPTPSPSPSPPITPKKPKTKKGINTTSPPKQSP RTKSTTQSSWTNEKENAFIKRLVETGYKNMDWKSLAEETQMTEEQCKDQLTPGRSNLR KTILDMFK I203_07710 MVKRSLSTSPPPSSPEQIKPSSPFSSIDERSSPKKSPRKSNTIN NNEESWDADKRSVVAEMILEAGFKSTGLTTKQLRDALKFRHEGGTNLRSHLIKSVIPK I203_07711 MLRKLPSSTRPYLLSQSLNRPTLIARSRIIPSTRHVHVRAISFS SIPRAMARAFRIPAYGAAIGAGGLGYANYKLEGVRNATSEILSNVSDKFSSAYNSAAD GLNSAADIGSSLASQLQGRISDTGQGVQDTAEAFRNGTKEWWEAFTSQFAKSQAQQES GSRSSSSSNSRKGNTREGSEQPQNNGNGGGEEALLGLVGAAAAANVEEKRGDPFSSGG GDEHQLLQLTRKLIEIRSVLLSVDQSEALKLPSIVVIGSQSSGKSSVLEAIVGHEFLP KGDNMVTRRPIELTLINTPPSAASSSSTPAEYGVFPNMPGMGKITSFATIQKTLTDLN LSVPPELAVSDDPIHLQIHSPHVPDLTLIDLPGYIQISSMDQPEELKDKISGLCDKYI REPNIILAVCAADVDLANSPALRASRRVDPLGTRTIGVVTKMDLVKPEHGATIVRGER YPLHLGYVGVVCKAPPATGVFKSIRGDRESPNVTGAVLRREEEFFGGENSRYFGRDKK VMVGTDTLRRRLMDVLETSMSSSLHGITNAVQLELEEASYQFKVQYNDRRITSESYMA ETIDALKARFKEYTAQFTKPAVRSKLKEMLDDKVMNILEKLYWDDPRTIELTKLAEDR KLTSEDLDSYWKYKLETASSLLTKSGVGRDSTGLVAEGLRQLIDSIATGEPFTFHPSV SERITEFSHAILRERMGLTADQVENCIKPYKYEVEVDEREWNVGRDRAEDKFTEEIKR CDFKLKEVQNRLGGSRKLNGLIKHVGELEKWEEERRKRRFSVSGANGEDGDEVVEEGA PVLDAYKYSPAQIIDGRHALLLSNRLSLLKLRQQALKSRRCRSGPDQSAFCPEAFLAV VADKLAYTSTMFINIELLEQFFYQFPREIDSRILYDLNREEIARFARENPKIKQHLDL QERKDKLESVMRSLQSLVNLQKDAKGSSTGTSRREGLFTKFF I203_07712 MGNCFAPISPDSSDSEVETPAPPGPSTGRSRSSDRQPRPSTSSR TPSQDRNRRNSQSLRRQLSTSTRQNRNRKHSRSSSLFSMGGPSLDALRNYATLSNPRS SLAPSKLLISNKNTIAIFDSYPKAKYHFLILPRYPFPPQSDPDSKESIIPLNALDDLK SLLLSQNRQERDEVMSALYNTALEVEEMIKDEMLKTEGYEWKVDMGFHAIPSMKHIHL HVISDDRISSSLKTKKHHNSFRPDLGFFVPIMEVQRWLEDDSNIQERVEALADAERLL NTPLTCHKCDEFITNIPKLKIHLEKHFVDERASALRHIARHGRQRSSDEDMF I203_07713 MVKVLAVLYKGGQAAKEEPRLLGTIENSLGISEWLKKEGHELIV TDDKEGPNSQFQKHIADAEILVTTPFHPGYLTADLIEKAKNLKLCVTAGVGSDHIDLD AANKKNITVAEVSGSNVVSVAEHVVMSILLLVRNFVPAHEQILADDWNVAQIARNAFD LEGKTVGTVGCGRIGYRVLERLRGFNCKELLWFDYTDLPEDKKTPDFKRVDKLEDMLA KCDVVTINCPLHEKTRGLFNDEIISKMKKGAWLVNTARGAICDRNAVKKALESGQLNG YAGDVWDVQPAPKDHPWRHAFNTLGGGNGMVPHYSGTTLDAQARYANGAKDIIQRYLA GKEQNPANLIVIDGDYASKAYGQREKKPTGQKPAGP I203_07714 MYAASLYGLKRAAIILPVAWTGAWLGYYAYTDTLRRSHIRERNR ELSHTLMGLPGDGPDYSKPAEEIEREALKKRYSSLKFAGRYWNPYVEWREQGAWEWAL WKIIISTITLKLFYNGGVPPERPIPDLPLERPDFSLLYPSSSSSAEKQSSSSTNRNSG SGGSNVDVTDKLTLTWLGQSTSYVTLDNLTILTDPALSDRTLPSRIAPQRLRPSPCEL SELKRVDVVLVSHNHFDHLDPEAIKELGDSCEWFVPKGVAPFIRKFGVTRVTELDWWE QSQHTLSRPGQKDRQFTITAVPTMHWSARSPLDTNATLWAAFHVKSHTEKPKSFIHLG DTGYSPTLYHAVGRVLGPIDLAAIPIGSYEPRWHMHLQHTDPEGAVRMALQMKVGKSV AQHWGTWLMSDEAYNKPPLDLEIARQKLDVTEDQFCVLPAGKTIVVE I203_07715 MSRPQILLREALNVTSTPRGVNIAGPSRLAIALTSRSNPSICPI HHPHRYYATSVSSSSSSSSTSSSTSTIIQKPQPHQHPAFESLSQELSSTQPCFGARGD EIELLTSPQMFYGRLIEMIKRAKRRVLISSLYIGTEEAELVESIRQALTNNPQLRTVF ILDYHRSTRLSRNSSSSSLPPSTAHLLLPLVETFPDRCEVWLYRSPKLRGLLEKIVPE RYDEGWGTWHGKWYGVDDEVLISGANLASSYFTNRQDRYIHFKSNPSLLSYLSSLTRL FTQYSYLLHHSPPAHISPTYTVPLPNPSSSPSSSSSITSRASLIWPSASIHPRRFSTH ALATLTAFQNSWRSSNANRSRRVDIDTWFWPVIQSGVLGLKEEEKNLVKVWNAIKKSY ESDEEGSKVRVDLTSGYFGLYKEYKKLVLDSPASTQIIAASPKANGFYGSKGFSRLIP EGYTLLESRFHSEAVRRGRAWDEEKGVGVRLREWEKEGWTYHSKGIWLSPSSSSSSSS STSNSFLTFIGSSNLSTRSLTLDTELSLIMMTSSPSLRKSLGQEVKTINKDSKDVGEV TWNSEDRKVSWLAWVLVALGVEGML I203_07716 MSQPGESVANPIVPAPSAPSPITSTSTSAAPAVPATSSGYTIHV SGLATETTEDKLHDFFSFCGKLISVKKSGNEADITFEKLSAMRTSLMLNGGTLDGAHL EVTSASDAEPKTASVLPTGATGSTAIGATDAPIGQEDKPKAAIVAEYLAHGYVLGDNI IQKAIDIDNKQGISSRFLQFFNSLDQNIGNKVVGENKTVSGKINETAAAGVAKAREVD QNRGFSAKLHDYYARIFNNPSVQKIVQFYTTTQKQVLDVHEEAKRIAEEKKTHVAPIP PVSATADEPGAGAAITAAQAPSTGAPAPGAVALGP I203_07717 MLSIKSILVTSLVASTAFAHFTLDYPTSRGFDDDNEPQYCGGFP NVASPRQSFPLGQGPIWIDSHHNLATVVAFISTSSNPTSFDDFNTTSNGTSIPLASPI FQVKQGEACFNVDLGGLGVGLTNGSEVTLQVQYDGGDGNLYQCTDLVLIEGYSIPSNE TCTNDASKASNASTTTSGAATSAASTASSSPSASASASGSSSGALARIEVAGLGVIGG LISVAGLVLL I203_07718 MSRQFEVEKARAEVVVSAGERRRAALAEIDEAKFSWFHAKACIV AGVGFFTDAYDIFSISIAATMIGYVYHHGGSNTTNQDLGIKVAHSIGTFFGQLLFGWL ADHVGRKRMYGIELMIIIVGTLGQAVAGHAAGINIYGVMIMWRFIMGMGIGGDYPLSS VITSEFAARRIRGRMMTAVFASQGWGNLASAIVSVICISAFKSQIHSQPLTDMKAVDQ VWRLIIGIGCVPACVALYFRLTIPETPRYTMDIERNIKQASQDVDTYLTSGTYVNDPI HNNERAELPKASWPDFIRYFGQWQNGKVLLGTAWSWFALDIAFYGLGLNSTTILTTIG FGSSTALPTKQENIYQTLYNAAVGNIILAVGGLIPGYYFSFLFIDSWGRKPIQFMGFS ILTAIFVVMGFGYNKILSTGPGKKAFVFLYCMANLFQNFGPNTTTFVIPGEAFPTRYR STAHGISAASGKLGAIVAQVGFSRLVNKGGKNHFLKHILEIFALFMLTGVFSTMLLPE TKGRTLEDLSQESQDHFVHDTNVSPSTSPLQKGQGSDSNYAEEDPRLAAHA I203_07719 MSRIFTSSLRTLPRVTIKPISTTSAKAFISSSSSTNSALAQEQQ QIQSDDMPIAVKTAAQWAEFGRDHVCHGLGRLRDHVIVKGEGLDLYTADGKKLLDFTA GIGVTNLGHCHPHVSRAAAEQVNSLVHLQCSISFHAPYLQLIDRLLPAMPHPSLDQFF FWNSGSEAIEAAIKVARQATGRQNMIVFSGAYHGRTMGSGALTRSKPIYTQGTGPLMP GVFSSAFPYWHQLGVNPSTSEEELVRLAQHQLDLILRQQVNPKDVAAIFIEPVQGEGG YVPVPSAFLRHLREVCDKHGILLVIDEVQSGFFRTGSYFAIEQIVPELRPDILVFAKG VANGFPISGIASNKEIMGKLDVGSMGGTYSGNAVACAAGVAAQEVYQSGEIAQNVEVR SKQLFNVLNGLARGEKTKHLISDVRGMGLMAAVEFRNNSDKCTLEGLPEGSSVPKNIG KRVQEYCLNKDLMVLTTSAFDTIRFIPALTVNEEEMDRAMKIFTEAVELVAREG I203_07720 MSLFTTVDVLIIGGGPAGLGAATTISRLKRSCLLYDSGLYRNEV VEHSHTISGFEGGNPKDFKNKVKSDLEKFYSDTLEFRNGLITNLRALDDVQHGHAFEA LDSEGKLIVAKKVVLATGIKDHLPNIEGVKEQWGKRIIHCIFCHGTETANAPFAFLFT KANSMRNEKLVETMLKLWKNLNHTDRYILTHGMDVDTPEGRKDAGLDGKIDLLKKLGY QIIFTPIQSILEDSSKSKLIITFTDQSTINVPAMLLFPEKFSPSEHSAPLLTEELLGD RLQAWGTIPGPSASGSGATGLPPRMGDDPRTPVRGLFWAGNSGSAAANVTLSLAQGQM AGVMAGDQLGDEELAKL I203_07721 MAPKKPTSEAAPPPSSRRSARIADQPKSSSVPTNGVKVNSKKEL NSNKRSAENDASTENKKAKATTTSKASIPKSRETSSKKEDTKSKEKEEKQAEAPASAK ADTGSKGALRLGDKLPKITLKDNEGNDVDVSQLAGEKGVVVFLYPKADTPGCTTQACG YRDNYDKIKDFGYEIYGLSKDKSEAQQKWINKKELTYNLLCDPESKFIKRLGAFVQPN NTKRSHFIFEKGTGKLVDISLGVKPADE I203_07722 MLLTDLLCGILGLLLHDPLATQTTLAGTEIAEGLSLLQHRGQDA AGIVTCGSGGRFYQVKANGMVRDVFDAPAVAGLKGWMGVGHVRYPTAGSSAHAEAQPF YVNSPYGIVFAHNGNIVNTPSLRQFLDVDAHRHINTDSDSELLLNILANNLQKTGKFR INEEDIFTAVGDLTRTCIGAYACVAMIAGFGLIVFRDPNGIRPAGIATRKGARGGTDY LVASESVVAQGLGFTEWEDVKAGEAIIITRSNISRRQVATPQAFAPDIFEHVYFARPD STIDGISVYRSRMKMGDLLAETVKKELVKAKLEIDVVIPVPDTSRTAALNCAQALNIP YREGFVKNRYVGRTFIMPGQTQRRKNVRRKLNAMPEEFAGKTVMLVDDSIVRGTTSKE IVQMAKDVGAKRVIFASCAPPIRYSNVYGIDMPSPHELIAHGRTTEEIAAHIGADLVI YQTLEDLVESCRQFNPAIKQFDCSVFTGEYVTGGVDERYLEHIQRLRNDNAKAKKNQQ AIEAVEANEGGCNGPMNGSDALLGRSDSIMGLSNHSPKIGATNMPTPNDTMGLHNSWY GQ I203_07723 MPHSHHSHSGQFCRHAKDTLEDVVKEAIRKRFEVFGLSEHAPRF RMEDLFPEEADLTPSDLLTTYLDFLSHASSLKAKYSNQISLLISLETDYITSLDLNNI SDLIHQRKEIDYIVGSVHHVNGISIDFDRPTWLRAIQASVQDVQDYQPTQEELIPFLE NYFDQQFKLIDYFRPEVIGHFDLCLLWIPDFNLRQIPSIWQRVERNIKKVVGYGGLFE ANSAALRKGWKGSYPSEDVLKLIISLNGKICLSDDSHGVSYVGLNYTRMKDYLIRNGM KEIWYLVTSSARIDGDQEIQNDRRRVVSRKLTDWDKHSFWVDNEL I203_07724 MHVSNLLLPLLTLSVTTPAAVSALHINPQHKKALSTPSNGQLQA KRDVPSTGHKTKAKRLVKKKKRATCQVKFNATSIATEATTSATSTDSTALPTLTNNEN WANATATATSTSAWDDDTSSASASTSASASSTSSASSSQSTSGWYKVDEWSGDSFFDN VNFWEWNDPTHGTVDYLNAGDAWNSGLISINSNKRAVMAVDTTQVVSGGRKSIRVHGN RIFTGGLIIMDAVHMPTGCGTWPAWWSNGPNWPLGGEIDILEGVNDFSLNQVSLHTGD GCTMPSDMNNGQVGQLTTGSYDSYNCASYATSNQGCGVRDEVTQNAYGEPFNAIGGGV YATRWSKAGITVWFFPRTNIPSDITNDSPEPSGWGTPMAHFPSDNCSPYQFFYDHFNI FDTTLCGDWSGADGVWNYAGYAGQSESCAAQTGYSTCADYVLNQGSAFAEAYWEVSYV KYFNSTTEV I203_07725 MAPSPEGVSVVLGAQWGDEGKGKLVDILAAEADICARCAGGNNA GHTIVVRNAKGEKTSYAFNLLPSGLINPTCTAFIGSGVVVHVPSLFNELDTLERKGLK VSDRLKISDRAHLVMGFHQIVDGLKEIELGGSSIGTTKKGIGPAYSSKASRSGLRVHH LYDPSFPAKFRKLVEGRFKRYGHFEFDTEGEIEMYLAFAERLRPYIVDGVTFIHNALQ SGKKVLVEGANALMLDIDYGTYPFVTSSATSIGGVVTGLGIPPFAIKKVVGVIKAYTT RVGGGPFPTEQLNTVGETLQEVGAEYGTVTGRRRRCGWLDLVVMRYSTMINGYTSLNL TKLDVLDGFEEIQVATGYKIDGQQIEGFPADLDRLAQVEVEYTTLPGWKTDISNCKTY EELPENAKKYIKFIEDFLKVKVQYVGVGPGRDQNLILF I203_07726 MIGSTSVAAPLARISLRGPQTLRFRSSIYRPIHQLRDIPKPTER EIANKPRLQPCDWPKAESSSMAAARFSSAHQLSEWTSSPTTILLIQKREDARTTKAMG DILSYLKTHYPHLRLIVEPHTALDHPQFEDLIVTTPGDEGLLPLHTNLVVTLGGDGTI LHVSNLFSQGECPPVLSFSMGSLGFLLPFHIDSLASTIQSTLNGPVSVLNRMRLACTP LSTNGQVLDRFGEAGWQVMNEVTLHRGRHAHLTVVDAYFDGQHLTEAVADGILLSTPT GSTAYSLSAGGPISHPETDAFLLTPIAPRSLSFRTVILPGRGSVQLEISPLARSSAEL SIDGKEVCLLNPKESVHITKSPYPIPCIERVGEESGWVRDINSLLQFNVGFRNKSLLG HGTV I203_07727 MTIPPISIPYNPLSEVTVEVGGKENVESLQRVARDFRSDTLTVP TDAQLLHSLKASRGDDVYSEDPSTTALERRVAKLTGKEAAMFAVSGTMTNQLAIRTHM KQPPHSVITDYRAHVHKMEAGGIAMFSQATTHQLFPSNGLHLTAEDIEPQLQLGTNIH ISPTRLICLENTLSGIIFPQEEIVKIGELAKQNDIGLHLDGARIWNVAADPPHTSLTE LLEPFDSASLCLSKGLGAPIGSILVGSKEFIERAKWFRKAFGGGIRQCGGIAASADYA LTHHFPRLAHTHKLAKRLEGGLKELGVNIAAPVDTNMVFFQPQAIGLPLDAVMARLAA LPNPIIVGRERCVVHHQITPQAVEDFIACVREMREEKKANGQVEEDGEGIRRADKEKL EYYNEPKGKTTDAVLRKQAALGY I203_07728 MLWAPSRYLLDAVHLLQLPPFWKKNLQTHEVTIMVNILKSTCSA YIYTSMMFALLTLARPAVAATLANRSKDYEWLQDKKAVAERYAETANKPYAIVHHIND EGVTTPVTLLNGPWLQSIVNGEDKNASNITARGAQGRFDLSNAVIVFPEGSNGTLAKR DDWKFDQSLNILGLQLAYNAFDTWLGSGTGSASRTDSENKRKRTTWYMYYTMQVANEY DNQWPSTTDVQGVFWEMMVFQDKNNLKNYAVSAVQGQAHSFEFDTGRVVVDTPFCLWP SGGSCNTPGYPWAIGSDLRIDTTHDDGKQRICYGQPLFDKDKGELAYEEINY I203_07729 MRLAVILTSLIACAVKSVRAGCKDPHHLSGHLAFYCVDEVTQIE FEYVDMKHRFANMETDADLAELVYETYHDFFYDTPAQRPIFGSDETEEIEWTKRDETV I203_07730 MIPKRSPSPVPSSPMTHQLPPDHFLSLQPSFAQPTVAFPSGVPS TSSHESHGQAPNTASLASADFEVDVRTGFLPGSKNVERLTGRYEVWEEALDAAKGSQP GSGLIIGGQREQENLWRNGIQMMPVVDTADLIVSLPHLRRAHVVLTFLAHFYVHTTPA STSSSVPSTKEPVPIPACISVPLLTVSPLLGLPPVLTYADTVLWNFLPSKPSIAPSAS VNPPSEIVTTFTGTRSEEQFYLISALTEIAGAEALRLMRQSLDELFLADEKALRRLTI YLKKLANQIDKISDITMTLMKEVDPEEFYHLIRPWFRGGDGDGPNSAGWNYLGLDDTT STTSVAESSDTQGKKGKLFSGPSAGQSSLIHAIDIFLTVDHSPTEQEKSEALNAVEHP TQSKILVDSTPINTSEQQPAASAHGRGHGPAPKGEATFVQRMLQYMPLPHRSFILHLS THPTPLRPLVVHYAASHPALAVAYDGALESLRRFREKHMRIVSLFIVQQARRQSSERV RKMMGLEPLSGEEKAQVKEVDITEIRGTGGSALFKFLKRCRDNTTKAMVRPSQAGYEL E I203_07731 MLAMALFNSPERSSSPQFAGCIDPSTFNSIVHRDSYGLLSKQEN NTACLELCGNKRFSYSYFLPRTSECYCTKTESIDPYQIESGCDNLGGCNSCQAIVTYL HSPLTFTSCYSLLFGKPFLDFQAETLDSCLSICSTDIYDTEVVGVRSTQGTEGNWGWK CGCYSGRNQGAYKDKYKMNCGKESIWRFEVPRKELRFQ I203_07732 MIPSITLPTLLFVFFSYLGLGIFHIQAQALVPVPAGCVSGVYFH DHIINGGGSLTRQPDRANCKEQCHVTGLKYAYFRKESRKCFCTSSDRKAPPSNQQVEG IDSEGRYYCHGPGSDYDSWVVSLILSGKKYMCKCFASNALGQEVTNCGPKDAYRYYK I203_07733 MYTSLAHTILPLSLLGTTLAGVIRIRGEDDHNYDPKNHQDDHDK DDHHNHYSETSPQFIGCVSRIFFNLVSSDDNFDGDFTEQSDLNPCIDHCVDEKFRYTY WDADRKQCYCSPAQRPDADQIRDNDSETGRCKNRDAIVFLNNADFIFGGCFDSDSSDY GVAPVARFSTTSVRDCFVLCDEPCRDKFVEVVAITPRFDPALYSFAYDCECFDISYDD LPPLVNRTCAIDSIFGYARDEHKDDDHHKKEGKRGDYDYDYDYDSESDHGYKGGHRDE DYDRDDDRDKDHDYKHHDDEDHDHHEKDDKYDPDYHKNHKGDYNNDDSDHYLYGYKGK HHKGDYNEEEESDPDYDHGKDYDHEEDDEEANYDYDHGKEQDDNQDDGDENHSKDYDG AEEDEDPNYHSGKGHGNDGDDTEHHKGDNYNDEDFDDNHHDDHDYDHKKKHNDDHDYN YGSYGKADSTLTTA I203_07734 MASPRKTRSQGPAEAIDLDHPPKQGKNHLDVEEELAFYSSYHSN KVNQIIHFMCIPLILWSWLLIASHLPIPGTSATILRDGLAYQPSLAMGWIVAYLTYYV LLEPVGGLTYLPIGTLLYLTSTYLAVSPPSWLPFTDPFKPSAQPFAWAVFFASWIAQF IGHGVFEHRAPALVDNLVQALVLAPFFVHLEALFAFFNYKPDLHKKIKNKAGVRIRDM NRAAKLK I203_07735 MSDPSSSRRGAFIVFEGLDRCGKSTQVARLVDRLEREGQKARLQ KFPDRTTATGKMIDAYLQSKAEMDDHAIHLLFSANRWECAAAIRQDLDRGITVVADRY AFSGIAFSAAKGLPFDFCLQPDRSLPLPDLTLYMSLPQEEATQRSQFGEERYETVTMQ QATRKQFCLVAEEVKKIHGGTKWIDVDAGGTIEEVEEKIKGLIGNLTDGVSGRVGELW V I203_07736 MSPEKTLKPFLPSRPSGPVFIGLNILRLLSIIALLLVLAANVVT MADDIKAIKSSNASNSESEEDCEYYEYSSVPDQTGGPFWSILNRIFIIFECILLTMSE VGIPRRLFEEWIPILGPAHGLGFLGVFQALIGAQVLSHYCELFPQCSSWLLFIVGCFN ILAGIFLRAGAKKKRLIFSWENVSSLTPQTRMAATAWDMVSEKKPSSSSSSAPSESGA PPLTRSTTSSSDTPLLPETRNKTIPGAKFGGFGFGRQGEKQAAERGWKISKPSEVLPR ESSPTLPGLWE I203_07737 MTIFASPSAETLRIKNFVWHHRNKTDMNSSRPLISTRAEDRTNT LERNASARTEAIPSQSSQIPEGYWAVPTYGVDTSRARKVFRMDGTGDVDPFIPDSVTL YLRNSILVSLRRLYSHTAFHKSSGASSGFLR I203_07738 MSTSPEWFTTPVKAPYRPSPLSTTIPLTALEPIDPFSNASIDTP DSLSFDPETPPLSPTRSLSSVSMDRRTTSGSSSGSDEEVVTPPAVPRRPSLLHTGMPP SYFNLENVTKDQRKHRKNKYRARFPSAPVSGSITPMWKIEEESKSHGLTQLLEPFEGL LPHTRRESEPTYILSEDSFVLTHVPTKSVSLADFKLKMIRVPRWQRPIVIAVMSLLLF GSLCMISWFQQSLMAVEHAKVIRQGQWMIKHAAMARAESDAAVDTEPGYRYEAPKHHS LKVQQKIAKRAEAGFTAHAAAQAAAIGPVDFTMTKEEELAALMSFIVGTAANTLPEID TTDPHSLEGFLPFDPRSPHAKREIEELAKVHWEDHPVMVLGNMRDPKMREVRALLKKY IVKPEPFYVDIDQRRDSAVLHPTLERLLGKQSSPYILLKGKNVGESSKLIEMEKKETL IDTVKGSGATIAKRLKRNKHQKEEERKENERVLGPKPIFDQESA I203_07739 MPTYRNTYKPPKVDVPRDVHIQTAPEEYDFNYCFEVKDLRSDRV ELRPFVPSLHAQLFYDEVSKCAPDFTAWLIDWNSVEDVLVWAETIIRSQPSALAYAIY TAPPGSDQVVDPDDYEFAGMISMINSDAANMTSEPGWICILEKYQRTHVLTHAAGLII HRILDMPSEGGLGLRRCQWFTTTLNEKSKAAALRLGFLLDGILRAHRVLPKGKKGVRP GRKGDYQEDQMTRDSWLACISWDQWEDGVREHVDKLMARRK I203_07740 MFWRAKPASIPLPADPSIRRSHLSPNELVTRPAGDEVTVVGDFF SYSAERYGDKVACYYRDVVDVVEETKIVPKSDGPRDEKKSWKYFTLSPPKPVTYFELN TTVTALASGLINIGFTSLSQPIASRPRVSIYADTSLNWQLMSQTFARLGHVLTTAYTT LGEEGLLTSLNEPEVELCYCGEGQTELIGKVIGRAERVKWIVYDGDERRINQETIQKI RKVVASRGGRIITFAELRRSGIDSPVTEFGDKPSEDDQFCIMYTSGSTGTPKGVLLTH KNIVSSIAGSVLLWGKNFTRSDLLLAYLPLTHILEQFLEFTFYFLGIPIAYGTVKTLL NDNVRNCDGDFIAYKPTLLPGVPAIYEMIRKGMVKKIHDAGSVVGTIFNLAVSGKQTL PWPLSSAIDRILFAKVKAATGGRLRLAISGGGALSLDTQKFLSTVLVQLIQGYGLTET CGMATICTPQFSPMGSVGVIGPSCEVKLQDYPDAGYLSSNRPPQGEIMLRGPNVFKGY FKQDELNKESFTSEGWYRTGDIGQWNIDGTLSIIDRVKNLVKLSNGEYLALEKVESIY KHCNSVMMLCIVAPPYADRPVALVYPHEGNLRNRLKANGIVDDGGPKEWASNLTIVSY LLGELRDEAKRGGLKGAELIRDVIMTEEEWSPENGMLTPAMKLARNNISKKYEKEIEK ALGRSS I203_07741 MAPVVASAVALLAIAGAVDAKPLLKPGRHANPHVASVLSASKRS LHSLMARYYGTKHGLSKPPPLPEKRDTTLPAGWSYFGCVSESWEERLLQGFAFSSSSL TPLLCVTQCTKLGYTFAATEFGDECYCGDEFVGTGGGRADDTTCNIPCEGDTSEGCGA AWYLSLYQYNSSELASCSGVVTTASVTATLPTATEVTTTVVENGTTSVGIVTATANAT VAVPTANATDAVSTTASATTTASYPVYTDASDASEWYSLGCAVDSDDRLLTGSSKVGF TGMTIDSCLSYCEDAGFKYAGAEYGDECYCANTLPENVQYHEDYACNVVCDGNATEAC GGGYALELFELLSAAGNSTDCTTSSSSVPSGVLIATGTKTGTTTAATAATTKAATTVA SGTTQTAATTVSTTTQTAAASSESHYVWAHHMVGNTYPYTQSNWASDISQAQAAGIDG FALNMGSDSWQVDRISDAYSAAGSTGFKLFLSLDMTVLSCSSSSDASNLVNLVKKFSG LSAQAKHDGKVLVSTFAGSDCGISWQNDFVSALENAGVSIFFVPSVFSDPSTFSSNSW MDGELNWNSGWPMGSADLDTSSDKKYISALGDKEYWAAVSPFFFTHFSPATWNKNWLY KSDEWLYATRWEDLIAMRDQVKSVEILTWNDYGESSYIGPIEGALPSGSEVWTNGFTH TALNSLTTYYATAFKTGSYPSITEDEIVMWARPHPHDATASSDSTGRPTGWNYTENYL WAVVLATADSTVTLTSGSNTQTFQVSAGLNKLKLAMSAGSISGSISRSGSTVASYNAG SAFTYTTTPQTYNYNYFVGSSSS I203_07742 MPSRWHPPGFTSSTPGSAASSRPSSRASSPTRNGPASRPSHITL PGRRREGTVDSGDPLSDYFSGSYGSHSGLDTPSSASGSAWGGLITPSSSTPGLITPGG TSHAPHIEIILDSDHLVMRGAGGDMNPAYLSGRVELDLPQAINLKELTMHMTGKAKVQ FSDSSGTSSKSHHFTHVFTTHDWSFLQGGKGHAHTLKAGHHSFPFSFMLNGNLPSTLR TYSSDAIIVYKLRATGVRTGFASNISTQKEFTLARMYTSDALEFTQTLEIENTWPGKV MYSLTLPYKAYAAGDEIPVNVKFMPLAKGTRVTQVVSVLKEYTLVHTRHSSRPETRVL SCVKHEMRQGRAVEVAREPVRPPSHWYDAIPANPSLTTSRHSSPAQTPVVGSRARLAP TWGERPDDSYFPGPAEVTNGDGSSNGNAQAGPSNSSTHESISTEETDIEIGDDEINTH FSIPIPNWVTPSHAIHPVFVTHKIKWSCSISNPDGHVSELRCALPILILDHSLYDEAR AAGASTRGLLFGQTTEEPQVDLPSYSNHVYDRIAIADSGTTSGFMPRSLGATPLPSPH DDTPPSRSRPPSRPASPIRHQSYGATSTSSRSGEPTPATDVPPRRQLSQWADSELLMS LGALRTHSNETSPNATPPDSRGPSRPLSRRNSFTRSGRSSRTGSRANSRASSPERGAG HSQPGSNSGSYAEESNVRPGSERRNTGGLHGLFHLQKNIRPLSHLNGGRPILKGSNAN NDSNSIPRNASYSGGLSSSHSLTNGHGNERSHVSFAPHANVVSNGPPRFSIGGADGDE EDGEEEEEEDVDPLSRVPSYAIASRGFLGGGVVPLDVALPTYDASEDMQRTRSATDLT NNNSGLIRPRSDTALVQLGAQAAADAEERAGEENAAA I203_07743 MPSLPSLPSHLALPTTAQKWRTRFFKSLNFYRIHLLAFTIIPLI LSGIMYGANTEYHIDYVDCLFCCMSAMTVTGLATINLSTLSAFQQFLLFFQMIIGSLT FVSILMIVVRQYFFRQTFKHVLQERQARSKGFRFSKTFSRVGTIGAPVSAIRKKFSGL TTKDKRVKDVKEGTDEGRTSTPSLVMQPITAAPPSPKGSHHKSSAKKHDTKKKGHKKI NPDMIKRVSGGGVGLVNPMGWYDGERTQIKTPAPTPEHIDDVPLPLENGGVLGLSLDD GRGVQSGQQLNNALEKAVEDGKASVIDDDHPVTQSPGQLTPEENVEGRGLPPSPRRRV PYAGLQLADDAFPRSKTIAFDDEQADYQDPKGATTQREGGGFPRSATFRSAAGGDRLP REPTLQSGNFPRTYTLRPTVSHRPDPRMSGFGGFPTPFEIGKRLFRKAFPRTTNNLTK SLTMAKTMTVPRTNTTAGRGSIAAASGNEKEVPYISFAAVVGRNSMFHSLTTEQMDEL GGVEYRALRVLLYIVVGYFIFFQLAAFVIIAPYISAQGRYDHVFEAQPRMVQIPWFAL FQSVSAFSNTGMSLCDTSMVPFQGAYLMIVVMFICIFAGNTAFVTDLSLLISSYLVYK LVPQSSRASESLRFLLDHPRRCFVYLFPSTQTWVLMLVLITLTSIDWVSFLVLDIGTP AIESIPVGTRVAVGLLQSAAVRAAGFSTVALGALAPAVKVLYVIMMYVSVYPIALSVR ATNVYEEKSLGLFGEEDDDEYGAEGEGEGAQAVAKYIGWHARRQLAFDIWWLGFALWL ICIIERGHINNEEDNFNIFNVIFELVSAYGTVGLSLGVTYDNFSFSGGFRKLSKLIMV LVMLRGKHRGLPVAIDRAVMLPKDFTAAEETAFEEERSRRASRRGSMFEGDVLSTRRG SFTTLSGMAGAAERELSPIHGPNTALPGQHHHHHLPGQPGSHHIHHSSPNPNSSHHRS TSFGGSLPVPGSPSSNFSAATSGGADRGSLQFSLPRVESLERPNTMGMRNFRPGAAVS GSLTPVKESSMSRNPTIVPQQDSLDDSAIV I203_07744 MLISLRRLALLGLVAASSVVGKGAIGIKQGKVAVTSPDGLGDAT YTLKEPTPLPSPITLTEGSTFKLSFSVIDTASGESVYPQQAHLLFEDPKGGDVTLPVS VKSNGKAQITINTAKPHPALLTTHGSFHVTLLLSSLDSLEPLAYPLGQLSLPPSILKP IPRKRHDLPPRQGEPAFQPQQEIFHTFKEDPKTVGWTLSIIGTVITLAPWTILLGLLG KISPSLNFQTPPVSSYIFLLVLGALEALIFVYWLRLKLYHLLPAFLGLSVIATYTGIV ALRELRARRLKAGGAP I203_07745 MSNSLSPTSHTQTISPASTAHNSTTDLIARADTRASMNDPAREP RATSEKPTSSQQRPAEGEACGNEQEVYWEGQKQERNVQNTCTLDDPSKNVNTTTSTTA KVVFPPWKRNDRWSGWMGDTVTAQSLVLCLILQAFSTGILDATTYLDFSTFASNQTGN TILLTVAIVRVSGHLLLLTGVSLASFLSAALVFGHIGHFVGVRRRIWLLLNVFCQIIF LILSAIFLSPSGPAQTRLGAKHEWVIISLFAVMSGAQVSAARQASIQEIPTAPMTSSY VDLVSDKYLFVGFNHKHSSGRNRRLAYISAMIVGSFIGGIMHKYAGSWVVVVVAMGFK LAVMGLMAVAPAEIKEKKEKESGINASPLIFVIDKTAKYWFKYWRGPSRFAVPLPEGL DPAAAAPLFCGGVTVYIPLRRYGAGTSAKNVGVIGIGGLGHMAIQIAQAMGAEVTAIS RGDAKKSDAIKLGAGKYIATGGQSFADAFKGHERSLNLIICTSNPAEFPVAEYFPLLR PEGIMCFVGIIPKPLEVLTFPLIRSTAAVAGSKIGSPDAIASLLQLAVDKGFRPWVEK WNMDDINRAMPAFKRGEPKYRYVLVNVDNGGKL I203_07746 MARTKTYSEAISLLNTCQSNAATIEAIRKSGGRLNEHAVAEMHD YLRKIGYKPDDLNKLNVVHITGTKGKGSTSAFTERILRTHIPNGKIGLYTSPHLCAVR ERIRINGEPISEEIFAKYFFEVWEKLEADPKTLTPQTPQFPIYFRLLTLLAFHVFLSE SITATVLEVGIGGLYDSTNIVPKPIVTGITSLGLDHTAVLGNTIEEIARNKAGIYKKD VPALSVVQDKGWEVLKEVAEKNGAPFEVIPTIPPTPLGLPGSHQLINASLAVALCSKF LSIQKYPFRPSSSSSSSTIPESFVEPLAQTRWPGRCQLVKQGETTWLLDGAHTIESLR SCAEWAFAEDKKPDVLIFNCSGGRAGESLLNELLETGSKVKEMSREDLGRRFAKVVFC TNVTYTDGHFKSDLQATAIDPNDLSQLATQNALREAWLKLNPDYNPDNVHAVPSIQHA VKIVREAGERQVLVAGSLHLVGGVMEVAGLQDALSMV I203_07747 MSSGMYETTVGSLSLRKLNYSYSNDSSPFTDTLIYQGNQVGSKK PSMEENERSRRSNDSRNGNRGGRREDLESEDELMSVDEEMDELSDSRSSHTSSRPVTP SQQHPITIPTSSRFNQKARSASPSGHHSPEGIFTLAHKAERILGLVPGTLGHAKACLE NAKDEIRRLAELDKSSPVQPYLPSDQTQHGQGGLRNRAKKAMSMSFTTNPSQGYGSNG KVPNVVLGLGVNNKEEEERREKRRKAADGVIYWQREIERLEREETERAMR I203_07748 MASPYSRFRNVFSRYRPPPLLPTTIRTIQILATLHLVSTTLVEL RICTGFSMLPTLSQHGDCVLVSPLPYWSPFSETHTRSKRPKRGDVVVATSPMDPSQTV CKRVLGVEGDLIEIEPRRGNQRKWIDNAGVGFMVDIPQDIDLEGHDQDRHISHEELGL MSKPKRNGEGQWVKVPKGHVWLVGDNLSNSTDSRKYGPVPIAMIKGKVLARVYPNPAW IENNLRQIDE I203_07749 MSSPPPNEDNNHSDQPLPSTEETQIQNNDRAPSRNSPLPSISFS ISIPRPAHSPAPTPGAVDGQDQNQNVNQNQQPSAEGSGARPNGVAPLGPSAASSFFWT FTIRPDDGPAPAPASAPAPAPAPAPAPAPAPAPSTNPSDAPGGNTSTNPPEGTSSGEG SDGQAQSHQQQRTTLPQAPPWIFPPFLNFFLPLRTEPQPNPEKAAELLRSLPTVGRRL LMRVDRIVAAQETDVLPEEKGWKCGVCLEGLNTEEEDGQDKGKQKGDSNEMKVDENTE EQKEKENGTGVKALPCNHLFHEKCLQPWFTTKHTCPSCRLDLDPLQTLNSPSTHTDTT RPGQTGSGRRSPHPYARDRPANASAENTATAESNAAQDRNAGGNTHPDPFIPTSGLFP SVGISGSGLQEEDDRPSITFIFSGSPPPGLNLPGMPPRPDIQSNDSATPQAQSANTAT SEQQPAAENRESGTNNPDSAQPAPSTPGSGSVFDVPTFFSSPLPMGSRASPSPAPDLP PLVNPVPHIVSASVPPPSTSQSNASPLIPSPAASTNANTTAEGDRPRPERRPHITIIR TTSPSPAPGRPSGGSGLGNLPLPPFLFPTMPPGFLGPHPNLPGQGQGNVPAAQNAAGP IPAPAPFVPQSLESWTEEREKSLGWRCDAVECIYAPPSAEDDEDVNMTPEEEDEGEEG DNEMLSIYSTLQPPLTDEQKQEEQKDGSGKSVLLACQHKWHRKCLELSERSCGRLHDR DGGDGRVWVRCEKCRKDGWVKTRTKSRDNSTTEMKESEERRNKDDQDDDEDDGYAPSE REVENLVNC I203_07750 MKAPKRTPWSSRSELEELYEMLFAPDADVHSRRRGLARMSIYIS SPSCPTFIHLLHSLVSVELLPYPPKGVEESQRSRMMIGMAIVRFINGLVDPLQNGPYA RPISHLASSLSIPPSLIALRHRATHEDLPPLALLHQSLLSCISYLHYYSFLPLLSSSS TSASLPPGVLERMKEDERRVEGLIRRWKKVIKFRLREKEVREQDQSALELNKIKGSLQ NHHLGLIVNVLIGEVGLVPSSVQKRAPLTSTIPTTPSLKIWLPLLQHLQQTSHSDLPS QLSSRILDILLNPESQSQIQVNGYSGHGSAEDVNTKEKLNDQRSFRWNLAIWLIWLWK NEEEGEETLRLMEEEKMEVLKKVLASMLELHDDEVVRRLFKVLSADGNHSNINGMDGF LPEIEMEEEDEGLKGLEIGMDLDNDNPSVDDANLERMEERLKEFETIIEKRRRTAAST VTRPDVNISMTDKDSATPLSTLPAGWRKLSYEEWKPCPIGLSGIP I203_07751 MTTLASSTPTPILKVSQSPTLLIIILGISIRFIHISLLYILSRL IPSFDSSQSLISLENFPGLRWDAIHFVSIVQNGYEFEQQLAFQPLLMGLLRLLGQSVA YIRGREIEVVDIIWSGVGVSAVAWVGASAILYKLTVHLYNPAFAILTTILYMIPPTPV PSLPYTEPLYAFTTFLSIYLMIVKRQYLLTGVMFACSMGMRATGIFNILILGGVGLME GLSVDRITPKVLSRRLLTRSHKLIIPCALTVSPFLLIQWYAYQSFCYQNEGPGRPWCE SGLPFVYGFVQKEYWNIGFLNYWTIPNIPNILLPLPIFLTSVLGIKRIVLSCHTAQRR PHVRSEILVLYIHHTLMMLLLLFNSHTQILLRACITDPVIWWNVAGSAVNWENKEDDS GQDRGKIIGGRGYIRLTRIGKYWIGWCVIWSTVSMILWVGHYPPA I203_07752 MAATTVKGYMTPTEVAISQEQTTWAERQALPTPTSVNDLVKVLD PTARLPYLASRNLPTPPTTAPSVCSGYDEEHPALSSTIHEKSVHALIQQIISSRVEQS DDDESAFFACDLSAIYQSYLEWKNSPIGKRVEIFYATKCNPSPQVLHLLSLLGTSFDC ASMSEINAVLSLPSAPSPDRIIFANPCKPASFIKNAAVKGVEMMTFDNADELYKIKKL YPTAKLVLRILTDDSKSLCRLGLKFGAPLSTCPGLLALAKKLDLNVIGVSFHVGSGCK DPMQFADAVWRARKVFDMGKSAGYDFTLLDIGGGFERETFPEMTQVLSDSFDLYFPEE SGVRIIAEPGRFMVSSAFTLATSIIASRRAQQTIAGAPAPAEEQEEEEKTEGAADVMY YINDGVYGSFNCIMFDHQIVHPHPLTIGGDLTSTAPPFPPLPNVAVDVDLPVQMGYTN TEKASVWGPTCDSIDCVRQLVDMPKGMEVGDWVGWTEMGAYTLCAASTFNGFDRSPVH WTTGDQSKPESKFVKRVLEEFTSTSLR I203_07753 MTLPISLIVLSILSMSPPVSGFMQNPYIPYTFDTAPNGNPFVPK ATNDINIPNPEHVQFIVCISFDGLQELLQENGVQGVYLPSRDGCITLCRVDQPFGLAY FSEHAKSCYCAPKEQHPMIKWVVGGVDDEGNCGGWDDVSIDYLNLPWIFHGCYSSLWS TPYRTEIVSDPIECVNSCQTWDGSVAMIPRVEGDGWLCACFEDPTEGVIGRQCGFGIW QGYFRKLKYVR I203_07754 MLTQEDMVKQDDKVASANVDVKDADYDYDPTTIPAEEGEIDQAY LNASKLTRLFRGTLFQMIMFGALSFVGPAMSDAITNLGGGGLSTPYLANLATALQYAM SCVMTLFGGPLINKFGIKWSCMIAAVTFPLAGCGYYVSAKFDVDWYLLFSRVLGGLTS GFLYVGETTAMLSYPHVHERGRYLGIWSAMRNSGSVMGGAINFSTNNTQSSSGGIAWS TYLIFIGFECTGVVWAFLLSKTSKVRRSDGSKVPYSRNLSWKEEFAALIKHAQNKRTW LIFLPAFYSFFYGGVYGTYLSLHFSVRARALSSLIVPTIVIPMVMAYGAMLDNKRWSQ KTKGWVAFAMWAIPQAACMIWTGIEYGKFAKEGTIAFDYQTHGRRWAEAYLPYLIIFT TGYWTQLSIYWILGTFSTDVKSSSRTGGLFRAFETAGQAISYAINANTGDKRIPLYVL CAIFALTLPCMTALIRLIPEKPADNDDVADGQVVYAREQMEQTTS I203_07755 MLTVIITLSVCLGNVFAQDRFNGTQYSPERLTCATAEWFDHVSH DPDSVGVLRPESDVWYCRYNCNADSYNLANWQTSSGLCYCSLEQEIPANQVGNATTNI DGCWSGTLARLDDLQADYDSEWCTNDLLGQTPYLTFSAPTILGCIGGCGYPPRPTDFP GTYIYTAATPRYDDAMQEYNYLCQCFDHRIETYNDAVGCGFGKVHWFQRVRYYVEE I203_07756 MSSPKSQKSSLLGTFESTAPEQLVETRQPDSSLKTGNLPDFRTM PFAAELLVKDRWTGLPPIPEGDQA I203_07757 MVNKVPEWLATVTQVEFVIDEKAFITIASHALDFEDTFLSRHPS SGQITDAKIVARIKLGKVVGRGSLWDVYQAKMYLVTPANSDKDSINVMVKYTACMDFD GDRYFGGDTVNILDRKSALEAVKNDHDFYTKHLLEFQGEMIPKHYGTFIKPETLVCCM ILEDVGKPIGNTTFLGFIDPQDK I203_07758 MAKPRLWLEWEERMVRMDCRLANFVTFAEFKEAYSQNDRKPTQK RKREMDDLKQLADSPLAAKRSRREPQFYGSG I203_07759 MTTAQKIKDIEEEMAMTQRNKNTEYHLGQLKAKLAKLRRELITP SGGGGGGPGIGFDVARTGIASVGFVGFPSVGKSTLMSKLTGTHSEAASYEFTTLTTVP GTMTYNGARIQVLDLPGIIEGAKDGKGRGRQVIAVARTCNLIFIVLDVLKPLNDLAIL TNELEGFGIRLNKKPPAITVRKKESGGIAITNTVPLTKIDAQEIKAVLSEYKMSNAAV SIHQPDATIEDFIDVVEGNRVYVPAIFVLNKIDAISIEELDLLYKIPDSVPISSQMWL NIDELLEVMWDKLNLVRVYTKPRGQQPDYSSPVVLRRGKCTVEDFCNAIHKEIVKQFR TAMVWGTSAKHSRGQKVGLEHVLEDEE I203_07760 MAHPLTQQPPSSLPFPFAARPSPLSFGFGHPSTPSGFNTPVRPP TSGLNWSSPVHSPTKASLSRLRSSESNNVASPNNLKRARRSRSPSSSPPLSPNSPASS SSQARGSASKVDLSAVAGLALQDGPPKSAKRSRPWSSDEPQNAASDGIDVGILLATLP SSSHLPILMQLLRTHPTLSESVLGQIPQPEVKTCVREMQTAFEAVQKAAGGSFGMREA SELFESRRWERVRGEAEIFCRTASTYIRYFTSNAKSPLEAESIFAFLHPLTTYLRTLL SVVPVNAPPNNPILDLAKLVLTIWDLWLKSLSAEVNQRGGMYPHSVVANWAETMDRLV SKNITNAWDSSPMSHWSLPTSRPASQNSTPFDESFRQALEPLRNRFLTEVGWMIGRRA V I203_07761 MFEFLITLLLATAIMISLMAVGVAITMPFHGALVRLRANYNPHA VGLDAQTRVGPTLTTLVGTLKRTKRLEGWWGLWKGTYPTLAYTTLVSIASIIFVGGSS TRGPKNTYSVPEAGGVRMGLFTIVLTLIALPMTVIINRSIITPYKLPNNPTTSLRVLL SPHELAKPHLLYLTPGLLATTFIHSLCVTLIARTMRVFFLGASAPEDISDNISPWRWL IFILWQAFATGWLTPLEVVSTRLSVQPNTPGAVATEEEEQGLPEGVSFCGTDEDVIGL RPTTDPYLGMVDCGRKVIEEEGWQSLYRGFWWTMLSNVFGAFA I203_07762 MSKILITRHVGTHAMSILQGSGYELIVNPQDSEPSREWVLHQIA DPQVGAACIMHSQPSDKVDQEFIDACNENLKCVSTFSVGYDHINVKAAHARGIKIGHT PGVLNDAVADITVMLVLMTLRKVGYGINLVKRGEWPQIPWAPFVLCGHSLGHPGLKIG FLGFGRIPQAAVDRLLAFTNKTEPPTIIYTSSRERENQATIDANFTKRWGVDIKRVEK DILASQADVLIVLCDLNPSTKDIVNKDFLAKMKPTSILVNVARGPVVNSDDLHAALKS GQIFGAGLDVLTGEPNIKPDHPLLHLDNCIVLPHLGSGDFDTRNKMAELCVRNAIQSM KGEPLLAEVKG I203_07763 MSNHALDTNPPTGSVQHITAHGSDWLWAVFAIMMVADILVFFWQ FSLPRGQRVFHQLCLFILSTAAIAYFSMASDLGYASVLTEFGHMGYSANTTRQIWYVR YIDWVITTPSLLLTLVLASGLPLSDIIALCYFDLVMIVTGLVGALVATSYKWGFYTFG CAALFYIWWVLAGPARASAGALGADYKSAFTSSAAILSFLWLLYPIAWGLADGGNLIT PDSEMIFYGILDVLAKPVFTIYHLYRLSKLDLSLLQLSSGKFSNSGGVFDREKHAAAG APATVGASITGTAKGGFFSRRGQRDAVAEPRTSEATAVSS I203_07764 MQDKEDKGGLDSPAWTRLMSLSSAQERRPVCARCQKQGKECVYA NAATSWSYVAALEERIRGLEEEKVQWISQQESMQASQHVIKRARLNTDPPEIASPPSH SGRSGVSPIPDTPAILNIPPPKPSLLVAFDRSILPSRRDIHELLSRYFLYTNAAFPIF HIPTLQRQVDAVAVARFGIAVVLNALAIGSASIDKTGLSDLAVLFDKST I203_07765 MTKPIVVALNPLHPQALALATEHFDLILPSSERFKDWRRHAQGV LTISRSVAKEDVDVVREYGKLKYVAKQGTGVDMLDLKALKDAGIVVMNTPGINAQAVA ELALGLIIDVARKISTTHARFYAGEEIHKADGWGGQTLFGKTIGLIGGGDTGFALARM FQSAFNGHVILYDPFLSTADLQRWERDIPPTFITRTSSFNDLLTQSDIVSLHCPLTKD TKGMISAPQFDLMKRTAVLVNVARGGVVDEVDLEVALKEGKIIGAGVDVTVVEPPSQE RYEGLCKAGCVITPHYGAAPADVQEATCLAMVEHMIEALRGGSVKNRVV I203_07766 MEAKTYLRNALQAKQPGLGFWCTLPGACTISTVLATGGFNWVLI DAEHGAITDKDYFDLSLAATAAGASPIIRVPWNEEWMIKRALDAGAHGVMTPMCHNAE DAKKIVSFSKYPPTGSRGYGPMFCPPAFGCKGSEYDSQADEKLLVIVQIESRQGVENV EEIAKVPGLDVLFIGPFDLAKQLNVQFGGEEHEAAIAKTLKAAHDAGKVAAIFCSNGQ IAKQRLDQGFDMVSIAVDNACLAAEMERQMGFATGTTKTGDRSYS I203_07767 MSSVPDHSIRISIDRGGTFTDVHVSTLPRSNPESAQQDESKREE FVLKLLSQDPSNYRDAPTEGVRRALERVTGEKFERGKPLPVNKLEYVRLSTTVATNAL LERKGQKHALIITKGFKDLLEIGNQARPRIFDLNIKRAKPLYTKAVEVDERVTLVGFS SDPRAEQNALVFDEDGSVKKAYSGVGAEEQENFIPGRIVKGLSGEAVKVIREPDLDTI KKDLQALYDEGYSSIAVCLAHSYTFPDHELAIGKVALDIGFPHISLSSKLLPMIKMTN RGQSTTCDAYLTPVLQDYLKGFYAGFEGGADGDLHVEFMGSDGGLVDLDNFSGLKSIL SGPAGGVVGCALTSWDEEERSPVIGLDVGGTSTDVSRYAGRYESVWETTTAGISMFTP QLDINTVAAGGGSCLTYKNGLFRAGPESAGAHPGPACYRKGGPLALTDGNLFLGRLVP KYFPKCFGPNEDQDLDPEASRTKFEELAQEIRTETGTEKTIDEIVYGFVKVANETMAR PIRTLTEARGFKTDEHVLASFGGAGGQHACEIAELLGIDRVLIHKYSSILSAYGLALA DRVYEQQEPASDTYNSATQSSLASRLDELAKKVQNVLKDSGFEASKIQVERLLNMRFD GSDTSLMVLETAPGKGDFEEEFKRAYKEEFGFLLNKNIIVDDVKVRGIGKTFDSLGPS AFSEYKSLKLNDVDQRKADFHQKAYVWYGHEGKRQDVPVFELGSLHTGDQVKGPALII DETQTIFVNVRWTAVATSSHLLIQRKD I203_07768 MVNESLPQAQSKADYDPITLSLFSNRFMCIAEAMGRSLKQTAIS TNIKERLDFSCAVFSPTGDLVANAPFVPVHLGSMSWSVKYQLKLHGKDLKDGDVLLTN SPMAGGSHLPDLTVITPCFDENDPTKIIFFTASRGHHSDIGGILPGSMPPTSTQLYEE GANIHSLKIVSNGYYDHDALHKVMVEDPAQYPGCSGSRSFRDVESDVKAQIAANNKGT NLLKALVQEYDLQTVHSYMNHIKNNAEQSVRKMLRKAAENAGTNVLHAVDYLDDGSPI ALTITIDPESGSAVFDFEGTGPELRGNLNAPICVVHAAVIYCMRSMIGEDIPLNAGCL VPIEIRIPEGCLLSPSPESAVCGGNVMTSQRITDTVLLAFKACAASQGCCNNLSFGAG GKNQETGEVVDGWGYYETIAGGSGAGDGWNGTSGVHCHMTNTRITDPEILERRYPVIL HQFGFRPSSGGVGKYRGGEGCIRSLQFLQPLSVSILSERRSRAPYGLAGGGPGATGLN LWVKQPKEKDGKVRTINVGGKGTMQFGTGDCLILHTPGGGAWGKPEVEDQVEKEQGER LLNEAREWEPRGSWADKAQPDF I203_07769 MKGTFRNNARTFFCRSVMGNSADGFATIVNMIALVCVNNWGERW AKVAVALWAFDAALSILVAIGLLFMIHIRSSQCQQAGFCPSSLSIVAAASGGVVAEAV SQSMPSVVITSNIGWGTGVPLALSISATYLYRLIAHGAPAPQALPSLFLLIGPCGQGS FGTVTLGKVVKDLVYSLGIDNLATQKQQTEMAMMAQATYAGGFVTGLILWGLGLCWYL LATALVIDHIWNVDRSYLRHRSFNVSFTALTFPIGVWASASNALATELDSEVFRVIGA VVSTQVCFIWLYVMPMTIYKAFEGSVFNATELELFSSKHRQRSEDKV I203_07770 MTPDERRPLLNTANTPDYSSSPKSHEPPDVNKLSYNKVGLSVNR FWLLCISMWVCSFLNAFDGTVVATLLGPISSSFNATNMASWLGTSYMLSVCCLTPIYG RLCNIIGRQASMLLAMAIFTTGNLLCAVAPSMEFLIAARALAGMGGGGLSTIGSTIMS DIVPITHRGIYQGFGNLAFGGGMGLGAPLGGIINDYLHWRWAFGIQIPVLLVGSLLVY TNVRYTIPSDPASGASTPVVKQTPMQLFRRIDFLGCFLLAGWVGAALIAVSLKTNSTL LDAYAWSDPLILALFGASAVLFALFLLVELKWASEPVMPFELLNRRTAVSVAINNFSI SMAQFALIYTIPLFFTVVRGMSSSQAGAHLIPNSIAGMIGSLGCGFVVRHTKKYYWLN FWSGLLGLVGAILISMWNRDSSEWMLWTNLSFTSFAMGAVTTLTIVALIADVGHEHVA IATSLSYVFRTIGQVLGVALSGALAQAILQKELSKRITGPGAEEAIASIRESSTSIRY LAEPLKSIAINSYQKALHAVFLCAVILSVVYLLAGLGIREIDMHKAVIKPANGQEEEE EEDIVGEVVEAP I203_07771 MSAYQTYPQQGGRNLPGAPSERSAASEDDGEEERDLPPSKGNGT AAPSNRACDYCHRMKMKCIGKENPPCNRCRQSKHPCTFDGPRKSKSSKVEDRLRLVEA QIGAMQGNLQELLQLQRGAAAVAAANTHPSTTNDFDANSPVHLGQRHHSSQTAHGPLG PPPWGAPPHHPHRSRAVPSPGPSDEDEPIDPLKSAYAAAPWANMLHLAEAARLKADSH IGGDDDQGFRPKVISPRYDVLDDVQRARKRPRTLSAVGDDAPSVHISLNERGPNGSPD PVDLGWCTLEKGRQLFDTFFERCGVYMPCFDPEYDTWDSLRKRSPFAITTIIAVVTKC EDAAGPPSELQLKSREHAEKMAMHSLFTPVSRIEIVQAMILLASWGETFWRPGGHAIR MAMDMGLHKSLAYLVEAGMGAGKTPEQVEADRLIVAGARVWLTLFKMEYEMSFAYGRP GLFCSEITIKDARDFLRHPLSLPTDARLVSSCESLMLRMPLHQPLALAPSNAAQPYPN MDGKLREANRAITDWYNYWDGYYARNGVPKDHFLRETLITGGAGSFLSSNSYVLHEIR SRRDVAFLSDERRQWLQEAGRKAQQLVTICLRGQQVSPVSNQSTDYNIVYAARFLIRM ATLVPESCNLRQIGKDVEQVAIMLTKVPGFLFAHMLSDVVKKARRDQVLPPASRAPSR LPSPTRGLTALPMSDQNSWSSGLTPNTNFVSSLSGGPDVSINVNPTSDQGLSSHMDFL YAEQLFANTGNANTPSQFLLNPASGPTDQGFSLDAWFPFPPLDNDLSPLLATGTDSSA HPPPANANESRQTWW I203_07772 MQHRHQDRHPSSTESTGIGIYDGGFEQIPHVPATPERVAQHRQL DDDFERAGPSKHWSLANFDIGRPLGKGHFGKVYLARVKSKTDPFILVLKCLSKDEVIT KEVQIQVRREIEVMQQLRHPNIIRLYGWFHDSTRIFLMLELAGKGELFKQLAKKGRFS ERRSSQYIRQVTSGLTYLHSNQIIHRDIKPENLLLGMNGEIKIGDFGWSVYSPEENSQ RTLAGTLSYVSPEMVLGQPYGKAVDIWALGVLAYELTCGAEPFGADTSSGPRLVHQRI CTCDVHFPSFLSVEAREFIQSLLRLKPEERLPLDQVDQQPWILNHL I203_07773 MSPLLVSPKVNAVLRVGKLGQVTKTIRVRHSSSSKEDVPSIQTR YLTDTDGEKRTAVWTLAADIIQRCPNVRTLEWETNFGIGGSLWDAISSLNDLTQLSIR HSPLHPSQDPNRNSTAHFPRIAPRLQLLIPPGLSLQPEVKAGGSVSPLTRGSVVGNGG WGLGIGWENLEVLKIGPLSETGAKTIANHLTLLSMRPCSLAGIALETHFLDILLCNAI SRIGSLGTLAHVELSTTGTRLTAECLNTIITGCVVLESLKLNGVDGHLSKDTWSTITE WPPSFESLEIVVPEYSKKFSWILDHLESIHHVPISQLKHLAIRRSIHPTNFLPFPPPN ALTYPPCRPDMALQAIPDTLLNAIVDKGKQLRSLCLNWCEITQGGFTTIMSNCTKLKT LEIALAAPLIQVLNLPTSFAKLPMERLHITSDPAVHPANITGKLKIDDINIPEAFPTV LRNQILENDPNLPDPRDLKRFSRRLPNLTTFAWVGKGGRGEWHFTRKSAPSSIVNIDF KHSAVKTEDIWQQCQKAPPPLYGDVIENPSSSVSLEIPSLPSTPAIIDSPISRVSTVN TDGLVKTPSTGRVSLAEVEAGLEDPSPFNAEAVTEVPPTATKTFTGLPKILRNSKASR PIPATSPSTSNKSGPSAMFSSPRTSGPVSSEARSPPSSPHSSHRRGSSRTWHGVTRKS AVPEQELTNAPRIVPGSGGRQSLPVEAIGERLKKAAPKEKVEKKKIEASPKSAESGWT VVGAGNDKPIKGDRSKKGIPKKSKY I203_07774 MDLGASGLPTSFGKQTPSLPTRPPPAQSTSRGGHSGRGSRGLAG GGTRGNNRGRGRGGVSSGEDHGNHAYGDELEHAQSGFNGGTKRPHPPSPNDSPSSSNR NAPPNFRQNQPFSNRGNGGRGRGRGGGPRMQHDHGQGAGERGFWKDSFMEDPWKELEE QRSRTKGVV I203_07775 MSDSQAPLTSRLSSTIKSTAGSLASSVKPAENELARWRRTFDRF AKEEIEGKKYLNPSQFIDAIAPTDEGFSKIKREQYTNLFTVADNTGRGLVSWEDFVVF ETLLKRPDADYQLAFLVFDTDASGTIEFDEFKAVLSANTAASGIPFDFDCDWMKLYVG KRGGRHVLGYHEFTQLIKGYQGERLRQAFHHFDQDGDGYISPEEFQRIIVEIAGHKLS DSVLERLPTLCTMNPGRKISYSEVIAFHNSESDMDAVERIIEHAVRKSKDGRIDVSDF LDEAASSMRYGMFTPMEAQIIWHFATRGSASTSSRLGSADFQALLDAKWQPPEAAIQP QVTASRSVLSQLGQSAYGFFLGGIAGGIGAYVVYPIDLVKTRLQNQRSTVVGEVLYRN AADCVRKVYANEGGIRAFYRGVMPQLVGVAPEKAIKLTVNDFVRKKATDPETGRIPLY MEIFAGGMAGGCQVAVTNPLEITKIRLQMAGEITRAEGGGAVPRGALHIVKQLGLVGL YKGAIACFWRDIPFSMIYFTAYAHLKKDLFHEGRRGKVLSFGELLTAAGIAGMPAAYL TTPADVVKTRLQSQARAGQTVYKGVVDGFTKILQEEGVRALYKGGLARVIRSSPQFAV TLAMYEVLSKTFPYPFAPAVPLAPTTSRPSLSSSQDISRVRARNALRILLDCSSRFGM VNSQTASQGVASLPKVFRSSSS I203_07776 MANTHNGTDNHLKPSEHHPTMLESAETGLASEAVEYGQSPMLSR TASQELTLNETNHSNDNDKSEGKDVEKAEPTQDNSGLLSGIRLYLVFLSLMLSVFMFA LDQSIVSTAIPQIVSEFQAFDQVSWIITGYFLTQCGLILLVGQLLTILKAKWMLLGAV FFFELGSLICAVAKDMTTLIGGRAVQGIGASGMFVSILAVIAVVTRVDQRAAFMASFG IVFVISSVVGPLLGGVFTERVSWRWCFWINLPFGGVAAAAVLFLLPARDPEVNENTPH DRTLLGKLRRMDWLGTALIFLTVTCLLLALAWGGNEYAWSNWRIILLFILGGLLVISF GAWQWYYDKHALIPLSLLKNRSVIACAGAMFFFMLAMLGGTYQLPLFYQAVTHSPEKS GIDIIAFMLSICVAIFISGGITTKFGRYYPFLLIGPPISAIGFGLLYTIDAEMSNARI IGYQILAGFGIGLSFQNVLIAVQAEYHDQPALLPQATGVVSFFQLTGAAIGIGIINTV QSVFLNTEIKRLAPNVDFETVPQSVSAIYQLPVADQPPVIDAYIISITKSFIPIIAAC ILALIFGAFIRNHNMLTKGGAGAAHMA I203_07777 MPFTPVQTFLGGLLLHFSTSSLLEDTGRVFGISGIFNGAIFGTR EPWQWATISGLLAGPLIGLATGLQAYYPGNGLETIEQMGFVRLALAGALVGFGSRLGS GCTSGHMLCGVSRLSPRSIVATATFFTTAVLTAKLLPLNTSPSVVPAYTLQTPPTQTM FILTSVLIGFKIAYSSLRRYLLASPSTTLRLAPFFLLGTGFSLGLSISGMTDPSKVSG FLQLFNPKHFDPSLGMIMLSGVLPNAIHYARIKYTARANFPWESWHVPTRKDVDWRLL IGSAIFGVGWALQGLCPGPAIVSLGEVLVRTVIPGHSVPMEALTKVITFVGAMVVSMG VVSLV I203_07778 MSSKLTLESTVKFHTGREMPRLGFGSGGLKDEVGVEAVAYAMKT GYLLVDTAQLYGNEEEVGQGIAKSGIPREKLFICTKWQPPVERSAIRPTPEQVRDEAR KSLARLDKSRFEKEYLDLMIIHHPRPDPEGRVSHWKGLAMAQKEGWVEDIGVSNFNIK HLEALPEPLPAVNQIELHPWCQQREVVDYCKSKGIVLQAFCPLVRIRKDKFEDPVVVK IAEKHSKGVAHVLLRWSLQKGFVPIPKASSPTRIDANKDLYDFELDEQDMKELDSLDQ GAAGRVSGIDPVHLPD I203_07779 MSMRTALTAGWAALHTAQYGFAISSLNGVQGPLTCGGAGAITPH LVDSKGLKDCIQMSPGAFGLVTSIFTLGGLLGSLAATNINHHLGRIGTLRLSALHILV GSLIVGLANSMGVMIAGRVIIGMGCGLATVTVPLVLSEVAPPSIKRALGIMNQIFIVL GMLTAQSLSFPFAKPFAWRYVFTVSFGLAIIQLLGSLLIREPSKGQETGIDEESDEET SLLPSENEKPLSIKDLVLSKDPLVTRGLLVVLVTQISQQFCGVSPVMYFSTRILTPVF QSNSRLIALFIILTKVPITILPAFLIERLGTRRLFLIPTVFMSIAAVLLAFGINYDAQ ALSVIGVFSFVIAFSVGLGPVTWVVLPEVMPKHAVTAAGSVGLALNWTLNFCMGAVFL PLQRWMSGGRDDGEGNVFFVLAATCLGAVLAMRTAFKAQERAVI I203_07780 MWAKSLIAGSILSTAVAGIIDLSIPFASELVSDLDAIIHSPPSV LEYQGGYLDPKTQSKRPLCTLKALGDEKDDSDNLVAAVEKCGRGGIIRLPDANYTIGK PLELVLVNAVLDLHGWLSFTSDIPYWLENHFDFPFQKQSLAFIIRGHDYVLDGNDKGG IDGNGQYWYNYAKDFGNKYGRPMSLTIKDSKNVLVKNFSVIQPQFWASLVWGSENVYF KDFYVNATSFNPESSSDEKNWLQNTDGSDTYQSHNVTYENFVYQGGDDCLAFKPNSTL ITARNVTCFGGTGIAFGSIAQYAGVKDIIEDVLLEDISLYPSDQCPGYQGVYFKSWLG YSIGVPPNGGGGGYGYARNITVRDVYMEDIWHPLVVQSDLTYLEFNRKQYADSGLFEW SNIHLKNFTGTALANRVAWMSCSKITPCHDWTFEDINIRPGKEDHPEIHYTCNNFVLG GNDGLTQCHPSNSTLETDNGGTL I203_07781 MTDLTRLSEQLLESDFDSIPIIDLTDAYSLDLRKRRALAEVVRD ACLNAGFFYVRNHGVPLSVVDEIFEQSHTFFDLPAEIKSTVDINKSDDFRGYMRLLSS NTNPDNKGDRHEAFNLGLDPLVHPESFEQSEKEGELKHSENLWPDQKDWEGAETFVSG MLSMILTLGYKLFPLLALALNLPENFFEDKTRHPAAIMRLLYYPALDGKEVNELMPGI GAHTDFECFTILRQDGVSGLQVQNRKGEWIDAIPTPDTFIVNIGDQLQRWTNDIFVST RHRVLPTISKDRYSIPFFFGCDHDVPLIPPKTCITEDRPARYDVMTAGAYVHMRLSEI YANAKE I203_07782 MVSVRSDDEETLTIRPARTQEPNYGATEPLSNDVEEGRLAKKSE LNPLDMGQIILLSTARISEPLTFGILFPFVQQMIVETGEVSVENVGYSVGFIEVAFSI TQSYAILVLYWARAADKFGRKPVLIASLFGALSSTALFGFCKHVWQMYACRFLAGVFG GNAMVIRTLFTENCDSTNQATAFAYFAFAANMGAVLGPTLGGYFAEPAKQWPKVFGHI QLFVRFPYALPCVVCAIYILVSMVLCSIYLKETRPQECDNPPTIKSVLTRKMVGMLGI YGWAVTVVFCIYALFPLYLFTPVAIGGQSKNPPQIASYGAANGLCQGLWLLIGLPRFD RWLGTKRTFVIVSSMFPIFIMLPALANAFARSDHWLLSQLSLGAFVTIGSSGNMTFTS VQLLLNSCAPPAAIATVNGIAVAICGIVKSIFPAVINSIFAISVSNQILKGYLAWIVL AAMAAGTFVCALYAPEASDDSKDLAKSKRRVLEAEGEEVMESGQF I203_07783 MLLGTNDFLNNPYKTRDDVLKGCVALLDPLASHTSAGGALLDIG STATHYDVKAVALEAFARPLWGLASLLAGGEKYDGAERWIRGFDSGTNPTGEEYWGES MDKDQRMVEMSPLSFAIAMAPEVFYNNQSPQAKKNICAFLQSCIGKRMPDTNWLWFRV FANLALRTVGSDFHDPEQMEKDLQRLEEFQLSPHGAEGQESASAGWSRDGPEDVKQLD YYSSSFAIQPAQMIYAKLAAKTDPERAKKYRQRARDFINDFVYYFSDEGAAIPFGRSM VYRFAVIATASSMALADIEPPAPLTWGHIKGLVLRSPYWCMKAFLCLAAPSSHPFWTA EELAWPKDSFPLTKSLPDPSHIMCRQGDHTFLLSSGQAPHYAMRHGPAKYCKFSYSSA FGFCCPTGDMDLGQLAPDSMLALRDASDGVEDSDGETWRVRRNPIDARIVGRGTDKVH LRSKWRPWKDVEVETFLVPPQDAKSSYYLRIHKITSGRKLETAEGGWTNYGQGEDGRA LIQSFSGLMSKGGDQEVGWSRAVTAGGAVGLVDVPYKGSSGKRQGQLVQIDPNSNVIF SRGILPSLVGTLGQGESWLVTAIFGKPAKGGKTEGWEEAWKAVPKVPSWISQTA I203_07784 MADIDNDQVNDIEIGIVGSGSMGGGMTLLFSQHGSRIGSYDYDK EAVQKLMDEAKQDENVDEKLVHGFTSLQKLIKAFPKGSGDEQNPRIIVLSMPHGKPVD GIQNDLLPLLEKGDIVIDGGNEWWEETERRQAKAREQGVEWVGMGVSGGYQSARHGPS MSPGCTKEAWKYLKPYLEKWAAKTPEGEPCVMHIGPGGSGHYVKMIHNGIEHAHLSIL CEVRSLLHQQLGLSNNEISDLFESWWKSGPLRGNFLVGIGFKGLRFKEGGGIEHAEDG IVEKIEDKVTQDVDLSEGTGTWSTKEIAERHVAAPAIAAAHQLRIISSDKFERLKVAD NLGLPQPSQAKEAKFDKGEKDKLLETVQTAVYGAILGAFIQGLDIITKASQDQKWNIS LATCIKIWRQGCIIQSDAIAEFFLPLFQKFPPSEPMNLLKSIPEIARELAKTYDAQKK LYTIAIETDAVAPALGASMEYLKAVNCRDLDTNFMELELDYFGHHNYDIKGQAEKGHE KGKYHTEFAKMPGV I203_07785 MSFDNPGLRRRSPNPNSPLPHLEIPYSPALLSPYINVSGNGEGK NLGLGYNEVIERYERASPSMDPRGYPNPTIRLIPSNGNLPHSPIQATRHAPLTTRSQS NDEISSVSAESAASLAALRRHRTDPKSYSNAERASRGSAFESSLFPRDSRDRPVPPLP LDIKRSRGGSPSTISSKSSVTARGTGESTMGSSSPATPHDSRPTSVLSSLKSFKNPFA RRSQSINTPSSPAPSTRSITSFATSRSSTSSTSTMAVPFYPSAFWKEGGKLGNLSNKD VSEKLTDKFPAPRQVKEAEKGRKERWTGYKWVLLLSVMTVFAYGVAGLTWALLILGRV NPHSDVTMVSDPDIVIFLTLASLLCIFTGLIGFTGTLLNSRQILAFYNLLLWPIVLSM CLVGYTSYKRGSLQLERKLNQSWSQFLDDGERLTIQNSLKCCGYYNPLHDATYSKRCY PRTTLPGCKARWIRFERENLHDFATAAFSVLGFHFLNIVIALLCSNHVNRTFGKGLTP PAYRLRMADVRANALAVLSTLPKSNPHNPALMRQPAISHKSRLDSPYSRSSSRMSMTS SDSGTIWTEVMHEAGPRGRALREARQRGLL I203_07786 MSSINATSLNLKLLLIGNSSVGKSSLLLRFTDDEFLSDEETAAT IGVDFKVKSIELDGKKYKLSVWDTAGQERFRTLTSSYYRGAQGVVLVYDVSSWQTFDE LLKWFKEIDTYCGEGVVKMIVGNKVDKEFSRQVTTDEGRAFAQRMGALFVECSAKTKL RVPEAFEELVRRILATPALWSKASATPLRRSEPIKLEDDSWSSGGCSC I203_07787 MAKSAQVPGSKKATSSRPRPSVKSIFSTSRQAFYASFFILWFCI DVAELGLVSQQIHKYGRFAENWPTGKYQHAMGLLLFSTIIGLLFSIFHWALGLTMYLP IFLMFGVFWGTGAGIMYETPFGHGFQCRHTNDPSRFAPNWVPYLGECSRVTAIEGLAW AMFALSVIALFFTLFDKFTFTSKRSAVYESLAEEGHAQIEEKH I203_07789 MSLSNPLNVLLIPPILYLVFRLIVPHPLKQTPAASTYTEENYNW LPAKHPEVICHRKYTAKELSEYDGTKSSPRILLAILRIGSDGQWGERTVFDVTAGKTF YGPDGVYGNFAGRDASRGMAKQSFELDMLTPVDQPLDKLSNLTQAEIDNMRGWHEHFE RKYIVCGELVEDN I203_07790 MLYTRATGGVGSTSMGVVMRRGPQGMMLQRGTASVPLRQQQLVA HALGNTLSNRAFSSSLPIPLQALSITQQTRLYPHPSFSRSVSTQPQPPAPSSTPPPPF SAPSNPSSPKNIHDPPLGTPPPLPPTPLNALPPKATELAKAAEEKEVAKKEEKKPTGP LPARIWAVVKKEAAHYWAGTKLLGQEIKISSKLQWKLLNGGTLTRRERRQLRRTTTDL LRLIPFLPFVLVPFMELLLPVALKLFPNMLPSTFEGEFAASEKQRKLLRVRIEMAKFL QETVRESGLKADSVVKSEEFKEFFRKVRSTGETPSQTDVVRVAKLFHDDITLDNLSRP QLVSMCRYMNINAFGTDNFLKHQIRSKLEKVRVDDMMIHAEGVDSLSTKELQSACQSR GIRFQGVSPARLREELEQWIELHYTNGISGVLLVLSRAFNFEQKGDNVMESLVTTLSS LPENLLNEAELNVSDEATYKQKLEVLQQQQELIEDEADQEKEEQEARKEEKERKEAEE AAKREQEEAAKKAAAEASGEAEQPAAATTEEVKEPPKPEEDARMTKEQLGELAEALSI LTAKSSIVKERDELKALLEDNLLSEAEENSPSVAVSKRVRKMIQTIDTQLEKYDEKVG SSLNVIETSPHGQIALADLKKALTVIKHKPSDEIIEGLGKKLDVDQDGFVELDHVIEL TQDHGLGILLEDEEARNILDKGSDIRHSKDVKELKPKREDILQE I203_07791 MGLLPLPLPLDHQTQPEAGPSTSSSQTTSTTTATPPTSPIPWSL RTRKRRRKEGSEDSTFSSNYTPSTFSNPSQESPPLPSPSASTSTSTDPPSKARPRPVS LRGGGKRPKKHNNNTTNSKPDSQTSSSISTGPNGKPRHKKPDGHGHGHGHTRRTTRSK AKRASSPSDLVTTSLEEIALEDTAVKSEIARLGLTLRDVKGDGNCLFRVLSDQGFGGE KRHAEIRKLVCDYLETHKDVMEGFVVPFMKDGEGYEGYVARMRQLKQFGSHIEIQAAA RVFKRNIRVVMSTTSFTIPWQTEAGPSKDPPATPSPSEVGPVPITPSHRTRSKTVHTP HLPSIPLPDYLPTVKEDSSMLWLALFSQAEHFQSVRCMGDREHGPAEIQDNLSVPHAR DVSEAARRERGELVDEKKPKTPLSLSSKITQLLNSLPLGHGISPEEAQNVLGRTRGDI GEAVEILLEEINQEKEEGEAGGGSGTTTTESGGSDSGSSDHVEQMLLSPRLPTTNTIP SGPTEYRSDDQVRSRLNTSESPSNTATASTESRSRSRSRSRSNSESDTSIQSGHTSQT EPFIEEGKDGSINRGDDRALQPSTSTNKLSDGIKDMKVDTSEMNIDRPQLIASAKPNG EDSSRKSRGLHMDRERRRSSRLGTVGA I203_07792 MIFSRFLAFSLLSLSTLVLALPTPTLNDREGLDRRYHEDHRKHH PKVHESSRPSASPSGIVRRKVQVPISTVPVVLGAPEDLARCPSQQIACPISPMSLAEV QTVSADTPYECITPQEDLYSCGGCATLGTGQDCTAIPGVLSVSCAVGQCDVYSCKAGF TLSSDGECLPNIFDYTTRP I203_07793 MSAAAANTKPVAELKKPIPLPFAYTFASGAIAGCTELLLLYPLD VVKTRQQLDTAKTSTGMVQTFKNIVSQEGPGRLYRGILPPLFMEAPKRAVKSSWGAFF TNNGQRKNTQSLAIVTGCFAGATESFVVTPFELVKIRLQDKNSSFKGPLDVIKHSFRT SGPLGLYQGMEATFWRHWWWNGGYFGAIFGVKNQLPKATDKKGELLNNLVAGTIGGFV GTALNTPFDVVKSRIQLHGTGEWTYPALIKVAQTEGLGALYKGFAPKVLRLAPGGGVL LLVVEALSTVFRGYLGAPYI I203_07794 MKTSTPFALTLAGLISVSANPIVRSNKWKRADLQGLDFEIINLA RNLESLELNYWNQGLKNFTESDLDNAGYQGFRQYLELFRDQEIAHFTVLNEAAGEGFE NCTYTFPVTTPYDLLSVAQVVTTVGEGAFIGGIANLTDSPARQTGGTIIGNEARQNSL LRQFLGLDYFNAVNFDTPLTASQAYSLAAPFIDCPSSNPPINFHKIPPLMANFTSGST PHSPGDEISIMWDSSSVYLGNDVHINFLGDVYSIPQPLNQTGDGSGTTTLPMGINGTS FLVATNFEGGGPIPDKQNFAIGYVIVA I203_07795 MAGGAVVAGGSGGNPLRHGFIRREYLWAFILVTSLFFLWGFAYG LLDVLNKHFQNVLGITKLQSTGLQVAYFGIGYFAFSPVAGEVLKRRGYKTAIVMGLSL YSLGAILFWPVAKFSVNTKNPQAIFGGFVICTAVIACGLATLEVAANSYVSVMPPHNV ANFRLQFSQSFNGVASFTGPLIASKYFFSEENKNNLNNVQWVYLAVSGMGMLVALAFI LTKLPEVSEEALQAEAEALAEAHGADTQAAQPFYKQYRAITGFVAQFLYVGAQVTIGS FFLNYTAENAQIADSKGSQLLSYALIIFTVGRFVGTALLAVVSAPVLLCIYAVMCALL SLLIGSLHGMSGVICLMLVMFFESIMYPCIFVLGTSGLGRHTRRAAALLVMGVSGGAV FPPIQGAIADKFSTRTSYYLVVPCFIYIALWAVYIWNKDGRRFGVPATSDIEREVEAA AGGVVPAAAVGALGYTHKQEEEMYESAEKSQIERVEKA I203_07796 MGLFGSSDPTVKAEKAIAKEAKAEDKQLRQAEKDLAHAEKSEAK AAKAEVKAHQAQEKAVKVEQKAAAALNKATHKHDDTVNHANAAVNDVNRTSGEHQRIS QEVAQKKAALENLTQQHHAHEQTREGKLNELHQQPATAASNVTNDLRTGEHVTPNGGV NQTGAAGNGALDQTQANAAGVQAGSGAGGYGAPGAGTGGAGYGAETNAAQGAGYGQGG VTDGQRTADAVKRL I203_07798 MSSATANVTSALGSFELIDEHASRGRTPSAYDWKPEHWVKYPVP ETYNIQSPPWIRPEQVPMSFVGVNIVDVENSLILKSMTVKIKSGKIESISKSLKEHMQ EDGWESINAEGLYLSPGLIDVHTHVTAIPGQPLGSLSPKSETLTALGTQYVLKGMLWR GFTTIRDVGGANRHYKNATEQWLIPGPRVFQGGPLMSQSGGHGDDGEGVDKPINGCCA GGGGFSGTSCIVDGVDECTRAARRIMMAGADHIKICSSGGVASPTDKLDSEQFTVAEI KAICDTVRMMVTAHCFTSAAARNAIEGGIGGIEHGHLLDDDTLKLMAKKGVHLTPTLI VQELLDKPPYQAMIPPVSRDKLKLVIDGGYRVMKRAHELGVNIAYGTDCFGPMQPNQL AEFELRKKVLSSKDIIKHATINAAKVLNMQGKIGVIGEGAFADLLLLSANPLEDVGIF NEPHKYVKGIIKDGRVVRSELKSLRVEVSLM I203_07799 MSLEKVSSNRVSTGYLTKYKFPSTSLGGLSTQFNIFLPSSASAS SPAPVLFYLAGLTCNEDTGAQKGGLLNTAGKEGIAVVFPDTSPRGAGVEGEDDDWQLG TGAGFYLNATAEKWKKHYNMYDLVVKELPEVIKEANLGVDFSRWSITGHSMGGHGALS IYLKNPGSFKSASAFAPACNPSRTPWGINAFTNYLDAKTRSSPPSEWLPYDSTHLLQN YQGESNILIDVGSEDDFLKKGQLEPQAISSLNKKGVEVRMQDGFDHSYYFISTFAPEH VEYHAKFLKA I203_07800 MFPSTIALRQSSTALRRPVLRSTSIASTGLGIAGRRWNTQEQRG GKSKLIFRFGLRDIPVELYPMAFVVAAACVGAGFAVGRHFYLDGLRIGPTGKKE I203_07801 MSVQAQFGKAVAIVQGLPKDGPAQPSQDDKLAFYGAFKQANEGD NTGPAPGMFDFVAKAKYKAWKALEGTSQEDAKKKYVDLLKAILQKQDDEESKKYLAEL DAAGSA I203_07802 MFDPTQYTIRIASAGQAEEHEKACFEIPYWRCGMNWDQFLGFGH EETQAEWVKDNAMLTWVLVRKDDCDGPVYSILATHRKKALIKPRFGLEVIDGYWYNIT AVVTPIEHRGHGYATHLIRLLHYVLLNPLSPGDPPSPIPSFPIEWGSPPPPVPSYLED AIPRPCASVLWADIPMSFYENCTIGLTGKGYEYRKEWNSRLVFDLHLDNRTRTVEAQD EWDLIYEEDLEEIKSILTESTKSNINKSNTSSKSLWTHDPSTNGSLTFIRTRGSFIVP PPKWKNTSKPLPLGVRLRSPKDEDGEVTAIVMFALENFLVEPRLLLTYVHNLQPDQVR SLLKVLEDVVRDTNAPWTQGEIWGFNLSNPSDSWVKELENVEDRNVKIDIRDGIRSHV LGTHLVFELERDSCSPPFKNKDDDSPYLGWDPIWESDLFEIGSILSQTIKHKLENVDT SNRAILVQEPSSRGLSSFVRNRGSFLPDRLALKPSSTTQDNIP I203_07803 MSLLRCLPRTTSISRSFSSISARAYSAGIPQPSYPVQDKQPSSS TPQNLQQSPNVATTWSTGQNPKPHAYDNQRFEQTALQFQPNAPSAMGMVSEDPVRLVN GRIATCDGGMGALGHPKM I203_07804 MPSRNWTKSSIFQKCVPGSTNPSEPCFLIPYNSYDEYETLEVTA KSKSGRSGEVKTCASHMNKIYGFATLIRNHNRHNPEDPSDLSIFISQGRNGNGEQLNW TLADSSRRSVPTLDKDSRQSLSDAMTTLKAFDRKLESSAVQLAERSLWNADLTREDKR IYAEVICEWALERSAPASLKDKVTTFRPSIRADISVDRSLWDGGHSYKSLHNNRSYTP REVRELQQRRRSSDASAMAAGSDLLIGSLQGQGQADTSSDDEDRSTLEHSVTSLFD I203_07805 MDPTPPYDDILDDETLAPLKRNHACLQCKKRKVKCDATKPTCSP CLRSHAHAVRSAHRNGTSPPVLTCTYAEGDSPEPGTSEEGKPKKKKLIGGNSSSNHAG VKRHQVAQGKRDTEDEEKEVLKARIAELEARLSVLTPPSSHSNIEASLPITSSSTYNT VVNDMPFSATVSQFSSSSSRQTSARVEPVLTDIGSGVESYNIPIIGMDTNNTVPLTEP IGNFSGLDDFFIVPKDWPKGLPLPFLLEHLVETFFNYVPQTPRMLHRATLLARIKLPP SSPDFPFPGLLHAICASAATYTAWVNNLAPHLLEEAVQRHLALGLDLETIDDFGLAQA HLGSKAVDITTSVCIMGSGALIFQLTQTCILLGDVYYQKGFPMKGWMIGGQPARLLSV LELCNRQPKSNSHKEPLLSPPESDKEREERLITSWMAFVVDAGFTINSSWAPAMALTE MKCNFPTSAQDWDKLDGMAKNPQHAGSLDLYYSHPVEDSFVFVIKGSAIMSQVAQWLR NWQQREKLPDDELTGPTMESFKLLSHSIEAFSTSLPASLKNICRHLDTQMMAFDANLL AIHLIPNIATCLLHEPFMQWLPYDPSTMAVQRAYDSIMAILHLIPSNLDITLILTPFL ALSLYTVGRFISDFTRHAESTGQDQTALRYRSDLRTLINILERYGQRHPLGNAMVHFL ENYMQATSKNQPINPDGFCKLNTKRIEYSTLTPTDEYNIETIYQNKINLHNDIAQKYP GGIVNGEIQIGYDNSRAPTERSTSISGISPNTIISSASGSGSSNQPTPESINSLNFNC GNPSGCLFNLENPNVKANENRQQNGIDISESANTLYKTASNWDTNLNSNDKTNTTPPA GDAFEHWSKSFHTNTINQLKTQPGAQLPLMTFLGNLGTDDAIQPLPIPVPLPGSNQDW KDNVMDPFKIPSIGMSSNQNSNHN I203_07806 MSHPHPFSTYTNNDNPLSSPENHSDMIRTSLSLHSPSMTSIRPP TTPLPTSASTSTPLHHQYSYPAMQHNQSYPPPSSVQRYEPQRNDSYPQWQVHTDHYQH AHYPHTQPQQRYHHDLTPEPSRRTIDQSQIASIPQGHIYSPHAIRASTHESLNQSAQG VSRSTYPHEISPEQDTTSGSNKKRPRQISITANAQNQSSSNESQSETPTPMKTMFWDV NTKSPISASSSTVVQSEPTPTTKNTSHHHPTTISISVPSVLTREKKQKACTNCRKAKL KCILEQGSQECVRCKVRKEKCIFFPKSQEDETQQKLIRDVYEATDHLSQLSKAVHHIL HHLTEKNIIPPFVSAELPKGLDHYDPPERPILYQHQQAGEPNAGKSEESRNTGGRGKR RKVNNKVDEDELDELEEEEGPGTKPSTATAATTGEITPNGSSASSSIPSVLHGSSLTK SQNDSHHSGTGNSHPSTVITRPPYTAVSSAPPFSASTRLPPPLIPPNRPMSHTPPLRT SPVDTAQLIHPSIGQVLSSSGEGWNIGQPPQDVEMRSISPRTRMSSPPILTSSYVHSP YTQADLHSAENPDRPPHNGGLSSSEEHTVTPDSQMDVVSTKDTELMVIGSQDPRKDIV KKGLVSAEDALFLVNYFHKSISPLLYGYPLEFHHFPYIAGPQYITPLLLSVLCLISSE RVSSYHKKYHRVLAEEVTTLLQTSPAESWQRFEGGYTPDFGDPEGDEPLDAEFGLGPE EIAAACILATYMTEREQASVIARSAFRWARGWIKLLKSSTSPRFTIAASVGLVPPERH ATDLDMARIWLLCYIVDSTERLQLSLDAPPPRDALSFCYMLIPPTSPMAHGQARHSTQ DVLLTFHARLISVLNKWRHKFKSLISASESPVELVEQLKNLAAKVNDELVWWESEFEN ALGRSVTFSTADITKNGNESPTMDNTRMAFGLRLGQLSKQHIMITFQFVRMSVNSTLS KYLPSSTSYTTMSYPPSNEFEERQLKDYHLNITSKKIVVESAIRFLEICKNWYSYGSG MEEDKDREQSLFNLSPTYLFFLTLMGSELMGIVKESKEGVSHTDTTDIKADDVISLLK SVGEMLFLGELDEQHVSRTTAKTLFLYCEKLQKYR I203_07807 MTTRLPTPPPSPTIPRLVLCADGGGSKVCVVIRSSDGIEVKGIA GPCNIQSVGYQAASQSLLLATYRALSQLSNIYLPSDLYIPSLPLLPSKGGPSTYQKLP NLNVSVFQYAWLGLAGVNCESDARGFMPFASDALALTTEKIKLSNDVNLLAAPALDIP GIDHVVTVVAGTGTIGRTIRIRSSSKLPLEDIGIARGWGYLLCDEGSAFWLGRLAIRS VLALADRHASSSIYSSSPPPLLALHRDILGYFGTTDPADLITLASLSNSIFDGLDIGE ATAKRNAKIAAAARVVLKWAFPDENPVRNGLPTPPGSTDGEVEDSSDVDMDMESEAEV ELEMISGLGTDEKSQKEAMKIVSKSIQPLVELTLECLGDMSVVKLDKTILVLGGGLMM SKGYRSLLLDGLAETEDKVRFKRVLKVDDSAVEGARALGKIEFGYQPYTK I203_07808 MVISKFSEVISCLEQTDPTHPPSFYYSLEVVKRYARAKIAKGSG RQLMCKHGKLETLESKFSSLGLQDIFYLTRLHLKLPPSSITLPSPSTTVRQTLLKAGY PLPISPSQAGPSSPKHRIGERSRSRRGSRSLTSSPVPFASTTPALLRRSSSSRPDLPS TSSSNVKDHPHGAIAGNVPSSMTSPKQSITMSSPSSSSGCSSHSPTMSSRWTPLLMGL GLKTILDSSSSSSSSSPRHDHQSQIPSIAQQAEITFNSFSPFQSPTGMTVKEHERGNM TSSPNSQSPCSVEILDLTPLNLNDKPQDEEEIVQMEVFLNESKYNEAYSLSLSPLPQK EGREVEYLESTLDLQVGLKM I203_07809 MSTAFIPVALAPTVHYSNHDLDKYTHYYPSPASVKESSEDRPPN INLHTPDSAQNINQSQNSSSSSMYQQPGYPQGYQQQQQQQYQQQPNWHTQVAGPSTRR RQSPSSSSQISPVPLNDYRENSREAGSGYTKDRASRMIVDGEEDDGRIGTEDPRGERK YTELKCLGDGSFGTVWLCDWHSPVKSDIILSAMQCGAGARPEWAGKRLVALKRMKRVW EGGWKQARTLGELVSLSKIPPHPAIIPLYDAFISPKSRELYFVFECMEGNLYQLTKSR RGRPLAAGLIASCFHQISAGLYHIHQYGYFHRDMKPENLLVTTTGLTDYLKGSGVLPP TEDQVKYEKDVRVIVKLADFGLARATNSKPPYTEYVSTRWYRAPEVLLRSTDYGPPVD MWALGTILAEMVNLKPLFPGVSEIDQVYRITETMGEPSGEYGVDERGQIIGGGPWNSG IKLAKNVGFSFPKRQPVRFRGLFGEDVPQSLVDCIHDLLRYNPKYRLTSAQCIDHAYF HETLPHLQQTPPLPRIPFSQGQPAPGALRNAPAEIAQAPPRQVPPSHSHTPHEARPAF ANGDMRTLPPPLGTPDSASPAGSRFFPSAHMHGHAQDARTYSSASALVHQLRELDLPT DDLASYGNRPAPSPALAQTQQIDPRARSQQWASDVAMSAQRAPQAPSTVYDGSVYEGS QPALNSNPAFNNYSSTSIPDHSTRQPYDQLKPHVAAYVQQQQQQRYTDESLRQAASSE LSLAPPIEPTTGAARAEKLAATGKKKKWGLSSVFGGAADKSSTADLTPVAEVGYSGSS LKRTQSGNRPQDRMVVQPPTSLDPKKAKKEAEKAAKEAEKAKREAAAALQKERARAVM QKRNQLIEARNQSQTKTEIEFASSNVNEIGTRPQRPSQPPNYISPSTTSLAPGGNPSM GNLRNYPDVPASSSMTSVRSHDSAHSAMSNKSGLSAAALKAYDDVHGEGRHKARRRDD DDDHSMSSFGQYSRSVLTVGTIGTVDSDPGPRRAREHWPDPLRQRETKRAPSVSSFKQ HHGPTSRSTASLESQLAHDFKVRANVGAAPSSASLGRLGQGRSSLSLHGQMEGLGRPL LAHGLNNTGQGNGSAPTSPYGHPKGVAVSHGIGMGRQSISNASGHTGSGTVLPSISSF DVGDMSQHAQGHINPMFRVPPAGPNQSQTTLPPFSAIASVADREAHDHPHPSQ I203_07810 MSNQQEQSRQSISLPVLPNPIRFSLPSKPIACLSTSSSTSSPSA KINSIRSVPSSLPPKPAFVPKSTSNEFSPERGRDIIPSYRRETESYRPPPSPSPSPPR RYCSPSPRGDTYIPSRGSIATRSRSRSRSRSRSRSLIRSPSPRYTSSNKHHRSPSVSR YDSYRPRPKRNSPSPSPPLTTAQKRDRRAGPSASARTEREPSIVEKETRPKSLKDRLG GFCKQEQDRPVEQISSIPTKRSPSPSPINTQPSTKLPKPIPTGPRGTSRSFSTAPTIP KGPKADRAPPTGPRSQHALPGNPLFTGNGVSSVASRRSNSPRDEEDMAGPSRYRSKGG NTPRRGGSAGPSSGGTVRQLSPPLRDHNQIIDQYGQKVHLKAQWAENPKAPLANFLGN GGGAEMSQEYKAEEGMIGNKRMFRVTVVADARSGIVGVGDHASRKEAEKLAALSAVLQ LAAAGMLEQGKGGSKAPSATNGSSMASSAPLPGENNGTAKLSDGSDISYERARQFMEY YCARYKFGKPDIEFSQSQTSQGSNKGRKGKPSSVMIWDAVITVGNRRIGMGQSSSKKG AQVKAYLDVTQYLESCDPDLWKDFLEASKKDKSANLGLAPHLVFQMSDRLNEDIQGLC GDIRHSRLWANAPPSTLSSNEHQQLPTWSSGGKRQLSAKELMNKSLDLQDRLAAYESD PKLETMRAQRNALPVTSRATEILAKIEVNDVTIVMAATGSGKTTQVPQLLFDHYINRG EGAKCNIVCTQPRRLAAMSVAERIADERGQPLGKEVGYQVRFDTKLPQSNGSITFCTT GIFLKRMQSALGSTADPNAVARMDEVTHIVVDEVHERDIDTDLLLVVLKRLLADRISR KKPLKVILMSATIDPTLFKGYFADARGRAAPVAEVPGRTFPVERSYLDEIVPQLQGIP QNKGGWVFNEKNVAEYLSKELSHDPANFGPGSGMGLEIPYPLVALTIADVMKRSDDGH VLVFLPGWDEIKKVADILMDTRGRPLLGTNFNDQSRYSIHYLHSTIPAAEQKEVFRPP PKGVRRIILATNIAETSITIPDVVYVIDTARVKEKRYDPERHMSSLVSAWVGSSNLNQ RAGRAGRHREGEYYGLLSRKRLQALDPHQLVEMKRSDLSNVVMHVKALNLGEVEELLA ATIEPPELSRIVAAMETLRMLGALDANQNLTSLGRVLLQLPVEAAIGKLCLYGSFFRC LDSALTLAAVLTNRDPFLAPIALKAEADRIKDSWCLPAFRSDPLAIVSAYNQWSAMDD RGEYRTANQFCSDNFLSKPTLLQIKQVKASLLQSLDQAGVIAVSAGGAVRRIARRLTV PPELNEHGISLPLLAALIAMANAPNFALRTSDKTCRTSQDKTVFIHGSSVNSRRREAG GPEQASESFNPAEKRLYSFGEKSRNVPLGGKETGGITQLRSVTRLDPMTYMLFGAYEL VVTQRGLECDRWLPVVGNLHALDDVQRLKVLLDGCMLRVFEGVGKSLIKDRDERWRKS TSAIQINTKGSSKIIQNDGDDQVDEEVIENESDDEDEAEGEDQAKRTTRIIQPMTIDE IKELEYLTTDVVRILDAYASEREGGSTVNSRPVTPGLPMMTQSQTKSNGNGRTSQYQI NNGSRKW I203_07811 MSRPRSQSNHPSPYKMQSGPSSSHSHSSSGGGGGGGAGGGPSGS SGSSRPPGTGSSSVSSQEELGLSAWLSCSADQEARISYVSESMQEILGYTPNDLLGKS CYLIFHPDEIPMLREIHYQALTDEKTACVAYYRALHKDGYYVECCCSYSTVYNTSLAL YTRAVDEQALTAREVIEVSSASQGKFAIKRWPSSSLAPLASSPDSTSISHSPPPIDHP WPTPHKPSPRTFFILDRFTDKGRVMYVSNDVIINGSRLKNQPFYSIIRPSDRAHVRKY IESAKQSSPIMFNERRSGGHGYTRFHILKIPDLPPYGETWPQGTDESERSMPGQDFIL VEGIFTASSDGLACIISKIAPGGKSNSRNNSRDDNRR I203_07812 MSAPSEEPKPKRRQNVACSCCKLRRIKCDLSDLLYSLPSSSTQP PPPLSVLVEQHPEVGCTNCKRKGLKCDTQGIREPTRPNKGGKRIEEAKRKFGEEQQKK QDGQVPIDMGSEPSETEIPLDIMEFLNNQPINQPSDSFQATYLSQDAQTSVSPLETAS GPLPDQTINSLFDPTDLQTFNFNPDPSPSNPSPLIKTPGPSSSSSQQAASIWQQFANN RKEAMYLVRTTGLTPGADGVQISEEDDDLMGGLQSRLSAYMTEMQSPSPSSNSTTYQG ISRSSSSTTPLAVPGNLLGINYNAYNNHYDDSLNGDTRKRSRCPYNLDSQSRKMVLVS SNPWRLYSEAGGGQMITWGRREAVSEQLADRALGMALSNHLVKVFFQAVHLSYPAISP EVFYLEWIRAGQRSDRMSPAQEALCAVIEAWGARYSDSPVILGLSEAKAHAAPKVIKA DGTFTPGTRARTHWGTARIGACKALSARAKRLIDEHGLFRTPSVTGVQALTLYSQLMQ MTDEKLLDKDYWLQTRMIHSTVIEQMQLLGLMWDAEGPIMTDVSEAAVSYSQLQMKQR RLFWTHMIGDAFFSAAVGALPKIPQEDIDAAGEWVETVQDKLPQSSFKLLAFFLSIYH RLGLAGREVATHIAYPLRKKGAADVGKICMTVRKTWRDIGEISRDLKSQVTQQLMACK KEDLLGFSPLNFFANLRLSCPFLLLVIHQLIRDQLAFWKSCQPPSAPAFISTPTDRSS STTPSSKPGQGQGTPRIVHNLELLERLTKESVDGLLFSCRGQISMLKAILPTGVIQSA SILLRVLLATAQLLAEVPTNEQGYPDHTPGGHGWTWEAKQKEMDICLEALHQVGWAWA DVAEVCDSVALTMERMTPSPEEIFAWNSRHQHTNESQSREHVARMKEAEAQASVNAVE AVLTFWPPVSIPNLIEHALQNDPKALLNSSLPGMLSDSQQSHVGISKQHTSVPNPGHF WPDRGDQAFINERLNDARHTPFPGVAPGQMGHQNLSGNDLSGSEENRGFHTLSMPSQF LDAHFVQPHQIQQPQQPQPQPQLTTSSETLDFTSLLNFGTVGGNNDAEIYDVSTNHDG QVVGDNGQTEQLDIDTFLKELGIPHIS I203_07813 MSTSTSTSTTTDPPPPDYVPSQPQILITPLPDSASFFWGKTIQG EIYVKGLGEGSGNRTGIVKSLSVQLSLTNHLPNHPTIHLHEFPIQTLYTPSTPISNVS SSTIPSDGTIPFSTSHRFSIQLPTSLASSLSEPLPGTLNLTSYEKGEIRYTLSVKLVL PNDQVVIEEMKIEGTPQEVSCQGAAAPQEEEELEEVEERLEKDGVLARLLIDQARPRL GDLLRLGLEIRPAERKKTGVIGLAKQSDPIETLRPLRRVRVELFRKVIIHSTQPNHIA SSSTSSSTIGQEVEHLTLLHATGKSLRYPGSGPGRHYPPLRVLFTIPTAQLGVVAEQT WGEITSNVPYHDITFFIRVTIGFGDVSPTGTSNKDWTLRRSITIRPKKWKEPREIVVD RGLIPDLGNGNDSPLLGQDTEAGTSQVRRASRSGSGSGTWTEEDFRKEAYRQKGRDVV GDSGTFRYTDTDTDTDTAHNDLPPPFEVETQTRAGPSNSSSSGPGELPSFLESEEQAR TGEIPTLNQTVRSERLVPVNFNQDDQVVVIPEEVEIQGHHEGTTGEEGFERNTWVGRR GSLGGELGTWVEYDGYETFSVAPPSMDASYGAGGAMDPPQEGDENSVNVVGGMVARLG LEGEGARMQGLELMEHLGLGEGTRIVDLQDDLPPGIDEPSLPALPDFHSHVHVHAHGH THDDDAHSPPPPPAHAPYISPPAHSHSLPPAHDPPSFDASQAANAVGVAATSHIRSQT GPNVPVGVGGRRPSRHAIDDAVGVGHVSTQGDAPPGYERAGGEGGLPPYS I203_07814 MSFFRRKKDEPLIPPVAPPAGASAPRADPYAQVPSNSNRYGGAG GGDPYARSKPAAGDDNPYAKATDHNDAARNELFSGFSAPEKPKPERKYGYEGREMEED FDEGEEVEGIKQEMRGIKQESLASTRNALRLAREAEENARGTVAKLADQSERIANSER YLDMAKANNQRAEDKAEELKKLNRSIFRPAVTWNKDAKRQAEEDKINERHAMERHDRA KALNDVTDTRRRLGNAANPSPYGSGYEREPLPGEQKAKKEARSRYQFDATASDDELED ELDDNLNETYEVTKRLKNLATAMGDEVTGQNQRLTRVTDKTENLEFAVMKNTERLKRI R I203_07815 MSLPYDGTPPPREPEPEIEEIEVENPALDRPLDDYQPAIPDHVQ SLMGRMSKGKVYLMEESPGILHLDGQEKLKRDPRIAALAQRLDKQDPTSWLEAISASA PSPIKPNALYIKSDLIQHLSTSKVFSWTSGLGAGVMGIEWLNDTTLHLIFPTAASALI GLTVLSKAGFDPAEGDDPLLERSAHSVPISLLPLAEPEPVPSLEGQELLSGPSSSVED DGIRRKGRGTFGKSGASGAFDLEPLVKPEQTGLDEIKFAEGLNPHARITIRYAIEADS DLRKQAKQSQWYKKHGRHAGKETSSSRRNITSNDQDEGNGGYSFAGRGSGEGRDFAKR IGRGGRERRGPYGERPDRRRGGRTEEDLDKELENMARRRQTGEIDEDVDMESQSRSYG ERGGNGRRGPSRKEDLDRELDELFANRAATS I203_07816 MPYTGPINNPPATGEAPFWEYGYIPSLPMGIVGCVAFLLVSGGQ LWWFVKKRGTRSVHGLFFFSCVTEALGYGARLYTHGHPFSGMSFLLGISLIQVATILI TAGIYKSIQRGLKYMPNGDSLTPMRIRSMLTVFIILDVVWVLMQIAGQYFWASAQAAE IVDDTPMFALGTSALIFLAGNVLQAITTIIIFVFCFVILKRSTRVLAITPPETIVPHI KPLMAQIMISLGLFFVRLIMRIAEGAQGAYENAATNEVYFGVFEFAPIFLIIILWAAR PLHKFIFPLGHPRYHAKASAQEAAIASSVSGTGSQTADHGVKA I203_07817 MLFPKSLFALLPLLSTIALSSPLVQQRQNESPILPDVTFTNDFP EVFYAGQTINLSWEGGDGFYALYRIQYTQGQVSVRPGYYTHNTTETSLTVTLDDRVFP NTTLNFGISEANPDANVEQKYQLSGAIPLIQA I203_07818 MLFNRYLIAVLSLSFTSVVLSAPTLIARQSSDDKEPIGISVEPM SDKTPSGTTNRAMQSATPTITSEAITSASASASVSASRTVPSSASSSVSAKPTGLHFT NDFPDQLKNGDQIDLEWEGGDGPYILYTILNYPGLSNVNPHVLERSTDKTSYPLTINE DDSHDGSKVTIGIGSNKKSDQYKRKTIPFSK I203_07819 MTKINSIILIIGVTISLHTGSALPAEKLGMALPPDELVIKDDFG GIGSDDQQYTIGVDDEKDEELWTICDVDVLDDETSETLDRALCRRASAGGGGGRAGSV SSGSSSSSGKSSSSGSSSSGSKSSTGGAAGVGAGTGAGAAGAAGRRKNNTSSGESGSN YTSEYFTTSFSLLFEY I203_07820 MPMSYPNLAIILVILLSVSLSGHAAPSLPPAETKQDDDIKAPRL FNQLTPLVVIPTITLAPEVSPIETGSGADDLDQGSLEKRDNELVARKKKIKLPKTSTT TTTISYSTSTSTSTATRSFGEGTRGIVAIQFIVGISVLVSLMSGLSTIL I203_07821 MFARSALRTTAMASRTMVARKQLARRSYTVPTYNEQIRAFFKAR PVPVDVYPILAITVMMCSYASYMLTKHIREDNDHVRWGPGMGGVKFQLPGSQ I203_07822 MRSIILISILWSLSVHSVPVPAPAPAILSGRSEYQIRRLSLLDK RGTADSGVDGDIVWERSDHQEKDRASDGGIFAYGDSRFYGSMGSSKLNSPEHGTSMKK DLELVDRDDAVETNVRKGFITVDVILEDTGVSEKLRCIINIQILIVVFDQEQDPAFDA RRRSEEGSCLTCAKRDAGTVVEAQDQTPAFDVRSISNSSVACVRDSVGSIENTGSESD NVFVHWSQQSTENDPVQAQGFDCSGRKRSPDVDTEDDVILWISSSQHDWSKRSSDKER RMERANDKASEDHIDEGIVWDGEPFVSVPDWDKRGGHFSGESTERGKRDSEPANGGIT GQRRDVE I203_07823 MKFLSLVSLLPLLATANANANPSPDPSLAISYATQVAARAADNG NAEARHHSNWFMNRLKRSKSLEMGRQEVEVTVKSEKVKDREKRNVIKKVVKRRKRATT SNGTCSAKTVTVTVTTSVISSTSPSSTYLSSMSSSVNRSSSSSAPISSSTSKSSSASA SITSSAVSKTGSASASASKVSSSASASKASSSISASASKASASISASASKTSSLVSGS ASKASSSTSASASKSFSSSTAKSSAASSSSSSTAKSSSASASASKSSSAPASSAKATL NLGGSQTSSSSKSVSASASTTAKSSSSSVKPSTSTSVSKVSSSSASASKASSVSKAAS STSTSKAASSTSTSKAATTSTTSRLTTSTTSKPSTSTTTSSASKAASSDNASSIKTSS AVVTSSRTGSSASSTTSKVTSSSSTSKAASSTSKAASSTSKAASTTSKAASSTSKAAS STSKAASSTSKAASSTSKAPSSTSKTASSTSKAVSSSTSKAAPSTSKAASSTSKAASS TLKAASSTSKAASSTSKAASSTSKAASSTISKASSTTTSKATSTSTSKAASSTTSIKP STTSTSTAATATAVSADLDGDGPFYGWGTYFDTGLGACGWTNVPSDYIVAVSAELFDN WPGYNGLNPNNNPICGHHMKLSWGGKSIVAQVADRCPGCSKRSLDLTTGAYGALTDDN WDMGVMVSYINGAAYNSDLEWEWLD I203_07824 MSASTSAQPADALLDNELSFSEPPFSTQLDPRILAALADQKFAH PTLVQAKAIPLLLEGKDVLARARTGSGKTAAYVVPAIQRILEAKANLSPASPEYQSIR VVFLVPTKELALQVSTFVKNITKYCEGLVGCVNVAAGGTSVQRVLLNDNPDIIISTPT RLLSLLQSKSISLTQLCFLAIDEADLLLSYGFKDDLTRIMDPTSGWVPRLGVQGCLMS ATLSEDIDGVKGLVLRNPAILTLSEPATSSSLLTQHYTMTSERDKFLLIYVLLKLKLI RGKSIIFVNDVERGYRVKLFLEQFGVKCCVVNSELPLSSRYHVVEEFNRGVYDVIVAT DEGTGTDAQEQIEDDDGETEEQDQETKEQKGAEEEEAEVETKNAEAGPSKRPRSDPST SSSKASGKRRKIDGTSSLARGIDFTAASSVINFDLPPTSTSYMHRIGRTARAGHSGLS LSFVVPKEKWGKDKNVSVKSAEKDEVVWEKIKERVKKDSGSEIKEWDWNKKEIEGFRY RMEDALKSVTGKRVQEARREEVKRELLNSEKLKAHFAANPLDLSYLRHDTPLHPARQQ THLKHVPNYLMPKIAALPTGTGDVTDGGHIGYSKRGRGGGGFRSRGGGGAGRGGKGGS RGGKKVDPLKFKG I203_07825 MINRLYLQTALLLILARGVIASPVLLAEDVSGIQSDIVVIDARS QNDSGQYESIPTKFDSQYEKDHEQELEQEQEQETIYDLCEEIILPQSNDDPTDSAVAD QLIHLDEDRDRDREPISQGQRLCKRPSSGSSSSSSSSSSSSSGGSRGGSSSSSGSSSG SNSGSSGSRGGTSSSNTGSGSGSGSGSNRNSGTATSTGNRGGTGTGTTNDGDADGDGA ADSGSGTGSGGNTVANGSSGQTTGGRATSVGGSAPATTVSNSGGAATSVVAANPATSS RISNAKRNVPLKCYPISTVIVITMYLLS I203_07826 MDDSIDVTHATTGITEIPSYRPDAKTRRYDRQLRLWASAGQRSL ESARVLLVGCDATGCQALKNLVLPGISHFTILSPDLTTSQDVATNFFLHPDSVRSPIA EQSFRYLKELNPAVDGSARVEELSSLLVSEPDFITSFTLIIASNIEPSLELQLADLLW DVSNSVGGPDIPLISIRNSGFIGRVEIQLREHSVVDTHPDTTHTLRLDSPFPSLEQHA RSLDLFTMDSMEHSHIPWVVLLVRAASIWKESHGGKLPETSEEKAEFKEVLKKDKVKG DEENYEEALAQAYRVWSKSEVPWEIKQLLEDDSVKNVSSSSKNLHILLHTLSQYTATP PHLPPTSPSLPDMHSSTTSYVHLQNLYKKEYQSDLARFKTLLAEVLQEIGLEDGTIPD EEVEGFVKNVGGVGIIKGTPSRERKEGKGLIAQSIADYQYDEYDGSTTCFAMELALLA SERFYIAHKRWPGVKAKGDMSEDNREVEEILKGILGKNDLEEVDDIFVECIAEITRGG FGTLPTTAAFLGGIVAQEAIKLVTNQYTPLDNTVIVNLVKSESAKFKL I203_07827 MSDPFDDDDLFDNPETLGLLEAVEERAIQASQKPSTKPRFSQPI RHVLAKPKNSPSSSSSSSNNSRLRKEPRPINTEPGIRGGTGFGWEEGGKRSFDIDRHI GNVKRRESYWNGSGRKGEEEDDESIPIDVIMDGSGKYELINNNRSNSTGSGDEAIITD KRRAQPSMLGESLGLNGSGNGSSGISMQKRKQSEDAIQARRKAMAAAASSVSSEPFSN RQPMSRSNSTSTTNIITSRLNNNHQVQHQQQTNQDQKFNINRSLSRSVSAGAQIFNRS NANAIAGPSRLPTIPSEPSSQSRNGSSENGDSREMSAPPMSQGSAARAAAIELEVERR KRQELEAELAALRTQAQAQAQTQASRSQRPPHQDEGQSGVDVREKIKELQSQVWAAKG EAEMVRRAQKEEHQRHLAELEKLKLTIQDKDVQIKEKENQAKKQMENIKHQAVFSNHA AHNSAMKVRQQQSQRFAGGSQSQYRGLPTPVKLGSPSRRRSQPVEEEMTPLIKSVKGK GKAPTSGPTFGGFNNAFAATPTVGPRAKRQKTADLSPQASPTRGPSPSPFRASPARSQ RKSSPVIGEEEVDDGIDWGPGIERADEGMMIDGEAKEEEETKDEKAELLYHLLNHASI SAFQYTLGLTIEPTIYRLMNYRPPIDIEGHETYTQRCSELLKVCGDPEMTFEEMLEMV INCLSDMLSFGSKVISDVDQVTLTDIAVYCNILILLTSTTFLFPAVTRALSSTNITDN LKSTVHSIYSDTAKLDTFKARIDTDLGTEGGAKKADRDNWFLELADKIAGLAEAICFT SETSIWKGDELVDIILGLMANHNGPYVVKRGIEVFYVASRQSCHFRSLITASDKYQPG SPNESPLVDRLSRYLISAGESEVKGLHADEIYYQSLQTSLLIVRGLCMLSISHPDAVI IMGQKSILVPALVIVLQRESNKLYGIFGVLQSYKDALSLLLPTLSLLHQLVFPAPVSA ANHQSIESQTQSLSQQQRQESDISPIGINLSDRLFTAAQTREFNGLQHMFVSAMGAMA YSQIDEEVVEEVDQRGIQYLSGDLLENVVEGPEGDAIYELYVPLDDEEDQQRQDQDLD LDPEVDPDVDVDVPMDVDHDDDGEDEHEHEKETRHYEKGSRKGRVDSEDVTEIIEILD DD I203_07828 MSSPTTSSSLYPIHLLMDELKSEDVVLRLSSIRRLSTIALALGP QRTREELIPFLQDQLDDEDEVLLVLAEELGNFAEYVGGNEYAWVVLGPLENLAAVEET LVRDKAAESISKLSTLLNASQIEEHLLPLLQRLSQGDWFTSRTSACALYAAPYPIAST GVQEEMRKLFAALTTDETPMVRRAAAKALGPFAKSVAEVTDQHSILISDIIPLYRKLA GDDQDSVRLLTIPDLIAIAAALNPEEVKEHILEPLRSSVTDKSWRVRYMVANEFVGLA EGVGESIIREELVNAFVGLLKDNEAEVRTAAAGQIPGFAKLVDKEVILAKLLPCVRDL ATDSSQHVRASLAMQISGLAPLLGTDSTVENLLPLFLQLLKDDFSDVRLNLIGKLDMV NEVIGIERLSQALLPAIMELAEDKQWRVRQAIIEYIPLLAQQLGVQFFDDKLGQLCMS WLGDTVFSIREAATINLKKLTDVFGVEWAKSTIIPKVLEMGDHQNYLYRMTTIFAITT MAPSLNVPIIRDTVLESALNLANDPIPNIRFNVAKCLETLAAVLATTPEGQEIISRKV IAALKKLQEDSDADVRFFATKAFERTAGDNGEPMGESDHSLLTEWHGVLISWE I203_07829 MQQSVVGIDLGNLSSKIGVARHRGIDIIVNEVSNRATPSLISFT PRQRHIGEPAKTAETSNFKNTVGSLKRLIGRSLSDPEIEEYEKKFINAQLVDVNGSAG VKVNYLGEPTEFSYTQLVAAFLGKLRDTAANELKQSVSDVVIAVPGWYTDVQRRAMLD AANIAGLNPLRLINDTTAVALGYGITKADLPESADAPRHVVFVDVGHSNYSVSVVAFS KGQLTVKSTAYDRHFGGRDFDYALVQHFAKEFDSKYKIDVLSSPKAVFRLATGCERLK KVLSANAEAPINVESLMNDIDASSSLKREEFEKLTDHLLTRVNNPLAEALEKAGLTID QVDAVELVGGSTRVPAIKERIQAFFNGKTLSTTLNQDEAVARGATFACASLSPVFRVR EFAVHDIASYPIKVQWEKEAGNPDEDSELIVFPQNNPIPSTKILTFYRQGPFELEAQY AEPSLLPKGTNPWLGKFTVKNVEKPTNGEQLACVKVKTRLNLHGIINFEGAYILEEVE KEEIVTTGEGDEKKEEKKLVKKIQRKGDCAVVGQYSGLVKNVVDDLTEREGKMHAEDK LVMETEDRKNALEEYVYEMRGKLEDRYSAYVQSQEKSDLLSGLQEAEDWLYTEEGEDA TKSAYVSRLDALKVKGDPIVLRWKESEERPKAAAATREALNTYLNAAQSGDEKYSHIS QEDLQKVIDATANTLSWLENQLVRQSEKPKNVNPVVTSAEINKRKEEAVFTCAGILNR PKPKPKVEVPPTSGTETPKKEEEKMDVEGEGEGPKVEEMDVD I203_07830 MLIVCIPLIFGFPTKFKQDASEPGRMCPRCNNAAVVGGSSRTWF EFFWIPLIPFTKSRIWICTICQWEMKQGDGPDPQPPSQNRWGGGYRPPPPPQQSHQPG YGQQQV I203_07831 MPLSLTFTTALLSIYLPRIPHVRADDRQDQERMGSEYTTMPLYR SGAGTNVLSANVGNPPTEMRLTCSTNVDFFIIAATGCEECIEDSNLFEVTRSDSLSIS EQDLAYVFPYPSGSSSTISVAGRFASDIISDERGDEATPRPIVLAAAVQTNDPRAVLD GVDTKLTDGTGGFWGMGVYQDRKSNSMIPSMITSDNDGSTEQLTSFTVGFQINNFSTN TDDLAGEIHWGAVPAGVHEGNFNWLDANSSVGGSWGFDVDRFRIGGEVIDLENHYGTV DPAFDSIFVPTNIAERIFAKVNGAVRDGRDTTRWNVPCDASIDLKISICGTQYAIDPT SLVRNRDVAGRTCWSSIVAWQNGSVPEGKGEVKLGTPFMSGVYTALYYSESAQYVGLA GKPNSVNAANLYSRDEGEANKKLAGILIGTLLGVLIFGLLLCYARNRSSFQSIWYRAL RRQQRAQMNAVVRSATLPPPIVPVVGMGPPIIPRGPPPMMAMGVPPMGMGMGVPPNMG MSRSMMPYQPPPPYQPPIMSQDQTQEQQQPLMSMPQTVQPRNQGYYSPRLQVTSPPKS GFLAPPFGRSRSHRGGGGGGGYGHELPNSAGQGSKVHFGPAAARHMRSESSAGNSMNM NVDEFGGYDYGRGPEGRYERQDNYLKEYNNLQPSSSHPHQQNPQQQYTSLSMPPLEDV PEGEGEYRPNLNLQTPTQGHQGEEKKRYFQWRSTGQDHTRGEYAPVLNPVQPIPTAPS EIGSESNRSKRKSWWGKDRNGGWYDTPQQDTPRMKRGLGWS I203_07832 MPSTVSDLSELAGSPVPSSSCIDLPEIDFDLNTFLQSCSEFMSQ PLTPSPVFHVPPILPNPAVSTPPYTSDEGSVAGDCNLDLDHQPKIKLTHRPVASVSAI PQITLTMSSNRQFNAYQSPDPSNPSSSSMSLRTSQSSSRSQSPPSLTYSYSNPNIFAS YPQSYPTTDYFPQQHPQIIPPSANSHAGSPPWQTTDTEANASGLDVDLDVDLEGMTDE GTGKLSYSTASPGKSLKVSGKDSIPRPPNAWILYRSDILKDLASGNDIPGLDAVLTKL GYGPVTSASSDESTNESVSATKGKSKEATDSEMMPPPSTIKVKKSKKGVKQPTEEFLS LGRGKTGKGLPQAHISKLISTLWKNETEERKAFYERKADLRKIEHQKKYPDYKFQPMR KADKIRQREEREREREELKRQKEAEKQAGKAKRHQRRQRNRVSPSSPYSVMNSTKRPD TGSLARSLTYSGESSKNGDQWWANAGPSAAYGGPRRETEPAPSRYALGADPLGVYPFP VPIDALPALAPDDSSIMHQQGTPSAMIAEDYHSWQHRQNHGHPQPHPMFTPAPSAHME SRQRSHTPRAPAMSHQSSSDIHPSLRPPTQAQQSIPHPQPPQVEEVPPQPMHGLGVYP SMHSAVPFIADPLPMDAHGRPMAILGLDDIQPFPEDDNGDPAMLAEMWWNLQDEDVRD DLENATGPSGLLADERTLQVYDINADEAGRSSAARVSITPTDVPSGSNSAAPLTPSTT FLPQEPLGIPAGEVAYPYPQGFVPMYVSVIPEDGNIDPSIPFFAPGYDANLSYLPMDA TLDPSLFVPIDEQGPFLSTQQPMSPTESWSAGPTPREATFLRAAGPESTRIPSNSSEG TVRTVSSNQQSAPRYVSNSAYPLTPSSQDPTALPGTGGGIVDRNTSYSALAAVMSGQT MGIGMSMGGADDMLGWDDNDVIEHSEEDEENEQEGSQQQRKEKGNNRIPTPISASAST PRPRPEQTQAQVKSPYDPKSIPDPQKEQSQIPRKHATRSRAVASKFNGDGGV I203_07833 MASSDSDGNSSSSRSSRHHSGRSSSPRGSRQRPERDGKKRSTGE RRRSDSGRGEESRHQDGGRSEKHRTGGRESSSRGDDGRSSISTETDRSRGNEIARRCS HFRYYILFTSGGWLGNFLITLSDMSGIWEFTFLSILFVISWTLLRISQVEITLILGKV FDLVIPLQHKFDPHKISSHSDHLQQYWPIFLVGTIIEFGSFILIHPDLFTLVACFKTL LLTSLWLGVDGKGRFLTEKLGGRNGRSTRSDQPSRRNRPRGDRQDRDRGKDRDRDRPD RNRRSRDEAESDSEGKGRGKRSDHGKNGENDSSGQSEKTRNSASTPSTPPTPASTTSS QAQTPSKQAEANEGGEGDSRDPYDSKGFKGNLMPIRKKAPNGPRQSRRQRH I203_07834 MSLLPLFLTIHPISPLSILSTLHLRPDSDYSLTLKGIAPFVDIW VSLNLARTICESLNVERLFRDEMDPTKGLLSNIMGEIQSWDEGLAIGHNWLPPSTQLP KSAYSLSTLLSTPLTGVDIIQDNRYITTPLDDGSRSRMTKDAETHNPSKIRDGKWHEA WDGIIALSDLAWNEFLLYPSIPPIPDKSSHIPQSHPIETNILHTILPLIPSLINHESP LPTYPFNLNDLQILLDSKPLPSIKPSIYSVVSSLIVSRIQTERMVKLEENEYKARLKI CLAECLGGIMISTLLSQLHSEDETVSGIARGRRRSSDKGIYVFVEKPDHFDLTDIDLS PSRQRQHEQQQQLHDKNQKKEREITGSGSQNREKHRHYQDLKDNWMKTIESLEERRGA SPPHQNQPHKDLVEGKRGSHGIQSTSKSDRPDHSPSPLKENKRKSPESAHHQSLHQTP PSPPTSQERKPGNISSDSESESTATPPHYRVIESIDQFPLGDWSWTGSSKLMLSENQD GWQPYKILGIAILLGWVLGHWQVF I203_07835 MPSELLHLPPLYTLVGLYRLITDPFIRQPVLDKIKHASVRGLVV GGIYAAGTWKLMDWFVRHFLIGQGWNIFKSHKALEKESAHGVVNVGIGKFSIPIDIVL YTHLFILLPQISSILRYFIYKNLKIARSRAYSLTVSSRGKPHEFWSQGYIEEWAQPPA LPGSGQVDKNGRRIRSNTQYIDWILWWPTQLVLRKYLFLPLSPSLPLLAPLVKSFLRS ITTGEYLHQPYFDTKGMNNDDIWRWVEERKWAYRAFGFAASLIESIPIIGLFFSISNR VGAAMWAFDLEKRQHLFSVGIIQPLQPGQVGFYGMGSVDDLGVDIQKAEKEIDRKFST RKSTGTHENDNEQEGVFELKGKGLGVKERESGREKVL I203_07836 MPITREYVHEIFEHAKNNEQAEMFRYVRDDVRSMAVNPEIKSSF ASGGYTNKLDYQKALSPFGSMFTSPLDISINRILVDGNTAVVELRSEAPGKRTEERYV NYVCMILEFDDHEEPKVKKFHEYLDSAHLQAFYEKNKD I203_07837 MPVKESYVQELIQLQLNGDWPTLMTYIRDDVEWMVINPLVKSTP LSGLFHGKEEYAKTLTPLFSTFEDRIKFNLERLTVVNDLATVEMKGQAVGAKDKKEFV GFWLFIYELEEEAHDGEKPKIKGIKEYLDSALIKEFIENNL I203_07838 MVSSKTQAAARKKQDERERLVKEMEDELGLSSEHPEDQLYLYTS PETIVANLKSRKDGWTAERVMIAFIRSACAAHRKTNCLTEILFKEASDNARSMDKEYA ATGIAEGVFWGLPSSFKDTYNIFGVDSSLGCSPHCFVPTEKQEEEATLVKLFRIGGGI TFCKTNVPQTLLAFECRNPIFGTSTNPYSSERTCGGSSGGEAALIALRGSPMGWGSDI GGSLRIPAHYSGICGLKPVRGRWPVLGQRNSVQGFEGIKGMVGPMGRTVDDLIFASRS ILDLTHSQVADLCFRGEMLIPLPWKEVDLPRQLKVGYWTQDHLIKTSPACVRAVDECV KKLKDAGHQVVEFQPPDSKLMNIFAGLTSAEGYKSLLGNIGSDPMESSMKLASGWLLG LLCWIVNNLLKDHLFASIFATSRPKSVHEFWQFTARRDVYANAFRKLVWEDEKFDMLL CPVQAVPALEHGTTKLLSPLSVATILFNVVDSTVGVLPVTHVDQNLDCHSPDYLADSE GSWILEKRVYGPGGAYDADKMHGLPVGVQVVGREWQEEKVLEMMKILEDLVGYKK I203_07839 MRSLFTFPFLAGLAVSYPFHEQQPFSLKEQPGDKVNVSLYVMSR CPDARLCENVLQGVIQKEGILDKINLEVGYIGTPNTTAPLGVTCKHGLIECIGDAQQL CLYEYLPIDKAYAVVQCQNYPSDFPKSIGYEESIRKCTKTVGVDWDQSGVGKCIERKK ATKSKEDEDDVEVELNKLGKQARKLLIENIKETYDRNISTSCTIDIASTVRSEGKRRC VVDNGIWRGCDDGHTAQDFIRVIEEEYKNLQSKKDE I203_07840 MLGKLTSFLSSSEPLPSSHTAPRRADSYVAISKELEKDQVPVSE SDCVSCPHPCASSDGNGAGAGSIAEVIYDGKSYEEYVLEKYGDLGELPKGFDMDWETE LQGSSKGGKGRVVVISTGKSDWERDHVDEKGSLAHQLDKAISSAPSIPSPPTDKSTNN ALSYISPSAFPAPSQPLSHPISTPPSLYSSSLISQSDDPSDQSVLVFPDWKVVHEIDN SLNGAKALYENQLSGELGRAGKKGVDEDDELARRRSWVLPYRAVVLLCSHKRRDKRCH IAAPLLRSALHTVLSKYDIDIDEDGSSLSHLDGPPLEEIEGTDQEREEEVGKRIEGIE GAQGGEGGQVGIFNINHLGGHRYAGVMIILFPSGAYISYGRVTPQEIPRVVEDTILQG KIVPGLLRNAVGVQREINGGKGFLTW I203_07841 MFAPPGVDSSSSGEETTPPPSPIPQRVKPKFSFRSLFSPTSGVG SSTHHSRTLRKGKVKPPTNEEVKHSTPVEAAEADPKLPTDENDLLTPKKRVRIYAGEL QSGERARGLTNNLGEGVRDSLTPPAIKNQETLLDTDNNRNDNNATGISQEVYMMLKRF TDEQKELEHAERGQQLKVQVQPPTRTSSMPVSNDTPVDTPLRKGKAQAKAIPKPKPII TDTSPEDGAQLSPSPTRIHGAIRGGDADQSKPTDILLRLKSSASSLSKKRSLKSLRSI KLNKKSLSSHEVPPVPPIPKDQGNDAETVMISRTPIADKYARPQNLLAHQPLTEQPQT APPAISEHTETNPPRDIGTAEEYFRSFPDNMHLPYCPHCHSPRTAPPMPDYPSTPPNI VQNRGWCQPPGWAIHPYHPVSRPSSSPLNYPHTFVRQEHAISDHYPPEMNLSAEENRQ TREPPSSQDVKNLAESGRIAAEPLLRSGPMREVRKYEGERYDSVQGEIGRSSHLKNGG DIERDATQTMGKPGRDGRKDLDEEGRLIKNSQDGDKVKDRDSCPQEITKLKPSDVSVK PRSAEGQNRPQDQGLGQKDKLERIVRQYKAEYSDAVKHYKTSGLHQNEKEQAKEKVKR AEVRLKEATQAFREQVSTHKDEEKELKGHLVEAEQGREKLAAKKEAMENAKETSKDGE PLVRKHNFTKNGTNATALPENEDSGLTADQQKMNGHLEDLQKMVEKSQVRYKQAVKIY KSERLSEEEGKAAKEGMKKADMRLKEHMQALQEARIELDGKRHAREVEVEGEGGEGEG DHPLINFKHQDKSDEQDLKRVSEDVEVEDHQMRKSPAGDDVTGRSMRASERGGDREKE ELELLVKKYQARHNAALKAYKVDDLTDNQKQDAKKEVVRPQGKLKEAMQALNAFQNSP QKSDGQDANHAKGEDSARKAEDGSTGPDSAAKMKDIKNVSQQGQQITRIPDQDNNKKR RDLEGLVQKYRIRHKELIKAYKAEGLPEEKKKRIREDIKTTEGELKESIQALEAHKTN EKTGAPKTDNSKGGTNPEPSKVEESRPGYAAERDIEGKIRELEKSIMKDQIDYKQAMK SYRQEGLSDDEKTEIKDRLKSIESRIKESTRILERLKQTNGITKKNNKIIGQDTKTEI SDSEESLKPKLETSSKTSAAESSSSNKSKIVPSDDKVKRLEEMVKQYQIRHKEAVRKV KSEGLTGDQREQAKVSVKRMEVKLKESLQALQNIKAETEADSSQQRKDQIDGVKEKKQ DQMAVKGYAGMQSEEPSKAEAPNPHKPRTNSATSPIERNSDVQKLEKQLKELKSSYAE AIKLYKSEQLSEGDKRKAKEKVMYLEQKIKQSQKGLYKIAKNGSASFQESEKGLEGAE TVQKQIKATENWDEALKEYVTTPDMTQGQREEARMKVKTARGEYDDAQRELQMAHEVT GSNEPELPEKGLNAQERKGETSEHLRTRDVAQTQNLKNIPTAIARSPASMTSKPKELD EEVSREKPVEHLEKEGATSEGNHQAWAPLRLPSKRLSVPPTPSKSPTRGAVREIQPGP NVAGDITWSKTLISSLANCIDLANRILVSLNDSPSPNPITEIVQHLIIGLMMQKDVLL SLKEVVGEESAGMIDEFGEHVAIIKWYVEDLMIKRKEGTLEENGVMRAEKVVEKGSQA LAIRKELAVIQRSKEHPKQIEEKKKTEGMIETLDKVLALFSSDHSDTVLVAEAEVKRK RSNLSALTEQQSGMTSFGSMLRKEVKKDKGKKREDYIDVKAEIRPGPADTIENSIRSE DKPFTSIEPTSSSYELFPISSNSSPMPRSHTPIEEPPSSLSHLMSKISNKLHHSKSLR PNDGKEKEKEKDRKSRPRPIIAPSPHKPLKDNGVLKLPQTTQTFLTGRSSWKRRARPQ KISSASLASTSSSFSATVPYTAPLPSRHDRQ I203_07842 MSQLPSTPSYSASRAPPSPTTPSRRHGLVSPPVPPGASMPHTSQ IDHAEEYSKYGSLTPGLPRLPSGGLSEFGYTDQPRSVTPSNSNSGSMGRRPLPVQPPV PALPPNPYPSYRTPPSASGSVGRRALPTPPSQQGSSSSPLPPPRPGGARALPSVPGSG PNSQRNRTVSSPSFAAEAERTPPPPPPRPTQPYSSTSYVSSPPLPPPPRQATQSTPPI SRHQSSTGKVNTTPEDRYKSSSSGHRDQFTSSPGPSRSSSQSHSTVNSSSNYTSFASV SRNPSQSTQASSIDTRPTSSYSGEDSWLPILERFGDLSTVDEHGGSGRGSIDGLAPPP VLVEGSSGRGTPTQNDWFGGPPSLPYLEEGKGNRNRAASGATIGPGGEAFPTTPKGDD KRFERQGGILGGGSVQQNRVGSSSAAPADEEGTWSSNDTVPAGDGIHREGSTRSETTD YSITANDADAQSISALGSTSTNNDTPQRQSSVHSSLHPASISSRNTRRSFELSPSWQE QTQQPANWVERKLQIHQSHRGPFDEDGGPSDLYDEEDEEGWDEEEEEEMDVNEIRFFQ PAFISEMALQLRDKVERGRHIKAGIAWVGSFTGKDIVTTIQNLLPAHTRASPTDRRFA HATALSLQNQLWFVEVDWDIKPLRDSSDDVFRFMGEMEGMGSGGDALTTELPKGLMSM ATRCYSPSCTGDNRCYAPRCPYKTNPNTFLEKIDTTALPTPSSSINGGRHGVLGDWRE DVDPILLHDLSSEQIARQSIIRQAYQSEIAYETDLNAMETLFIEGIRDSNPSIIKDEY RREQFIHEVFNNAMELREACRRLIEEFTIRMREQPIIFFVGDLFLQAATEFRNIYPEY TGKLPQAELALTKEMDENPDFRLFVERIVRENDRRRDIKYLITRPSTQLQRYPAVIEG ILNATGQDDPDREFLYQALQSIQNLSSLSQLKLFHASKGRGPAGKLQWFDLVPEEQRA GIEKKEQKRQMQIWELIQGEMEYVADLETIETLFVNGLRLADPAVIDRNRLDVFLDEA FHNYRSLLEVHSKLLENLQFRQLEQHPHFGMISDLIFDAALNWQEAYMEYVTHYPIAK AKVQEEEARNPKFASFLHTCLKDPSANRQDVYHFINRPIPRLLRYNLLLADILKSLKE VGPPDHPDIEQIPQVMEVITDLGKATQKGVAVNESKVELWGFQHTLDGSRFWPRMVKD LDLANPMRELIHKGRVFRQPEGSIGGSWTELIVLLFDHYLVLTKPERASRSSRKDHHR QVRYIINRRPIPLELLSLGNFSDAPRQRNTGRLFGVGGGNSDANTEDAVTTSNDRDNR TVYPFSISFIGQGQLGGSYTLWADSLAARDEWKEKLQHAKVLRMEVNDAGKVFEMIPL STNTFYMAPNYAVPKDKEDKYTGRVTCSCPFTTSDQRKLVAIGCQDGVWIGVRGDAPS LRKVLHIKAVTNVAVLEEFGMFLVLSEKVLFAYHLEALVPTGNHRNQFKTAPERITMP REEITYFTVGKLDGRTLVITMKRETTNSLFKILEPVLNRSADDPRQRRPFGFLGKGSD WFRPYKSFYLPAEVFGLHFLKQKLVIVCSKGFEIMDLVDLKGGSIPMFDPAKTREKPS LGDLEKKCLAAKPMGMFRSTETEFLLCYDSFGIYVDRHGEPNRDCRAIEWEGRPDSIA FHPPYLLLISAPFIEIRHIDTAKLLQIYTGSDLRLTWDGSGGHKHQPIDNPGKNGYGE ETKIQEPQIHICQRAQDMRPLGRGLQGVGQHVFELSPTLLLNNPLMNPFNTHDSNYLP PPPLTSHATPQIRQARPPSIMTTSSADYANNTPYNQAAMYNRSNGYRDSGYGYPNGAN GPNGNGYPQNTYNNPNPGYGYGNPPQGQGQSGYGGYERRESGNSYSTSGYSNNHATGA GGAGGAGFVRRESGNSNTTSGYSANSSNQVGYGNDYYDQNR I203_07843 MLRSVPLCRSSLAARPLRTIPSLAARRVAPTQTRSYAVAAPAVG SYGQVDGEPTLNSPSELARRISAKVLPKLEKPDVKKVLVVGSGGLSIGQAGEFDYSGS QAIKALRESNISTILINPNIATIQTSHHLANEIYFLPVTADYVAYVLEKARPDGILLT FGGQSALNVGIQLDKMGVLERLGVKVLGTPIRTLEVSEDRDLFVQALNEIDIPAAQST AVSTIQDALDAAKEIGYPIILRSAFSLGGLGSGFAHDEEELRNLAAKSLSLSPQVLIE KSLKGWKEVEYEVVRDAADNTIICCNMENFDPLGTHTGDSIVVAPSQTLTDDEYHMLR SAAIKIVRHVGVVGECNVQYALDPESRDYRVIEMNARLSRSSALASKATGYPLAYTAA KIALGHTLPELPNAVTKSTTACFEPSLDYIVTKIPKWDLAKFQHVERNVGSAMKSVGE VMAIGRTFEESLQKAIRQVDPNFTGFDAYWKPEDMQTALANNNDRRLFAIAHSMLNLN YTVDQLHDITKIDKWFLYKLENIVVVYKQLQSTPFEKIDKDLILTAKKTGFSDLHISQ LSGVKENQVREKRKSYGVTPWVKRIDTLAAEFPAYTNYLYTTYNASTHDVDFNENGTM VLGSGVYRIGSSVEFDWCAVTCSRAIRDLGKKTIMINYNPETVSTDFDEADRLYFEEL GFERVMDIYEMEQAEGVVVSVGGQLPQNIALRLKNSGVNVLGTDPEQIDNAEDRHKFS SILDSVGVDQPAWTEATSLESAKAFAAKVGYPVLIRPSYVLSGAAMNVVWDEASLDKN LTAATDVSPLHPVVISQFIDNAQEIDVDAVAHNGELLVHAVSEHVENAGVHSGDATLV LPPFSLPSTDLDRFKEIAQKVAKAFQISGPFNMQIIRKPEENGQPAELKVIECNLRAS RSFPFVSKVLGKNFIDVAAAAIMGENVPAPVDLMKEQRDYVAIKVPQFSWTRLPGADP FLGVEMASTGEVASFGKDVHEAYWAALLSVNGFKLPKKNSGILLGGDISRSEMPTIAS NLLSLGFKLYTYDSKVESFINEQPNLAIKKIYVPVKDKRKLREVLEENEISTVIDIAR SRAASTSEAEYAARRAAVDFGIPLINNAKLAVLLTETLQKKFHQSPLPYVEGTNPPEV KSWREFVGEERAY I203_07844 MSVVSKNIFDLLGDDGESTSPAPAKATPAPAKKDATPAAPQRTV PGSQPRGGQGQGARGRGSYPSRGGPRNTYQGEPRNTGPVSSEGNAEGTETAGGFDGER VAPSRKGNQGTIRDAHTKGPRGNRPARGGGNSSRNVTSGGHSSYGSGHYRGAKVPAQA GERRQFERRNQNGGTDSQKKVDHGWGANTGEAELKDEVEGEKDAQVEENQPQTPAPEA TEEVAAPAEAEPEEPEEVTKSYDDFLAERAQQALSIPGLEKKEARTVTGDLEGTAFVR SGVEEFFSGKAKNTESKAKAPKKEKVYIEVDGTFAQPNRPPRRDNDNNQRRGGGRGGA SRGGQRGGASRGQGPRGGARGGRAPAGINANDTNAFPALGA I203_07845 MAEETPVKAVQVKLVLLGEAAVGKSSLVLRFCQGDFNENTSPTI GAAFLTQKCRLENRIVKFEIWDTAGQERFHSLAPMYYRNAQAAVVVYDITKAASLEKA KAWVKELQRQANANIVIALVGNKLDLVSESSTSPAPPASSEAPAEEEEEEEEGSSEEP SATPTPNETESDDARQVSTAEAEAYAKESGLLFFEASAKTGHNVGEIFTEIAKTIPFD TIVPKSTTNTSGSRRSGNNNDNGSNNNVNLGEGQQAKKGGCC I203_07846 MFDKISIILFSALLASLGKVNALTHYPPSKTANTDLEQVLNGTG APGNYWSSKTPNEEYGSYNWCSMPHVRKREYQVPDKEYELQYVEVIQRHHKRTPYASN TFFKEDITWDCSREGPYHHAKNENPENTSGVVWQRQTGSQNPFEATVGPGFVGSTCEF PSITSEGIDDAMVHGQDIRGVYGDLLGFLPESHEKDKYAFRVTSNVITSQTLGGFGRG LYPDLQEHTGLVQDDSYDSLKPGVPCDLRDTINSQITDLSDEWGKHLNSTSDLRERFN NVSGIEPDDTAGWKTSWDHPYDNLSAKQCHGKPLPCSTNNTAICVQQEDANTIYRLGN YEYAYRWRMHENSTYYSAITMGAWFVELQDHFKGKMDGSNPVKYFHNFAHDGSVAPVL GLLQHDEPVWPGMGTEVVFELYKKSEEYFVRVLFSGQPLKSSTPFGVMDMVPWEDFQG YLKDTIPEDLTELCNASNE I203_07847 MSGITQGVKEFLKGSHKADSTEVCSEVAPEVVQEHVKPTEHTET AEAVDRERHVHHHQHRIQPIEHKQTLDTQHVHTTGPEVVREHKEDMLPEHQAKLHEQR TLHNNETRHAETARTSAHVGQHVNEHEHHHIHETVQPVIQRETVQPTVVHHTAGIHEK IHDAPIVHEATTLPTISHEQFLKQKTDAGPMSHADSGHQHQFYEGAPRVGGHKQETAV I203_07848 MPSLLSKFRHRKTGSQSSTSSLDQQPSSSGRHSLETPSRARVSL DQPSARVRSSLDQPRSPITPTKPHTQSQPQGDLPTSRTEEDVVVIDKSPGPRNPHSKP FVDESERPELPTTTSNVSNPLPQPHQSGSTTYLPQEDIDNNKPLPAVPEVRSPPIQSN DKNLKDFPLPPSKSTSSSIEPEHVGDRVKFPPLPHVDSIDRQPLHQVKEERPGLVGSS SRPPKSGEIDVVKGNYPHVSSLPHSDKREDLNELLNGQKEQVEIPSRTSSLHHSTLEQ SGRGGGDQSEMELQEHRRDGKYTQPSSAVDSISNHPDGIINERFNNLSIADGGELDLN EQRNDMISRLNDQIESKKDLTEEGIEVFRKIGMEGLLDKENSIDIRSRELKPVVKETI FPLEHTEYTTILTRCIHKTHYVPLIQPIHDPDPIVLATRHRIYNPTTKKWHEVIGDAA AISILGEDVFRNGPKENRELRKPALPGLEEMDEDAIRMAKEAGLVSGGGLSTVEGVKY YEYGSRAQDSIPIKGEHEDVSIDGIGQGEGKGRVLEREYVLGTEDEEEKGDWKEIASY VGIGKGKGGLTKHHDEDKDQDQVGVAL I203_07849 MDLGRPIGETVPTRGFDQGEHGAGTGLSGGYGETNASNTQAGKL AQNEHSGFNAGVGGNHASGGIPSGTPIADKFTSGSSNTPDVYGSTGSHGHHHGATGTG AGTGAGTGAGTGVGAKLDNALDQYSGSGTGTGTYGTHGTHGTQGGDAVSGAYEGGGTR DALTGQSGAHTTGSGVGVGGENLSGHHGARDAALAGTAAGAGALAGKEFSSSHHQGTG TGAESTAPLDRGSGLAGVGGGLQPGIGGETGSGVGPSGGSGGHYTTGDSTRSGALGAG ALGAGAGAAGASGLSGSGSRSDAQSGLNSTGGPESSATRAGVASNPTAGSGGAGKYGR AEAHDGQGQPISNPKDLDTGNAHSLVFDKATGQYTHRRDLEGGAAHKH I203_07850 MPSSVAQSGSPQSDSVQIPDTLLSDVHFTKLETVQPYLEQGSIP IPPPAGIVSALNGYIQNRHLFVKDDEEFGSLTDAATRLLEEVKGSNRVRNQDLVDMVG NKLEGRFKKFDEIFKKFDERFNKIDERFYKTDERFKKFDERFNKIDERFNKTDERFKK FDERFNKFEETFEKKWDTREKTLDTKLGDIQKSLERLENELNGIGWKQLIGYGCLPSI LLTYH I203_07851 MPAPTHQSGPPSQGYSSDAAATQVAQAAEMSVILCTAGYDHSIR FWEAWSGICYRQITLQPQWKQVNRLAISPDKAYLAAAGNGAVRIWDIASLSNTPIATL EGHTANVVALAYSAMGKWIVTGSEDGSVKVWDTRTAQIQRNYLHDCPVNDVIIHPNQG ELISCDQSGSVKIWDLADNTCTHELVPDEDVPIRSISIASDGGTLVAGNNHGMIYVWR IVPVPDGNANLLPVTSFRAHSKYITRVILSPDTKYLATCSADTTVKVWSTEGLDYALE KTLQGHQRWVWDAAFSADSAYLVTASSDHAARLWDLTSGETVRQYDGHHRAAVCCALN DINLA I203_07852 MVNNTEYYKILGVSKDASEAEIKKAYRKESLKWHPDKNPGDKRA AAEEKFKKIGEAYEVLSDPNKKEVYDQLGEEGLKGGAGPGGGGFGGGFPGGGFPGGGG GGGGFSFHATDPNDIFNAFFSSSGGGGGMEDIFGGMGGGGGRGGPRMRSSRMGGGMGG MGGMGGMPGGLGGESHSSKPPPPGEITKPLALTLEELYKGGTKRLKITRHLQTGGQEE KILEVAYKAGWKKGTKIKFAGAGNEDEYGQSQTVTFIVEEKPHNRFERIDDDLLIKLN ITLSQALLGPEGGGAITKEVEQLDGRRITVSTPEAQIVQPGQETRIPGEGMPVSKVGS AKKNGDLIVRWNVVFPTRITPEQKKELRKVLN I203_07853 MIFGYFGNLLYIGLLLTNAIAILNEERFLARIGWSSSTPSNANS NVGFGHSPNPHIYSDAFGQGSNEGPGVKAKLVNLISATRTLMRIPLIVVNVVM I203_07854 MPPKLTGPPQGWQPPTHMNSASLAQQKANSSGISEFELPKSNLT KLAKGSVPDNVKMQQDVTIALLRGSTLFINYLTSAAHDQAVSRSGKTITASDVIKAIT ELDFGPSDALVPLLEQELQAYRNHIQAAKLAKKSAANANKNKGPRKSSGTINDGEGDV EMVDDDDEGEGENDNEEEDEEEDIVEGADETRDGNSLGVGDESEIVHGENEDI I203_07855 MSANNYYGTPPPQQAYGGAPGYPPNGAPPPGQWDTNQQAPPPMH TQYAPQPQMGYDPNHNQYQYQNQQMPPQGDTDRGAGTAAACCGLGACCLCCLQCCALE ACCDAVF I203_07856 MSQPSSGSWYETLTSTPVLIATAVGVSFAAIFAATSSSSNTTQQ VKEQVKEVKNAAANAADAKGKAAQAGASIMSAPAADLAPPKDDPIPASELSQYDGSDP SKPIYVAIKGRVFDVSNKAEMYGKGRGYNIFAGRDASKGLGMSSLDLKDAVPDYSSLN ESQLNTLNQWESFFEKRYNIVGKVVP I203_07857 MSHELYDRTLANLPAILDHQAAEQSLGGVRFSAEWLQLKAKIDQ LLNDKNDQDESLSKALKIFAKVIDTLDLYAPSLKGLTDVHAYTCETLESIIFDQPVAD RRGQAAAYLYGQAMAMSSLTSEAVSLAIENLLLRGDQIASNLALCIILGIERSSSILF ESHLPVLLRQVQMAAFGSADKSVSQESLIRALKIYSPSEKASSCQTILQSTHSLLAAS LRLCEEQTYSVAHAHPQGVGGKEEGLAWCCFIIEHTPMLLRNDLRVRYPTTCSSKSIY NLLLPLSSKVSPSAQITLCAALPILATWDTMSFVENGLMDDTIRFMLGLSQSTGEGLR VAALRGLGQVAGVVLHRFEPFLEEVGQTALGVLKEQTKPKDQKAAQKLLARLLASLGP ITLDLMIEYLHHPHHTLLADVELLEMIATLLPNLAKRIIQTLTDIIDDLLSDKQCGYP TEAVLEALNVFPLTDRDLAARAQKLVLWSLEEDKGKNVVIAALQLSTNPIIATPSIMR NLTFKAAHLLLADALSVLANPALASMLDERSCSMILLALHQSLEPSIQIVAAKLLTHL TTAHKPIVQPLAWSHIRQSLSLMDFLHSVDPNRAVVEACIISNLLPALPPSLHKKYVG PVKELIMTGLRRPSEANTLSLIFQGLSNLSKFESYSSTQQAQLTYDLLEMTLEIPKDC QHASLNAISNLLSIPKDGLDVFELLIKVHAIFGNSKNSLGLALGLDDDLKALVSSIYG HLGTVERNPVINRDSKAAINRETSTYPSKIQHLSRIAEIRDRYGPLSSTHINHLLIEN LSGSLNVSHKSNNDDRSGIIETMTCLSILQPSNAPASATGGSNHSSGSGGVGGIVNLI PDLPLKIIKELKISLNSRESIKDINSTSISSNLLFLTCVLHQDLKIWLNNYWNETTQI MSECITSGEVLPTLRGLDVVLDAISD I203_07858 MTFSPSPSPTSSPTPVFDHRRVQGGDKPHSQGSLRFGPNAHARI FDTSTPNHHHQQQHQQQPLKSSALSNRPPPAMDPLNFDNFGSSLASSKSSKSQSQSKS ASGHLYRKAVDPSSTSTSHANGTNRASGSNSYGSYIKPATPNAFQNDTPYQQQQQIKQ VFSKPSHQPAFNTNQQWPAWVQNGQSNPVLIHHQPPAQPSKPRVPSEDDNIPREDFNI DAIPITAEDYQRYNGDADKHMQELLSGAIGDGEGELDDNSEGDDVIEGFADGIKLMPH QVRGVKWMRQRESGRKYGGILADDMGLGKTVQTLARIVEGIATTAEKKAGFKAGSLII APLAVMEQWATEAKSKTKPGKLKVTTHHGPSRTKSAKTLEKFDVVITTFQTVASEFAV HETAAQKRLEEVDSDSDVDTGRKGAGKGKNTKKAGCPLFEVKWLRVVVDEAQNIKNRN TKAAKAAVALQAKYRWCLTGTPIQNSVEELFSLFKFLRARPLDDWEDFRDRIIKPVKD GKTKLAMKRLHVILKAIMLRRTKDATIDGKKILNLPGRTVQVTPCEFDEEEKAFYDAL EKKTELTFNKFVKNGSAMANYTSVLTMLLRLRQACVHPLLVTKSLTTDSDAIGDAATQ PKEVETADQADELADLLGGLGVGSGKTCQLCYVKLQDQSSTHCEPCSEFVKKAESKSK LSTADESLPPTSAKIRMLLKLLSEVEERSNKTEKTIVFSQFTSFLDLIEPFLKTHGIA YVRYDGSMRNDKRQESLDKIKNHPGTRVILISFKAGSTGLNLTCCNNVILMDLWWNPA LEDQAFDRAHRLGQKLDVNIFKLTISETVEDRILELQNAKRELANAALSGTGANGVMK LTLNDIMKLFKRTRRDEDDDDDDSD I203_07859 MAPALHSRGLLLAMELPYRHTVLQLRSQIVQVRIRSHHVARQLS SRKTCLQIGSRPTLSLATLSPISTRYYSQKPVVPNDKPSIPASSSIEPKPATSIPPLP ASDEAELHESPYTLPNALTLARIIACPFLGYTIVQGDFAWATGILFASGVSDWLDGWL ARRYNSRSVLGSILDPAADKALMTTLVGTLAWSGLLPLPLAILIFGRDFGLSISAFYF RFISLPKPRTLKRYFDVSIPSAEVKPTQISKINTALQLLLMGLTTVSPLLGYSLAGPL QALQWTVAGTTIWSGFSYLGRSGVKILKQPGKKLL I203_07860 MAATINQDAKAGSVVVMEKTDKRDYLIALESSAQESWNSAQSFE ANPPPLPEGIKSYQDFFESGQSMEELQKKYPKWFGTFPYAYMNGSLHLGHAFTISKIE FAAGFERMRGKKVLFPVGYHATGMPIKAASDKLIREMEMFGEDFSGYKEDVEESNDNA VPVPTTTALPAKSLESTDPSKGKKGKLNAKSTGLTYQFQILELIGVPRDELKKFADPY YWLNYFPPIAKQDLTGLGARVDWRRQFLTTDANPYYDSFVRWQMNTLYQQGKVKFGKR YTIYSPKDGQPCMDHDRQSGEAVNPQEYTAVKMEVLEWGPEVSNEVKQAVGGKKVWMV AATLRPETMYGQTNCFVGPNLKYGLFESAHNELFLITERAARNMAFQGIFEGESGRVA KVADVVGSELLGTKVSPPFGVAKEVYVLPMEGVLATKGTGVVTSVPSDSPDDYRTLMD LRKKAEMYKIKPEWAAVDPIPVLKTPKYGDMAAEFLCNELKIQSQRDKEKLAEAKDLT YKEGFYNGVMVIGDFKGEPVADAKPKVRQQMIDAGLATPYAEPESEVISRSADVCVVA LVDQWYLDYGEDSWKSSAFKLLGQMNTYQAETRNGFEAVLNWLNKWACARSYGLGSKL PWDPVWLVESLSDSTIYMSYYTVANLLHDDMFGKNPGPLGIKAEQMTDEVWEYVLGSG ELPANSPVEPEKAAQLKYHFSYFYPVDIRSSGKDLIPNHLTFWIYVHAALFPEKHWPR AVRTNGHLMLNGKKMSKSTGNFLTMKEATKKYGADAARLTLADAGDDITDANFEETVA NAAILRLHTACLWAEEMKSIESSLRTGEYNEFDRGFQAEQDAMIERAYEAFDQMEFKT ALKAGLYDFENSRNWYRLISDPANGGQGMHRDLVFQWIRNNTLLIAPFTPHYSEHIWK NVLGETSNIQSAQFPKISGPIDKGILEQMDYMKGVVDKLRAAEATLGKKKGKGKSTTT YDSNKPKQSRIYVASKFPEWQEKAIELVKQAYDGKDVDDAKLKSGLQESGLLKDKKVM PFAQTMKRKVINEGISAFDRTLPFDELHTLKILVPYIQASAKFTKVDVLSVTEAQEIL AKDPEKENYDSVKIEAAQPGIPESQFWNE I203_07861 MSRRSSSKQDGSSLGVGGAVAIGVTGGLLTSAAIVETRCEGGVR AAYQNVNSDGFSQGMRETCYAGYHSQDASHQADTTHGTTHSGSTDNYWSVDHGDAQQE QIYVVDTNLDRSYAGEGSLYLSGVAGQDQPVDHSQIGEHNIPTKFRRT I203_07862 MSSNKDSERGQPSKASDEGESGMSATTAALILGAGILTTAGTIT MAHRSLTNSEVNRAYALGLQHGQQRCSEPSTTTIRVAQESATTTANVASWDKPVSSEE GAQEVYVEMNSQVSEDQIPSKYRRSEI I203_07863 MLLLSALVSSLILGSSAQAAPAPTNLTRSLSSVSQRSVNTGWDY GQEKVRGVNIGGWLVLEPFITPSLFEQTGNNDIVDEYTFSQYQDKSVAQSALKKHWDS WITEDDFAQIAAAGLNHVRIPIGYWAYDVSGGEPYIQGQADYLDKAIGWAGKHGIKVM VDLHGAPASQNGYDNSGHRGSANWATDNNNVVRTKNVISTLAKKYSDPSYFGVVTMLA LLNEPATYMNDQFLQTTRQYWKDAYGAARYPYGNSDKSGLVLVIHDGFQPLSTYQGFM SEPDYEDVFLDTHNYQVFNDDFVSWNWDQHVKGICDKATTYSQSPMWLVVGEWSLATT DCAKYLNGRGIGARYDGTYSGSSYHGSCDDKSNDVSKYSSEYKEFLRKFYDVQVQTYE NNGQGWIHWTWKTESAADWSYQSGIKNGFIPSDPTNHKYSYQSLCG I203_07864 MTSTPSFSSFPDFSAGSEAGPSRPAGPAPVPSFGSFPELAAPKR SKRRSRSPSHDDDRSKRRDKEDGRIGIRRDNDHDRRHRERNRSDQHQRDRDDTREKER RRDRPRSRERDKRQHRGRSKDRHKDRDRSAERRYKEDRRRKEREKAMDLIRGGGVGTI RSDKRKEGRATDKADGLSWYESVTTVKDEGIDTTPSTSFFPDTVGDRDAVRYGSTSSA SAPRYYRDGKNRILGLNEGLRIVYSRDRTQKGVEIAPMGRPYVPRYNSRQTQPAASQH LQRILLLPSDDQAFDSSAPYIDFDLKPARQATDLPSYRDIHQPQEDEDLELAEIQGAI GSYSTLEEEVRRETVDTEQYLKSHRGDIDRWIKYSQLHLKLSPDLTSRSDVAQISSKT RAEAEVTLSILSRALDAEEANFNSTKLHLAYLQAAEQFWPNDKVTNRWNNVLRELSEK NDQVDMMDLWLGYITWREGNGFGKGDGGGVDEVVEVYEECIGKLKENSHADRSGTGDT QATEENLVYLFLRACLFLKQAGYTERALAMFQAIMEITFFKPDHLRTSPSSAPTRFDD ILDGYEKFWDTEAPRIGEPGSKGWKNTAPSAVPSAQVLKPLTHSSEDPFEKWLEAELH AESNYSMPGRATDLNEEVEDDPYHIILFSDIRPFLFSINSPEVRLQLIYAFLNFLGLP FTPPDIPSSSPATNDPHLQWTLAHNPAKRNAFWPLRQSSKRLPWQTVGGEPMDPERTR GLDNPFESPVKCWLQDRSTILGRKGSWFTDMDAVDVAALDVDLIRNIFEILRPLVPDP AFTLDSFAFESAVSAKGAVKFAKSVLASDRDNVLLWDGYARLERQRGNVPSARTVYVT ALQAAIAVRSYGVVTEDELDLWAGWAEMEFEIADEARSLEVVVLAAGVGQDRLAEYLA PDRSPGQPPSVAMLKSRQFYLNTQSPYSPSQLLLKSLFHYLTEGMDSTRWFLLNHLIT LSLSGGEAEFTLQLLTKILYLHSTRHSSPASMSRDTLELALSSFPNNTSFLSLYLYGE LGGRVYGRVQRLIADLTSQRGSNGGLVVHLWAIWAEAVSSHRTFWDEGGTGAERVRLA LDKGINSSSGKYSAALWMLYIEFECLMGRQQTAKQLCYRAVASLGGCKPLYLLPFSPS LQPHYTTRELKEWAELMMERGIRSRVPFERYFDAQEDEGALLELPEDEDLQEDELGFL REREVAKPY I203_07865 MGKDKTHVNVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKSSFKYAWVLDKLKAERERGITIDIALWKFETPKYQVTVIDAPGHRDFIKNM ITGTSQADCAILIIATGVGEFEAGISKEGQTREHALLAFTLGVRQLIVACNKMDTCKY SEDRFNEIVKEASGFIKKVGYNPKAVAFVPISGWHGDNMLEESTNMPWYKGWVKETKA GQVKGKTLLDAIDAIEPPTRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKAGMVV TFAPSNVTTEVKSVEMHHEQIPEGLPGDNVGFNVKNVSIKDIRRGNVCGGDKQDPPKE AASFNAQVIVLNHPGQIGAGYTPVLDCHTAHIACKFSELIEKIDRRTGKVMEANPKFV KSGDAAIVKLVSQKPICVEAYTEYPPLGRFAVRDMRQTVAVGVIKTVEKTDGKGGKVT KAAEKAGAKKK I203_07866 MGLSERKVKQKIGLDPRNLTWSDDKSRFSYKHMTALGWNENGGL GAGGDGNPNHIAVIRKSDNGGIGMDRARKDGSDMAAGAGQAGRGLEDVLKRIAAASAS PSPSPVPAPEVEAKASTKKEENKVIRNRIASRQKHLNSKRMASQSPAALAEILGVPIS SLPSTSSPSPSPSVPSTPQPEASISTSTETTNERTADETVIKSTLSVSDYFRQKMREK MAARQAAANASGGSTDIKINDLPSSSLEHLSGSSSSSKPIGGTAWEGQKMQFGNEEQV EFDPSSGPVDEDQEKEKEKPSKKKKEKKDKKKDDSGTSTPTALEGEDPIHIAAEHVHD FEHAGEIDEKEKKRKEKEEKKRKKEEKKAKKAEVGVEVEKKEKKRKRDEEEEKEVKSK KEKKEKKDKKEKKDKKEKKSKSPSS I203_07867 MEEHRKRHGRRMDYEEKKRKRTAREAHKASADAQKIFGHKAKLH HAKRHAEKVQMKKTLKAHDERNVKQKDDGAVKEGALPTYLLDREGQKDAKALSTAVKD RRKDRAAKYSVPLPKVRGIAEEEMFKVIKTGKHKGKSWKRMVNKATFVGEGFTRKPVK LERFIRPMGLRMTKANVTHPELKTTFQLPILGVKKNPQSPLYTSLGVLTKGTILEVNV SELGMVTTGGKVVWSKYAQITNNPENDGCINSVLLV I203_07868 MSLSSSSLSPLPTNSPSFPSTTQKEQDNTNRLFHSLEETVHRLL TLHLSDGLESPYVYEDLLKPINLTTWKTEKYPYPNFKDKSQNEVYDLIVILAKRIAEF LTRLSVLVKVIYHLFGLLEIIIRRLDTVERGDDEIRMVEKRLDRLERKMMRRVQVGIE GGIGLNQREQYSYQPPTPKSSKGERLVRFTEVDKHPMMVVNEDEEERKRNLRRSSRHV KVVDYTISSSDDDTAERALDLD I203_07869 MSGHPTQENPLPITNTLFPPPPAYWQSFTETNIQRYESLTGTSF FDNQGDEVKQDINLDLGEDERKELEELKIRLNKPRNDWVEEDGRWMSFGTLFNIKPTI PSVKDIGLPPLFQSTTTPEESLPNLLSSFLHTILLLLDVLTTSARTPDELMHAGWAHE GDQYIQHLSNLAASMMIHSNSLRQMQSESTLILMMEKEREERRTQTEMLRRKCREIST NIRRLKEIEN I203_07870 MSSSAPLLSGTIEPDEEPPTLPQGKIGASSRSSEPVVLVPGTTA QGNVATGISNAEEGGIRGILKQSSHPLSLLFLYFFRSAAIAVYVLCGLFTDNYVLSIV VVVVLLSLDFWNTRNVAGRTLVGLRYWNEVDEEGESSWVFESRDPSRPANAIDAKMFW IALYAYPLGWLAILFVSLLKFNVSFLPIVLLALVFNLSNLLGFTYADRDAQRRWANGI ASSGNLMGFGFGGIGGQLVGGMVKNSLGRVFG I203_07871 MSLTPISPTSPATANLPTVELSFDTSKGGAWDDRELIRASEAAM KEFHAHHPGPGSWLDKATAALAKGQKLPGADDYGTAWYSASLPTETEVQAEPSTSTQT QVKMNGNANGNQSQSNKRRKTKRTNLYDTNMPNPYLPSTSTSTSTSTDPSQPTRRQSP SYNPGSPSSRPIQVIDNDSDTDSEEEYYDEDEEEEEYDEDAEWDLPPQQQQQQQYGSG YDQLFPSLGVYPPGGINREEALGYAMTAQYWAGYWMGVAQSQNQGNGLGYQPGQKEKR SKRRKRVNFDIGDENEEDITIDAVKSNQMNGNGNVQPSNLKVTKKRFDPVINGLRR I203_07872 MASSLPQYYSILLTDISTTHRDEIRQAYKRESLKTHPDRLPGNA TPQERRRATERFQIVSDAYYVLSDPSRRAEYDALFNSRPSSAFTDDSSSEFEQEQASG NFFENFAQFFQNATGSASAPGPGTSEKADAGPGAAPRRGGGGGMGGRPDAHGVFGDVF EEMLAPEVAHVRPWWSWVGGASGAAIGYIVANVPGAVAGGFAGNRLGAIRDAKGRAVG EVFRELGAGQKAEASYPSPVVPMKGL I203_07873 MSEVKSANVRAMLFDMDGTLLDSTPAVNATWEYFAKEYDLDLHE VLRTSHGVRTIDNMKNWCGITDPVELRDAVELFEGMIVKEAQELQAAGKDGLIALPNV LDLLNHLNTSPIPVWAIVTSATSTYASAALPTAGIPTTPKLITADDVSKGKPHPEPYL TGAKVLDVDIKDCIVVEDAPSGVRSGVASGAKVLATCTSHTRQQLEGLGANWIVTDLS KVSFEVKDGRVQATIDESP I203_07874 MEPEQGESTTIDNLSEVQISAVSPLMTDVVDQLDQTRTSWFETS QRPPTYLNMVEDTNAQGQIATALAASTIDHFCRNAHTYEKVREGTTEEFANYQSALVD TIRLEDIDIGMN I203_07875 MSRSIQGAETFHDGGVADGGSAPSTEPQFSVRVYGDSNAEPYWQ SIQSLDAKQSNILAQYFEGVSKELSKHRAAREQLKDTQKDLDFSNFGLRVLGLGILTY GALSLWSLGVDQECKARLRTALSECKNNLDWVRNNGFTMGEAEVDPNGTCPNDDGIVI EVSSMDQ I203_07876 MPSGNFPSSDNSHQTLEAITTDGGRRYRLVIDMNRGEIAEGSCD FSGSIEGDSRSSISFGTNTANLTRMVPLNDLGQDHIDKLSTFIRASTKQTIDMTLDQS GRGMTALKQENSELKSQVSSWTRKGIANAMMASSVALLGTGCII I203_07877 MASSTLRPHRSPSPSPTPSPTINPSNPAKLTRQSLGPPSTTQGA QSKGFSGLGIASPTAASHPRHVSSAALIGGAGRENSLSPRPSLGSSGGNGHLQQPRAV SSGPGSALGMLSGGGGGRPSSEYIPSRDNAKTPEAEQIDQWFKHLASWEATLEEMAAA STDQNFTEELGAIEQWFRVLSEAERTAALYSLLQHSTPVQIRFFLSVLHHMAQSDPMT ALLSPAPSGPGLQAQMEQRLSAMNLKSPSAGGGSGFTGSPNANQYLAPDDAAAQKAKA KQNRISAPGTLQPLDRWQSGLDQVIERGSSPGLESNGSSRSRSPVPYARPKSTDFSGQ ANQPRRESSGFQRSPRLSAGGVGLGIGQPEGLPHGQSPVTSPFLNNGSWASMVNTPMV PGFIDPKVDNLTQALNMANLGLGGNPNRIPLEDARKFRRPNAGGNTSRNVSGAYNDDG EMINPRSNQPGGNGGFSNATSPLLGGFARSPVLDQFNALSGLGLGGADPNALAGLGMN FANLGAGGLNAAQMMAMQQLQTAASFAQGGYGGGSPNLGHGLNQHQHQRGNNHKNGPQ GRRSPMLGNNSNKNSPAPGGAAGGGGGAGGGAGVAGPDDVDLKILEDTAGWLRVLRLH KYTPNFEKSSWKEMVEMNDQDLQDKGISAQGARTKFLKVFYNVRTKMDLPHPEGQEEY APGAKDKEK I203_07878 MAPMEKGFSWSNIAVGATMNMFEVTTLGQPLEVLKTQMAANRSQ SMGQAFATVWSRGGIKGFYQGLIPWAWIEASTKGGVLIFTSAEIEKYTIKAFGVSPAA AGMLGGIGGGVAQAYATMGFCTCMKTVEITRHKQASAGAPVESTFKVFLDIYKREGIA GINKGVNAVALRQATNWGSRFGFARLAEASLRNIKGIKEGEKLGALDKILASTVGGAL ATWNQPIEVVRVEMQSALKSQDPTRPAKKTVLNTLSYIYKTNGIKGLYRGVAPRIGLG AWQTICMVSFADYVKAA I203_07879 MVKHNNQLQKNHFHKDWQRRVKTWFDQPGKKKSRRVARSKKALA TGAQPLQRLRPAVRCPTQRYNIRIREGRGFTISELKLAGIRKKEAKGLGIVVDHRRRS KSEEGQSLNVDRLKEYKTRLVVFPRKQGKPKAGDAQGEDLTAHLTREAIPLPASYTAE APRAITDEEKSTDAFVTLRLARAAQRNEGQRQKRLREKEAAEKQK I203_07880 MTSTTYLNDSSYRSISKSKGHHSANSDVFDHHLLNQFNSRLETE YLLRTKSKHKKPHSHSHNKKFEERHPKAFNAMFIGSTVLLAGTWGYCMYELFNNYDSR SEGLSAGCNSTSVWNKMKTYENYIEGLKEDHPDWNITIPDVDDEESNENRKSKRSLWK LEDVDTIVEDVLSSTLPEDGNNNGNGQFDMVWTDSKKTEGDERFLESGESVESKIPIV FTA I203_07881 MTTSTAVQSQPTVLESYRLDDPTKSNSKCYYTKSYDPSSHRTTH NFNWSVPYHYWNDKKDIPCPVEFTTADQDRHTRDTFVASFSERKPTISQKISYGTFAA IALAGLGTLGYTAYQARHQAELKLQSENLNVAYKNLINKLREDHPDWEIPPWMVSKRS EEGSHWEVEALEHNGSESEDGSGSERETYTIENADEVIASILSRSDEDDSGVPEVIMT KVDSDKVPKSFVA I203_07882 MPTPWNRGNVHAQRGRGGFRGGAKGGRGGPKLPAELREQIDTKY GSKSRRGGFRHHDDRRDTREDRRAGPSIQVRGRPPPSPSDSEDEKEDEEPAPRPAKKA KTKPTKDEEVRTKKKKKLPELRLPDEMVGDQEDQEIEWLEYILKKEKGKGKEIDDDGL DDLLDFADVVGPGGMGLKRDGEDESDLEQDDEDDDDSDDDDRMDLDGLDEDDDLREDD DEEDDEGSEVDKDGESNEDVNLDGETSEDEPGVEDDVKQPEDNATNTAASIGDTTSDA AAPTKYVPPHLRAAQLEENAKGNKQKAEELIKLERKAQGLLNKLSEANLESILGEIES LYRGHSRNDVSTTLTNLIIQMISNKANLLDSFVVLYATLVGALHRVIGMEFGAHFVHT LIMRYQSALTGSSTNETKLEANIYETPDGSKEALNLLTLIAELYNAQVIGSKLIYDLI KGFLEGGNEKEGKEVMSERATEGLLKVLRCSGAQLRTDDPASLKDIVNLVQERTKGKE KSMTARARFMVETLTNVKNGKIKSIQNSEGGNEAATRMKKFLSGLGKQRLLAYEPLRV SLSDLLSANKKGKWWLVGAGWSGNPLVEREQALASTSSSVAEKNKKVKGEEGLTDEEA LLELARKQGMNTDVRRGVFVVLMTSEDYVHACDRLNALKLSDVQQREFVRVALHCCGL EQTYNPYYTLILSNLCSNSYDHRFTLQYALWDFIRELESGSGESTKLKKQKQKQRQEN IAKAIGYVVGRGGLDLTVFKAIDFTLLSTPLLGFLVTFLIHMFLSVHTVSPIFTLPKS FDSKSEFDEETVEEKFEKTLSNTELAGGWLYVLERGQKKFAEAIQDLPEREKEVVKRG LDIGRRVLGNVL I203_07883 MTIASLVARDPPSEEIHHGPLTDQHCANIAGSILILVACGMVSP SPSCDVGFDEALSVLMEITEWLKRFQTVWINAGDSATALLGLIGEIVMPAETRH I203_07884 MKADTSKSIRVAAAQNEPVWGDLEGTVNKTLDIIRDAASKGVQM LALPELWIPGYPKWIWSLPALEWAGHWEKYIANSLKVDSPQMMRIRMCCQQNQIWVNV GFAERDGYSLYMSTCWITSTASAGAIASHRRKIMPTGVERVMFGQSSGDSLDNVIDSP FGRLGSLMCWEHAQPLLRYHTATQGEEIHVASWPYLFPESAEELHTGMTNVGCLTLSR AMAIEAGTFVLVATCVMSKSGALLLGLKPEASEKRTFQIPGGGASRVFGPDGRVIAGG DMPDDMEGLVIADIDVQEVVTAKTLMDSIGHYSRPDLLTLSVNTTRHPVAVQRSTDHK LAASIISRYPTLDIDTAQQREEVGLDAASGPQESNGGSEVMV I203_07885 MGVGILPPFGDDHIQVPGTRALKEEVAPGADVSGDKFANLKRHP KQHDVILQPQPSDDPNDPLNWSRLRKEMLFISIMLCTSAVAVIGPIFIPAFSSMAAEF DRDLTAIANLNGILVMMLGITSFIGIALGRVFGKRPFLVFTMVLEIIGLACAAKSSGY WSLYGSRILQGLGIGGFACVGNALISDVFFLHEQGTRIALWQFAWVFSVNASPLVSSH IIATHGWRMAFWAALGYTTFCSVFYFLAAPETTYVRQEPISVATTPPETESEGKEIEM GVASAQPALEHGAGPQFPARKSPFSLWNGIMTNDSILLVLSRPFVVGLTPPIFWSLIT YGIFFTGTIVTGATFSQIFAVAPYNLDTVSVGNISSIGPLLGSIIGFASSGPLADFAA RTLARRNRGYFEPEMYLVLMLPAFVFCLAGFVGWGHSVANGAPRIVPAAMIAIAYLGS TFGFSGTIMYASVAFPARGGDAFGIMMLAKSAIAFGLLFVANTWLATVGPVAFYGAWA GLTCGTAFLALPLYIFGKRIRLWTSKNSMLGWTTR I203_07886 MTAETTPSKIPVAISKRSSISLSSTNLAQQSLSNDSVSSQITRL SRSQSPNNINGSTARTGRSSPALSNQQRRWSLLSGGQGPIRKTSFGETTGLNMNLSSS SSYDSCDPVQIKAQIAILQLSLQNAQQRLVDVQNGNTSSLPLTPIDGSSNLPEFEVEV DADELRDRLLDENDEGGRDSPPDPEPPTGINTINGKPRLNGLPHSNPSFDLRSRAVTP ARPTSAKLPDGRSRIPQAVVAGSAALHPTPPPSSPARPHVSLPSTINLPDREKNLRSP FLAPESPQPPSPYGGGRPSSSLGVGEGRLSPSPAGFRRASGGPNDKSSASTRVIDGLQ TELINARGHLERVKQEVRSAQRVIGSLTRQTEDLKETRERMRVECEGLNNVIARKERL LQEVLERARTAESSLSQHQSTRKALEQSTKKSLSHMTSQLTEAQAGQAKAERECVALR ESVKSLRDVWAREVKSVRDEWKKGEEKGKKEREEARQKHLTLVKLVQSQSADRAAIQN LAEKATRESALATESFEAQIAGLRLEIDQSREESRTAKSHAEELASEFVRLRRLMREP RRGSLEDAAVISSNDIAELAEDNK I203_07887 MSSSSDEAESSAQALERYITEQLSGLSLSVPQDDVEMMARFVEE EGLERDEKLEGVKGMLEGVVDGGVLPEEGVDEMLGKVIDEQERLKVEEEARLREKEEE EKSPTPPPTKPTDILSTLTPEELKAAQKKALLRQYAYVDASEDEVRAALAANGDRDPN APAKLGGAGNDEKKAAEERKKMIEDALRLDGKKKKYRKQQEVDLLAPNLNREKVAYRA QMEREAQKSASQQKRDRDRAALDKQRADQAKAKADKQKKAAKQERRA I203_07888 MSADQSRNRMDEDISRLKSWTSSPICHGSTSRGSRRNWHRAKSD QTSRDSKVANTGYKANSSQDWRSSTDWRKREKEPGLSSEPPRKRYALEVEAFECNLNQ AETDSNSIFIKIQKEVLKSLFSVMPYSDTFDRRPWFNPLDEDRRKALIQKAVEQDMIN LKDILVKNNGDEQAVQSFYESSAGFEGPSELLAKFY I203_07889 MSFFGPTTYPDGSFMTYHPIHPPSHPCSCSYHDTQVAFDDGDPL RPDPGTPTSCFSPPGPCPRPSPPGDEALSSHSRGRPGTPISPRPCIPPHGPCPDPIPP SEREYSHTYTNPPVPISHSAQQGLPIAYPDSPPRYTPYSPPSYKPLPSPSEGTLLLAP LPSFLASTCPYIQSANPSELPTHSCPHCYSHSQFKPQTADTVDWMVAFLIILNLFVWG AVAWGYICEYTDGDFQWGNLVGSCVGGQGAAGWGKICQGKECRWAFVDC I203_07890 MSDAFSTPAEHALVHPELESIAESARAGPSSMGDGNEGISIEEA FEVDETVKRILEGGYKTIGLQFQDELLPSSVQVYRSIQMKIQHTGAQAYVLADSTYGN CCPDVLSCLHLPADFLVHYGHACLTPTDALPVFYVFPRRKLDITHAAEQFSEVSKEEL SEGGKKGVVVVWDVSFDWLADEIQKTFSKIAPHPINFATIQKPPTSSSPTPPASGSDI KGKGKAPALRSIEPPKGVDISDCVIWYIGEEGRSSINLQMSYADNPLYLYSPSSSSVL SLHRQTSRLLQRRLFALHQAMSADIFGLIVSNIGLSSSQPLLHQLRSDLQKARKKSYT LSVGRLNPAKLANFAEIECFVLVGCNEGGLVDNSKDFLRPIITPWELELALQGEGGSW QPEKWTLNLGKVLEEAQERNSAETTNTNGVQNGNEDEDDNDGPEFSLITGKMRTKKTF HGATDSTEIPSEGIQSMTLRNQNFTLAKLESAGSNFLASREFRGLEPRYGMDEPTLLE EGRSGVARGYTEEKQ I203_07891 MNSSPPPPSFTLPPLPSSGNFPITPSPTPSPDTEQDGYKLTAKG GPGPSPRKGKNEAHITEEEGRISMYVKLFDEMINTVLESESYLFTARELWVLKHILDL AYEPHYLLTRLLLRRPCKIHPYSSLVNAYSAELGEEGVQRAMKILSKPLSIPQDIVDS EPSIINNDEPIPAASSSKSPLAPTKSYPTPLSAKSKGKLPSIYSKKLKPWSSLSSGLT PEEEKADPELAEALKETLWASKVGRVEIDDDGAEIHSPPPSILARSRSVSNTSSTSSS SSGSGTKTPLMEEFSLTPQPPPPIICLGRSEKALGLDDIMTCISAEDLKKIAKSKKIP PSSLVTRETTINALRGMAKKQTVLSFTPVKGKSKLADKSKQATLPFSSSTTRVTSESL LVNQLLPLLGHSAIQLSAELHSLISRVNLIFSRTPPLTSGGSSLMLPSILVTSHKRRY PNYGSPSRSFIWSSRDELLIWERAVGWETKVSDALGENWQEQRKQFVPGFGINNKPMI SRMEGAKIVKKIWEGVWSTWLELVRGQGADQVDYGKEKGGLVGDRFKTGHVLTRIVYK GATALGILHEYDTECMVLKALLAQRRWRRSKRGAWYDRLALVLMNHYNATPEEKEEKL REATQTCIDALLDEDTHMLYRPALSRRLTRLENKLNLPSDERHISYASLNKCETRELI APRVMENMGAPRYRARSASESVRGEREASLGMGDEELRAGGGMGLQQTGKSVWLGREG EVTVEGWVLAWWEDKGYKGFHSESSILTTLFSLLMWPILFSPLPGAFETPYQTAPLDL GEDTFAPSRSAAIERRLEEMSSTAAALDMLSEVDERERPRGTWAVGVNWEYSADDLRE ILECIGGEAMSGVCRMLAEEYRHRSSGVPDLIVWNYEKKEARFVEVKGPGDSLSETQK VWIDVLLSSGIPVEVCRVKEKIASTQQIEKVEKKRKSNELAQKRAKKVKKEYERGADG EFMEIGDDGLSVMKDEEEEGWEKEDEMRFESGDEGGSEGRWEKK I203_07892 MLRASRVLLSALRPIKASTGITGLEPIADPLPALKSIYSSTLST LSSLPSGSVYRQATEALTKHRLEVIETSNEDVLKVESELGKIIELTFEEAKAEQALVG KMIEWKSWENLEQEPQPDQWKYFEPASDV I203_07893 MTIYLEKALVESIIIVILVLIFLIISCSPNKSSSAKPSSERPKR ERSDRPERDSDRSDRNRDRDDRPEKDTGERLKPSDPDTDRRQRDDDGKKSDPSKSDPD SDESESTPPTPSPDDDSTDDTSDDGRTTDTDVETPGTLNWSAQLADNFRLNINNSDGN FRVNYHG I203_07894 MSELTANKPYDELSKEEQAEHDAKEREREKAEQAALPYQWTQDL STVTVTVPLPKGTKGKDVNVVMGTKKLKVQLKSSNEPILEGELFNDIISDESSWTIDD GTLNIELEKLSAHIQSHQWWPHVLTHHPKIDTTKIVPENSKLEDLDSETRGMVEKMMF DNRQKAMGKPTSDELKKLEMLEKFKKAHPEMDFSNAKIS I203_07895 MTSQAVLQTDWNDYHWFSQDYIPRQTVPVLRLFASIIESQSRIQ CYIRSSDRKAEIVSRVQDAFARMKASNDLRGYGQIRLQCEQRGVPQYRPNYAPAPTFG GVPSSSSRF I203_07896 MLRDVLDMKLVVKVFYAHPDISKDKINKKRFVLANRLNDDDYSF IQHLEKVLKFIKQATDYFQRETGQGEHPVTVAMVIPYIDQVTSLLDTLIADDTAPIVV RTGACIASNLMSSYYSKTDQSLIYRLAILLHPSMRMKHLKDQKWLQSWQDQAEKLLRD VYATYESDDQGPIAASSPAEYWGPTLSVPDDEDQSQEDPVGQFINGSRSTGKNRAFVD PLHFWHCKMEDNYANLRRLALDVISTPDVAGRNH I203_07897 MTSNPDRPTISSSSSSSSSSLLDIPSPPSRSESLTPKHGPLALN AFEALENEGPSPAEGDEPNGHEGREERLRRRNLAKSGIGIDESVASSSGSGSVGSLDV DDDERENEGFKGHRRTSSLGEARRKMREKIRSPLVSTIKLDELRDTLEIGIEKKFAPL SIPPHRRLQTAAVALWALLIPICLIVFLLCLSFPPFWVVLIPYLIWTQFDTAPDYGGR PKEWARRFFFWTYFAQYYPCSIVKEAELTPDRPYLFGYHPHGIIGMGAFATFATEGTN FSEYFPGIKPHLLTLESNFKIPFYRDILMAHGICSVAKKSCANILSQGPGTAIAIVVG GATESLSAHPGTADLTLKRRFGFIKMAIREGADLVPVFSFGENDIYEQLANAKGSWVY KIQKNFQKMFGFTLPLFYGRGLFNYNYGLMPFRHPIVSVVGKPIHVKKDPHPSDEAVQ EMQQLYIEELMRIWDRYKDLYARGRTKELTLVE I203_07898 MAIRRIYRRAEEALANDEVPVGCVFVKDGNAIARARNRTNEWRN ATLHAELEAIDHLLPFHPAPLSTITLYVTVEPCVMCASALRQIGIGRVVYGCGNDRFG GCGSVIDVNSSSRLNTHPAYIAEGGYYREEAIMLLRRFYMSQNPNAPKPKAKATRVLK TDIAPVPSTPSSSRPSSTTPLKRNTSSSTLITPSSGTSTPALEIMPSHSTTTDEFVGS MDSQKTILPIGSTIASTPVPGPID I203_07899 MAENILGLLLVTSSSRGRAAFRYPPDPASPNIRLAQPIYPSATF TATDFEVDYKNPHIGANNALRRRLFGGAEINTKTSSAGSITSRNRHDRRNQKNKIHPM YYEDTKQVNNSDNSDEDDEDGHGDGTSSDEDSDYDVVWTNQNQREDNNTNTINGNSVS KDHSALRSIDSSMMVDDSRRNSGSTTNATITDTNNNNSDNKSKGEKEREKIDSQYNYA LGYHLDFLSDMLTPPRAACNRKFEICVGSVVFLGHPVCSNADGKWEIPNDEDLDEVDP DQDDRPSRGRRTREQQLISSSKASSLGPLVENNKENSSQSSPEIPAPAPVTTSEKEKD DTPNLNMFHLVLIIDKPDPKPGTEAHDEHHHQTLGMYDEIYREIAFKWTAAAWKLQCE SNFIGKQTWTMAKYKEKCLSEGVDVTDCCRWMYANMPLDRNLNSLFLRLHQLKTQPAN PLHSYLPTTITTHLADMTINTVLSPKVVDADEAWAHWGEMDECSESEGSDSDDEDWDD PTTPIRRPELRVEPWQTLLLIDDDATARASEISTAIIGLGVGMESQNSSIPGDRRGSK GTIATNQDQEDEQQLMKSLIEACDVTKPLVDIAHLLRFDLEAIVIPLARELVENKKAI LVDVINTRLRTIVMPTTVDEHTVSIEQYTARFLREFPTLSPFTAFISQISSSPTPFRD LLPSDPNMSTRRLYMSALIWLLKQDLVVQVHTRARVYARKEVKIEAWKRLWKRRREKW LNLQKEKEKERDLAAVNKSPTIQVVQDSEEMITPKASIHPTSNPLDSITVPSPKKPSR MEQSYMDYDPALEMDSDEDVENQSHHAEFQNFKVDVEEPSKAEIPKFESSFIFRPSRA QKEEARWLRVIREGNDEVTASKFDLVVQYLDGMTTFEEISYRTGLPKRELEKLMILYK EDIITFVHP I203_07900 MLLDGPSCLPVMEPINLYSFYPYPISPRSHVHSTLFPTSDPSSS SSSQQHSTSKGTKEVEKQTARDQVQKSMRRAERRALMGRRPTGVGLSRDDDEVMNEED MLDHEQHDINMFGHRFLLPFGRRLTQMEMDAAPSPSPSEHDHERRQEDHTMGSPMMEP HIQGEQDDEDEEDGGQVDLDASIEDLDNSEMVDEGEEEGSMEEE I203_07901 MSQPPAPTPVTRRGAIPTNMVTYKGRRIPARIDPEARVAYIVEI MSTSFGTKEHHITLCLRDEADNLVTQNNLPGKVYNHDVLKLVPSPATEALVVLTSLKS SIRRISLGDSTVDVPSSADAIPLKLALFNLQKYIKEEDFAVEFMLKGGMRMLVKFLER SEGGLTGNSLAYALQGIRGILEFESGWGDLSDTFIDRILNIVITATQPNILRPSTAII RKLVISSPQLSSNGNNNNIPGMKLPSTPYGQTKKDRKGKAKEQSNGNGNGNSDGYGFD RIYSRIKRLVPDNEGNHGFNGVELFFKVVVKRLEGTGDLELVAQSLGLINSCLRSGHQ ENSKQYPELVAILEHLSVRRYISRLMPTSQNNVVEPQILNFQARYGVILQNTRLRPVR PGTNPHQEKMLRESWEYGRLAQEQDLATSNGHRKTGMARTAAGWQKIGLCLDGEDEAG FMMEMEMFRDVGELGLECLHYFATHEESFHNLVMEQQAKTPERRCPIGKASHECVKIL CEHYKLSQAGHHSPSNFQLFLLNFSKLHQLVLRFFLRMWSESASQVPDFPKVSFLVRS QIRLSLSDEGNKTWLNLEQDFLATEYRTIRDRQMELLEKEDGMMSRLSVRELRDKLGR EGYEVLSEQRIGCMLQGGWFNSAQVIVPGVMSSVRPNAQKPLRFLRLSPNRRVIAWDE FSQRIDNPSFESLRERIEISSITSVRPQTGCAVNSRSPNLVSKLSFSIIGEGDASFLD LDAIHAAQLAEWTDGIRVLRGESGMASKESADYIHILAELALKVRLLDITGDGVEIPE KITFGPGE I203_07902 MATRPVPLEPMGPDLHERRPPHEDHYDDSSVDEEDDVDDFIAAN EGHSGKGKARSSSSKHARKRLFAAFMIFGLLNNVLYVIILSAALDLVSSATPKGIVAL FNIFPALLTKVVWPLVSNGKIRYTRRVGVCTCVSWLGIMTIAFSNSLAPRLLGISMAS LSSGLGELTFLQMTTTLPTRSTSKTALGAWSSGTGFAGIAGAGIWWLLRGLGVRSGLG LSSILPLFFPITYKFLLPSHQELGSMSSDRNLGEYQPISNNDDTVPPSIYITPPSQDP IPQLATSIEAEDNSKPSLQTRHKIHLSTKEKLDLLKPLVLRYMVPLCAVYVEEYVINS GVAPTLVFPLPTSGIWSKLFKSPRDYYPFWSLTYQTFVFLSRSSLSLSLPPIPLTLLP LPSIIQFLVLSSLYLQAKHFIFSPPSYTPPNVPPTEGVDRSISIVFLLICIEGLCGGL AYVNTFYHVAREGEDEDDENNEKSGMQREFRIGAVGAADSTGILFASLISMPLELSLC NSQIEQGRTMCRDL I203_07903 MPSVVIPLVQTPPFVLIALALIQGIPVGWDTESGETGQATYGEI KGAEEVRKELEKGIDGKEIPLPPLPTLLAANSTFQEVSGVLDALDDYLAYRTYFAGSK FGFGDAIIWGTIRGNTSAIGSIKKPGRPHLARWFNHVETLSVPQNALKTFQQARSEMD KGKKTKRLETVDVVLPNAVKGKVVVRFAPEPSGFLHIGHLKAAILNRFLADQYQGKFI LRFDDTNPLKEEGEFEDAIKQDLEMIEIGFDKVVHTSDHFDKIQQFTEQLIRQGDAFM DDTDGETVKEQRRAEIPSKNRDASIEENLARFKKMLSGSDEGKKWSLRAKIDYQHKNG SMRDPVVYRYVEGSHHITGTQYKAYPMYDLACPIIDHLDGVTHALRANEYYARHEQYQ WFLEKLGFPKIEIFDFSRVDFVYTVLSKRKLKYLVEKGVVGGWDDPRFPTVRGIRSRG MTVQGLKNYILGQGASQAQLSLEWDGIWTVNKKVIDPIAPRYWAIAEDKAVPVSIKGL EGGEKVEEKPLHKKNPEIGNKKVVYSDKLLIEQEDAVSFGDNEEITAMDWGNVFVSNK KTSTSGEVESLEFTLHLEGDFKKTSKKIHWLSAPSSSNQLVPVTLIEYDYLITKKKLE ENDNLEDVINPKTEYRTKALASPEVASLKKWDIIQFERKGYYICQGTKDSEGRLEFGF IPDGRLQTISLKATPAVEKPKVAGASKGSWGKPGPTTTAAPAAATTIGESKDDTKILL SNGSSGFKIPVKTGMFESDKI I203_07904 MQHFYTPNLLILVSRVLAQVQINACAFIHPTKSLLGLSSMLVAM NIAAGFLHLLDFAGGMNGGKGLILDFVGQANPASLTRILLLDLLLFLIQLIGLCVSYV NHSTNLPKLPSLPYDDLLLPPTEHQDITKPTTTLFDEDEDEIDLEGGTRQRKSRKGRG KNKYEAIESEELWLDDDEELSTPSNNGIRITEPPLIFNLPLKHTISLIFKLPSPSPPP RAFSGGTPMTTPPFTPPIAPLARIPDEDEGTRQHTSDDGREVEGELGRIPGEYRRQGG I203_07905 MDLQAPIAGPSRPRPAPSFDRYSSRSDPPSSSSRSSTPSLHRKS SSIFGDGFSTPTPSSHTRYSTPSLNGGSSTPVFYPNGARFRSQSVLSTSQREDELINK KRLDSFRKLKSSWDLLKEKYGSISLEDDDEIDLRTGKVVKDRGRLRDYVGREFGEIPI SDNETQTDGDGESKGEGGYEIDSDEDEIGLWDERSGLDPQITEPPLLWEMENNLRRTK SWVSVEDEDDLKEFLSLEAHRNRLLGVDNTVSEDEGSFGAELSDRDSVDRWEDSRFRG TRILPVSATLDDLFNSDGEMDTSVDELQLIDDEGEPDQDVRVHSIVAPNDDPSVDTNP QSPSPPPPQRRGKTLEVEVVIPIRSRRKSLPAPSITKSGSTLSPASEGLNRSTKVKTT FSAPSLSGLFNSPPPDDDLCSRSPSWSPLRSPSPADPSPSPTHDGHDRHSAIVFSLSP PPTRVLAHLPSPSLATPRPHVNSLSRSAKGKERMAGERPNESLSSTPNSSESPYYTRL WKTPSGVVKLCKRCRKAGGERAEKAPLCKGRVDLAKCTFEGHAVNLGETSQPDGDDEP VQQRALLPDKGRPIHNAEGDREYILSEHDTTINTLKQRSSGEKQRRSHKRCIACKKAG GERAQKAQTCPGGLKRRWCRWYEEESIEVTDELPIADLQSNTCARSRTSILPDIPPHT TDSSDTDDGPLKLRKSGSRRKSLILTSDSEAETIPQRSIPRMSSTPFRTPNRASSEFI GTPSDRPSDLDQSLYASGLIYRSNGRARYCGACYEAGEPRAERAWWCKGRAWGKFCHF LKNNVESDYECPTGSPIKKSSRGVNSGRRTPEMLVRSSSVTRTKRKRVVSTDISQLPS TPSPALGQIVTNTSYMPSPPPTSSVEPYSPNPNTSVTTVRPVTERASSSFSMPPSSPP ISDGRPVHPTPSPSLSAAPVDESPIVQRISKPYMSISTQTTGFGPTPPPSIDGARSSS ILSDDLPLTLPRKSILRKPSESPYSTSSASGSGSVKRARFSLQPRSPVREESSDPLHH DQTSEDELSIHNNTFEYSSSPSSPATCFSRYSIPSSSPLRNEWTVRAEDIGMKLGPEH TGSLPEGMIKALAPSMARSSPRTVTLGSSVLVNNTFSLPTPPTSSSSTASISMTNRAS TSSPALSGGRRRTPPSNGGAETGLMLPPPVPLKRSTPAPTSISSDSGSTPSTSIGPSS IPSPPMDQPIRCTSLHRSSLSSRSRSRSMSDQPSPLSRLSTPSHKASSREVSSTSRKK SRVEMELARKALELGDDAGLEWGLDEDTEDGGRMWREGSVALLRSEL I203_07906 MSDHSGPVVRKVFLLEAFLNLLSLPLITNTRTVLSYLLRNPAQI NPSSIFFARLFGGVIIGGLTTALLYGAAHIPSRRAVYWTLGMGEVLLIPILAIESTNP QGALTRKIALASIGLLAPPLAWRVYLLYMRPEWIGRERVGKDERQPLIRDEQ I203_07907 MLALALLSLLGTSLNAGVHALPTFSNFTTRQSGESHQVTLVNNC GSGEAVFVHEGNPTASGSQTVSGELKGGLAWMSGMTGTDCADNGLNCGMVEFTLVNSQ GGMQNSADYSLLDGTDDELGTSLGNHKYQYSMDFAFTGACTNAPGACTGDSASECPEG FLDSATEGGAPTQCLADNVGITITFCSAGAAPAGSNAASSDSVPIGTGISSAATSPAA EPSSPASVPASVPAGTGTGAPVDSVSTSIPADTGAATTSPLATGVNTVPANGAGSVAE GEPSIAPAPVSSAPAAVTSASSKPAWGGGRGHWTRAVY I203_07908 MLFLILILHLAGLSAQIQARPATEGEGRDLIERQDASDISSAAA TSAEATSIATSEAPSSVVPSSADASSAVTPTSSLSPSTSAETSSTTPEPSSLTLSSTS PSPSPSSSVQPSSAVASTTIEPVSASTPAASPFASPIPSSSIESSAAPFSTAESSAIT SSAPSAPASAIPSSSQPIPSSASTSPSVLPSSATSVSAGTSSPLSSTTDGSSSPPSPS ILSSSSSPPPSSSSPIIGTSSTNPSSSSSIGSGLISASTTPISSVPASTPSSAQSSAV VSSPSAPNSASPSSTSLQQTSAAVTSTSVIAPSTTPTAGGPITATATRVFTTEVVETT NGMTTTRQAEETVVVVVTAGGGTATQTAVPTDGGQAVVTVTATDPGATQGSDGGLVIV TVTSTNGSTTDQAGQTGPVLVTSTIHAQLTVVGGLTVTATRTAGSGGSLQSAGAPTLS LDMMTASMIMTFMGIVLGSMIVV I203_07909 MPSSVTSSTSSSNDDSGSDAPLSPGSVSTVSSAGSYDPSIDLSK LNISPSSSSPVPNSSITPIRDQWRISPHRLRLSSCPCTSLKAKKFQTMVTAEDYLKFN MDRFDKLLTRLCKISNIPPITQNVGLVLRGLRERGYELFHFVGRTLTQEESGNGRSGM SICLMVPDPNDTLVGHKILAEILIQSRKIGKGKEQYETASRFYEDYTDWILIQPYEYL SDTRIRKFEYVIPDKTENKSRETIINDLLSKIRHVYSGLTFHAGEIIPVITRTTKELM EDGRRVYAFEMELVYRKSSTDRYIKMIRIGLKVFPSQMNKSNSVSSSKAKKEEKIGAI ILLELRDDKIKNTLVMYKDDWPVFHSYRDVIPPPDLSGLERLIEH I203_07910 MSTITRRLLSSSSIIRSTALENTLPLDPSSPVHAKHLPRVLQRC IAKRINAIEQSTEDGSIPSTIHIHNPFLVQRTNRRSSESELTGEARYNWKKPAISNRR QKQLLQLHPSIDLPISAKSNRTSFASALGSESEELLEIEGISRPVRWNEKTTINWTGQ LKPKKHQQKDVNEATTKSLYSGRKLMFKGHKDERNRAQKLADRQTRLDGMEKRIKDWR QGRNDEKVRNRPSLPF I203_07911 MTLVSRSAIRLSRRGGQQLRNARANAAFFNTAANQAQNVLPKFN ASSSRVQVPAKSSINSARTYATPSNLQTGSIKTVIGAVVDVHFDSENLPPILNALDVQ FAEGQEKPEGGRLVLEVAQHLGENTVRCIAMDGTGGLVRGQKVVDTGAPIKIPVGPAT LGRIMNVIGQPIDQRGPIKGVKEAPIHADAPEFVDQSTQAEVLETGIKVVDLLAPYAR GGKIGLFGGAGVGKTVLIQELINNIAKAHGGYSVFTGVGERTREGNDLYHEMRETGVI NLEGDSKVALVFGQMNEPPGARARVALTGLTIAEYFRDEEGQDVLLFIDNIFRFTQAG SEVSALLGRIPSAVGYQPTLSTDMGGMQERITTTKKGSITSVQAVYVPADDLTDPAPA TTFAHLDATTVLSRSIAELGIYPAVDPLDSKSRMLDPRVVGEKHYQIATRTQQILQSY KSLQDIIAILGMDELSEEDKLTVERARKIQRFMSQPFAVAQVFTGIEGRLVPLKDTVA AFEEILDGKHDHISENSFYMVGGIEDVKAKHEKSLKEQGN I203_07912 MAEPSHPQTQTRHPFAYSNVPTLPFAALGAGHRTLEDQPTEYIK SQRPESEVLSRGAGMRAMAEPTHVTAGEGAGDDTPGGMSSIGMGTFGLEGQSALGPAG IPLPKSKYTGVTGSPKKSQYTTRKAPPTAPLPPDPPQTKPDSDEQLEQPSAHEHRPHH DYPFSHAEAQAKQLQAMEQARAAALAQLEAAQRNSAIEMEQEMLAALENSIMQGDKGP RQTYDTMDSGPSFGHILHQNGIPLSARPSVQMNNPDFFRIPFGVPVGLDVPRPLLVMR DSAGAGLGLGLSPDLLDVLEQDQGISPRGPEDRSAFVETVEDEEASGIPSDVRTATPS KAPSRAARSVIQEQPAARTESGVLGNRTTAPRSVAQTVSPSSSQIHTLPSFAAPASVR APTEHLKSPSVAPKAPSAAQSQARSKATAKAPTKAPTVMYQSFPKAPSVAPTQAKSAV GRSQVPKAMSQHTFMEYPDGQTLYSQVRTKNAPTIIPNTVHDHDENEIEEEEASVVRS HHESVAPTTISNVKKLVAESRFHDETLCQLLDAARLNLIGDAAKKALQRAARARVIEL RDLRERGEIEEGLRTPIPEEPRSTQSTPKKDKERRSKSRERSRRKGHSEKTETVLTAQ EPPAWAQDIMGRLAAFDARFSALEQQKHEVEHMGRSPTQHSQTTQDIPGNLIDELMFN EMPSSGFTQGIHMPQGLTQSILFPEHASMPSMPGQATPKATTHYSHKPLSTHPAGQSG YAPIQAPAANGTAYSRHAPTAIPSQHSQHAATQARSAGPSHAPTNVNPAEIPTWGSEV EIPQASDNNLPPVAPTINILAPTESGLGPNGRPSTRAPSPSVRSSGPSRAGTHHAGPD HVEVTVITEQHIPDTAVHNPAERDLPAPPSESVRSHRPTPPSKSTPLDANTVHTHTVR TVPPAQGSQAGTMPYTHGGQSFAAHSAYIGSPDQYPPTLTKPVPSSQVAPTKAPTTTA IKSPTTVHHQQMSDIHMQAHNVMMSPSQKYNTPPRRDMIHIIDPLHPNMGGWKPWDML TQRLYSWALIAEEKSFVRALEEVSLGRQVEEFPLSIFLMMTYKRLIRRNMSENPPITC DKLFVPPNIASAINVAVHARRYHDAKEILLELWNSLGSEEPPRVIIALAPLGNDLDQW AAHRYDLVTRHLTTYRVSHFDDIQTDGRSFWWWEAIGQAWPSLQIPTMEALEQSGRQR IINERRPPEHRHDNSLYAANISRNLLLGYRPERQQDLTKLRELVWAEVKRLLGKKRHG KLVVEPQSPEHLYDA I203_07913 MAPSISSSTTHYALVIDAGSSGSRLQIYSWRDPDLERAEILQEV SYAQQVPEKIGKSRWWWNSSLFGKGKGKGKGKEVENVALRRLVRVGKGVEGDDWVKRV EPGISTVSPEDIPNYLSPLLSHALRHIPPSQHHSTPIYILATAGMRLLPEKTREAILQ ASCDLIKNEYPFKVDGPSSAGPCGENIRVISGEEEGMWGWVAVNYLMDGFAPPNSISD SVTPVDVSHHSPTFGFLDMGGASTQLAFSPSPHEILQSGYPEDDLRKVSLRLLSGEVV EWPVFVASWLGFGTNRARERYVEYLIENWKKDNPTMELSGTGLDKPIEDPCLPKDLEM SSTSGRTEIKFKGTGSFSECLMNLTPLLEHSKACPTDHCLFAGLPTPHIDFTKDDQRG FIGISEYWYTAQQVLGLGGIWDWGEWEKGMNDFCMRDWKDIEGQVEKENGWRGSEADL SRLQMQCFKGAWISNVLHEGIGIPRLVDAGGNNTLTGGEVGETNEEAERRAREKGLFE NGSKTHFQSMDEIGETAISWTLGKMVIEASKAVKPRSHSIENAWISKISHNIPLPLIG LENKLQKIGIQTLWAYGFVSFFILACLFNCFRRRFYSYSSTTGGKRGRNRKPSISDYD RSIEDGFISDSSISLNPTSSGGSGRTRYKTGSGKLRLWTLRIGNSIRRNIPFGNSHNG NGRRNGTGFLPRHNSMPLPLSNFSSSDSSQPPSPTLNGNNNGFFVPANIIRPNSTGPT SDNGLGLGLGLGSSTSPNLNSSFNPTSPPSRKSTSRSGKPRQISSNLLLSSSWEKSSN SGGWNDPPPGLFDDSPAGGSRSRESSLALAASSSSSTTTPNANYSSSTTANAISRNSS RVNLSELGLAQRSLSRAGTPGFDNDH I203_07914 MSIPRTLLRSARASSSRLILSRPLSTSRPFFAEAESSSSAAAAT PVSPKISPIVDQISSLTLLEVSELVGALKTKLNITEIALPAASAAPAASASAGASGEP AEAAEEKPKEKTIFTVKLEKFDAAAKAKIIREVKAIMPNMNLVEAKKFVESVPQTLKE NLPKEEAEKLQKTLQDLGATVSLV I203_07915 MSEPTLPPSTSQHTLSLDTLPNQSPPPPEIESTLSRLSAYRNVR GVMILSRSSSASIQATNGSSSENSGGAGVGGIIQTTGNIFEGESGTKYAKAVEEIVLR VGKALNDCEPGDELRFMRIRTKKHELIITPDEKYLLVVLQDPGQS I203_07916 MTIPTISPLGAKNATVYLHTPFHPKAEVYAEQKFGKLLRPHDGS VEEIMAQIDGILLRVSNITRDMLLQAPNLRIISRNGVGVDNIHIPTAKERGVIVTNCP GGNAQAVAELALTLALTVLRRVVEVDNRIRSGEKVPSITALAPGLFGKTVGLVGMGDT SYELAKLLLAFNCPIMVYSPTSPLTRWTDTNDPKYPTTIPHERVDSLEELLKRSDVVS LHCPLNDSTRGLIGKKELEIMKNDAVIINTARGGIIDERALEDALEYGKLGGAGIDVW EVEPAYGETMGKLGKLRNAVVLPHLGGSTDSVTLDGCMKAVDIMADYFDGKQVRNRVI I203_07917 MVFLSPLLLLSSLLAYPVLGTKTTLDPHTHRELLLNGRPQIGLW KSLLKEQKTRDEQAKLSKIQDNIQRPFYTSSKPLYEAHCFPQKISHFDDTVNGTFCQR YWIDTSFYKEGGPVILLDGGETSGQDRVPFLEKGILQILSNATGGIGIVLEHRYYGES VPVDSFSTDDLRFLNNKEALEDSAYFIENFEPPSSIRSLSSSNALHPNNTAWIYYGGS YAGARAAHMRKEYPHLVWGAIASSAVTHAQINFPQYYDPIQRYAPENCINTLQAAIEL IDHLLDQPEPIPRYLKGLFGLEELLSNEDFADVISGPLGYWQAKNWDPKVGSNEFYSF CDSLTAKGAGSKLGLIRIPASIIHYGKYIKENVVARCPRSEGKPASDIEDCFGTSQDS KFRETDLEQTWRLWIFQVCTQWGYFMPAPLEGPRIISNKLTLEYTSKICRQAFPPGKH FTVPEWPDVEEVNQRGDYEIEYDRLAFIDGDRDPWRPMTPQSDWAPRRKSSVNRPVHL IFDGVHHYDENGLEDHSKEPPRIKDVHELEINFIQEWIAQFNEQKENIN I203_07918 MSGMDDMSSTSAMGDMKMYFHGSIGTDMLWFASWMPTSAGATAG VCIGLFLFAVFERYLVAFRRACDAAWRKGQLGYHRPVTSGHLPPIFSANSSGNTTSLP SQPILTSRRSTNENGKEKDDNILPSSSTTSYPVLRTEGYGDSIPSYSPDLNGTSAADG TGNSHTHNHLPKAVRRTLDPAREGRWSRPFRWSVDIPRGLLHALQTLVHYLLMLVVMT FNIWWIISVVVGSGIGEMLFGRFGSSHSGH I203_07919 MSSSSSSSTSLEPTLYTLLPSSLLSKFTSHLSLHSIHIEPLSLI DRIYTNSNPIVKGQLRNLRFRSRKLPTSSSLIKGKGKGKGKDEEGRWVHNLSYVSAPL RSQEYSEITTRAVIGLEILGMNSEEDIQDFIASLGFEHSHTYYSTGQLFHIPLPLPLP TPNPITLHLSITHLSANKTHIPSNENTKSKNNKPWLIQLYPSRPVNAVSINGDVTYSD LVDYMRDFVDSLGIKGLDWSVVGR I203_07920 MPRSTYTESLLGPELYKKVRETRILVVGAGGIGCELLKNLVLVG FANIEIIDLDTIDLSNLNRQFLFRKPDISKPKALVAAATARHFNPSSGIEIHARHGNV KDSVNDLEWIKGFGLVMNALDNMDARRHVNKLCQAANIPLIESGTAGYLGQVTPMIKD KTECFDCVVKPAPKSFPVCTIRSTPSEPIHCIVWGKTYLFGSLFGEDDEDMDTEELDK AKAGGENAEEIENLKKEAAAFREVRKNLGEEDGPQRVFHKVFNEDINRLLAMEDMWKK EGRVKPVPLDYEGIMNGTFETPPLRHAAQLPVANGQAQANGSSGQKKEGLKDQRELTL QENLELFIDSCKRLCARIISHPNIPLSFDKDDDDTLDFVLATANLRATAYGIPNKTRF QVKEMAGNIIPAIATTNAIIAGLIVMQSLNILRQLPLPSTSNEASTSAGPAIRNVYLR TDAIRPLGYMIPAEPDPSCSVCRDVYIPLKVDLTRCTLGTFVNDVVKTWLAQAQFEGK ETEDEDEVEWTIFEGGRLLADPDFDDNYERTLDDLDVTRGKMLTVRDEDGKYRPVHFS ICEGDAQSALPYSLPSSQPFIPLAPVKPQETQDEEEFTLLDSLPPAASSSVSEVAPIS SNTAAGTKRSAPDDGDVKDLTAEDEQTTKKRKVVVVDEDDDFEIL I203_07921 MSTVKQCPYCKEAGNLQTDFSAGNVVCHTCGQIVEENILVSEVG FAEGSSGRIHIQGGFVAHNQTGFAGVRSGAKGIQNTEGIKQSGAVKIDNVARQMHINN VISGKAKRFYSMAVDNKFNRGRRTEYVVASCLYLACRLGKDAHMLIDFSERLSINVYE LGATYLKLRSILHLLEQMPEVDPAIYNLRFAHKLDFGPTVHLIATDASRLVRRFRADW MTQGRRPAGVCGACLIIAARMSNFLRTPDEVAQVVKVHPTTIKKRLLEFAQTEMAKKT VAEWRTLTDEDLDKMNESEKPPVVKKRELQQIKIERLKREQQESSELGSEVDELVNED ERPSKRFKGKGKQVDGDEDEDEDEEMRGMVTAAAHDVESQAEDAEDEERDDEEDEEDD NLEPISQADYVNELELARDNPEESRAERLREKSAFMRQIKNLQKNGNDQIDIYNELEL DALIDYDKEEDEDDEDEDENKEEELGEPATQLRSITKEEQDAAAGGKTKKEDGGGEEF KEWENQDAVLDYLAKDVFKGEELLYQGKHMTDRIKMWIGSRDPKTLMEELSVVHQARL KREKLAKVKEIEFEDLDDEELEMSFRLDEDEKQARARIWLSSNGKWLEEEKVRQEERA IALRAKGIDPTKPKPKRKRAAPHKGPYNSSREAIQNFAKGKQFSSRINYDILRGLNNM GGVGGVGGPSSGPSGLMRMNDDKDDEYYEDDKGDEDDNRWDEEKGDEGGEW I203_07922 MAPKKVRAPQEQAAVNLGPNAAEGENVFGVAHIFASFNDTFVHV TDLSGKETISRVTGGMKVKADRDESSPYAAMLAAQDVAAKCKEVGITALHVKLRATGG TGTKQPGPGGQAALRALARAGMKIGRIEDVTPIPSDSTRRKGGRRGRRL I203_07923 MKDFSAPSECPTTHDVPTLVLSLLLCTGLIISYLPQHLRIITTK TSEGFSPWYLLLGATSSASGMLNLLIVQWPLFRCCRVISAGRCFESLLGFYQVTLQWF LFTVILVLYLAYFPKHLKYQRVMPLEPDVIGRDYGATGTSRSESAVPRPIVTVSTTPE WRLAVTLAVVVFLHLSLLFLLSISLLLMLPSTVPPHPFLSYLATFLGVSGTILAVLQY APQIYRTYHSKLVGVLSLGTMAIQVPGSVLFVMSLVLRPGTNWTSWLAYAVTGGMQGA LLVICLIWKRRQRELGIDDFGNPLDTTNAHRSDERQPLVGDD I203_07924 MSRTLITQNLSTQNPPDPSNPTAIPTSLSLSIPFFSRSSSSSQT YSTDLDTSSSSYSDISPGLGIDINPNYLYNPQASSLDLRKSTSSSSSRSSVRPGLKKM PSWNNQTWSPRTSQDVYIRRASEQSESSSPTDGEFVFDSGASGHGRRKSLINIDFGTW SKKPKIIFSGKKKSLSISKLKLKSKSTLTTTTSRSGERPVQVEDEIVSAKDTFNGIPN ITFSPLQRVWARSEEEDVDRIDRHRRGSDWPPTQSREMYLDLSGIPTFTGENDQSDNG LFEQFSKFNFTSMTGMTGMKAQSSQTPSVQLELEGGRVDTPLEMPVGPRLNLRLRKSH SSSILSPVLDTEEEEEEEEVMYMSSTVKDDNKLEKEQSIDNRVHSQAGVEKEAQGQEL QQEQEEEYFTPCFIPSSSFVGVKTTYPTKSNDTVQTSTGSEEESPIFDLSIFSPRPAL PNAPVLKGIVDTLPISPLELPSSTSLGSVASFKDIALSFPTPILSKDPPSSPIVMPIP RKGISIPHQSIESVLNPYPCSTINRRSSLTDRRPSRPSTTIEGIPTTRRRMSLILKPA ILPCPTPPSLLTSPKTLGSEYIPPLFSPNSTSPTSSLFINTTTGIGGLPVRRGRGSLK LKLPSSHFANSTGLGFGPEKDEREEEKEEHVPTPGTFGLEGEKERFGNEGIDGINPYF A I203_07925 MGPSTETYQPNWHVKALSAPGPDTDLSLHVSFDNARFLFGCGEG TQRAFTQKRMGMRGLSAIFVGSGEARSRAGLAGVLMTAADGGISKVDIVGPPDLSHYI STLRSSVIRDSLTVNMHSIPRSTSSGESVELFKSPNITVNGIALIPESQPTAGPSSSN YIPFDPYSSSFRPSRISPSDAQKWCDQIVADIFHNGPKARASRRPYQPPAPSSDGTKP RSRSPSPRRTANPFVNPDGTICSSIPDTRYPLPLPSRQDVNTQMVYICQAPDVRGKFN VEKANQLGVPKGPARGKLTKGEEIEVDDPSVEGGKRVVKPEECLVGGGPGSVLIVVNC SEQTKPALLQNTSFHKYQPFKAHAEGLEPRRVHLIVHRVPRAVWDTEDYKEWMKAFGE RTQHLIADPVNYPDRTVFNSAAWNTLQLSLADPEIFVPPFVQSPHSSSIDLPPNTSFL VENSFCRMHQPAPVTTVDNHEKDIPFLITSSEAAAARETIRQDMPEYAIACDQARQAI LSDPRSINPSEPQTGDDITITTLGTGSAIPSKYRNVSSTHIDVPDLGGILLDAGEGTL GQMRRRFGEQGIKKLLGELRMIFISHMHADHHLGLNSVLEERFRLGINSPLFLIAPTN IALNMQESASWQYAATSREALENVMYINIQRLGFKMFNPKLKPRSRESSPETVNRHED VDVEMHQWEKEGLDDRENGRRVWPFTNIYGFSPSASRDHWNALHRLLDEMSFKSIWAP VVPHRGRAFGLAIEHSSGWKIVYSGDTKPSEELVEAGRNATLLIHEATLEDDKPEVAA VKGHSTFSQAIDVGKKMKAKHILLNHFSQRYPKLPKLPTAPTPTTTQDGINGNGSSEE IQPVVSISFDFMSIKIRDMWKMNYYMEPLSLLFVETEEDGDGETVEGEGSVLNAVKND VNPSLDGFNLNGSGSEVNCKKSKNNKNKNQTKDHQIPIPASHSSFMPTTMEGHGVGIK NQQKSKKSLKREAARAAKRPAPSPSPTKNEDQPLAKRRSTDSSTIILGTANGREVEAG MKVVDDEVEKMQVDS I203_07926 MSYTYSPDPSLSQDPYKDLDLDLDIDRLSPSIDQEPINKYEIFL PAVQSLVAALGGYEEIETSPESGKFETVYRPGDSVLGVLKDLKKLWRKDDEDDERTVA RCMAKAGLMRELIALLVECTDRGDWGRKVALLACDLIAALTWPIDVASELKEMEDEPD VVTDYASLLRAQLEYKAMILQTSGPLRCLLALMLPSLARPRKDEKDERIISLGLHVVR NLLAIKDTVAEGTATGEKEEFANLQSTLILQLNKLTYSQLLLTLASCADKSDFNPFNV LVLDILHLIFRSVKPKELGQDQERAPLENLSKLLDVEKRQKALVSKVGMTRHSRFGTT VAVRAGEQKVILHKQNAIAANAGTILDEVKRKRAQKAKKVDELTQIINFSPDAMRALQ SLAKSFIESCFNTFFQSILRDIRMERSKIRPADNVRTFYLTRFFIEYLLVLRHKEEQK GKKEYELSLGLVAEMAEMDSIRWLFSRMKSSMDDKPPAWTELQASLDCFTQVLLLLDA MAMSGEQEDVKVAEIVQDNLYYNGDILDSSLSVISQYKDQSVAYLDSVIHFAYVLLRM LEKYSKNKAFMFIRKRKAARKKRKEAEQSTELNPDGSVMPEEYGNEEEEALQPDKEAP SYAEHAFTFKTFEKRFASEPVTNTLLSYLSRFQEFDDPEQMKRVVGLMHRQVIKAQAE GLYFKVSTLNLFRKIVDSSHTLLKADSSKDLSQLINFVLRKFFKRVAEDPFLIVEAFG SKSRSKWKDISSYKSDDESGDGMGGQRKRIQEKMGQAEIEFKKNKKLSWSKQMEITVA ILLKEEHEDWIKWIIEILEIVLAARTEVIISTDGEDAALRQADDSDDDDDDDERGPRN FAGPSKEAVDKFTQHDLDPPTESLKMAVTKDSHFRLMLRLLAFDMVHPEDLPSVQADS PGQGQGQETTKWFMPSSIIPSSLSTSLGALKQYLTSPPDLTEDPKDLLRRRRPPRQRR QRSTSGTPGLSEMELDSDTGELVRRVKAKKKRVKKVKEMQTYKSAAFIEDSDDEDPEI TRAFFEREQQLRDEMSALAKEQGNVMMSNGTKKRKRKGTGKGKDKEINVDEDEEIEMG RSSLPPSSQVIDGLNDEDEEEDVLMQSNEKDEEDGRTRRRKRPSLAPLDSQDDDEDED ESMPPLTARPGVVGKVKRIVDSDEDED I203_07927 MTSSKLPPPHVRAWYFYSFAAEVFSACALAIFLPITLEQLAKEI GYYAPDYTEPCKIASEADNGDERICKAHILGVWVDPASFSMYVKSVAVAVQAVCIISI GPLADSPYWRKRLLFILTYTGSFSAILFILFPSTSSATLVLLAGLLFIVGNTTYSIGT VCSNAFLPVLARENDDVQNALQEANVVRSEDEDLDDLAGYRRASLDVEGNHVIRSGLG PAVRAISAEDLAESDPVDTTSSPKSMGRKTHYETLLSLTISRLSSVGTALGFLSGVSA LALLLIPVILLKESTFSLRLAIALSGIWWAVFTIPSWLGLPSGTKEDANRTEKKSFKD AWKRIGNLIRPKEIRSLPNLYLFLFAWIFLSDGFHTITYTSILFCKSVLSFSPSEIIF IGILVQFSAITSSITVPKLQHRLTKTNFNILFMAVLAGGLIPIYACVGLMLGLRVLST KGEMYVLATWFGLVFGLFLSYSRAVYTELIPPGHESTFFSLFAFTDKSASFVGPAVVG LIADLTGNLRYGFIFLLIMLTVPIPVLLRVSVRRGKEDAHKWSESKLAVREGDEEITG LLGSS I203_07929 MSSSPTIQSLHRAHFHVTYHKFPNRHSCRHWPIDHIATPPIPCP PFDHDHPSEPCPSKPSTVLGYGPSALPPNDQSDDPRLSCLGLPLSLPYEVESLAEMDD KLELILCRMIECIKAREYGMGFRVWDSALSIWMSMGYPMKRDIKIKLIFVYYEIIFLP GLSSSFIEDASNQFINLIGDRSLNIYDFRIPWRPLYDALYFELFPHPNKLARHSVNLA PSFLNVAESAQRFFHPEDVDEMLEEILPKLEPSMDSILATQTFLVHFLPISHCQKWLP VIFRLWHGLNSGLWDDQASDLMGQLAIAHVDPGKSDPSVINRIPKGTHNTPDEQENNP SVRRRMRNHKIRLLEVAGEVEEDEDGVNYWAKESMLPPEEILADPNWGGIRKDVGIFS DQEFEFLMSKCLRSLNVPVGGSIASQNSMSVTMADGRTSKKILDAKKPIDRVQSLAET IVFSMSEDSPFAVLPSGFATGTATPMLSSAPTPALVQPAIARLQNGSSMSRSGSSDSL AVAGQKSEANRRYLAGSKALDHLSKLLTSCETFFHPSNSGHWSVFLTTFLSHLASNFV ERWKSEEEPDCRTPVAWRLTPDIKREFVLCLRPLALTSILILQDMNSVTPAISALKKL SLLGPDLIMPAMMERAVPSLQGLEETQRTPAVTYALAALSQPLTARQIWRFGGMYVAD IFALLLPGIDLNDPAKTGLACMAISNMVDFIHMADISDNDEENNATPGPRAVRKTPRP QVADDPNDPVQHEMEDLSPEEVNGRVRFATSAFRDWVPEFLGKVLLLFSNLPEEGGKS GRAGGKTEALTLSSVLHTCGGVFAALDDKLFDAALEQVAEYATTTCRANAVDAVGELV RNLASANATKVFAKLFPICKQRIIHELKTGASSLRTTTTSIPLPADAGLHWWQSILIG MLIPGRITVQIKDQYMELLRIMIDTTLSERGWQWTGKILEKSVSSLTSIYFKDMRGLN DDVYQSEDFKLNHTLYWGKLYRPSEVKPDWRLPTAQDIEMAFDIIGIADQAAQKLNSL LDNPSYGDKVWSNEFCRSINVIDKILRGTYNLIAEIEARKIGGAKAPSFLPQEILKLP PPYKSGLILTNPDDPRYQHVAGFRARVGETLHRAATAMRNAGQSDNSVDTVKLLVTTI GTYLTAYGVRSKQFSSAQNAYTGMMATKKMYESQRKHHRSIFLAAASVHHQNRLTTLA YYRVRSELDDKLIVNILDFCLSPFVRVRRSSQSTLDTIAKLYRGTWILCSPTLFDALQ PGTDPDIMKGALYVLRYNHMGLHRIAKDWRQLLQLTQCLLGAHHENKASVQALVAKAT DELIQRIKEPTSFDMDIRTEKVHAAADSLASLLCYQPDRSIIDKIHQGTTDRLTHQDQ QWDIFVDKVLEIANTPGLNWRYVLSASRFLLTVMRRDKPTDKRLAGYFMGNVQNPHPR IRDYGTVGITRLLFHIALRSLCQGSEELLFLEEPIDVFSKEIELTDTSRAFTEKYLAS FREPLPEDESQALLQDRQETGWLAWGKKIEVSRLSKWDEEIWECEAGSVPGKELIEGI WKEEGWWKKVADHWAQESERNYPSATHIDFILALTQLYGPPIYHSIRPIVEGYLAEME STKVYDRHKTRAMWEFLAGLVRGSMEWSGKDRKEFWGWFEGKLQELFGNIRHDTIKCW DISIEYILCDQDPRRFKPLVDFCINTALNADFQGGSAFDLARRVQLVRSVVRCLQWRF NAWADEFAELYFKSVACPYAEVRGLMASVLNAIDQLKFYPSYPSVAALTADILSDPTD EKDLMHIRSGLFMPQLQDIVDSLRKWKEDRPHGPKAVLSTHDTAALTALSWLSVELSD VHAVATFPYIISILPAIFELRDLNDNADLQRTAGRLLAVITSITPALDLIEPLMGSLI SILQNSTSWRTKMHSMPVLSLVYFRNLSLLSEPCKAKCLDVVSACLRDPNQEVREMAS ATLSGFLRCSQRSMVVVLKDRFTREIKSTVLPKRRDAPGQINPEYQAKLVQLHGAVLG ATALVEAFPYTVPKFIPKLLADVLAPRVSDPAPISTTIRSCVASFKRTHEKYQDKFTE DELSAMNYAQAGNSYCTFIFTY I203_07930 MLINLDNQLESNIPLLPPAKQPTTRPSYGTHAALESGAFSYDDE YYEGEEDIDIEEEIAKLEEEYLSG I203_07931 MLVLAETSVGFVVFKLSNDAKIDSKDLWKEFETPEGANKALKVQ AIQRFTSTATAVEDLAAIQDGRLTDSLSKFLVDVAGGSGEADGEKKKKKKKLEEMLVV SDPKLAGTINKTLSIPVLSDSSTQDLYRGIRQQLASLLGGVDQKDLNTMSLGLGHSLS RFKLKFSTDKVDTMVIQAIALLDDLDKEINIYSMRVKEWYGWHFPEMAKIIVDNLAYA RVVKAMGFRTNASSTSFELILPEDLEATIKAAAELSMGTEISDSDMAHINSLCDQVIS ITEYRTQLSEYLRNRMQAIAPNLTALVGELVGARLISHAGSLMNLAKHPASTVQILGA EKALFRALKTKHDTPKYGLIYHASLIGQAPQKLKGKMARMVATKAALSIRVDALSDAE SRSEATSAEVGITNRVKLESRLRALEHQAGIQSVRKVTSGVNGRSQPKFELGNNGSGS YNANTDNLPLDSVNGMLPTQPQTAVKKAVEAVLEVKEEKRAEKSDDKESKKDKKKKRK SEAAVGDVTMDDADESMVAGETKEERKARKEAKKAAKAAKKAAEENGDSDKKSKKRRA DESEVGDASVVVDGEKKKKKKKRDSEAA I203_07932 MASSSKTNAGTGSLIQIFPSVNSLPLVNTKPGAWVCDLGSAEIS DQPYTGGIFVKDELAQDLDSDSFPVNEWKYILEGEWHMVQNGKKSIAKAGDVVFVPKG AAFLSLKNPFKAFFVTNRGGVNHEPYAKAKL I203_07933 MPNTITYFDITIGGSPAGRLTFELFDDVVPKTADNFKHLCLGDK TNSSGRKLAYEGSIFHRCIKSFMLQGGDFTNGNGTGGESIYGEKFEDEGFTLKHDKPM LLSMANAGPNTNGSQFFITTVPTPHLDGKHVVFGRVRSNKGLVRRIEALPTTSDKPDE EVKIASAGVLTPEDIAKEDEERKKAQEASGGEDIWEDYPQDEEGIDAEKADEALSVAL KLKDVGTKEFKAGQFATALDKYQKALRYLDVHPVLPDDAPKEQVEAFRAARIPLLTNA ALAALKCTPPAATLAMILTTRALAIDGLTPAEKGKALYRRALAEIQKKEDEEAEKDLK AALECVPGDAGVLKALKDVEQKRKERREKERKAFSKMFG I203_07934 MGRRMPRVYLIRHGETEWSLNGRHTGVTDIPLTENGEKMVKEMS PKMMGKGKLINPNHLRHIFISPRQRAQKTAELLFGDNKPLKCNFTTEPDVGEWDYGKY EGMLTKDIRKDKADWNIWDDGCPPGDTPGESPQQMTERVDRVIAKVRAIHQAAEDAVD TPEEADYADVIIFSHGHFSRSFIARWCDLPIKTGYHFSAEAGGLAVLGYQHKTLKEPS LLGLNWYTEDALERR I203_07935 MVNKTTETERSSLLRTTSTTSDNSSEDTVQDINNQNQRDYGAAE DGNDPDDKIEVKKVGKKFKEIWPIFLGLTSAVFCAALSMTIVANLTIEIGSYFHAGSL ASWLGTGFLLGLTAMTPLYGRLAQVMGRKGVMLLAVNLFLLGTIMCAVAPTMGFMIAA RVIAGAGSGGILTVSAIIISDLVSLADRGLYQGGTNLLFGAGSALGAVLGGAISDRLG WRAAFWLQVPPVIFALFLIVWKVNVDREKGEDVGGDTTWEKIKGIDWAGSVLLMVSIS SFSTSSSLWTSSHYPLSHPLPLSLLVLALVTFPLFIWVEKKAAHPILPLTMLSRPQPR LVLLGFFLTTLSNFSRLYMQPIYLHVTRGLNGSETGLLLLPSSIVGSLSSLYAGWHMR FWREYKWFQAGFSFIPWLQALSITFFWGPYTDKNELWIEMALGSLGGGITITTLLTSL IACVEPSELSLAISACYLARALGQVCGLSISACIQQTILLSSLTSRIPDDLDLVRKII QEPAEILPVLSEGLKLQAKLAYLDSIRSVFAFVVCGGVGLSIVCLSVRGKKL I203_07936 MDYAYALLDKGYIPDSALRPVIRQLCRKRLREIDHGSFGANHSA KMAFVSDLHTRPIATHTSEANQQHYEVPTSFHALCMGPRMKYSSCLWEGPDPSGKNVK VKTLAEAEDLMLSSYCKDAKLGRGLRGILDLGCGWGSLGLFLAEHYPLAQIKMLSNSR TQKEYIDSVASEKGFKNVEVITGDVNVYDFEEKEQFTHIMSIEMFEHMKSYSLLLRKV STWLKPNGKLFIHIFCHRSQPYHFESDDGWMAQTFFSGGTMPSFDLFTYFQEDLILKH SEWINGVNYSKTLESWLVNQDKNSKKAMKELVDNMGEEEGRKTYYRFRVFFMACAEFF GLDGGETWGVGKYLFEKR I203_07937 MTTRADAVEFTGYWPDQFVEIAGYNKGWIGGSGNYTYTGILTYP GQNTTNETIIFENQAIRSYIYHFADIDAYPFNSSFQFRIEDAADSSLFDESPVIPIIS KEQAFGTPFASADAPAETA I203_07938 MPPRPRPEVPTSSPKHKDPVVQPKMADVDVMMPSQGESSSGGIS RKHHLMSTFEAYRSELDTDNERRERLIILSRSITQLSKKLIFHLHRNATSPSPQQKEK CLREGRAKEREIWINFGKIKDELKTIGEGREEALQGYWRWNRQVSPGLEEYIEALSFL YYLENKSLVPLDVVQKTLSSENGEPLVIVTPEDYILGMSDLTGELMRYATNALSTGDH NTPLEVCEFVRTVKAHFDGIPPHMLYKLSKKQEETTRSLEKIEKVCYALRLRLIEFAD KPEVLAMMAKRALEDAAEREEKGREE I203_07939 MTVIDKLKAVFSSDSPSQTSTSNSTPTPHPEGQAPAHPSEPDAK AVPETAVLSDKPVFDQEKVTVIFVLGGPGAGKGTQCERLVKDYGFKHLSAGDLLREER NRPGSTYGELITEYIREGKIVPQEVTIKLLENAMSSTLASPPSTDSAWSNGHGRFLID GFPRKMDQALKFDESVVKSSFVLFFSTTEEILLERLLERGKTSGRDDDNKESIVKRFR TFVETSMPVVDYYRKLGKVVEIDSSPPIDEVYQKVRVEIDQRLSGQSHSQASASAAPT TATSNTASTTTETGAPTLAPAV I203_07940 MDPFAPIDQNEKRYVSSDVPRRIKHIQFQPFTPKDIVRISEVQV NHPDLYQISENGDKGTSPHGPLDGRMGPNEKGKKCLTCGEEAAKCVGHYGYVKLALPV FHIGYFRPTINMLSCICKTCARVLLPQNERATYLKRFRRPGLESLQRQSASKAVLASC KKRNICGYCGAANGVVKKSGPMKISHEPYRANKMAALKEEWMGTFKTAVADNSAVATH LNKAVEDLNPLKVLDLFKRVTAEDCELLSLHPDIGRPEDYIWQYISVPPPCIRPSVAS EAGNNEDDLTQKLSEIVNINNNLSLMMDKGQGIETIMNNWEALGQSIALYINSQAPGM QAMAAKPIRGFVQRLKGKQGRFRGNLSGKRVDFSGRTVIGPDPNLRIDEVAVPEKVAV KLSYPERVTDYNKAAMQDAIVNGSRLHPGANIIERRGDNGQPPMRIALHVMKDKEARK RWARDLQIGDIVHRHVRDGDIVLFNRQPSLHKLSIMCHRVRVRPWRTFRLNECVCNPY NADFDGDEMNLHVPQTEEARTEALELMSVKKNLVTPRNGEPIIAAIQDFITASYLLSR RDRFFDRQQFAQIASYLGDANLPIELPPPVIWKPVRLWTGKQIFNLLMKPNKSSNVLV NLEAKCRTLVDPDKSDRFPPDMSPNDGYLVIQNSEIMCGVFDKNTVGDGKKNSVFGVI LRDYGPEEAAKAMNRLAKLSARFLANIGFSLGINDVIPGPVLFESKDAKVEKAYEDCD GFIDLAKKGKLENAPGCDQEATLEQKISGTLSAVREAVGKICMQELSRHNAPLIMATC GSKGSVINVAQMVACVGQQIIAGSRVPNGFQDRSLPHFKKKSKNPPSKGFVRNSFFSG LTPTEFLFHAISGREGLVDTAVKTAETGYMARRLMKALEDLCTHYDLSVRNSVGGIVQ FQYGDDMLDPACLEGDATPVEYVRSWTHACRTADRSGKALLPYEVREIAQRIYPESIV PPSPQPQKRGCHEKYRETTYNFIIDKIVKTMVNQRVARGLPDADDKAMAEEYEDLLVS HDPAVRQVLENANKVTESQLNSFLENCRIRYLRAKIEPGSTVGAVGAQSIGEPGTQMT LKTFHFAGVASMNVTLGVPRIKEIINAAKVISTPIITAEMNIPESETAARIVKGRIER TVLGDIASCIEESWTNANAYIEIHIDMKAVRRLQLEVTLESIKWSLVAAPKLKIPEGS IHISEKTSRIRLWIDEPPKDKEKVVGGIYERLKFLKRAIADVQVKGLPSVDRGVVTKD EKNDKIHRLLVTGYGLSEVMGTEGVDGLKTKTNHVMETQKVLGIEAARSTIYNEIQHT MRSHGMSIDPRHVMLLGDVMTYKGEVLGITRFGVQKMKDSVLMLASFEKTTDHLFDAS LFSKKDEIQGVSECIIMGTPAPGCGTSLASIVTPAPLLPRKKPLLFESAYKAGQDRMK SYNTVLDGMNMNANYNGALGGMGMGMGMEVDVY I203_07941 MSRPTLLQSQTNALLTLLNLNQPPPASSSSTGPFPRPSTPSSTF DENSQAPLVWKVLILDEQSKDILATSLRVQDLREQGVTLHMQLHSARPPLADVPAVYF VSPTLANIRRIAEDLNPPLYSTYHLSFTSSLPRSLLEELASLTLSNDPSGSTGELISS VHDQFLDFLVPSHNLFSLLPRREIKHDTNGTTTSKKAAVNKEKEVEGKPSYVVLNDPK AGELEIDEEVERISKGLFSVITTMNYIPIIRCPRGNAAEMVARKLDAKLRDHIASNAS QRGGAARDGAYGVDGLNSFQRPLLVILDRNVDLIPMLSHSWTYQALVHDVLDMKLNRV TVESPENGRLQKKSYDIDSKDFFWEKNAGNPFPQVAEDIDAELSRYKSDAAEITRSTG ISDVNDVAQIDFSSNTANLKTAITALPELTARKHTLDTHMNIATALLQSIKERGLDNL FQVEETASRQSRVQIVSTLKGQTDDPEQTAHPTPDDQLRLVIIYFLSMMDTLPKEDLA ELSNLLKESGADVNALEYVKKVREITRMTMMASQPAIAAAQPQASAGVEWTRGFSALG NRITDRLREGGITGVGLDNIISGVKNFLPARKELTITRLVEALMDPSTAATQALQDTD DYLFFDPRASRGRNPVGGSTGKGRQQYSESVVFVVGGGGYVEYGNLMEWANRKEGGGN KKITYGSTEILNPTAFVKTLAELGAA I203_07942 MASLRRPSAAIPKGQGDRGPIQSGPRPALPGDHDKKKDARKSKV GDKIRKRMSMRYMGNDQLPSESIPPLPGQATDFLDSDPYGGADFTPMPMTDDGQGEQF FNQFGSPEFKQSGFGQSPVDTSNRAGFGSGSRLLGGEEGIRRRGAEDMTREEEFDLHE LNNEDFNIGDYLRRTLTGADEEEKKRFKAALMREKGNNKKELQKNVFRHYAEFVTISK EISTLENDMLDLKELLGQWKDLPQLMGMEDTLAPTLDKSGNIERRRTQRNSVMDLQNL YKSQLTQLWSTVEGSQKYLPLVPGRHLVFETHNFVELNAATYKAKQNVSMFLLNDLLL IAGRRRMKTAQSSSTGENGERERERGRMVAERCWVLADLVVVDVKDSGDLTNALKIRR GKEVCVYRTSKPEDKKSLLAAFRQVSQELGEKKRKESEKEQERRKSMWHGEKPGGPSS STPALPGLMSPGRPLSTTGMSMADSKDLRWIDEYGDELTMAIARRDWEEAVKLVERGR DLLKTVSSNPSAHTLLSTRLDQLSPTLINQILHDLASTQIRKTNTSTLISYLNRLDCS DMGKDTFLRSRKELMIKRIRSIKCEGDISIYISELSVVCFTIIRHTSDWYMNAFKENR MASAFITWAKEQIEMFADMFRRQVYAPNVEQNVVDECVRVTASHNRKIRTGGLGGGDG DEVKMDLEEDRLWERGGGRERRGKEGEVGRIGG I203_07943 MEITMLTLFIPHQSNTTSSTLIPSNITSSCTTFLESLNSDGTLS SCVTPLINATASFSPTASTNLTEDSINYTLASICKSNAGCSDSTIRGWLANFYSQCNE ELTSTTNYNSDVRELYDILYVVNPLKGAVCSINSSNQEYCVNEIVASEKNATSSSNST ASANSTATSGSGVSNNTLFSNLAATAQELTNPIQYAAQNLYVEVSVAASSISKRFFNN NKRQEQSVNMVTVIKPNTATYKSTNLPFLFLQPSLASSALCTPCTREVLVAYVKWETQ VPYALGLKQSPILGGQSELWNAINSTCGVSFINAIKSEVGALAQNFSSSASSTVTFVG QNGVNGLTMMVGATFVSGLVALFI I203_07944 MPPGIISPRAGMTSVKLSPMILHRVYREAVDAEADIHRSNAPSL IDTVIPPLSRHTSQNIRDGALKRKRLSDNVYPSTPSRSVSSKKVQEKNTTKHASALVL SNKQQVLSFEVKEWLYTGKLWDVYRGLLHMTDHATPPLSLVLKIMKPMSFNPSRYFVD DKEDYGALSYHPAEYKDADAAVKAAYNEDTMYHHLLNFQGTVIPNYHGLFVWPSSDED DTQIPGLMAMLLEDLGEQILPEDTFSKDISEEEWSVNAQALLVVGFC I203_07945 METRSRKKRRLNSTSQFDRIERLTIHPPPGISSPRINYSPESFV KVSLRRHIPENLSSSHNTRVVHRTSPQIQFGVLCFRINDWIYTGRLWEIYRGTLSIAG YRSHEIPIVMKVLRPDTFDRGLSDEDQSSDGLAAAYTFTRDYDPASAVKAAYNEDSIY RQLTPMQGTVIPEYYGLFISYQNANGIIDDGATLPKMMAILLEDLGNQVDPHDMFDDE I203_07946 MATSAIEDGIGTPLPPSNGGGSGNTTLDFDVNVFRIYLESLLLP VMSATKQEIEDSLFEDPSFDEKTIRFATDPGCQVVYITKERYLDVDEYDAPIARITYR LHLPPTPPHSPNCISTLALIKISPTLDSLNPLGTQLHFLQLSSSSAAVPALVPDEVPS GASTAVTTPTNQVTPYDGLHSLVHWGVAPWFDSYVSSKTNLAENQSNKKNQAGEASMG IPVTKKKFAELELSLLHLKQNVEIPEARLGVHPAIRKAVAQCHANGTRVSVDAVEPSS LLSDPAFLNKLQADVNSWIKEIQSVTKLSRDVSSGTASQEINFWLSMEHALENIEAQL RGEEVGLAMDVLKHAKRFHATVSFLADTGLKEATDIVHKHNILMKDFPLDELLAATDL EKIQEAIYLIFGHINKKLKLSPYPIRRTLPLVEVISLDFNDQLLKVLASQRLMYMDYA KFEEVMAMTADVFATWDENMKDFTNVAREVSRKRAEKFISIKINPAHAKLQERVAYLR GFRRSHEQLRVMTSSTRTFSGLGNDAPFDIDVEEEVRLSYESVKNVDVLDVSSEGSEI WYTAETAYNDRVARIENQIISRLRDKLATARNAQEMFRVFSKFNTLFVRPKIRGAIQE YQTRLIESVKEDIRRLRQKFTENYRNSQAYHMSQMRDFPSVSSAIIWARQIERQLLTY MRRVEDVLGKGWESYAEGHKLQVESASFRAKLDTRPLYDAWIGDITKRGNLTVTGRLF DVIRTRATATNAQGQLQLVVQFDPQVIALFKEVRALIWLGFPVPLTISHKAKDAKRVY PHAVSLMESARTYTQTLDLIDRNEDVAILLASYRSHAQQMIERGIKMQWDHLVNAYEG QRYLPGGAADGRENRHFLFVREFASVISLLQDKTNALIEISTDITRIVDELSNCDFTA EAFSDLLSQIQKTIDHLNLENYSNLDAWVVTLNERIDKTLRERLVQAIDSWCEEFRRE EESSLPHEDQHQSGIKGGKSEVHIEPLVHEIRIRNQVIYLDPPIELARQEWLGQFQDA LGVVCNLNRIRSSRYEISLKVDEAGVEETSYVGLLTSFDDGTLKKPLALIENKVQTVS AYVDKWLQFQSLWDLEADSVYSRLGDNLAEWGQLISDIRQARTTFDTTDTKKEFGVCV IDYANAQSKVNAKYDSWQRELLTRYGTKLGSSIKETYSSILKARTDLETLAIEGSSTA QAVAFITFVQDLKRKVVKWGPEIEEFNSGQKTLERQRYLFPSDWLYVDQMQGEWSAFT EILKRKDDSIKEQVAGLQLKIVAEDKVIDGRIGDFITEWESNKPLQGSIKAETAINTL NVFEGRLTRLTEEYDLVCRAKEALDLEHTKDDRLQPVTEELRDLKAVWTALSGIWGRL AQLRETLWSAVQPRKLRQELDAILSSTRDMPSRMRQYAAFEYVQETIRGLLKSNILIG ELKSEALRERHWSKLYKALRMPSTQATTMSLGQVYDLDLKRNEALIKEVVVQAQGEMA LEEFLKQVRETWTSYSLDLINYQNKCRLIRGWDDLFNKCGEHLNSLTAMRMSPYYRVF EEDAASWEEKLNRIHVLFDVWIDVQRQWVYLEGIFSGSADIKHLLPVESARFQNINSE FLTVMKKVNKSPFALDVLNIPGIQKNLERLADLLTKIQKALGEYLEKERSSFPRFYFV GDEDLLEIIGNSKDIRRIMKHLKKMFAGISTLQLDEEETQLSGFSSREGEEVLFRAPI ILKEYPRIDDWLAKVESEMRLSLAHLLSQAVSELQAFFKVDSDFSLSDLLAWIDSYPA QLVVLAVQVAWTNLVESSISAAALTGVLALVSKTLDLLADTVLQDIAALQRRKCEHLI TELVHQRDVIRSLITAGVRNNTSFDWLYHMRFYLNENIDDPLLRLEIRMADAVFPYGY EYLGIPDRLVQTPLTDRCYLTLTQALDNQLGGSPFGPAGTGKTESVKSLGVQLGRFVL VFCCDETFDFQAMGRIFIGLCQVGAWGCFDEFNRLEERILSAVSQQVQSIQQGLAQAA TNPDAEVELVGKKLKINTRTGIFITMNPGYAGRSNLPDNLKKLFRSMSMTRPDQELIA QVLLFSKGFRTAENLASKVVPFFNLCAEQLSAQPHYDFGLRALKAVLASAGILKRDKH LKGKDNQDQTDQIAEQQIMIQSVTETIVPKLVAEDVPLLKALLEDVFPGVEYAPVDLD ALRAKISEVCKERQLVAGPAWIEKVVQLYQIQNISHGLMMVGPSGSGKTQAWQVLLSA LERLDGIEGTPYVIDPKAIDKEALYGTLDPTTREWNDGLFTHILRKIVDNVRGETTKR HWIIFDGDVDPEWVENLNSVLDDNKLLTLPNGERLNLPPNVRVMFEVEHLRYATLATV SRCGMIWFSEEVIDTAMVCRHHLDILSSTPIGAEDDETPDYTATGVANTASSSQIESQ RQVVNILEPHFGPNGLVNSALDFAEKCQHIMEFTPIRALNTLFSLLKATVRNVLEYNA RHADFPLAAEKVDTYVTRRLLLNIVWSFVGDAKLDVRAELGEHLRRESGIETPTLGPG ASLIDYDVDVASSSWVAWQSRVPTVEVETHAITSADVVIPTIDTVRHEEVLYSRLAEH KPLILCGPPGSGKTMTLFSALRKLPDLEVVGLNFSSATTPELILKTFEQYCEYRKTPN GVVLAPQQIGRWLVVFCDEINLPATDKYGTQRVISFLRQLMECNGFWRTSDLAWVKME RIQFVGACNPPTDPGRVPLSHRFMRHAPLVMVDYPGEISLKQIYGTFNRALLKVIPTL RGQSDALTDAMVDFYLASQKRFTADIQAHYIYSPRELTRWSRGIYEAIKPLETLSVEG LVRVWAHEALRLFQDRLVAEDEKAWTDDKIDTTASQYFPTIDQEEALARPILFSNWTS RHYIPVNREQLREYTKARLRVFHEEELDVQLVLFNDVLDHVLRIDRVFRQIQGHLLLI GVSGGGKTTLSRFVAWMNGLSIYQIKVSNKYTGADFDEDLRTVLRRAGCKGEKICFIM DESNVLDSGFLERMNTLLANAEVPGLFEGDEHAALMTACKEGSQRDGLMLDSHEELYR WFTQQVARNLHVVFIMNPPANGLASRAATSPALFNRCVLDWFGDWSDQALYQVGLEFT QTLDLDMSTYTPAAPFPIAYRDLPMPPSHRQAIINAMVYVHQSMQSVTSKLAKRQGKY NHITPRHFLDFINHYVRLFNEKREELEDQQRHLNVGLDKLRDTVNQVEELRHSLAAKS SQLEAKNAEANQKLRQMVTDQQEAEAKKAASIEIQAALVQQDEYIQQRQEIVKEDLAQ AEPAVLEALAAVGNIKKQHLSEVRSMANPPEAVKLAMESACSVLGHQIDSWRTVQAII RRDDFISSIQNFDTKKMTKTVRDRMMRDYINKPAFNFETVNRASRACGPLVQWVIAQV RFSEILDKVAPLRQEVASLEQQADNTKKQAQVVTDTVAELEASIARYKDEYALLISET QSIKSEMDRVQGKVDRSMTLLKSLSSEQERWDAGSKTFETEMGTIVGDVLISAAFLAY SGFFDQRYRELMRREWMDHLSEAGIHFKNDLALSEFLSTADERLSWQANALPADNLCV ENAVMLKRYNRYPLIVDPTGQAAAFLQNEYKDRKITVTSFLDEAFLKNLESALRFGNP LLIQDVENLDRILNSVLNRELRRTGGRVLIRIGNQDIDFSPAFTMFLSTRDPSVEFSP DICSRVTFVNFTMTRSSLQTQALDKVLKVERPEIDQKRTDLMKLQGEFRLRLRHLERS LLQALNESSGSILDDDKVIETLEVLKREAAEVTRKVEDTEVVMREVEAVTGEYLPLAQ ACSGIYFTLEQLATINHFYQFSLNYFLEIFDYILLQNPNLKGTNDLQSRKAILLKDLF LVAFQRTSRSLLHTDYLVLATSLAELRLRSDTDEAVLDELDAVLETPSGGSQAQLSLT SEQKRSLDSSLGPEMTKVLEQDLEANAEEWKDFSVSATPEKAVPWPWTEAHGLFTAAR HMTIIKLFRPDRLIQAVSAFADLAFGSDLANQAEYDLQTVVVNEIGPAHPVALASVPG YDASYRIDNLCRAAGTACASVAMGSAEGFTLADQAIANAARTGSWVLLKNVHLAPGWL AQLEKRLHSLSPNRNFRLFLTMETNPVIPVNILRQSRIIMNEPPPGVRANLLDTLKGI PQARITTGPAEKSRLFFLLAWFHAVVQERLRYLPIGWSKGYEFNDSDFDAALNTIDSW LTALAKGKANVDPAQIPWVALCTLIKQAVYGGRVDSDYDQRVVDAFVDRIFTARAYDP DFKLVEYVDGGLNVPEGTQMSQFIQWAQALPEREPPAWLNLPGTAEGLVAAAEGDAMM VKLRKMRTTDDEEDETGPAATSGGRPAWMAALKGHSEEWLTILPKTLTTPPNDHSPLS RFFAREASTGSKLLQRLRRDLSELIEVCNGDLKQTNELRALMSDLNRGTVPSHWKKFK MPKGTAVAQYISNLTERLRQLEGIANGQGDQGDKSIWLGGLFQPEAYITATRQAVAHD KGWSLEQLVLSVEIERTGGQSSFAIHGLHLQGASWKSDQLTLNDGRSVSLGPSQIVWL RHDERPSKGGNIVNLPVYLNGDRNDVLFSVDLETDGEGQAIVAQRGVCLTAA I203_07947 MSSEVNANAKPPSRDSSEESYPPIIPGASLLLALRLANRPILLV GGGVVASQRLYFLLESDAHITIISPGPLHPSIQHRINDPTTSSKITWLDRPYLGRKDE IKVKDYDLVMTAIDDNDLSRETCELCREEKVMVNVADIPPQCDFYFGAQLRKGPLQIL ISTGGLGPRSGAMIRDLILENLPSNIEDSIKGIGSLRADLRKRAPGVGGKLGQERMDW MKEVSDTWGLDLMKRFNDEELRKEILDKGWESRKILGPDDLGGKSSSWTQAIQRTLGT IDWTAGIGGFGLGVAFTSLGLIILQRQGRL I203_07948 MGYTLAVLGCGTMGVAILSGVLSSLEARLSAPLTQKHHSGETEP PSGISTPTASQFLDAPEESLPSRFIATVGREETGRKLKKTFEGLGRFGSDVEVRAGQG NVQAVKEADVILVCSKPNIAKSILLEEGMAEAVKGKLVISICAGVTISQLVSWVPEST KVVRAMPNTPCKIREGMTVVTPVSDALSRTLILNIFTSCGRCRFLEEKYFDACTALAG SGPAFVALVLEAMTDGGVMMGLPRVEALELAAQTLQGTGRMALYAGLHPAQLKDSVTT PGGCTIAGLLTLEDGRVRSTMARAIQVATNQQVSISIRNAESS I203_07949 MPSAISLSTSIIPDFKEIRSRSFSLPKSPKAAKRFFGKSEDSPP VPPLPSSTNTGTPHYQHTPSSSSITSSLSASVGFSGATVVRTPQEALEGIKSLTPPVL PQLPIAHTYINPNGRKPPIYLHTHTNSLDRCRINHSNGIGPQSSNCNTPKIHRSRSAT EELRSPISTSSTSNPATPVTAPPCQGGIVGVKGVLKSPSYGYLRNHDVSADKWAREVL GSIRISTDNHNDVDALGLRGRMDLGEGEELNSFIDSYDRSIKHDSIQSSIGLVPVPHS TTFHSTTSLTSIQTFGHDDRSKGYQPSIVSTAASTTQGYTEDQYKPYIQPGSSTTNNS MLMLDEDRYPPRSTSTLQHLEEVKFPSSSTPLPPPSESDISTTTKEEQEEEYDNIDYD PFKKIDTYTTRPIRTITSTASSRSSRSSSSTTTKKQNEVQDKSLHAPVPVPVPVPFTT PTYPKADPVESTYSPRSRPQQLPSEKEKQDEEDKQFLLALPTRDFTRSPSTHSMRPPS PLATSASTNSRSQGHTRSPSTPITSSSPQKTIIQTHTRSPSSPAEFSPSPLSPSPNSP IQKLRRPSLLSNRSTVRPPPPASRISKWLSVVQTPFHATILEDTSRPLPSGQSDLVLL NLEFAYSLDDKPNNEKVILPIEVVRQYGSNMLVDWLDRYLTRSGNGNNKKVISTVTTI PEMTDGESAEESDLESDNGLTALLRDEYLNSFLLPDPPSSATSLTTPNPVPVPSAVEG VTIPSSPRKVKSPSSPNIPLPPTPASTLDVLDEIPTRLPSGDAPEPAPKRERWKRGVT PRQYEQLQNIYPTPLSSLAPTSHDDDDDDQTSKSPSEMETELIEREMGMELDDKSNWM PPKTPPLSIRRHSKSHIPPTPTVTSKSPDQREDPGHAEGQSKLRELRIFLTREAGAWH RIAHRLLSGKWGDMRDEIYKQRVIDELDWVGMTSLREELFNNLIMGFGGNGNLSSSPV SRGGILSNRITNPPSPSIAQIPQTSMSAGFIGFTPLSGNSSPGMGMGGTKKITRSSSS TSLMMLRNKGNKGNRVSRGGSGYI I203_07950 MAKDANEGADENNSRDLYELNNLAIPTVPRSFRLLSELEHGEKG IGDGSCSYGLKDSDDIAMYEWNGTILGPPHSAYENRIFSLSIYCGDKYPDIPPLVKFE SKITLPCVDQQGFVNFSKIPSISNWKRDFTLETVLVELRRDMAAPSNRKTPQPPEGAE FPPADLQTIAKQRNL I203_07951 MDGLLAEISAKRKALEVETGDSSGGAKKYMRRADIERLREEEAK KKRLEERAKRDKERQEKMKKEADQARHQSLARLAASPTPTAFNSARGTPEPSTLVEKE GFNISPEECIRRLRSKGQPIRLFGESDKERRLRLRALELLEEKSGSGGGQHGRNDFMK ALEEMESGLDKKEIERKARELHRQSEEKSKITQNENNNTNRNGDKEGDEEGERKDGTL KKRGQNMGILDLGLIKSDPNKLYPLIYYALKGVVKEWEEYMDMRPEEIKRSTQGRMAA ATQVQSAQNLKPLFKSLRSRDLPPDVLRLLAELVHHMQSRQYLKANDAYLRLSIGNAA WPIGVTSVGIHERSAREKIGQDNIAHVLNDEVSRKYIQAVKRLLTFSQTVRPPEDVSQ LMG I203_07952 MAANGFKSPAPVLPGLGLHSFHNDSPPFAGAATSEHGDPEYLSL ESSQTLLSSSSRDDSQLLPERHLHQHGDHYRNPQNNLTRLPSSSSINTIQTQSKSNPR IDAPVPQRIPIPSNNSNPVDDDLSLSSSRSRRLLSGYLFGNPPSPSENKNLAEEVGAV ANTASGGRGRRPSLDSEEEGRYPLPTPPIKDDRDSPPLSEAFKSYSSPKPSQTNNRTL SAQSSDSQIEKRTGEISLNDSPEYRPASSTEATASHEANSVGVTNQTGIIKLTNNPEQ NDTRETGSRSKEPGHGQGGYDPLDGLNDGEADLDLSAPQATDGGSGAYLRGEVDYSLP RHRLRKTMKDESKIPLVIVACGSFSPPTYLHLRMFEMAKDEIIESQTYEIMAGYYSPV SSYYKKSGLAPANHRVRMCELAVEHTSTWLMVDPWEAGQPEYQRTAIVLDHFDEMLNG GKNGEGGVSMNDGKKRRYKIMLLAGGDLIESFGEPGVWSEPDLHIILGRFGCLIVERA GSDVWAFLLSHDILYHHRRNVIVIKQLIYNDISSTKVRLFVRRGMSIKYLLPNSVIQY IYDNKLYRFTDVKATIG I203_07953 MTRPKTKQSPPPTTSSSPEGYCQSCGRLLPRENKTDPTPRKYCS STCRSHGKSPYLKGIRTALIEGYHRSLDDRPTGQVILCSEVEKNTFDPTSNKDKDEKV DNNQTSSLSPTEQREESRRAARRIVAFGFPSQGIAEEGREVEAIQNGKSVETSFAKGE WGIRWK I203_07954 MSTAPFKEDALNRFRITHSDRELKPLIRRLHRLPLLSASKDLSQ DDVELERELIKMELLKWRIGIERILGSISNLDRQTECYKRQTRETVSKAEQLKLRLIE EKQELANKRKLREHQLKCDEIVKRIQSRGKNRKELDDQIESLTNSLKEHTESHSTYLS ITQLRFEKFNEIIKLIDECRNLKLPSEPSSTSIAITEEIQDNDNKMDIDPSSTSTSTS STSKLNLSALEFQPTSRSASISATNRSSAPPSGNTTTTTNNNNNSLKPPSLGHMLPSK PNSNSNSNKPLSGGVKLSRQNSNGLPSRPSNLRSSTTPAALHTGSGSLEDGEVGPEEG EVDSPNTNTNSEESRKRGRGSEGSQTRNTRSRAK I203_07956 MDPNYVPQLRQLLEATIAPDTNVIKAATTQLNTQFYKNPQCIPA LYEIAATTDNQSIRQLAAVELRKRISSGDGRQWKKNPQQLREQIKASLLERLTQEQSA IVRHALSRAVAAIADIELTVNPPQWPTLLPGLYQAAASPTKTHRETAIYVLFAILDTV AESFETQLQSLFKVFSVSLVDPESSEVRVTTLRALAKVAEYIEAGDKHDVKAFQELIV PMLKVLEQAIKDGDDEGVKHGYDAFETLLIIEAPLVSKHVAELVQFFLGVAGNKEVDD EMRCGALNVLSWVVRYKKSKVQALGLAKHIVEGLLPIGCEDDPEDVDEDSPSRLAFRT LDNLAQALPPQQVFPVLTQQLQVYMGGDARMRKSALMAFGVSVEGCSEYIRPHVDQLW PVIEGGLQDDEVIVRKAACIALGCLCEWLAEECATRHSVIVPILFNLIVDPSTQKNAC TCLDSYLEILGDDIVNYLTLLMERLLVLLENGTIAVKITVTGAIGSAAHAAKEKFVPY FDQTIKRLVPFLHLQASDEESDLRGVATDTIGTIADAVGADVFRPYFEGLMKAAFEAL TMDNSRLRESSFIFFGVMAQVFEGEFSQYLPQCVPALIASCQQDESADEFLNDGEGGS SNPSALAEAFTTGVSKDAGEDLGDDEEDETDLAALEQMFSKVNSAVAIEKEVAADTIG ELFSATKSAFMPYVEETVKVLVELLDHYYEGIRKSAVGALFAFIKTMYDLSEPAEWQP GAHVRVPFHEHVKKIVEMVLPPIFEAWKTEDDKSVVILMCAELADTMNKCGPALVEGH LDEVATFAIEILEKKSLCQQDPDGDDENVDVDADSSEYESALVSNAADVFGAMASVLG PDFAQAFGQVLPLIAKYIEPKRTQSERSMAIGSLGEVIVGLKGGVTTFTQPLLQIISR GLVDEEADVRSNAAFAAGVLIENSETDLSSNYQALLTALQPFFSPAEHSAPAVYNARD NAAGAVARMVTKNPNALPLDQVIQVLVSVLPLRFDPLENRAVYGALFATFRNQPQILM PHIDHLLQAFHYVLLDPSHADDTTDETKAELRALIEHLKSQVPDKVAAAGFA I203_07957 MDGDNEMNADGSTGETSKAGLRRMRRLASQVPHLQSSPRGAIHP TTSTLIYPTPPSSHIQGYLTSSIFNTSHPRKLPTPPILQPGEQISHSPDGLWTVIYHP SPIPESTPGGTLAIYHSDQLLSPTTSPSSIIPLSTFSLPSSPLSVTHLYPSRVHLVGS RSEPAGPSPLEVEYDSVNGPSFVVLLESSIWYLYPTPVINGPVTSWTMTYLTSPIHTR YHTSSITSSDGLFPGNGFKIKKGWTGLVPSNKGIWVGWETIEGERGVTRVEVGKDKYG KIYLQSTPMPTLPRVTDIPFDENRYEPELQGIIFVYLARNYAGETIKMEQENVSGMQV DGEGGGNKEDREVEKVGAVLIFNDTSRTPSAPVSRTRIQLLSFERRAIELAQGFNDIS SGQGDMVTSWDWSTVPDPIQHYASPTNTSILALYPLLSLLPYTMALAIICQPSGLSLV HINLSANQWTTVGDPIDLGELRGEVDLDLVVSQGVARGQMGLAALIGKESAPALLVLP RLEGQPTLDKSSMEVSIGSDAATSIIIAEKDGIDWSDVIRAAIGSTGVGKRKDLISDI SKQIYTLGMDLVEVDELNLLLKAQIALFSSTEDARLDLASDILRLNEASHLVDRCAIF EKDGKIGFDLDSIWPLIGTMEWCITTITTTMRSVILLGGHMEYSNIDLEEYLERPTSI IILIQPEYRQLVIKILSQLNQLIGFLDKLDGPILQPETKVLPPRLKRDAMATILARDR IRDVAYRNGMDLIEWGKALERVSTKDIPEKSISSSLYNLLLIPLKDHLSRIINDLPNS SEMFLSVTQSSLGDTSTTLYDSITYLPIAASAHSIVNGRKRISCERCLNFTEEVPTDI NGIARGVSFNSPWNEWKDSFQEHCLCGGNWVRIK I203_07958 MSSPPASAPANMSYFPVASSSRPNGHPPVHPYPAQETEIDVIPT RAGRKLCVRHKQMANQNVNEKLQRSLDNLSQSERAAITSMWSTFSNAPHGKRKLILEG ILTMCCFSQLSHLSDSLNQIIRIDPFSLLPRETSLRILGYLDAFSLGRAAQVSKSWKA LADDDLLWRRMCGQHIDRKCEKCGWGLPLLERKRLRVELKDRSPATLINHDHSHDHHD DHDHLGVGPSTSKVITRNDVLSGSFPAGPPPTESFSIGGEIGLKSCDMPAMHQQPVAL KRSAPSTPESLPSKKSKVKDSDTEEELLQSASTSTIGHLTRDVRLTRPWKTVYCERLM VERNWRKGRFNHRLFRGHTDGVMCLQYHTALTNPSYPVLITGSYDRTVRVWNLDSGEE VRVLTGHSRAVRALQFDQMLLFTGAMDGTVRMWNWRAGECLRVMDGHTDGVISLNYNG YLLASGSADSTIQVWNFRSGNKFVLRGHEEWVNSVVLWDGKSSPSAIDPTLPPSFTQA VTSRCSKAKSPGVSSSDASQPDIDVGAMLFSASDDGTIKLWDLTSQSCIRTFEGHKAQ VQSMKILMVDMSEEEINERDRQRRQNNRQYTPPQGFITGNQYGSPPHQSIDLNDLDAP EGFDPIEHRGRSRDTAIQPRVYAHSPEKKDDKRERERERSRGREKKAILATGSLDGTV KIWDVDSGKEQSTLFGHIEGVWSVDIDALRMVSASHDRTIKVWDRESGQCVQTLVGHR GAVTSLQLSDDMIVSGSDDGDVMVWNFAPNSNNNNSNSTPNQQSGNITPIPE I203_07959 MALSAIIALLLFLPSLILAASIGGLSISHPLSQQFPPVARVDQP FQWTFSDDTFSPSSSLTYTAFNLPPWLSFTGSTRTFQGNPTSDDIGNRLVQITATTKD GQSTISDHINLSVSDHSGNLTLGRSIEDQLNSPDSTSITSTYPYGQSSIYYPGVRVPP NWSFSLGFTPSTFLAPTRVYYSASLKDGSPLPTWLIFNNQTVTFDGITVPSADTTREV YEVILSGSDVYGYSDIQQSFTIVISAHEFVALGPMVVNMTTGYANDISLKGLLKSGLS IDGQDEINISDLTSATLDTSSLVWPTYSSGNMSISGNPPSNQQNVELPLNIVDKYGDT LNTTLKMVFYPSLFASDKIQEPQILEIGKSNVITLAQYLKNSTTSTSNINMTASFDPK EASSWLSLSTDQKTLLANPTEDTDYDSVTVALRAQDLSTNAYSKSILTLSLMSNNSTL THQHPHTHSHGLAKGTKVAIAIVCSLVGILLLLFVLVQLRRRRTSARTREMGLDRYRS PTIEEEGKWSYEAAETPALEYVEKMGGDPATTQMLVLGRIDGGSSETVVATGGEHGMV PHLRMGSNTSTGSKIKKSFLTNPFFGKSSKSKMIPKISNPIIMPSLSNAAFQAQLAAA VDKAGIVNRDRSSTYSHSASESGGEFTATPSYISGSQIDRSQNSSKLSQRSDVSLDRS TVLTQDSDSRFGGHSSGSRTVQSRTQSSRASWESEPPFVWTTGDTPGPDGDGSMRSSS NRSSSGTHHSTMTTMTIDRNAPTQRADFIVNKTNIPISSSSKSTSTSSCVSSMSKKIL KREMMERDRARAGSPIGSINSENEGISIDNIHFPTDSDLAHTETSSLSGEYDPDNGVI IQTASRIDARRTLDSPPTESLASSRGSGNHTERGVSPVMTTHSRLVSFGKQKKVEVVS EHDNGGNNRHSMSHQAVIEAASTTNSIGLGIGMGIQSQASPIPLPPTKAITVTRTTPT PPSSLPSLPALPTLPSSTSTASKGSQKKAKARPTITASPQRILLGVSEPFHFYPPLSI TPSNSNFSNQSSSTAGEGQAEYLAFVEKRLPSLKKGGSIIIKLTELPDWLHFEDGELW GVPRQQDRGEVDVRIVERKGGDDRVVGRFSLEVSR I203_07960 MTTSQVFPEVGSQPAPPGSMPGSGAPDHHGDIRGVFGNPQVFND TFGHLSTAFTDAINKIKDESASGVGSVGKILINKLESMRDEVDGWRMGKGLPEVEQAG GERNESVERAEGDGGGLYAD I203_07961 MKLTATLLLLASLATSGFAKIAAGSGDFAVTINGKTYNPDAGKS AKPDKLSTCTGAIQVRGVHVGYDIDCATLEVKDYTLTGALDAKRMVNRPTIIFSSKTP VLSSAQLKGTTIADFDLRDDGFNIIFKTGAGKFKIQSKDGAQGGIFQMETEFANNVDF VHTLGPELFYFKNAVTGKINFGNGVSADPTHKMLLGKDSPQVATKTYQDGRITKWSVA PGGRLGGVLGEDSTELGAGASNCTSDCQAQNRINGSIPPTQDPVDPQPLPGAKRGVRA VIYRDD I203_07962 MDDFVKTGIGAEKTDAVISTVVTKPVWHLVPLPLSQINPSACKP LSIRGQIPSLRSSCLAVISRNLHLYTVDQFQAIPKVIIRRIISRIRNDRMYEDDFERA YTSRNPDEGTIWCLSALLDPESTDDFQLALPQDSMLQYLTPNNLSKYPQHPLVELPTL YKTLSPHSSVSLLTTLTLDGMDGVVTDQNIQSLKYCTNLTGLWMKACKVTDTGIKLLT SSLQLPGDMGDESGRGLWKLRNWSLGGCRGVSDRSMKSFPRYPGLVMLDIRDTSCTTS AIDIFNRTCQNIFQGYNPDFQPCTEGLLDLFYRSYTSSETIDKLCLTLIKLPENTSSD KSHISLNMIPSFRPIEDRYLPESSTHTPTEKAWENKWDPAQKTVYRGNGIGQIYGTSV SRVADEVEDFRKRRKIAIEMEGKESELDRYANMSTNEKRNYTRQKNKEEKEWREARYH WKTKDKDEIKPKPKIYKGRGKKGDAERSKSFVMGTKGEQLLIDKSSKDDKSLMLVRMV NDNWDRLTWTLNAGSNDVSRQDKNVGIGYELAKTSFSQKVKATNLVEELLGTTMSAVT SSQIPNSQTTPSNPFMSTPLKQTSNRPSISVSPSPINGCSQTPQPQRDRNPFNSQSPK SNSMGVRPLSSPAISQSVKPLARSTLLNSSPFSFSQHTQLDIKPFANTQNSPKRNSTA GDGIAASKSKDDLSFSFSNNTRKRTFDGSGSGVGAKRGGMKMFSIASQKK I203_07963 MHAFHQTLLPSSSIHHSLFLPNFTPSTIYPLPKSINENVPDVKV IGNLIVAGGEILRVFEIREFQRYPDLDHIQNGNVDGDEEMEEGEERLGDGFFDDGHSK RDPVQLETRRKLHLLAQYELNGTITGLSALRTIESSVDGLDRLLVSFQHAKMALLEWS RGSISTVSLHTYERCSQMISGDLQTYVPMLRTDPLSRLAVLSLPEDSLAVLPVLQEQS ELDMIEGYARDVPYSPSFVLSLSDVSPRLKNLQDLLFLSGFHSPTLALLYSPLHTWSG RYQSIKDNFCLEIRTIDLSSGGTYPLLTSVTGLPSDSLYLVACPAQLGGVMLVTSTGL VHIDQSGRVIGTSVNAWWSYATALKSDHQSESRKISLEGSKCLFVNERDMLLILQNGD THQVRFEMDGRNVGQIKIDESTSTVPPPSSVVIAGDKALFVGSAEGDSLLAKINEDRE ALLNGDQSVKKEEVKDDMDVDWDEDLYGDMNDPSNAGLANGYQKENTGPAKISVSTYD VLEGVGKIMDIEFGIAATDQGTRTYPQLVAISGGSKKSTLNVFRRGIPITKRRRFNEL SSSEAVWFLPIDRPSALKFKDIPDSGRTTMLFSTERNATRIFALSNKANPEQIGRING KTLNAAPFFQRSCVMHVTAAEVTLLDNNGKPIQVICPKSDLSPISSASISDPYIAIRR LDGSVSFFVGDTVARTVIEVPVAGEGFETPKCQAIEVFSDTTGIYRTFEPAQPAISVD APSMETAINASRGTQWLSLLTDRGELQIRSLPDLKVVLQSDGLGSSAPTFTDDLVDGV LKDEDIEDEVKQMVFCPIGKGNVRPHLLALHQSGRLNAYEAQPRFTVDSSSQTRRSLA VRFRKVHTQLLPISGGSTRLPYTIIPFDEIEGITGAFITGEKPHWIVSSDAHPLRTYA LKQAAMSFGKTTHLGGKGEYFIRIEDGSFICYLPPTLNTDFAIPCDRYDMDRIYTSIA FDPTSAHYVGAASITVPFQAYDEEGEIQIGPEGENLIPPTNQRSTLELFSQGSDPWRV IDGYDFDQNEEVLCLESVTLESPGGEGGYRDFIAVGTGFNFGEDRATRGNTYIFEIVE TVGKGGKAAGSGWILKLRAKDPARNPVSAISHINGYLLNSNGPKIYVKGFDDDQQLMG LAFLDVQIYATSIKVFKNFILVSDLYKSFWFVSLQEDPYKLTTISKDLQSVSPVVTDF LVHEGQLTFISNDREGNMRMLDFDPTDPDSLNGERVLLRTEYHTGAPVTTSKVIARRK TAEEEYAPQTQIIYGKSDGALTTLVSVKPARFKRLQLVSDQLVRNAQHVAGLNPKAFR AVQNDLLPKPLSKGILDGTLLSHFALQPSNRQKEMMRQIGTDAVTVASDIAALGGFW I203_07964 MSLSPSQQDALQQLLAVTASSTQTQKERDERLLRENGWNVQATV EQIFSLGSSAADVPNDATSSSTRSSLSGSGSRPITSRLEVEDHPLLPRQPVGTRRLSG SQRSPRSPGGPGTSGVGLGLWGLVIWPVSIVWGIVGGIWYFIIRTFVPLSLLPRLPSF LLPPSQPSSSSSGNLRPAQDPTTTSLRFIRELETYTRCSSSQGNLPDFYIGPYREFVQ HLRKEGKLGLVVIVSGEHENDEEFKKDVLTDEEFVRTLKEKDIVVWGADLSSREGYQV AQTLLLTTYPSLTFLSLLPVPNLSTPKLTILTSLSGSPSTTTSTSNILQTLTTTILPR VTPFLNRLKRERLTLEEARHLRAEQDRAFKEAERRDREKMELQKQKEELEKIKLQRIQ CEKEEKAKYKENQKIWRKYAKKHLLPSILSASAGDGTVKVAIRTPLSSERHIKNFRVS NSTLDLFVFIETLLVDDDDDEVVDQPPKGFEDDMLENINWEFEIITSFPKKEIEPTTV DGEEFWKIIKNAGGVLFVERKNGSPWGMKEGERGDGEESDEEEIID I203_07965 MEEDKAIPSPASKAFISHLAKYAYSSNPNSTSPSKLAGPSRLAS PSKAKLPMVEIPTSPRKRRSLSSTPVRHKGRRSIEDKDESESEYEEPAITPMKKKRGR ASDEIGTVEVKGKGKGKRPRGYAPPELYEHLRPVNDLLRDDLDRKKSSTMGHHFSHPT NKFWRSLHQSGLTSRLLDPTEDHLMPEYGYGLTNLVDRPTSEQSELSTLEMRLNVYKL TAKFLEYKPKIVCFVGKKIWDVYESVIKKTAIRSIGQKMKSEKGLGTQAQVKVEEEDL ISSDINTITSSRRVKLESLDDPDQDTSLSPAPEPEATVRVEEPGPERLVKIEPDELND SARSSGKFPKRRMSRVTIEAFDATKPRIFRLPHHSIGSEDEAEGYTYFWVVPNTSGLE RTQLPEQIVNFTALKTFLGRIKSQAELQEGEWIDIDPAGLEYTVEEMKRVALSKKA I203_07966 MSTSDPRRPDGLSSGLLTSMTKPTPAEVTAIINPSNKRRLLITL SFPLLFLLAIPFWWYTTSIERLPLPVSRIDALANLSIPSYRGKILFTADEDAYPKSAP GRPVFENKVICQSLGKVVTDGVDVIYERKRPQKKRNWDFIYEGEDDPNPTPFRVHIRR FQHANTSWPLEPYVQPPEKGLMTSGIKPGTLIIPVHPDQISDRNLKLHYKIAMINSIL SLYPPDPPTIPLRALKYSPNITLSFVLLNEDSTEGSYVRSWEIDDAIQEHFIPHLEPL KDIFNFTIESQILYHAPLTFDPTLSDAPAIGDPQIEAALEAAREGDEDAEEVFVNSER WSLDSGSTNNPVLRFLLYVPKEKHRPMKLVGQDSPHSFLLPQFGSVYLLNPPSTQPSS GETYHLPSSALTSSFHLFTQHLYSLLAIPELYDPGKIHLPPSSSPLLPRSQLWQPISS WQIHQILESRLKENLEESKKTLIGIKRLVDKIKEMKVGKNVRDDVSGAVERLESISSA KSPLENFILSRDSVNLANKAFFDPSMMGLLYFPDEHKFAVYTPLFAPIAVPLLLGLIK EFIAWKRKRRGGSGNDDDVTDEKAEASIGVEDEKISTIGLEEESTSVGIEPSDRVLRS RSKG I203_07967 MAHSADRKVFRRAQSTSNSASSSSSSSSAFFISDTSPIFSYGSS SGASSSSPWTAGYAKQSDGYDETLHLTSSSNSIISFNITASSLTLLVPAFDNCQATIS INSSSPITACSKAVSSSSSEVIPFTLYNLPLGSHSVVWDSGTVPNGQQVIFWGIDGVR PPEQAGYSNVTIDDAFNPIENVNVGIRYEGDWTHLNPDAHSDALSESGKLDGDFNKTL AITQGKGSAVTFFGSGSAVYVYGTVGPDYGSASLSLNGQIVAPSLNLTSPWQMPYELL WFQTGLDSSQANQVTMTNLGTKKMAIDFVVLTTDSTTLSQLVVGEGEFLSTLKGKIIL GVAIPLTIIILLSLLTIWIFRKRRSAHRRRNSRESTHTLHPSTNGNGSLNRIGEKPAG SASSRSVASFDDVFVSYDEALQQRLSERWRSPISPSNTNSTGTGRTPRSPETALGTNT SGLASVPENMISSPERVRSLLGSQYAATNPPDSRRGTALPAYTPEGSYVTQFTPVTTG NDSAHGHSPITAYETITGTTMTPTSNDNIGAETLTNTNTTPATNTSTSTPPRRFPTAS EEKAAQLALFKTFENDSNPSSSSPPQPDTFGPGPSGIAHSPESDKNNRISNTPSDILS IFGTAPGSEGRLSTLTDWTATTPNHQSTFLPRPGSELDNYPLPLPIINSTPPLKITAS PGSNRIPLPSTSGPPVRPKVDMTFLNSTNNNHAARNQGSGSGSKHPFLISPAQIHTNA KSSSTNLPPSSYTNTPITPRQTSTTASASGSASDYSQSTGITQKHRRNQSTASAWTER SAARPDSDIIPFENFLSGLQRAPTRD I203_07968 MHSSSFSSPESGPSSQRLVSNSSSPTNTTESGYSSDPEHEQGSE SPTESETSIEEFSPESKPVKLPSNNQDVKPLASRYPKIFANPPLPPAPSSVARPNRIR GLSPLTSVNTTSNSPSSTMEKQFQPRLPKSQPLSRALFNRSLSDPAPHAQAGIFGGVG KKKTSQKVIVPTKSFRTTFELGLSASELARRA I203_07969 MSKEQQRAVDSEKDQIGVVVDVAPEDDRDLKGDIVLASHHEVGL DLYTQNEGYEYTIEESKSILRKIDLRILPIFCLTQGLAFLDKTAINYGNLFGMKAGVN VTSSQFSWFASAFYLGYLVSAWPGNILLQKYNTGRVMGSICFVWGIICACTAACHNFA GVLINRLLLGCLESAVTPGLGLMTPLWWKLDEIPVRHLTWYSFNGWAGIIGGLVSYGI GHATGSGIPTWALIFVVFGSFTSLWGIVILLFLPDSPASARFLNKDQKVIAIKRVAEN RTGTKNTKFKWEQVGEALRDPKTYCLFLASVAAQIPNGVVTNFSSIIISGFGFNQLQT TLLDIPSSVLQIISLIASGYFAGKFKNSRAIMMFIGNATCIIAACCLTYAPKSQTWGR LVAFWFTGFASVGFSLGMVMITANVGGYTKRQTMTAINFVGYCIGNIAGPHVVIGEEK ALGYPTATKAMLAGYTVKTVFHVFLGLYMLYWNRRWDQEAKKRGEKLSEVERAKKAEL IGMTDATEWNNPYFRYCL I203_07970 MSSTPPSTLLTPGMAMINSSSISSSSTSCPTIWTSPDDLLTANF SWTTSLSSLATTMTTGGSNNSSPTDFAFEPPTLPTNNTFESNTISSAPFKPEPVKVDS FTSNGSDSSSPFTPSGGQVSNANPYPFSGSFSYNASTASSFSSASGSGAGSSFSSIDA LPAISRDFVRPSTSETRRPATAGGALQSRSPFAGFMPGGSSEESGQRFQRINQQRPTS SDGKMRLNTTIEEGNEGLFTNPFETSNKESQSQDAGYKQVPSQTPTSDGPVDPHYIPA NRRASEPQFNVQQGWGQHSPLAPPTGNPTPASLGLTSAPAHVPQAGFLQQQQMQGSQA QYSRPAFHGRPQTSDGLPSYPHLTGNVPLPSAQSIARQIPGISTATGGYYHPPTPSSA RSFDQDPKTGYMPFRDDRSNSLNALPPPMVGPPSSAFPGDRAYSIDSGLARPGQAQAI RSSYMPPSSGKPGEMSSELTFVQLGGPAPKKRPRRRYDEIERLYACGWNGCEKSYGTL NHLNAHVAMQKHGEKRLPSEFKEMRKAWRKKKREVAASNANAMYAANAAAWQQRVSVS SASGTESDWDRRDSAASMMSTGSEYGGHRSSASYPVGYAPWTGNSGPIDSSRPSTSSS SISSIDGSGRNYFAPPPVPGVPQPGYGSLGMGMGTINPINSRRISAPQHLPGMPMPHL EGFRSLQDDHPTPTAQNPFPQSQRPSGGFPFTTLTSPMAANAGAGGTTITGSNGGEGS YAGASQFAFQR I203_07971 MGLNSPLPVRLEEETRKAAKILKSFVDVNNNGLDKVIPRQVLER AAGFAIFTVFKAGFVLTARAGSGVVIARLDDGSWSPPSAIGLGGFGFGGQMGAEVTDF LIVLNSRAAVASFMSAGNLTLGGNLSVAVGPLGRNAEGSGSVNTKGHLAAMYSYSKTK GLFGGVSVEGSVIVERQDANRLAYGGNPNAKQILSGTFDPPEWAHVLIDQLEKSTGLP GGQTWIQDKDKHLEYGTGMGMGTPEKKGGYVFGEGLGAGGNTPPTTQNGRRSRGNSLF GGQNQNDRSGNGSGPPSRPSSSRKGSSFNPFTTGSNSPRGARSPLEHSSETYSAGMGN GTPTGGRSRSGSLLKNGEVPQPFSYMSPSRSQQQAAKEKDLLGDWDTPANTNDPFSTA SSNRSTAGRKLSAGEKDLLGTWESNGQNLSAQFASLKTSDTYGNSNGNGTRSRTGSQA RKEDINKTFDDILEYPNQQKDEIDYTPRETESKFANIDWSTYLSSTSTSSTNGTGPKP SNFVSIASGNHKRNTSPTKKSRPFSMYGGGSGSGSNKVSPNLGNSSKEFSPFEDLPVG RLRSNSNEDGSRPFESYLKYANQKESPHNNSNGRDNNMSQPKPDLRLKTGLENDGNFE GYARAIGLYDFITSTEGDLNFKSGQVIIVLDKVDGSWWKGYSPISRKTGIFPSNYVEI VELPKNPKGGVGWGELRKRVGGNEFDQ I203_07972 MSSNNHHPTPANLIRPHKQSMAELKLRRLTEHNARLREDLARPR VRVSEASLGLIKYCTGTRDPMT I203_07973 MGNQYPQQQGQPGMYQASDGKWYPVSAMPQGHQQGGGFYGQYGQ QPPMAPGPQPVYINQQSGGGGGGAGCACCAGICAAMTGLCCLDLCLF I203_07974 MNPKPTYQPDQYQQQQQMYQASDGKWYPTSSMPQGWQQQQPGYG YGQQPYGQQQPMYPNSQPVYVQQQGGRGAGAAGAGMGVCAALCGALLCFDLGACLF I203_07975 MTIHLYPENDMDKIPTYDHNHGRSTHQQIEAWVSHLGYDTSRPG TPSAPSANPHTIHGLRKKQAGHSGPLKKVLVANRGEIAIRVFRTAHELAMSTVAIYSH EDRMGAHRYKSDESYLVGKGLAPVAAYLSQDDIVRIALEHEVDMIHPGYGFLSENAGF AKKVEDAGIAFIGPRPETIDALGDKTKARTLAIKTGVPVVPGTPGPVESYDKASEFIE KYGFPVIIKAAMGGGGRGMRVVRDQESFKESFERAVSEAKSAFGDGTVFIERFLDRPR HIEVQLLADGEGNCVHLFERDCSVQRRHQKVVEVAPAPHLDESVRQAILSDALKLARG VNYRNAGTAEFLVDQQNRHYFIEINPRIQVEHTITEEITGIDIVAAQIQIAAGVTLEQ LGLTQEHIHRRGFAIQCRITTEDPAAGFQPDTGKIEVYRSAGGNGVRLDASSGYAGAQ ITPHYDSLLVKCSVSGATFEVARRKMLRALVEFRIRGVKTNIPFLIRLLTHQVFESGK TWTTFIDDTPDLFKLVHSQNRAQKLLAYLGDLAVNGSSIKGQMGEPGLNTEAIIPQIR DNADSSKIVDTSVPCQNGWRNIIVKEGPEAFAKAIRNYKGTLIMDTTWRDAHQSLLAT RMRTVDMANIAKETSHALQNAYSLECWGGATFDVSMRFLYEDPWDRLRTLRKLVPNIP LQALVRGANAVGYTSYPDNAIYDFSKKAVEAGLDIFRIFDSLNYLDNLKIGIDAAKKA GGVVEATICYSGDVANPKKTKYTLQYYLDLTDALVKEGIHVLGIKDMAGLLKPEAARL LIGSIRKAHPDLPIHVHSHDTAGIAAASMIACAHAGADVVDVAIDDLSGLTSQPAMGA VCSALEQTGLGTGISHENIQALNQYWSQIRKLYQCFEANVRASDSGVFDHEMPGGQYT NLQFQASQLGLGTQWLDIKKKYIEANQLCGDIVKVTPSSKVVGDFAQFMVSNNLSKDD VLDKAATLDFPSSVVEFFQGYLGQPYGGFPEPLRSNIIRDKERIDQRPGLSMKPLDFQ NIKKELRDKFGLHITDFDVASYYMYPKVFEEFQGFVEKYGDLSVVPTRYFLGKPVIGE EISISIEQGKTLTIKLLAVGTLNEQKGTRECFFELNGETRAVVIEDTNAAIEHVSRER ASSDPGSIGSPMSGVVIDVRVKEGQEVKAGDPLCVLSAMKMESVVSSPVSGKVKRVLV KENDSIAQGDLTVEITH I203_07976 MSTSNLKARHYSALASRLRNLQANLTETEKQLEMMSDQLNSMAR LGVGCGSQFMAVSRLLDVELMKATQEADQSQPSQQQSQSNYDESTANISRSQ I203_07977 MKWNIGASSSSSSSSSSSGPVLIDPSLPINHPAIATSSINPPAE CPMHRSTAPPPPPPPASVSGGGLAKCPIDHNNLDPSMNPLNNIPANLSLNRQPGQQLD LPTERTRSTIPRPSGENPGGEAYGTGSTWDYPSPQQFYNALVRKGWETPEDSVEVMVD IHNFINEQAWYEVMKWEKRLPGGENAQLARFTGRPGELSPKARMHLWLGKFFPNSFNT EPPFDRHDWIVTRPLPTSTTVPKEEASTRYVIDYYSAPPDEDGNPVFSLDVRPALDSL ESVSERIKVSWEEWRNNNANGDGNGNGQ I203_07978 MYAPHAPPHFQTHLQHRPPTAPPTPDSDAPSWSTSVPPPPGVNV PSSNGPPGPGFMGGQSLLPPNGAGGFMYNDSRRSQPQPQGYGYSPSGASPLDGGSNQN SRSQGQGHTPQLSISATGNTSTTPIPLPGSTNPNAAGGGPLLPQNLAQHLNNPPAPST AQNQGSNQQLSQNQSALQNASGGAGAGAGVKVLLMPNGAPPPAGSEEEKIYILITELL EPETREGALLELSKKRELYEDLALVLWGGYGIMSSLLLEIVAVYPALSPPSLTAHASN RVCNALALLQCVASHSDTRALFLNAHIPLFLYPFLNTTSKTRPFEYLRLTSLGVIGAL VKQNDNSDVINFLLSTEIIPLCLRIMETGSELSKTVAIFIVQKILADDLGLQYICQTY ERFYAVGAVLSNMVDALVESQAVRLLKHVVRCYLRMSDNPRAREALRACLPKALQDNT FTPLLKGDMVTKRCLTTLLMNLNDRSEG I203_07979 MSSSSRRTTPNIDVISQVFSNPYLRYLIFHDIHQKLLAPLLRVS KLFLEGGAESLYRDFDYQNYHKLVKRCENEERLNLYLGCVRAVDLSNLTRTIQVAKWS SLLSSFTNATLIKRYHDILTRQSTSGDPQSTPEYTYSYPHAEHLTKNSQEVPKGRPGI PKLWKQVKRLTLNAYTKDFGEDQNRGEEWKRALLDRVKELDSPLEGISIDFPVPNRII LEALKEVKEMGLGTPKTILLKSSDEDLFELLDLVSPTVTNLNIWINITHENTQICLRD FLVKMPWKKLGCLRIFKLCVRRYPASVGDHQQIQLEDDPDKSFDPEELGEPYNPGEFH MFSEFNLHILYPPDINGGQFEHQIQLDLEHLSSLARSMMKIVGLFTSAGLTIGYNSET MNDVVKSNMYSRKMNDGLWNEMSVIRKEYWDKKKGQP I203_07980 MKYIGLSPTSANERRLKEVFQHDFTPAFMYHLKSRLSNASESAS SPTQGTKRSTANVDDSWNPETHGDPTSTGSGGTSTIDSDLKGASPPKM I203_07981 MTFPFLGYSPLDYEYDVEPVIASPHTPPQVHVLYLSPPASPITC DTLAVDENDENKISVSNGKSAEMVWSIPHLCEAILFHLGKEDIFRLFRASRFYFKIII AHSGAYRKIPHQVYSATLETCPKERMDIYFDAVRQIDLYSRGRPPQPARWGKILTDLP NVESISRGDNTLYRSTELGKFIYESEYTDTIVLGEPHPRLRYPNFIPKDWILRPILHI TISGETTIPGIEERGRVFKDVLSTRVKALEGQLKTLDIDIALPTAVMRQTLQELRTYG CEALQTFSFIQYDEEVIDYLEHIPESVKDLDVQSESFDTTYIPILELLNSNNIIKLRH LEKLCIRLTLPDFAHISSVKLPDLTISQDELPLRFLGNTKFRLTFPNDHPLDKPDQVS TYATFLRRLAIRMFPLLDPRDLSHLGGPRPTISSWSDTAGSKASLRYDAEHSRVLNTF FSNELSRLIREKVNTGTTA I203_07982 MARSMMDIEDELSRLWCLVGELSDQLAQNRALVTQLKSRSDNVK GQAAHVGTGFPLRRFNLDISNEEFQSELEAFSSHLVTENQQLQHENKQLNALLKEYEQ TLETVMGKFRGIAHSSQQHDLSLHSYYTSLLQTLQTAHSSAQLHDSTSLSLLLTRLST LLRSALRSMGGEDSDNDLANLPGLLNLVNHPATISEISSSPKSTISNLKPFPKAPNKP VHFPGFIPGQSGGYNGTEGQSDWALEREMEILRLEEENKALRDLLNISQDLKLPALGD QDIIEEGKEDEGVKSPEGERSRKSSLTIEELEAGAAMEADQLAETNKIRDSNTLHQQQ HEGLEKDHDEHIESDQQQQQSVPTTPQEIRESVLGFETTERPPEEAIVDEPDAEAMDP NGE I203_07983 MNTVQGLQTALRLSTSEKIKDRTQGIELVREIFSNRENLNAFGE TARKEGGAAWIAFYQCLFQTVVMEKKLVVKPGAASTADKRLADAISLIRWMAERTVHM ISKKPFLVLFNHMTRLLVFSNQIFPPAALDYTKALRTLLSYPPHLESLDQTSWKILMN ICWSAVLGDEVVIDDTAWEDGESVEDEEEVNGGMDIDGYSTQVGSSRRSGRSTISQIN TELLTLVPILLSSSTAPLVPPLPSKDNLSASIERPGYTLLLKIHRFLNQYFTETSAHL SVFRSLNILLTELELNCRDDTLSAGLKLLPQLVSLWGTRNKALREQVLIAIRILLPFV THKTLVESKHATGVRDTLEKLMDGLSRETINKWGIDALDIGVLRLKSSGSKRGPFELR CIGAGFDFNHEHAMTWAVLELYTDASLHLYESDSLSHPATPTRNGAPSKRRRTENYIN SLISSARLGTVKNRLLALQVIIFLCDRHISKLHDEAQLEIRRTMLDLLDEDDEQLQSW AFVGLSILAKISHEYLQDDQMESKYSLDSPSSRLAQRKSEETDWKKVWSHAIRKSSNS NVCRAACHAAYTLLQVDKLDSAQAIKDIHSLLRNVDIQGPVFPYDSVCALLSIALEMA KSDVRLYSLGLENKVLSWLEKCGLTEGPRGTSRKEQQTPADIVRLLASIAGFRHHPLR EITIDEVLPDAAIVDRILEETKTKPIRDFLLYGTFPKKPMKDGDTGQSTESYAQALSS ESLAYLKGRGRRISIFLSSTLSALATDWESIKGAAVPAERIRRCVDLIVIALSFQATI QLNGSVPDSDCIHSAIRLLTAIQPTFLSNGYSIPAQHLIWRGLEPLVNSAVIQEDAWQ LLIQPDTQSGIRQDLLPAYRYDTQMTSHVGDRNNVNESKAESGPGPSQTLGLPSQIPP SGSYNFPPTPITPVQSILNRPAPHRLVDQIWLLPTVSAAFKDIFSLCLQLVSNLNSSS AYTNGNSVPAPGDIDDDDFGEIRNVETDAMPLSKEAIECQRTSASLLNTAIALRLKGY MLVSNLQRPYKDPQLVNALLVTEGSRFLEIGKALCVAVNNRWLRLGGDAVELVMDALE EMLRSYAYSRDTGLLNLCLIFIRCSMPVWLDVDGLKSDVGERVIHLVCYFASRIDKGT ITSWKVKMGMLRFLEEFLHCDLAKDLWLQGMQDHVETDEEADNSDPLAYLSGSLLDVD ARVRLRAVTSASSVFYRAILPLNRHFAFYFQVLNKQPGDDKHFDSFVSHTLWKLNCCI ATAQQRSAAVFHLYEIPSITSAYNGHLQSGLKAVSSRLGLSSISELYLPCAIVIIRSQ LLGNQLAMKIPHRLYGFPTRKAYSQACLQQVGPFMLAEGYIDFYTSACEASGICVEDS IDQAFASTAAIVYSKAFNPDTAAKQSVQDVMELLSNLPGGDTKKGLNKRLEASIDRIA AHLWELLDLQLSTNEIAVILNEMTSDQSAGSLYLELMTNDTLPIGNTAIDPFAAAQNI IAAYQYLRKQHSSLSTVKMVFNAIIRLTSRINDVFLVSEQRRSLRSLSLLVVLHPEEF QHPTILQAFLREMLAILPQPDICGIALSLVAWGFRQLNAFKGSISSLTDLFVQLGSAR IALSETSQLGREVGDGLEDWIIQSARIWTDNENTKVAFQTALALWPETLRGKMTEPYS PFYTDLVNLSKSRTMKDAGELCKQYLRVIKTGTRPEAIETFVQSLFWHLKGKLMENSD MDGINAFLEILYLANGQIRVPPLDSMKLFSSYKNSNLTISSSKQIKDPEATLRSSIVQ EVARLIDEPSHQTRSMAYRALQGMLPMIEDLVSSSFLPPNVLGQISALTPIHIPAQNG DDLALDECINQIAWIKHSRSADHWCRELVKILCQVVSTDDRFYQSLQPLLNSSTVSLR FLLPHLIQASLTCGASTHPEITLQRSKILSAHFSMVIQWPSASLGTIHSIIDTILHLR HFQPHYRNGELAYNAWLEIDYVLLSEAAVKCGAYATALLFLELAGDQEGKGFEEGDKR IQKIMYEIYSNVEDPDGFYGIQNHDVRDALLRRLEHEGQSQRAFGWNGAFIETASSNT SNTFLPALHNLHSFGFNRLASSMTHQTGQEGVDEDPFFFELAWRTGDWDLPMGEQLSR TPQGSLYSALRAVHRERNTQAALKIVNESIKVEVDRLSGLGMERMAQIKKTTTDLLCL REAAHWLDNDFQSSLENASNNAGGIAQVPVFANVDRSFDFTNAERLTATQLSLLDSGR QRESKNTLGDLLSPKAELLASLQKTCHLRLAEMAKNDDNIQASVNAITAVQQLEMGRT PSDEAQDAFSQVLWAQNEHGLAIQHARDLVHEAQSRKPANPGRLAVLYGRIAHWTDLA KLKAATEIKSIFDDAWKLAFHSKIPNAEQAKIYYEYACFADDHYTNLSKSSELERLKS YRERRAQESHILGSSKSSSRRESSSKPSKAAQEDEEDERAIKGLESELLAYIKMALRM YAQALALSDAHDDSITQLVSLWLQHDESEEINDSFKGTLNRIPSYKFVFLGPQLAARL YRPTTPTKFNANLNGLLLKMSKEHPYHILYQVITLAHGVSPPSSARRKSTDAENQGRG PAALEILNVLAGSKDTLAHQAAKQMKIFVVASVNWSRYEERSQSQSSSDNGGGSRKPK AGSQYNLPTNCPLKHLTNLRIPIATSSIPIDKSLAYMDIPTLERYGSTYMIAGGVHRP KIMRVYDTNKKQHQQLFKADDEVRQDAVMEQVFTKTNDLLTRDRQAKARNLRFRTYNV VPLPERTGIIEFVEGTRGIGEWLKPAHMKYRQGMDISPAEFQAKISKIQDRDPKSADL PKKYQECMTQFKPVLRHFFVEKHKDPMAWFTMRLNYARSVAVTSIVGWMVGLGDRHCS NILIDQVTGELVHIDFGIVFEDGRKLRIPEKVPFRLTNDIVDGLGISGIEGTFRRCSE HTLRVLRTSSSLILTVLEVFKNDPLYAWAGDPDKLQRAQGGLALPIGELIMHDANVKE KADRVLNKIKNKLGTGLSVEYTVNMLIQEARDVEHLARIYHGWAAWF I203_07984 MFIGRTTIFSPEGRLFQVEYAMEAISHAGTVLAVLSKEGIAMAA EKKVTGKLLDLSLAPGGEKMGGEGTEAWMGGGGEKIFLLNNNILAGLAGITSDANSLV NFARNSAQKHLFTYDEDIPVEMLVQRLCDMKQGYTQFGGLRPFGVALLYMGWDPIYGF QLYQSDPSGNYSGWKATCIGSNHSSATSLLKQDYKEELSLEEAKSLCLKVMSKTMDST KLSSEKLEFATMTLNPTTKQPLSKIYRPTELDSLLRDMELGGTAEDAVGALEGTGGGG GGNVAIST I203_07985 MSITPREAEAYELPSLRAESSSKGYDEGEEISQEDARLLGSSNE IKDGGAEGIEDDTATYRRAVEDEEIIGKGSNIETLIARTVPSTDDPTLPTLTLRVLVL GSTFCILGACSSMVFYFKSNAPQFSQYFVILATYPLGHVLANEKIVPREKRIFGWELN PGRFSIKEAILVSVLSSSGAAAAYAADILAIMDLYYDTPVGRLPSILLLLTTQCIGFG LAGMLQNLLVNPPAMYWPSTLVTVQLFTTLYSSTSTALSTAAQAMTTKRLRVFMIIFL ITFIYQFLPFLLFPTLTSVSVLCLMDNESWWMRTLGSGYSGLGVANWSFDWSSIGSSG PLYTPYWALGNYFGGLAGMIWVIMPLILITNFWSARSFPSPVSAGLYNSTFQKFDVTS ILKPDLSLDEVAYETAKPLLLTPYFAITYGLSFAALSSVLVHVWLWHRDEIKEGAEIN TANTIRSKLMRSYLPVPSSWYVGLLGVNFGAAVILVKTTPLQMPIWALVLAMAIATVS FVGIVAAVSNTQIGLNVLTEFVAGVLMPGKPIGNVTFKCYGYMAMSQALALTSDLKLG WYTSIPPREMFACQILGTVLGALANYVTLESVLESKRPFLNGSVVDPTGQWTGRAPAI FYSASIIWGAVAPAKFFSGGYEVLYLGFLIGAIVPLACWWGHKRWPGYKLNKVVFPII CSGATIVPQYPTNIILTSMIVAVLSNSYFAKKYPKTHGKYIYVISSALDAGTSITALT IYVLFGGVIWTWNGPEWWGNARGDTEHCVPGT I203_07986 MPSEVTDQEIEREINTLRNLRRRSITSAGPGALPLDPDLPPPSP NSRPESSGSLYDTNDDITLSTPDGLSGEDAGLFWVPAHLHPELAPGEFRAFLKSHTHP DPTHADAAEAAEAPGLSRSPSWLSRNPSRRGSEGLGRKRSMLSRQYQPKPGDDVENEA PPLPTRRPASIYGGRSGEKGLTLNDLQKLEELVDEADEVEDDPEAMRTLLRRSLSMNV APGFLQDDIPQGGDTDDAPLIPSRPGSILRRSARTKIRGKASLSGDGGGHRFAATRKG RMTAAPQRELPVFDDEFDYSDRVKKLSTGTTSSMGGSDHSHEHEDKEVFHDSVQHLEE RRGSDESTDEAHIFDAYARDSRSSSMSSSSRDHSTSPSPEPSPPGKKLSLPPVITTTP YQQDGDWFASEHDHDRTPTQETVRDPLAGVRRTSPGAEMQLERPAIPSLINSGSNLQV PEETSMSTPQQYQSQYELPPGMAPPAQRSSLPPGMALQQRQEQQQQAQPAPQPVDQKM TPVNIETPNLARTESGVSSASSTASKEKEKQKKGGLFGKKDKKDKDGKGKKDKDRFLG SLFGGKKKQEEISSVSNFSSAGPAAAAALLGSSKSAKSLGVPPSPSPISPGFSSYARY PIHVERAVYRLSHIKLANARRPLYEQVLISNLMFWYLGVIGRNVTEEKKTASTTDPEK SKEEVKAPVKGTPPKPADSGSAGKPLPRSNPIESPAPTPAPPPIITPVSTKKNSLSKP ERARDGRKNEAPMRAPSYGMQNAQVDHEMRSQPVNKQSPPPRPPSQQSIPPPPQNYSP HQQPPRPLPQNAPQRAMSQPPPTGPGPRSPNEGYHHPQQQHRPPANIRGPPGGVPQSS FGPPPQSNGSPILTGLDDRRDTRQRTMSNPTHSHPLPPPGPNSGGMRRVVTDGRGPPE GQGQGQVRPLYPQHSGPQPGQIFHHPGSNGGSASPFPQRPNPTSPGPQPGQLFSQPQP GQLFQHPPQGQRPPPQHQGYGGPGPGQRPLPPPGGLPPQQGQESWEPPQRLPPGAGPP QGYNNRPPPNGIGVNGPGYDPRRGLPGQPGPGPQQPYSPQQAFYGQHPHPPPPRPQSQ PQVGQVYGYQGGGYAHHR I203_07987 MNNKTIFLSLTSLGLGLGDSWAVKIPKDLLIGHLLSDGKDEDIG QKWNKAFWMTWPLRLEGRSLGSIVRMIRSRSNEEVEERKEVDMSEEGYKVNIGQTVVN GLFTIESIDQIPFHLLSSPTSKSTSTSTQITYSWGNLSPASPTNPSSWISISIRGGYH TLSVLSEPYLNSQVHSSKEKQFSSRSDSGNDDYVYLVFTAQGISSTSSSSNDQPGNQG MFDKLFIEFHRTYSRVLVHLAIRQMDLSNVSEKVDRWP I203_07988 MDLLEKAQSAYVLISLSSIPLMVLAIVPHLFPYHLSGISPTSRL TPPTISLAIWTIATCSSTILDRYVLKKGQAQDLFCSVDAILIAALTTGMVTHIPAIAL YRYRSLPTPSIPNPVPPTKLRNILCLTIIYAYSLLPCVPTLSKSISRGFSSSEGLAKW WGFYCIASGDWFRTVRPLTLLAPLVLTVPITLLIFSVLYSTSGHPSPHIHPTAKHWAQ ASMLLLISAGIGGFVVIEQIVGWNDTWLFRGIEALSGPILALTLLFNLHTWHAYSCWI RFRRPPTTSTDNLQSNAPLLSDLQKKRSSSFYVDKLEIIPGSGPGLVTKRSVRFPPTP QQAEYIPNRQMSTKGKKGKIESLLPPPAKRKSITGTGFLKSALTNHTLTLNTERERER EKMDLGMDLERGLHPHPHSMNENHSPPIQLSPEIVVRDVPEVIIQEPTPIHFNRPNRY SSNTNASSPFQYQDLVTYYSTAPSDIERGERASSVFPYFDSVYTSTTLPYNSRGSSTL DKLRREGSTSTKGSYNSKTGLGEMIGGIGKPPLPTIRAMTISNISHVDEDDSVYSQLP ASIAIPGPRPTIARHSTSPTLDNPPSETSSIYSQPSISDSYRVVPRPVHSRNTSNYSI PTKRALISTAERREDDEEEMIKFG I203_07989 MTRTKKSAASSKRVNPEKRQQAAAAQASSAAGPIDAASLIDKSH TLLAQSNFELAIKFLDRALELEPSNVEARELVGIAELEAGDEERGREHLLQLFPPHAA EPPSHPSPYLYLAQSASEPQEALGYYSTATAMLEKRIAEQDRKGKGKQRGAEEEDEEV DEDVQMAVTALVAMIEIWMSDLCFEEAAEKNCDSLIQRALSISPNNPEVKLSLASIRM SQSRFDDAKKVVISLYNDLEGREPFDPILPALPVRLALSRLLLEHSLHLEALDILSTV REEDSLNIEGAYLEGWALYLRAEAIKENPSLLTTTTTIKAEDGEDDEPMSVEECLSES MRSLVECARLYEDQEYVDEGIGSHVAELLEELTKNGVVPAIHDEEDEDGDVEMA I203_07990 MLRVSKSAFQSASKHLYREFSFEHYKKLEQGCSLEVGDITTVGN LHYYLSNVRILSLSTSSKNIEFSDWTKLFKTFPHASRITKYDQILYRGFNISTLLNNR PTRGSSRTLAHHYGRVKVPIGWQENVSLCVQVSANCGLTIKDENQKERTFRQDMMDQM GNYDSFTLTKFLNYSPVSNKIVLTIIRELDQKRLLEVTCLSFLRLDQDMLEIIRLLSR SLLTVSQHPKDWTETGVTFEQLLGLLHWDGLRKLSTVDFGSYRLRPSSRFTDASIPDS KIILRGYRVAKGSSTYWSFMIYLKCFSVTDIDAKTSKSAERYARESAKVSRQYLKTEK TTLKLSVTMVHQRPRYRYETSVQIDKAFEKELSGAQVAEPDVGS I203_07991 MATVTFRSDFAPNKLTELHDMVWSMPNIRTEIMLYLPSKDINTL LTTSKIHFQGAVEKLYRIFPYKEYDETIIRCRNKSRRSIYLNAVRVIDLGPYASCTSP TKWIRYFGPFPNARSVRGHVSSSTSSRAWTFESKDPSVLFRSSENGQHWYTFHERLWS EFTWDIAHPLDIPKTSMKTLKAWIYKQCVAIDMCDSSSSTVSVAKDQIRASLLDRAGS GGIVITSFVLRPQFLSEHILEICQELDTDGLFQLERLLIFDMDEASRRIIHRFAPDLV SLCIDLDDDAEDPMTLKRILGLIDWKRSLNLKSLTIRCSAGLQHVQDSSLQVASSLLA LPGQSLEGVDHRSLSACMP I203_07992 MTRSGLQQDVISLYRQGVRNAMSKTPESRPNFLLHLRYNFRHPQ LRQRDYTAIEHQLRKMSRTLEMLSEPSTQRISISPEWQQWWDEEVRRSRSRPSTSAES ASRTGLEQAPGGGVKAKEQVLKKEQSGRDRDQWGGKLPGHGGT I203_07993 MNSVLTQLQPSAPHQSRLEEDDEFEHTDAASWGSMAGFGNLSRT GGVQGMSVPRVSDPTSFLDMHTDNMSSNPEAKIKIAHGTTTLAFKFQGGVIVAVDSRA TAGSYVASGTVKKVIEINKFLLGTMAGGAADCQYWETYLGMQCRLYELRNKERISVAA ASKILSNIVYQYKGMGLSMGTMVCGWDKTGPCIFYVDDDGQRLKGDLFSVGSGSTFAY GVLDQGYRWDLTDEEAQELGRRSIIAAGHRDAYSGNTCNLYHVKQDGWDFIGNYDVNE LWYEYEGKKKAERESQNAAAAASPMAVEP I203_07994 MVSLFRWLSKKYPKIVNRVVEDTPKKVRTQDGEIEEIPVQYDGP NPNGFEVDNLYLDMNGIVHPCTHPEGKPAPETEAEMMVEIFKYTERVVNMTRPRKVLM MAIDGVAPRAKMNQQRSRRFRAAQEAADKAEEKREAIKMFEAMGHTVSEETQNQKHWD TNAITPGTPFMNLLSISLKYWVSHKLSTDPGWKNLKVILSDSSVPGEGEHKIMDWIRR QRSHSTWDANTSHVIYGLDADLIMLSLATHEPHFRVLREDVFAQGNKGPQPCKNCGQT GHLTANCIGEKKAKDPNVVEVAKPVDPKPFILLDVSCLREYLAVELNVPGVPFPFDHE LAIDDWIFMIFFVGNDFLPHLPSLEIREGAIDVLLKIWRAELPRMGGYLTNHGKVNLD RAQVILEGLAKNEDEIFQKRKEDEERQEHHSKRRRIDDHRRQNEAKGNGGGQHTRFDS PPKGTMQLNGQEYVAVQPALTARGGPLHPSLPTRPAFDLVPKEEADKTQTDAVKKAMS NTASNSDIVKNRRAIRMANLSAAEALKAELLGGDAEPETVTVENTEDEEKEQILKDPA KEILEEQGEDEGVDEEIVQPALVTDEDEGEAPIGDETIELDEGVDESPNRPYKRKRTK ADENDEEEAEEDDESSSSSSDDDDDAPPNPEADQPIPKKKLKVNPDGTVDGYEDDVKL WEPGYRERYYEKKFGVSLSDTEFIQQITKSYMEGLCWVLEYYYQGVPAWDWFYPYHYA PFAQDFKDIGQFKIEFEISEPFKPFAQLLGVFPAASRIHLPEPLQELMINEDSPILDF YPEDFEIDMNGKKMAWQGVALLPFIDQDRLLAALESKEKDLKPEERIRNRWGDNIMFV SNQQEYGLSEVCSEMYGLKLKDVHMPVPLNPKQSDGATGSILAVPNYIPYSTFETPIP EIEECPDLTNNNSISVRYYFPKQAHPHRSVILPQYKPGPSRLNESDKDWVRRGGGGGG GHRRGPRQSGGDNRTGGPGMGRGGFHQTPQPRSTNGYPAPSQHDLARHGAAPSSGGYG GGYAPPGNAYNPPRPSYGKGGGGYGGYNAPPPAPAPYGGYGGGYGGYGGAPAGSAYSA PGQGGYGGYGGGSAYSAYNPPPARNTNPYAAPPPNPYGAHPRPAYGAPPPQGGYGGYG GTGGAGGAGGAGGAGRGGYNPYGGGNSAYQPRNGNSGGGGGRGGRR I203_07995 MAPSRGDGAEPVPLDLSYPSKLVQTLDSHTGPVNVVKYNHGAKY LLSGSSDRSIRLWNPSLGKEIKCYNGHAQEVLALDITHDNAKFASSGGDRAVFLWDVP TGSVIRRMQGHFGKINAVGFSPDSQILASAGFDAKVMLWDMRASSRDPLQTLKEASST VSSLILPSSPQIITSSHDGFLRTYDLRFGLLTEDLIGEPISSIKLSNSNPEESILVST TPTGSSGEAKGEMKEEDKPKLRIFDRKDGSCLQTFTGHDTGGLARWAMGWGYGESTVL AGDREGRIWGWNVLDAKSLPSHPKQVHKKQITCLEINPRGKEMITSSLDGTIKVWHK I203_07996 MPVRRNGSGSPHHSFSSPSGLFRSTNTSSSQSQSYSQSQLNSGK ESINQAQSQYQASTYDTSSINDTSSMMRPENNSPEQKVINSLVGRIVNKLPCNSGIRL AIMEVDPGVQATIGSLLQLSRSRVSLIVHSLMGALETLSKFTSPSSLAETSLDTLHSQ LYLLHTLNLCLSTSWQAHSASSPPPQGDLPRCWPDPYPFEEVLARYMLGVMLIYTRLV SLDSGIHERSSPAPTKDSKGLGTSSTTSSTRSISSNYITYTLGTKFIQQHSYPSSSST TQTQPIKPKMLSASCSTPFTTITQMTKYTSRVIFYLSASNWNLVLSRIKNKIGYLQTT IDESPDVTEIKLLEWSNLDRTRLSQTIQEVSSTFIHVKRPAQTAISNSLRKGIWNWIE IHPIEYEPLITSNRRMEGVENLFEILWSLTDSGFTSSNARRTRSFYPLLGMLLVLLPD LFARLVTSEVAGRSSNAVQAAFIDRVRKGLHSPKSMETCASVYVDMIKAAMALSPKHE HSGVRSLVHDIQSDAKHALFGSSNSSEVLDKNVIVEGLVSLYRSDPESISTSLFPKLL TSSIESNKIVAVKACVVIAVEDQRLPWFSPLSDLRRTVAPSLRAILKVRQLAYAQSLS LRRRAAPDLPSGQTDLVTEILTLYSIDPEFAFTGCTPDQSSSSSDSLNQFLLALSSLT VIPSPDLLTPVASRTACVLIDELRRKVVADKELEALATSAGGAIWQILLDMGRQTLFA FHSANSDEVATAMTALRETSTAILRLAEEDATVLFPSSMAQPAAMVVSVAGFTICVGP DNEQISLTLPMLSVFGKLTRMAHMSSMGQLTSASSGLVPDKRANAFEALASLPVSIGR QQQQRQIRRTLRPLAISTPFTIGLWVGLAAVAQKLTAKVIAAEADTTMSSRDVRRRGL TADIDGLDEEGSKEWQNLIAWLCACCSVANYDTRPPPSLCDIIGKGILPPAYDQSIPD PHVPVEAFVKQCVDLLVSSSVNVRETLKNALGSELPTNGCRMIVGPMIKLLSHAISPA GVNVSEPFTIFAEQAVSVLRLLIDRMGPNDDVPIVQVDLGELFYLLAQYIHRLGRGDS ALRLKSKFCHLVETALSKPDNVSLSNGAIFRNAFLDWMSEWSLESMRDNDVYVSGVET TSKAQRELDHACLRAMVPLTEGLVLKSPAEETDNSQGKLKSRLFYKHYLHLVKVLERS SFEEAESVGYTSSLHGNGNNTSKEMGPNDTPTLAILALSNLLSANIDVGLKHCLSLGY HEDPVLRTAFMQLITNTLQQGTRFGGLSNHRISTSTKNYLDLLAGPNLAMALAMVDVC PQSGNEVDELSTLLFRVFEGKGALLGLMRALIEREVALTNHESELFRANSVTMRMLTI FAKTYGYNYVRATLQPLVLSLIEKPAECSFELDPSKALGTEDIDKNADHLRLMCQALL DLICSSTPRVPLMFRALCHHIWELVDDRFPDSRHSAVGSFIFLRFFCPAIVSPESIDL DVNPDTRETRRALLLITKVIQNLANNVVFKEPHMKVLNNFLSDNIRQVTKFLSDIAVS VYGSEIVKMVSFRCDGNTLSQRAAEVCHRLVFKHKGRIETSLSNMPKHYRHAAATTKS ARTEFDGKGALDNLQKIMDKTGPPPTTNGLSASAKSQVYEEFMRHNSGRNTDSVADAF YEGPASQNGRRIFYFILSRVALVDYDVLAYHVFSILDKITDFFDIVIDLTDFSPANEL PLPWLKRSIQMFPPGVLPSIHTLAIYNPNSYAKKRIRRLLTTVEAPAIGKNVVACSSP SELADSIPFTSLALPEYTMALAYEADHVFTNLLCVADHEMQVPVVVKLGHDSLQIASW KKQELLSGIRSYVIDIVRLQDIDDIIVGTGVPSDHLVIKHGQGDTLIFVSRRRNEMAQ IIRAARARLREGPTSERALRPSDVPATLLNVALLNLSSSDGTLRMGAYILLNELCQFF KYDLASRVLKVSAGLSIPNNSLAFVGTVSKALAASVPHLTLEFLKEWTLGFGKADTPL KTACLHYVGPWLVNLDQFSRPTKEDGLESVKQVREIVRALIGITVTERRRLHLAIQEQ VWAILTTSHESLADIVIGELIHSAVDAGIGSDKAECIGDILVSVSSTAVRGKVIAKLR KTLAQTYLKPSAHITENAAWPEICSLSRITLILGFNPSSSLDTQLFLPEIFHIITLLL GAGPVLMLQTIFGLLVNVIQSLASNATSGDMDASALQLLLKRIQQPQIMAAFGLTQGH GSIELSGLPMKDETDLQLLERVEEVSRFLGEVLAAGAISIDCANAWRARWMGLVAATC FQHNPATQPQAFTVLGYLASDEVDDDLVYQILVAMSTTLSHFQEGDSVLLISMLRCLS RIIKGLLPDSRYAASLFWLAVSILQLGYIPLFAPALELMNSSLKAVSETTTPHSILCG KDLMDFILDTRRGITDQAKKLDQISGVSFETDVTFALVAIIYKGIRHPSTKKMTIESL MELLRLSAEPARPNGEDELLVSSGSIAYFIALLSTPIASGSGPGSGGEEVKRVFQAAG LNIDDAKLDLSNVAVFDMLSIPDNSTALLLISLVVALLNGSGGSDIEKVVLYRLLADA SAEMPEVVAMAYDILIPRIITTLTTTSNLSILKSTSIILERALSDPNYSLPSLSELLT ADSSTSLHHQHHGHGKIYASSISSSPSLTTNNGAREQVLEDLGMRGLNDLNFVQIKSD R I203_07997 MSRIATTALLLSILSTGAYAQSSAASAAASGATAAASAASSGAT LAQTGTDADQSVLTMTATISAPQGFSIPALSELTSGAPTDTTVALDTTYTAGATQTAL SAAPALPTSALTIANYPALDVVPPTNSSEVQEWLSKIDMSKVPTYNTTTGDCSTDPTA ITDGRCWWTCGGCTRDTDITECPDKMTWGLSYDDGPSPFTPLLLDYLDEESIKTTFFL VGSRVLSRPEIVQSQYMAGHHLSVHTWSHTALTTQTNEQIVAELGWTAKVIRDVTGVT PNTFRPPYGDIDDRVRAIAAQMGMTPIIWTSYKEGVTDTNFDTNDWHISGGTATGASS FQTFDTILNEYVPKLDTGFIVLAHDLYQQTVDLAVGYVLPQAIASGKFQLKSIIECLG KPLSEAYIETSANATTTQVTSASDGSTYFQASVGTATGSLASITTGGAAASGSGSGAS GSASASASGSSGSSSGAETRFVVDKFVPAVVAFTVVALGMMVVA I203_07998 MLTYTNRLYTKGRILGHKRGKRNSRPNQSLVQIEGVDSKEAARH YLGKRVAYVYKAKREINGSRVRVIWGRISRPHGNSGVAKAKFRVNLPAKVFGASCRIM LFPSTI I203_07999 MSLSSSTLSLKFMQRGLARSQPPNSQPSTPNTKSSTSDAVSSTP SSSSKPSEAYLSASASAAARGEKMVIQNEEEWFIPPSSSRVQNNGLIHSKNVSGPVFE SSYVPFLIGSSSDAGPSNAEGSSGSGGGGRMTFGGFGKDKVKLKVEKEDDNEDRDEDE DMDDDVEVEQTIKERKTKIIKNEPEKSQRPRTFVKPAISPPPQSSNHTKTKSQQSQNQ KTTPSERPMSMAEKMRQTISSSRNSPSTSSTSNTNTDSPNTYTNTNPNSNSPAPSSES SKKTKNKNKNKRPASERESSAGTLTSPLISKKKIKTEPQSQSQGQRQGQTMSLDEREK AIKAQKKKDKKKAKVQAQN I203_08000 MSLSPSNISSDLSHLLSLPVATLSSLLDGPSSSAPTFNSSKPTS VKEPKSIEVLNDFSPSKANPEESQRLIKSYIREIHDSQVLEKSGEIDRLGERIDGLRE RGQGLESTLSEVKV I203_08001 MVYIKNWTDFETATTDLYARSPSKVRYCVKFVPKSGQLVLKVTD DVKCIKYKSHSSIILNRFESLNLRLLTQMSNTRPKRLPIPNPASGLGTPAVETPERGG TPALEEVASNDKDKSQGGPGGSNAGQAAKSGGGGGKKKKKGKK I203_08002 MSGFLNSIGRLRAPKRSPLNTPTSQTGFFEPLPSPGAEFTQNPL TSGSPQPKPLYLCQPFVKAALVKGSFKTIVAPPKYVDVNEWVAVNLFDFYHNLNHFYG ALTDFCILQNCPTMSAGPTLNFLWPDQNQRLVSLPAPTYIDFVMSWLQKLLDDENVFP TKSGRDFPNSFAYTAKHIYKHLFRIFAHLYHQHFEQILHLSIEAHFNSLFAHFLAFGK EFDILVMKDLMTNQGMGQGVAELSEKWREMGILEA I203_08003 MDKGPSALERVWSIPTLHDAIISLVPPECIPNLALVNKSFFEPV IRHKYKSCGQHDYERVMKKCKAQERKLLYRNSIKVLRLEKSTLASHPARWAKLFDSLP NLKTVTYEEVELSKETNNDVCGETSQSKFSFEYSYSYSESLGMRTDKRIKRRVGIPNA YNQKMDYSLAIGPMLIYDLLVQGQNGNPHIQMEIKRKMLERIRFISNSPKWLTICVPF ENSHLLDIYTKLIQEGFQTPDAITLTSCDESLPDLLEIIGGRLLFLSILTWRNEPNQL TFESLCERVGWERLEKLAFLNVSCTRYPPSDDVLQEGFDHDDHDYVVNSDTTTTTLVG NRKALSGPPRLSFFSLSIVYPVDIILSPIQLENEKRYIRQMAHIVYQLTIRRILTKLD QYEEMIRLLVLHSMKDGDRGPFRTAVFNDEFNKVFLEAIKEKIEDGTRKMEGRGAGSG I203_08004 MPSAHSTSHKKGWAKAHAASPNLSNQSPLPKLKLKIRNNSPSAS TSSSSKAIRSRPPPHSKNFLSDDSSSDLTPPEDEDDDDNDEDYNENMITPRKGLHKNR QSPSTIINTQATTPIKKPNANEKKKTNLTNNSNSKKGKIKNEGKKKHPSSAANSPLVK TTGPPSREKKKAIKLEEVERRRARDAKFDVDLETTFGGDITPTPTLPHYDDGVSSYGD DESDLGDLTEDELTGLPAAFVGHEIEDLNYGAGHDADAEGQGMNFWDDTSSDEDEEEV YINQLSGSELDRQSQSSVDGMSDDSMSDSDFGSSSDDGMDEFRFPIPSASLFPISEEA DSPDGADPGLVLMENWDGQFVLVQPRHERSRSRHRGDKGSRTAGSVSGSTVVSGTDQA ALLIDPDADDGEFDTDEDSYWSGQSDEDDGGDTTDSMAEEDMPMLDSPALNELMEVQM AEAVLRMAVENGEMPLLNAGPSITVTDSTVPDSQTPALSTTSSAGPIPAPSSAAPPQT PAPQGPVMGTFHPTTDDPAQHCVIDGTGGDTKSPFTHRRRSRRNRDVASVASSKRSQE ERKRKNSTATNDPFSPASNHAVFGLNKKARYSSIPGHPRYVAARRAAEALCDPNDRET TPTDSDEAFSLEDMLETSVLMHEMEEHQNGNGHGDDQDPEHLRHMIRFDRVGVSTYLR RNFGSSGIIDQASPSGNGSARGNGGYVGGQLDDTLVGPMGGGRFLVSPVLGPTNHQQA RREKKKKRKAAMTPAHQQHQHSPVENGHIPESPMPVLQI I203_08005 MSAWESNDPLSSSSATVGSTQLSKQDNQGDHNSSSATATSTDLI NKLQSWGMPAYLNNLPSTHGEEEWESSSLSPLYARMLNEYFKIYNHSHDHEITKATIN LDPDLDVATDEPTKDKDKFRFGLIDERGIQMSREDPDISRSRKEAERYWNECVQQVLV KGEFGSSMARGIAALIDGTTVFDGGEGENGPEGKSEMEEYYKMRNDFKDWFLTNGDDG NEKAGSGSESEQL I203_08006 MIPRALPYVNFIVASSALLFQTTVLFPWHHVLSDEFERLKAEQA RQLEEHHQEKRQLLDALHLKLEDLTKTVAPELFKEAGSRIVESAKQNQNQQ I203_08007 MGDSAIHALAGSVGGCVSMALTYPLVNLSTRAAVQTKKEDLTLI QAIKKTIEKEGLSGLYSGLSSSLAGIAITNGVYYAFYEETRSVLLRRRSATSHSTSAL STGEGIIAGLIAGSITTVATNPIWTIQTKQSTQSVIETKEGETKKVKPGALEAANEIY EKDGLKGFWRGIGPALILVINPVIQYTTFERLVSLLLTYRLSRQSTTSTTSQKALGRS SLSDWDLFILGAASKLVATGGTYPYVSGKFRFEQAATHKYKSSLKAVLHILEKEGLSG LYAGLGPKLLQSVLTAAFMFVAQRRIYEFVKGLVNVAAQRKLAVA I203_08008 MSSPSSHTPSPALSEDAVEQSDRPVTPTPEDVAAYQQLMSCLFP PSSLPPPPAQPASTPHDEDDDKDVVENGVTRPMTKAEKQNAKKKRRKERERLAKAEAE SEVQAKSDGRNEKKDEGDAVVRFKLFSTCPLQDVSITTSEEDYPSIINPRYLPLPAET STKVHCIATESAIEVEHLTHPSSSRGHSSCTSVPLKTFAVNNSGMELPPMFVGTVSRH SRLGITNKDETQSKSFSTPMINLKDTSHNVGSRASVKKTTRRGRRKRPTVQARFWAPS PGLGGKARGYAWGYRDSMEGRREVGAWEGYVRSKDR I203_08009 MSEFDIPARPSNVGILGLEMYFPKRCISEDALEDFDGVSKGKYT IGLGQKYMAFTDDKEDINSVALTGKSSVVSSLLKKYNVDPLSIGRLDVGTETLIDKSK ATKTILMNLFAPSGNTDIEGIDSKNACYGSTAALFNVINWIQSESWDGRNAIVMCGDI AIYKEGSARPVGGMGACAMLIGPNAPLVIEPVHGTHIANTWDFYKPDLSAEYPTVDGP WTIAAYLGALDAAYSTYLEKAQRSRARAAKKLSLASSKEDQGIEQFDYVCLHSPYGKL VQKGHARMFYNDYIRNPSSPKFANVPETISVEKTKTYTDKVVEKTFVGIASEHYKSAV VPGSDCVARCGNMYTASLYGALASVLASAPEGLETGKRIGMYAFGSGCAASFYALRVV GSTKEIADKLQLKERLASMDVRPCEEYVTALKLREENHNAVKYSPQGSIDNIWPGAYY LEGVDELYRRTYAVKPVA I203_08010 MPPSPSCPHTYSYTPTQTQIQPQTSPTKHAHGHSHGIFHTHSHH HSHGHHIPLVHRHSHPSSPSPSHSPARSPPPTSPKRNSGLTLNTEVIKGGRVETKLSR SKSKGKGKAQEGEKDVKDKDRLVREVGSATISLRAGVVEGLDDDDDEDQDQDQDGYHR DDRNKGESSRMVKSNRQPSSGSGSGLIPSQQLPGPSSTDNAYTINIPSDARRVRKTRS NSLHYPSTQPSSVMDRSFYLPHYSTDQQYSLKPRSTTSTSISTKNHGSSILPLELGLG GDFDLSFGEAVRRGEQSSEMPLPKEALRVLCEAKENLGLGNGVKQGRKGSMGMGLFKE SRESVPTTKKLRDKDRQKERESAVEEHESEDVMDEIDRQHQQQQRKESRSKSRPRPKP TTTQSTTSSRNNSANVLPSSSRNGSSYSLRRQQSNENGIITGQSPDQTSGGMVSPSTP VPIRAISRRRQELMDEDVGYGSGSTSGSGDQDEEIPVGIAISSPLLRHHSPASASASA DLISSPAHISDIDQEEDSGWSTTTTESLSDEDDDEEDDGDRMWNSDDEDGADDTDATD DGLTVPLQPFNHAVGGHSSIYKFTRRAVCKPLVSRENLFYEEVEKLAPALLAFIPRYL GVMLVNYRRQLRAPTEGSMTPIDSPAQSHPSTPGLPGSRPMLHPTATSLSAQSTRSMP TTGVEIPEVSLDFNRHVVPDWLFKKDDRGRSKNSRMCGTSDEEGSKRTLRPSSARSQE FVRYTSNSPSSSWQSSMLGGSPHLKVNPLTTPAVPRPIQETSEEPSTPAPSPSTSFLK QHLHHTISTPTLPSRIGQLPSYNPSLSEIGVSGSGYNSPHPFGGTGSTSVNTKLKDHV FATILKKLRKRGMGLHSNRHDDEADIEADDEFGESTGTGRRSRSGNRMRRRSERRLLE GSGSMDLRSLSSAHEDESGIRRTKSDVVLTDRGKREQSVERGMFHMEDIGEDDDQHEN RLEMIKENRNRLPLGNGLHPMTKSSTSTTSIVDSPLHTETTQSQQHVSVPPSPSVHPD EIARQELFIFMEDLTGRLKHPCVLDLKMGTRQYGYDATPLKKRSQRKKCDATTSRTLG VRMCGMQVWNNETQSFVSRNKYRGREIKTSDFPNVLQGFLSDGETLLVDHIPIIVQKL HNLAAIMLRLDGFRFYGCSLLLIYDGDKEIQDHYRSVVRNENQIVNDSDNDNERLQKV NEEEEDHDEWAEHRHRPLKRIDQSDSQQDARRSRSVDLHSHHSHSHSRRRKSHSHTHS HSHSQGRPTTSGSGEHGHTHTHTHRKIRGEVNIRVVDFAHTTTGRDFLLFPKEHIDPD DKTLGKGYDTKFDEITGLPYARFPQKFPNRPDMGFIFGLKNVCESLKNIYETYQHECE SVDLKIDDMVIGENEDVFERAFENNEEDELST I203_08011 MSAIIITTTPYTRTHSHSRTRSRPPHSRAQSQIGTPVNEQTPLL LRPPSPTPSCTSSVSTYSSSSETLLRSPPLKDSISLARFCTVCAGIWSANFVFAFQST AIPTLAPEIGSWFEHAELAAYLGSGFTLASTAVIPLYGVFMETLGRTFAMVTACAFFG VGTILCAMSNHMYTLIGARIFAGLGGGGLLTVSSVIVTDLVPLRDRGFYQGLMMTIFG SGSMLGGPLAGWLTDKYGWHWSFWVQLPIIVFCAVIVSIFLPTPPIPPTHQSLLSGLA SLDWLGSFFLITSVTTLLLGFSFHTSYLEPWSAPIVWGMLLTSVISTGLFIYTETQVK RPVVPLELFKSSHIAAINTCGFFLSVGNQAFMYQIPVYFAVIVNTSTAQAGLIMSLCG GLGLATGSMVAGQYIRSGHSWKYLGPIALFPPIVGSIVAAMWDPAWTWWSYYATVLPC VLGYSTFLCVQLVALISSVDSKIMPKATALMYTTRSLGATLGVSIGGSVQLGALAGDL KKQFKGIDNGNEIISAILHSKSAIRLLPPSLRELALASYSHSLSVVWVFSAIIATITF INSFWIESSEVHKDEADDQLRKGLGGVVEGGFGEGLGESTIEGETRE I203_08012 MTCVLTTTPAQAPATNFSHPALLTGLASPPLTPISPTTTTTITK RNSPHVSSKLQPPEPSYAMLRAHHAYALKYTIAKLRWDQVNNLYLPGQDADWNHNEMI NKLEKELHNVQEAQKGLDQFPNCFAPIAFPKAHGPLTKEKFEENKKAEMEREKKINEE LEKVFPFLKRLFIGPLTKMQAKRDFLLREQLRDNDLEDISMLIPTENKKWLMAQQEKK QANHPTNRKSYEQQRYEKEKQKVAAHLEFLAKQKKEQEEKEPPRKPLGPLTREEWIAT LPVYGPKTYDEVMLPSQREQRHNIISWLQRPWGTYNKKASKAMEALVELAKESLEEEK VLREKLRMRKEELKKVELIKALEAKKAEEEEKKNAQEDGQGEEGKKAEQKDEGHKAGE EPAKVAEAKKE I203_08013 MDQVAILNSIVGQGPVPPLEGKAFATDKNIGLPNDQEKVAVGKP DESFLHDNISEEGHIIRTGRDAADHLLSIRDDGDPSVIFRSMVLGTIMACFQASMNQI YLRLVHCFILYFLGNGWAALLPRGDHLEKRWRAKHGSGSLPFYIKLAKFINPSAFRLK EHFIAAISASAASNGAVGISVFTVQNLFYDIKLTPTTVILSTLSIGLFGYGLTGIFRP ITVWHVEAVYWTNIPTVKSLRTLHWDTIRDSKPLRVFWYSFGGMFIYEFFPAYIFPWL NSVSIPCLASMNATGEKGAILTNLFGGSLSNEGLGILNISFDWQYITSAATSMPLKLQ GNYAIGIVVCWIAFLAVYYGDAWGGRSLPFLSISLRTADGGRYVSTEVFVNGVLDKQK LAEYGLPKVTSTYVWAMIIGNAAIGGVITHCIIFWGKDIWTAIKNSRKGVFDDRHHNA MKRYKEAPWYWYIPILVVAFILGLVVVIKEDITLSPGSYVTALVLGTVVAPFSTILYS RFGNGIATNQLMKMVAGLTSPGRPVANLYFSAWSHSVISQSLNLACDLKMGEYLKVPP RAMWLTQIYGTVLGAFINYVAMISVVNSHRELLTDSNSGSSTWSPAYFQSLNTSATTW ALAEYLYSKSGQYFIVPLGLAIGAGAVILHRIFVIFGPRIGRVSTSDLNLPQFFAYAG YLGFNQTQSCVIFTFDGGSLFVLFILSFAVFGAGGPSKPFPTWWGNPEGNVDHCPNVE I203_08014 MRIPIISLSLLTLAYAYPAPLPTPTDTALATEGLTNSERLAKGL PLNKPKLLFDPTRIKTILPRQSSLTPPVQENNPSNVITSAPSTSSSSSSSSSSSGSVD PSVSLSISGSSSINSSESASASISASSTSSSTSSSASASTSESSSPTLKPSNPIEAGP VVTQAVRASYYDASAHTKRDGASNGDLGYLWGQYAGGGVWALTDDSNLAAKFVFQQDA FGSNNNILINDTFYDPQYDSPHLGASWENWSAYMNYGYSSPKNNLGGDDGNCIGLSRV SYTAPGFGRDQSSIWNSPTPGGEMIFEWTNQDGTVVQPNFYLMPEAGFPNIRVAVKGE DCGWPESQRIRLFYGDL I203_08015 MKSSFLFGLLTTVATFATANGDSLQPYSIYAYGINATFIPYGAR VTSLYVHDKNNTPRDVVVGYDDPAQYVEDTATNHTYFGSVVGRYANRIRNGTFTVGDT DYHVPTNEHGGQNTLHGGSQGYDDRNWTLTSHNTSSITFSLMDPSGYQGFPGAVLTHV TYTVTSPPRLTTRIVSVSLDSYTPIMLSTHIYWNLGAFQSPTILNDTLHLPFADRIID IDSILDPTGGLSSVKLPWQSPSLPLNFTEPKQIYEGALYSQQCGDGCTSIDNAFILDR PPYSSTEDASAPALQWTSADSGITMTMKTNQQSLQLYSCGGQNGTIPVKASQGSGYVE KYGCLVIEPQQWIDGINHPEWGQDDRQVFGPDSPPQILWASYDFTTN I203_08016 MPPQTALIVGASKGLGLALVKKYSDLIGVTNVYATIRGYMDDKE KSSTFPQGVHVIEDIDVSEEGVGDKIVEGLQGAAVGLVVYVSGILKPEEMGKANWKDE IAMYTICAIAPVFVVQSLLMSSSLAPEAKIILLTSEAGSITLRTQGEGGGMYGHHGSK AAGNMVGHLLSYDLKERGVSIAMIHPGFLKTEMTKDAGMEEFYDKMGAVTPEEASGPF VEFAEKLNIDMSGKFWAPSE I203_08017 MSATAVIWSPENSQEEFLVFVDDVAEYERWKAGATDIALSRFVG NFAVLKTSTSVGHTGNLGEVSKQEIQNVFFGGDKNKHAEDAIEVILKEGKIHKGDYSH SYKLTLNAGRGAGSVKGVGNTQGR I203_08018 MSRYAPISATSDQTPPATPSRRTSFHSIRSFRLTPNRNHTRGGI PDPDEMDAAFDGPDGEGEDDTESHGLLGRGRVHNAASGRDERMPGDYDFERDYTLPPS SPPPFQPYSSHNPAPGNTNGIIPTAPAYHLTPPQRRHFLGGLLPSSFLPRHAPNGSPA SRIIGAGSSGVFGNLAARPDTTNQNGNRDEGDYVPEDEQKEGPPSYQAALRDAVPPYW DTTVVLPSSSSPFGPLSSSMSGDEILIDGMPGGNFFGFFWNMIVSFSFQFVGFLLTYV LHTTHAAKYGSRVGLGMTLISIGLNLRSKAEDLINTGRFPSDPSDPDPPAGTPSVADE DASAENAIEAIWGPGGPWPYPVPEPNQPDGPITILHNTQEAETWAHRHNMTLAGFMGL PNAEDVGRANEYFSFLMMSIGWFIVLTSLGGWWRVKRFERGLKNAQRESEAAQAAANN NRNNPDGSTMEQGGEEENLETITASPTRNAEPRPTEIRYYTAPITQAWQGVRVLQRGF LGMNGRPLGGRNRNGHTPLNQDDPDGDLHDEHELLDAQGFGLGPMAHDNGGRGDDYPG RERRNNGGLWGV I203_08019 MPLSRLQLAAALMEYDNDSDLASPSSNTEITYRDHRQSAIFQPY QAAQAAARRHKILSQPPPSLPSPLESSFALNRENQNQNQRDSHEEGAAGYDEKGRPKS QNQSFRQSTISDWNVTTTPGSNSIILSNNSDLDRQEEVVDQGEGDDLGDVDVARWGLP SHLVTNDKSAGRKKPENTRRVTVNSVVPQPIPSPIGSRVRSIHVQDVLDDTDLHQQIM AQSGYNGNQPQEKERRRNYSYDFTSDALASAARVREMVENRETAAARPSTVMGVHRND LMGPGDDTNANTRRRQISDPRMIPLPATPGSLFDTRPLSRGRTSPPPGRMDDELENLD LEHEDVGGTEPSMPNPFALPAPPPELGSRFDPKVLQTQRQSIDDHDHNQRRSYSRESE QRPQPYSARSRSSFYEVTSPTEPHSGPDDQPLTSPPPISRIKDPERTWEDIPTPEQFG RPLMPKRYSTSAELQLNRLSMLRPKTLIMPSLLANERPPEPRQIKLPEGYTLGEKPLP AGAKTEGERPRSSYHPLSLSQKTFRSSLMVNGLRDEEFVGGTENEGEMGLRQRELDES AIERRPGKLYGRSLMDELEARKMAQKGKQRVFTGDSRPAMMARSTMYDPPTISFSPTS PPQPGSADRTHSVHYPGGAGRAPLLSSDSNGDIHPTSPNNLGVPDPQGRIAKSKSVFG VDQLWEKEMAKLKMIQEEEKRAAEFRRKMEEEKEMKRKSKKSKGKGKNRESFVPPPPF DIPKGTSTEDVLGISPIHRASDLPPALQYSPEKATAQRPEHTHDEEEEEDTEKEERRK LSLGGLFSPASDDENASESGPAPHDFAQTLNPLAKGTEQSDEDEDPEEDVPLSRLAPA NAKSRQSVFSASGSGRQVRTETESESDSDEDVPLSELPIATRSPSFTTRVTKPLGTSL GLNLPSSSSSISIPGVTHSSGRSPLSAEVDDEQAEDDLPLAVRQAKSKGLKPITKAEI IEDDLPLGYKHAEKAQAQMAQRQFEQDQENSRNSMMSFGNGPYHGQAQSWIGHPQMQM QMPMGPYGGTGMGMGMGYNPNMSMPNLGMGMNMNMNMGMGFNPYQMPPMNMSVNDFNP AVNGNKPGEAIDSWRKDVALAPVPTGDSSRLSGGGSIRT I203_08020 MGHSDLIIEEEFDPSLLGLEVPDHLLTPSQGSQAEVREDLLQNG VQNNRLDRHKIGNDRLHFVHNNPNPQSQNQNQNQNQNVRKHTALELLRAISESYIPVN SHNTTTTSSSSSFPIIAANGNQVHGKLHNVSPLINGISHEEPIARDFVDEVDHPSPHS VNGTHNTSWADDHHSRHDEQQSISSETEPTDSCLSTITSLGDTASYEETETKPRSYLK IIRVRIPGSKRYRQAIRVYRPPPSSTSIDDTTIDPSIASALVPEPEIEPEMHSQFQKD IYHTFGKDLIHKIVHQSKLDSTSGATFPSILRDLFLSLSDVHTTLKIVNHMRRQVDLP VLEFRRMNRIELRKLIAKMREGLYIDLVSALILPLAQNNPRKAGEGKGTDTEFATTDE EKVKVTLTPTNLKIMEKKLPKVFPGEKSLIKSFLSEDHRYAYGETMGHVVWQGGIGKV RGKGEGGFRGKEDLEGDTHIYVDHSNILHGLIQYLYANPSDALPPRHLRTLSLPALSL LLRRGRYTPPGSLHLVASSPLQQNLDVLVRLGWEISVLKRVELYEDEIEDSTSVKIIN KAQTKLPTATITCNAGLGGGVRRYKEQGVDEILHLKILQILNEKGTIPRSAKASTNTL VLATGDARGGQFNKDGFPGAVREAIKRGWNVELWSFTSGLSRAWKDIARRERWYEIGR FTIWALDDWAEQLVEVGEEDYY I203_08021 MTKDDSKEWDSLIKWLEEKHPGFERNLSLRDVPGLERGLVTSKK LKKGDILFHIPSSCMLNPLTLLPNSPIPSHLFPQTVSKSVPTSSLTPVQKKPRIGNPP RKLDTTQLLTLHLALTKDPKGRYKSDWQVYIDSLPITFRPWHPLTWIVSPFDKAQKEE WVWWNHLYTIGLSESTKMKVQDVKNRFEKDYEVLCEVLKEEEPFKSQGLDGIISKEDI LWAWLNVNTRSISIPLGLPGPSERNNHTLVPLMDFINHSSNESLITPKVKQLPTPSSS RTRKPSVTTKTSTNGLPSPPLPSKTNGHTDANIGLRNNAVLLPNKIDFQLSCPDRGLD ANDEVLFEYGGHSSSTLFAEYGFCEVPKSPIHQTNSWLDMKYGEVDLTSYIDELWDQQ DQEDKEEKRQVLEDIGCWGGNTLHAQPSPCHPSHSLLMTIRLLHLPTSSPKLSNISKG LITYISPQNETSTLLTLEGICKKVIMEATKRNKVLKKLVKAEENNEKVGVVGMLRAMC DEEKVICGEVLTRLEKGDDFQ I203_08022 MVNPNEDTEFNDALRAHGILPPKPPSRSPSPDIPHITHTDAVRA IAATADTDQLVTLLENENLDSDDERMFEDYRRKRMMEMKKQEKKGRFGSLEPLAREDF VKEVTEGSKISPDGIQEEEEDDEDEEAGKQRGGLKGTGVVVFLYKDSVPLSQHLRPLL QQLAAAHPSTKFLSIPAGLCIPNYPDKNVPTLLIYRNGEISGNVIAGMGLKGMKTTVR DLEGLLLYYKALERPSPALLRQQRDNDSDLDDDDDLDEHVGAVNSRSTNIRSGGIGVG TGRGKVDSGDEDGDDDSDFDL I203_08023 MAQRPQSSPGGELDFKDLVVLVTGAGSGIGKAYAKFFASRGAKV VINDVSDKSAQAVADEIKSAGGTASIAPGSVADGQQVVDAAAKAFGTVHVLINNAGIL RDKSFKNMSEQDWDLVTLVHLKGAYACTKACWPLFRQQKFGRVINTASAAGLYGNMGQ ANYSSAKMGLVGFTRTLAREGAKYNIKTNVIVPMAASAMTETILPPDMLKGLKPEFIA PMVGVLTAKNGPDVNGRIFELGAGFFSEVRWERSKGAIWRTDESFTPSAVAEKWSEVQ NFDNPEHPLNSEDGDMMGWAKTAKSLPPNKQISPPITFKEKTVIITGAGAGLGRDYAL MFGRLGANVIINDVSKDGAEKVVEEVKALGGKAVTAVCSAEDGDAIVKAAVDAFGTVH VLIANAGILRDKAFVNMDEKMWDQVIQVHLRGTFKCAKAVWPIFQKQKYGRIITTASP NGIYGTVGQANYSTAKAALIGLARSLAIEGSRSNILVNCIAPRAGTAMTATVWPKEFM EAMKAEYVAPVVGYLCSEACEDTGTFYEVFGGYAAQMRWQRTYGVSFPNDRELKPESI VAKWKEITTFDDRATNPSSGPEALQQIMANFSNTAGESGGDRESNEDPEDTPAIKEAK KHSPEPEEFTYTERDVLLYNLGIGAKADELQWTYENAEGFQALPTFGVIPQFGSSSSM PMDFVPNFNPAKLLHGEQYLKIANPFPTSGTVVNEVKLLEVLDKGKTAAVTVKVETKD KASGDLICENQSTVILRGSGGFGGKKNGSDRGSATALNTPPKRQPDAVVEEKTTTEQA AIYRLSGDYNPLHIDPSFASMGGFPKPILHGLCSMGISGKHVLKTFGSYKDIKVRFAG TVIPGETLVTEMWKEGDKVIFVTKVKERNAPALSNAAVTLVAGEKVKAKL I203_08024 MLSPKQNRKTMPYTPAYSQDVEKGLSSPTKIARRFVSRKPRWIL GGLILFGVVFYFYESGNGGPHGDLVPPPPPHHHGPSSPHHEHGQGHDWSAGDDYEDDD YDIDDDDDDDDDHDEDEDEEGGKGWKNWLPFGGKPKRPPPNMGYEPTKTISTEVEYEF IVDNNGDHYIQDWVQPIAPLHPDLSLLRPPEELLPEINLKESSPPKEDVYPDDKLRMI ISPTPPNEEIPRNVIPADAWSKMWMEERRPEDWKGHKGDMKKVQWSGFARGRDTWENG QQKKEREDRRDAVKRGFKHAWEAYKRHAWGHDEVRPVSQTPSNPFNGWGATIIDSLDT LLLMGFSDEYNLCRAHINQVNFHWVNGRDWSSGYITEDEEGTGEVYALPRDKGVGLPV FETGIRYLGGLLGAYDLSGDKLLVERAEDLANVLGKAFDTVSGLPAGRIDPGLPEGEM IHLSTVSIAEVGSMSLELIRLSQVTNDRKWFDLAQRAMEYLEERVIPRSTQTPLIPMW FQPDTALEHIQSGGFTFGGLADSYYEYLIKTYKLLGNNEHAQTWKKVYEESIDKAKEV LYKDIEVVPDRELFTIGKFENGRLIHELEHLTCFAGAMLGLGAKLLHGRKGDMVDAEK LTKTCYWLSADTPTGLQPEVMEWYQPHDEAVMYENITIDNLESYKPPISSEDIEDAIN NGGTIAGTHKDMSGLLRWNLDGTPVQPRNPATDPVKYAKKLKGRPTGARKVVGRGINR PETIESIFYMYRLTGDRQWQEKGWKMFVSWMQTAKVDGGISSVDDVTKQRGQLRFGDN MESFALAETFKYHFLLQSEPDVLSLDDYVLNTEAHPFLVNPILDPTSSTSHVRYWTPS PDQDLGVRGQGTNVQKFARLNVIDRIRGPAKGPPIVQHFGQGGPPRPVGGGGGKGMGG GGGRPGDRPKPPPGFGMKKIKVDVGEDGGAKFPDDF I203_08025 MMLLVLLGLLPYLASAVVLDKKAEAYVGSTTSDAFPPTGTKVNS DLFPGETGVGYPGVTATGIEPAAVQTAASYAYNTGSLSSYPLVVDQPEDGNQDIDISK YWGNLSPWYSVPSSFYGLNDTTPLAPEGCSVTQVHLLYRHGARYPTSGSAPYQFSGKM ANATKQQGGFNAWGELEFLNDWTFKLGAELLTISGRLQNFALGAAFRQQYGYLLNNFT EQGTLPVFRTESQDRMVKTAENFAAGMFGVPEYMDQVNIEIMIETPGVNDTGSPYETC TNSNVASRGGMGSAAANAFAKNAFNETIDRLQGQITGVNITSADIIAMLQLCSYETDA LGYSAFCKLFTKEDFENYEYFYDIAFYYNNGAGSPVAAAQGKGFLSEFVARFTQTPKP VADNSINSTLDNNSTYFPLNQSIYADATHEVVVLDTLTAMNLSALFSSGPLPTDKRTQ SSFKASQVVAFGTHLVIQVLECQNTTPSKQIRFILNDAVLPIDQSYQGCEWNKDGLCS FDTVVKALQQRVKEIDWNYDCHGNYTVVPGKDYNGRAPRD I203_08026 MTPSGTPSQLILKSHSPPSSPSSSSPYSPLKDCRPLFALTQSLP DDLKLQIFDILSTSFQDLKTLTRLSQNSYDRYAPSLYKRLHIKSYDVPIKMRLMNPKY RKGYHPFTQEDEKAYKRYKERRMGIMEYCESLRFVNENDIRATLGLVCEFFSGRKIPE SDECSNGSTARVFGNVKYLIFQGKCSIMNSEIAYKDSNKVYSSKLLWKMLNPTHICID DSSIPDDVMSLDFSTLEYCRYLQSNRRNWTDISSATLHSIVHGVVKFDEKVDQRIFFK AIDRSRPHPQPRRNHRQVVLNEDYLTTLTVLSRTSDDDTTKKIEILNCPNNSDDVLLH RQLEGLSPIDGVTELFGGEEGEACVCCGKR I203_08027 MSEVNGSGSAPGSLSLPLSGSLGELSKLIEEKPWILAASEGDQQ VAEKALQVTKDIFDLGISLEPLSHSHLHPFLLSILEPPSINTRSQSKQKSTSGKDEGQ EDAKPDVESFLPYTPLSVLTVDGLDPEQVWAQLELRSEALSKVVKEVGGSNNLEEEDQ LQDLESDVEDEDESEEDMTIEEFRQMLIESGETQAAEMDDEELRELMHDLDDDDDDSD EDEDDDEEDENDDDEERIGSEEEEVDLGGSDEEEEDVEMDEFDLGEEEDDEAEDENED EEEEEEEEDDEDDDMSALFGAGPSQPQQRGKKAHPTLDDDFFSIDDFNRQTEELEAGR LTSGRLGGDEDDEEELQDVGDMMLSGAGDDEEIMYSDFFEKPRGLPKPAATAKGKGKA KETKVKAKGKGKGVRFDEDDHMDEDDEEEDDENAAYETMGRMKGDLFDSDDEEDQEEK NLSNHEKRQLALAQQIAELESEAVGPKDWTLLGEATSKARPENSLLEENLDFEQVQKV VPVITDESVKSLEEIIKTRILDNNFDSPVRVRAYEPTPFLPSRYFELQDTQSNKSLAQ IYEEEYQAASSGTKVTDQRDEKLKKQHDEIDKLWDEVCYKLDALSSLNFVPKAPKAQI STISDLPTTSMETALPSTMGTSTMLAPEELFQPPTSASLVARSELTPEEAQRARQKNR KVKQAQQKKLSGMADLYGNKKKKSVREEKEEALKGLVKAGKGVTVIGKGNKEIDKSKK RGMGGEENSNREGGKRLKLPSVRPRSLSRSSSSPSPPPESALSTSSPRSSPLPSGGGF GGPSNGTNGRSSRKSFGASSSSTIRSKNRAPSRGSLPRFQPDKATRPQGNGDTVVTGR DGDGNGNDNEYASTSQYGYGASASSPSWISGSEDNREYLDDLNGDQTEIENEQMKQRR NVAEQIEQDRVATPSPGPPPNRNSAQTRRHSKATHHHPVPPVYTLLPAEMGYQIPLFG SDRKGKGRAINLRLRITRKRCENAILLSAVILGIWKLGCNWGEKALAGEISFLVGLSI IYTCVRFRPTRLKLPSPQPSTNGRPQSPQLQPHSSLSANVRERMSRSSTGNSAPGMSP LLVGKDDRSRSGYMDEDGQIGMGGRGCLWGTEPREYRESLDDGIFFALLLGPLVASAL LHAALTQLSTNPESPLPGNWNIEFPSVLSSTPIRKFASSINTPTSDTIKALSALATSR RNLVQLFTLCSFVLLVHLTRSLHLEIKQSKQSPLTPLSPQIASVNLERDNSDYAKLSA QQSSGTYWLRLGEWKRTRSVVGFAFLVTGCCVIVKIVTAIIGRGVWSDMSPSDIVIAT LFYQFSLYVCVRLARRGFTLGELGVVCNAATALFMEVVNLTRMKIVLLQTPYIKTYRL PTPLLTFQLALIPGSLLAGFLLSPLLYLSRHLAQKPAHRLRFPHEKPVHRRLLALGFY GGSALVCGGLVGLWTQWLLGGRNPWVWVVYWFFEGEHAWTRPVLISYWGGLAAISVAG WNRQLNRARRHRRYTVPGPGNNNTTRGEMANNPIPTQEGDTISGVASSMMDAADQKLP TLSVNARRKFFHALAVVMFIPGIAVDPAFTHLSFSVAFAAFNFAEYIRYFALWPFGVS VHLFLNEFLDHKDSGTAILSHFYLLAGCASPLWLEGPSEILCYFGVLSLGIGDALASI VGRKIGRLRWTTSSGKTVEGSIAFLLSMLACSGSLWMVGVADPFKPLPYTITTTLATL LEAFSDQNDNLILPMYGWALGILLGV I203_08028 MASLQYLSLGDEICSGACHSSRAHPSPAKSHHRYPTAYARPSYA YSATRPSVVVVDQPPVTINSVPRRRRTGKVVVKAVECTPSGRTTTRTYVEYGRKSKSK AKYRR I203_08029 MRAGIDSLIYLITCILSTVCLAACGNSLHRRNANVGEQSRAAAS RGITLILATNDLTAAGFALSVGAGGIAVGTLILFIHSLRLVPMTKFIRRIFFLSPLML ALNTLLLISSGIAVTYLGRHGTTSVEAFIGSTKLPESVIRAQAQAQGTHLDYWANNYV KFMVASPWPVIPFALASLFFAYRAYQVEKPSAQHVNSGQPYEHKNANQIDRQISGNGS KPEVQHIA I203_08030 MTKLLPYTLLNEIIVTLDQSCACNFPNANAYDLCVMLLAREYQS TFLRVHQQNLMRISEILFVSLPTTIKRKVSLYTLPLISPPPKIHFKPYPTNVIIKEWI HEYDIHLEDLVDEIQRVEKRLTDLRSKNKDSKVIRKLEELDDKYQKHLVVKRSKKEKD DGVVKCQYVPKREKLTLDSIKQLTKETMEKYRALKLNGADVNFQEEEEDHEHTPPDID FLHWLNTFDMPSELLEHPVPESFLKSMMDTEIRGFDPTSSFANQKSTGSTSGGKLPNP VSRIGKKRKVSGRAGPKAGSSGMTSEEKENIVVVEVL I203_08031 MEESATGFALSVEQVAHLGINKLVVFSKSNQLAKCREERHQLYL QEIWTKLENRGYATHHKISDLTRSGGRGISGCLVVIDDTKYKLSVHEFTYKSHWQIVG LYDLVEWINKLEFQYQLFQCAELPATYIPQLPMENSDLIGLLKKYSDHSLESIFRSAK RQSSVPKMYEDLMEAKTSMDHLTVRRSSSISTSLSPSSVSSASSKVPTCSDSDTSRDE MPPEQVGRNDTPYSDLSPGISAASLPTDLSVTEVVIPIIPLSSRQPSRCSVSPFPRPH GNGHAVDEIEESSGFVASALPLNLASATPDSQRRRSHSDTSAPPTRRKRSKRARATRK SALMIRRNGKIKLVKGLDVLVRRAICLGKQKVVYEGQVYNVSKIAG I203_08032 MIRPRTLPLRSSIRTSTVTQLPNRFPSLLSRQTLYPITIRANST IPPISPISPTSSDTPQPRQSASFYISNVLPLKLTYWDLRPTWASILREESLLERIYDI TREIDLYEFKLESLEVSRKDGGVFLHFSYIPPSEPSPSRKKEEVEGEIKDIIGSQLTS TTTPSSPTTVTPGKLFIEVLNDKAKNLGGWPNWLGDWYSNKKLNLVNNTTAVPGHSLY STGSEQGVLKKGDEVEKKGIEVSTGAERVWIVKGRQWTEDMNRFPAGRLRVEFDGPDV SQEMLYTLFRPYGRIADIQPPSPVPAGSLRYATVTYSRLSPAAIAINCLHGFSTPTNT ADFTLKSSGSASTSTIPKSRLRIYYERPLKAHAIRDWISAHPRLALPVLAFLIGTLSY TFFDPIRAFFVRSKLEGVWDIEQYSLIKTLRQKFVLPTSFGFLSGSSASTGESEEAIG KDAWQDRVEAEKDVERWLSEYPNTFITITGPPGSGKVSLVSRVLKQQAKPAMVIDCAE IAKAKNDKGLLDALADQTGYYPVFSFMSSVSGLIDLAAVGLIGQKAGFSTPVDQQLRQ ILEIVGGALKDVSTHAQQEHQQEAQHLKDDAAIAIERERQRRLISRGGWHDGRLDCIA GNGVMSELGVGDEPYLENDLDAAPPPLMDDIAPIKGEAIAAKAAQAAANADLDAETEE IKSLPIVVLKNFAQKTAKGDLWNVLAEWGGSLVENKVAHVIIVTEGATATKALTKALP SKPLNNIGLADADQSNALAYVRSKLKSISSSSSTITTDSTQISKLGGRMVDLETLVYK VRNGTSIKDAVDDIILRNTIELRKTAFGDDSEDAKSLPWNRSQAWKVVKELASKGEIS YANLLQDFPFKGNEQALKALEEHELVSVSYVDGRASKVRPGKPVFRYAFEALVNDPIF KASNQIEYNLTLIAKAENDIKTYETELNTLKGIITEGGSQALGVESNWLGLGGHSAVR DRARWLLDKMQTSVEKTVKLEKENGEMAGVLASGRA I203_08033 MNRSTSSSSSSSTSSSSFAALGFIDSTNTSSSSSSASSAVTEKL AAGATISLPSSSYSHSPRRAFSLPSRIKLPFTSSSITSPNINIGLSLGSPMIPLHSPA RSTARRFSYSMKSRISHYSLPLIVGGLFTLAFFIFVSLNGSSEVRRFNSSSRLQLYGR SRFSEEEHQLKMAHPLHRPDGDPDNGISLLVNEEELIAEDDLFWDSYKDPEPLSYEEQ KEQEEMKARKADVQKQDKLQSLRALIWWLAEGGILPNDWEVPTKQYLKKIGGRGMERL LEDIDSGEEGDEIFDNGWAEFANRRYRVVIFSKTHCPYSKKAKSIFGEYHISPAPFII ELDQRSDMDKIQTLLQRITGRRTVPNILLDFASIGGSDDVTLLHSEGGLQRTLEDMEV LPFSRRRKPVFPPPPVPVPIVEEPVLPIEEAVAKREEAPVVGNDQLKRAPLVSEQEEQ EEEEQPEEESDSDSNSESETLVTPPSSDTEEEDEVIVKEEEDKPVEPKFIDLISRNKI RDLNLPQQPKRQTNGERFINKRRDAPGAGTLGLTSRDDRGSWKEGSGLLI I203_08034 MRQFPLHNHSQPISFRSLHFASSSRQAGFFTPRISLLQSTSPFL KRSFNSTARDLIRSSYFPKGGIGGYGGGYGGGGGGGWFSRLRSRIDRIPTMTLIYGLI GLNGGVFLLWQYAISSAQRFRDPTLFFFLQKNFILNEVNVFSGRIWTLVTSAFSHMSG SHIFVNCLGLYFLAPAAAGLMGSSAFLGLYLGAGIFSSLTSLTYHRLKRDRWMGSEGA SGAIYACLSFYGAMFPQSTVLMFFVIPMPVWVAISGIFAWDFYGALFRPNSGTDSAGH LGGITYGLLAALAMRRGGLLRMLRGGGRRW I203_08035 MSFPKVYTVNGPSSTSSTSLPSWVAVKTKAKGGKKRTKTQHTTS DLELIQDFTFPGSAIRIKTTEDGQHAIATGTYKPMIKVWDLENLTVKFERVTDAENVD FVILSSDWTKTLHLQRDRSLSLHTQGGLHHSVRLPIYGRSLAYYSPSADAIIGCTGTD VYRFSLEEGRYMSPITVARNWGADSRQDEVEGVNVVDVNPRHGLWSFGLDGGGGVIEF WDPRSRNALTRLSLPSTTLLPLQNFDSSLSLIAQPIQKLSITALQSHPTDGLSLAVGT STGHTLLYDLRSPTPFAIKDQGYGESIRKVDWLVGGGSQEDSGRVISADSKVIKVWDK SDPSNNHLSLHPPSSLVDLHPVPQSGLLMVACDSPQLSSYYIPEIGPAPKWASFLDSV TEEMAEDYSGLGKSAYQDFKFVDRAELDTLGLTHLIGTPALKPYMHGYFLSLKLYTTA RLIANPQSYAEYRDKVVNEKLRAKQESRIRARKDQPKVNKALAERIRKAEERDKLLEK KKKQKNGDAAPEDEEAEEEEGEIHGGLLADPRFKEIFENPEFEVDEESREFALLNPAT ANNNAKRKTAVEEEEDESDRSSSGLEDEDEDESEEEEDEGTDQGQSDSEESDDGDLLQ YDPRRLKPTDAKRVLPAIRSQPKLVVGSSSSSSSSRQQPTFGQRLKTSNQSSRSGKGL GEDPSVLAMRKSADGGMEMSFIPSSKPSRSNGPDGEDDEQDEYSGGTRRKDRKVERFG AGMEKGQLDDDDEGQEGEGRSGRTKRRHPGRSASKNAFRKR I203_08036 MSTAQDQETCTDCEPPSSSTHTQPASTSTMAHSPQLPQPVGIGK ESFEPPVPVDPPSKAGHATEYTYRGPPTPQLEQPKKIEQPENVEREKGDLTPPSTNSH QQNSTTNTDSNTTTVFQAPDLKEVKPSVIIEFCDRCRWAPRATWIQTELFLTFPNPLL RSITLIPLNAPETGGRFRVWLDGGDGMGDQLVWDRKTEGGFPELKVLKQRIRNIIQPD LSLGHSDAHGKEQEKESKQETGLGNWSIH I203_08037 MSQRQNFRTYSASAAQTVDHESQDYHQHHHSSPSTSDQSSSSTF HHFSTPFSDRPHRSKYTSSQPNQPIRDQAITKDKKFFVAFDYPDAWSMLPEDFYSRLY NGAFQSASHFSHDFELKSFETRHLGSIGNLLGVFALFRDTAEHEDWLWLITKLDRLTD ILDNVKPPPRQRGLQSLVCSIRGYALAFTGDSEKAKEVLGNLQYLDSRNPGNTLPTSY SIAALAYVEMGEWELAMKRMRGAIDRAVQRMTSNDATKLRQECDYPSFDFGLLQSYEK SVLDASRNDDLIELVRTASYTVRKFLINSTQRHHPFSMQLTDLLLGTLARVGSPVEWW TDRFNADSSVNTRTLGIFLFIAITRDRTKIQEAINLLDIFIANGTSVPTSAAINLCSL LVTESTSDAWKLYQRICQEYPNFTHGALSQAMRLAGQAGWVEEEQRLWNQISTNYTPT GKDRLVAARYHAYRGRVADTLAMLETRVGPNFESKPAALEILFTAYINANNSQGAERV LNQINAIEPRIYPYNALLQLYADQVNVEAAVRLFDELSNSTLRPDIHSYTSLISLFAH RRDPVNADNVFQAMIDAGIEPDAIAHAAVIDAEVETGEWVAALDRYNRLPRQFKHHQA VSTAIIKALVALSSPPEHVMSVFRRITNPNRHTWALVIQNACDASEMEVARELYEEMD EMSKSNKGPSPDAYAFSILLHGYMRLGDGASARAVYDEMLSREVLPSSVTYGMIVKSF AEARGERSLEQAHDFAMNVSKQAKAGNIADRRHEKALINQNIFSPLVVAHGKNQNFDM AQKYFDLAQDGSGENRESVHMYTQLMDVYRRAGDSDKVLEIWNKTFQLACDTASFRKS TSGGEQEGGTSSTPALTSRSNDNVLCIPLSIALDSLSISGNYLQVKKIWNDVQDAGFG FDAGNYNHLSVALARTGDVEGAFKIADQILLRRYEEIKFRKNEAMRDAQNLKSVQIEQ DLDHQDLYDDALELAKFDITDRPVEPFQGPPNRRHMFHGRSPFTSEARNDPTSELELK LLSSWRPSDILWRPSLLTISVLDTAYAQLEDAKARRAWLPLSISDENENEDAAAGTTT SGSVAGGVEGGERKKRTYGIVLPLFGGVPVRNHYTGQPHRKGPTELLKSINKRYARLV GLIMFHRKKRMARKIRDRQGR I203_08038 MPQETRPKEENASSEDIPPRPPTLATEQGSSAPTDSKEIKEQAE KLVGEGKKAIALKQWEEGVNKYGEALDLMRQLVGDFDPVMAPLLLSYGKALYELAFSQ QGVMGKEEGSKEADEAVRQGTLEEAPSKNGNFVFSADPSSDNEEENQDAGPSTSAQPD EGEGEAEDEPEDDYNAAWEVLDVARTIYQKIVEGKSDGEEGVKEDKLNLADCYLALGD VSCETENFPQAVQDYTSALSIQSTLLPPSSRILASTHYQLATVLEFTPNKQTEALSYV EKALDGFKLRLSELKSTADEKVSEEVKKLNDKEKEKEIKDVENLIEDLNVKIEELKTT SVNETNDLISQSINHLLGQPESDQFGDSSSTSKDTGPVNDLTSMVKKKKPKTTNVPKN VEEVKQAVNEMVNNAKPLVEQTNQSVVEPALEKGKEVVDLAERKLGEVVSSVGEGLLA SGEGLKRDNDGEEEEGRKSKKAKTE I203_08039 MRLLTILTPLLSLLPLALSLPADQEHWAELSSKSKDGIIKLNSQ SYHELLADDREYSVSVVLTALPAQFKCQPCHDFDPSFHQVAASWKRKPKHVRDQHFFA KLDFQDGQAVYQELGLTSAPTVMFHPALTGPNKSNKLSVITYEMNKNGLTAPPLHSFL LNLVPEPFALYKPINPLHYIAVPISLIAITVSLYSMRKILVPLIQSRLVWGSLSIILI LTFTSGHMWNKIKNAPYVAAGPNGHISWIAGGYSNQLGLESQVVAGIYGLLGFSIIAL TVLIPAQSSPIKQRVGVYLWLGMLIIVFSLLIKLFRMKNGGYPFALLF I203_08040 MDIRQATIDDLIGMQNANLLNLPENYTFKYYLYHALTWPELSYV AVDPRGRIVGYILAKMEDEPSPNTEPSGHVTSISVLRPYRRLGLANKLMKQAQEAMVA HYKASHITLHVRKSNRAAISLYRDTLGFEVHAMEKGYYADGEDAYGMRYMFNKKSEME SSS I203_08041 MSLADSLLADLDGFSDDEAPSPSVDQQVDEGQSSSSAAAAGPSN SKGVSFGSMLPPPLPNKAKRPATDMDLDEEEEQDGEGDDGMVLENGASAVGYVPEGGV KPADELDADEVEQTDMTGLEDVSKVARLITGKKLKEVLADIAKYTASPTDMSNSYSLE ENPEYHLVVTANNMSVEVDNENLLVHKFIRDHYAPRFPELEQLITDPWTYIAAVQAIG NADDLTKCTFPSTLPAATILSITLTATSTRGRKLTAGEWKTVQNAIEVAKELRSARER IFEYVESRMSSVAPNLSAIIGTGIAAKLLGLAGGLGAFSRQPSCNIMLFGAMKKSLSN THLSAASQQRHTGFIYQSNLVQSAQPEDRRKAQRAVSAKCTLAARLDLNKAQRDGSYG RKCLEELQKKIQKMSEPPPNKMTKALPIPQETNRKKRGGKRARKQKEAYAQTELRKLQ NRMEFGKAEEEIGVDDETVGLGMIGSGSGRVRGEVADARSKAKLSKANKLRTQLLGRS AVSNDAKSGMATSLSFTPVQGIEIVTPSLSAAQRVQAANDRWFAGGTFTHVKKEGSNI PGQK I203_08042 MPRSSRSSARPAARPSAPAGGSHQQTRQSSTAAYPAQHAPAQAY PQQHAGVQQGKPPGLLAQAASTMGGAVAGSVVGHGISNMLFGSSRPAEQAAPVDQQQQ MVNGQQFGQGASCDIQAKDFTKCLEATNGDMQSCSYYLEALKACQAAARPY I203_08043 MSTLLVTVGSTLFPDLTDSFLSPSILALLELHRIRRLIIQYGRA ELPLDHGLHIHLDGSGKGSVNVGGMRVELIGFTDDFEGLVRGSDWVVSHAGSGSILTT LRLNPPKPLLVVPNESLMDNHQAELADEMGEKGYLMVSKIEDLEHTLPKFLSIDKHDI RPFPQMDQNRFKNVLDEMMGFD I203_08044 MTVVEGGIEEQTTQVFKNVSNVLSASNSSPAQVLKTTCFLKSMD DFVAFNKIYAEFFGETKPARSCVEVARLPKDVLVEVEFVAVEGK I203_08045 MYRLVEAAEAYALSVPSWPPFPDSQDALSILKALGVKLVIHSNV DNESFEGTRKKLECSWGKFDDIFTAEDIGSYKPDYRNFHHVLQSLEDLYEIQPNEVLV VANSKRADIAPAKRLGLKTVWINRPEAILGVKGYEDVRADWEFGSMLEFAQELQSVKE DDL I203_08046 MSADLQWLLVRKWNSFQVKGGQGPTFSKEKGNLLNKSSHKYSGL ANSKVINIYANPEGGITVTKVKADAKPNQVASARSHVALRKSTGPRRANKIAAAETAG KGYRADLRQVAVARASALSRASKRSANPPKSFPPKQRGKKTQSSASASKKEDDVIELD I203_08047 MSERTSSFSSSTSCPSMSGPAASDATLSPSVRSLSPISPLPSVP TFCLTPYPERTEFPESINMSMSDSPLSSPKARENSSNKDKEYPFQDGSIPRMDDQLNI NDDEGERAKPKTGESSSSSSSSCILPSSVKPPTPRRRVTSPSFLIPPSLKMSSLTSTI PSPTNPPPLSPHGRAKAPFVRPRVGRSATISSNSIIPPIAMEEGKSLGEDKIEVRKKP EPLILQQPISSVGNISGSGLTRTKSSSILNLLSRPQTPIPSDYEHEHENDQDRGGDEP KVDSAKSNTQTAKPLTSRRIGIDKHDDMINQDRWYSEQDLRLDMEEPRLGRDLSPGWG EFDFESDSGTISTSI I203_08048 MLLDINRKLLARSDRVKSVDFHPTEPHVICGLYNGQVKIWNYET QTDLKTFEVTDVPVRCVRYIARKNWFVSGSDDFQLRVYNISTGEKITSFEAHPDYIRC LTVHPTLSLVLTGSDDMTVKCWDWDKGWRCVQIFEGHTHYIMALSINPKDPQTFASAC LDHTVKVWSLGNPVPNFSLEAHEKGVNYVDYYHGGDRPYLVTTGDDRLVKIWDYHSKS CVQTLESHTANVSFAIFHPSLPIILSGSEDGTIKIWHSSTYRLENTLSYGLERAWCVA YRKSGNEVAVGFDEGAVVVKLGRDEPSVSMDSSGKIVYARNTEILTANVSNVGADGEA VEDGQRLMVSLRDLGTTEVYPQSLQHSPNGRFVTVCGDGEYIIYTSLAWRNKAFGSGL SFAWATDSNTYAVQEGKSKIKVYRNFKERPGLIKSSGSYAVEGIHGGTLLAARGNGFV MFWDWETGSVVRRIEVDATNVSWSATGNLVAITAEDSFYILSFDRDAYNARVDSGDII GDEGVEEAFDVIAEISESVKTAKWVGDCFIYTNSTNRLSYLVGDQPHVINHFDQGIYL LGYLPAHNRIYVADKDLNIYSYSLSLTVVEYQTAILRGDLEGAEAILPTVPADQRNRI ARFLEGQDLKELALSVSTDPDHRFDLAISLNDLETALSLVRSSPEAGSQAKWKVVGDK ALSAWQMDLAQEAFEKAGDLSALLLLFTSLSDRKGLEKLGGLAKEKGQNNIAFASYLQ LGDSKSCIDILVETNRLPEAALFARSYAPQEIPGVVGKWKKSLDGEGRSKISQTIADP LEDKELFGNQGSLGSNEEGSGVLVEKDEDEVPQDPQSGAGIAEKAQEVAEKAKVGAEE LVEKVKDLSVGDEEKENGSSEPTENTAPVTLEPPVEPASETAPTQGNGKSKKNKKK I203_08049 MVCHIPFFFRNSYDSDNTTFSPQGKLFQVEYALEAVKQGSAAIG LRSKNHAVLLTLKRSTGELATYQKKLIRIDDHVGVAIAGLTSDARVLSNFMRQQAMRS RMTFGRAIPVGRIVQSIADRAQTNTQEYGRRPYGVGFLVIGQDETGPHLYEFSPSGTA FEYYAHSIGARSQSAKTYLEKNFESFEDAPLAELINHGLSALHDTLQQDKSLSLHNTS IAIIGPPDAEIENLAQSAAAKRGNFRVWENEGVEELLKAWRRSRGEPEDGPQEEQPAA QPSAEQAQSAEGSENAPAGAPAGGEDVTMEEQ I203_08050 MRPLTEEETKAVFEKLANYIGKNLVHLIDRDEDDAYCFRLHKDR VYYLPLPMLHYATSVARGNLISLGTCFGKFSKTGKFKLGITCLDWIAKYAKYKDETGG KDKLHRK I203_08051 MSVSAELARVRLEPRRDQDDRSDDSSIAESEDESVPSADDNEGD YDVDAIKWARYKTRDDAGTRWYYGVIWNGYLKTGSETEEPISSFTVTPGQPNLIREFW QAIGKTTGRGRREPEGRMNEFYETPPECLKRWFHENRTRKNGLNYKRNYETYKRRRAK ELKREQLEKEGKEIPSDLWTKKADSDYYLFKRQLRARRRQQRATGAVNGDRPTTSARS SRSITPATSRASTTRPRTAPYHATATSTAPAPAPPATTSSGNAVVSLGSPASEFGSEF NSDREGVEEELRAESSDNDDQPPKASSSKRKAPFESPVGSTSTSQANHQVKKARTEET TSRLAKVGKIAKRVLSPPPSASEVTFGALQDGIFELNPGASTSSSGPSSSTAPVSAVP APMPTIQATSAVDSPALTARSINNPASTSSAPIAPPPQPISAIKAALLRLEAEKAEVP RKASMTASIAQAVSSSPIVPQEKPSSPTLDRTSASAQTTPPLTSVNQPAPAPKSAALP HKPLTPSASSSGPVPNFNPKPVAGSSKARLPLPEKPKYVQPKKIQVIDDVVDPRAGRQ AKTNVDDGGRHRPPPLNNTAPQRSSAFVPRTANQNQQNANHQNQSLNGASVRSPIVDQ SPTLSNITQSALPTPATTPIQGTKDSAPINFPLNPIDGQGGLVTFSPQLILRSPGKFV NVMKFCMTNPHWAAYFTPAAMEFINSGWSNRQLCPDATQAFSVLVQFLPLDGLLRDLA GAGITSGGGLSISCCPPNPYQADDCIKWKTWLHDVCATTDYQELVTLCEKYNQKLGPT FPMVIKEADLGKIELLQIDDMKNIKARHLTDHTRFVYVTPERKPSPTEAVEYISVDDF INLLASGD I203_08052 MTTTEIPVIPCPRPFSDHIAGTVNGVDLPLRVWPAIDKDGQVEK DLRKRPWLMWIHGGAFMYGKHYVPNAWVIPACRSLSYHIVSVSYRFVPQVTHYDIIND IQIAYQWCRPNLSSVLGENAVDLETFAVGGDSAGGHLALWCGNHFSPPPKLILDVYGL SAPEDPFYALKVENLPLPILGTSEEELEVALNDRSPENIVVTSAFTLELEPFMTVENL KIAWGVDYTPTKKDIVRADLNAYTFKNGLKMGNIFRRDKFETEEEYKQELRKWGAMYH IQEDEPYPSVFILHGKNDTIVPVSQSYQPAEKLRKMDVDVGENYVDGMDHIFETYLAG PEDPMWDIAIVPLIEFMKKHLG I203_08053 MPRKSTPAAHPQPATTHPYPPSSAPIERLPLELKLRVVSYLSTA DAHNLASVSKPLQNAAESVIWSKVDMSLPTNWDKQILLWEDSMPDWWAQHSLTPEMED TLVEFKSTMDMEGGSIGWSRAEDSDICWNLRNLKFITRMKQVSTALTSHPSRGNWVRE LRLELPQMRQDRLEDKRTQMDTLKKIVEIVRGNLRIVRLGSPEVNLRDDYSQTRYLDS PFFLDYIGLTERNVGKLHTLELVLEGSISFQAQMENIVNKIETSTIKKLVLNPSWSGS SWFPDSNDDPIPKTPSLKTLKTLHLNHLHPRSAEEACGLIGSADSLEELKVRFTDDGE SAWDVFHDRAIKTFREHKTLRRIEWYGGLQARWWFEKLVQSGFDNVEVLVQNQAIECE SETFIENTLIPPFSSLRTILIPCRTPKWYRHLSPPDWAKAPPPRNSISPHVISHLLEA PNLLAVQFSSLSSLTINEVLDSSQWCDKRVNGVLIRSYINEITGEELFHFRRLELLIV QPKIYDSTYEDRYDGMKECKGDEVDHRWVDHTSFRNATVPPPILKKVYKTSGEKLDWT TPGRGISLPETAWEVLRSWRVKLPDKGLEGRKIVTRRMARDLQ I203_08054 MSATLTRLSIRSPIFNPRLSVSTIVQRSLCTTQIRRDVLSELEN QLHPRELIERKRKEFEEKYGDKLNKKVKSEGVKDLDSLKLKVLAPSVKAALKAKKAKE AAAAEVQLEEEEKQFREDVKGISASGENQAEGDRAGIKPLSSIINLPLIHLTPHDANA ISQIWTAYHTSHPTLSNSFLSATLPTAVYKSMISIAKQNPFFVLPLPRLTEPTGETQE AKKGEVKTDEYEMFYLQWIFHPTSTASLPPSDATNPEPLPLTSSVIFTPLEEFKKQGE WAQPYLVLTHYPELSQSHDIVLMRGEISPASASGPIGSTSNPGFLLSQQQAQLLALAL QRFYCTSIEPQNEGEKQKQDRLSRASALVSFRERPEEWSWEGLVEKAYGGLV I203_08055 MASQLKHLKVSPKEAHTATVIFLHGLGDSGHGWLPVAKILWSSF PNVKWILPHAPQIPITFNGGMTMPGWFDLSSLDNLTDSTYDDESGMLASVAAVDKLIQ NEVEAGIPENKIILGGFSQGGVISILTALTSKRKLGGVVGLSTWVGLNHKIENIKSAQ ANEIPIFWGHGTADPVVNYEYGQQSIELLTKKLGFPLLPKGTTFQRPGVRFETYQGLP HSSSPQEIEDLKGWLVEALK I203_08056 MRLTPDYVSKAPSHLNPLKERELELRGLQIPVIENLASHQGSYD TLNLTDNSITVLGNIPHSPRLTAIHAAQNQISTISPSLPPNIPNLVTLVLSNNAISSL STLLPLESLTSLRHLVLRGNPVTEHEHYKEFVVWKVAKGNLHVLDYTRIKDSLREQSK KLLLDSSTGLPNSLAHQLSIPTTSSVSSSAFSSNINKNASIAKGGKGRLMTPDEKRRV VEALTRAKTSEEVRKLERMLADGIVPEGGVEKSIKEGVNGS I203_08057 MSEGYYDNAPHSPSANGNGNGSLSYNGDGRSSAVTSPHGGNDTL ISSRAISPSAYPVKTEPGAGINGSANAPAQQQLARKKLASWVGFSNLPNQVHRRSVRR GFQFVAMVVGESGLGKSTLINTLFETKLYQPKNIPAPGEDRGKTVQIESISAEIEENG VRLKLTVVDTPGFGDFVNNDESWKPIVDNIEARFDAYLEQENRVNRLKLVDNRVHACI YFIQPTGHSLKPIDIEFMRRLHTKVNLIPVIAKADTMTDDEIILFKQRILADIAHHGI RIFQPPQYENEDEETIQENEEIISKVPFAVVGSDSLVSSPDGRQVRGRAYPWGVIEVD NEDHCDFVKLRQMLVRTHMEELREHTNDVLYENYRTDKLKAMGVAQDESVFKETNPAA KQAEERAMHEAKLAKMEAEMKMVFQQKVQEKEAKLKQSEEELYLRHREMKDALEKQRQ ELEDKKRRIESGRPLTPEKGSQKKRFGLGK I203_08058 MSKYPPAGGSGPTILPPIHSESKRDRKRRETVNKIEMLHDESWR NRDEKFSALYKEYHLENKSVNSQPPTSAKYLLRVYPISIERDALLEAAEIEYQYKAGQ AKKMYESERESIEAQYWDARDQVRQRLLAAVEDRRRKLREEKEGGDVVTDTLLEAQIR PRPTRKLPFRNRSTSALASRGETPLNGNPTPPTTTNIHKDENTNGVKSSDILLHSLLS PSLAIISTDDIISSSSSSLVVHPPINGTLAYTAQQPGKRGPRGKNALAAGDNGDTIKD GLNAPGTATALGIASGQVANGAGPRSRGVGGTRDQALTLGRSLADLSKMTPASQLEVD SDWARMQGNGGRVRRTRGD I203_08059 MVQPQAGPSTALELDDYLCPLTTIRDTLLRSDPSTISIPKLRAL LTWHNKKLSTPWSPFPSSSNESKSSISKPSFILPQTSITIQIDNSIKSLALRLSEISN IDEISSYLLIRSYQTFSLDEVDIEKEEDNDKILDRIILWYSEEILALPQIVLALLQLS TDGDSELGRLSNDLRIDIIGGEESSRYIEGLFRGFSNLAQLSLNDKQRGPNALFWATH QLRLQEQLLTLLFIVLYQTPNRLSNISEGLVRGTIMSSFGTSQSNREIWENDMECQRI SIRIRDLMVIIGLESLCLNQIVSPVAENEAFETMLQNKEKIESIHQFMIDYSDDLIPH YPEPELSSTPLPIWPMSIICLAWAIILRSLPSDKAPLSGDGSVTWQDMAIRALRLPSG LFLWLEVILSGPLLEFTRDISVSGGEMDVGLFQRKVFKDLLIGLSELIQLENIADKPG LYRSWELLFGGGSTSTSSLIAADYWIADFPYDERRSMLDRSQFPYQPVHLLRVLSALV GSGQTETSSDAFGTDPAAQVQHYFTNLPTITHTVDPSWCKYVGKDENGKEIVEAVNTL ILPGGASIPKGSRGFILPTTSSSQVMWINQIISGWPLLLEILEAAAGLKSAEERAPAD SANPPDSVYLSVRDLDIQLDTSEILAAGIKFLHSVLQSSSYVKSTVLTHLNPDDHLTS GQTLLHLALTVLQHSRNNELTLNSSVVPNAIDIIQSLITSPESNVWPALRSSGFFDVT GKKRGSVIALVQADSVKGEHILTAAVLRLVHTLIVNADHVPDSDVVILRSAIHFVFAD IWNNFSAWRYKDVAKKYELSSLLVGIFDTVLSHPLSADGSGPTPAAQVLIDLFITSTS PLTYRPLLDAITQASYLVPRLIGFRRHVDAELVVGCLDETLSFLGTLFRISSMIGTSP IALPASLVAIPVAVPSGDKVQLVDALFEIAFSPAAQTSNVLNILKTLRVYLEVIGQDP HKPSLASMLRSPTQTCENLTDLATRTDDPDVRATVWDLLSTIVSTQPGCVLACIGLVN GEGFEGTLKYAVEEITSWETTFREAPHTLSAVLNYLQSIMRAAGADKAICHLRKNSDF WQAIFDLSTRIVPAPPSFSLSMHSEDFTSRIQKYAYSVQAKANATSLLSSELSYALNN DLEEDGKDPIETKARTLVLSLFRNNSALQETTLMSCHNSCVPELHEDQSKKIIANGGN LGKLKTIKLASEREYGRSYLYDGSVIVQGSATQQSTVNLALDLLNLNWSMLDADICLT RSFRLLAESISPWIEGDNLAINAALRAGVAIAETVAEEYRGGDVMLAIQVDRLSILAV ISETALDVEEQQQPDAQLLRQFSSFMAMIVNSQSFPPVVSLRHSELPAIHQPVLRILY LLLQAMSTSDITASNLTSRESLVDAATIFALESADIVFDSIIRRHKPAFIGNLSMIIG VLCELSKLASTNGNVLWLDKVQGFNLIGRSLEVLVRTKITNDQLPLHISSILLLHLAL ASNPLTAEKLAISGTLAAYSDNVIVVQAEHGKIAVPTSSTGNSTHDAWCGMLLVIKAL LFTLPDTTSFVRTDVIPFIRVVNQQLLRSMSWDGESTLSLPALNELELVTDIFYGLVS SLGQSSIVAEDYSIHAVGLLKNIRYSLSHPRLLSTLLIPSTEDERLDLEKELESIEQD DQAGKGTLNLFDSDRTPILASRSLGLLRVVRTIISTFIGLTNAWEVFKDDNLDDRAEL NKLVLDQDEGDENNDPVEILNDLYTIISNIPLTSSETISKIQIQILESISLVSFTQLL IRHALLPDNEKRSFNGDEDDGQKSQYSYEMDLDLPDRSRRSAGARGSRSSGGRRRSSS MGRSGSKESLVLRELQDDLKGLIGGESNGMRGFIRTRMDRVFGSDN I203_08060 MVYIVVVFVEVKPESIEAVKTRMSEAAKIYEKDPETLEFSLRQD INNPQRFTIVERYERESSLTEIHQKNPIFADTVAFLRANVTKPNEPHLSQCMLVSPRH RGELSQEDVSQV I203_08061 MSQIQYNDKSPADTDEKAPHHLTVMDVSDDPDDKQVMAENGNMV TVNEVKVAEEAILAEGEFTEEEYNRVKRKTDLLLLPLMWWMYGIQQTDKTGLGTMNLY GVQKDTGMHGNQYSLLTVVFYTAYGLFEFPSNIVLQRFNMGKTLTIYMFCWGIIVLCQ AFLHSWAPFMVLRFLQGAFECTISPGFNLIIASWYLTKEHNARALVFQSANAGWGIVV DLTMYGIAKAAEKHEGGFAAWRGIAVFLGGQTLLAATIAWFMLGTPNEVRWLSKREKL IACARVMSNNAGTDLTGRKTWKWDQVIEAFKDPVMYFQFVNAFMSCVCNGSLTTFGTV INKSFGFTESEVILYSIPRSVVSVLWFAVIGYTTTKIKGTRMYFMMISCLFPFIGLLV IALLPSDDSYKWIKWGMYLMSVTFVVSLFSAWSLISSNTAGRTKRSVISSMTFIAYCT GNIAGSQVMKSKDAPHYIPGTIAIAACMGVEFVTIVIWRLYLVWTNRRKQAKIEAMGL TQEEIERKGQELGAEDTTDMKNPFFM I203_08063 MSVVNGTAANGQADQYDHARVAHFIGANSLDVATAGRVTDFVKA QGGHTVITKVLIANNGIAAVKEIRSIRKWSYETFGSDRQIEFTVMATPEDLRINAEYI RMADRYVEVPGGTNNNNYANVDFIVDVAERAGVHAVWAGWGHASENPRLPETLAKSKI VFIGPPGSAMRSLGDKISSTIVAQHAEVPCMAWSGTGISDTMLSPQGFVTVPDKAYAD ACVTSWEQGLERAEKIGWPVMIKASEGGGGKGIRKVEDPEKFKNAFQAVAGEVPGSPI FIMKLAGSARHLEVQLMADQYGNAISLFGRDCSVQRRHQKIIEEAPVTIARPDTFEEM EKAAVRLARLVGYVSAGTVEYLYSHSDDSFYFLELNPRLQVEHPTTEMVSGCNIPAIQ LQVAMGIPLHRIRDIRTLYGMDPHGVTEIDFDGTRPESANTQRKPKPKGHVIACRITG ENPDAGFKPSSGNLTELNFRSNSNVWGYFSVSSAGGLHEYADSQFGHIFAYGMERSEA RKSMVVALKELSIRGEFRTTVEYLIKLLEKPEFENNTLTTQWLDGLIAEGMTSERPDP VVAVVCGAVVKAHIAYEASLAKYKSVLDKGQVPSKDTLQTFFKSEFIYENVRYSFAMA KSSLFSFTLYLNGGRIFVGARSLSDGGLLVSLEGASHTVYWREEVGAMVLSIDSKTTV IEDEQDPTQLRSPSPGKLVRYLIDSGDHIDAGDAYAEIEVMKMIMPVTASESGIAQFM KQPGQTLASGELLGILTLDDPTKVKFAKPFEGILPTFELKNGRYGTKPHQRLREHLEV LYDNLQGFDNSASVSNSLRIVESVLKDADLPYSNAQEVMSTLSGRIPQKLEEEIRSIL DSSRAKNLEFPSLRLKRTIDLYIDDNVPPKERAQVTAAISPLSVVIDAFAHGLKVHEW RTWADLLNYFADVEEPFADTTRTAENIVLKLREDNKDLDAVVKLVLSHSKIALKTKLI LALLDIVKAESPRASMTPESHINEVLSRLAALESRPAAKVALKAKEVLIVGSLPSYEE RLGQLEQLLKASVTTSYYGELGSGHRLPSADMLKEVTDSRYTVFDVLTTFFEHEDPWV ALASLEVYIRRAYRVYNVMHLDYEVGENGEPHIVTWRFKLGSPQSEPVTPRVDSMKDF TRIASMSDLNYVVNTKTEPTRFGLMTSYNKLADLENGFSKLLSMYAPFSHAEFAEKYG SDARSPHVMNVALRLFNGDQEFTDEQLNERFHALANQYADKITSKGIRRVSFMACRKG QYPSYFTLRPTSDGSWKEEEAIRNIEPALAYQLELGRLVNFKITPQPSTNRQIHVYHA VGRENTSDVRFFVRALLRPGRFQGQMQVKEYLISETDRLVGDILDTLEVVSGQIRQAD CNHISVNCVYSLSVSFDDLQEALAGFIERHGKRLWRLRVTQAEIRVVIEDEEGNPLPI RAFIENVSGFVVKYEAYQEVTNDKGKSILKSIGEQGQFHLQPVNFPYTTKESLQPRRY QAHVIGTTYVYDFPDLFRQAVDKAWRQLTQYLPHIKVPSDLLQASELVLDEHGELQEV NRPPGLNTCGMVAWVFTMKTPEYPKGRKVVVISNDITYQIGSFGPTEDEFFYKATQHA RHFGLPRVYLSANSGARIGLAEEVMSLFDVAWREPGKPEKGFDYLYLTPEKLEKLNTL GAGSVITNEIEVDGERRHQITSIIGLKDGLGVECLRGSGLIAGETSRAYDDIFTISMV TARSVGIGAYLVRLGQRVVQVEGQPIILTGAQAINKVLGKEVYTSNIQLGGPQIMYKN GISHLVAASDLDGALQIVNYLMFIPERRGRAIPILPTGDPWDRNVEWKPTKAAYDPRN FLAGCYEDVDGVNTWRSGILDNGSFFETMGGWAQTIVTGRGRLNGIPVAVIAAETRSI ERVDPADPANENSQESRVSLAGTVWFPDSSRKTATAIEDSNREGLPLVIFANFRGFSG GMSDMAQAILKEGAKIVDGLSSYKHPVIVYLVPNGELRGGAWVVLDPSINPEHMTMFV DNDSRGGVLEPEGIVEVKYRKPKVQATMARLDPEYAELKKAVENASASAEEKAAATAK LEAREKHLGPAYQSIALEFADLHDRSGRMKAKADCIPCDWENSRRAIYWSLRRKLSEV RIMRKLSTANPNLTYSERKELLSQFVPSDIGSDSDVASYIEKQSDNIESFVQSVRDDY CAETLVSWASSNQEGVMSGFKRILEGLSPEQKAEVLAELGVTKA I203_08064 MSLPLPISNTPSSTNVQVNGNSNGAIKEESNVIIVKKEEPETDS VSEPVRVPSNGTPTIPTDSKPAAIPTPQSSSSSFPGQVNAQAGPGPSTVEYSQYERAI NDKKRKWGLMGYEENELGLLEEEYLNLNLALQLDQTSTLYPSPPTRFESYEDMVDRLM PYHVWQIYDEELNGQLPKSKEKELKEVQDASGLVERVRRVEDRFGKFRMRVDENSNMP SLIPMYQQTNQTLKEEISSLQTILRPLNAEYALIEKQQEDKRRAEEEKKRREEERLKA IEDERRRRVVAQQRAEEEMRRRKEEEESRRLREEEEKKKLVLQSSTNPINTNPTPPHH INQPPSIASPPSTPSSSYLDRGKPRGRPRGRGRAGVREPSTPHLTGQTNGVANANPNT PSPNPISTSTPLPGGSSTNRPITPGTPGTPGQAATPASTPAGVVNKGPVSLTVNRSLI PQLISLELLLPNPTPTSPKTPATIIRYLEDKNSVVLSVNLTQCTKTQLIALAKLLNVS TKAPAPGTATTTTTTTTPAAASTNAQAVNGNAPAQSGNVAAGPQGQVKPQVEGNGASA AGPSKQ I203_08065 MSSSSSSSSGASLRQPQPLYHRPISNPSNTLPLTGLGPNLSPRI IGHGYGLSIPSPIPERSHETSPLPDYDDQEQGLKGHLVDLDNMSKPFVPTPNISRPGT PGGGAKDDANAMLLNNLGADREREGRERREERRDDKLAGKEQALPILSYCAASIMMTV VNKYVVSGRHFTMTFLLLAIQSAVCVLAVWSVKRFGIITFRDFDMKDAKAWWPISTLL VAVIYTGSKSLQFLSIPVYTIFKNLTIILIAYGEVFMFNGVVTGLTLCSFALMVGSSI IAAWADITSFLNSPPELDPTTGLEIATGPISTIGGINAGYVWMAANCLASAAYVLFMR KRIKVTGFKDWDSMFYNNFLSIPVLMVFSLVIEDWGTESLSLNFPESNRFILLSAIAF SGAAAVFISYSTAWCVRVCGSTTYSMVGALNKLPVAASGMLFFGDPASFGNVSAIGVG GLAGIVYAVAKTNQAKVDQANKLRAAGGRA I203_08066 MSNLIEGLFHTIQGILQSILAVVQSFFNIIFSLVQGVTSLIWNT LESVAEFIGASVHFVISNIVILGLIAVGIVIYNDRNKRGTLGNDLKKKAQ I203_08067 MLISKQNRRVVGIIFSQKHEYEVIYETLFKEGVLVAPKDFNRPA HPDIPSVKNLEVIKAMQSLTSKGYVKTQFSWQWYYYTLTEEGLAYLREFLNLPSEIVP QTHMKPARPQGRPSGYGDRGNREGGAYRAPRGDREYRRRDDGEKEGASGDYRPRFGGV GRGAGAPPS I203_08068 MPAILPIPYENVDDVLPVDHEETDFFPYADRIVLKLNREIEDGR SHICYSNPHNDQVGFQRFQCDSRHPPAGDPKPFNGFDHHTFDFKRPSSANPYNSPWSP GALSSSPSGKVKIPFRWFYNSIQWLRRFLGIDTLPVHQPLVYQVYCDSYIHTGNLWDV YRGTIRTIGSNLDQSVSKKVVIKLTNPNEFLLHTPQTLPWNPYDRNAYKHTTESAQKA VLKEDYIYRHVLVSEQGSVVPLYFGTFAWFPVGRASNSPKVIMQISEDVGELLYPDKL RISLEPAFIRQKIYDLYQEAIYKNHALHGSVFTRHIMQRPNGQLALVDFQNAIGHANK KPLGHEYSYRIEEEEGESSYLLLWYEYEDGKVVVL I203_08069 MAPSYPFSRNHPSSSSSSSSSQQNGNATPTQRNINQQASRVISA TGIQNSPAAHAHNQLNVASPSNLRSRVVSPRYSNTITQANVDTFRSENDNYPSPTNSF KRGKSKNKGGWIELSGNGTPSPTKPKEIDGLPVPKYDSRGYPTKDRDWNDETYYDGGK GKGKGLGLRSSHSNDSLSSEVSSTGAGVNEETSNFLNTGHKPQNSYYMPQYSSSSSAS ASASGSSTGPSPVSLYPPNLDYSLSSPSYLPYDQPTGVVPKSNPLPSLYGESRVSLDS LNSGESLTQFRKYDHLSYAENGDRGYGYSNFSISSKGGKKHLADMFSLPADPSTWSNL GPEPDDDFHDPDYRPAKKSRFHAAIFTWRGAANLGCLFAMLLVLVILFAGYPILDNYL NKPINSHGAYNLGGINSTGQIPDIGAFQLIDKDTPSSAYSWTSLETGEEWELVFSDEF NRDGRKFYGGDDPYWQAVDLHYWQTNNLEWYDPRMVTTKDGKLEITLDKVTTNGMNYT GGMISTWNQMCFTGGYVEVSVSLPGTSNVYGLWPAIWTMGNLGRAGYGGSLDGMWPYS YDSCDVGTLPNQTLNGKPELTTTDGDPNYDYSLSYLPGQRLSSCTCPDDTTHPGPKLS NGTFKGRAAPEIDLFEATVNAELLEGEVSQSGQWAPFNPHYYFLNSSTKDYEIYDDTV TKINEYMGSIYQQATSGLSVTDQNCYEQNTNCFSTYGFEYAPGADGYITWINNAKKAW TIRGAAMGPNDEAMVGQRLVSEEPMYLIINLGISENFGAVDYDGLEKLWPVKMEVDYI RVYQDPNKRNIGCDPADMPTAKYISMFPEIYNDPNITVMDQIPNFTKPKNRLVDTC I203_08070 MPAPPSSIYATLSSPLPSKPYILSIKPSPTSPHLILRHPGPDLT LVDNQTLQPVERLTGEHQGNVTAIAVDQDAIWSSAKDGSIVRWDERSRRAGTVIRAAV RKPIPVTALTISERDHLVIAGTELVSSESHIMYWDNRNPSQTIYLHSSTHSDDITNLS ILPSTSTFQSSASSSRNGPLPQRLLLSSSTDGLIALSDLKEADEDEAVICTENWNQSI ADSNWYLHKGKMKFWARSDMDGIATWDLGLGGEAGDELELQNHIEYPSSSFKFKSFKP PKQGPTFTQTASEEMESKIQLKSDYLIDVIPSLGVGKNGGAITAVGTNEGDIILQHHS TSTDYSPSAYLLSGPSKSRGHKDVVRALFHDLSNEAIYTGSEDGVISGWNLNSLPDKL VVGDDEIDLSGDENEDEDEDMDEESEIETESEESDRSDDSMGLDDEEEKGPRYGPIIG GGNNADKGKDKRKEKRKGNRFGPY I203_08071 MSIRQPKPLQIRLPFSVPKPNKSTNTDIKICGICRKKESKYVCP RCNILYCSLDCFRDESHSQCSEPFYKSTVLSSIAADPKAGLEEKKSMVEMLKRFEESQ AEGGEGSEQFLKELEELEREEEEYDELLEKLDGVDLDSIDSNQLFHLLPQQHRDAFLA ALKNPDSEEAKELLEEATKDEDEDRDVPDVYPWWEEQQLQENKEADDDDEAEEKTRIR TAPVPGLIPDEIINAISPPDGVGQKLIYNAVAICIAYLHTLLSFRLPSLSSKYLQQAN ITPQEVKEYIGKLVPFLIDPKSTVRYENPSSAWGKVWDNIVEDPSSHSSISTLQHLLS LVPPLFHPPIVQPSFPKVFNVFSNLYHFYGIPKSGGAVPRKLAFYYKALLSLNRSDWL GIEKQLGNELETIKIEHEDVNPVVQEERNELKVL I203_08072 MGGPSTIPEVHDFLSRLFHDHDLIPLPFQSVLAPIIAKRRTPQI EKQYTDIGGGSPILKWTKLQGDEMCKLLDELNPETAPHKPYVAFRYAKPLTEDCLKEM QKDGVTRAIAFTQYPQYSCSTTGSSLNELFRLARKDGYGDKGSVEWSVLDRWPTNEGL VEAFAHNVKTALQQYPEERRKDVVIMFSAHSLPLEIVNRGDPYTAEVAATVHAVMTKL NFSNPYRLTWQSKVGPKAWQGPQTASAIEGFAKIGKKDICLVPIAFTSDHIETLYELD IEVQEEAEKLGVHLTRASSLNDSPIFIRAIADLVSNHLKDFQQGKIGPTGQQLLLRCP GCTNPKCGKTKEWLSTGGVGLAA I203_08073 MSASAQQSFRSQLSGFRWANSVQDDSQRSSSQQEGSNPLGRVWN SMSGYIPLRNEGRSQEEEAYFALSRWERLLGFLACCAGGIACFGVAFLFLPILAIKPR KFALAFTLGSCLFMLGFAILHGPWNHLKHILSPERLPFSLAYFGSLALTLFFAIGIRS TIGTLIAAIIQVVALLSYLAAYFPGGITTLRFGGQMALRGAGSVLPF I203_08074 MGDIETGSPIPFKVPSALATEGAEVTPKSTTNGTTNGTHVEKGF SPRDFLSDRAKVTQIDGIRGLMAVEGPGIVSFSSLAGRTSTIQPEDTEKTAGRPNPTT FPFSSITLNLKSPLADAQGKEESITIDTEDLDIALQYSPSPGLGKLVDWAVGLQSQVH NRQRDGKWTVSFGSGSQDLMSKGFHVLLNPGDPVLLETPIYSGVLPPLRLLNAEMIEV DVDSQGLSAKNLERILSIWPEGKKRPKVLYTSPTGSNPSGCSAPRERKLEVLEVCKKY NVLIFEGDIAIDYLATELIPSYFELEAQVYPEGGHVVRFDSFSKLLSAGLRLGFATGP KEITQAIDVLTAGANLHTSGVSQAVTLRLMQHWGIDGFLAHGRSVAKLYAERRAEFEA IAHKYLDGLATWVSPVAGMFLWVDLSPSGIRDSYDLIRHEALAKGVLGVPGMAFYPSG RKSPNVRLSFSIVDLDQDTELGFARLAEAIKDKQKALGLA I203_08075 MPILGFGGEDDESTTPSPTQTTRGNDDDKTSSQRTTSKPTSTSS QDEDEDDEPTTRTSKPTSTAKKDDEEEDKPTSTKRKEVDDETSTSSSKTKTKTSTEES TSTGVMSVANSCIKNGTDSDECLDGYQQNSGLIIFCAIAGALVIIGLIYWLIKRSKRR GKLEGMISKA I203_08076 MGFIVVLFLEFSADKVEEVKSKLLDAAAIYRKDPETLEWNVQQD LTDPKKITLVEKYERESSLTEIHRKNPIYQETIDLITSNVTKEIEKHFIHT I203_08077 MSRPQTSHFLPLPPLSIRTPAPSYRKPTMVTTYSHQPDRTITHD DSSMTYYRAAPMGSDLNYGYDRRVERDESVDEHLDGLCDALREVRGPGQGERKGGIIT WRGMITKIMTAPYEDREGWEMTAIALDGSVYIELHDPPEVRARRRNQEAPYAKQSYMG YSFESFSTVSVHQEQEEDWPEGWGGDVNTNVQVSCSVVRSAIGDIPLCLGGEVDCVKA EPGLPHPGLDKCVELKTNKVIENEKQEFTFHKKLLKHWAQSWLLGIPEIQVGFRDDSG ILRSQRGFETEKIPRFIASVPSPRPPWTPQPCLHFLYAVLQLVLTHALPTDPLIRHLS GSVHPEDPLPAATVWRFSFIPRRGCEMYKVGEVGVDEGGRWGGMLKEDYVRWRMG I203_08078 MSYLLNYLPFSVPITISAISLSIVPLLILHFRSYLSPSPRPSPN SLPSSFYPAVTSHGRHLPLTAKNAFSYAVLYLGVDVDSMESGRLNLPFRIFRYAGHPL TKLIGLRTKDYLSHGDESFREKLERLLCQDKYGIEKEDIGKIWLVTLPSLAGWEGPNP LTTWYIYKKSKEEGKVGEMLCVILEVHSSFAESHCYVLKPDSEYRQEPAKGYDLAFKF PRQFHVSPFNSRDGFYRADLTNPFPNPDLAYYTDNPPRFKIFLKVLSTDDQVKFIATL TSGPTPPVRLEPWNILSILSTLLKWPFTLFSVTARTFYQAYKLHYIKKLALFPRPEHH TEGSEGLVNPPEKAVPDIGQGMQRQAISWTEIHARRVVENWVKQRVEYTGIQMEIRRK NGREDLIVDWKEKTTSNGNGHANGHSNGYSNGHSHNRLIITTSDPLFFTNLLVSPTPQ HSLIMFPEQLTSVSSPEKFVEFFSPSSASTESHIDGVTRYTRNRRTEYFLYLYSHSIV PALPSIPPITPSPSHFIEHVDSSLRDRLLVARIVFWFTFNEYFEYRLFDLLNAKFVSG SEPWKIWERSLQSQFWGIKPQQGREEEKKLGTYFIS I203_08079 MSGTWDDQWSIEIGGDGQTTEITGYLEPDPQIVEKVRLLHQQLW LKMWLNQCDYYMLYNTSSILIYHLKSPTQIRLSYVAPLEEASCPDPISVERVTCPDSI RVYSSERWQSPVTPSAAPRTNPKDRATSIGIALQKMRSILDQDGKRLGRTWDVYLAVL AISLRLTQNKDDIKGMLGPKGIHEGSANPYVILRVVAKITPTNLPECLRLVEKRSQTE AMKAARNESKIYNTRLLKFQGDKVPECYGLWKVNSGPNKRLIMVLSRVEGRLEREDEN WEHLHDLDRIEIAKLYQAIHSVGVVHGDKSLRHIRYDYSYPSTDPYRDPSPLMLIDFE GSKIVGKRHPDLSKEKSIVLSKLDLAEDYY I203_08080 MSAIRDSVKIDSVLSKILKIQGWRRPKNLGDYLYGTRIPQVAAD RPVRSRSRPLQIVTTAVSKGSTEHVIRELNEIKQGKITFIFGSGNGKHFLFALPKKTE KTSHITGGGTKTGYRRYYDE I203_08081 MSAPEVFQLSLGPLTGIAFSPDRSQVCVCPNSNEAQIYTRNGDS WDLTDTLAEHDKLITAIAWGPNTNRIVTCSQDRNAYVWTHTEQGWKPALVILKINRAA TSVKWSPSENKFAVGSGSRAIAICHFDEENNWWTAKQIKKPLRSTVLSLDWHPNNYLL AAGTADGRTYVYSGYIKGLEAKPEATIWGDRLPTGQLLAEFKSPNGGWVHDVAFSPSG DALAFVAHDSSLTIIYASGPNEPPAAHIVVKLPSLPFTNLTWTSESSIVAAGHDCQPI LFTGSPSGWAISLSLDDPSSTSKPLTPTATGIRSGGGGVGRLGNNEAFNMFKAADSKG QRGLPGTGAATTSSGLTPVGSDGMLLTVHQNTITHVEAYEWNQNGEVSKIFTAGRDGR LVVWPVTGGKGGLSGRMAGLQV I203_08082 MGRDKTNNNNTRQTKKEKMAEKKAKKAEQFDVTHGKNKIQRNNN NKNNINKKDNVVEETKANKE I203_08083 MAWSIFTNPFGASSSSSASSSTQHIEAETHADVLPAPTLTPPAP QVNKYETVLQDEEKYQEVQYPTTEHVPGCMTLLDEFLMCYALAPQLRSMYRYGEFRDC TWKWEDFKYCLSLKSDDEEMRRKLWIKRRAEWWAKRRVDGSSEDVWDVRSEPPKNFPP LVTEEITSESSTT I203_08084 MSLPGTETIRFRRPTTGPNAQKAAEEPKKDEFGSMAPLGFQKRH QGLLQDQVGRNRPGPFVPTLSYAFRLLWLIRTVAAMYAVISDCDEVFNFFEPLHYFQY NSGFQTWELSPEFAIRSWTYVLLHWPLAHVVPKVLSVGKRPAFFALRISLGAICSFCE AKFFRTVVETINDRVARYLLFGLMLSAGMWTASVAFLPSSFCMYTTMIASAYWFHPAT STPTGVKRAYMATFAFAVGAIVGWPFSAALGIPFLLEQLFLTGGEVVLPAQKGVWMTK RWETMGKAIGLAAGIAIPVSMIDSWAYGRSTFPTLNIVTYNLFSGNGPDLYGTSPTSF YFANLFLNFNFLLPLALISLPALAITYKFDYRRLGKTQMKPKEGETSPYTLLAVRLSP FYLWLAILTAQAHKEERFMFPAYPLLCFNAAVTIYLIRGWIETYYIHVTNSPYNASRS SIFSIFTLLAVLIPGAISFFRVGGSLYFYHAPFDIVHHFQYKSIPSYLSDMGYEPIPL PENYQPYGNEIPKPQWDLTPLKNLENPITLCYGTEWYRYPSSYLIPEGVRVRFIKTDF DGMMPRPWEPSNTTGTVWPRSETRVIKEGKFNGENKASEDPGTYVDSSECTYLVSLTL PSTSHTQLEQNWAEKPEWEEEYCKGFLDAASTKWWARLVWLPGGLLEKGRVWGDYCLL RRKAE I203_08085 MFSTATNPYDELIVKATDENLASEDWALNIEVCDKVSGEGENGA RQAVAALTKRLSHRNPNVQLYALELANTLAQNCGKELYGELSSRGWTGALDRLVNDRT TSAPVKKKALSYIKSWAKQFEDTGDPNLGIMGELYDQLRAKNFNFDEAEPQHEDAAEA RQRQEDEELQRVLELSKQDKGGRTSYNYQPSNPSAGGSSSSAPNHNVGGSSSSSSRPY QQQQQQQYQAPQPVYNPPAPQPEPEQPLDINTATRVRALYTFTSAEVGELNFERGDYI KVLDRGFKEWWRGACNGKIGIFPVTYVEAVPEPSPRELQEEAQEEARVFASLGLVDQL LQTLKGIDPSRGDRIDDRPEIEEMYQASVGLQEQINSLIKKYSDQKAELEHMNANFLR AIRQYEELRNPAPPPAAYGYAPQQPSQADPYAQGGYQQYPQQQAPPRQQAPAQSFPQQ QPYAPQGQGQPQYSPQPHPQSQYNPEPQPQSQTQGYPQQSPDGHYGSAPPQAFPQAQP APAVAPVEQQPQLGQYYQQRAVSSSSVNRIPSGAASIPGLPLPPTEPIRQNTEPGVAG LGAGSDPQAEHKKAWDDYYRQQAQNQLSHPQSLAPGQGGQPQGYAPQAHDDAHTQNVY GQSPYAPAQGQGIDGVTQGINRMSVHGA I203_08086 MNPSYFIPNQFSSSSNGNPNGAGPSNYAASSSSASASASASASG PNSPDLPSHHQQQQRPKRTYQTQAEQRQLLHPPNIQGPLIQPNQDLNSFLETFWERQM NTVEGEIPDWKSYNLPLARIKKVMKSDEDVRMISAEAPIMFSKACEIFISELTCRAWL VAESHKRRTLQKSDVASAIAFSDMFDFLIDIVPRDDGEQDAAAGTTTNNNVGGSGNGS VIDDDHDLDHENNVDGLDQDGHVHGQIHGVNPHDINGHGHGHGHNLPEDEGEDLYNKY VQED I203_08087 MAPKRPNTYASQGPSQRRRPQAALSDSDSDEDGDHQANGNGRAN GNANRDDDDEEEQGQGSTQAGSGGLKDSEIKIKSSQLARYALFQEYKRNLIRRADIVK NVLPNNPRAYNLVFQGAQGILRESVGCELVEVRGRGKGAIADGEISAVTGPGGRKGKG RARQNGNGNGNNENDEDDEENENAPAPTQTQKGKSASTKAYILRSIIPPDLLAAMSNP SALPLGLEDEDEAVKDSGALMQWEKGDGTSSGHIALLGIRTVILAIIMTMGRVVSDDL LHAYLRRLTLRRETILPYSSSDSKEPPLTLDKYLDLLAKQNYLEKVKIPGHGRGHGGE GGEQFEWRWGQREVEFSEKDAAKFIEHIILGADDESSSEEDAEEDNGRRNRRGRGQDQ RVDKVARRNKLKEDIVKAAGGELTGKDW I203_08088 MSLPLPTLSPSEISYIQTSLSDPIHPTRSDSRSLLDTRPIEVSY GVFPHANGSSRIKIGGTEVVAGIKLEVVDSSESSKGWKGKVEVDITPQAFPASQPSNL SSTSAYLSSILQSHFIPSIPPLVIIPNQKYFQPQLHLTLISSDGNVLSALVLGARSAF ADLKLPKIKIISWVGENGENEGEGAEGVIGKGDLSGIKAAIKSKSKKGRNITKGGEDW DLDLSEDLDHIDGREELPVLVTLNLVPNSPNIFLDATSQEESACPSKLHLFFTTSSTE KSLKVCGIRFEGGQSIDNSRIKGLIEEGARIAGELISGLNGNLPQ I203_08089 MLGAVLNHTLAAEFVRLPLQQRSISGSVITGLDPTHVDPSNPIT LFIIQLFIIIVFTQGLGWALQLINQPRVIAEVIGGIILGPTVMGRIPHFTDHIFPPAS IPYLNLVANVGLILFLFVIGVEVDINVMKKNGVACAAISVAGMVIPFALGAAVAVPVY HNFVDTANVSFGHFMLFIGVAMAITAFPVLCRILTSTKLLDTKVGVIVLAAGVGNDVV GWVLLALTLALVGSGTGTTAIYVLLAAVGWAILLLWPIKKAFVWLVKRSGSLEHGPTP GIMTLTLLIIFISAFMTGIIGVHPIFGGFLAGLIIPHNGGFAIAVVEKIDDLVSLLFL PIYFVLSGLSTNLGLLNTGKIWGFIILHCVIGFLGKFVGCAGAALAMRYPLRESAAIG MLMSCKGLVELIVLNVGLNAGIIDQRLFSMMVIAAIVLTFITTPGTLAIYPEHRRERL HGPTATHKNDHEHVSVGTNASFAGGSGGRENISKFLFILHKLEHLSAFMFLTQLLEAP APSSPRKPFDASEIVGHETNKGDLTDGSTSSTPENALARLPTTTSTAEHASSQQPGNT TSIDALKLMELTGRTHSVMQSAEKDQLLVTDDALQLFKQFGRLRGLEVKPHISIVEQD SYHQAVSDYSHDLGSELVILPWTVPVSGTSTELIDSSMIDKEKDAEAVTGNNSASATA SQFETIFGNGGNDNSGSPIYTHFIRKVFSECSEDIALFVDRGFGSSTALQPGSGQHIF LPFFGGPDDRLSLRFVVQLCKNINVTATIVRIEKPDNANDDEDDAVSNVGGGKSESIQ VHQAAWASNQLTVGPTNSAYPETQARLASDTADNIAWTYYSSPSATGRSSSIDNALSR ISFFSTQSATPLSFAFRCAASTIQSSTSSSSGAAGYWRPMLIVTGRGRRSSPINHSQE LNKILADKGLNPSIGAELRKTLGDPATSLILGGGMPGTASFLVMEAGKK I203_08090 MTEYQPHELPLPVPSPASPSASSLTGKKHSITDFTISIPGSTIR TNTNTSTTTQHSIPRWNTLEFRLYALVFVVVVPMMIWIPIKLSLPSHPNYWNYEHRLS EGWFGYKVDNSDAQYRSFRSNLLPLLTLSSIYLILSHLFSRLTGPSSQQRVKFILGFS ISMIILLHGFSSIKILLILSSNYYISKLPLPGGLKKFWPAVLIVLNMGVLFVNERNDG YKFGELHAALGTLDNWSGLLPRWHISFNITMMRMVSFGVDYLWREQNTNQEVPTEYKK RVNTSLPSEEYNYINHLAYCLYPPLYIAGPIITFNDFIWQIRNPTSIPTKSKISYGIR FLFSILTMESVLHTMYVVAIKDTKAWQGDSPAEMSMIGFWNLVVVWLKLLIPWRFFRL WALLDGMDPPENMIRCVANNYSTLGFWRSWHRSYNLWVVRYIYIPVGGSKNTILATAL VFTFVALWHDLSFKLLAWGWLVSLFILPELSARKVFKESKYGQQWYYRHLCAIGGVFN ILLMMSANLVGFVLGLEGMKVLLVELTSTISGWVFMVFASCCLFVAVQVMFEYREEER RRGIDRRC I203_08091 MKAICPFANLSIIQPPPHSSSSQLHLNLSSTPSSSSPIDTPSFS PNTPTENSQPQHSARGGKLPGGQELDLNQPVSTLLKLGTQRAHVKAEHSAGAAALVQG GLGSEEYIRWLAVLWRIYDTLELGLAENSSNPVLAPTYDPALLARAPALAEDISYLLT LLPSSSSSSSSTFNSSLSSNTTSLPPFAVPPFLEEIFVSPPEPLSNYINHLKSLSTSS SSSSKLLAHSYVRYLGDLSGGQFIGARVKKSYDLSGEDGTKFYYFEFQNRSNEGDNES KFDAKKRLGEVKDWFRKGMDEGVGEDQVLKADLVEEANIAFSLNTDLFSVIRLPSSAS SQTTTAAKQKVDETESTAADRLNSIVWFLVAAGAGVLLNVYVQPIVSDWISGKRNGI I203_08092 MSKYQQKPYTAEEKRKAIETYSEKIQYSPRYSNEDWEYRHVIIP KQLVKYVPPGVCPEDVWRGLGIRQSPGWEMYMRHEPEPHVLLFRRPKDYDAVHQPFSQ VMGARAMNVVGGKK I203_08093 MTTPIATSLEEMQLNATTSFSKSKTKKDWTLPPTFLSLLATPPE RIIDSLVPFKPPTFTPASTSTSNSTSTPSAPFINAMKKAPDTLTEKGAQAYSSTGSAL VDLFNDFAPGMGVEPLHELLEKAWKEDSEATLKLIFQGRSIHEGKGWKEGFYRACAWL WEYHPRTFLENLHIIVDPTCKRPRDPKRDEERRKRREERAAANNNGIVDLDEDGNVEL DVPEDYEYPFRPHGTFKDLTELLILHISGQLSVKYKDKITALDEGIAPAWQASTFKAS RLALKADPKSKRNRNGFKAILKAAKKKQKEKDHDAFELSLWRAPSSGEKHKILHQRAE KALSHDKKYQALYITVLHIFVKYLKEDLRSLDKHEDFLRLRPNHPERLAFKNDTSPHL FALTYAAKWVPSPGKSGDRHTLFATALAHMFYPGDGVEWSREKLQKKVLTPLRKALSV PEVEMSNRSWNIDYPKVPSRSMARNANAFAEHDPRGFDKYLNRVSKGRTTISGASLMP HELLYDATRGDNAITKRLADLQWHTMVQSIRTSSANRLNNCIAIADVSGSMGSFFYGQ KKNPSPILPCIALTLLLGELAVAPWNGAFFTFSAEPKFETIEVSLPLSERAKTLSHAH WEMSTNFYKVFDLILATARTQKLKPDDMVKKVFVFSDMQFDAAAGKQYGETEHDVIKR KFEEAGYPLPELVYWNLAPRYDGAPKPIPSDVPGVSLFSGFSGALVKYFLGQADLDED VTMDVEEEEDEDDEDMVVVGGRTKIGEKEKKAEKKNDSPLDTVRKVTSAESFKDLKVI D I203_08094 MDQFRNSVLDGKVVLMGRDINRLISNINIPLDIPSAWEDDFSIT VFQKNELSNLSMEARRSISGITPHCNRVYPAGIIKDGIVYYLLIIWVEEEKEEVQLDV RDIIQNTFPKEPSTEFLDHLIYTLQLLDDHSATQSYSEQLVLIEHESDKPSSKGSIRL GDTALRNERYKLAMFSYAQGYEHASQNDEEKIIRYCLKGVERCSAYTEWGVILLEDEI HQIPIELSCLLLRPWSTTLQSAVKELNLIPSLCLEPRQRSYSPSLPSSQERFYRLPRY FRWIVPFCLSVMSTPRNEMDISALASIGIKTIITLTEETPLPAHWFQAKPIKNVFTPI PNYYPPSIEQMDIIIQLLNDETNLPLLVHCGGGKGRAGTVIACYLATYGFHPPAGDRD HPSMSANEAIAALRKIRPGSLETSQQEAFVARWCSTIWKRQSIFPERPFEPPPCKLII EGTIEEDSNLFVLLGLPGSGKSWFSNALIARDQTGWKRINQDDDGSRTICETEISRTP LATNEKVLLDRCNTSSEDRKKWLRLASNWAINPVCIWFDYDKILCTSRAQGRIGHPTL RPGSRVRNATEQMDKIFDRPTLDEGFRGICVIRSFEASLELVERLSPRIGIHKFPRTP HLIDLGAATSDDIIQSQANSDATADPDDLASGGRYTEKVIITEKIDGANMGFSLSSDR SKIIVQNRSHYINPTSHEQFKRLGNWLEIHQAKLLRLLGQDESTDTFLDRQRLQSLLT LHDCDIHLVPIMEEFDRSPSDEQLEELVQRKSKFWEGRVEGIYVKWEYGGVVRKRGKV VRSDFIAGNEHWMKRKLEVNGIAVAGCQSSYT I203_08095 MASTNSNLLQPPQRNLNPNPDHVIPLTPHLRPPFAHYHSSSTLP PTPHTPYSPQAPSNSGESPTRLRPYLSLSPRILLTFFSPYLLPIILTIAHMFQNRSST ASLAASLKQSMLSACSGLAKGAASIQTMPRYLAMQTNEEVVRATQASILAVGSMLMDA VTIIEVVVEFIVDTYRSLLLCTIELVVRGTLEILIAAVNTITDGITTSLNSIREEIQE DIASANNIIQSAVSGINSVTSLVNVNLSVPEFSVPSLDFLANVTIPTGFEDSLIKLNG SLPTMIELKDKMSDLLNTPFEALKQEINETRIEMAANFNSSILPVPSLSQLSANTASD LQNDLCTDLDTSLIDDTAKALHKLSNVAIGLMFLLLFAIWAALTIWEWRKWRMMKNAV EAVEDEWRRENTVDAWRVVAIVENPVLEKYSSGILGRVTKSSRTRTNLRWFLSYLGHP TCLALLFISLLGFLSIQFQLVALDALKTHARENANSTVAASTNSLTTKLNAAAMQSSQ EYADQYNAAIAEYQQKIDDELFGSWLNTTAVTLNTTLVEFYDGIEQVLNDTFGGTILY NPINNFMYCILGAKIDNLEKGLTWISEHAHIELPTLPSNILMLSNDSMNEIATPIASA AVGSGSSSDGEEDEGIVGDLIKHFESALKAERAFYGIMIGMWGFLFVVGVIIVIWNSG GRDKYYSIRGKTTPPNNHDSPPTGGSKWIPWLKDTHLIYDTYAEKQFRGTTPTPTTAN IPTIIEPSTQGEKSFFEYPDDHKENTLRPLVPRKGTFGSTISSLAAPGQAFLKLAGRK VSSTSTAGHERDDNEERLVEKGVNSEKYNSTYYNDHQPDFSRKRGASSTEPGQTFWVD KFYGAIEGVKSIFPTRGQKHGASLNRNGSQRTENSFGASQVPTARTQQNDWPSYYNGN SDSSRENQKDEPEWTMINPQSIGRALANEDLQDEDGRYSTNKMIYPRPMSRAPTLSEG MVLPKTHPFHPSTSANPFEDLPPSLPPKPVINGNGNRNGSIDNKHDSIDYLQSEDEDS HDQGDNEERLVSIISPSTTTSSIQNYMINEQPKVQSVNKVQLKGMEETNKGTTALAGI LQELQEKRKRQVELQQGNRDREGEVFSDSRRII I203_08096 MADFSSVINAVPPVTRTLLISTAAVTFPCLLGIVSPASAALIWP RILRRYEVWRPLTSFFFGGSGFPLLYDFFLIYRNSSAMEKDVYLNNTAEYAWLHLMLG LFILTFNSLIGLPFLFRPLLHAQTYIWCRANPTLKVSIFGLLTIPTSLYPPALILLDL LTGGPMKAMGGILGLLSGHLWWFISTYLPLHAPTHLRRPNPLAPPLRFRQLFRRNTPD RSAGFGAYRPESRTTATAANDPAAAVRHRWGGGHRLGGSSL I203_08097 MIDSPIVDSSSGSRSALDEVPHEFRGYQLRHKPFASHPPASGWK EGDEPGTFRLKQDYTLIFVVDKQKGKVLLGYKRRGMGVDLYNGFGGKVEDGEEIHQCA ARELEEESGLRPKPGGLYYKGCLISARPQSAKLNSPACIIKIHFFACVAWFGLPIPTE EMIPEWFDISEGLPVHQMWPEASFYLLPVLQSIQDDQREDLFLSRIDYEYMRLSDAPT SLPALDGSTVRRSRPWQDEEEPDFGECLSDWWMCFASSRGQISG I203_08098 MAEDPSKPITDDSTATAILRQKRSPNRLMVEESPQEDNSVAILH PNTMEALGLFRGDTVIVRGKLRRDTVLICLSQDDIEEGKIAMNKVARGNCAVKLADLV HVSPANDIKYGKRIHVLPFADSIEGLSGNLFDVYLRPYFLEAYRPVRKGDVFQVRGGM RTVDFKVVEVDPSPYCLTQFPPQQVIHTEGDPIDREAEEANLNAVGYDDLGGCRKQLA QIRELVELPLRHPQLFKAIGIKPPRGILMFGPPGTGKTLMARAVANETGAFFFLINGP EIMSKMAGESESNLRKAFEEAEKNSPSIIFIDEIDSIAPKREKANGEVERRVVSQLLT LMDGLKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGIPDPTGRLEILRIHTKNM KLADDVDLEQIAADTHGYVGADMASLCSEAAMQQIREKMDLIDLDEDTIDAEVLDSLG VTMENFRFALGVNNPSALRETVVEIPTTTWDDIGGLDKVKRELQETVQYPVEHPEKFL KYGMSPSKGVLFYGPPGTGKTFIANECQANFISIKGPELLTMWFGESEANVRDVFDKA RAAAPCVMFFDELDSIAKSRGGSGGDAGGASDRVLNQILTEMDGMNAKKNVFIIGATN RPDQIDSALLRPGRLDQLIYIPLPDETSRLSILKATLRKSPIDPGVDLNFLAKSTAGF SGADLTEICQRAAKLAIRASIEADVRKERERKEKAEAEGGDVDLMDADNDEDEVPSIT VDHFEEAMRFARRSVSDADIRRYEMFSTTLQQSRSFGNNFKFPESGQAQEGGASFQNE ADDDE I203_08099 MKFQVLALAATTLVGLCSSVAANTTPRQPRGDNYAASLEARAYY LKARQDIANNATTVDPTTIEDCEEDVEDDSAVVSDPSALATVNSTASAGNSTTVPEED EYEDENSDSADDEDDLPYCDELEGEEEESDGDSSTAVSSSATPSATPSQIGAVQAAAA PSADASSGDESCGGVTTVYVTVTADSDAASTQAAATSQAQSITNVAAVADPTSSASSS SATADPEDDEEDLEEEDDGEYDDCEEEEDEDLVSATATSAGFSVTASATAVPTNNAIA GNSTATPEDDEECEEEDDEVVSSSTLTSVESVPTESAIANVTTATTNSTSASTSESVS ATVSVTESATDDASSTVSSTEEALATETATDSATVSSSQEASTSATEAVTEESTSTAA EGVTITAEDATATETPVGKRRISFW I203_08100 MSSAKSNYISSSGTIGSQPLTSRITQQASNYVTLLYLFVETLIS PIVNPASWKDPSIRPPPKSNNNNHGSGRPGGGGGGGGGGGGGSGGGGGGGGSGGGGRG NGGGGGFMTMGDLRGGGTVDGCRATCG I203_08101 MMVGKPLSRKLVVLGDGACGKTSLLTVFTKGKSVGKPHRVTGTD IPCRLLPYDVVSKLESSISFVLIHQLVNSEPTVFENYVEMMQVDDQTVELSLWDTAGQ EDFDRLRSLSYADTHIVMVCFSVDSPVSLENTESKWIHEVNEFCPGVKIILVALKCDL REDPAIKEKLAQRSLHPVTYDEGLSVARAIRASRYLECSAKHNRGVQEAIYEAARVAI GSRPKGGGGSGTTGRIRGSGWREGRCVIL I203_08102 MVLPTSRSVSDSYTSSLSPSSPIAHTNFYSPRSRSPSPSRLQGP DLSKYSHPHSNSRRRASSSRTAIIRYFKPYLTPRVIGSLFLWMIALWMIHSFIPLPIP RLTSPGSSKANAADHHLSNLFPQPPLRAGDDLLDSVDPRWRPFEPLSPPDPPFPRLRP TRFLPSRCLEQWFADGETLCGKGEMGDEETLDATWLWVNGSDHRWQESMLHWRQKEGV YSPEHHFREQNELVHSMRSVLDALPGRLSTFHLILADYPFEAPNDLSLLPESILEDLE QKVASHGDPRHSHRSRQNDNDQSTGNDTARSFTSLSASLSAHLSSTWRVVQTPTWLDF SRRDKTSPSHPFHPFSSNQYRDARNKLVRAEANYPTLRYASHSEIFHLPSMGRDGLTE ELGEREWREREWRKKALPSFNSMAIESRVGWLPGLADVTLSLNDDFFLLRPHAVSDFH SPLYGSVIRFDHGYHQQVRPMLDKNRFNDAGEVGGLYHANYLLSQRFPRRLRPYFAHV PKVITRGLHHEASLIFKEALAESSQRRFREMMLGEGDIQMQWLLTSLRVERWREALLW TYVVANLGTLGESPDTWDHLARAELKDMFGLNDDDDDVIKIEVHRGERWTLEPGRMAR AFEQAGWEAPKATDFLFSSMDGHMPPILKAGTDPSANDKCVLDLDRCFGSFWSREEDV PSGDMFKRLTFQYPECGDCLLMALVTASGPLGLSAFFPPKGTTFETALLSPGQSYPRY LPPPHLPLTPTWHEADFSLEAVMSTTALPGEAVDLREYTMKLLSRYQYLSAKSESHFH MLKSAEHAHRVFKMIQDNPRVSILGLNDDIEQDYDEVKGIMLDWFTLRWPRKAVWERG WDPVKDKLEE I203_08103 MLPSTITLVASFLPLLALTSASAHSPHPPHLRHRRISNVVQNIQ RGENTPRAILSPKDNAGHAQAKKAIKKTVKKRGGQQCRPRQAATTSFSAAASVATSSS AVSSVASATESNVDNNQAWSQPQSSAWSEAPASTSAWAPASTPDSNSGSGIIGSILSV VDGTCGPSNADSSSPNGAEGWLNCGVDGSGWTPPMVTADQLIAAELSADGVFAPCGPY IDKFNQYAAQYGVKGIMLASFAMQESTCNPSATGGNGEAGLMQLAQVNCGGAPGGNCY DVDFNIGRAAELFSNLIQAHGGNVLTAIGSYNGWQPGLTIGSATAAAGQGRCAAQNNL DYIHQFCNGWMQGKSGYDLGSYCE I203_08104 MTSLFGKKHRSSASASSTHGAASPNGLVASVPYNQITSSGPPPV AGPSTKGSGDVTGRMISPPNTNPMLTDQGTPMNVSRRGDGLPLPSNRRRSNRDESDSD RRSNITDPGGGRMSPDLPGQSKRSTADAAGGVRYNQPGIVLDASSSTGIRYQPPTVVS QNTARSPTPQELGGPAAKHPYAIGYGFQDPETASIRTVSSINSQRPNRDGRYPTFDGP PPARSSVSSRHAHPSSNGRSTPTASTSTTSLVQPHTPRQDDFHFPRPNDARIEELFQQ LLESRDLDTSQPKGVPSISSRSSISSTVSNIAKTASSIPIESKWQMVEADARNRHENA KVARRKEEELNRMSIGKRVDARSLDRTTAEWYLTKALDGKLTVDHLKALDISLRTETL DWMNNFMAHQGQVVLANYLNGITHRTGKGPVDANLELELLKCLRRSLGNKVGAQDAFQ KPQVINAIVSSLISPHIVCRKIAGEILVFFCHYNADANPRLGLRLVLSGFTALEQQIN ASVADLAYKVGRFDAWLRQFEATIDGRGRMGSMVGLSKDLRGMDDGFLMDYCVTMLCL VQGVMSGKDIKSRCSVRAQLETAGILNIFHKIKQWNDPDIMKLIIEYETEAENDRRDL IDEEDREMLKSMRSPEDVFRALLQTTKGSKASAYLLNALRHLLLIKGEKEEKVRYFQL LDKLITSIVMSDTPDLSQDFSRAFGISVSHLVGRFVEQDRLDEAMVELKSTKVALARL QEEKRSLQEEISAGDEGLVGKLKAQVIDLEEKLRRSRAATETVTDQMEGMKRDYEQRI ADLELYIQELFNMLRETNHLEQVQEMTHGPIDRRKLIHDLREQWERKKTIRKLEGKNR RKTVRPGSTILEDDSEEDVEDEDGEVMEAEKVALGGQAKGEVKNAHRESHRDRKAMSG SQFMDAEEERVRAHIENTLAKGADSVSPIRPQGASSSRTGRTRDDLTPTRRAFDINAP PVGEKSIYRQSKKPGLPPRFLEEIRFKALSRSSSAPAGLISDDDVEGEDCRDSQFTQR TDGTGYTSGMSYEIRTPSSRDNFRVQVLSRAKSIRHGLDSDDILPDDAGNLSTVQEGE ESRGSLGHDAEDGAGEMEKSSSAVTYPPPPPPPPPPPPPPPPPPPMFGTPASTSTSSF SSPPPPPPPPPPPPGAFAGPGAQLPGMSGVLAGIKDGVSLKKTASSPTPPPPPPPPIS PQNSLPTVARAPLGSISSLLHGSNDSRKDIGLIASKKMKQLQWEKVSKNQLSKTVWGQ ADEVESELVDKMQAVNLWDEMEDEFKAKEIIYDAVKKRKETELQSVLAPDHRKRIEIL MAGSTAKSFKDPERLSEAIANFSSELCTETFLHELQGVLPNDDDRGKLLTHSADTESE LELLHPADRLMVRLIQLPHLNDRVKGMLFEVRFAQNMDLLEQSLSILKSACHDLRHAE RFQKLLNVILTMGNYLNGTNFAGGAFGFKIASINRLVDTKSSGGGNLLHFLEKTVSQH FPHLDGFLDELTKPAEASRVNYADMQATSKHMLDEIRKIRDSLRNNFEEGVDGYTKKM FRFSAVAEERLQDLRDGIIGADKELREVETYYGEGEEMGRPLQSQDFFGIFRTFTSSY RFCRSQNRARAEEAAARERRAAAKAALTPQTTGMSTASRDLIDGTMQRLKVEGTPRVK RERRAHLPPPSPLPQTTDFSDFMIPLSAGDTETLDFGSLAQRMMLDENFFPGLSSGGG FGEPIDLGLLSPQPTGGEPLSPESPSPNPLTIAEQEGKALLPSPSEMGRHSPTPLSKV SEQEEDEGQFED I203_08105 MSFYLAIVSPLDSPLFELSFPSAKPPPGSSSASSTSSFPSWSTF TAANGSDLGADHPGAKVGGSMGLLSGESKTGPERDRAMCQMIAHKSLDSVEEMMDGTG SLYLKNIDRHNEWIVSAFIATNVKFILLHDIKNDDGIRLFFLDVWESYVKILLNPFHT VNTPIRNPVFESKIRASAKRNL I203_08106 MGYYASGLLVSSTSLATVIGLYLLFTGQGESFNVGKFLAESSPY AWALTGIGLCIGLSVTGAAWGIFVTGASILGGGIRAPRISTKNLISIIFCEVVAIYGV IIAIIYSSRVNGDVENLYTANNYYTGFALFWGGLTVGICNLLCGISVGITGSTAALAD AADPQLFVKILIVEIFGSVLGLFGLIVGLLVSGKAEDFA I203_08107 MSTKSSPSPSPVKDGADMQRQGSSQSTKDGNSSLKKRVREGSLE PSQAGNSIPDPVATKKNRIHSSSSKSAGPNDIAEVEGEDHSSPAQDVNLEAGTSASRK EEKPVGEVRKKVEKMSYEEGKSSDPSRTEKSARSNEDVEIEDEGKETERPNGDESWEK IEKDEVEGSNGESLKRKALNRSESSYAHEPEDVSTKRAKDLPSDKSNKAPSPKKTQKT FSSFASSASPFASLKSSSPTADDATSTLAAPKPETAIKPQSTFGSFSGTSSPFASGAS PAPTSSTPLSSQTTETETTAPAKKPQATFGAFSSSASPFASTKSTSAFGSAPIKGSAF GTYSSTSSAFSTKKTTPVEGEEKSEAGPSNFADILKETGGDEETEEKVEMQEQDVSTG EEEEETVFQTRAKLYINDRKTGWKERGVGILRLNVNRSDGSGARLVMRADGVLRLILN SRIYKGITTYPSDKKVNMTLPEDGRMVIACFRMSNTKQVEDFIEAVEQHQPESWVPDT NYTTSA I203_08108 MPVPTHLTPLPISQDSKNNQTQHHHNPHHPLADPGSNPTSAMNP THQTQRHPHFEQQMHQHHPHQRDSHSRSPSHHEHPSETEPMLSSTHSDRGHDRHPSHS AHPAHPAHHNHYNHHVTSPHPLAHHDATTAQSLPIPPPKSPKSPKSPTPLSKRLLYAL TNKPTISKTWEKRSYADQQRSEPLDGSTNQGRAEMGMGMDLQEYSSPRSRPMSFYASP AEIAAFKPLPVVDHHYPSGDTHAHAHSAPNLPVPPTRV I203_08109 MTAYILYAISFLIPIIIWWHRFTPITLPGVPHWSDGHILWGDLP RLRNILQNNGSFTDFLDLSASTLGPICQIRLGPFAHMVIITDYMEMESLLLRRHQSLD RSSQTTSLFKTILTRALLTLKTGEVWRHHRRAMGSAMTPRFLALTMPNITRSAQNLDA ICGMAFGKSWGVVPSYIDQLGRINTHTEGGPVEIGDRDELIFRLEAPDLAKNTWYIFK AVPVQSPFPTFTHFFTRLKPNYLYHVKRIDDFLSGRLYEARQRILTTSHEVASEVADN TLDLLVGKGMQEGDEAMSDDEIKQELLQYLLAGTETSSTTLAWWCKFMTNNPSIQTKL RGHLLERLPQDTDMNMNFDDLSPAYVPYLEAVVHETLRMARTAGGFLRDSESNQGNPF NQDMMILGHHIPKGTTLAFPTSIGYQECSLVDHKRPKSPSTESHHTTDHDQKRRVGYW DYGTGHKFDPERWLTEDGRFNPIAGPNLPFSLGQRRCFGKNLALLELKLFIAQLNLSF FFAPIPADQNRFDRLDNVISHPKDCFIRPITWTKRNASC I203_08110 MSLSNYTEAALGEPWSTPATSQAEEPTVESALEKEKIIKDILSL RDGLRGLLVRVTEVEADNEKLAKDNEMLSVYIDNLTRNSVVAAGTKR I203_08111 MSDIARKTFELNNDVQSVDPTAAIFQYPREEEKALEDDAPWSKD PHHFHTVKISAVALIKMVTHARSGGAYEIMGVMYGRVKDGVFWIMDAAALPVQGTETR VNAGNEAMEYMVSFQESSREAGKGELLRGWYHSHPGYGCWLSGIDVNTQLNQQKFNDP YLAVVIDPNRTVSAGKVEIGAFRTYPEGYKPPSSGTSQYQSIPMEKIEDFGVHADAYY PLKVEIYKTKLDEQLLDLLWNKYWVATLSSSLLTSNREYSTSQVKDLNAKLQVASSNL SSSTSNLKLKSAPAGQASAKGKGNIKDYVGVEEEDTALAKVAKDSSRIATEAQNGMIS QLLKDKLFNTPLQAPLDPATARATVQGRQ I203_08112 MGIRGIDVYLRERKLVQTCPLSAIANTRLGIDATYYLSHLLSDV DSREPLVAATGGLPLGIIAKIETDLRALERLNIKPVFVFSGLPLASRPPQKGPDPQAE RETQVKNEAWSYYENGQVERAIMQLTAIRNGSWTDHKDLLRLIIRLFRHRFVEFVIAP YIEFAQLAYLLQHPKGYIHAVYSSTECLMWPVERVITSTDWAHNFQFVEKTRLLVDLN LTSEQFLDMGILAGSSLSRTFPPIANDFAVKTVIDLMRHHKSGILVCQNWRESQFKTQ NYIDAFWKARLAVKCSLVLTTEGSCVPLPTVVAPLGQPFTLSDIPGDLDEIFSPRIPD ELYFYICRGLISSQVVGWITSGIIHENQPLADTTDYHRFIKDVITEGPTSPRCTTIAL LLNVLHPDWSKRRISAHYFFDPPFAGPQGTNVPYNDATTQSLVEKLSGWHVPMPTIES ELRRQNSSTIDLKLCIGALVTEELAAHTRKDKGSKALDKKDEVVANVLWRLMELRGFI NANHTQTLIGKALYAANAVSRVNDRFQEPLYLLLELLRAGVVHGSKWGGDNSETLSGG PSFGTDEEQKSILLIMRCISILPLMSRPQQWVGPLSRELLVFNSFVRALSKSLRHLCE AVSVHILLAGSARRNREDYQDFMLSLPFQSEVNTGFGILTKTYLDATTYHFEDTITEA DADSDRAVQAKKDALSFVEQSFSSVKSPLQEVERGFRFWDSIMAAIRSLDKEQGPNPS LAQRVVGKDVIEQFENADKWLKPVRP I203_08113 MSTQSPESIQTLVLQTLSANGSIPDSRELIVDGRALNTPEDQGA VRGVLDSLQSKEMIEYKQITTTSFTLTEEGKTINENGSHEIRVWKVLPVKGQGEPVTV QELQKLVGPDVAKVGQSRAFKNKWIAKDGAGFVRAVSSPSDETADQLREINEKGDHAG GESVTKELQKRKLIQPKKHIHYSVTKGSKFSTEVKQLETDLTADMLQSGAWKDSSFKQ YNFAATGQPTDGGALHPLLKVREEFRNIFFDMGFTEMPTNRFVESAFWNFDAMFVPQQ HPAREMQDTFYVKDPVKALQPDPEYYERVRKIHEEGGYGSIGYRAPFSREEGEKLVLR THTTAVSTAMLYELANQKGGFKPAKMYSIDRVFRNETADATHLAEFHQVEGVVADYNI TLGNLIAFMQEFFAKTGNHKLRFKPAYNPYTEPSMEVFSWHEGLGKWIEIANSGIFRP EMLEPMGLPKGVRVLGWGMSLERPTMIKYKISDIRTLVGHKTDLDQVKKRPAVRLEKG DD I203_08114 MIGLPYLTKRIIVRSFLITFGIMVLFIISSNIIDDQDYEYQRQR AKSPTASPYVGNPGGGWFKSPNKWSISNGMKDLIQAGKWRNNMQGDMLIDLDGGFEGR YSSYEDVENVEGKDGWVDLESELVVTNYEGGTPGYQVFSNMYLVNSVLTSVVPSDASQ IIELPTDNEDAYQMDLEFQEQMSNKPERNPFPEVKHILSSEKRGQGADEDRWKIVDQD VGRDEIGKKGYKLGGVTYIFNDPPGPDGYLVYFRHFVLEAFLGATRVLASTMPYSMSK PVPKRVWFPRCGADPSWRDDRGENAWFLAHALPSVSIEDKQGWEDRNTAGLPLLLEKV VIIDRWAAHASGGEVGKWGKMNALIPSIAATRSFWDSIRSNVMRSLGVDDHSEVGSRG LPVIVYVDRQKENPKMKQSDHDALVEGLMSLTNIAEVHVARLSAMSKARQVDLVGRAQ VLVSLHGDELFNTLWMPPREDSLVIELFEDGGFIRDFELLATGLNHKYIAVQGDKVLT EEKWRETGATKGDERDTGEISINTELVVRLIEESLGPDPELESDSIAGK I203_08115 MGPKRKRSRRSTTTSAVYNREDADLELSSNDNTIFKVDSFYLKA HSEVFRNMFTDQLFTNSTIPIDLSSKDLTCLLDIMVSGEPPEKALSLKQVKTLYAFCD QYECPFIRQLMISQFKKVADIEPWDTFILASQHKDVDLAKQAIKSIAKFKDKHLISAG NMPLSMAETIDISFLLPLLEQVQAHSAQIYTIVNGYAEGQVREVWAKIAKDFQPRE I203_08116 MNLAIPSAVFRDLLGESSSKPAYQPIRLDATSADLSCSLNFMYQ SHPALPSSWTQAEVVSELCDRYKCDIIKERMMLALRSFSPKRPWEAFCFASHHDDIIL ARHALQSLGLDKKRKNATSSEITLEDASKATLPYLLGYFDLCNMLEPPLIYRSGLLEK DWNTLGKWFTPRK I203_08117 MTSTDNKIPSRSPAYDEDGADITLISSEAEEFKVHAYMLKANST IFRDMLGDPAFRPSGSIDIEASSEDLTYFLNFMYKCHAEAPQTWDQAKRILDLCDKFD CEIVSKRIRCRLKRVVRGDPWEAFALASHHHDIKMAEWALRGLGFDEKRKNITSDTIS LADASKVSLPYLLGFLHLSHSSVSWNETTRTYEKNWNSLGKKFTLRE I203_08118 MTIDASAEDDTCFLDLMHNKPPHKVSDWSQAEKVLDLIEQYECD IAGERMWSALKKKAETEPWVTFRLASQRDHVETAKHALKSMQVDSLHKQMNLYTLSYE DAAEVALPYLLGLIQTLGISSYTDGFKGSVYHNDWASISQSFKPLYPVSVNPREFFKG I203_08119 MLCDPNLKPSTIPIDTKSSDLELFLDYMTKYPPPLVSSWSMVES LFSLADKYGRPIVHERLKFRLGLVAMNAPWEVFCFASHENDSDLARKALEKMVEDSSR NQMILTDISAKDILEPTTPYLVGLLDQLGSNRTATWNSRSRRNDVNWEHMAKHFAPRL I203_08120 MPDPFFQSEKKRKRTNRAGPSRSNGGEGRPLPYGKGQKPKPSRR AEKDEELSSDAEGENGGGDLDMMDFRAGREDVNFSDEELIDQNETAAEKRVRLAKGYL AKVRDEVEAANANTDYDAAEIDRELIASRLQKDVAEQSGKIHLYIASNTTSVTTRFLP TSPHVPTSAAMTPKYLYVSTKRGSIIRYATSTLKKIGKPFGQAVGEGGRHKGEILCIA ASEDGKFVVTGGRDKVIGVWNVEGDEPVWVTGLRGHKDASIAMPALNNPSHHILSASL SRHLALHSLATLSVIDTFFGHQDSIPSVSSLKPTLAVTAGSRDRTCRWWKVEEEVQLV FRGGGKTRSDQTGLLPEERKERLGGGKEFVEGSIDVVEMLDDQHFVSGGDSGSISLWH IGKKKPIFTKAFAHGLTDLVDSEDYAITGPRWITALAGLRGTNLFASGSYDGQIRFWA LDPSLKNFSAISLTIPIRGFINSIQLLSYPTNTIQPSSFPESADTESEKRIKNDIYIV VAVGQEPRLGRWMNDKKAKNGVIVGKVELDDEGRFLLI I203_08121 MKLKDISRTATFAWDQSSSSAPLLATGAVAGALDENFSNESQLE IWAPDFGDEKGIRLGGEGKGAQGSITVNSRFNRLAWSAPSTSHSKGLLAAGMETGEVN LFDPEKILSGSSADEARIFKSDKHTGPVRGLDFNQIQKNLLLTGAVNAELYIYDLNNP NNAPVPPGPTSTKLNEITSLQWNPTVARVFAASSSSGFTSVWDLKAGKEIVSLQYGGG AAKGMETVGGVAGLQMGKRRGMSDVCWHPENATRLITASEDDESPIIMLWDLRNTRAP EKILSGHHKGVLSVAWCKQDADLLLSCGKDNRTLCWNPSTGEIIGELPASNDWSFQTT WCPRNPDLLATASFDGHIGIHSLQTTSIPSQPAEKFNEEASADDIFGALSQEEPSNDT ANVLSLKQPPKWLRRPVSATFGFGGLLATTSNLPGASGKHQSGVVHLRTVTTEQGVIE RATALDATAGEKEKLAEFCTDRASGNDDAWRALQTLFKTNSREQLVQLLGFSKEEVVK KVHEAIGKFPSVTVTEEPSEDQTKADAKDESEVATPTVEKTPEEASSVADEIVSVKGS ETASTTGATDKSLFDEDHAPGTPAAAAADFFSSMASGTLRNPQLDSIIPHKNNEFVDS SVAATIGSRASSVRDEIITKENTFQIYPEGESDIDKLITQALVMGDFKSAVDLCLASE RFADALLLAVRGGPDLLQSTQKAYFARQTASLPFLRVFQSIVTEDLIDIVQNADLREW KVAFVVICTFAKESEFSNLAEQLGQRLQFKWRTLTGSDSPEAKESARIARQDATLCYL AARKLEKVVSIWVDEMSEEEEATYTTRYAAHAQALQSFIEKVAVFTAATGYVDEDLLS PTESAVAAETGARTYKLAGLYDRYYEYADLLATQGLVDIAAKFVKMTPPDYKGTGAAG SELDKARDRLFTAAGIQAGSVSVNSAFGSAKTQPQASTSYAPTSSSSYTPQTAGPYSR APSSTGPYSAVQPPAPYGQSQPSAYAPPPAPTQNAYKPPTTSAPYQPAQQQQSYGGYG ETNPYAPSPVSTYQPAGYSANGYRPNEPQAQGYGAPQQPYGAPPQVIPPPPRAGSFNG PTSNTPPPLPAAQRRDIPGWNDAPNLAPKRPQSAVNKEANKPTAITSPFPNMPNPFEA QPTGFTPPPARQTPQPGVLPPPPKGGPRAPSTQIPPPPRSVASPPAQQQPPPQFQPPP PRGQPLAGPPPTARPPPPQARAGPPPPGVMAGPPPQRALAPLGPGRIGSPLSGQIRPP PQQRPPQAMSPPTQHQAPPPPPMGSRMAGPPPPGRSPSVQHQQQHGGPSPQVTNSPPN PAQREVQPPPAAPAKPSHPAGDRSHISPASRPVFETLSNELSAVKQKNFPPNVKKVVE DTERRLNILFDGLNNDSVPKPALDLLNNITKAIAAKDWNAALAMHVELLTGATGDMTT WVPGVKQLVRLSV I203_08122 MMTSSHPSPTSPFRPVFSSNQYWEASAPTTSTSHQPFSHRLRST MSHPFSSTSSASSSRCASPSGSWSASPAMSPNPSMTYNSRESTAESVELSTPSSSRRR IGGGFWTSLIHHGKHVSKGVDHSEDEMMQVDTVADSFSFTPPPSRPICSLSASYQCSE TSTLIPPAFYPGQSIPVVLTFELDRFSSLPHYLNPTLSMSLIGTLHLPGQLPRTIICV SVSLSEGLALWARDAQQTYLRNPPQPSECSIDPTYGLPGGTYSLPLTVQVPSTPRLPP SFTVRSSSFAVTYALTVTLSCDDPAFPNTVPAAPRYVPSSFFVKTDLPIESTFMTPGE VLPILPRQSVKWTIHPHIPTTTYSPTSIIPFSLSLTPPSVSDLESELPSGYRPLQPTM QVLIRLALVRREHSSLSKLEPLDSQGNGLVIEEEIVSRWGCIESSSDERIKLKDVALP LLPRGSTTWKHGMSTMLNVNSSTTSPSPESEEPGVSVSSTFHVNVTLAFLSITPGSPV LTDYLPAGFSDLENVPISIPRSGEFSEPLSPNSPGYFNISQFKRFFPGTVKTLPLPIV VGSVSEPRGAMHNMRWSDLHLSRNARGREVGRMIHGESLSMENGWMVPPPSYNEAIKT VPYEFKVEL I203_08123 MSMSDLPHSTVNPHVHVHQLALRVITVDYRGAGDSDRPRDGYDK LTMSRDIHTLYKDKLGIDKVILVGSDIGSMVASNLAMQFEHDVEALVTFEAPIPGTQS FDRATTEPSSTWSFLWHFFFHNQADLPELLIQGKENEYIGHFYHRLCYDPSFLLDKNL ETCESSPMQEFIETQTKEYSNDVTFKLVPKSMHWIPEENPEGFLDAVSWFLKNKKIL I203_08124 MPTSLFAQQTMPSHNSEEDSLFFEDSISPSNALFPSELLDPSSL LNIPSTTNGGPSSMNRPSPASDNSLSPLAYQQSFGMSSGSSSSRVPSLSPSTQSINLS NMGSISMNGHSPRSSSSPSSHSNFSLSATDDFLFNNNNSFDSTELDVLFQSEDSKMLL NGTGEDQTLNHFVNIPNGNEGQDKSNGQSLFFPDQQSNPFLTHNNDGNLDFLQNLLFS GNGNSNTLNGLDNDDTFDMLNLDSPISSGKKSTQSGFPIDFDFAQQAKAIGNMTHSQQ QTLQPQYMKDQVKQEGWPIGHLNGFENQLQVDNDQMDNLGYGINIDGPSLNQISTDTS TSDVKQPCQTKASQQLRQSTKTPAAPKESSDPPVVGKHNKTERRYRQKVQAAQADLRD AIPALRVLYATSTEEQKRTTDFRAADGTVDGLGEVTRPNASAKATILIGAKKYIELLQ RRSAMLQRKVDELEAFRLAVAGEDNLKLWQADFGARESLIQAAAEAAAMKAEEDSLDE DDDVDEEEEEQQPKRKRAKTATAPKQPRAKPGPKKKDQTTTTTMAQNAASGGLKMFAA FAVSFSFLPSASNVLKQTSTQSSTNAMPSGVIGQATTGQILSKLPLITAEHTSRLLAR GLPGLIAPAPHTLIDWTWRLLVAVILAASMGPVISHWTKSEEKKKAGNVSLFAKDCAR LIVPVGKKFKAFDDQVYWNQKAADIIGGVIRPSALEKWHVVLHLHNTASDADSLTLLA LLQHDIPFLRSSTQIWRDAQSRITTSTPLPLATVLKLPLHEALRCAESLEKTSSPITS IAEQITLVHVYDLYSRFFIKLVDASTRSKSAGNTTASLKTLLSNLESYDISQSLKLSA FDKEVRTTIEGLPKGSAAHALGLVLIGLWGIFVKPTPSAQVALATALAAEEVQGAGKG LSSISAMLELLYPGSKDALAIHGVDKTLQDNTLSKNALAVDKLALTCIEYIRLLISSN EVNDRTVNESRLKRLENSRNIQKASSHLRLVLTQIKFIGFGFEDSSILHPDDEEEEIE GDSGDEEVSDKREQDVHQDLENEVRRFELAKENLVRVLCDVSRRAAGRASGRDEDSGL EGDLDDL I203_08125 MKSAHSLLSAFDRYPATNAKVIIDSTAGEIEVELWGKECPKAVR NFLALSMEGYYDGIIFHRIVPGFIIQSGDPTGTGMGGESFYGEPFQDEIHPRLRFNRR GLMGMANNSKRHTNTSQFFFTLDKAEELTNKHTLFGKIVGNTIYNVMSIGNLDVDAEE RPLVPPKIRGIRIIENPFDDIVPRITASERRAQQQARFEAKKEMEFREKRAKAKKNTG LLSFGDSEEIPETDIKVQKKGMTRQDLLDPTESAPSKPAESYVKVPDSLKDLGDTTRK EKEKKAAVDLKAIREQHEREKAGSSASRQAEIKRMEEDLRRLKKRTGDASDSDSDEGR SKRHKGPSVLEQELAKYSKNRGRAAAKHGNKRGRRDEEDDLLKEMSRFSSKVAKAAPD EDEEPTTRPTDGDEQAGEDDLEVDDDVGWMKHSLKFLVDEKELTRRAEEEYSVIDPRA KARQLAQDSRREKEGHRKGMRTAADVGRRR I203_08126 MASEGLTRRRGAGASPAIGSASPAFSDTPTLASTSGGAPRRPGG TTNNAPTSSAGGSGGAGAMEGRGKIAYDPRDFENGGESETMPRLTIMEEVLLLGLKDK AGYLSFWNDNISYALRGCILIELALRRRIAMVKDPSRRRLALADRLIEVIDDRQTGET ILDEALKMMKSSEKYGTGAWVDLMSGETWNVMKIGYQLKQVRERLAKGLVDKGVLRTE KRNFLLFDMATHPIADMNAKDDVMRRVLTLLTARTAAIPPQALHKEGVKYRHTRAVVL VCAAYASSVLENALQRLSYDSREAAFARCDDILAEFSTWPFGVTTSNVAGPVSIGGGT RKREGGSGGVGRESVQELVREVRKEMAASTTGQAGAGGGQEEQEELCFEVVATVLEIF GRMDSLYELLTG I203_08127 MPPPFDGYGSAYPLGLAESSHSPGRRQRISMACQYCRHRKIRCC GGSPCRNCTRAKRECEYAPVPEEVNRATREKKAIAKATKAIQNISPITTSSPYFPDQP VFNVPYVSGPGPIRPSHLGHRRTVSMPSSGVAPWVTPPSAPALASPPMFESPHWMYNG WTADAGHVRGHVSAPAQFPLQTHHEHAHTHTPVSTFPSVLEQTPMHDAYLNGQMQNEV MVSNPNPTPADTSRSSSTEHDYPPNMIPPPTLPTSWSTPHLPTQTYLRPAIPIPVTPV VTKGTGTSPITPATTTGYQTPFPTPPLFQVPFAYHQQPQQHGQYPYYSPSPLGQSTTT SPTLAPEMSLPQEKEQLIGLGIGVPEVTALQQNEYYQTSTPTLSSDEYFSPPMQMQF I203_08128 MCCCEAEWKREVVPDHKFDFVNVREFHKTDIWTRIKYIFKYVFL LKSIAVYGLDIFTASTMILADHWTNSIGQRCGDSCAIDVQFKIAKWVFVGCIIFSFLL LAYETWKAKQVIDSRDISYAFTNLMANDYYSFRNYDNFCLFCHIEGSTKKKDDFAFFI FFTFKGWKRLLLADGPRQSINALVLYSFAYAYGFQTSDIPAYWDNSAITAMLLFSMIA TVLIFAGSLLLLIVAAICYVPLLCYIQGNLKEYVCHKVDKRISELIKKKQRQRIARNA ALEKKMAQGGLKNSKGELLGDSMPQPTLPQISLDDVDDGGEKMRSRNNVLGMDDSSLK GYEYPPPGGIGSPYGSSGYSDDYGSSSNLMANAAPIGISYPPPVQGSIPTTRPYSPSF PTSRSNSDFSQPSLPPSRSHSDLSQPSFPPSRSHSDLQRFGPQPSNTGRTSPYNQRYM RNSGGSGGLPYDHPQSVTSPHGYTSNGHSHAQVSYPRVMNSNHGW I203_08129 MTTSSSYTLPGVKHKVIGLVSGGKDSCFNLMHCVANGHEIVALA TLTPEPGVDELDSHLYQSVGTHLLPLIAKSMNLPLYTRIIKGQAVSKGAEYGSRLRGG EGSGEKGDETEDLTELLKEVMSAHPEATALSSGAILSTYQRLRIEHVCSRLNLVSLAY LWQSQQMPLLNKMLSCGMEVVLMKVAGVGLGTKVVRKTLGEIMPLLTRLEQTYGSHPA GEGGEYETLTLSTPLFSHRLKLTKTKTIVTDPEPYPVAYLKVEDAELEAKEGWVKPTV QQLREMLGLDDDEPGQEGVDEDGLEILDEIKGDSDLESLNIVDKRQDKDIHQSGESSQ GNSNGTPRFERRGRWFCVSVDGVTKEDQDVGEELKMCFDSISNTLKSHSLSLPLHSTH ITLLLSSMSLFLPANTAYKTYFGTSPPSRATVAVPLQNNRVRIEIIGFDDTPTPSSSS SSSSSKLVGDRNALHVQSLSYWAPANIGPYSQAVMVNQRIHLAGQIPLLPPSLTLLLP PSEGSPYPHQAVLALQHVGKIIDVLKSRNYTGGGWEGWIESCVGWWAKPSSGDDVGDG LEVVRKAWMLWVEKTNSRQPPVIFVQAKELPKSALVEYQVNVHTGRKGVDPLPEISST SIPQQSDSGEDDDVDEALIPSYSSGSDEGQEVWWELYECSGNNQGSRGVVFSSSKCAM GAHV I203_08130 MTNTTSTPSSSAQWHTISPHTRPLTLTVLELTPLALTLSLSLTP LIPPSHAHPHSSLPHLVHQNHHTHAQSQSPSHSKGKKRIHGANLTTPRAKRSGDVSEE EDEEVDYSSWIDTSSSSSFKDLLSHGVVVSVNGQPWSRIYAHVSEDEDSELDWEDEHE DLSGSNTAIVVNDEEGIEEGSITRRRPRKPRFASSATSHTSQDKDLILAGTAGGHGKR KGGKEKMDKDRAVVVVYGLSPGKEYEVELRVVGLFSQEGGEGLVSTSVLIPPSPTPNS NLHPRSRANSLRSRSRPRSRSNSLTGGSPGHPQSSHLLSHTRSSDAVATLDSLALGSH DTLSPDTATIIPTPVLNAVDTQTAQLRHLIATAHAEKEHLQTQIKEARRTSQRQEAAL KAEIENVKKAIEKAGSMDLRSKQKALATQEQVKQGWAGAESAEKDASDVESGLDTLES KLEALNIEVEAIQLEWKSIKEREEELREKDKKTRLEEDKKLHEVLNKMEKLKSKKSRK QSEQDELEKQLKEVEGKIKDVEKKNQEDRERAMRKNSNAASYFAAGYGSTNNNNNDNH DYGGIGYGGQRSLSAHPSLTNLNGHYATGPAYRPRGGAGGASGYQPRFPSAGSTFRPS PSQPAHSPTHPNTFYQIQHPVPPNANPNTSPAFRPPKLANQPSRSTSGPTSSQSTNTT STSSGVNAAALPFHPANFSPNNEPPNTTHHTTLMPPQLQHRIYLPNVRPRPTPNFHPP PSVLAEQAQAQAQVQAKSSNNSSGSGNSPANDGPGVRSPNHSPPNFPPLPVNKQNPTS TSSPSTPSGPGSGSAGPSLASIVTRAVLSPTSALAQQSQAANIGNVASSVLKNPPTSH EGGSPVPTPPSSARLSSPSNSTSTTTGGEFPPLSPTWPNSLGQPIRRENTPPISNIWN NPKDRERDSPVGNAVLRKPSRSGSGEASN I203_08131 MISKSSTSLLAVVLALGGVQAAQYPLTESHHGTTFFDGWRFPAE TYDNTTNGDTFWATAQNTSLLYTNDAGRVILKVDNTTSVPYNEKRYAPKLLSKNTYGP GTVFVMDAVHLPYGCSVWGAFWTQGANWPAGGEIDIFEGINQRKENMMALHTSGGTCT IDQNSQIVGRIDATDCDQSANSGSGCTVYDQNENSYGEAFSQAGGGVFVTEWTNEAIK IWFISRSNVPDSLSATADTFDTSVLGTPTAEYSSSTCDITNLFEPQTLTLNIALCGDF AGLPSLLEQTCPALEGDKTCYTTYVIDDASATYANAYFELNYINIYTTNQTAGNDVPT VSGIGNSTTTVTAGVSKTASGTGSAATNGTNAAGSNSSAGRNGVEWGLMGLIGLGLSL II I203_08132 MDDSLIPSLKKTAHLVVRNAARPSGTLEQGVFTMFVARSEIERI MGLDQGELGKARWKSMVKELVKAAVDNIDNTTSSSRASSSSYPTTLSSPSPLPSHPST SKISKSKSRASTSKLINAMKSKRSKSEEGDDYYSDLPKIASKSGTRKQKAKGKKVDNG SESEEEEEEEEVIDNDDSNEGFPSSMPKSTPPKRPSDKKRKRREEQEEEEIETRKTYV EEPQARMSDTDMSSVYGEPPTMKTKSRKSASAPASGKRKSKSKSVSVLSSDEEKPSTK KRKGTNCTEKKNKKDPNEGLSPGEAKLADLKRIVVACGVRKQWAKEFADCPTTSSQIR HVQGLLSSLGIKGQPTLGKAKTLKAKRELAQELDDVKTFEAARGLSSITRERRSRASS GTKRKKVIGSDDEEEEEGEEDESIDLDKEESALGAVLDLDFLGGDSDSD I203_08133 MESSHRWPIQHAKSLNLASSSQSTSPFDLTLLPSEVSLLLLEFL ARTCDSHTLLNLMRLSKESYKYFGPALYRGIIVTTTIFDRLDFALERRMHKERWDKNQ QELVKLGVKEEKGNTLLGESSRDQSTSTENEDKVEVIEGNNPLEELCMVDPLSIHLRK VNLLSNIRWVDIKSTSSLHVGTEHKRIFDNLIGLKMNSSIGFDQNSFGPRTRNVSTPP LKAYLRPRQIHLQICTEDDLRPGCLGLYLKELCEDWNLDLVVYHIHLPNPAKDASQSG NINYSADRIIRKLSDFPTAKKVMFDFRNSCTADPSSLNISPKTTAELHKRDGEGTSWE LVDLNCWNTLGAIMGKVDSSDWIQRSSYSDPLEVGTKIENQDEDGGKEGEGKIEVVRP SLEIHGLPCITKITLDNMKIAASQRSLGQEHERAGWKEGEGDEWLRYDLKLVNEGDGE WCECSASAI I203_08134 MTSQKRDSHFTHFHHALNMPFQSSPLALNRFPAEIQNMIMALIE SQPKGDLFNMILTSQAMYDHFTPILYHTLNLNSDNAKQIFHGLCLDHRKKRSADRMYP FKVVDFLILMERKQRLLSESSARKTTSLRHTRKLFIEDSAGFEVFVERLNLTQPLRLP YKSPVLPRFFGRLETICLDSSVRAFPSDLFTNLNQSPLPIEWRRLQSLYCQDMCFNYW TCAGSFGPAEYIARRTVIIHEAYFDSTLLQVEMSTIIYFVDCRCQACRTEKSDFYRSN SCPFSKTDLVTFIVERTKLDRLHKRKILPKLTLYNNPPCTLKTPWQIIEKEVTPELRL EYPDVPSEEINNALFDWEEKFEDWKDEQRIVPPCVCCGKK I203_08135 MAAFTTDKMRKDDSHILSSPNLPPLSLNRFPPEIQNMILTNIAA LPKHHLVDMMITCLQMYHRFGAILYHSLDVDENNVELVVKGLIVGEKSGNQPLEMRDK HVNSVDFAIASKDQITAHRRKLKTLNFVQQIHVEDITAAAQLTKVKNWRSLEWDKKTD TLVNIQRISLGAALVDMTRIVHMLFIDATKPSQ I203_08136 MPTIDSLSDGNQQLSPLALQRFPAPALKMIIDCLSVSDRPDLLR LMYCSKDTYRRFYPFLYETFTVRQQHVHHVLSSVRRAQPCFEEDDKLKVIDNEKPLST IPSPIEMLRSTKHLILEDLDSALSIAGLSGLTGNRPADLLPIDQAASSFDRVETLSFG FRLILNLDMESSSVAALELQDQLLSFTSFLRPRHICVHLPKGGNDDVDYVWAVSRFFN KLHAGTKDGWTIESTTIHGVGRRGSPAMLHWRKYTKIIFEDCPARLRKKENSAKLHHM IDCICLPNFYWTIGTLCSAFPPPDIAKTLQPYHLELVNLPCSSAAYLKLENIVKVLIG PGIEVYDESTIGHWDKALGWMKTNLTITSKEDAQPCVCCGKL I203_08137 MSTSTSIPASTSTARRQIPYQPRSSPSTTPFPYNAKDLEPMDDS PDEIFYSEPRFVTHIDDGAITALRSYFAEVLPTTEGSRILDMCTSWISHYPPQVEKAV EDGCIEVVGVGMNNEEMKYNKVLKGKYVVKDLNRDPMVSGWPGDEDGEREILDSTTCT VSIDYITKPLEVLTSLRERTKKGGSVHLIISNRCFPSKVVGRWMGIYEDDRLNMVGDY LAWSGWKGIEILVLKEGSRFTRGDPLWVVRGYKE I203_08138 MTRPPRIFQVVWFVPHPTLEANMPSLLPKVEVDRKILSALRNTN DENPSPPSFGFNLPSDISNYLLTVLQESCDRSTLFHLSLVNKQFYQIFSPLVYERIEI SEWNMRKVFAGMILDAVESEEYLERPAMRLIREPKPTVSDEEITESLGCLAMCFGPRK PKPETRLASGAEGQKKKMSSAELAREVDKIQDERRERDYERYDGTSFGRDLISIWNRK KKLLNSVKHLRIRDIVAAREIAAYLGPTIKEQRSQSELHSSTSLQMGGYEKPEIFQSV RYLSFGFELLSSDKQSDVREPIHYGELAGLHKPPSSLRTMDRLMTKLRTTEVCGRWNV KQKMDRDKMVFTRDLECMCGVWKVERFTWHVYQRDELQGGHRKIKSSDNTDGRKIYQS HVIDSVEGIPNLQIVYTDICNCQVGCSRSHLGVGGNEDECWRELGYAALKIDHLGYGT TEDDHRAIELVGLPCLTSVTPDDMTQAALEIMDKMGFDGTEISLAERRWQDRYKWTRD HLLVIPDLEEGRCRCCERIQEDKFGIAFSMFGRKMRAL I203_08139 MLKIMYGLNYDLEKHKQEGRRVRSMLALAVSKRNMDILLDKVLG PPLEAHNRKVKLLNMVKTLVITDCYAAKAIARSLHPEYSDYLDKDSERDIEDEMYRMH LDLESHPDIEEPFPVPKPRPLVFQNVENISLGYQVLAANLFYSNFKHDGEPIIHPIVK ALAKGLDCKNVCHDYEASMKYHKEGYDENFELTLEPFLDNWDFTTSNWHNMNQIIMPC THSTPKMRLFFDPDSVQASKVKMGLKSIKSRESDRQFLIKFLAYFIDSHYWCCAHPRK EEETVIEIVYPTKNHPLVIPQEDEVAQASLRFYDKRFASETSGED I203_08140 MPPKKQSKKSSAKPKGRSKSKAKARPKSAPQSLPFSALPIDITF PIFSYIDDRHTRQDFYNYIQVSRQFYTRFVPSLYQRLELEHRNVNRIFRGINLDTGAV KQSTRHKSNSDSAFDSEVSEGSEEENNGRPEDEEGGSTSKLIGKEGEWNSGLSPQDEH DRKVSHLQHVKSLIITDWTAASTVAAVLKDSEGNLNHDPPFSQLDSLALGHSFLRSNE FYPDCACDTEPVPTRVTKQLATLRPKHVCADWSASVKYHLEEDGFDTYVKHVIGQYNL ESFTWHNISSGTLFPWCPAGVLTFRAFAKDCGGWNAEEQEYDECECRWTLRQASQYCL EKKKSNIHMEIINLDCLGEIVPAYIDHSGKVPRIEHAQKGEVVVDPSRSYIKVTMKAQ AEPCVCCGMK I203_08141 MTTLTDLGSSPSTSPLDLNRFPSDIIYHLFLTFDELPQSTLYSL IRCSWDMYHRFAPVLYRSIDLKKNNAESVFSGLDKAGGQCDGLQNRRKIDMLGHTEQL IINDLPSAEYLSQALTSYHRTYPTSPNPYFPSFNSTIFQSLHTLVLSSKAIMGLADLY NSCSWGSSPFNIPSQPILRSLKYHLKPKEVILDYPQGMIGLHMHSCIEEVIAYISQAW DLDTLTWTNLNRSIVGPIPKSRRLVYQFKECAHTTKCSPTLKGCPDHYDHVSLSCSTF IDHIHTLPFSSPDEAQEGVTLELRGLGCMFSLEWERVVEEVWSRMGRVEEGDWGKMDR WYRAKVSLTAQSIGVD I203_08142 MTDNTPDFNEKVVTPRMATAYLDQPSHIFKLPKEVPAVKMAQLQ SRAKQLTINRTTAPNMFSNIDSRPDSLRDWDPQMLKEVENLFIDDVEGAETLATSLDR YYLRTHLKDGPEVCDHSPTQILKNVQTISFGSPLIDSLIVLESDNVELLKIHKILETL GCMLRPAHICLSKPSIDPEKLEDDFSLWDIELTDRMSAILSTPSIKSYTAHDFLLYDR FYCQNLPTSLQTINTIFSDCPLTKCDNEYCYCYANLRDALDYIMVMDQGEVDEPLREK RLNLINLPHVSSRMLNCWT I203_08143 MLVISTLLPLLALTSVSAIPTKRDGPGKLRLKGTNQCLGKAKEN GGSTGTNGVWTEPCDTAISWKIPPPAQPGKIEQQDYELSALDGSDGVSVDIPLSTGVY DPTKPGQQWAINPDGRISIGGAKMVCVSWLEGNIVQAKACGTGGDAPEGTIKQVWELA I203_08144 MATASSSQLQNIYYERKTGTPRPCYICNRPTTTVLATLKTEDFL YSCDGHLTDSASPIPTPASTPGPSADDIKKVIADYHQREARKSDKGDKDKDKDKGKDE QEKEKGNDVKVVDSPKSSSTPSIPTSSTPQNPTHRKFQLHRHIFEMRKNELRRKEQGN KAKEVSKGLPQVPRTAF I203_08145 MSDQPKYIEKLQSIPKEKFLFGPSPISHLSGLTKHLGGKVNIYA KREDCNSGLAYGGNKVRKLEYLVADARSKGCDTLVSVGGVQSNHTRAVTATAVASGLN AVTVQEKWVPIDLPLYAETGNILLSRLMGGDVRLNQETFDIGHKAATEAAFKDVQDKG GKPYYIPAGASDHPLGGLGFVNMVVEVAEQEKALGIFFDTIVVCSVTGSSHAGTIVGA VAEGRKRKVIGIDASGKPAQTKSQVHRIASNTAKLLDEDLVIEESDVVLDERFHAGIY GIPDDETIKAMRLGANTDAFITDPVYEGKSLAGMIKLIEEGSIKEGSNVLYIHLGGQP ALNAYSSYFPHD I203_08146 MGFRFLELVRPFMSILPEVTAPEKKVVFNHKVLWTATTLLIFLV CSQVPLYGIMSSDSSDPLYWLRAILASNRGTLMELGITPIVTSGMIMQLLAGAQLIDV DFSLKDDRALFGAAQKLFAMIIALGQATVYVLTGLYGSPSSLGPGVCLLLILQLVSSS LIVILLDELLTKGYGLGSGISLFIATNICESIIWKAFSPNTVNTGRGPEFEGAIIALF HLLFTWNDKTRALKEAFYRERLPNVMNLLATVVVFALVIYLQGFRIEIPIKSSKMRGQ RGSYPVKLFYTSNMPIMLESALTSNVFLISQMLSSRFPNNFLVRLLGVWEPMEEVPSQ LSAVSGIAYYMSAPHSLTKAIQDPFHTVVYIAFIVTACAIFSKTWIEVSGSGPRDVAK QLKDQNMTLAGHREASIYKELKRVIPTAAAFGGATLGLLSVLADMMGALGSGTGILMA TTIIYGYFELGVKENSGLDAAGLGDLQWSNLQNRY I203_08147 MSTIAEPPSVDSTATARPETTLSARPLPAGTAPIKPEYLIHQTP LVDSSSTSALNNLDTDDLAEGVTTSGSGDARDNKRRKPNKKERKGQNKARHFPVIRET NVKICKAWETTGSCERGESCKFAHSWDGYFDIKPKDVYLNFDGKLQDQPPYAEDKEDE GGEDIIGSRINLSTTCPVLKDLGYCPYGWRCRFLGGHVKKIQDQSGENGASTSSGPIT RLGGWELLNLSTGEKEGSRWKHGETNWVDWDVINSLKRNEYDFPFSRAYLSKVEPEKP FTLSNSKPKYSKQPKNKRKAVSPMDEESAMNVSEEAAMNNEEDSLNEDGANVEKEKEE EKGHIVGESEVMDVPLRPEEKKRLNWEGGRYLAPLTTVGNLPFRRLCVDYGANITISE MALAQPLVSGHNDEWALCRRHESEKMFGVQLAGGFANRMVPAAELIKNTLHGGVDFVD INMGCPIDLVFNQGAGSALMDAPGRLAKMMVGMNRALGDIPLTVKFRTGVANGKPNAH KLIPRFATQWGAGALTLHGRSRQQRYSKLADWDYIKTCADTLRESLKDANLAPVPIFG NGDCFSAQGYYEEMEKSGVDGVMVARGALIKPWIFTEIKERREWDISATERLEGIRKY AEFGLSHWGSDTQGINTTRRFLCEALSFQCRYVPLGILERLPAKLNERPPAYRGRNEL ETLLSSPFSNDWVKISEMFLGKVDDGFNFIPKHKSNAYGNEEAQG I203_08148 MAPSLSNLIITCPLSPEKLAEVKTHFKSVHHYPPGGKDKVPKEL AAQADVWYSNYTGIPDYLKFEDIPNLRLVQLTSAGANTALKSPALNSEQALKQITISS ASGIHSLSIPQWILSQSISLYMHLYLQTYNLRHTQKWAREIPQLPPPPPGDFGNSGRT LYGKTAGLLGYGHIARETARLLKAFNVNVIAANSTGEKRKDEGFIIPGTGDEEGVIPS AYYSTNDPQSFKTFLSKSDILIASLPSTPQTIDLLKDEHFEALPKDAILINVGRGDLF KSETLIKALDQGHLSGAALDVTDPEPLTDGHPLYTHPLVTITPHTSSNVKGYFEVGAD LLLENVKRVREGGKPINKVDPEKGY I203_08149 MSTENKVQIPYVRLGTSGLKVSRLILGCMCKCSPHICVQQLKSA QLKRMEHPNGNNEYSMRRPVSNISDMLTKLGSILLSEIPSYPYQKAIAHGELSTADVY SGGVSEEVLGKAIKEIGAPRESIVVLTKLFNPVIRPGSGAKVDPNGRGLSRKHIFEAV QASLKRLQLDYIDVLQRHRFDYDTPIAETMQALHDVVEKGWVRYIGMSSCWAYQFQAM QNYAINNKLTPFISMQNFHNAAYREEEREMMPTLKLLNVGCIPWSPLCRGFLSRPWNA EETVRVKTDANYKGRGHDKPDDSRKAINERVEEVAKKKRISMAQVALAWSLSNDFITA PIVGSTSLDNLKELIGALDVKLTPEEKAYIDEPYAPRSIVSPLMVLYCSLSLIVVRSL DMCKRHE I203_08150 MSAALDVDNVAPTSTEVAISKQPKQSPWLRFRSFIWDSDTHLKF PAERRLLWKLDTAMLSCLCLGFFCKYLDQNNLNNAYVSGLKEELGWNGNQLTYATSLY TAANALMQIPSTLIVQKVRPSLWLGGCEVAWAAFTFAQAGAKNASTMYGLRFLIGIFE SAFFPVDLYLLVSQNDNADRHRPAIFHFTAPAGVALAGYMQAAVYKTLNGLGGMEGWR WLYDRRNQQIITLPCGLLVFFVLPDYPTTGKRWYLTDEEFELAQERMRRVKRVQSNGL FSILVLKKIFSNWHIYLLPLTYIFHGLSCAGSSYFGIYLKSTKKYSVELINILPTFIS VIQAVTTLLYGFHSDYTGSRFWFTFGPMLYEAIPCGILAVWPENYKLKQFAFMTLGAQ LVTAVIYSWWSEICSADPLERALVIGMSDGLQYVMSAWIPLLIFKQTDAPTFRKGFPT DFAFVLITLVLLVLVKFLHERELRHKCKIDEEYVDSPDIGGEIIDTKAV I203_08151 MVRETPILGLSERWSLSRHNVGSPITVVYHASLPADVISEDDLK KEVVKLSNAYPILLCGVEGGITRNPRYVKRPNIVPDDIVKVVELEEENTLSDLVDRGV IEGKIFDPSSGPLWRLQLHRFAGSTTRAPRDRIVLLIDHILCDGLGARNLFTDLLGSL SGTPIPSQPEGLPSRLDDTVDLKPENEDAPPISSTISSHLKSITKQATSYVSPAPTYN KFPLKPAADYNSVTAPQQFSEFDLSPSELSALLSEGKRHDIPTIHPVIHIACLVALYR AITPTAAWTFDTSVPMSDRREDLGHPRATGNYVTFHFSTDRIDKSTLFWKHVRDFSTE LRQPKTKVVARKTLGKLALINDDQPEDGDSSLWEEYLRKLVNDPSGPHKLSLAVSNVG IIDVPTSGKLAGQIQDVYFSQAASAMGGCAVVSIVTTKGGSMTISICSKIGSFPVGVF DSFSQQLKPILQAVARGDIGEETKAGDAIPGLL I203_08152 MVSPAHNLGALILILSILLSSLSSPINAQPTGLLQRRTARHVAP NTSVQLKQVTRTELIERSKRKATRTAKRHAAPSCSTSDNRQMFTGALGGIAAPAVYGC SGTWVTSGQRYNFEIDALNASCYKQMDQCQLAANQSGNRGDLTVSNCNGQQVQACLKL ASETAS I203_08153 MGCYEELLAYPEIAAVYISVPNGLHGEWAIKSLQAGKHVLLEKP FTSNGLEARRVFEEAERCGKIVVEAFHWQFHPAAHVVKSLIASGKFGDIKRTFARTTI PKGTIPGSDIRWRCSLGGEVSTATFEEHNKDKRVDSALWADLLFDLEGGKTVDPKIYM DMNQPHLAVVIPRAWELPSIEIECEKATIYFYNHMMPHLFHYISIYDKVTKHTTYQKY YNYGPKCDPHWSTYSQRTGTQTLNSITPEDSIAQMETIDALYLKNGIGVRLVMGELDP ASAD I203_08154 MDDKHEVQHMELEAGSTKNEGGLYNYVPGSDEEKRLVRKIDRHL LPMLWIMYVFKLLEWFKADRTTSYIDRSNIGNAKVGGMQADLKLSSSDYSLVLSIFFV GYLLNEVPCNMILSRSTPSIFLPTVMVLWGGMSIGAKGVNSLGGMVAFRLVLGLVEAG FFPGVMLLMSCWYKPVEMSKRIALFYTASLVSGAFGGLLAGGIIEGMEGVAGTRGWQW LFIIEGLATVVIACVAYFVLPNYPTTTKWLSDSERELAVARLGTGRDEEGEHMSHWQA FKIALKDPKTWVFMLIYNVLNSVGTISYFFPTLMTSLGYKGRKAQFMTTPIYCVALVI SVINGWNADRTGQKAYTVIGACLCGIISFIICVTVHNNAVRYTFICFGGAAIWTSVPI FLSWMVTMFDGREPRAISIALINGVGNLSSVYGSFFWPSSDAPQYVTGFSITTALIGF AAILVALAKWRYQDKGFAGIPQRGTRW I203_08155 MPLHPRFSIPTIIEEFGKRTPYQGQMDERHAWWLQQYTDTDGKL LKKGLTFPYSASDLLAIFHALADGHKTKEDMKNHFLTVVKHVDRYLTVILPLTEEDKL QAKQQQYTFEVNYKWDKLGYEVFHRKYSGPRSKHIPALSGMPQWSERILMGNLLPHPT GKRSFACPNGPCDLYSACLLVEALARQGNDTCGKGSIPHAQVLQGYPFRAYAKNGSDW NLHQYQVTIAKIGNPKILEKTILDEVPEFTDLYEVAGGLEAEDEKPSVKKQKKVKAER G I203_08156 MPARKAKANPIRLPLTEKDTSSLANVPHPAKSAATSAKGKKRDI DSLMDAANAIVSNENQNQPKPGPKSIIKRGEIFDPDQHPNGFIMVGSIPEADIAELED SSLNMSDMSDEDEDEDDLMLNIIKYSPSQIRNKIRSFINSGEMKVYQFCDAIGVSNGS YQRFMKESGQKGQWSDTYAGAHMFFLKRERMGIKIPRKKKNDNTPASKKAKTTSIDQD RTKMKSPSKKDLEDEYDVSSITLDGEDKPGGIAVYDTCDDVRTKINAHLRKPGVTMAS FNRAIARTYKKQVPSQEVTIQHKQLKDFLEKHGSRSGCTSKVFYGSYIYFEKLRIKQN KPKSKKREEMEKMHGTKGLDIKTDQNHMHFWGMEGESFHEDSYGRTNLISGRGRKMII I203_08157 MSSLSTSSVNKRNHHPKNNHNLHIDLSYSPSSLDIPNIRARSPS FSGTSNKGEREGESSELLPTPDSGTFALPSPSNPFIYEFLPSPPPISPITSSTRRKRW IRKMIKRNFHPTPLGYAILMILLISLLYTFSGSGGQNGMILRGPSTTTSLSNGNEDTY IQEEEVQEEEEEIIYEKPVLKELPSIYSEYIPSLTLPTIFDNPTYHLLSSRLNDFLHR PIQSHDEAKEDNYEGCPRELSDKLVNPDQYNGDAQFWIETVTAQEIASRRAGLIRWLE DKLNNGEEIIGRKDGMTGKGRGIVLTGGNQDTTLRTITAIKHLRRLGVDLPIEVFHYS DELSDRGQRDEIEALGATLREAKGLEKVSGVWKNWQIKGLALVQSSFREVLYLDSDNV PLRSPVHLFDSPIYKSNGRAVFWPDLSKDHPDNAIWRLVGDTCSLDLWTFESGQIVID KAGNSGLNLVALIIASEMMNEREFWFHMCGGDKDTFRWAFRILDIPFGVSPRWMSALG VKNDYEGGRFCGHSVLQHDLDTPEGFTRPPPLFVHSNLLKHLGSSGLGKGNLFTHIRR MSNDYASNPSLNYAHSWVYMGQARGMCLDLDWHDHTPQEIRDVEWPKTISVIEEEGGV FDGFEDIWFDEGGRIGGW I203_08158 MTTNLYAVAGPSKPSSIVSTVSSTSPKSEPPSPLRSINPLPTIS TSTSTGVSKPGMSLDENGEVLKVPAFLNKLYTMVSDESVNELIYWADNGDSFFVPNAE QFGRELLPRWFKHSNFSSFVRQLNMYGFHKVPHLQSGALKNETPVELWEFANPFFKRG QPDLLVKVTRKNNRPGNPTPAPSTGSGSLNTRSATQATAAASTSTPTAPQGKYLITDG SVEGEANQLVGPSGQVLDINAIHSGIAAIRQTQATIGADLRKLQASNEALWRQAYETQ EKQRKHGETIDLIVSFLEQLFGTQGEGLKGLKEAMKRGGLGRPREDSNSEENVTGSAK KRKRLGLDRMIQSGLVEEEDDDDRLVEIGSTYSMPSLKRAATTPESWSSSAQRFTTLP IEDDSSTPTGTSRAASKGLTPGAEQTLGTNHLSPLSDTDHLLPNDNNALARYNAPNPQ YNQQLNLNPTSSQPLLSPTSAEAAASAYNLDPSLLQTTIGSLIQSPAAAQMFLNSLNN SVQGQALQSTKPTPTPTPQQANYPSTGDTSLDPTLALFSPLPNQDSLMQNTNDLMKSY QDAVGVNGGVDQLQESIDSLVRSMGLDLPNGTNHGLDPLPVNHNMDNAGGTGAGVGEQ LIDPDFNVDEFLEHLAKSDEDPTVLNGGTGVGTGNGI I203_08159 MSETAPTDKEITPATEDEGKKDEISPKSDLKTVYPAQPSQPSQT IESSTFTQSEIVSSPPPITPTSLNTAPQIASASSLAAPSTSVSAASPAKRRPRPPTKG ILKPPPPPAKPTLGNRLRDIVGGAVNTAVGTTSRLFDPTEENGAGPSSGSSSGSGPSS ANLQATSSAPGVGGGTLASISGRLAGLGLSRFVPVTSPVNVNTPREGSPLPSRSISLP ETGSPMIIHGQAGNANVILSEKSRQKQPLKRATFVLPSLSITYPISSQGEPWSAKVIE DRKRIESNHRSLLSSSCGPQYWTSQRLVTLYESACRGREERPRVGIVRALETIPPPPK IRYIHLTLRPVDHTTIGITLPPGSPNTLDVPFTRYSAESFADVLSAEWGLMELKLENG LLETEDSLKPILHALLISGTLPNLSLAGNKKIKVGGWRLLAVFLKRARSLRYIDLSET TWDKKGIEYLVQALTSAQIKAPPENGVSPDPSQLDPSQIAENELAGSRHENGDVEKPS TDAYGSFIPPAPLLKENNQSSTPAAVQTLRLDGCGLRANVMETLAQGVRNSDLKNISL RRNRIGPLGAVALALMIRDYPDSALTMSSLSPGLSSTPSAQSPLLLPADAAPSTPTPL PYSARIRKPQPALPDDERDLPPIPLVVSSATGGVTSRTVPEGYKPPPPPKDPLVMPAG GNSTMQDAGNFTSPEGKTSNADLGGASMALQRSVRALDGIERIGRLLTLDLKSNEIKN GVNYIAQVLKRNRTLKVLNLSDNQIGPSGLVALAEALKYNSTLETLDLSSNPCCGPSM AGISSLRTTFTVNTSLKRLFLSDTGLNTEGAISLAEFLPESKSLLHLDLTDNPLIETA GILAISVGLKSNKLIRCLDLTVRSNDEDLSELSQKILQLCIRNTELAAESVSGESNKV KVQRHKQEVIWEPIKKSNLVKQIKELDELEKERKRLEIMQSPQGQAREYVYTLKPDRI QKVAGDVIRDLTRWYAAGKVDNNARNKNGWTWEPDQLPRDDFPMLYERAKILKERLIE QIQDPSINPDGVDLERLLSLNDELENIISNGRKFNPPPRLLLPSQIIPTYEQSPLPQR TTTTSASTGSPARLYPQQQRRHMRISSTEISSPNFSIGDSDNDSDPEEIDEKSFTSNN ATPTKSTTATSSGWNRNVTPITKLNLTSNSNSGLGLGSIAIERSEDEEAEEGIFEKQE NEASPSTGLLPVNSQADQGQDQDFLNDLTSPTEKASRAWVEEEGEIFRKGSKLGVADE GDDTLGEEKEDVSGEELRKEILETPVARSAVRRVIPVEEGEQGEEENEDGEEGMIAIE DVDKT I203_08160 MSSDDEYEESYEEEREEERSEASESEQPSSSSSSSDTASNDDQG SSHSGSESGSASGSGEEEYREAYENEGSAGGVTAGGYGYGGGGSHGSHSESGDKEKKE KIVKGVSSIFKKLKETREKDKNKEENDERSAGQHYTPGGEYQATGVEGSFGAMNLNEP GQGVYPYRGAEHHGGYDQQPQQYVYGAPQQQFGGYDQNYNQGFPGAEYRQGADQYSHV PPPGPPPESYYPTGAGYVPQHEYQATQPPYGNQYKGPDDGQPHHQHYGPTFTDPQTGE VAQAFFEYSRCNGTRKALLIGINYFGTAGELAGCINDAHNVQRFICERFGYRPADIVM LTDDTNDPRTLPTRENIIKGMRWLVEGAQRDDALFLHYSGHGTQTEDLDGDEGDDDDE AICPLDYETAGLIIDEDTNHELVVKPLPAGCRLTAIFDSCHSGTVMDLPYVYSTEGTI KEPDLLSEAKEGLLGAGMDILRGDTGGIMANLFGAAKDVFDAKKSHEKTKKTRTSPAD VIQWAGCKDNQTSAHTQEEGKATGAMSYAFIAALTKYPNQSYQQLLTSIREEMKGRYT QKPQLSACHRESFIKAIRRYF I203_08161 MSSYDAAPDEIITPSQFRESMKLVRLQIAIPISVLVAMGANIVC ALAIKPGLSGINALFPTLLSPNATMVGIYWALLYLLQVGFCLETLIHGVGLRFAISNW LQATWAVFFTLQFFWGAEIVLLINVLLLLSIHITLLYYPVTLKRPIDAIFIHAPMTMF LAILFELDWLHNGFVALGWFIKEETAREKYTWQAVGAVAGVNAVAAIWAGVKRLYLLT TASIYLLFTLLFSSPRSNPTLPTTALPKPTPLLVTLIICLVLHPIALVAGVAWQRTRE REGRIRLEEEVERAEQDEREAEREARIRGE I203_08162 MSLESSGSAINSYNDVEKKGGEQAGGHHVSVDPAQDAYYDPSKE KFLTRLGFNFESFKRAPGTTRGLVSHGDIPPEYLQHDNPLLQQKMKPRHLQMIAVGGS IGTGLFVGSGSALGNGGPAGILLAWIIMGVMLINVTQALGEMAILYPVSGGFYTLASR MLDPAFAFAMGWNYVLQWAVVLPLEITVAGSTVQYWVPLAAWITIFMIVILIASAFGT LGYAEEEFWSSCLKLLVVVLYIIIGIVCICGGGPAGGDFDTYQGARLWHEPPGAFPNG FKGVCAVFVTAAFSFAGTELVGLAATETPNPRKTMPSAVKNTFWRITLIYITSLTIVG LTIRSDDPDLYNGSGSDISPFVILMDRARIRGLNHLINLTICISVLSIGLSCVYAGSR TLTALAETGYAPKIFTYVDKSGRPLWSLVAILVFAPIAYINCADVGSDVFDWLVALSG LSTLITWLSICVTHIRFRKAWKVQGHSTEELPFKAMGGEYGSWLGAILIVIILIAQFY IALFPIGGVESAGERVESFFIAYLAAPVMILFYAVGFAWKRTLPKKAHEIDLDTGRKS WLTVEDMRAYRAERAAAPLYIRIYRMLFSN I203_08163 MSAAHYSELVRGFALTGEDGNHILVSEQPDKAIDSEDTSNVLLV DTNEVEDDFEGDVPPEEEKATLRKVPGKVNAAGYALCFVEAANYASYYGVTGVFLNFI QRPLPAGGNGAGAPPAGTQQSAGALGMGLQTANALTVTFQFLVFCTPLLGGYLADVKL GRYRGLWVGIIIGFASHMLLVIASIPSVITGGHAIIPFVIGMICLAIGSGFIKPSVAP LIADQSTVKRQTVKMLPSGEKVIEDPGVTVERMLLLYYLFGNAGAFFSIATSYTEKRI GFWLSFMLPGICFLLQPLALLYARKRITHYPPSGSSVGDAFKVLRQVAGRVKGLNFKS ADDAWESVKPSNLRAKGEYAQLAAKHKPGYFSYDDQSVDEVKATLKALRVFIFLPAWY LADGGTNSILTNMAGSMTTNGLPNDLLNNFNPITTVCAIPVYNYVLYPTLRKMGINYG YIKRISVGFLIGAILNAVAAVLQWQVYETSPCRYAATECNIGTGVSPLVNNVSIAVVA IPFILQPLGGIFISISCYEMAYTMIPPRMKGTIIACVFFTSAISKAIVLGCTPAFKDP NLTWVFVGIGCANLAASVANFVFFRDLDNVAKETNLHRMDLTQEEVERTKGKV I203_08164 MAQLTSHHTLPPFPEDLKTAPLVSVSFAKLEADDNKSFSDFFQA RQELGFFYLDFVGSKLGETITSEAEELNKVQKEFFALPNEVKDVYGRPHLHPFYAYRY TETEGKDENGAPLRNANYNLRKDDILGQCERLACHPLILEPQDLFERYVRHCRAAVDV LLEHLNAHLQLPAGTLANLHRIHERSGDHVRFTQNHPGAFNEERARSAEHTDFGSLTI LFNWLGGLQVRLPVTEEWVYVKPIPGSCIVNLGDAMVKFTAGLLRSNIHRVVPAPSTQ AHLIRNSLVFFTRPEDKVVLKRLKGGIIDAQPQSEVPELEMTSHEWIMGVFTSKGFEG KARNPEPQVPVPVSIPA I203_08165 MTITVPSTSGTLSYRLALENDRQLLLDLRKECGWGAPKIQKYLG DPDRPFCIFLLERENDQVEEVGMGGWCLDMPEDQDTASRERRIVYLSSLFIRKAYQAN GLGTQAIDLMEETAIEEYGAKVITLDTTAYHTYVDEEGYAVEDFNQRGRAVRWYEKRG YTEYRDPKPFFPHPTKTDPDRHLQAVFMRKSVS I203_08166 MSTAIPQTMKAIVEDQQANWVTLKDNIPVPTPGENEVLVKVEYA DQNPGDWKVASWISLDGALQGCDYAGTIVKLGSKLKSDLKVGDTVAGMITGGMRNDRG AFAEYLVVDSDLTYRVPKELKLEGAPTFGAAWLTASQVLLKSQDHSLSSLTDGSKWYI VYGGSSSVGLFALQIARALGYKVLTFASPHSFDLVKSYGAHEVINYRDSDVIEQALKI TNGEAVYAFDTISEGDSFKIALGSLGTKGKQLNVINSPPEGFKDESRNVELQHTVVYT LLGKELNFSPRTPELPTIIPAVQDHHEFGKEVFRKTPEWISRYGFKANPIELRHGLEA IPQGLQDQKVS I203_08167 MRPTSIIAGASRLPLTPKRGNKDFYKGTGQSRVPGGGHRTGPPG VHVVKGKAKYRVLDEKVRLFVGPGAKVLEETELRPYVATQQIIDTSKGLTKFFNPYSK SSSNRPKFPSFSPMPLPRATEVDGEVGKLSKKDFTQFSKRYQNLSGEEKQALIMDFRR NWFNEMSNYYGGGGKSNEQVQAEERETTELEQRSKEQGGGETAVQTNV I203_08168 MNGPGFEDVEDKDGVRLSWNVWPSSRIEATRTVVPISALYTPLK EREDLPPVMYEPVTCKGSCKAILNPYCQVDVRGKMWICPFCLQRNPFPQHYHQDLSPN NLPPELLPKFTTIEYTLSRPAQIPPIFLYVVDTCVDEDELKALRETLVVSLSLLPPNA LVGLITFGTMAMVHELAYADCPKAYVFRGSKDYQPKQIADMLGLNPSNRPIQAMRPGQ PVPAPAASKFLQSVESCEFQLTNILENLQRDPWPVDQDKRPLRCTGVALGVATALLES AFPNTGARIMLFSGGPPTDGPGTVVGPELREPIRSHHDIDRDSVKHFKRATKYYEGLS KRASANGHAIDIYAGCLDQVGLLEMKSLTNATNGFMIISDSFMTAIFKQSFLRTLGKD EQGYLKMGFNGTFDVLTTKELKISGVIGHVISANKKSQCVGETEIGIGQTSAWKVCSL TPKTSLAVYFEVVTPAGQALSPNQSGLIQFVTHYQHSSGQYRLRVTTISRTFQEGGHP SIAASFDQEAAAVLMARIAVFKAEIDDSPDVLRWLDRMLIRLCQKFADYRKEDPTSFQ LSPNFSIYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNDADVNNSLIMIQPTLMSYG FDTEPHPVLLDSVSIRPDVILLLDTFFHILIFHGETVAQWRKANYQEQEDYANFKELL EAPVADAQELLEDRLPIPRYVVCDQGGSQARFLLSKLNPSTTHQSGSGYGSGPAGGQA IFTDDVSLQVFMEHLKRLAVGASTS I203_08169 MQIQSLFALLPLLAGLASRTGAVPIYTLIKREVPQEKSHASILQ AVQTVLQQDNPLGIVDPVFGLLGNAAAAAGAGQVTNTDCLQQATADQAFTNAKAANDV AGMTAALTYRALERNTGQVGLASVLCTETPVNPEIAAISRHQDPASADAAATNKAITL ALAQQIAAVGGDPLEALKSGTFAPGDTSDPTGKGNSCDDDDCIFTQNLLVEDATEEEI NAAVAGVASTGTAGTADTATATDTTTAGCPAVVDDSATGNTTSTDTTDTATGTDDSTD TADSTATTTNTTPAAGIDVGQCTDFSLTFAAGLDGRKADEFTFQPTDLTNFNHGSALN PSIITQFMCDTFVNACANSATTRDVCKTVAADLDAQLASGALQRDQGFADAWLTGLES AFGIQSTGTGTGSGAAAADTAASADDATVTSTAATADSASTATATAATGDADTSTTAT GDNLQTFSEALNGVAATPVINIGGTRPLQVKADTFVNAGAAFQRSCDQQFNGCANAAN SGSGDASVAECSAQKDRCSAATPQA I203_08170 MSIPHASYDPRRVFRFSLPRFEVGPKVRSIGTYLSGGLFALSYF FLFDAATISSHAKPPPDAPYDVVPVHMSFVDWIPAICSTLGFLITSLLDKSHLNAALS GDSWGGDGPAAVRARVVLFIGVALMAGGLAGSLTVLILKYIITEYTDYVYYGIMNVAM NGGIMLSAIILWISQSGSDEYEYQLTV I203_08171 MGISGLLPLLKEIQVSGHVSEFKGKRLAVDAYVWLHKGAFGCAE DLVKGKKTTKFVDYAMHRVRMLRYHGITPFIVFDGGPLPAKKGTEVSRARSRAENLER ARSMESQGRWREARDFYTRCLDITPEMAYQLIKALKAENVDYVVAPYEADAQLCYLER EGFVDGIITEDSDLLVFGCRQVIFKLDGNGQCVWIHRNNLATIRDFPMHGWTDVQFRR MAMLSGCDYLDSIVGIGLKKAHALMRRFKTVEKLLQHVRLEGSMTIPPDYLISFAQAE LAFIHQRVYCPEQGRLVPLNDFPEGGLGENDERWIGLDVEEEIARGMAKGDLHPETRL PIVDEWPDYHPAPRVRPLTENFSKINATVSAGPMDAFVTRMKKTRSLPKSVGTLGSGP SRLSDQDLDRFSAPAVLSGTRHESTGSKKSKFFSRPSKREITPELEEPLHWEDDQESE EIESQPVAGPSRIPASTPHRSPSPAISSIREDDSPVKSVISGHILTSPGCVLSSPPDS PSRGILFSTPRRKDHQIRECTPPSPTGASVGENVLIAASSQVLETEYEECEDYDYDNE ANEDMIEETQVDIPAPTPTQSQTRTPARKERKSLSRLSTIVIPNSSSPFTTTTTSFVP ETQSWPMGRKLKPFASNSSDTISAEEQLITPSLDQINQRKRKRSIKQEVIGVEDEIED EGEKKRLERARIVADGWKAKYAFEQKSSSPASIPSSDDVPNKPTKRTKSDPTIRPRPE PVKRPTLPITSQAQASRVLLSRDTNVPTPRKDQTPASRKYSLGPSKEDFSSATRKNSD NDNFGQKFSSSPLSFNDDELIFESTQKGGQTYNKLQKYKFGGK I203_08172 MRPTQLLKATHHKPMIHFLGQRKKIPHAPHAPAAHPMAPKEIVE DFQSFLAKLQSSSDGPSNPSSNPKAQSPSDSAKGIVRDQESKNTQSQSKGSGKPVDYE NFWEAPGYLWSTKEVSERELEAVMVSDPTSSQL I203_08173 MSTSSEYTIPNLINVDKWREIEQSFPPLKNDLLLRAARGEETER APVWVMRQAGRYLPEFLEVRKSHSFFECCQTPSIASQLTLQPIDRYPRLDASIIFCDI LVVPQALGMEVLMEPSKGPVLPAPIHTPSDLSRLNQDVDVQKELGYLFEAITLTRKGL GGRVPLIGFCGAPWTLMAYMCEGGGSKTFENSKSWLYKYPKESKELLRKVADVCADLL VGQVLAGAQMLQVFDSWAGELTPYQYAEFALPPCIYISHKVKSILKQLGHPGVAITLF AKGANAPSTFKLLSDPKVTGYDTLGLDWTVDPVEVREYVGRKVNLQGNFDPTVLYGGK EGIEKEVERLSERWNAAGGGWIANLGHGITPNVKPEDMGWFLECVHKYSKRA I203_08174 MANKHKRRSRPSDFENTPRTVEVTHDWEKSDEELELEEALFGTS KKQSKAKSKTKKGSDFQVDTAGDDEEEGLSDVDDNDLFTIDAPIASNYQIDQLPDDQA SSDSDEDEGSSSDISSDSSSDEEGENEFRAMSPSSSRSSSPSEDDQDQDRPGKNEPTI TLPDDIYDIQEEEEKLRSKKQKAIWNDPSDELVSVDISENRRLRKLDRGKKRKIDGGS ENEKVNGRELQDKLREQFERLHPPPQWAKQRTSIGTPSLSSLLTSTKSFIAPSIAIDG QKRPPLPQGNIDLQRVRNANQQNPTTGKREAADSNGGIVDIAWHPSERVGVMAVAGGD RRVRFFNIDGHTNPTLMTLHIPSLPISRSTFHPSGSSLLLVGNRPYYYTYDLTSQRCL RSSKTLFGSMDTPSSPNTLHRHAFSPDGTLLAVAGRRGLISIHDWPSGGVVAELRSGR GGSTADLTWSQNGRELNVLGGRDGAEVEVWDVAERRMVRRWRDDRALGGTLMRNSRDG NYTAIGSTTGIVNIYNSTSLASPSTSTSKFTEFSPEPYKSLEQLTTSITSMAFHPSNE VLVNASKAKKDLLRMYHLPSGTAFSNWPTPATPLGRITSVGFSPSGDYLSVGNQKGTV LLWSLRHYTS I203_08175 MWPYPVSYQQEYPYINNPSSSSSTINDINININLNEMGYPTQAQ QQPSSSSSSSLWTPHMTTDTHPQGQTHDESISRILSHPPWHQPQNAILPTPFDLPPPA ESGLPPFMLGGKGKTKESAEVQVKVEEQYLEGVNDNDNDWKSEELEKEVMRRLWLGLG AGLNGNDQVNDVDVEKEKEALKVYISEILSLLQPFIPSPQRTQPSPPPPYLLTRFAKL SSLIHTILASLPPYVHPNLKAEFDKPFVPSRAPRKEKVEDMTPAEKEMEVIRKRRDAL IAKAQAQAQAQAGAQGQSKAGQAGQVDKEKEKEKEKERVKKNLPSSGTRVYELSPSQS QSQSQSPYSHHLPHPHHQHHQHQTQHQHHHHHHHDNNQHHHQQHNYDYGHSNRHGYEY EYPQHPPTQLQPPHQQYSPYHQQSNPMDSLGMLTEVSTLLSHTNNNNNNDGNGFQSQF SDHTTHNPYQSQTQYNGNANGNGNNNYTSMDIFNSNNNNSNGQPDTTSLMITRCHGCG CNVTTEWMRGPDGPNSLCDLCGQHYAKLLAKKDIDSKNGNQNQLLSGI I203_08176 MSDRSTISLVRQYRHYATQTSLKGPLIGVKPKSPSSPSSSSAPA STSLASSSLPKPRLDYHDLLSDPQRTTRNALLRKSPLQPDHLAHLGRLRSTQLLLLQK LSTIKSKQKEIGSLIKIGLGDTAELKEQAKKIKSKIKEYEVNLGETENEQLDLALLLP NFSHPDSPIGPEENAKTIETFGPSVIEGQVENRRDHVDFCNHFDLLDNQASSVTSGSS WPYLKSILALLEQSLINYSLSIAIKNGFSPVIPPDVIKEDIAWRCGFQPRDSLSNPST QTYHLAQPDNPPQQRLCLAGTSEIPLAGLFANRLFHEEDLPKKVVGVGRAFRAEAGAR GSDTRGLYRVHQFTKVELFAVTAENGSEQMMEEIREVQKEIARGLGLSVRVLDMPTEE LGASAHRKYDMEAWMPGRGKWGEITSTSNCTSYQSRRLSITYRPSPTSPSPSSSGKEG DLPVPPSETQHNGPLPFAHTLNGTAAAIPRLIVALIENGIRFKDDEYEGVNLPKVLER FWVGGVEFGEGKRKGSIRWI I203_08177 MPFWPSRRRNPPSRSEPCQFSDWDTCFYCGSGPENLFNPRQEGG AITVDCSTCRKRTTVYNVPQETRREPDTTLPSDASNLKDQTSIQSKLKVNNNNNLLVT QNNGVETLNDTLRFHNNTAQSRGKMLEHDLALRTFDEAARNQDAWGVQLSEARPTTLL PAYRPEDENTHRQLDPTQNLQNTQQRQDARAYDDRFGG I203_08178 MDALLASAGPLPTKKQNYKGKQSSTYTKKSGPTSHQTIPRADGT SIDPSLHSILSSTRIPAGFHQSNLASGSGSTPIKPDVSIGRIQDKKLRSKLARQDITH KQAKLDRQDVNEWLNKAISGDKGGIEVDEELGEKTWRVKQSEISDSVSVGSKGKKFDL KFEGMGSYKVDYTRNGRHLAIASSKGHVATFDWQAGKLHSEIQLKESIRDIKFLHSQD FYAVAQKKYVFIYDQNGVELHKLKQHIDPTHMEFLPYHYLLATVGHAGYLKYHDTSTG VMLTQIPSHLGSPHSMAQNPHSAIIHLGHANGTMTLWSPNMTTPHVKLLAHRGPVNGI AVDPSETSAGRYVATSGMDGVVKIWDGRMWGKEVRSWHVRNQVTTLGYSGMGMLSVGG KSGVTVYRDLHSGNIQHTPTPYLTLPLPSLTASSVKFCPFDDLLCVGHEKGISSLLVP GSGEPNFDSNEADLYETYSRKRERDVRGLLEKIRPELITLDTDFLGHINESRGGETFE ERNSRSYRQLGRLERLRMDGKADEPQNQLEDEDEEGEGEGGEGEATQKEKVKRKMKGK GGSTSRYLRKKQKKNIVDNSLLAMKAKVAAQKKAEDTRRKIANGEIVKETGALARFA I203_08179 MSSGGKGKSSSETKSSSRSSKAGLQFPVGRIHRLLKRGNYAQRV GSGAPVYLAAVLEYLAAEILELAGNAARDNKKSRIVPRHLQLAVRNDEELNKLLGSVV ISQGGVLPHIMAELLPAKTKGKAKASQEV I203_08180 MAPKSVASKAPASQASKAPAAASKAPAKAAKSTAPAKEGGKKRS KKRVESYSSYIYKVLKQVHPDTGISNKAMAILNSFVSDIFERIASEASKLASYNHRST ISSREIQTAVRLILPGELSKHAISEGTKAVTKYSSSK I203_08181 MPGKSSDDSAGKGHGRSLKAGITFPVGRIHRYLKRGRYADRVGG GAAIYMASVLEYLVAEVLELAGNAANDNKRSRVSPRHIQLAVRNDEELHRLLGKVTIA EGGVLPHVHKELMQKKGKSKSKKKGSQTTATTTTDNGVEESGPGKSSSLSYKLK I203_08182 MARTKQTARKSTGGKAPRKQLATKAARKQTTSAAAGGVKKPHRY RPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVLALQEASEAY LVSLFEDTNLAAIHAKRVTIQPKDLQLARRLRGERS I203_08183 MSRTLPPQSALLTTSSTSRQIAPLPHHQHQQQQSRWTPYSPTPI ELYPSPKNRLFLSLRSGIDEEVDYALPRLVVASFDEVEKFKLETWVDSVGALKEWPEK WLEGLELEVAHDTLRKQRRLKEKLGEDDGEEVVDLDLDLALSIIPSWTMPPSTIDRAT NSLLVLRNASFTHSNAKIICRTSFLSFLNRFFNLPLEFLLELSLKYPEPIHHILNILQ SIFPYLAGQQEKPQQDEIRNIFSKVLPSLLIHSRDLAMVQNILPLLILGLTNNTYSQF DNTTLSQLIDHLLNLIILRPTPMSTNTTPPILLDLTLDLLISLSQNTFYAKSILLHQS FSAHLKNLVYLLEYGSRQTVANWDNPLSLTGKVVRNPASDYIRIENASKRRRRERDQN QRQMEIFGGAGVKNVDVGDKPPVLERSTRDRLFAMREPNRSIAWMHETFVYSSTSQLL QVTFWHAYRDFFQNPSTVDPLLSASEVIKNVTVAFPGAMAKVWMDEMGGQKFVIAGMG FRKGNDDQERFTCLWQNCPTPHSSTDPANLFSHIQSTHLSIPQISCRWATCSHTPFTA SHLLTHLPILHPTQVPEVITSHATTPDYSLSQSIITNRAIPPLAKPFKLHFLGQTTPL DSQKRHPVGTAFLASLIIRNLSKSLRSELNLIDGDLLKEKREEKKKHLLEERFGLPIP ENVLREEEAEEQENLKNTQQQDDEGEDAEYVKAQLEKARNAFMSVQDRLRNVVECNIS GLGSYLGDSLGWY I203_08184 MSSERIANKVEGIAPPLSVFSSAILSNGFVYCSGQIGATPDGKL VEGSISRRVDQIMDNLDKVLKAHGSSLAHTVKFNIFITSYDNFAELNETYSKRIPSPA PARSCIGVASLPFGTDVEIECVGVVPGYKAKL I203_08185 MPTAVQQPISKEEDQAFWKSSQKLIRYGGSWSNVIVTKAKGTVM WDANGRRILDFTSGQMSSLLGHGYPEICEVVDEGMRNLDHIFSAMVTKPVVELAERLT DMLPPQLNRCMFLSTGSETNECALKLAKMYTGGYEVVSLSASYHGMTHGSGAATFSVG RKGYGPQLPGNLTLPVPYAYRSRFRHEDGSYDWKSELEYGWSLIDCQSTGALACVIIE PIVSTGGIITLPEGYLKEMKKHCEKRGMLLIVDEAQTGMGRTGDMFAFQHEGVIPDIL TLSKTLGAGLPLGAIICSEEIERLCNERGFFYYTTHLNDPLVCAVGAKVCEIVERDDF PAQARRKGAVLKECLLNLQTKYPCIGDVRGRGLMMGIEIVLDPETKEPADSLGTAISA RAMETGLSCNVVQLKGMGGTFRIAPPLTISEDEIREGVDILDAAFAYVLQQEEYRNVA QGQKIVP I203_08186 MPPQSAKTWKTSSPSATSNNLRPVRSRKDRPCDYCRRLKHTCRI LVRGQACTNCARTKRTCTFEDPPRKRHAKPHIQLAEQQSDITENQYQHDSSSSTALMP NDDRSNHDPFIPAFSQTAFEQQTSNAIYGDQVALQSSQQPLHSSLAAIFEVEENSSDD NSYYTSLDLENDGSEEHHYLGPGALMHLTLNVDQDDSTWSQFLNPQNTTTPSGTETSD LNKLSYRQIADSKKPVFFLREPSRVYGLSNASYEAEKAWKTACEVLSYSQAGQGEHIS HQLIHIFLESTLSALPLINKVRLQASMYQWHGAHPFPHALLVGILAHSAVSVPGLRTI WKDLWAIVLNLLDNEYRQPRLQTLQLAIMDIWGRPNQKPGGNHTAICRAIGAAQVLGL HKDSSQWRLPRWERSTRKRIWWLIYVADKWNSLTYGRPSNVPTETTVSLPSSLDNDDG GQSSTCQNPIISFILMCRLTIILNTLLPILSDTSGESDKFSTHRQAIMKAAMELEELE RLHPVEGDAGSPGMVRLASLSLDEVLAGLVFSMPFNSHPRAGPVARHIIAVIAKLVLF LESLDEEDCKGFWTPWSSSQLTHAMSLALRLFVRLSSSESDPPQSQPQPQFPSSSNIF NLIDWCLTVLTNTEAIWEVASDALGKIRILMASMPDLEGLSELRMKIGLGRYSLPSQD DTMWSDWLSLLGMEWLNNDGLNTTLAA I203_08187 MFTRTISLLTLAALSAQAIITPTSPDSNTVVKVGDKIEALWTVD SVDNWNDVTIQLMTGDNLQMVALATVAEGIDGTTVSSYSFDAPDVSPYSKIYFLQFTN GGVTTNATWTTRFTISGADGSTTEPENSTVYSGTTVQWGTGTLLSSVSTDGSSTSNST STGTTSSSNSTSESNVSTVSVSDTASSTSASASESTFTSGTASGSGSKTVAAAAATSA SASSSSSSSGASRNQVGLGAIVFAGLLGLVML I203_08188 MDAGMRGTNAAQDSRFKDKEAASIKSTKFPKHFSEKVDLRKVNL SVIKPWIATKVTELIKIEDDVVVEYVFGMLEDKDKPIPDPKKMQISLVGFMDKHGAAA FMDSLWSLLLSAQNTIGGVPAEFIEAKKKELQAKQNLERIAAGRSAAEDSFDRRRGDN SLPSRPGPPPPRRSRSPPPARGGDRGDIYRPRDRGDDYRPRRDFGRDRDNGYAQRRER SPARGGGGGGYRRRSPSPSTRRRSPSPRRRDSPPPRRRRSPSPSTRRRSPTPPPRRRE RERSRSRTPPLKRRNEDTPPHKERGRPRSRTPTPSDPGSRSRSRSRSPTVTPPRRRNR DSSPPPKRRRSPSDSRSRSRSPRRERGGEEVHKKGRGRFTVEDEKAEKEKEKKGKRRW D I203_08189 MSEEPHTLAEATGGTVPVGTNNDNATSTIPSEVIPDSETTKDGK EKGQGPEVTNTETSTDADMDLAPLPTEFDPNAPAPTLPEPTADDPSTSNPFGVTEVPE EDDLNSIEDHGEVEQEQNENDNGQREEEDEGKMMEYITSLQHFGAADEEEEEEVEQIE IRDNEQTLKDGVEEDKDEAADRPQEPQEQQEQIKDSGGDDTVITTKDVERTSRQSSPL TPINDIPELPADLNLSPSAPSTSAPLPSEHPLPTSRSRSRTKEIPNPTNLSEAEAGDN SDSIPHAESSSSAAARKRASASVSSTPVPTRFPITATSKNKISVKRKLPEKPRAKTKG TGKGKGREEEMIVIDDDDDDDEKEREDDLNSIEDPDEVRDSMVIDRDKEGQEEVNTDE EGRRNKRVKVNGRLIKANGSGSGTKKRSGEPKKSTPKAPDKVTEKIGPRAKGMSDAMI KKLLKGRTKEVQLASCQRPRYGKWGKCTQCIAKLGGDSCRFRDFRTFPIDPETTDITG PGYFESTEWKEDMTPLPTEFNRDLEEETIVKTETTVAPMLLPLITAEARHVFGQKSIK RGMDAAKHRSVCGKAFAYSQY I203_08190 MDSPWPMPDAARPRLLRCQHVGEKQQSRRPAMHYRSKLQAVSRF SEEELKDHWLKLSSFVLDDGKESLDGKLGLMGLKKDDQDVKRILTSIQEEGKGNEVEN DDEPLPLPNDEIDLEIGLSDGDGLEEMKDIFESTKITNPTAEPIPDPAELLDQSREFI FLKDQDLTNDVFDKIWSKGEPIVVDGVDRKLNLGWGPDDFIERFGDEACYVVNCQTNA PRPTTVGKFFEKFQDSEGRNKDILKLKDWPATDDFKNTHPELYNDFCDALPVPDYTRR EGVLNLYSHFPPGPTRPDIGPKMYNAFEAKETTGGFGSTRLHMDVADAVNLLLYASPR SKPKSKAESKTSPSLDDQIKEAVEAAPEPEADIAPQPGCAVWDLFRAEDADLIRDFLT EKFGNTHIFTDPIHSQLFYLNSNLRKELYETRGVRGWRIYQYPGQAVFIPAGCAHQVC NLADCIKIALDFVSPHNVKRCQQLTQDFRKENFAKAWKEDVLQLYNVLWYAWLSCIET RKRRQRENEEAALAQKAREAHLASLRKGRHESWDGGHMTRIGSPLSSAWNVSSVRDEP HLDSRSPSLSRGGSPTIARVMDEDDSAIQTGDENNNQEKRDDKEKKTKAQDQEKLAKE LFEITIKKEPPSSSETFLGSNTLWSGKKGVVSITKSTSGTGNATKPLSMKKENKDEGE SEDVKPTLSARQQALLDKQKEQERLKVERRLKNPPRALKTSTLIKLGAKRLDDVLEMA QREMFGEGLGLGSEGVEGMGMGMGDIWPNPNPNPNPNPNPVPTAPAVAEEIQVPDDGR MVLDETLVPGHPMEMEVDMDVDMNMDMEIRNSLRSLNDVFRDQERAQAEEQRQRIEER QEDGNVDVDADDFFNHEPQAPEEEEEEVEEVEQIEHRGEQSVNMDNMEIEESERDREE LAANLRLVGEIDMDLDIDDEGGEQAGFTLE I203_08191 MAARLRLENKVCIITGAGSGIGLETAAQFASEGARLVISDINLQ AVQAAADKINKKFPSAKAVAVKCDVSKEEDMKAQVDKAVQEFGRLDVVFNNAGIMHPQ DDNALNTEEKIWDLTHNINVKGVWYGCKYAILAMRNNKAEPEKGLGVGGSIINVASFV AKLGAATPQIAYTASKGAVLAMTRELAMVHAREGIRINSLCPGPIRTPLLMDFLNTPE KLNRRMIHNPMGRFGEAVEQAKAVVFLASDDSSYINGTDFLVDGGLHACYVTPEGEQQ APAPAGLASTL I203_08192 MPDTDPSRPNPTTLDQGVSTIPIQPESIEAEKFAYYTEHSSDQS QWDQESIDQEILQRARRNLKIPTWPLPSKSETSMVFCEITLSKQTSCIEDVNGDVEDG KGLNLNNPIKGQDGTVIYDPGEYTRGSPMRICNKDGQSSDWKITFDQLRSDHPEVYKD ILRRWDDKSTTRVDGRVHVLRPFKDDFHTRTHGWSIQIKEDPYKTVWDTSEDLEFPRM STETARWLAGSGAALSDG I203_08193 MINQRYTYFTSTPSTAPPTTNHSLTRRQRPNLRIITSLPNKHDL IGPKPLPRLYDPTKLNASIAAVTAPLSRKIRNMRNREVYVYEENHGGSFLDMEGNSSE FTRYRPISSGLRGGVPYDQTRSCSILPATSGDSITHSRLDASPPNRMLGHKRKLSDKF KNLFGKARRMERPGVDMGRNQERLEDGTSIGTKNINHSTLNYGHAPTVHIPSRYRHSS YLEAAYQPPVAITYPAFGPEVDSIPMFSDHSEVTAAQKQEDQELDDEWDKNSFSEDDK EESENSWSCV I203_08194 MFISTTAPVLVPPINFSLVAPGIYRSGHPNRKNLTFLSKLNLRG IVYLENEDEPYRQDSLDFVNSSHLNLYRFDLSKESTLFTPEGKQKLESLLVILLDRRN HPLLVHDDTGKGTATLICALIRRFQNWSLTGAFAEGDMFAGPAGGAEGVGLGEAGMEF IASFDPKKVNYDRNYKPDWVD I203_08195 MEADTSDLDAQIAALQKLKDDKLAKAEASRRLKEKEQAKVLVGS TPTKASIRVKNNSTPLPSKPSQPNFNFEQKPKPKAAPQILPSLPQAGPSRMGSSLAAL RKGISSSPRPTFPTATRSNGFEQRRSNNEPSSCTSISAGVVKGKGKAKEESPELEIEH DDIDEDFKRVRRDEDSLTLIENLELGPKQFGLDPEGEEEWRFVEPNSGVRLSKRVLPH SLLQDHLSGRYFLTPSQIYSVIRLSKDGATYDIAVDGDWITIAVVAQRGEVKISGTKN APDDSDEEEEDKEHTSTSLAEALKIDNSVEKQGENTRHRYWKKQKQQAAKERKRVPRK YINLTLCSLPPRSNKGETSGDALLQLLLFEADAVVREENDQDEEARVTYRGGSGGAYE KWCNLTEGNVVAILNPRVWRNLRGGSNGPHPLEFPLGLNPSSADSIILLGQAKDLGRC NAMQKDGNRCKTWVDLRQNQVCEYHIHAAIQRGKSSRAEFTASTSSFALTSRPAGISN GPGGKLGYDPKRKQGLLPAAGRQAAPRGIENGGGGATYVVGGGVINTGSVSRGGLKGF GEEYLSEKLGSRSKSEKRKRHLEEKMAEKALQGLLDREGRGGSTGAKYLAVLDKDKES KKKKQKKVGKEDDGGEEIERKRPFGAEAIKKIGFDPTSRSGVGRDGEDIQRRLDAIAS LRGEDPGCRLERISKKLEEERKAKRLKEDIKVDPGPKDSHGQVEEEEEEEGMIDLD I203_08196 MAETDLSSRDPVIASPFRTAFIQSAESFSTVPSEYILDEETKQA MLAQHTSKNVDVSYLTGVAQADEPESEENNFDDRVRPYLVSYQYFVSYPNLVNVPESH ELAGSRYVVNPTRSYAFCKEDSRISAAEMHDLETYWKDVIDTESSKSVLDHEAWKSIV NTELQSIPGESIAKLLTRGAAWSLSNDRIRMKSWVNNQIDDKFPEHRPNFDHKQYTRG NEFVRCNWPNWPPEGLKPMDLSDIERENEEQWGDKVLGDDLPFLFYNAAGDSVLVIPE IKSSQ I203_08197 MTNSDLLDFNIPSSLNPIPSSATAKTTYRSHRPRSKGTTGSAVS GSSQGRAHRRSTKGTSGATKCTLACYQYFHGPLDVAEFPQGHTQAGKIAILPTEEWQF SFHPVYHRATNEESQDLSRFWEGLMRPRLAEEWWRPHMESEWRELPEQPFNLVEDEKL FSDLLTRRAEWINSDYETRMNHWMSELMKKNFPDGEPNFEFKQFNSRQEYENCISPTR VSWSGEPLTISQMDEIHKSQWAGTTIGEPRPFALSNAIGRSTICVPSILRDT I203_08198 MNNSTSFDRNDTVETYTSTVNSPDPNLSPSPNRTVVDLDENLNS KDAGDKLERKLEDELTAEQKAEQELRRHRSTTVNGAEIKLSQKRKWFLLLVFSVAQYL DIASYSGLFVFTDAVLNDLDILYESSSWIITAYSVTFAAFLLFWGRVSDLYSAKPVFA YGFLLLGIINLIISFMTNKYAYFVFRAISGIAGAATIPSAFRLILAIFEPSELNVALT LFGLSGAIANVTGLVLAGFFGFITAGGQNSAWRWFFRMIAIVCVPFAFAAITLVPKTR GDRSDECTQHEKFKRLDLVGAFTMLVAIILIILGLTLGASYGWKTAKFLVPFLLSWPI FVAFFIWEARLPEGYALIPPSFWKIPNMTLLIVFALGIYPWWAVNQLPLVERFLVVNH ETPIIAAVRMLPQGLAALAVAMVIPPLLQKLGSGKWPIAVGMLLGSVSYILMIFSDGV IENNGYWRWYFPAFILGSGAAMASFLGTNITVMTSVPPAMSGVAGAMLQVSLQVGAVL GLSVQAGLLTLNEGSFVNYANVQASFWFQFGWSVFNMLIIVFFFRPGKAAEGSQQAAK IKGEKGPESTAVIV I203_08199 MIQGRSDQIWTERHGTSGAERLSTLKIRDFIYNYNEVLETSIKR SIADFTKDLYDKFTYEQSETATATETKGKRKTFTYELMDSMQDFKKHVPDGLLEQLQV VKPPLDHTKYKQQLKEEWGDKTCDSEDFRYLQIT I203_08200 MAPAKGLAALREARAKGGRLGQWKPSDSELYDEVTDEQYRSIVG DRLDADDFIEDDDQGGYVDNGMDDWNGGTDEYDESEDEDAFEGEDEEFRKARQIKKAK AKARASAGKPLPRSTKPQKAKSSFSDYAKPAASSSSTYRPAPNAMQEDDFMASLLSSV TSASNEVANRKRKSSPDIPSSEGLHPSSDSSFFSSSGRKRYGIEEDDDDEPPSIWDSK RGVMGKKPRMSDITVVPNKENNDDQDYIMDIDDDPIMVKPEPIDENDDDEDEMQIRKV KPLTTSANTKLNGATSTVRRRVNNSNSVKNIVKPDPVMVKAEPMDEENIAITKPKPTS VQKNGKPNLPGWSSIQESLLPSKTSELEEVKASVGSTRPENVLEKDGSLSMFWLDHFE QDGVVLFVGKVQDRQSGKYVSACVSINGIERNLFVKPRPKRVVQGQETDEEVSRTDVF TEFDTIRRKAGIEEWAASYVQRKYAFEDKTVEKGESEWMKVAYGFDQPEIPMGTTGQT FSHVFGTNTTPFELLVLKRKIMGPCWLKIQNPTLSTKSASWCKIEFTVSDPKTVNPFS ETDNSAPKDTPPLTIMSISLRTIVNHRENKTELLCATTRTWEGCNIEDPTPPDQLRSS LNTIIRPIEKFPPGLEGRGKTDRSPFQTVKAERALLNSLLATIQRYDPDVIVGHNFLG NSFEALLYRLKELKADHWSRIGRFRRKGFNISKGGSNHRLLAGRLVADLSSDAAKGMI SSTTWSLTEMCATHLKVQREDVDPEDTHSYFDHTLSSPDKLIKFIRLCEVDAFFQMAI AARVQLLPLTKQLTNLAGNSWNLTLNGGRAVRNEFILLHEFHRLKYICPDKSPFQNNK KHKQTVVGDDDDPTTEDIVNKPIRGKAKYSGGLVFEPKRGLWDTYIMVMDFNSLYPSI IQEYNIDFTTVERDVEDDQAEEEKIPDVPASDVAQGILPRIIATLVNRRRQVKGLMKD KSATPAQLLQYDIRQQALKLTANSMYGCLGFAGSRFSSRPLAALTTFKGREILTHTRE LAESLQLDVVYGDTDSVFVNSNVTSLQEAHKIANDFKKLVNERYKLLEIDLDAIFERI LLLNKKKYAAVKIEDNGERKTEVKGLDMKRREFSKVSKDASSAVLKEILSGESTEIVV EKIHELLTNLGEAVKSGLIPLEDFIIFKRLGKNPEDYPDKKSQPHVQVALRMKSKGAS VRAHDVIPYIMCLDESGKGGKTAQAERAFHPDDLRRQGSELKIDYDFYLDTQILQPVL RLCETIEGTERSRLAECLGLDPSRYASSGPGVTDEKQFFTFESQISDKERFKDAEPLQ LRCVACESTFAFQGLMDESTNIQHVGISCSACHAILHPASVSVQLENQIRSHISRYYL GWTVCDGEGCGARTRMMSVYGKRCLGLVKEGCKGTVRLEYNDSTLYNQLLYYRSLFDG EKAISNARGSARFEEIRALVLPNTALFAQLVNEADKYLNKNGRRFVDMKSLFGFMERI KI I203_08201 MSNPLDAPESASPQSSTPVPETTDTNSPTKGQQPLAIEDAPQED VSAEQDEEEIQSLDLGEGNVIKLDKLGPMIINSDGTLSRIQNWQELHPIEQERTVRLL VKKRNLVRLQKLDAENKAEGAEEEEEKLTVLREGGKE I203_08202 MILGGAPKCETCGTSVYHAEQVLGPHRKIYHKICLKCAQCGKRL DPGNLVEHDTQPYCSRCHTQLFGTRDLRHANVLPNITPTSSPARPQVPLTPSTPTYRL PSSAQSPRTNLPTPKDFYTPPRNLTPPPAEPATPTDPSVPITRPNFRDARPISIPFAG GSKALDDRGLLRRGDSPRSKVGTRIEGDDACKRCGKRVYAAEQVYSIGSKWHKACLRC TSCKSTLDPSKVSDKDGLPYCKNCYAKEHGPGGILGKR I203_08203 MKRLFRTSKSPVVDPLPPPTASSAPASGTSTPSTTNHHHEHRWP FGHSHQPEVTPFPIDAGRETYNVNNGNNQSLPPPPAPVSSGRKGKDREASTGSNSGVP SLLEIQEREQAMRSAQRQQHQQQPQRHSKGYGGSPNAPSPDGWTVISPTNNNMALPSS PPSTVYDPNYHSSLTEPLTIPNAAFASSNPPSSNASPSSASTHTAMYLPPGARPATPP SMRPPYPPPAGSARHSQSSLASLSTAHYGEAGDIISPNTSIGRERGHSIASNTGSLSN RSDQDTINTNSTYTQHTTNNNNNPYGNNNAILNQPITSPNQPSSKLQKPQPPNQGVRS PLANSYPSPELTSFPSPHPYTASNTQPFPLPSAPPSSNDHSLNNNVPRTEDTPMPNAV NLSRRGSQMEGPNQKEKKRGFLGMGWGKGKDKKDNNQVLDGKPLMEEPRKSSHGWRNQ TESESQPSSTHGHSTSHGHEQEEQPSRGRILGLDFGGGGDKKKEKDQIPQPNDAGSAI RWLCSMPDPPPANIYDVCDRIHKSEGVEGITKDAARAIRKEFKHGNEAERRNAAKVWL YLMRNVSGKGFRQHASSKKFLSALEPILLAPATKPLVSQPTYNLLTDILSDLTFSYGQ EKGCEGLSELWKKVKLPQESEIGNPLPVDHPIFSPEPFYAQRHNQTYSNPQSFPGSRR PSSPSLSQLPLQQPNQGYPPALPQRGASPGHYGGPRYADLPSHGEDLRRLIDECTAAK ESARVLSEALVFTKPEELEHKLVIQEFYRKVFLAHESLTNQMDWAQAEATRSRERHAS LTLDGNPNIDNTKHDTPEEQALGSLLEAHSALGEALKQHDDLTKLAGEEKEMREVRER SKKETRMDRNQPMYEQSGLFPPSNRPQASSSRSPSPVPHVRLPSDTPIAGSNNPFRNA NVENGRSSRTPSPDQQPHPLPHPPKLSSSPAPASRTNSPLGMGRLRMGGPRPLPNPFA KGNNASHQSLQNLANGTGTTPSRNGSGDTPSRSGTGDSSNQSHAGINHGVDDKEIDGD DLPSKPVKPSRKALGKRRAVVDEDNNFDPNDMFIPNPTDPRTQNRDQGQNPNPNGTSN DNKIDNSSEEDYITLEESIKYQQKKKIVYAYDAYEERQKELKKAAEALKISEKFGGGA GGGSGGKM I203_08204 MADANDLYKDDLYGDLDLEDLDATQLEELVEPPELDPAPATAGG SSSSVQQAQPSVPAVANQPSTGDYIGVSQQQQQGAFGNEANVDQAALDRIRPSDMPDE GLVHLYS I203_08205 MFIGGLNWETTDDGLKAYMAQFGEIEACTIMRDLTGRSRGFAFL TYKSSESVNKVLDKTHTLDGKQIDPKRAIPRAEHERTAKVFVGGLAPSVTGESLRTFL TQFGPVMDATVMFDKETTRSKGFAFATFADEESVQRAMDSSGIELEGKAIEIKRAQPR GAGTQPGKFTSGPSGGHNRYGGGNNNNNQFNNGGGMNMGMGGMGMGMGGFNNNVGGGG FDPNAMAMMYQNMMKNNGMGSMMGGFDPSSMAMMYQNMMKSMSSGNPGGAGGVGGQGN VPAINPNMAMRNPMMGMGMGGMGMGGMGGMGMGNMGMGMGMGGMGMNNMGGNRMMNQP QQQGGSIRPIPNAPRGPAAMRSGPSGGTTPQSGSGGSGNGAPTGPGAQRYSTQGSARA KPY I203_08206 MSSVAGLQRIAPVPTSSDFIDIVLNATMRKTPTVIHKNFKISRI RNFYMRKVKFTQDTFDEKLGKIISEFPVLDNLHPFLSSLLNVLYDKNHYKLALGQINT ARHLISQVSKDYNRLLKFGDSLYRCKQLKKAALGRMATIMRRQKDPLAYLEQVRQHIS RLPAIDPNTRTLLICGYPNVGKSSFVNKITRADVDVQPYAFTTKSLFVGHMDYKYLRW QVIDTPGVLDHPLEEMNTIEMQSITALAHLRSAVLYFMDLSEQCGYTIEAQCKLFHSI KPLFTNKPTILVINKIDIVRLADLSPENRAFVDTILSDKSVIVVEASTYSEEGVMDVR NVACDALLAHRVEQKLKGNRIELVANKIHVAVPQKRDDLERKPFIPDAVKHKVKYDKE DPNRRRLQRDEEQEMELSGMGIYSADTKKDYILADDSWKYDKIPEFLNGKNIADFIDP DIAEKLDALEREEEALEAQGFYESDESEMLDSDEEEFRDAAAQIEKKKASIKKISQEK NHLQNRPIIPRKKKHVTLSEFTTGMRKSGHDPLVLEKRAARLMEKKKEAWEAAEARDA AAEAAVEGDMDVDMDEGVVEDSSRPSKGKPIRTKSGMAVSRAPRSNRQMAGLATIGQS DKANELRDFAQRLPNRLAKASESDRHVPITRPKWMLAGKRKGGKTDRR I203_08207 MASVDQLAGKYSSQLAQLQAIFPTWDESDLAFTLQDTKGNVEEA ALSITEGRASQFTSASKKKPAKSKETHQKGHSNRNADTGGWENVNGEGFASRGDRPTR GGRGGARGGRGGRGGDPFRGGRGGRGGFRGGRGALSNVNGHSKATTSDEFPTTTATTT EGWANQVQQATSAEDQEGENAAIADTSKGEDFSASGGWGDAPAPKELEKAAKAGGTGW QKDIEKPVQVAGSSVPPAAKPKLTWAQIAKPVEKPKPAPPPPAPVVPEPIPEPEADPT ITSDEPVAQDEGHVAPEALEETPAEEVEALGDAPAPEEVEEAAAAIEEAPAAEEPFTA KPAEDLLPEETVPAQPEASTDAWESDPAIAGSGAQPEWAKTDTQPAVAPEPVTTYQGP PGFNSVVSKAAPGVQAAQQPRSSSRAAQRYKDAEGQGVVLPPTAGSGLAGMEMQFGSL SFGGINGDGVDSPVPAAEPAKQETPAQVAPAPAPIAASPVRSTQPSAPAQQQAAPAPP APSAPFYSQPSSQSAQTQTQPQAQQPSYTSPHQTLQQQMQTYQYLQQQQAPAHSQSQD QGLHPSHQANQYYRQQDFYNPIGSQAQQQTNEPQGQQGQAQQSAQQAQQPTSSPYDTP FGTFGQQSHLFGQPAQQQTQHTQANDPYGASHRAYDSYSASGYPRPPVDEPKPAAPAP SHTPSAPSQPPHQQSGYYSQMGNMGYYQQGPYNPYYQYGQAPQAGFQQYYPLAQRNLY GQPAPQAPPAPIQGNKPQPPSSHSPYGGPPSYPSSAAYDDQSFGGGLGRYGDSKVHQQ NTPGSQGQTQGQGQNTLAPGSYNSQQSGLHNFLGSSTSTASTTGAGAGAGAGSAGSAR PQAATPDDGFKSQSQQGAGVRNPIQQTNTNPQAAAQQGFNSYPYGNNAGAGGYGAQDW SHYGAAGHYGSRNGYQHWQQ I203_08208 MSSYHKPYILYSVGPKWPGTWPSVNFSVYQGSSRANPEAWKSYI EDAHRAHSSGLYQSSEAVMEAAEPSMSVYRYEAIKKISPSFLLLKSFHETRKGYVQPE RSGR I203_08209 MTPSSWGNVDLISEIFKTTPSGDSGPTESSVILYCPKSRNGTNQ SETFTVERKWYDSVQSEDIAKQVDLELNSIFQNCLDQYPYSCLGNGKIRIQRVSNDDY DSLMKIKRSLYPKGRKGVMVIPRKSNSTINKEITNGGRLTELGITKSSYMKDEEDREM ALKELSEYANSTEQSIKGWPLRAIYPLDERLGKKLREYEDYGYVFLDEDEIKTFRSIM EELPSIPGRPVNSYNTHVLKVTDSSATEIPNPSDCEPSAEEDMVITIDNDDERYRHET PFLPRNARAGDMTYALESYRPLTSKSADSRQ I203_08210 MSISTKPDANQDQESRPSYQETSTDPVPPYKLGRDGDTPTTVYF HPRPLDFNLQYNMEARLPLSRICDTVIHSGTYKDWKESGISIQNYTEQSTRLLYNSLA GHYEDYKAYRREKNDCEKSIEDVTDRLRNRNYTDQPCVLTFMDGKTMKDLKSIQKKVN KELSRGCLIYSLKDPKYANASFGLIPLIRRWSNKFSMQDYEQGESTVQSQNQVSGESG KSSASIRFYINYSSDLSMVQGDRDDLQRLAASLDRLGFASDREHMELMARSRGFSIHK VSKKVLDGLVSCESWTRSEE I203_08211 MNSEQSIRLRRRGSTSFDPSPQDIDTMAANGSAPTYESATSPQS DSVSPHLGRSQPPWTGSGEGRKHHIYFSPHFPSDTSWMARYGPPPSGVIDHTVHCEDL RSSAYLSRDRWGDASERHSNATMSIELTPKIQNEMNEYAQMVADASTGSFFLDCKGLA VSPNTLERFNEIRDTCRNEGTKGCLVYSNAIKTRRSSRGSIFPGWTSSTAEEKKWTMR CYIDHSRQVDTQATHESLRQVAEKLKELSESVTIRSNDIHGPLKGFAIPREVSTYAVE QGVLDELKSCDPVVLTNSVDSGVFTRRPTY I203_08212 MDITLLPPTHILSNHSHLALLPSALPKHCHPSSSRHSTSSKSIM MHPYTPKPPKDGSSPFTTLPTEIQLLILSHLDLSDLLTLLRVSKSFYSLGLSPTLHRK LSLNNIPSIIPHILGNHILPSVRELSINLFPFPRSYNGYHPNHLGMLLSQNIKRGNTK YHRQRNSHLGMVKYESVIGPIMKHVKFDQLRILNIPFSSSYLSMYELESILENISSNI EKLDLRGSSLSGDKWIELLGWEKFSNLKELDMGFTNIHSLPLPSSNCYTNLRYISLSS CTSLSVETISGLFRDLPISLEKLDLSRLDQIPFQTLFDMKVIHEDSTGVLTPTGLREI KLVGIDHLTRRDIRTLKKWWEKQRRDCLSNTSHRERGKERKLSLESQLVDWTHSRTEI ETPELISRSSTSSASSSAYSTASEEDIIDTPSTSYSSREYSGSDVRSNRKIMLPSQHN FLQSLSFDSNSAISDNQSIPQRKISTIPRAKKKIGSQGDHEVDIISINIIHSAILESE DEDGYRQFIGEVVGGTLDVGNEIQPRGYIEIDQ I203_08213 MSGSPSRAIRRKLVIVGDGAAGKTSLLNVFAVGHFPESYEPTVF DNYVTEIELDGKPVQLALWDTAGQEEYERLRPLSYSKAHIILIAFSVDTPDSLENVSQ KWIEEVRSICGRQIPVILVACKTDLRDRAMANGTFTPERYIDHATGQRIANIIGARAY FETSALENKGVDAVFEAATRAAVLVRDQGHGGVGASHDHGHGHREAYGGKRRSEKDYG EDKGKCCVVM I203_08214 MAEAQAQVQRGGFGRGRGGAGGRGRRGPRRGGKKEEEKEWVPVT KLGRLVKDGKIKSLEEIYLFSLPVKEYQIVDIFLPTLKDEVMSIKPVQKQTSAGQRTR FKAFVAVGDFDGHVGLGVKCAKEVATAIRGAIIAAKLSITPVRRGYWGSHIAEPHTVP CKVSGKAGSVMCRLIPAPRGTGIVAAPASKRMLQMAGIQDCYTQSKGSTATQGNFLKA TMAALSKTYQFQSPDLWKHVDVGNSPLDIYSGHLALAAKKAAAY I203_08215 MTVNLPISLPPPSLCPKLLPHLISQLSHSAPSSSTLSALRSILH IIASITLSSPSSGNIPQAKSTIPLQHLLELSTSHPGLIKASLLLDAIIAYPLYLTTIN EILTNAFDSNGDLVEVFRIDIIPSLINRLNQGNANNNGNKSIDDISKSTKILLSIIRS HDELLALVLEDSENVIKSLSKAYSTIPTTSSNAGVRVKSDILMICKELLDSVPSGHDT VEETMIAFMGEMSGFKGEGEVMGVGSRLRDDWEALFERDEEGLSKEVKEVLMKERDER AKEDRRVQHLLQLFPTLPPHLLLSALSHPSISSLPEGSRATPSDQASPIVEIIFNTGE GLPDDLKELKLAIQFLSQGDSIPESSTLEVNVNGTSQGDKKGKVERRNIFDDEELDLS KLKLKDDDSSLPTLSNTIPDTLRASIMRLVENQAVEEEERRQALKDANLLDDEDDYEE GDDGVISRIKVTGGDDEEDEISEEDGVKISREPSGTTTPSSGPFDRQRLDILRTAYIN NQRVFERDGVTRRSSERKKLREMTGWDDGQIEGWRIMLERDPHKDDILSAHSERMSRN RQSSPPRDNPQQGSSRGGHGNRGGRGGGRGGSGGGQRGGRGGSKSSRGHSNAARTRGH DKKMSKMGAI I203_08216 MIFLRRVYTLLLFLSTLFTTILALQADLAGIVDWHRPLIGECIL EPTPPIYVEHKDTSRVVGLTKKNVLAVLDVENGDIVWRHHFEDYDPVISYHVHNDKIL LLSGPGGSTARLFSLSTGSLSWEKSVIPYEEQIRGGGVLTTPYHLGTDVSFVPSHEGE NGEESVVILSDGKRITRLALKDGKQLWATEVPGAGSTILFKQLVSSGSSIHVLGIQNG ISAQMLLTTTLDLKTSIPKGDLGQIPSIVKIPEQALISSTGDGETKVVWTEHGRIRIV VIKEDGSVGKEIKDLLPGKGKVYDEIKEVGTRTRGIILGRRSDGGVDVINVIKGEKIG DFELSANSDERSESVYSGITTTKGVILNRVYWSFNMAVGVAQTINIPNVASTDIITSG FTFSYDTASHGTFLHAAVSPTLSDKQLPVLILTTSSGAIQRMELDHPGWVREESLADI KAARFVDLGEPETEEVREVLAEESFVGRLSRHLTELKDLPGYVIRFAKRFTSASYTSA LQVTPLNTTHLHRDQFGFQKLLIAATAKGKVFALDSSIGNVIWSRNLGLTSEKGSEIE VEDIWNVRDGEGGREPMLAILATKTVGQTIFTVAYHLNAYTGLISGEMDPINHLPLGK TIFEGKALNAFLLPFENCGSKATVLVVIDPSNSLHIFPSCKKVLGAIEGISDKLFYTA QSRSIDGTILRGFIPSAATQGGTLKGEVIWQHPFAEGEVILETRPVIFDAIASFGRVL GDKSTLYKYLNPHLQIISTFTPTTKGVSSTSLEGVGKAYVIDTTNGRVVYQTEIEGVV GRGGIKVGMVENWLVISWLDQRGWKIASTELYEDSDKKGVTPSQSTFEEIPIIAISQS FILPTEVKTLGFTTSKAGITTKEVIIVTGKNQIATIHRRLLDPRRPVGKPSSRDKEEM LIPYEALIPVDPKKVISHKYQILGAKTLLTSPALVESTSILFAYGLDLFLTRGITPSG TFDILSDTFNKAQLLLTLGVLTIGILVAGPAVKRKELKNKWY I203_08217 MAKTSTSKTPLVPRSKKHQPSWYRQNIARPLSKLNPSKLFHPGK QSLSSRSIYINEELPSEFYDKKGKLLKNKKYPTNQNVTSKYTILTFLPRNLFEQFRRV ANIFFAAINILQFFPKFSTISPGLVILPLIVVLAITAIKDGYEDIKRHQADHKVNHSI VHVLSGENHENMNPMKAKEKTFVPAIPLPKLKSRKAKKAALLEEQERQNGQANEAPPA AEPRGEGHGLSRMRSQVSNWQDDPEAGDAPNEIGWHRTIWEDVKVGDIVKIYDGEQFP ADILICSTSEEEDVAYIETKNLDGETNLKSRNGVPGLSHLDSAQACTQAHLRIDLDAP EVNMFRLNGAAVNLEEVDEEGQHPIHPVTLETTLLRGCVLKNTAWVIGIVIFTGADTK IIQNAGRTPSKRSKVERQMNPQVLLNLFILALIAMVCAIVDHHNEVRWNNEQSYWMLY ADTPGDNPNINGLVTFANAFITFQNIVPISLYISIEAVRTIQAAYIYWDRNIKYIKNG VVTRTTARSWNLSDDLGQIQYVFSDKTGTLTQNAMIFRQCSIGGRIYTGDGKPPSHPT LTHIHSDPHQVQKASSGSSDSEDTAQNGDEKADDIKVALPKEVLAPFHDDELDKDLAA HDTEQSRILHGFFAVLGLCHTVLAAEPEPGVIEYKAQSPDEAALVQSAADVGFVFRGR DHNILKMSTPFSDQPDEYELLHVLEFNSARKRMSVILRKLDEDGRIFLLTKGADNIIF ERLSKDNTQRELKQKTDQDLQYFASEGLRTLCLAYRVLGDQEYETWARDYHNATVALQ DRETQVETVSSRIEQDLILLGATAIEDKLQDGVPETITDLKRAGIKVWVATGDKLETA VAIGYTTNLLTQDTNLIVVREGRHSIQDQIRDALEGFFGGEPDVARTLSRVSSRSRPS HEAPNLARVNTGVQSLVGRDNGTRPGGFSLVIDGHALAHCFEDDETESLLLALSTQCN TVICCRVSPLQKAQIVHLIKDNLGVMCLAIGDGANDVSMIQAADVGVGISGEEGLQAV NSSDYAIAQFRYLKRLLFVHGHWSYYRNSSMILNFFYKNIIGIGVLFWYMIFCGWSTT YVFAYVYLLFWNVFWTIMPVLAIGLFDKDIDDESLMALPELYRKGREGRYFGIKIFLY YLFEGFYQTAVIYFFIHYTYVSTTMRGDGYDVYIYEMSTTMVIGAVMVANLFTGLNID SWTGWTYFGILFGPVLIWLFTAIYSIIPPSSFYTGVYGNDVFLFRSAAFWFGWPFVFV IALLPRYIIRYLDQNAFGDDIAKMRLVRKYNPDVNPETHPLLGGKLGEKDENENDDVE GGAQAQGQDAIRLERMGSTSNQPQSPFGNAGNTDDVENAQNTGRPSYERNPRSSFQSG RFGIHSQGRGSAVDMSTGLSQEPSRGYGFTMEEGGVAIQRMQSRLSQHSQHSNRYRFI PRMGGQSKEPFQTAPIQQKGGMAKIRERAGSILSRKRAGTDATHHSGGAGGVAESPTK SGGFLSPGGSGGLKKKQSTRQWESQGPERDEEEGEDPELGRDLGSGQNMAPPEIPRV I203_08218 MPWKSFKPDFMRMYVPADGTNIYDATGYPIDGIESEDVRRSTLT QIYNDVWEKATSDGELPSSKLIKSTVEAHTGRRYGLICVNNETLRELDVLEDPV I203_08219 MRIYHNNDPNTADPFIATTYVCSSGPLPYDDRTAEKVPISAPIF RTDTFLMKLVLFENYPAPSKDTEFQRVYSCRPNFPKIYAEPIADRLGQDKSKEFRDIC RYARSIEKELIESIEGKGRMDRPWVQSVGQLTEKSKPDGFIRDTQKALEGFTKFVNDT AKESITVNGKEYQHFVWSQEELLDRYVWRSTRGQTYDSFDHGMSWLKELNRIKPTEDL VTDMNALGITDKDDGERGGNERPTFTVVSIPYIEEGIHPQFDNSACKGLIGSKGKLLV KYIHSGSGGSIEDWSAMEKFRCRFEKSLRDTPPEQDEEVLSKINPEELVRRSKEWEQS IEQYVKDIFNQNKSCRPESVWHRSITGSDLDRYMPVTAHRLGVVDWAHYRDNVHTFTS WYENEYKKASPDQAQDTSASVISKEEEDDDCDDEFNLDEPIWNALVTLNKSSRHESIF IRDLPQKLSVSKHLFPSTISTESSEEAKSSQVSVDK I203_08220 MNLSQEDEQSIRDDLTFLRSGWRTNLKPKSPFEQYKSAQEEIQR HFIKYLTRCSTLIADQHLEKRNIQTRVQRLNDIDQDLKRYLAINHDITDSDTDTYEET LKIACSSISKPSMWMIMGNSPLGYSRAITEYTKEVKLLPEFGTKWDMTILPSTNADLN KKACDELGRYREKARSYIELKMLRMDFATTHDEMEDSMKPDHDFFDCDSNPQLKDERM ESYVQNQYDTIKERETDLQDIVQHVKRRCKKYDDDDLFEVHAMSGIEYSKYDPDSARE LGYLNYHELPRVLRDEFEQVSDELEHRRKNPNYQQSLSDFQKSEIKRFLEEESERLDP SAWKGGSPA I203_08221 MSQLLDPILHPLDDDDDHDAPTTTTRRITETDPEETDKMSSSSA PAASITSASAVTSLPPSTSAVTSTVRSQNPATPQSSAAKSTIVSSAAAASSTSTSSSP ASTRPTLTSTSTSSSTSSARPTSTSSLISSSSAKATLSSGSASKSVSSATSSATKAAS ENGKSGIGGSSLSLGALVGIIIGGIVALVLIGIVATRTIRQKQRRDRAKRRSSMFEWP STTMDDQPYEKPRYEPPSQSYAMSDSYGNSTNANGNGGSVSYVTNETSYAPLPTQQQV PQNYMERNNPQYSYNQQPSYPPQPQYQENIVPPPMAAPAHASMQSNSTGGGLRDGSMV RVNVGFVRSLEDELAITPGQQLYLHQMYDDGWCLCEDSNQFKGVVPISCLQPLSSGSG GVVNGGLAPDMARQGSGGSGGSAERLQRRSSLYREH I203_08222 MASPSPPPSTPIPKCPNDLFHFDDQCVLVEDADEEIMELYMSLA STSPETTKLAIDDDSGGLGFLNSNESILEITIDLTSPPPFNVPVNGGSVNLKERGQKK KPPQNRSVDNVVVRVQQDLGMLKSQKGDTGSVLWRSSLHLSTQLLRQSTYPTSYPRPI FNSDILGKSSILELGSGTGLLAVLGSRLCGRYTSSDRLENLKLVKKNCEINGIVIEDG SVLVSNIEKDKGKENRLSKVKSSENRPGHGHSKMTTIPEIRPKVVLEEIDWIAISQER KSHPERWSSSLAEENPKYDLILAVDCIYNEYLVQPLIDTLAKYCKRGKGGGNVVWVVV ELRSSDVLTLFLEKWLTDPSGPWTIVRLSEKMMGHWDDKKARWVGWVGWR I203_08223 MLPPPPASRKSSYIFSHPHQSTSGFGSTSSPIRSTHGRLPSFRT AAENVLGLDHEEDSSNSEEEEEGADTDGRERGLEETLEKIGFGSYHWRLLALCGFGWM SDNSALQCIAVILPRVQVHFNLSSKVVGLLSASTMAGMMIGAVAWGVISDLLGRSLPF NATLFLTAVFGIAASFSPDFGVLCIWMFLLGSAVGGSMPTDGTLFLENLPHSKQYLLT LLSVFFSLGAVLSSVVSLVFLPGHSCKTYEGCDIPKGENEGWRRVMFVLGLFNLACAF ARWFLFRLQESPRYLISNGRESEAIIALQAIATFNSNSMDIQRADVQTANEQICMNGE RTEDDKSIEDQGIEGLPRWNNQLEFDHEHGKYGGLGIGKASGSGSGLNRKEPLRMGSD FYNTSTSTPGLIENENRFENSFSNANISEEREILFDSNGNNDPQEGEEKKYKNTNDDD DGDDEFDKWHEKPLVWWRSWLKQMNKLFVPQWRKTVILMWIIWGAISFGYTMFNVWLP SVLESKATGEGDEAIKEALNDYVLYSLAGCPGSIIGAWMIQTWLGRRKSLAICTLATG LSTFAFINVEAKWAVVVSSMIISAAATAMYAVLYGMTPETFGTSIRGTACGTSAALSR FTGVIAPVSAGFLLTISPSLPVFVSAAIFVGTAGCALALPFERVGGGGKGGALMH I203_08224 MNASTSTHPAGGLPRIIDNGCLELTSVIGTGAYGVVYLAIDYKY SQPLWRAVKCLRRHGLDSRQKHFQRREIALHRLASAHPSIIAMDRMIEEDDNVYVVME FGEEGDLFAMITDQQRYVGDDELIRDVFLQLLDGVAWLHSLGISHRDIKPENIVCSQD GTRVRICDFGLATSEQESSEFGCGSTFYIAPECLGDWFPDTPSYPTRPGDVWSLGVIL VNLVCGRNPWRIASPSDESFNSFLNDPNFLRRILPISSQCLFVLQQIFTIDPADRISL AALRKLVLEVDTFTMGEEELRLAHYAAQDSTPTPQYQTVESLMPLQEVPEIEGEVEGE TWQTEDTVFVFDELETPSLRADSGPLSSPVHRSRSSSSNGGSLPPTPQLLAEGGLTLP QHQYQYQYQNQHPFFEVLKGKTPSSISELRVNPISPELSTVNSPNPFFR I203_08225 MPPFPFLRSNHDKDPLPPDTSNSQTLTSPPSITSSDKPQFTHPF TSSSTNASTSTVNASSSSPAFLPAVAESPTSKYHPSRLLRRKASLSNKSNETPTFADS DPLPSNNVSSNTSEPPTSPRRLPSSPRGFPSSPRGFFFDNNNNNNHRESIEKDRERDK FATYPTTRRGSDTSRYINYGLPSPTSAKRSAFVYNGKLTSEGEKDQAVVIIPSGNIDR DREEDQLSISNNLAALGIKSDNGSLSSSLPKVLDGGLGSTSGVGIFGKIMNNGNDQPQ SPTQYSSVYDNRRPPTPPDSAKTPFYPTALSPPRRPMPKSPRALRNQHQRTSIPTPSH RPETPPSVGMMQALSAVGGSSHDSHNQSPSPSLNRPRRGSEKPRVTAEWLARKPSLTT PSSSSANKAIRHRPPLSVDQSPSSSPSNFPVLRHQASSDSPILPISIPKRQGSLDDSP KIIELATDNEKESTTRRPSFSSDTEGLSSDGEIGLNPRRKSLLTGGNHTPSRSGNRKS SLVPGTGTSGGGFEVIVNCIDNRLREGDDEDGEGEIKWEVTIKKQSSTKSDNIVGSSP VQLSTHGVNAQAPLSASSINLSLSLDQPTGKLVFINFPMDIHATPTRKRRPSTANANA RINHIVSSPRPSTPPNQLASKVDLDDTLAQSQNQTPTRTPSSRRKPPPPWPSPRTDRP IPSPPASPRDVFNPKKTPSRVGVNGDLLNKITRGDVSE I203_08226 MSAPELFKLPYDRVSPKDKQSFAYTTLVKRWPVVLTNVVSAVSN VNHEISMQSDNSKEAEEKLEEGKRIISQISQMKYDMGHNAALTPIETDGDINRECYND ELKIYPDYDRRWSTMNWLFAECYVYRRLRSYFASTVHWKDYDPFFEQKVETYKSSSTA IVHLAKAMNPAVEEKEELIKNYEKPGSALEIAFMEMIQADLWGNATDLSLLIDLKYED LQKLQAVGAAAQEEQAKFILRNDLSKAWDHLKTLKGGRVDIVLDNAGFELYTDLILAD FLVSCTPFVDQVIFHPKAIPWFVSDVLPYDFTWAIDSLLDTSFFASHASTPLSPDDLA SLSALAARWKRHLNSGRFKLSVPENTKLGKATPLGRFWTTQYSFQDLPEIAPGTLQEL RKSDLVIFKGDLNYRKLVGDAWWPTTTPFEVALGPLAGKITLLSLRTNKADTIVGLEE GIEERLNKEAPDWRVSGK I203_08227 MIPLILYASETGNAQDVAERVSRSFRAAGRKITVQSMDTFPISS LIHVPLLILITSTHGRGDPPPAMTSLWKALLRSNLPEDILEDVHFTLFGLGDSSYERF CYAGKILARRMEGLGANRLAEYGWGDERSPNGIEDALIPWLKQTLDTFLPYLPVSPDY SPISSTDLPLPIYSLTSIASSSNSKRKQKEMIKPDDWVWATMRKNERVTKEDWWQDVR EIELEFDDEDAEPYLPGSICSLQPQSDQADVNTFLEMMDLESQADKPMQVEALLEEQP LPQHLPPSDKPTTLRSLLTNHLDLRCSPRKSFFEWLRRLSPDEREQERLDEFIDDPDE IHTYATRPSRTIVETLADFRNTKIPLSHILEILPPLRRRQFSIASSWDAHPGKVQLLV ALVEYKTNLKVPRRGLCSQWLDQLTVGIKLPIHISPPTLFLPPNPDTPVILVGPGTGV APMRAFVETRFKQGAIENTALYFGCRSEYADFYFSDEWKKYGEMGVNIQIAASRDQEE KVYVQHLIKENKEEVQEWLVEKGGHVYISGSSNAMPREVRDALAWCISKDGAGDFTEE EAKEYVERMFEEKRGGEESW I203_08228 MSTAQIPTTGNSAQPLPVKHLLQNYTTAHPLRSPSPLPPSSRVD PSLTQRLPPATSSSPLLWTLPTWWLFVRFILTKGLHVYFNLLCHFLFGPKRKSWGYRM TFITSFMRNIADHSSLADIVLIRRFISLQYLVPLPGDAVVTPITFKVPLRKGDEIARG FLREFDLKEDGQRELSGEWVVGTDVWKTLKAQRRQRNRRKTSSNTRRNGRSSHLSNQI LMNEKSYPTSSSTSSPTSTIRPSSTTTATTSPPISPIKTNRSDSTSSYNTPTTDSDSD KVAERVIYYVHGGAYYVGNAATHRLITIGVSKSCNARVFAITYRLAPEHVFPLPLHDV LHGYLRLLAPPLSIPPENIIIAGDSAGGGLSLALCMYLRDEGYKLPAGLVLMSPWVDL TMSCGSWDENAATDVVPRPEADDHLNPVGCYLGPKGISTYLTHPYASPLFGDFQGLPP MLIQSGDSEVLRDEITLLAHKATLAGVNVTHELYEDMVHVFQMFSFLPATTAAINNVG KWVRQNLPAIEEQEGRHESKLGKEVSDEMDSSPRVVAKGGEELDVGLPPNQTEVLQSE LDAVEDYNRLSRMKTPKQSALKLDVGESSSGETNGPTVDIDSLPSRQGESESITPTPN NQTPLPSMDDPSSSSSALELSGIPFPTFSAPAPHTLPRLRRSITNVPIPTPSSIPLTT PTTSDENRRRRRRGITYSSSLHVSPAHSTGIPSNPTSPTPSIRRKLKSTMGSMSMSNG SNGGTPSTRARSKSHSDIFQLVEGYVERGAANTTTVIDPEGEVISMGVLGEDEDPF I203_08229 MFVEELSSRYTDPTLTVSFWCSEEGHPQPDKSSAEGFSVHYPSY QSIVTVGRPREVTRHTHETLIQLKKIASDFQKRIDDTLQGSNRLKQPWYIPISKLNID STVEEYKNATSEGMREFYMFLKQIHDEVNDKYGEETFLGLLDESGHHEFWRRGKHRLP KYERSAHYQSVSQFCQTVHELKAQTQKQVEEEEGRTGAINHERQPSDQDQPCLSRLFN RIKLFPWSYFGSEEEGILQGPPSLVIVSYVTDGSMPGPKARKGQRTRLAKGAKGSITV CRTDPKSFPADNNTTTNSGCAQDNDKDQLDLFMNELKTTLNEWKTYGSEKFAIDSRYG SSYFLDMNEQREGRLNLIS I203_08230 MSTGTIDVKDSISNRTYAKDSEEEEKDKVVLENDFLCDANLPPR PFFLTGEWLIQPPDMDTWIRTFHSYIGDSSEEREEIINDMENESGEIISKSITENQIP KLFEGCDEDTRRGVEKNLNSINKWQTDLRIDSSKSEFERIGAIIKEDAKQYVRKVANR FAECHPDSRNIKTEVRTWEEFPPETHRALKAYDGWLDDVEKEEILTRSVSSIQKPSVW IIVGDLWSEDDFRRTDSPDKLTVEYTFKPGYDAAIVPSIHQDKNNQAEQDMKGFINSL SESAQRRLRKSHEPITFRFDESELTWDSIGEYESQRKEIAISGFEKMRDGENKLVSTM MKDIVNSISIDSQTFDVHIHWNNFSTHLKDTFGYVSSNKLAPYNKAKELEHSEMVRQN QEYASQKLDYIGRWWAQVDPRRKPISVDEHSPEDD I203_08231 MFTNSFQTIFLSAPLALSITSTSASPILEGRDDVDTSIQSQVWL PAYTRIVNRPGLPGLPEGGWGPCYIHEAFTRSQLENTLQDDGSNTTTKTDSDGWNRRK MFWYIYKNTGTLQSKPLIQGGQPINPLGDEDILYNITCYDSVHKSAVNGTFFDQQLDL SASTIVRPHGYTSWENLKGKAAFYCPEGECIHEDCKGLPVPTWNQSTYDKQNGTNNAI ELLSSRIS I203_08232 MSGNKRGAAGGRDLSEFNYGNMSSLVVNQDRSVLRSDEPTGVAE SLVGRINPKEMGSRVVREAPKDLEKKKAKSKLTSAEEVEKSIRRAQEKSTARFGAADV LESVAQMEGLRYKPRTAETREVYELLLGLIHQILGDQTQEVVRSAADTVLENLKDEDL KEFDKKKEVESVLGQLSESTWSQLVNLSKKVTDYGEEEEGGQQTDDRQQAVDQEGVAV LFEDDDEDDEDEGFEVKGRDSEDEDEEDEEDEEEGSDEEEGGEPMDEDDALVLGKETK AAKSKSDKVSPHEVDAFWLQRLISTSYPDPVQSSDFTSKALEYLGSEMELRDLENSLA EMFGYENFDLVATLTKNREVIVWCTKLARSSDDERHDVEVAMREKGVGYILRELRGGK KAETATGVLEQVPSVPTKATLAPGSVAQPRRVIDIDSLIFTEGAHLMSRKKVKLPEGS FKRQMKGYEEIHVPEPKKREIQEGELVSIDKMPHWTHPVWASVNTTRLNTIQSKVFPI AFGTNEPMLICAPTGAGKTNCAALTMLRCIEQFRDPETGYIDRDSFKIIYVSPMKALV QEQVSAFNKRFSALDIRVAELTGDSQLTKQQISETQIIVTTPEKWDVITRKSTDTSYT NLVRLIIVDEIHLLHDDRGPVLESILSRTIRKMDQTHDDVRVVGLSATLPNYKDVAAF LRVDPKKGLFFFDAAYRPVGLKQQFIGVTEKKAIKRFQVMNEVCYEKCLNYAGKSQTL VFVHSRKETAKTAKFLKEAAMEKETLTQFINPEGSSREVLLAEAAQCKNPDLKELLPF GFGIHHAGMSREDRLVVEELFAAGHIQVLCCTATLAWGVNLPAHTVIIKGTQIYNPEK GKWCELSPQDVLQMLGRAGRPQFDTFGEGIIITNHGELQYYTSLMNQQLPIESQFVAK MVDNLNAEIVLGTVRNRDEGVQWLGYTYLYVRMLGSPALYNVGADYMEGDAALVQKRA DLIHSAAVLLEKGGLIKYDRTTGVFHSTDLGRIASHYYVAYTSMSVYNKHLKPNLTAI DLFRVFALSNEFKLIPVRQEEKLELAKLIERVPIPVKEGVDEPVAKINVLLQAYISQL KLGGFDIVTDMVFIQQSAGRIIRAMFEICLKKGWSAPMRAALDLCKMIERRMWKSMSP LRQFPRIRQEIITKAERKEFPWHRYFDLDAAELGELLGLPKSGQFIESLVHKFPRLDL QAHVLPLTRSLLKINVTITPDFVWDHDIHGSTQAFWILVEDVDGERILFHDQFVLRER FAQDEHYVTITVPISEPVPPNYYLSVISDRWLQSETRLPISFQHLIRPEPFPAHTALL DLQPLPVSALHNKAFESLYSFDHFNKIQTQVFQALFTTDDNVFIGAPTGSGKTICAEF ALLRLWTKRDSPRAVCIEPYQEMVDMRVAEWSEKFSALEKEVVALTGESTADLALLRK ADIVVCTPSQWDLLSRRWKTRKDVQNIGLLIADELQLIGGDVGSTYEVIVSRTRYVSQ QTGIPTRIVACSVSLSNARDLGDWIGANSQTIFNFSPSARPLPLEVHIQSFNVPHFPS LMLAMAKPAYLAMVEHSKNKPTICFVSSRKQCKLTANDIMTYCLADEDETRFLNVEQS DLEPHLERLDDKDLAETLKYGIGYYHEALGKIDKRIVTALFEEGAIKVLVASKDTAWS LPVTSYMVIVMGVQSFDGQEHRYVDYAIADVLQMLGRACRPSIDSSSKCILMMQQTRK DFFKKFLEEALPVESSLPSYLHDHFNAEIVAKTIENKQDAVDWCTWTWFYRRLMQNPG FYNLQATTPTHVADYLSELVETTLNDLVASDCIIIQDEMDTLPNNLGMIASFYYISYV TVETFSASIKETTKLKGLLEIVSSAHEFESIPIRHHEDVLLQRIYDRVPVKVAKADYN SPYFKTYLLLQAHFSRMTLPPDLVIDQATILGKITGLLSACVDVMSSKSYLNCLGAMD LSQMCVQAIWDRDSPLKQVPYFDQDVLTRFKRKGLDSVYDIMELEDDERNDLLRMNDR QLARVAKFVNSYPNIEVSYSVEDSDSLTSSDSIVLNVTLDREADEDEDESGQIADAPL FPHKKMVSWWIVLGDDKTKQLYAIKKVTVKSKLNTKLEFTLGQGEWDLKLYLICDSYS GADQDFDLETLKVAEGEDSSEEEDSDEEMDQD I203_08233 MNASAEAGPSRPSPPRIPPPAFDAASTDIVMEDVSKPISTPVSG GEPDDAVALLLNISSAMEVPPKPESLEVVMEDQSDLVEVEKPAPPDVNSYPYTPPEDK NTNDRPTVPPPSASISNLLTSSNDNSSPSASAHPPTPTRTSPDPPVKTKGKRKRNTTS GSSRRTSSSDHPAHWLGEENTIIRCICGFTEDDGFTIQCENCGAWEHGICFGYMDAAS APEQYFCELCEPRPYDAAGARQLQMLVQNQQRQDRIAAPPQGEVSQVEKEKEKPRSKG GKTKRARTESVLDGELDKDKESGKEHSPGVMGPPASKPKRRQPGPKPRAKQNILESSS TPGPSSSSFKEQQPILEEPEDDYFRVEPWALEYTPIKENIVRGVLARQIMRNIYKEWV DAEEEMVAAKSRAVHNPSGLPSPTETGILRLSPDNLFPPPDFHILAPPVPPIFLSGPD LESLASSTSIQIVEDAPSFLPLTYTENISKHGIYTRPTIYSVYAEEPINLGSFIGEYK GEIIDCESYRKDPINQYSSLGLPKPHVRSIGPPINLMIDARGYGNDFRFVRSGCHPNV VLRPLLWRSTESESLKLKFGLFASKDIGKKDELVLGWEWDDQHVVHSLRSIVHAAMLN DGSLASPGFSASPRTINSLSLKIDSVLTHIFGTFTACACVVPGTCALAQMGQLVEPKM MQDGLSHANERKKLRVDLGELVGAVRGWRRRELENAEMRKWRMNEGQAFDLGLTSMSS RTSEAQSQSVRSEEQSSDRADESMEQDIEVEKAAEEEDDHDGEISVQGPETAQNVQED TLMEEEESTPIEKSRSELEAAAEVIRESVSVPAPFPSTIISPVKSPLTETQINIQPVH PISSSAPQKQMFSPAPPRTPKVERQDSSSSLSSAISSIKPSLADDMDSGSESDSTAAT VPKTHSSDSDADSDIMTEDEEEMGSRLPVVGPNHESEEEEIDFRSSPAKPKSAGNARK VRRVLSPIIEASKHLNGHVDVDHDMSDDDREVHISKPKKIKLKIPDNSPKLTLSDTKK ASISPGKHVGKSKRGRPKRIVSSSASENEDDEEETTVRGSALVKKRKKSKDIRSSPKS AKSVKLEKLENVSTSSGPMHQEEEPRAVVPDQVIAPLSSAMEIDEAAVPDPEIVPSVE SQPVPEDVAEEEAR I203_08234 MYYVSYLIFPEKNLEVKERNLAFEEMADELDRKEMSGTMYWNEG YDDASGVKGPENFDEKPLQEDSDKGGPHMYVLPAK I203_08235 MLWYDNLKGAFHSRQAFVRYIEAPQPEADELINNDKYNIRWSNV DLAPSPPQDRKWTQWTFLAFWVAHAAGAGSWTAGSSLINVGLAPRDAYIAIATSHILI TILVVLNGRGPARYHIGFPVFARTSYGMWGSYMAIMMRAIVCIIWNGTNSYYGGRCVT VALTAIFPSYAKLPPLPKSASITSPDLLSFFIFMIVFVSISFIHSRDLKWFYIGKSIF VFAAMHAILIWWVVKSHGVTFAYIAVDKPVSTTAHIWLVLRAFNTGLGTASSLTVNQG DMARYADKPRSAIWTTLIGYPIASALPCLYGILVAAAGRKVTGTAFWNLWDVLNYMLE QYPDNHGARFGVFLCAVAIALSYLAVNLATNSLPFGSDVTALFPRWMTIRRGQVICTI LSVAIVPWKLLNNAAAFLTFLVSRHFCFIHALTDLAAIMSIDYYLIKKGNISVPDLYD GSSTSRYWF I203_08236 MMLQVALSFLITGNLLCSFAKTPVQLYAFRTISGIGGGGINNIA MVIVSDIVPLAERGKYQGLISGATSLGNAIGPFVGGGLAGANQWRWLFRVITILGIVT MAMIHLIIPLKPVTGSMSRKLMQVDYLGVLMSSASIMLLLVPISGGGGTFAWSSPTVI VLLIIGAIFGIIFMIVEGRVAKLPILPLRLFRLRTPCLISFQSFFIGMIYFGNLFYVP IFFQYCKGYTPLVSGALVLVYTLPQAMWGVGAGFYISKTNHYKRIIASGAALWTLALG LQLVWKPSTPLGVLIAFLEINAVGVGFSLQTTLIAALATTKPKDRAVVTASRNFFRTM GGAFGLATASAIYQAVVSSQFSQITSLSETEKLNLLDSALGNLSSLSSEAGRQVRNAY NDGLRMVFLAFTVFSGASLLLSLFIEEVLFAKDKPTEPDKSVQIDDGSTPSTGTEESQ ETESMKSTVSTERLGIEVAAVPSAGSKVQ I203_08237 MPDHPEIKDHYMSQRTDTPIKPSGLPYGENAKPQKTLPFTTTRT ALSGLLHSCLPFKRSSHAHPTDLSSVMQPTSPSDTPANPNPSIPPIDIANRLIHTVYF HLQCRYPFLDWNQIYEWHADRDNYLHAKTTDPYEEQVGAFFLWGIYAIGIQLEPASGL DSAQSYFEQAWKYSDIVTTPHDLMTARVLLLTTFFAFRATSGLSLWLLGGLAMRLCVE IGIYKQDIFIRDPLEDQWRKRVFWSAYTFDRLISHASGRPVSIPDEAIDVDMPLDINS AVRDPESIRSARHTVSTDMTSAIMSIKMYRTRSRIHAALPALRNPATTRELTVGFLTE LEEWRRNIPTTQGDQAIPMQAEDRLRWRYFLCVLLVLRPSIIKANPSDPTLSLCATAA AEACELDRTVHKGPATTQTTISVCHTLLCGTTLLYCLYISPSVISRKTSSRAIRACSG TLAVYSQLFHEARPFAEVFEHMADEILTEASSNTQSLTNVINTITSMFQGNFVPLAAL FDSLKSQSQEDLAPGLEMQTDHERTHVNNANEQVQQPELTLLQQESTGLTPFLSEPSR DQTDQLTTSITSDVTDSAVAAEDGLDLNWLNLDNALWEYMT I203_08238 MTADHYLPPRDYVGYGLESRPGVWPGGKKIAVSFVLNYEEGAES TPWNGDDGSCPMLHELHYDRKATSGGKRDVLVEDMFDWGIRQGLPRLLKLFRQYGWKW TTWTCARAFEVTGPYAKMLVDDGHEIACHGNRWQNHGKNFEEVKAHINKSFDRLQAST GLSDVPTGWFVGTSHQSQKLARARNVPLLYCSDTYAGDEPYWAPDPYAEIYGGEDKGM LMIPYSLCTNDHLFFVAGGAGVSAPDDWFEMLKAEFDALYAEGGRGSAKMMTIAMHNR FVCKPGRIMALKRFMAYIATKPDVWVTRLYP I203_08239 MTNDRYTEDWLEREFVGYGFDQPDPKWPGGGKICVSFVVQYYMG AELNILEGDPTFCSEYLEIPPRAPPTAMRSEASEMMYEYGAREGIARLLDIFNDSCVL GLVWSLIVPQLTVTRALEKAPYWVKPILDSGAELSCGGHRYRDNLYVDPEEEDQLIGK SIDVLQELTGDKTLPKGWLVERRSNLSTKLYSLTHKERGLPLLYSSDSCADDVPYWIP SPTKEENKGLLMIPFSYDCSDLRFKMKGSGFASPKNYFLHLKDTFDCLYEEGEAGEAK MMTVLLHPHIIGRPNRAFWLEEFIKYVQSKPDAWVARRQDIAEHWTKAFPYDSKTAFG QTKVPECAQISIPM I203_08240 MPIKTPVTAEELSAAAQRLGFTIPPDHEEEYLALLAKTDAQCEL ILDTPDHKPIPDFENFPRTDVYLPEKKDNPLRAWAWRCHAGSTEKDSSKLLSGKTVVF KDTVCMAGVPLLFGTDAFEDYTPDVDATVVSRVLDCGGHILGKAACENFSHGATSSSS PFGPVENPYAKGFTTGGSSSGCGALVGSGQVDMAIGGDQGGSIRIPASFCGIVGLKPT FGLVPYTGVLTSDAGIDHVGPMTPTVLDCAILLQATAGYDNIDDRQLGAPKYGDIPRY RDLLLAARKSSIGPKKIGVLTEALNGRLVAGSVKRLILRAAQQFRALGMTVDEVSIPM FNLTPALSHIINKLASGGTRQGRQVGRRGLYLNDYWEHLLPWDQYKYDKAKYFVTGTA MSCEYAWLKYPTAYGRAMNLTRQMRDEFDKLFEQYDAIIMPTCPQPPRRHIPAHAGPL GWADHAPGTASITAAFNLTGHPALTIPVGFAFPMPEDILSEDDKGIKLPIGMMIVGKL FDEMGVMVVADALERSVDWKSIVDAE I203_08241 MSSDSYTQDDPRIRPIAPSRYPWTPLSPSSSADDVVKVSLILTS AVIAPTNMLTSFDTGEEKTELPCHAVLIEKGDEAMLFDQGLREDAENFPEPMHHTLLK IMGTRSAPGPIKTLQREKYNLDRLKSVIISHKHFDRPGSLQSIGPGYPKDPKGQWHSE WFDKYRFVELPSTDHKGEWSGEVTTVIPCSGQRRWERVGCFDNGLDWFGDGSFWLIDA PGHCAGHVMALCRVTAQPDTYVLLAGDAAHHQNMYLPIPTSECDLRSPKPVIDGKVMF AEDPVQATYVIGQLTRMSIEDNVMVLLAHEKEAIGVVDYFPEDICRWKEKGWKEEKQK NVLEDAIKGAQI I203_08242 MSSTTILAPPITAASSTSSSSKINRLSTSSAGSHASFSSNWTPS RDSIYSVSSDGWSTSLVTPRGEKNFDVEILNDVEEDNDDKVEYIDLAGKESWWNAIPK RLSRQEKEKSVEDDKWSDDLPTLPSTCILPEIERTPPISLDQPISARKLGELPTTLRP EISVASQSEPKTNIFDVFSLGNGVQHQNDQHHRDMFASVPIRRPFTKRRPPIPSFSTN TSASSSSLPFIQTRPPPTRPPVPLRASSLKSLFDQTTANKQIARSSSPTPSHRPKPIR TFSHPPSTSLKKHRRISPVLLQPISETPKDLDIPPEGSWALRRPNRSKPIHKSRSQPN LRIHHNTSEDMTIKFRLKRSNSSNGTSVDGGCGSGSDFMPLDIFPSSSRGSGSMDVDR VKDREGRASFSDMARSFVSRPSSIPVPESPSRMSLDGRQSLNLGIGMLKKSPKSNNFF SMRKNSGQMHSQRRPSLLGLPEWSSRPNSRSISESYVPQISYSKTFEALTLRTESDSK SPLRLSIDVRRRSGEDFFKLHMYKSHMNTSRHSIASSISSNTNHHLEEDLLAVIEAEQ WNWPSPPFRVTKGDDTPTPGLSTSGTLESMISTSPQTPSESEFVLHGEIEYLAKSSRE KDKDKRFRPLSLEGSVESLDITL I203_08243 MIKFILVQNRQGKTRLSKWYSPYDDDEKVRLRGEVHRLIAPRDQ KYQSNFVEFRNDKIVYRRYAGLFFCVCVDSNDNELAYLEAIHLFVEVLDAFFQNVCEL DLVFSFYKVYAILDEVFLAGEIEETSKQVVLDRLDYLEKLE I203_08244 MPPIYGPVPDEERENVPLAPSPQTPRKRKDSSGLSDASSTEFRD QLEVEPFDEKGDRFRDDPRLEDEAGNGDGDEDEQGYIVQPPQRLRSRQKSRKILAILI AILTFAAAIGVLAASGYSAPTFGKSGNQRITMDHVFNGTFNAWSKQLDWVKQAADGTF SHINKDNNIVLADVHNMTEETILVESSKVVDEHGNRLHWQSWWLSADMEYVLFKTDHV KQWRHSSFGNYWVHRRSDSTTFPVITPSSHPTITKCVWSPVGHALAFVSKNDLYVITE EEMHSSGRPKSTRITTDGSETIFNGVPDWVYEEEVFETDSALWWSPDAESIAYLRSDE SAVKDFKLQYYNPSNDAFEVHQYATELDMKYPKPGTPNPLATVHTYTLSSQSRHQLAW EGEMPLDNRMIIEVGWVADNGLLVKEIDRAARKGNVVLFQDGQTQGDIVRILGKEGEE GDDGWIDHGQNVIPIKGALEGYLDIVPNEGYNHIALFSPINATKPMWITSGEWEVTQI SGVNVDKEIIYFTAATPSTDRHIYSAPMPTSTTEQYDQTFTALTDNSSPGYYEAFFSP GAGYYVLGYRGPEVPWQRLLETNPGEEPINVLLEGNPQLNQTLSEFVRPIITRQTIES DGYEINVLEMLPPNIDTSGRKKYPVLMRVYGGPGSQMVHNRFERDWHSYLVTTLKYIV VVVDGRGTGFKGRRLRNPVIDDLGHWEVVDQINAAKEMAKRSYVDRNRIGIWGWSYGG YMTCKTIEADSGIFTLGMAVAPVTNWLYYDSIYTERYMSVPSSNQDGYIRSAVNNVTS FAGDKVDFIWAHGSGDDNVHYANSASLLDKLTQEQVRGWRFRMFTDSNHSMDKRQAYR EVYEWMTDYLKEKWGVGGKIHH I203_08245 MSLPTHNRNRSASGSGLLNTFASVIPTPITSIFTSPRISQGITL GVEEEGKFGESSSSAGGLLSKDAPEGLKRVELRVGGMTCGACVASIESQLKQPGIHSV QISLLAERGVVEYDEDFIDDQGKKWDDARIAEEIEDIGFEATVVEKSEVDEVELRVYG LENPEVVNSLLETTSNLAGVHSATLPAPYTHLALTHSPLLISLRTLVDTLSARFPQLS FLPVSTRDDSQVASLQKHKETATWRRTFMVSAIFAVPVFVIGMLSMYLPHWLMGWTMW KLVDGIYLGDLVCLLLTIPVQLFLARRFYENAWKSVKHGSATMDVLVVLGTSATFTYS VLSMFFAMFSSDPNYRPQTFFDTSTMLITFVSLGRYIENLAKGKTSAALTDLMSLTPS SATIYVNPPAEGESIDTSAETRKVPTELVQVEDVVLLVPGEKIPADGVVLTGATSVDE SMVTGEAVPLAKKVGDQVIGGTVNGLGTITFRVTRAGADTALSQIVKLVEDAQTSKAP IQQFADRVAGIFVPIVITLSLITFMAWMTISLLNSTGNLPDVFHSPGASKFGVCLKLC ISVVVVACPCALGLSTPTAVMVGTGVGAQNGILIKGGKALEACKGVRRVVVDKTGTVT EGKMQVVAATWAPSGNLTAGSPNSNFEIDTPSTLSLTTSATPLQRHTVLSILSLAEAR SEHPLGVSIAAYGRDVLSSAGLSPPNGEVIEFESFTGEGLEAVVRLSNGSVEERIRIG KSNFVLSQSSTGTLDEKTMEVELPDKMREFEEQQMNLARTVIFVSFIRSSTSIPVLAL SLADSPKPSSAHAIRALKDMGIKVTLLTGDAEVTAKAIAKQVGIDENEVYAGVSPKGK AKVVGDLGLKDGGGVAMVGDGINDSPALVAASLGIALSSGTSIAIEAADVVLMRSDLL DVVAALNLGHHIFRKIKANLIWACCYNILMIPLAMGFFLPWNIHLHPMMAAAAMAFSS VSVVCSSLTLKWWKRPISSVMPDEPYSPGGTLNGLSKILFEFRDSMSSIISLGSEITR RGSLLPLLRRLSLRRPSRSAYEAIPLDRSNTPIPVSPV I203_08246 MASQVPPSKNPTPLADRPLPPSAALSEPADYKNTFRGRMAASKY ADPCEAASKASLACLERTHYNRDECLDFFAAYRECKGKWIAQRKEDARKGRDTV I203_08247 MGVIETLAGIGMAVGSPLIYADQAYSIVKKKDSSGFTHDVCGVL LVANIIRVFFWLGNRFEIPLLIQSLLLIISQLGLLAICLHYKTPSESSDYAPLSPLPP TSEDPPTMGHVRQDSDYINQPPTSMSGSGKSKRPFEFWQWEGYGSYLEFLAALILALG FLQIILGRWMWYIDALGFVALTIESTLPIPQFISNFKRKSCYGFRSSTLAGWFFGDAY KTVYFFIRGSPIQFKVTAIMTLCWDSAVLAQRIIYGSNPPRETSTQFPSSNPEESRGL RSDGEERQFSIQ I203_08248 MPSLTKMIFGNGPLGPSLAPWIRQRPGLQKYWARWSNFYKNAAG YRQKGYLYDDLIVEETPQVQKALQRLSPQQRYDRVFRMRRGIQQSMGHKKLPKEQWTT PEQDVRYLTPLIEQVVAEEAERAEWDYMTVEKIQQKRAEKRNIFSKREGTH I203_08249 MTLKPYFYCHWYVLKPHIDLNRSQSADADLTLPPVDNDQVFAFS AFYLVATEDDHIRQAGPQRKHIVLGRHRDRQFLSEIRSKQQLHELEIDTRGDYSYLAR DDPGVRQRRRLAEDSWSEFKSDRSFSSSIGRGAAQWGENDNPLQMNLDEELSKIEKAL RGIYTKRRSENILEEPLEGDSERYEMENTLIGRLRSKQCFVESMTTWGDTGTGRSLDW HDA I203_08250 MSLEMSNGPKPYAWLRKDLVFSGDANTDRLPELDDTTAAQSGTT FIEDPTQRARHNSQMITKLVANQSTTPYNEPSLYLDGQALKELNNSLQSARDKEEASQ QAWHSLQSTEYELPVYSPSTVQGFLSLKSVRFEKRMPNWEEIVQEGETAAYSCNLAET PGQLEDFHTMSKSETLSHVDEPMILSLDTQDGNAPAQRNPFGMGNPFGMSRGFGRGNS FVEGDPFRARQAFTQSTVKKDF I203_08251 MPELSETPSEKSYFWLRREDEEPILDTRSSYGDGLLGDSGSKAS GIPSFTPDTIKPGVSKDPIFDDDEDGEGNSVEFIIDASKRAEHNAKLIRILRSHLQGE ISTRCWESDQQCYTRFSEDIQNLPTGTSIDEYITLLQDVRIECGFEHSFNLGDDEQLQ RRLDAIHAWTDLQSADYVLPRYSSSTLQGFLSLHSVQNDKMPSMEEVLAEKTRLAERG DSSYGRWAGSFFEKLRGKQSLTNDDKASLKQFAYWNRGYRPGNSMGLSEAQHRGRKAF CDTFVPKISISSGSSHTANSTGDSVVPRLPAPPRALDGSNLIQL I203_08252 MKVTSILNLIITASLVRALNIPSLSNTTIIDKRQTTSTASSSER YVFAHFMVGFVNSYTQDDWDRDVALAVSKGIDGFALNCDGQDANAQQLQYAFTAASSS NFKLFISPDFVHYSYEDPGPVSELLKLWVTQGAYFQFDGKPFVSSFWGEGTDWVKVRE NVGVDLYVCPYYYASQAAVDTPGLDGLFSWKTWPGEGQDTVVFENMTTAPDEEYLSLL APLDKAYMAPVSPWFYSHLPASTGYAKNYHLYSDTLWPTRWQQILDLVTQYPDQVRFV EIITWNDWTESSLITPYRGTMETDGIKDWAEDFDHSAFMDMMGPFISAFKAGNALPEL TENRLVWWYRPTLKAAECSGTDSVGEKPRGWDMAADSVFLAALTTGPATVTVTIGGTA SSQQVDGAGVHTLAFPMSVGGVSFQMDVDGGGSASGQGAIEISDQCYRGVYNFNVLAG TAAADGTTSGTSNTGGASAPVSSAAAPSSTAGGSDDSSAESMAPDGGVATTQQSSSAY STPSDGGVVRTQQPTSASSAPAGGAVSSTVPAQSYSTRPWGGWRTGGWGNR I203_08253 MTITLRLVIHTINLILLVSLANVCLLFALLVKPFSEDKSWELVC WTGNWFWRYMQNHWENTLNAKGAVQVTGDEIPERESAFVITNHLGYSDYYLFHYLSSR AKMMGNSRYFVKKEILRIPFFGLAFWSMGMILVSRNWTNDQRLIEQAFKRVKENHHPC WIVLCPEGTRRTDSKILKSQAFARQKGKDELQHVLFPRTKGFVSTVQALRISHIKYIY DLTLLYQSPGNGNNRWKVPSLADQLNCKDLTEKGYRYKLHVKRIGISGLPQDEESLQR WCEDRWKDKDDLLNMWLSDSKISNGNGTKGKMGINGFDHHIGH I203_08254 MTSLLSQPTTSRAGALLAMSIARPLPLFSTRLPHPLSNRSFSTS KPREISHFDTYLFVDKLEKNGMTRKQAEGVMSVLAEVVEESIKGMESGLVSKAEQEKQ RYTEKVDFARLKSELQLHEKNDLSLMKAENDRLMADVEKLKQRLREEVTRTQAGVRLD LNLEKGRIRDESSQQELKIKEVDTRIESEIAGLRTQIEQAKFSILQYLVGVATGSGAL LVSHLSSPTRLYENDEIDVIPLSKLYSTTPVSHTTDIEHYTHQMHRALYGTQRSSQSA C I203_08255 MQSDDIIWTVINHQFCSYKVKTSTQNFCRNEYNLTGFCTRQSCP LANSRYATVREKDGVLYLYMKTIERAHTPANMWERIKLSNNYVKALEQIDKELIYWPN FITHKCKQRITKITQYLIKMRRLSLTAQPKKVGIKKKLERREATRERKALAAAHLEKN IEKELLERLRSKAYGDQPLNVNEDVWRQVLDLDRKGKEKEVELDMEDDESLLDSDEER EEEEEYEGGEREYVEDTDDESVGDLEDYSGSEFDEFDSEEEGSEGQEFPSDLEVSGED EDDEEEGGEEQPKAKKPPTNGSGGLAGTKRKQPPKGSSTKKGARRPKVDVEYEMETEP LSREMLKNW I203_08256 MANQPTDPQPSSTPSSSSSSIPPRQSLLQNLTTQSLLLTQLFTL LSSSPSSTAPNPGQGGGIEQIYTALQLSTLDLSNLLRDTYTHQEEYKKLLDKKNNLEN LEQRVRGLIRGLEHDRIELEQMVDNGKKVKKNIEQSEKNPIIIPTLLSHAQNLSRYSS APISSLMSDIDKNQYQPWPTEMAMRMGLLFQMSGNEGMGGMGRTGEVGDETKTTEIIE QSQPAIVHEEPTRRYDPDAVFTLDLNSDDSDDD I203_08257 MPRLELSPTRRRNNDTFNIRNIDWNALIHRARGLPREMVHRYHK LGRKGKATIWFITFMHFLMLALVIIITPTRIGLFFNNLGLKLREMGWKGMFLCGLFCI LSSHPPLFGFMGSLTLIGFTYGMMPGAIIAFVASMMGSIISFLSVRTFFLGYLGKNEK WEAFGNVMRAKGLPLVIMIRYCPIPWAIGNGLFASIDSVKLWHFALANLLIQPRLMVH VFIGSRLTSLTSETKDPLQFWLNLLSIGLSSTISVVTGIVIYRLTLEQMRKLKLTGDG ELAAEYIEEDALLGELSGGSDDEAEMLTRPSSERDTSRDRLKVDDTERGEGASGRRRK SGSETPEDRDLV I203_08258 MSFKTPEGQSSFDFGDHNVNISPWKELRRALSLGSNSSSRNSTG SKRSIIRSSRQLKGATPSSPITTAASHMLTGSSKGDHHRWRLSDKDISEIRGVFGSLD DVDVSNEVDIEEEWHNNMVVWKEGESDSLNSTSNNGKSKSNSSSSYREDVPSGPSSPC DTDRFSFTFTSSSFPFPNPPNREEPSHLDSSPTDPGFPFHSTNQTLTHPQTRNPSTNE KEDEDGRITPISIVSTSSQPAYCPPSPVLTPSPHPSFSNSPILTTPAEQPSLAPESLT LGQSEQEQDKREIDIHTPKIDQHTEKQIGFRSSSSDSPLTPLIPRNITPPSSSTPPLS FPSRLITTSTTVPTSTVDDNTNNDNADQSDTLSKRTISESNDLTLQPPIVLSTRDDNV SDDKDEVNDSMPFVEHQLQGRERDWASYDNQDALDPHPSQSVSSKTIPYQHHKAQPSV PYSTRTISTTISGGHTPRYSGIDPLDTLSPPPSYGNFSNSSSSSRRNSKYTAFSGSSG SSIHSYSTTDSSSYRAHNQQQHWQFGGQPDISTVFEEENSVDFGEQSQLDQVQAKKDQ YEFGIKNTEPNQQRFKTLNSQSSSNTLVNRPPTKGYFSRERSGTINSQAGTITSNHSG KSTNSKGAPHPFANAVVRPTSPIIPTSRSHNNFLSPQHPKRSESLQHSSLIGSRSSPN LAEQYKMSQPTHQLALVSTEDDKDDEETCPVCVESLSFTYRLPGEKPHIVPECGHALH EECFVTVYGDVPSEGSKKVLGVCGVCRQPMRMADGATKRDKLAVLIGQPGQNGTRKSS QSAQSARSVGGRGQNTSPTPSDPSADDPVENGNTGLSRSMHSESSQPKVVVPSISIRS EFPSIAKGHRKGKQVITAMVTVEVPSAGDRGKYPTSLRPPGMSRSVSAEDQFSPQLPP SPRSASDSSVVPSTACSGPVSTPDPFAHVVNDLKHRVVDYKTSGLDQLGSLRLFDLLC VRKGQLIREFHVYLFQEALICVSEEKRSGFRGIFSSSSSVRSDHSGGSHHGRGVLKLK GRIYVRHVKKVIDTSVQGELSLTITMEDESLDSFILCFKDRSSHETWKSTINRLLEEV KGGQDKAARLMGPSGPRSANAPSSAGGSSYGMGFDMISPSTAGYAATPSTSTFAHNEP SPGDLAFEQPLGPIHTPVDLVIVLSLPTPSSNNSQLPLKVKLMKSSLTFLLALLGPKD RISLVTCEMGVNGIVRKTPFLSPCRYESRKRLEAFVETLGSGRDSKDDFEVQVGREER YDVVTAVNVALDVVLQRKAKNPISGMILVSDTSDVIKRAQMDLVTARLDAANVPVHAL GYGRSHDPSPLWMISNHTHGTYTFVKEWYHLRHSLAGVVGGLMSIAMDNMKLHLSCQE NDFHVTKVSGTTQAVVSKNGKDVNIELRELRYGEIREILVELDLEGDSSSNEQRYPGD GSSDSGLIEGPRSEGSQHGSSIRKAPSFNVERGLGLDTLSVGDANALRDVVYEDALID EVPVVEVDCSFHDPGAGRSVARLSHPVLLTVAILPPNAPPSSTPADPMIIRRRMELLA SDMITRALLIASRKNFTHASRILRETKRIIETIVDGLRSHIHSNNNGRSKREAQTIFA IDGLIGTIQDLDDLLDGLEEHKELFERDHRNYSAQQAGVLCAQRSWTTRTPTERIYCT KEIGEIINLSGEWQGRN I203_08259 MTYLLLPPHPTSAHDVPRSPSPSPSPIFPTAQNQGKLEDPLEPP LPNLEYPFIKDGLEVFFDIQHQEEEEEEEEENSTSDLDFGFGLGGRHGRYVSKNLVTC NDETLLNVYCSLGFDGEC I203_08260 MLFNQLLTVLPLLLLVSARPQPNRIKRQGGQCPSFALQDYADFQ ISDGVAGDAAAEANAIFVDPFDGCDLSTVDATSLDNMSTMREAAEDAETELFNPQIDA ATGAEADALQVGKIKNKVLKLTAFSQVLNIKIAQGDDASSTKLDEESTKLDKNIATDE ASAGETSQAAVGGGGAANNAAVAAADDTESTSSSSASGSTCTCAN I203_08261 MGKSRIEEVTCAICYDPLFTKRDDLDDPVPIATCDCGHVFHEPC ILEWFHTQSEQYLAGARDQGLDGRYGSPSLSDAPAECPTCRTECFADPETGQPSIHRL FIDFGVNEHSNMGSSPPPSSQSSKYFSKKGKEVLGLARRAKGIREEVKGLNAESEDDE MEGMIRRGEGLTEDLVGIKVLDTVQTYIDNLTKEIKNLRHTLQTNPLIPNLRAKLAEK ETELTNLHRQSRLNIQRETKRVKEEEQARCERRVQKAVEERDIFQREYEREKVQRKAG IRAMEERENDLKRKLEEATEQLKKETETRRFKETTLQERNKQLKMFQKKVEDRKELKS RIASLEAENAQLRSSMLDNTMISDVEGDGNDERVGGDESVQEISRSHIPSFLKNVSRP GPSTSKYNRIKTEDEDSLQVDMPSFYDDSLRSPSKLLPSRTTMKDLEAAELDLDDDSE DSPSKHTRQKQKQQQQRQRMHPTARTIAVDFGGERRRSSSSKYFPGNEDEDDEKENHT TNTRRGSNGTRDRDEPSPLKRSKTNPFMTTKASNEKRKELPSVGKMSSSKNTGNGISK VNQVSKDASIIDLATSSPESSPRPRRRSAMTVVKRKENQRSVVDMLELADGQGRPKKG VVSGQKVRRMV I203_08262 MSITQETLHLIVNPVSGHGKGVEFVSQTIVPILEHLSISHQIYT TTSPGDAGNIGKKMLLSSQNEGTVRVGIVGGDGTFHEFMEGEGVSDGQVRWEVILFPH GTANALYSSLFPPALTPSPLQSHQTLIETLPSPSHSEETLYSLLSLFSYLAKTLPVYL PITQTTLSSPTGAVEQLCSHVVLSTSLHAAILEDSEKLRESHPGTERFKIAAQQNASK LFYASVTLQSQSNLGVEQWDPRKGDWGLPYTIKNTTQPSQREITIKGPFSYFLTTSTV DRLEPQFVISPLTSSNQHEYQGYLYITIIRPLRDRFISQAQPEDRKDKLAKRAFEVVG QAYSNGNHVNLTLSEEDELRSFGLENKGKGEPVVEVFRCDSFEWIPTNDQRKVEGLDS GNERLVCADGALHTIPQGGSAKVKLEDKKNGKNFYVFA I203_08263 MAPRNHTSEFQSIISERLSSLPPSTRSKSPSRINDSARKGKGKG KEKDGVEDEEEFLKEAYRIHRHLTSLSHLLSSVRKPYLSTIEPPPLSRRAHNHNHDGG GDEMNEWKKWEKVKYLTDRERDEIDLRARMILRRCKERVGVLELDEQTRKSKTTSSAI SSTKSTVLSFLPSLLPLDSSSSSSTFQPLINAHRASVLWTLNDFLAKLTSTISDLQEE RFKRKQERMKSLGSNATLEASQLNTSKGNRKIPDGVIVGVDDPAFSTSSLDPHLAASG IGITDSSTKQSELTESQIQQFENENNILLENMSSTLSTVLSAESSLLEISKLQNELIQ HLTQQTEMIDQLYSEAIDSVGSMDKANEQLKKARDTNKESRVFLLVFLIGSSLALLFL DWYAA I203_08264 MTPNSDPHQPPVSRTVEYHRPSRNSAHYSAGTDVSLGMVSASAS SNTSSPRIPQAPQNGIGGGAPSAYRSPVISTPMGFDSPTSLQPSRAAPLPPGSRRESQ NYPSNSSSSKPSGGYKSSTNSPNPNRYSAGASYANNNMIMSSNNSNGVLPPPRPTRAG TLPLGEHPGASGGISNGWDPMSPTTSSRSPNPHITNSPSTMNSSSFLPVQQPPPLQHQ PISAPLNLYSTQNLEKSFEDAKIGLGVGVPLQVGEPKDKDLPKEPATIGRNRSGTGKS SKDKKSVFGFMSDLLTTSKPPVISTPYDPIHLTHVGFDYNTGQYTGMPQEWQKILDEN GITRAEQEENPNEVLAVVQYFKNRDAQQESQEDEVWQKMRNAGPAHTPDSPSLPPKDM TMSREGSSEGGALRGDQFANPRAAPAPPAKAGPPRMQAERPPPAPPGRLPPTELTKPA RLAPGQSSSSGSLPLDRSYSQRTPPTHPPKQKPLDRANTTRAPTTKPTASGSVPMGKS HSQQGQGQRGGPSPSGSGSAGLARNQTQGGTSRQQGGATPRRRDKQKENEEVIRQLQA ICTPGDPNAVYKNLVKIGQGASGGVYTCHDRHGYPVAIKQMNLEKQPKQDLIINEILV MRESAHPNIVNFKDSYLWKGDLWVIMEYMEGGSLTDVVTAHCMSEAQIAAVSREVCEG LRHLHSKGVIHRDIKSDNILLSINGDVKLTDFGFCARIADPLHTKRTTMVGTPYWMAP EVVKRNEYGPKVDIWSLGILAIEMLEGEPPYLNENPVRALYLIATNGTPKVKDWDRLS TNFRDYLKCTLTVDAEIRPDADQLLKHEFFKHCAPLTSLSSMIRAARKG I203_08265 MSQVTYLNDTRYPGPSIHKASAEIAGLPTQDELDAYPRMYTWGE LKEVIVSGRLEKLMRNKQMQVRYNRWMNGIREKYGSTENYLKQGRLPFPQSAAEPTYD SNVTSSSIPHDYLTYDAEKGFDESKYAVLTNDWPYNVPYGVRHLCVWSKLPIAHKSLV DDDLALWTKIEEEGLGGFTGVIPFTAAEKPTQTATNCTTPPHPFAWEATQLSDYQSDS WLAVDLMFGGKEMREWAGKQYTSKGGQEVQKMVEGLWDVRGWECLWFVNPPRIQSVPG LSHFHVFARRKAPEEIDAAEMIWGKGGKPEHQ I203_08266 MSNEITQVHPQPVQDKDIVDAEIAQAGFGYDEYPPSGKDVANIP ANSYDVDPFSAQREENAEDYVDFRSMGWVQAGLVATAENIALGALSYPSIFLRLGMVG GLIANIGLGVLAYITAWIMIDFKMNHMGVMHFADAGGLLFGKWGRRILGAGMVAKSIG LGGSHVLAGKQALNTLSTNAICSVWFALVIMIVSVLMSTNREFGKLAPLSWLSVSCIL IACMITIVATGVQSPSVLEKNGVPIQWHAAPTNPDLMDVIGALTNIVFAYGGNMGVFS WCSEMRNPNDFKKSFLITQAGGIIVYCIVGATIYAFGGQYVTSPAFTMTTKPVRITAY AFALVTILISGIVGLNVGAKYLYVNTFRKSRLLTSKGLRARLAWVAIILVMWIAAFIL AELIPFFNQLLTIVSSLFSVWFSYGLCGVIWFYNKHPYFAKDGEVREMRGFSSWFFMG CAILSIILSIAITPLGLYSAIEGIKEGYSAGTFKHPFSCS I203_08267 MSIGIGDYNFVNRAKEQPELARAMSRKQTNDGTHPQFNGDEGQS HPLTAHERHQQVTGLARQISRASISGAGTGNDIFDYQPDSDLDPFSDNFDARKWVKQM SRVSLESAPRRTAGISYSGMSVHGFGSDADYQKTVSNIPLSLVSSARDLISNRKRKVH ILNDMDGVLESGEMLVVLGPPGSGCTTLLKTIAGETNGIFLNEEAEINYRGLTPKQMH GRFRGEAIYTAEVDVHFPNMTVGETLQFAAEARAPRNPPGGLSKIEAATHFRDVIMSV FGITHTVNTRVGNDFIRGVSGGERKRVTISEAALSGAPLQCWDNSTRGLDSANAIEFC KTLRLQSEYLGTTAVVAIYQSPQSAYDLFDKVSVLYEGEQIYFGKAGEARAFFERMGF HCPEQQTTPDFLTSLTSASERQAKPGFEDQVPKTPKEFVQRWKASEEYQQLRADIAAF NERHPTGGERYEEFLASRRAQQSKRTRPSSPYTLSYGQQVKLCVARGFWRLRADPSLT LTQLFGNFVTGLIISSVFFNLQDTTGSFYSRGSLLFFAVLLNAFGAALEASILTLYAQ RPIVEKHARYAFYHPSAEAFASILVDMPYKVLNCIIFNLVLYFMTNLRRDPGSFFFYL LINFFSTLTMSMIFRTIGSVSRQFVSAMTPASIIMIGLVVYTGFAIPVTYMRGWSRWI NYIDPIAYAFESLMINEFHGREFSCSSFVPSPMLPSYADVGATNRVCSTVGATAGSSV VSGDTYLALSYEYFHAHKWRNFGILLAFMFFFFATYIGATEVITEKQSKGEILVYPKG QIPQELQPSKEGDTESNRSDEKIKPSDAKTSSGGGDVAIIQRQTSIFSWKDVVYDIKI KKETRRILDHVDGWVKPGTLTALMGVSGAGKTTLLDVLATRVTMGVVTGEMLVDGNQR DVSFQRKTGYVQQQDLHLQTSTVREALRFSALLRQPKHVTKKEKHEYVEEVLKLLEMD GYANAVVGVPGEGLNVEQRKRLTIGVELVAKPELLLFLDEPTSGLDSQTSWNILQLLR KLTENGQAILCTIHQPSAILFENFDRLLFLAKGGKTVYFGEVGKGSHILIDYFTRNGA PECPPGENPAEWMLAAIGAAPGSHTAVDWHQTWVDSPERARVREELELLKTEGASKDR SKDTKLDKAAYSEFAAPFGIQFMVVLKRVFEQYWRTPSYIYSKFFLAVSSALFIGFSF FKADTSQQGLQSQLFSAFMSFLIFGQLVQQIMPNFVVQRSLYESRERPSKTYSWKVFI LSNIVVEIPWSMLVGTLFFLCWYYPIGYYRNAIPTDTVNVRGALMWLYLQVFFLFTST FATAIVAGMELAETAGNVAQLMFSLCLIFNGVLVVYDSLPGFWTFMYRVSPFTYLVEG LLSVAVANTDVRCSDIEYLKFDPPSGETCSAYLAEYIGAFGGYLLDENASSNCQFCTI SKTNTFLAAFNLSYGNRWRDFGLMWVYIIVNIIAAISLYWLVRVPKNTGKEKPTTDQE GMRTVSRQKSQRTEKTTA I203_08268 MNGSSRSAGPGAVTSLPQESPLAEGPPAAVEVRGLWYKLRHPSP VCCWEHLHVTLLGEFAGTFLFLFFAYAGVMAINVWIFFRVSGGLFNPAVTAAFMLCGL THPIRCTHTWIIEPNVSVSQGLFMEMFLTAELVLAIFMLAVEKHGGTFLAPLGIGIAL FMGHMVGIYYTGAGLNPARSLGPAVVEKTFPGYHWIYWIGPFLGSLLASFFYKTLRVF EYYTANEGQDDDGRSQKLA I203_08269 MPTGWWDVLGEYSRLSGDETFPFPRVQVKWKEIMLVAQFTHMIG LIQEQFYDSADITSELIRTGKFENTLHRLKPELDMAWYLKAGDLPTYDLFDHDGPAFT EDELRELRWRLDLDYIRNAIAMRAAQTRVMRRHKTRNQNDRVFQASVINSTEGPFIIE AVDAAVSLVKYGIALHKKGLLRYCPSRVFLKLVFASVFLMKAVSFGAVGQPEQDTVNL QCSLIEALSTASVDDEHVAGYLAGRLRRVFPAISTGPSRTLAEVNPYSDMLNMEESNI LSLFGFASETFPSVESDGHLESLSGFGYDPRSVVSDIEELLAASTNSQSVSQFPIL I203_08270 MPAQRSTPSGSSTPKKTVHVRTYQACESCRAAKLRCDLGSPDAP RDPPCRRCLRTGRQCKFTKSYQRKTTSMSNISGANAPPASAETSQSANIPFQYHPLTG IPSQSFASDEHGDFKFVRAEALENPADALRILCAAAEEDAVDGEEPRPTIQYNVGSGL WNQWVPVRDGLMTADEATALLAQ I203_08271 MSGAYIGYNSYLDRTNLGNARTLNNDKPGESLVEVLKLSGDRYN LVVAIFFVPYVIFEFPSNIALKYFTPSKWIARIMISWGIVTICTAAISNYAGLMVCRV FLGICEAGFFPGVMMYLCFWYTPSERGTRMAIFSASIAVAGAFGGLIATGVSFMSGKA SLYGWQWLFVLEGIPAVIVGVLIYFFLPDYPETARFLTEEERIVASERMGPFAPKGTD KHFDKADFIATIKSWHFWIFSLHYFLMTNSLNAFGYFAPTIISAMGFKGYKAQLLTVP PNAFAFFVIIGNSWWSDRRKERPRHVIGALILVAIGYILLATVKGVGGRYVGTCLIAC TNAAVIPFVAYRTATVAGATSTAIATGAMIAIANCAGIVAPYLFRSKDSPHYYPGLWT LFAMLGTAVLLTGVLWYKLGSSSEYRIPVQDQSGYVVDIGLNETPVNERADSEGEEGE KKAPGTQNVNVSSLEAR I203_08272 MVYPAVLLTALLSAQAVLASDKSKSTTESNTCSPSTVTQTVTST VTVYAASTSSIKQTTSSGSLPSSSSKKEDKKLTSTSSSVNTAKALDSNKSKSSTSTVS SVATTLKVASSTSTSRLADNKSKSSSSTTSSTLITSAITSADASNKPSASTSISGLTT SKVDDRKSSGSSNASSISTVALSSKIENKTKTSTSASASISPSGSTASAVQAKSTVSL STSFIYDLDNQPIDAPVIRTATGLSLSKTMYVVDMAQSTAEQIANYHAKGKTVGCYFS AGTWEPYRTDAKQFLPECYCGPNVTIDSTGRCTGSGSDANLLGEWGEWWLNIRSEKCL NNIKSIMTDRIKAGKQKGCDSVDPDNVDAWTNHQNFGITQQDQVNYLLWLSSTARSNG LGIDLKNSGDLITDPDTGTSTNWTTSLINAFDFNVIESCYQYNECEKYDPFLEAGKPQ IRIEYESSIKRCPSLKQGQQLLVYSDTVVNSTQITLSCP I203_08273 MSAQEDIQKAEASINSYQAKTGLGETQSDSTIDSGVNENVTSQF PGSTVQIGGTKRGENPLIPDEEGGEQSKLTGRQTHANDFEGDGGPEDKVKVAEAQRPG DQDVSGNIRG I203_08274 MSTLICLYSRLMGVKDVANLRISLPASLLEPIPNLEYWQYADRA DIFAAIGDSDDEVERMLAVLRFSFSKELKFIRARLGKPYNSALGEHFRCSWRLPPIVI DRETGEPVIRTHIHVPIPGEPAYGGQGGSGWTTPILGPEHGGKPNSEASSIISSSSKA SKKQSTGLGLKQGNKSTDTISINRAIPGPGDEIESDPDAGVVESEKVTVVFLCEQVSH HPPISAYYYTCPERGIEGYGMDQISARVSGMSVKVGPGSSNRGIFIRIAREGPGKGEE YQITHPSASVNGILKGSYYGTISDQIQITCRGGEEGKTKLRALIDYKDESWIGKPKFL LEGIVYRYTVGNEAEESWTKPKQVPADKIVANIEGSWMKEVKYRLKGEKEWKVLLDLD LLALIPKDVRPIEEQDEQESRRLWDPVTQHLVGKNWGEATRQKQAIEQAQRDKAAARK AKGEDHQSRFFEPDWEDGRPTLSAEGKKAIESEMARLDAKAKERENEL I203_08275 MSHTVSLNSTLLSGKHINTSSELIPPGSIPPWRIVGERKVVGIE IESYSDRFNTTVQAGPSRLNPIALKLDPQMFPPVLWQEYFEGVGDRPKTKLKRKRKIE DLDKEGDDNAEEEETPPPSSDEDFDFDDEEEEDHQDYDANYFDNGEGDDDSGGDDDEG GGGNYDD I203_08276 MTDASTFTLPVTDPASASANKKRPFKPASTTPQPGDGAYLAAQH QNRQNGMNQQGQINGNGSNGYRKKEWQSISHGGPSRYSGNVGGIKHNHPSQAQHRPFN RSRQNGDGPVNNGASQSNGSVSYLSHRLRPNHSVSRPMNHNRPNDNQQDGLSKPAASV KRPQLQQAASLDAEAPSFTPGAVFLVSELDPLENGVNNTPTDQPASIFKKKNDRNNQR QKQKKDEKDGQTEGSVQAAVSSRKAAFQQSNKLTKTRSRSSVEGAKPSPLINAPPREK RKKDEPDDLVSRLTRGLKQRPFLECPICFNSITPSQQTWSCLPPDHAPEASSSLTLQP NPITGSTSTSNYYSACYTPFHLDCIQDWANRSLEEEEKKARNAGREDEDIAWRCPGCQ KRRAERVAGYRCFCGRLSHPPTSTSAPHSCNDTCARKRPKCSHPCPLACHPGPCPPCQ VALVVPCPSHHTPLTVKCSAATTNNSALSPVCDEPCARQLNCGNKDHECEQLCHYGPC KPCEAREVARCYCGQDEKDVECGWGRENDKVCKRLDDIGEEGTWWGKYDCSRPCDRLF DCGVHPCKEACHPHPIHPLHCPLSPDVITHCACGTTTLSSLGAARKDCLAPIPTCSAK CPKSRPCGHACPKKCHTGPCPPCHEEVIRTCRCGQSQLLVPCDELRERAERGEGEVTC ERVCKALRSCGRHECGRLCCPLWEQAFRSKKQRNEDYNPYTEDDLHKCHLTCGKLLSC GTHTCPKPDHKGPCGRCLQASYDELICHCGNTVVYPPVACGTIIKCIYPCDRPAPACG HPKSPHSCHENPECPPCPYLTNKPCACGKDPAVKNVRCSQDRVSCGQQCGELLRCGYH KCAKLCHRPGECESCTQVCGKPKRICKHPCTTTCHAPAKCPENDPCQAIVTQSCACGH LQSRTSCGASTSNPRSREVEQLKCNSECAVRQRNARLADALGIKQSERGLESYGDELK TFAIQNHGFVKMVENTFEEFIKGTRQSMVLPHMPPAKRVFVMSLAEHYRLTRELIDQE PNRSVQIRRRVDTRLPNPLLSSAVASTTSAPAQTRLVTNLGGAWGKSSGSTAASVVAG ATTTGSSSSMWRNTSGSSSNRPSRIPTPVAPVLREQSRPVEMKVGDGEDDWDVDL I203_08277 MSSPEQEKFPAEVDAETTVNTAADMTDESLDRRVLFKIDSIVLT MVTLVATLEFFDKNGLAYAAVFGLRTDTKLVGQQYSWLGSIFYFGYLGALLPCLYLVN VVHTGRFIGALTTLWGITIMCMAACHNFAGLATVRFFLGIFESGILPCFMVLQAKWWR RSEQALRTALWYNTFAGIFGGILSFAIGHINGKLAVWKYIFLIYGSVTILVGVIVFIA LPDSPATAWFLKSDEKLRGAARLAENQQGQERKKFDLSQCLEAAKDPKYWVVILFVVA QSITNAGITNFNPLIISGFGYSQSKTTLMATPQAAVAFVAQVVLSSIVLFVPNIRCLL WMLSCLPALAGAIMVHTIDRVAHRHAALTGVYLMGFYNVPWVLCLSLVTSNNAGTTKK TFASVSVATAYAVGNIIGPQFFRSSQAPTYHLGISPMMACFAIMCACGGMYWALVIYE NSRRDRLYGKSSQDTQQIIDEAYSTGDTDGKNHNFRYSF I203_08278 MPATHAVVPDPRNASILVGMRDGVTKEFRLIPKAQATVSVLDSA FMLGDGIWEGIRCKKGVIQFAKEHIDRLFESAKAMFMNLELSRVQLLQYLYETIDANN MNMSDDVHIRLMVSRGLKDTPHQNPRSTIGAPLIVIIPEFKKADPSIQHRGLKLATVH VRRGPPDVKDEMWNHMSKATDIQACIQANYLGVDEALMLDDRGWVKTCNSTNFMIVRE GMGEDEGKLVVWSPTKNNQMQGITRRKTIEACRAAGVPVYEQDFSLTEVYGAVEAFCC GTFPAQISVIEVDGRKVGDGQSPGPMVQYINTLYNELVAHDIARGRAATLEDARLSDL PYSIRYQMQSMQRKPETPKLNGSTS I203_08279 MHAALCSASPLMPNHRKQSSRIASRRSLPEGATLAKACNRCHER KIKCDGGLPRCGSCAKNDNNECNVKDCILWSNSRVTELEARIHWLEQLINRECQKGDF IQSIPTGSLVHEFLSTRNEFAVDELAEEVGMLSLRACGSYSMFTPSNDLERCAEVGHI VGSMSGITIAALVSSSLSLYGEVVMGDAVDSQTQHPTGRPPIIACFPPVDLLSSYITG YLTSVHQWYPIIDLNLLGDSLHAMYQSREHMDLFPRFVILMVAALAPPNPDDMNDPAD YFRFAITLVPSLLASKTLDGIKVLLLLCIYGMRSWQCSAAQDVNTWELIGMAMRLAVS IGLTRNNEKWSFTLAEVEHRRKVWWSLYSMERYIAIMTGRILSIRNEGIDALMPQTLY ETLPDRLLAFLPKSLTAVDYRPFVHLIEQRRLLGDVLGTVYITYVNRTTGEHTD I203_08280 MPPVLFRQYTWSQMPATVLTFPLTMYRFGQWCVDNQRNTYEPDV LVPRGEVKTGFVIKGECDESFIAPDPVKRRRSGSFSAGDVTLVTWLTSDRLGRLVSLA EKRRAPMSVAYYVPPNDKLASRDLILLDKLYHTHPALSQNVDIHLVTSPHLLQLNTWR TVARTFASTDWILLWDADFEARTDYQKGLERFLVETRSRGWANKLEQGTAALVIAPFQ WTDPVVERTRDLCPVDRDELITMYRNLSMDAFETNTPILSHATEYDRWIGVGETDFYE VTEYELGYEAYPLIRKDAEVWFDQRFAVSCCSEETDDARADPAGHSPMHFFKKGYGYE RSALTAQMYLSGMDLYILPGEYGVHQEHSAAAVINTHDDIAAAKVPWMTFKMDLCHT I203_08281 MRPSLSPLAVGLLASYTLYGYLQEKIMSWTYDGVRFRSTVILVA FNRIAGIAVAVYMQQYHLGNSLKPHQPVYAYAAISLFNFASTLCQYEALKHVSFTTQT LGKTSKMVPVLLLGRLVHGKRYNWRSYLAVLLVTLGSMLYMTTSHNGPTADSRSSAPR GILLLAGYLLFDGLASTTEAKLFQPSHRRSTSKDYGSSNGTRTLSRNELVFDQMLWTN VCSLGVSSLVVLADRKRSFGNFLDVLKTPSGPLLLFDVSFLSLSASIGLIFLLTTIAT YGPLHCSTLMTGKQFLSIVLNGAAFKTGRNFGIGGWLGIGFVASGVDLVLSNKHQAKE EKTLELSSTKSPKSSGERYLTKTVIRHFAFPIVGGLVAHILSTGLTPRNAADGVLASE VFPAGPSFVYRNQTYSRERIRSLSKEVDCPDHSAVKRTAYGSPIPRTVLASFLRSGNT LTREVVEHSTGFHTVHARCDLVLEMSYFAEGCKYPDNNFLVKDHVPEYTIYAQDDGEW KERAESYDRVVQVIRNPLDALWSYWHLMRSQMNHAKRIEGIDVLGLKNLSELDRMAQN WVQHTDYWMSVDRPRVMLRYEDLRSDDQVQHLARVLEVLLPADELPPIERLICADDDR AVAYQSRKAEPFYSWNHFTPEVRSHILSVVKDDWCALGFESMLRKQRGHKGIDCH I203_08282 MSTALRTISFFLVLSSLSGLASAFTYTPTETQMRARNVPSAGGY QCFFTCPQKASGDFSYVYGTNDEYGPNGNTHVNVCNYDDYFNSSVCYYTDDGQLYSDD SQGFTNAEDCPATATTGPCAQENPDENTYFRKRAMPKRMSPAERRLAARQYKPNFE I203_08283 MYSKTLFLNLFYITLLATSAIGAIPQQPGAKATKPKPYPYKQTT RSEVIRRQQRRALGMKRAVPSNIPGPADVSPSTDDTVTYFFFHVSTGAYETDANPSND VDIFPPLDTTIPGSQDGEEAVQTCADFAWDQGYFAFQVYYRRSTDEWTCMAYIYAYPG DQSDSSYFNVVDDDVALAYGYQQNVE I203_08284 MVKLTSALVLTLPLFASASPVVKRSSSEESTDELLSAFEPNTTA WSAGASDSYSVWGNSCNTTQLELIGEGLAKTMKLVFHGRDHLRRFGNDSYFQRWFGND NNPNILEGLFDRIVSGDRGNVSFTCDDIDSTCNGKYGVIPGYFSSSTPELTVVCPTYY LSKGPLDELCTAGKTIASQGSESTDGSWFLHRLLHLPQTTGGGLKDVVDSAHDAVDLA QGVNATQSIKNIHSIQYYALDVYASDILLPGEGCLGDTSVQLYLRSKRGNRFPFSAAG RGQVGAVCEEYL I203_08285 MMSQRRSLRIFGQGPREGVWMTEGDKLRLRREGKKFMGPTESQD QLKSRLLTGKAHTPEPTHQRYIRPIFSDLTSPVGEFLSLEYHTHRFPQPSIVARFEPP IRNASLPLTIIGAHQDSANYLFPHLPAPGADDDMSGSTSILEAFRALANRGYILQRGP VEFH I203_08286 MGGELAKEYVDIPVNTSSLGAGTRSDYMSWTQGGNPAAFAADRD PLTGVFPGDFDGYIHTNKDKMDIDDETGYFSLEHMLEFSKLAVAFAVEQAGWSDKHTR GDDNKKLAW I203_08287 MSTFTVGRTVGRALFRLLLERDDLLLVAVNHTGHSLEHLMTAIM HDSTHGKFKLGAELSICPPDHSGLLQPTPNNPNPSALVFRGRLIHLSSERDTTRLNWS LAEAEYTMKSTGRYTTKEKADLHIKYGKAKVLISAPSKDTLNCVYGVNHHVYEGVEDV LSNASCTTHCLAPVALVLQRAFGVETGMMTTIHASTSSQKVLDGFSTKDIRQGRSAMG NIIPATTGAAQAVVKVLPELAGKSMVSISVRVPVTNVSLVDLTVTLSTPFSSKEELIR PFREAAARRPIQSSTPHPDGPALAGMLGVSDEKLVSSDYLSSTQSSIVDVDATVMLKE RTAKIVAWYDNEWGFSSQTCIAKRND I203_08288 MSLSPYDEKPDILHEDSPAAEAGRADPRDDAYSKGQLATGYETL TIPQTIRKFKWAFALCFMATFAGATDGYQIGINGNIIANPGFIKQFATVIDSNGDPAL SAPVLSAIGTIQSVGQIIGMTSVPFLASRYGRKPAMFLLWFVLACSVICESTAKKWQV WLVAKLLSGIGVGSLQFITPTYVSEVAPTRVRGFLLMMYNFWFSVGGFFAPVALQVMS TYAPENFRTPIYTQWSQIGIMLIIYIFLPESPMWCASRGLEERAKNTMRRIYRGVEGF DVDEQYQALALTIAHEKEVAMATGKDKWYAIFQGHNLRRTLASTWALSAQQVLGLTLF YTYSSYFFKTAGLSDPFAITCITNGLQLAIILIVAVSVDYVGRRNICCGGLTTMLIAV TLIGVLGVTPKSNASDKLLVFFSCIFIVGLQCSGSTGWGFVGELSSQRLRAYTAGFAA AISCVGGVIMNVLVPYMLNTTAWNWGLKTAFFYTGLGAPAAIGAWFIIPEPKGLTAAE LDELFEAGVKPWRFHKTKTALQRQIEGQEART I203_08289 MTIALTAEIDSVTFEQYPSSLGIGIASPRISWKFAAGVRDWYQT EYEIGIRELDNGTSQTYSVASENSVLVPWPAPPLKSRQRVQLSIRARSSNGVWTKPLT TEVEAGLLHETDWSSSFVSSSFTQPLGIAKRPYRLRQSFPVQLSKSHTARLYITALGV YESYLNGIRIGPDVLSPGWTSYSARLPYQTYDVTALLREGANVLSAWVGEGWYSGLLG YQGGSRNIFGHRTGLLAQLEVDGEPVEGDWEWSFGAITSAGIYEGETYDTNLPDASEP LQGEWHMADTLPTPTSKLFSSQSPPIRIIETVKPIKFITSPSGKIILDFGQNLAGVVR LVSNPPSNSESQLIIRHAEVLEHGELGTRPLRFATATDRIALGGKNISGYHPRFTFHG FRYAEVTGWPTICLEDIEAVVFQSSMDPSGTFTCSHALLNRLHENAVWSTRANTIGIP SDCPQRDERLGWTGDVCVYSPTMLYLYNASGFLSEWLQDLWHDQRKLNGVVPIFVPDT GTDVSTPEAIWGDAAVLVPHNVYLSSGDLAVLERQFDSIKMWLDEGIKRNPSTGLWSR DCDQLGDWLAPKAPPETPNMGPTDNLLVADAWLIYSTRTASKISHAIGRIEDATRYET QAKELTEEFYNEYVTRSGRLVSETQTALCLLLRYEIFPPQCKGSLDYRSLFAERLVHL VTKANWLIDTGFAGTPIVLPTLAENGHLSHAYRMIQATECPSWLSPVLLGATTIWERW DSMLADGSINPGEMTSFNHYALGSVASFMHGYIGGLSLLSPGWKTFSIHPRPGGTITS AKTSHQSPYGKIAIEWEIREGIFQMTVEVPPNCTATVTVPGSQQREVIGSGVRHYSSQ YSLPSFPPPPYIPHFAPVRPNDWAA I203_08290 MGPELVSGGQPDRPSDLSEATPSSSMTLVGNSAGGLNDLIKLYF RTVHHFGYLSFVHEADYWELKERNCAPEDLSLLMAAHAVRFGGRSRDLWQLELADRTV VDVGERLTSKVLDEFGAVELMEVVLCQTYDFLNGRYQRGMVMAGMAVRMMTFLRLHEL DEWPRQTAKPLLSKESLRRLAWSVWYLDATLDGGVFGASNIHEDAFTIQLPCEDRPFL LHRQVVTEHLVPRHPFVTHPGNLPRLEPVDLSGHLIRAMAARQCLAQAYSRIRRRLLT HGSVSEMSREAQAKANRLLDSLTPDLVYSRALYHIYHDRRPALVSLHVVRNNCIRHTS LLRLMVAQLTLDPDTDQDKERQKLISNAIKLSQILGDAIAHDVSLDPQIAMHAYNAIE IFLFQPLRLPIESIDPQGLSRERIVAAIKPLLHVMRAASTICPLVNLIYPEAINRMVQ MGYLEDLTNEDILAVLQKVHCLTNADKEFDWTESFWRYEVLLSRRSRIGATGMADPEG PTDNGLAESPEDTLLEVPPALPTSRAVHVDSPSSTNQQIVNMANTPQSLANDASLAPF GPGSPVYEDGRNLQLAADPISRLQDLFATRGPETNAGSPAPYVAISDLTSNATVPWPF LSMTGSSEPQTMYGADTLGGISSEVHAIPTAQLGQEDAPIPFPWK I203_08291 HVGAAWMPWKRPAEFLAISLSPILMARYLTIVIPSIYYGSIFAW SVGITIVMPQKSEAPPYNFAVIPLGAAFLAFGLGGVLGKWSGGIVGDKVVSYMAKRQG RREPEHRLWALVSLHGTQLPILPFMFVGCLIVGLVVKLQLHWIAYLFGGGLFFFCLSA ATGLLQTCVLEGYLSKSMDTQAVFVIFMSIWGFAIAFFVYHWGEEHGSLSEYIVQGAL ESGVGTILCAVFILKGRSIRKWQGMPIASN I203_08292 MAVYSQHVTVLQALEQQQSRFAFSAEPGHPTRLRPARLDLDVDR LVHPAEPPITDKLYSGFLEHLGRCIYGGIVDSPKHPSPKHLLLSQDIGDLATKGRLGW RKDVMGCLAKDGELQIPMMRWPGGNFVSNYHWQDGIGPISQRPKRIELAWLSDESNIF GTDEFIDYCRGLDCEPYICLNMGTGTLEEALAWLEYCNGTGDTHWAELRTKNTGRKEP HAVKYWGLGNEMWGPWQVGNMLPSDYARKARRWAHALKLVDPTIQLVSCGETGASEWD REVIQALLPLADMHSIHYYVPGLEYEKNVFGAAAAEKHIDVCKSLIDMANIGRALERM PGKDMKICFDEWNVWDDVKARGTNGLEQTYDYTDMLGFCAWLNVLVRKHKDIGIACLA QSVNVISPLMTRPDGILRQTLYYPLALFSKYMKNGHLLQLPSFPDVYTGPTYPVYIQQ GAYKPPYIDSVAVMVKTSNGCSIRLSILNRHPTADWQVKIGFSGFNIEKVQLHEIYND DLAAVNTFETPNAVVPAVSNLNDKEWQSKHGQNFAVKKHSWVFLIFDGA I203_08293 MAIPPKIYTFLCACFAAMGAMLYGYDLGVISYVIVAPNFLTTID TTDDDYIGFIVSSMLLGAFVGSIPASLIADRFSRRMAITVAGGVFILGGILQTATQDK ETMFAGRFFAGIGIGMLGVLAPPHPSKRGMLTATFQFFLGIGAIVAGWIAYGVQQTHP NDPIAWRLPLAFQMLPAVPLLLLSFLLPESPRWLMIKGREEDALRTLARLHARGNQND AFVLGEWHSMKQKVGEEAQTDQSWGLIFKDRTNLRKVLYGIILQFSVQMTGVSAIQYY AATVYKSVGFSQSTSLLINSLNNINALFGELACILLVDRVGRRFPLIAGNMLAGTCFA IATALAKQFAEGGGNRGQGIGFVSVLFIYNFAFSACIGPLSWVYPVEIFNTAVRGKAT ALTCMAAWISNFMIGQVSPKAWSNIGWKYYLVFTVCGFTNALTFYILFPETKGRTLEE MDTYFRETHWFVPGAKVPSISSTEREVQLTQEGVSQEHGLPPEDTEKGLKGGEYHHRE AI I203_08294 MLLQSLLIATSISAAFAISINRRAAITDFDTSPIDFSFPAGRTF NATTATTAPCGGSPAGVRADYPLTGGDISLTSKTLLDTVNILWTNETDPTRFHAFSTY TNTLSELGSGHYCQPAPDFASFGLKAGDDVTLMIMYNLEGVSDNYYYCADINLVSAEG FTPSEQYMCSNLTSSLQVASAEDSMQVGTTSATTSSGTTNDDASQTSTSGATEAVPRN SGLSAAAGGGIGAAVTIVVLASVAGAAYVCGYVRLGKKRAVVLADHASDSTGVPIKAA QF I203_08295 MRLICCLTSLCVLWAARGASAESARDTTQISWSSKQPEDASHHP KNPTSIFIDHFSASRSGTDTYLQTQIAALYSNFSVVTTDDIYFDIFRFAAGDPASIAV REIDDVEPIKRTVFVKPSRRREGEGTVVERSVFGGWEVAEKNKEFKVITATWAEAYRQ VTQWHIITDEPDAARQFIRSCANYCSSFRDVVWVFEQGSWRPDRVLWESVQKASWEDV VLEDRFKTALQSDYRSFLKSEKIYKDLGVPWKRGLIFLGPPGNGKTISLKALMKEVNV PSLYVKSFHSWAGDEKGIRDIFSRARAEAPCVLVLEDLDSLITDQNRSFFLNEVDGLE DNDGLLLIGTTNHFDRLDPALSSRPSRFDRKYTFPDPSRAQRRDYAKWWQDNLRSNKK IDFPDSLLDEFADKTGNFSFAYMKEAFVSTLLTIAGRNENDPKKLDFPALLLDQVHHI RHEFETTDAMSSSSSSANTARTWQNDGVTGYGWDTMQWGTESMIFQIPWTE I203_08296 MHVKDLQGSLPPVGDEGTGRSSKLEKQIPRTGRPVEVGMSLSLW LQTVRNNPLLNHRTTEELPKTADVVIIGSGATGSLTALSLLQSPNPPKSVVLLEAREL CSGATGRNAGHCKPDQWRGFTEYETAFGAEQALRILSNEQQTWEGLVEYVKKHNVDCD LWVGKTLDVLMTDDVAEVAANNFSNYQAAGGDVSQIEVTTDQGKAEEISQLKGAKAVY AWDASRYILGNLVIAHINQQSLDLGLNLQTWTPVTKVSGSANQWTVHTERGDISTPVV VHATNAYAGALLPETRGASRPCPHMCNKVLPPSTFAGSRSLKNSYAIIYPEGMYTINA RPTSDGILLFGGAAPNQNKLLDYVAKDQRRRIDDTLTNFEPVTEAVRTLGTEGFEWDE PERGSRVLYDYAWSGIIARSADQIPFIGAVPGKPGQWMCCGHNGHGMAQIFTAAPALA KLIQGATWDETGLPECFEVSNERLNRHLDPNWELSEDK I203_08297 MASAAIEKPTTPKVAISPVAPPVMMTPPPDGGFAAWSTVAGCWM ALFVQFGLCNSFGVFQAYYESDLLGHKSPSQIAWIGTLQLFILFFGGLFVGRILDSHG AHVLTVPGSLLIVLALMMTSLCRGYWQFILAQGVLFGIGCSMTFHPSVSLPPQWFSKK RGIATAIAMSGSGLGGVIWPIVIRAFFDSPRIGFQWGTRAVGFISLGLLAAANALIHK RAPKRKPLPWFAMFHFFANLHFSLTIVSIAFTLFGFLVPFYYISTNALALGASEAMAF YTLSCMNAGSTMGRLVAGFIPSLTPLLILPTSSLLCGIFILAMWIPLKNVASLLAFGV IYGMFSGIWLSAIPPCIASMSQLPEIGAKLGLTFGSASIFALVGAPIAGAIVDAHEDV GGFRLAAVFSGVMMLVGAALSFVVWVTKRQTYCGN I203_08298 MIRSRPPSPSASMDNHALERGRIKRSRAVLVCNRCKSLKTRCDL GRPCGSCVKAKAEDKCTYDPWRGSQAQRSLPVGAVPDHSNNNCDSAPSSLEDRLSHVE KSLAKIESLLSNTSRGHQNGSSPSRHSEEDVWSSLIAQLPPFSLAESLLRKYFTLDTM LRYTHQPSFTSRALKIYSISTGQARHDTDKESSSYLASLCLTMAIGTTLDDQHSNSES EQQSRNLRKQLISLHHRFYEISENLVPYRSKDSNPEFAYYHLHALMLRIQRAIMDDCS SLSQTWFAQGKLTNTALFLEFHRDPDDSDVQISPFWKELRRRIWWALSIGERIITEKL RLPSILPQSTVRKPVLIPDCDLREDITQSELNDQSLIGRIFTSSSTSIADLTPPEILV ASTGKTAPAEWAFIDAKIDTTQIMTELSKLSRHSLVPNSPLPDIVLVDNLIERLDAQI PAHLRFDTLSTAQTRHCPLTAPDQPPWILAQACVSNTGKASVTLNAYQPYLSLCPRIP NNGQIVQHALEQSLAAAHRLIVSSEIFVWHVTLRWPEGRSLFSWNTGSKVFAAGVLVA LAAIRDGPDHNGWRKWMGDLQSAEGLLQVLSDRTAKNLEGEWSACKSADLKALKILRQ LHERAKSPARSTPPSSSGTMSIVNEEKLFDPYTTHEMSRISTLNNTPSQVDMTVDPDM AENFTLEDLEALLTQVYGKPQGGAG I203_08299 MLEPVEAEFARPPIYTTEQEEALQDEDVETPDALSPAGLERHRT QMSRVLSTHLTRVGTHTPDSNELEKGNGEILVEFEEGEGPRNWSQGKKWQVGISYPHQ YLLSRLRWIYRFATMSTSFLCLTVALGSAMPTGDLPGTAEGLHVSDEAIYLSITLFVV GFGVGPLFFAPLSELVGRKPIYCISIFFYFIFTLPSCLAKNIATMLAGRMLAGIASSA PMTNVGGTISDIWAVEERGIPMAVFSSAIFMGPCLGPLFGGWISYKTHQWRWIYWVLF ILVGVVFGLTLLMPETLGVVILKRRAAKLNKAHKTKRYVTAHQKLQESSGVSFGQILK TAMIRPFILMFLEPVVLFMSFYLSFIYSLLYATFFAFPIAFEEIRGWNPGMTGVAFLS IVVGIMIAMFLIPIQERLYARKPGHPESRLYPMMFGAVLLPISLFIIAFTGAYAQVHW MGMMVGGALFGCCMVSVYISANSYIVDSYAHVAASAVAAKTLMRSLIGASVPLWITQL FHNLGFQYAGLFLALVACCIGFIPYIFFFKGESIRKASKRAFKPAEKS I203_08300 MAIKDTLRQRTLAPSSWVLPKEESCIAPENVWSNKDMDPAPEEH RLWTGWTFFTYWISDALNPGQWATVSSFVAMGLTWWESCLAIFVGGFLVALVVTANAY IGAILHIPFAVTSRATYGYWGSKFVVFSRMVIACFWLSINSWSGGIFVSLMIEAIWPQ YARLNNSIPTSQGATSRDFLSFFLFWLLQLPFALIHPSKLKWVFNTKAVLVPVIAVGT LIYAVKRAGPLTSQALSSPPNRVPGGSKRFIAFMASVTATQGTWATLSINVGDFSRYC KKPSAAYVQMFAIPGLFSVFSIFASISAACLNSVYGTTDSFYQPYDIVALWNTSAGGR AAMFLGSLVWALSNVTTNISANSISAANDMTSLAPKYINIRRGQMLAITLGVWGFAPW KVLASATNFLTFMSSYSIVLAPIAVLMAIDFFVIKQRKIDIYELYKPDGIYRFSKGWN WRAYVALAVGIAPNLPGMVNAIDSTVEIGNIKYIYMISNIAGDLFAIIVYLALNRFFP AREPQIEVAVHDLFPSSPSTSFDDQGGYPVTYSGSDPVTDEREDKSSSQGSRVKEKIL V I203_08301 MPSPDESVHPTATGLAKQTVDRHQRDEDLILWSGWFCPFNQRIW MALEERKIAYQYHEVNPYKKEKHFLNLNPLGLVPTLEIKSTDGQSKALYESDVLVEYL EDLYPESNEHPSIFPKDTYEKSWVRLNVQHITKKIIPAYFKLQQFQDPSDQDLAREEL YKGLRTLTERIKGPYFAGEQFTAVDLSIAPFVRRFYNLAEFRGLDESKVGDMWLSYRE KLMNRESLKNTSSEDKYYHEILERYLKNEAQSEVAKATRGGQNLP I203_08302 MPAHPNLTPEQIAKAAERKAAKLTKKLAVADGKVELNDEHRLEI DRRKFLKRDWVSVGSSSSLEAHTGSEDEKGRETFRIVTWNVLAQTLVRRELFPGSDCL RWSDRRAMLLAELEHHSQSDIICLQECDRVKEYSSSLPQHTSVQGSGPGKLHGLVIFY RTSRFFVRSSKLVHLDEEEISTNNSREKEEDEKRRRGGSRQTKNVGLIVALEQIDGDG KGIVVATTHLFWHPKYAYERIRQSIIILRSIRQFQKDNDCNSWPAIFAGDLNTQPSEA TYQLLVSPHTPLPKSMIDEISSSRLVHDSVAKISPSTITSVSEIPSTTATGSNTPTTK ADEEGEGEGELPDSNEKSIANTRTPQANDGILPVGELVKSMQEILPEGGARSAYGSTA WGSKVDNQETFGRRGGFEHVNGDQVSGKEEPSYTCFTPLFRLTLDYLLLLPALPGHSP AVITSIYAPPKIGQLGEGLPRKGICASDHLAVGCEISF I203_08303 MVAKEKVRVAVPQIEPSWLDKDSGLQRILEVIKEASEEDAKLIA FGELFLPGYPSFLYGGRMNDVYKHGIQYANNAVEVDGPEIAAIRYAARDNKIIVHVGF TERDGKSLYMSNVIIDEHGEVLLHRRKIKPSHYERVLHGEGGPESAINCVETSIGRIS VLNCWEHFQPLLKFHTYHQRPLIHIAAWPMLHPYGGEEQWAHCVDSGVGVTRTVACES GAFVLCATNILTEKGMKINHVPGISVNTGDAAGHIQPGGGFSAVYAPDGSKLSKDISD LEETVLYVDLDLDMVPFAALVQDTVGHYSRPDMFHLVVKSQPAPLVVYQTEDGKQISA PSRVKPFKALPPLEGHL I203_08304 MAAVPESTIGQQEGELPVLGYQSALAMVRDCTQGLEQANFLHYA AVILFKMICISDPEFIAPQSQDVVSLLRRLSDGMLLAAAFQGTKCSTMQHYGEALSRL LGAPASSTEHASVTESSSAQPPLNFDNFRQLVNLFDLS I203_08305 MSIVAGNGEGSSNDPKVEVESGVEASIALEVSSVTNPAHVELDS LKAWLVVFGCCGAMTTTFGLTTSFGVFQEYYGRVLLSDQSASSIAWIGGLQYCLLFFL GLPIGSLNEKGYTRQLVWSGSIIIVCCQVGISFCSTYHQLLIVQGLLFGIGSGCVFTP ACAVVQPWFDKKRNLAMGILATGSAIGGVIFSLAASNLLPQIGFAWTARVLALLSLIV LIIASLVGWFRVPLWGKIFQTEALQFLKKPAFSTYILGVFLASASVYAPVNYAQEYGQ HLELPKGATQYLVTIINGTSIIGRIGGGQLADQ I203_08306 MSNTIVNDASGSKSYDNDTKDGARTPTTHEVPSIENNADALFKI SPLYRILPYGYSRKRMITRGGAKFMSAVSLLMGGLTLYVSAYNLSSYGSVSTNAALDA MLNTSDTTISSRLLAAKQGGITSINSFGMIVGALAGGWLTTSLGRTKSISTALAIGCF GMALQTASQNLTWFLISRFITGFGIGMSDSTVPTWIAEISATAVRGGSIALELSFAGI GIASAYWLGYGLSFSSNLQLGWRFIIAYPLVVYLPVCIFFSFLPESPRWLVSVGMFEE AEYVLSHLRKEDSSQELKDIIAYCEMQRQNNAQHGYLAMLFKRDHENTRRRAWLTILL QFMATFFLAYGIVVAYASTVLGFAGYSAHKTTILVGCNAINYPLWFYVGVSLTDRVGR RALFIAGSTGAGVLMMILGALSKYIIRQNGNASSAAGSALVACVFLYAAFHGFSWACV GWVYPVEIFPQATRSRGGALSIASFSTGFTLLTMIAPYMFTSINENVFFVFGSLTLLS VPIVYCFYPETRQRTLEDIYHLFTGPIFVWQAEKHYQNVLERQRQEGEVLAA I203_08307 MSDQMQQQQQPSGQADVARDVAQVNGEEKVEDQPEAVRLPPLAI RFPTPTCPRTVPKPKDSSEPRDRLTIFPQPTETMQDIKLLINDWVGAYWLGPYSLRLP FVKGDDGRGKIFIKKEDLSEIRAGERLNEWLEIQDAFAHLEEDGERVLEVVKEPYGEF TARQSVLRILELIAPTGTTANTTTAPIALQPGSTIFESVRDGVLSSGGETQYEEVEVS LPSGRKGKGGKKELVKVKRAVSNDKLHAFSDWKGPEGWTSTKLGELPLSQSPLEVLPC LKSIQISPFNPPPPHLRQLGHQLYLQVALLEGETVTIICTTRGWYVSKSNVNQFDPST RTDNNGPNPSPTHSLIDLLHSLSPLFSERLFKLSPLSTEPPSLDPISTVAIPQAEPAY PWLANIPKPATSAEILRTQLAFLHTGAYGADSIDAARDWNEEIQGIRELPRGSMQERV FREKMLQKVWAEFDQAAIRAVQTVSRGDIPAINPSEDPKAHMYLQSNIFITQGDSDAL NAYGHLGGDAAMRISHGKDAAGVKLLNKLDVDGLYMLGHTIVDWQGKRWICQSILPGI FSNRKKEEEEGDQESNSAEDGEAQKKEDWVKVGDSPTKASADKSVDVGEAGQTDVEGE NENPMMIYGIDSENPTSVHWDKATHKLMATVGQAQKLAAHKIKDEKGVEHEFYASSEV KGLKGTDGRRYLLDAQRLSPVDIEWLEKDYDIEGAKYPHRLTMLRPELLETFWESELK RWARGVAEKAKAKQDEQASKDKAQEAGPSTTDEDKPEGQAPDESSTPKEEQSPAAEAA AAHRAEEEKPVDASMIGDIKQFELKFNPDAFVDQIPSKDSTISDDQPFLPSTITDESD PSVKAVRDASVFLRSIAIPAVVLDVLTGNTSGLMDGASLTKHLHGRGINMRYLGHLAN TIKQFSAGPEGEVRKETGHLAALKAIVHQEMIFRACKHILRTLISNLQPETTTYAVSH FLNCLLGSSYNSSPSAIFNPIGISDREEQPEYTKLTPESLRKAIIDAVKLRFRFTVDE AHFEESLKKRQLLRELAVRFGIQLAQRDYVFDKDQDSSSTEDEKDIKQSKDKKNKKNK APVQKRLNTFEPEDILTLVPVVKSTAPTVTVAEEILEAGRNTINRGSIDLGLEFMLEG LQLYENIYSVIHPEVASVYNQYSQAIHQLARLKIQQVAAQAAANPEGAADPEQPLGLD ISTALRLQRQAVIVAERTLGVYHSETAGYYFNLAMLENLEGNPQQALRYFRHVLRLWD VIHGPNHPEINTVLSNAGVVLQAINETSLALVLQQQAYDSTLSLFGDSHIQTGQVLHQ LTQSHFLAGDMAAALSTSEKALDIFSARLGEEHSQTQEVKKNVELLRAVIDNVEKQKE RNEQLKKDQQERLKAAKERIQLTGGGIKGRRIGSTLANGSSSGGVRIVDPATLAAAAA AAAAGHSLDAGGQPAAASASSAEGEGQMAEGSNIGTRGTESVEELVRFIQGQQQPSAS AARRGKNALRGKRRTGAKR I203_08308 MSVNERTGLASDNQVDNEKGRDLASIPTLPREEQDEEGPSPSRF IPLKYRLIAFSMVVFFNTSSSFSESTLSPLKSTFREQLKVTNAQYGAISSASSLVNTI LPVIGGLGIDYWGATYASIICSTFIMLGAIISAAGSNTSRFGLVVGGRILMGFGSMVI ESAQLKLYTHWFRGSNLALAIGVDLAWSRVISVISRSTAVPMSMINGWWGWALWIPAF TTVANLIICIMYWAYERRVPKQYRPPLGKDAARKEGALRKAIEFNKISRCDPRFFWLF AGIQLFQTSVVGVYSSNLADIQTQTRGTSKLAAGYNSSLQSVVPIVMIPLVGAFIDRF GMRMYFISWTAMMYVIVIGLIGLTQVHPLGPIILGSFALSTYAIPFSASIPVMIGDAD MLGTAIGIWKAFGNGGIIVFDVAAGAIQDLSGTNSYDNVIYLLMAYKSLQILYGFFFA WLDGKWLANSLRKGEKERVAIREAALANNVVLPGWKPSKWGMWIVMGELVALTITAWV VYIVYSLGK I203_08309 MPVSTRSTASGKAEEVAHESSQLLQGQVPGHDDLSAGHPIHPAT VHWPIAFLTASFGISTLDLLPLSIYPTSVLPPRATLSTLGFYAAGAGVVSALPAIITG AGEAYELIRKEYFEKGQDWSKVVDSAWNMRDNGGRKVKMTVKHASMNDMVVALAAHNW YRGCYYPGEPLPQTTTILNAIALPALLYSAMLGGRLVYEYAMGIQRQGHGKEVKEKGE I203_08310 MPYNAFQTTLVGTVIRLVSGNRLLKYQDEIECPERYTKEEIARR QKESPTQSRRVSRYETPASNDDVTIVEETNPPRRSDSDETIIEGNPDHIKDLQKGRGK EAVGEHNNSSNTGMANNEQQAEEGMKEDPNLVTWYGDDDPENPYNWSTRYETFVALQI SFLTFAVYIGSSIYSAVGLTAFVIGYGIGPMLWSPIAELSNVERMPVYVATLFIFVAL QFPTIYANNIHTLLAMRFFAGFFGSPALAVGGATMGDMFKPKHLAYAIGVWGCGAVCG PVIGPLLGGFTYQAKGWTWPLWVLVWLSGGCFLLICIFFPETSGKNILHRRMLRLRRI TGNNDLKTQAMIYSSHLSGGRVVYEALIKPFVLFFDPILLVYNTYLALIYGLLYIWFE SFPSVYMGVHGFNPGESGTAFLGIFVGAWAACAVFCYYIHVYLEPIFDANNGLIPCPE IRLYPAPIAAVCIPIAMFGFGWSGSDGQVNTSAFILFQCIFAYFGDVFYEEISSVFTL NDLFRSSWGAAFPLFANALFKHLGIGGGNSLLGGLACLFVPAPFLFIKYGHKIREKSR YASNKS I203_08311 MSSYQTCSNYSRGNSSHIVYPLTPPQTPVSQAYQSPTTTPVKHE NTKYDGDSVPSPLSSAIPDFDLNSIPEEIPDDERAQDEISDRYIWRKGMSEAEKDERE AWLCEKRDRKGLRIVIVTENFLPKVDGVTRTLSRLLEHLQSQGHECILLGPASTLSSY ASHPLVGTLGIPLVVYPGLKLNFLRPKFLSIIRDWEPDVVHFVDPIWLGAQTILAMEL GWAGDKWLSQESDSPTMGKGLGGAVVASYHTNLATYATLFGLSFLTPIIWAFQSSLYS KLLLTLCPSPSTKSMLESQSFNGVRLWPRGVDLSQFGPHKRDEALRASWGISIPENKE KMLLEDTKYVLEKQGMMTPPLTPWNGPINGASIPHGVDEDEVVLLYVGRISWEKNLQL ILSAYSLLAQFLPEGSLLPKLVFVGDGPARSDLEARCSAEKNWDVTFMGHRQGEELAK CYASADIFVFPSFTETFGQVVLEALASGLPVVGLDAEGTRDLVTHDQTGLLLSLPTKE TTWPHACKSDSPYFQELSSSYAEMISTLVMDGKRRREIGRRGSTEGIKGYTWWDAMEA CVDGYRESMRISRSRRNLQVIPHSPPEEIATIPCPVPKSRRGLSLVNRVVSRRLAYKE PRSPIKGGRGRVRWMLSKKDEGGWLSMRTLVKIIMTLCLFYALWRHHISQITSDSLLE AGKSRP I203_08312 MLHHTVFSLRHVHRHVSINSHQEYLPTQGTLSSSTPAFTPLPTR LPPPPITTRRHNLGRATHKVSLNVQQQQQKTTTVLASSRQPSISQEHLSQVHPTEPNL ALNFTTTSPPLPLLPLTKPDSMEFTFDNLNMNMGSVDWDQFLVDADLGEATKVPLMSN SPAPSDCQTATPKSTESEQSAALDPETDFDFNFDFDFPLPTSVGITHQPEDIQQPTGD FNFDFTATAAAPLPAPGPDGRGTTDPTMTNTFGLSFGDFGLHTDLGADAASQLGLTNL LGKLGEQKVAQQQQPSLTSTGPGSVNDLTDAYALLDKLLPSATSTISSPTSIRPSQLS LPPSPPASTTLKRKSSESAVGAQPTKKRGRPPGSGSVKSKTISLQGGGSPSSSCADES ELGSPTPATIKKTISGKPSTARPKSVVPEKFLKDGSAQSILGMDINQIQSYPSFDMLL KDVAADKLQAAQEFGERIADNRDKAKDAAKKSRDERRAKIERSEYLEKKVEDLENKLS GLTGVLMSLVDRGLIGKDQIASYL I203_08313 MRFFTSCESARTHRSSTDTDLGDEVGDRENGWDKYEKTWEDQDP EGRWKRKVWVRKWSNTSSGDGEENQSPFFKRGHGHGHGRIDDDSDHKKEDAKPVGQVN ASRGNNDEGASFGAGDQSGNESSKSRNLLILDTILRTTYRAGYNDALKIKPFLPYSDS TSPSLPPSANSNIGESTNPNNGVYLVGGLVVLFTGMVSYKTYNRMKEVNKGLQEVLAL VELTRSNEASAVGKLGRELIGIKKLLEDGQGNVRSRTENDGLTKNSDSDLWKDVKHQA RPNQTPFENSLSIKSSNTQLSGNIHEVDIKLILNELKNDLKKEFRMISKDFNAQQGDL VSLKTDLEAIQQSLVKSSSSPGIADGTSKILEEIIKLNTASHLLSDKLSNLSTSMIKD ISGEVSLTNQLSKQGLNKLDEIGSVLEGLGRELKEVKEKSNTTSKVGRPKASSSSSSG SGLGGSGSVSGGGGESSSTQSADVAAMPAFVPSISPSQSRPKSVHSAGLGLGIAGGHN DVMPLGDIRKAIEGVRKSYMDDQSRQVSETLADKADGKETSATTDIKSTTPRDQNDAL ESSSGSGLGPTEGINFQTPLDKVKNPYRANTNIDSPSETTGNPATSSTKSRASERKDD EDEDPPSPPPPAPPTQSRVTPETRRNRQSQQHAHWWTVHSLPHAHINDLWRIKGFGWY QPSSSAEREPGQVKKDRNEEDRSIGAWAVGRVRRRFGDWPFH I203_08314 MPKYLESFGDTLYLSNEEEQQAELQQHQPQLPSKSTPLSTHPLA QALFQSIYNKGFVDGASSTIFQSLSTYFTSHPILTTTMVLLIGSGSIALSARRGTGIN PTKRLLFDAGSNLSRSTRSTREAKLFGTSLPTLARKPPFSSPPASSGGLAGGDYDKAL DKLREDIHAARDLFDKGEKEESKVDIGTQLEGKVDVRDGKDRSSGLDGRTMLDAMSQM LAQIGEIGEGKKIIMDLSPDGKWSDPKIVDSTRSDSEIDDSSIPSTYLPSSKGPGLPE EGCTPEDVKKINAETLSSPMANSETWTKARFAIIGWGEEEVWNGTGNLTIKFWDSVNP DQVINDKMIVRTLFKEGIPEAIYHNGLLFQIEETPEDLDSGEPIWKFEKLLVDVKKVV EMGELQNDELYNPSIASDPEEDLNEPTMFDELIANDRSTNAHIFRDAVPTIEPRHLIK AVENDQRVEFWLDEKLGDAKAVGRSGKIELWKVRVGIYELYDKPAWSELEALVYFNGP PMKIAIDPETGYSVDLFPGDDGQYIVNGQGEDGEAFDN I203_08315 MLKNLLAIGALCASVISASPVTVKRDDFGLEWISKDSSLPKVVL YNTGGTIVSTSNYSTIDNVNYGSGPRVLPEYLIGNYSIILEKAQIAIVDMSKAGGSSN LNSTLYFNVSRAANEHLCSEGSDIDGAVMFHGTNTEEDTFFGVDLTLNCSKPFVATGA MRPESYISNDGPSNFYQAVAVAADPKARDRGALVVFNDRISSAFYTIKTNGNTPDTFK ALEQGNLGAMLGGQPYWFFTPSYPVARYYFDLSSMESGEDLPHVVVLFGSQGFDTSLM YAAVANGAKGIIIMGAGAGQLSTAAATVAGELKAQGVPVVASLRPVTGASPPKPYEQT YISSGYMQAGKARIQLQLCLATGMGWEGCRDVFEKDMREVIYNDSTEYYFS I203_08316 MSSPSQTIIQPSAGDGENPANQFRKYLETQSRKVKDRRSETLQS IASQTLTGTQHGGFVPTSGQYNSDDPLSYMSTDPNQSQITYQPDILSFPYIPQQHRDL LTGQHSEFEVNVNTTPGLSASALSINPNDADTNDLTPMATPSRPLTAFRPESSIAGVQ GLTQNQTQPQKVYLHSGLYPDNPPGSDSDSGSGEHGVTQIIYPQSYDITISAKYPGNL VDPSHQMVNSPYCTRTYSGVSMENTAMIESYLRESHGSKNEC I203_08317 MSFSLLRTRGLRAATRTAGVRPVRSTLPALRFLQTDADKSSPAE ALPESGDQPFTVALHGESFHSYRCDAPSNEISITKDEMVKMYTTMVQMRRMEQAADAL YKQKMIRGFCHLAIGQEAVSVGMEHAIDGDDRVITSYRCHTFAVLRGGTVKGVIAELM GRVDGMSYGKGGSMHIFTPSFFGGNGIVGAQVPVGAGIALAQKYLKKKTATFALYGDG ASNQGQVFEAYNMAKLWNLPCVFVCENNKYGMGTSAERSSQNTEFFTRGDKIPGLQVN GMDILAVKKAAAWAKEWVTSGKGPLVVEFVTYRYGGHSMSDPGTTYRTRDEVQQMRSE KDAIAGLKRYILEWGVTDEASLKAIDKKAKEEVDAAVEEAKKSPFPDVKTFWTDIYYK GTEPPMMRGREKEEYHVYSQA I203_08318 MTHPNGNATASNQPNGNGVIRPWAADFNTGSKSFTTLDGKPAEW NGGWAGIVGETAGPQTAPAPITSKSGPNNQEITYLPYPELTCLHCLGSNPPGKLGYVV SYLPVIPAGSSNGQQTTAAVEGQGTEDRLGELPVRPSAPSLGGSGGSFRAGRSAFRSA ESASKAPRARSRAEERVDDFERGRQIEREAQEERARSSSVSWELAGVGKQYVPDGEEE DVVIE I203_08319 MAFPRFSLELYIIAVVVICVFSLIQTLRNPTLTRPSLSLLPKPD YGVNINPPDPAQSENRHLDQAQCMERYPKLYHEADRARKWYQSKGGITKAMVDLSETD SGNARLVILNNRLYVKGFYGGINTRTQAAMAAVYGALLTSTEPLPDIDFVIQTSDAGS GSHPRFALDREEGQRNLWLMPDFGFFSWPEPGVGAYSEVRSKALEYEHSLGLRLDNHL NVLHDSWENKTQKLFWRGAPMVEVRHDLLRASKDQSWADVKELNWGAVNQDEDERKQN NGDLRTPAEHCQYAFLAQVEGWAYSGRLKYLQQCRSVIVAHPLRYIQHYHHLFNVRDD DPDQNMVEVPLPLEENLAEVMEGLIDPRNVEKVRRIADNSWKMMREGYISPAANDCYY RYALRAYASVQTFQPSLEGKAVPYESFVLMGTTQWDPH I203_08320 MGVNDRDVVIGAATVIQTRQNTQETYLIAKANMPIAVTEQSSLP KVGINGFGRIGRALFRLLLEREDLLLVAVNHTAHSSEHLMTAIMHDSTHGRFRSGADL SISLIFRGRIIHLFSERDATKLDWSSANADYIMESTGKLTTKEKAEVHIKHGKAKKVL ISAPSKDTLNCVYGVNHHVYIGKDDVLSNASCTTNCLAPLALVLQRAFGVETGMMTTI HASTASQKVLDGFSTKDIRQGRSAMGNIIPATTGAAQAVVKVLPELAGKFHGISVRVP VTNVSLVDLTVTLSTPVASKEALIRPFRAAAARRPIQSSTPHPDGPALAGVLGVSDEK LVSSDYLSSTQSSILDVDATVMLNDRTAKIVAWYDNEWGFSSRSK I203_08321 MSTSEAIDTRLTSHKAQLIAEFIAKHRGHVKGRGRPLIVSMQGP QGAGKSTLAAALVKLLASSYKLTTAVASLDDFYLDREGLDALAATYSSNKMLQGRGPP GNHDIDLLKRILEQVRLPRSNSSNSVALPVFDKSLFNGRGDRSKSTIPINPVHLDVFM LEGWSLGFHSLPEDRLRELWKVGKTASKHEWKSILQVNDNLSQLNTETDSYFDCHISI TPLDWDYVYQWRLQQEHHMKRDIGGSGMSDEEVRLFVDRYMPCYELYGKRNSVVQSLR LVYGSEREVVNVEEDSGSIGACICMSDSAALLRGLVIRN I203_08322 MEPSTHERGRFYIGPNGFPRFAGSASGVYLADTVRSDLTSKDHP TPDPGIEDAFIHRNNDEPRSDETALYDIYLLRSKDMLEEDLLRTRLNRYFAIWHPLFP FLDGAYLLQCFNNSLKLAEIHKMVQSNDPDKRDDGLTFDQISAFEGLTPEQSLALTTI FLVIFTIGGLGIDETGSNVDSASKIPTIHSTSQATMLGHLVVGACQNYRIPDLFGIQA LLAIELLLYVRRTYRPAMHLSGVITKLAYEAGLHRCPERYATTFKEATDRDLRKRVFN SLYVLDRLLSADFGIPLMLNDSDIDTCIPGGPEKHALSEVYLPNPKPPAPPLSESATY PPNPSIIPPDDHHYRGTKRNPQAKMQMKLSHQTTLLAFPPN I203_08323 MEQFNKSVSHRIIDGSEVLHLRSSLDAWWNDIGLDCEADTETPK APLDPRRQMSTLFTCLYHSQIVNLNRPALSLPPSQIQHDHALQAAIGSVRIICSTLSN SFFKNNDNLYWPGYVDMVFLGSLILVYGARRERARNGPATWLIRDLRRALSILEHFAK RWPKSEKFSRVVRALIEREDPAHAGNVNLGSSVSALYRD I203_08324 MSPIAIHPVNETAAFPEGYATQPERKSTYKIAQIGADGIGPEVI EAGVQAVHAVAKKVGTFKVDFSELDWSSDRYKKTGSYVPADYIEILKKHDAIFFGAVG APDVPDHISLWGLRIAICQPYMYANVRRTKVLPGTSSPLKNLQPGQLDWCIIRENSEG EYAGHGGRSHRSLDHEIGTEVTIFTRTGIRRIARYAFQVAQSRPRKLLNYVTKSNAMR NGMVLWDEVINEVSKEFPDVTMDHMLVDAMTVRMTLHPDSLDTILATNLHADILSDLA AALAGSIGIAPTANIDPSRTMPSMFEPIHGSAFDITGMGIANPVGTFWSACEMLDWLG EHEASKVLMKAVEQTCADGITTKDLGGSAIREK I203_08325 MQIDIRLLPVLFILLILNYLDRNALASARVQGLEKDLHLKGDQF NIAVSILFAGYILGQIPSNLILSRVRPSIYLSVWVALWGIVSACTAAGDNYNHLLVIR FFLGVTESPYFPGALFLLSSWYTKKELAFRTSILYTGSPLSGAFSGLISAGIQKGLNG VAGLSSWRWMFILEGAVTVAAAIGSAFILPDYPATTRWLTSREKAIAVYRLEKDAGVR DEETVTLFQSFKAAAMDYKLYLLAIIIITKTTAGAVTQFIPTVIATFGMSKVNTLLLT APPYLFAAFLALTISYTSDKKPERCFHLLTPIVFGMVGFIIATTTTKTAPRYFSLFLM IGGMFGSYR I203_08326 MTELEERIEAYERLWNTLFGGYSLDDAYMDMINHGVKDASDRAR ASLLTEGTYPRNIAKHPNQHQIEPQAVFAPPHLPEPHIPGTRLSPILPAVSIPPTRLP STELDLPQQQSNPDGDDGDFEWQEETNRNTDPTADYQQIGNLGIQSDAGMASLRSSGK GASYLGLSSGATFLNAIRRLSPKSVQGLTPIGAFVTSGSLVMGGWSGMTQEWTSQPCK QVTLPPSMETRPLVESYFRYFHHLTPLVHEPTVRAQLSGALPILKPGSDVLMYMIFAM GALDLAQTEEDDDGYRYYQIARHSMDREILEGGTLPLVQGLAIMANYLQRSNRPNAGY LCLGMAIRMATALGLHIPATSNRHTPLEKEIRVRAWWSIVTLEAGCAVTFGRPHAHSP LQLAGMPLPINCDDEHMTVSTTMQPAQCDRPTLYTALIVQARLAKVTCGLHDRILQSH PAPSVDQVRRYDQRIVSALQHDLGSMQMHANEPYHLARAVQMWRTRDFRAILHRPILL AAAWDTSGTKALLPGIRECIDSCRDLALANLQDIEQYVLNQPNHERGSEWYTLYFAFQ ASLTLLLSIVWEPHHPAANHWGRILTSTASWFRQIRSMKTLASSYASVLESVVGTVPS PSMIDQLTQAIGDPSASTWSETGNSSNANDSTIDQSGLDFERYWMELWGNDAAITNSV WPESGTDTQPGWQF I203_08327 MSATALFKSTGQGGLEVSSLFNVEGWIAVVTGGGTGLGLVTATA LAENGAKVYITGRRAEPLLAAVEGYEKLGNKGKGSIVAIQADVSTKEGIKKFAGQIQA KEKWINVLINNHGVSLGATDINACEQTPEGLSKQMFEGETFETWLETYKINTASYHFT TFGFLPLLAAAKTVGGFPEPGNIVNLSSMSGITKTSQRGQFNYNAGKAATISLSHQQA TEFARRGLGIRVNVVCPGYFPSGMTIIPPENNTGSEAHYNEFRQQWGIPFGRPGKAVD YAQCIFGLITNQYVTGAEVVIDGGWLLESGKSFLLHVNVISS I203_08328 MSRTIEQLAHDIVDAYYARAGDGRLLVGIAGPPGCGKSTIANPL TQHINSILQSPHETAQAERSTSAVCVSLDGWHYTLAELDAMEDPVYAHRWRGAAFTFN QSEYQKFLEELKTPSHPDTPATIPFSTFDHAIKNPVLSPTPITSSDRIILIEGLYTLL DIPDWKVCSDMMDFKIWVDVDEETARRRLIKRNFEAGITADLESCAERVDAVDMKNGD FVRAHTTEPTHVFVSVDGQMY I203_08329 MSALGRSPAKMRLSTAINGPGYDDEIPKARVQPEAGPDVKVLVI GAGNINFGSDEGPWNHSQRVEQKLGNRLKIVGLVDPATARAQAVLDAKRLTFASPAYA DTPIYHSVQEAISRLSASPPDLVLLGSPPAFRGTTDPSKGFNTEIQLAEGFPKAALFV EKPVSTGSVEEASKVAQYLEGKPNLVSVGYMLRYSAAVQKMKQILKQNKLAVMMTSAR YVMAYEHSVKVAWWTKSVDCGPIVEQATHFCDLSRYFAGEVDLSTVMAHSVEWYEKPG QLTKIPFDENNLVPEDDRIPRFTSATWKYKSGAIGHLEHGVALQGTEFSTEITVFADG YQLKLIDPYNRPTLYVRRPGSDVEEVHHFNDDDPFLSEMSTFIDTAAKGTSDIPVLSS FADAVRTYELTWAIRWASEKTRTPKKML I203_08330 MGLPALAVILGAFASFSGFLFGYDTGYISGCKEMESFIRTFGTL QPDGSYLLGSGRDSLVTSILSVGTCLGALCGSIVGDRIGRRFGIVFYICFAIGRVFAG LGVGGTSCLVPLYQAECSPKSIRGLVVSAYQFFVTVGLLVAACVVYATKDRPDNSTYE IPIGIQFVWGALIIGGMCLLPESPRWLLSRDDHVKAKQSLSKLLGQPEDSQAVTTEFA EIAANLEHERSVGKATWADCFKYGEGKTRQRIFTGMALQALQQLTGVNFIFYYGTSFF ANSGISNPFLTTIATNVVNVGMSVVGMVAADRVGRRPLMLYGAAGMAVSQLIVAAVGV AVSQANQAGQKVLVAFCCIYIAHFASTWGTLAWVITSEIYPYELRGKGMSLSTATQWL FNFAIGYATPYLVDDAPGSAGLKTNVFWIWGGCCCIAFVFAYFFIPETKELSLEQCDL LYRNSTVLKSAAYRRQILEHDIHDDEIMHYSANKGPRTQHIEEDPKQALQPETIA I203_08331 MSQTSSHAQGSAPKEDTPWNHDWSSDSYRSSTRCAALDSPNVSP RSKPTGMGTTDSKNQPNASESPDIPQICIQDTSSEAQSGCLTTQLALPK I203_08332 MAPRSRSRTDPADEEIPRLDPPLTSAPPRSAYGPQAGNAYFMPP PAASTQTNQVADMFTMMGDLLRDTSTGAFSQNTIPLPNSTYGLRRASPQSVSHSVYPT NNMWSTQGVASFPSYNSGYGNDIVDLPPNTMYTIAHQQPLSTQNLDYYPGVRGYGNQS GTPTQYNTPMTFGAVADRSVGSSRPLVPAARANYQGELYNPSDIKTRYFNVADSLQLG AQYESYSRAASTTSSSRGGSKRSGKDQLTIIFTDPSGSKKSPGTININGRRKHDWDHL QDDMDLIVGRSRAVFSTEYPGRSENEAPPPTWGAIEYHYEGKGSASVDLPRGLVRPDD VPRMKEIYQKAINLGKRIESGSTRTGSSRDDNSSTISRSQGQPFATDTVRAWASGVPT SAGLSTPSISSIAEAPYSQGDAYSLVESELGPPKARSTVLEGAIRPMQLNEGYDDE I203_08333 MTLPKRPRRSSTPRTIPLDHSHLVVGSTLPATHIKSRISIVWGK NASISPGDIPRVSFSVNHKFDIPVGYQPNDYVLPTENERIVRYEIKINIYHSQYNPNS QVDKLNNHVQSLSEEGYDWLISLSKKQMQIETTGMEVIKKTEGPDNGNVHIVELQAVG EREIWYDEGKKVKKIMPEIPLPSQAPDWFSSSAPTVSSPVHPTSQHQPLDTSNKGEPS RLKPLTSFPPPDLTRVPSRSSTSSHSSIPPRPTKRPSTEEEEDRQGDKRVKGILKDKE AGRIEERPIVDDGQVNEADIVKDVQKEKKKEPRTVVQLGPPGYQALIDTVISPVGSKG NEKERSSPIQQQIVVNPADVIIDERSRAETVEGIATDPEFPPISHDISPDTSPKTNLP NASANTSQSIHMAYNPISAEHIHPTPLQAMTPFRSPSTTSFNLAQALSSPSYPSLRRS TPAISQSSPVAFTPRAERERLVTARRQVEEKRRGQVQAAQAESSRAAQARLADVQRLE GSLITNGKEYTPLRHLTSGSICNITGVVVATKAVTVTKSFDHLMSVILCDPTRHACDP SANEELVVSIFRIRQSDLPTNVSPGSVMLFRGLKISMFGGKTKAQAFSSSNNTWVYLE HGKEIKYENQSLMNPPLNRMEVDRMVDLFNWYKDLHQFPYGAGTFGSDAPSRRSSLTP GVPRDAITLSQVTPATFFDAVVKIMCVVRNNHRKPDMELYVSDGTTSTSYQLRNFHNI QIQGLPNKALFILAIHDLPPSHEMPSFDVGNIVKLENVRSKLYRDALELSWSELPTSD QALQGWRRRKCMLIDEHDERARVIERRLRALKRGDIVDSPAVNTQQPILVDDFHSTFS TANQIPQPNVNFYSTLDHGTTRTDLPSRIATHLQTIHTDPIEHPLSTIGDIINNSTIP NKYRIIAQIKSIVPRSPSDNIIQAYCTHCKSSFKNGWAWCQSCNDTDGYHSEWRYRFL VVFKDEKGDELAGLVADQEATEFLPPLPPRSTSTNPNDLRKSERRRMELIGQVYNILQ GAKMDGVRTKPYIDMSLEVYHILKPNAEPENGEKEKVVVGRMFGMKSVTQ I203_08334 MPMPGLSEEHDTLLLGSSWLGKKDQHGKTHLFKHCPIPDKQSFV LLTTNLETIYFHSPAPEDIPAVPWEVDHPFLLSTQDLIRDQFSDEPLEQVEGLVERIK LMVEERWDEVQLLIEVEDGVKVAYMRMDDFAWRFVLNELTSSQWIPFLTRHLLQPLTG IIATDRSIPSPTSVSPFESSSRLISDPEIMRAIRRNTTKFKAQLQSKAHSSSQIPSSN TNTENEIVMEGSPTPRKPSRREKANEEPTPIPSSSSMPPSLPPKIPAHNESSSRQPTS SIPPSSSPPPGLPSSERSETTNTKTNLKMFDQSSSPSAGSAMDFKTPTQTQTQTQKTK REREKEEEEIFEKRKKDLQNKMDKGGIGKLGKRRLAR I203_08335 MSSSTPPITNPEPPSQLSSLASTLDASGSDIPSFLNNLLSPYLP PPLPPPNPVQPPDLAPIDKSLNELLTQLSLLSQDTNSAVEQSIHDVSRTVPRLAYDLQ FMRESANGLSSSLGMVQDRFARQIDLSSGTGKHKDDLITNGNGVGEVNKTNKSLEKLT HLDKLKTRLESARDILREAESWSTLESELLGFIQNQNWLKAGNRLQEASRSMIVFQNT PTEYEDRKRLLVSLQNELENNLSKALKDNLDKGEIEQIEIFHQVFKLIDREEEFRNYY FNSKSSDLLKQWKDVKLVEISPTQTSTPVEGTDGISTQEGSIKFSDFLPQFYSSLVVT LETEVEQIPLIFSPHTAASTLATFVQTTFDALDPSPSARLSAISEFHGPEALPELIRS YKSAEELGVAIQSLIDRMTFNTQGGMLSGGDQLSTSPSNTITDRPPSAGIPAVSPSAS TMTRSSSKRMSISRRFSRAPTISGPQPVDNSWENTLYEPFLDLQSTYATLERRYLEHI IRTDASLSSSIASSSRSSGKDIAKTLIDRVNVLFTRSEESINRCKEFTHGYGSLGLLS ALEADLSTFLSDQQKSLIEQLRLSSGGNQKLRNGGGSNDDLDFEFEGLDSYSTEDWSS FQLGLHILESCKEVSTKLNQFEDKLEEHLVHDVGTVLKATQGEGYDVRSTTYGSISLL QQSTLNSIDLHTLINTRPIPKPILPITFRSMNDLIKSSQINLQSIILSPLINQLETYP HLQVWTQPDKPTRKGELHVPTFSLSPTDVISRVSEGLLDLLRVFEIYSKEVGLRFSLD TLPFVENTVYHGIDLDGQKGKEDIPSEIILSTWISSLSLNLLSHLTSTTLPSIRGLTA GGVNQLKTDLNYLSNAVGALDVVWDDLGKWEKAVELDENGWRKEMRELRGTEGLGEEG ERDRDIVRSVGRMRGWV I203_08336 MATTRPPTRPRISSRTRLFSSGSDADAPYTMSNSKYSWKTLNPF SEEWDETQRKRLGVFVMCVIVGLASGSNYVYSAYAPQLATKLVVSSTVGNLIGLAGNL GVYLTGPVWGKIVDSRGQRIPLLVGGTCCLIGYTTVHAFFTGTISLRSSSSSEISQFR LFILELAMFLTGCGGSSGLTSAVNATAKSFPDSTRASASGTVLAGFGLSAFLFSTLGH VIYKGDAGGLLVSLAIGTGIPMLIGGLVIRAVPPQDDLNEYHAVSEEDDGINGTRPKV VVHHPAEEFEYQSEEEGNGVTSRTSSLELTRSRSPISRGRHPHGHNQVHHAHFDDHLP QTSTNSTTKPPHKRSSSLVSLPPTLLSHTPMELFRSIDFWILSMCLALLCGTGLMYIN NAGTVALALGRDGQRVYDKERVAGYQAKQVGLVSLWNCGGRIIGGVVSDFGKNKFGIR RVWFLPIVAILFTISQVSALETTRVQSLWMVSTLLGLAYGALFNVMPMLVLEWFGMKH FSQNWGWTAVAPVIGGNAFNLLFGKVYDSHTIGRIGTEDGSDSESPSNDVASMIVRTL LKRDGGAIPDDGKHDCIVGEECYGSAFKISTIGTLIALGLSVWAGFRRERIARERRKA V I203_08337 MTLASPISPSASPASSSPPITPTMPSSSASSSRTLPLPRPRSSP SLHTHNVNKMNRDLSFTPVSRTPQGSQPPIMVALAGWPEPIYVSATNDRRGSTGGVGN MGFEPLSSGQVYDGVAVAPPVSFINDLHHNIPNPNKKIKSRPTTPPVDDQLQIKGFPH SSTSTSSIPSTMSGTSTSPEVTGKSDKPSTADVIRDKLKARQSPRTKNKTNPIPNIVP TPISAPNLSIFAAVCPHLTDPSLGPCPFKSHPHDVRNMFPPTSHLSSSPTSSSSSSRL PHQHDGRSGSSLSPKSEELSSPELDKNPQGLTNYFPIPGAGAPKPRSRAVARLNPKSS GSGSGSGSGSSSSSNGKRKGSTFNDPRYGAILHKGRALPVVPSWDTTPVDNGFPINNT VKGKGKEQQDDEDRMDVDLDGGNDIDNTPMVKMEVE I203_08338 MARPIQDAILLMGDSITSRQDVPLSLNALLSESYRRTFDILNRG LGAYNTRFYLPLLDQFLLRNTDSPSNSVIRPQQIRLVTIWFGANDAVLPSFLQHVPLY EYTTNLDNLLEKLTSGDSPYTVASQDGPLNIVLITPPPIYPDMMGDADFAGQRELENT RKYAEAVLDLGKRWKAKESDGGIWRIATVDMFDGILELAGGKGEELIPYFTDGLHLST LGYGVLWTKLSQILENDFKGRAISPSEIEFTVPDWSILDHSDPHSAVDKMKGPYKRA I203_08339 MDTTIQPHREPNYAPYQKELFLLSQKGSHPDFSTRPDKLEQLAK ETLSKGGWLYASCNAGIGWTDRANREGEWRIIPRMLVDTTARDTTTELFGHKINAPIA FAPIGINKIYHPLGELNAAKVASELNIPYCLSTAGSQPIEDVARVNGDGPRFFQLYCP HDEELEDSLLQRAWDSGFDVCIMTLDTWQLAWRHEDIANSNYAFYRGIGAEIGLTDPV FQKRIKEAGLDPGNQEDMQKIGEKWIDNVWHGKAHTWEKIPKLIQKWKKISNGRPFLL KGIQHPDDARKAVEAGCDGIVVSNHAGRQVDGAVGSLEVLPEIVEAVGDKCTILFDSG VRTAADVFKALALGAKAVLVGRLYVFGMGIAGEAGVRHVMKSLLAEFDILLNCAGVNR VQDINKSHLRYVEGIGGLSGTL I203_08340 MFPQFISYLLIPLLVLLTFPIISFLYLTFIPPLLVILVFLLISY AIFYPVYDLYKHPRLIPDWLFNIKRYILNRPRLILFRIEFSLHLRTRDVYPGMYDPGP PFPSLWPEEDTDRYGRLKRIVRLVGVAIKQWIYDRLDIPYGYCKEEIIERRKKEKAER GSRGWFSWKKDERGSIDWNNKGLYGYGHIYEMNGPQANYGYPPMSTSPPPPPYTLQLR CTCSTTCELHPVRYILEQESILPKGTIVIPSLMVQSYNGWNQDKQSRSIYWNGGYERF I203_08341 MVSSLPKTRVKQSTSKNGSSRSTSNALSQITKLESTLLSDEYDP NPLLPLIALSRHDDPQVVHKAVWALHRVFIKLIGDGRVGGLNGDLTVKRSKLEDIHED NENDLNEEREVKGWVRERLLEYIEVLGGLVRDSEPALRSSSIPLLFSLLPPLSTSFPS STPLIHIPFFRLILSLLFNPKASLRVEANQVEEDEGVLPPDVIKIVIDDFWAKYDDLR WAFFKESSNFAQSQAIINQSNLLSQLLPLVNLPKLPEDINAFYIPAFSEPPSGLSNTK KVKKVNKKGKTRYKGEIDELPEWMKEYESEASEAESDDDDQSAKGGKGKRKRQRTSTM SIHQSIYSIVSHTTYYTNLWENILSMIPLEDHWTRKILIGLHGEYGIMGHFKPERRLR IADWLSTLVDRGGPNAMLAMNGLFVLMTQYNFEYPHFYDRLYGLLDRNVMHVKYRARF FRLLDTFLTSSLLSSALIASFIKRLSRLSLTAPPAGIILILPFVYNLFKRHPGTMVLI QRPDDGVLRISSALGKEGYVDPYDPEEKQVLKTNAINSSLWEIAALQKHYLHSISTIA KVFGEVFTKPEFGLEDFLDHGYGTLFETESNRKIKNPPALSMAIETATSDSLPDLFPS HKGSEGKTVGDEDVVEKEGDIVSQLWAF I203_08342 MPPANGVSAPGSRPGSTKPGTPISSTSNSSLILRKQLLDLQKNP VDGFSAGLVDDDNILEWQIVIMGPADTLYEGAILKARLIFPPEFPLLPPKMIFDSEMW HPNVYEKGDKKGEVCVSILHQPGEDEWGYEDAGERWLPVHTVESVLISVISLLSQDVP DLSSPANVDAAKEVREDFASYKKKVKRLARRSAEEAYD I203_08344 MSSATTPTSPRYRRSPSTPMSNLDRTLNGDSVTSSTAMRGKHPD YFLAHRPRWEALDQSCESQSEFKSKSRSRSGGHRLTIHSKPIFKVGTPFPDSQIMREL SAQADEIYERVNQFSILTIPKYCMDKDPQEFARLDEILRNVVREVNRSDKSNYKSVVT KVGDYSRIHPQTDKNTNLLTEIKLPDSTEEWYEYGGNQTEKREKQNFTNDFKCFFAAA SLVPPTSSIASPYLRQEHGQDDSERDDEVYRRKLEFMEHIRGLTASPDPLKGEERDEE GGSILWPVPSPTSGGFRRPSLGRRVSSMGSAVARRLSSSSPAQRK I203_08345 MSYYGYTGYSTDYDYQPTANDEMNDRPVDDWKVYTVGHLVDHRT VTQVNGRTQTEIFVVDKIMYHDLSELGEDDFQWASDESDPILNNTGISRITVMDPRQV PFVERIKSQMTNSLETSCQNISADPNIKFNHATHSSGDHQGFHNAAPQTGQFGSLVDC SKWTITERYESETNQTVKNRYDGNYLLRANQRYRASFRR I203_08346 MSSHAVSLQSRQPSSSPSPSTQQSGKLGLIGHWITHDSTTGELY IVDKWFDFNRYDSQTVTANISNMNEESEGLIQSAGLERIRHFPNFTENQLDDKECDAA EKLSSACQTFNSDSSKVFSHKV I203_08347 MSKASPDWASESEASRSDPSSAVATASKSTKDHAPWTPEISHDQ GRTDEEAPAPGPVRASSVEETGRWTARSGFTLFY I203_08348 PTPPPPEPPKKVSLSDYLKSHKFRKESQTPVNEVPPSAPAPPKV DDVVTPEVGKNGGATFDDIPGFGNIPSTTNITTVSPVKAEPPETPTMGGKLNLSEYLP SNKPGTPVDTPRTSSYVPRNVSGGSTDYFPSQPQPATSSTALTAAATTTPSFVPRVNS SYVPRQASLTMENANGPMTPGSTGINTNSYIPRPNTSEESVNLGLSNSPNTQTVEMPP PLSMREIPPHTPTSTSKIPPTGPKVPPTGPRGLGTPGGLGLGSSPVSGGFRGGAPGGS GERGGGRGYPRGLWRGRGTGFRGGWRGN I203_08349 MPSSRPPPSMYPPLPRSVTHLPPFPQLLHIKDESHNQSPSQTQT PENADSVLAGVSDDEDEETLDAIPSPQTNTNEKKKERKPHATRRRVVQSCSECRRRKI KCDKKFPCGPCILRNDQARCHEVGMAEKNVVASPNNFATTSELAVIAHRLDALEAALV KNGALRSSDLDHFLNILREGEEGSSSTPKLPKRSNSTKIPVPSGPGGGSSQALTEEET VDDTEGAALTLEHLAFGRSRADGSHSMPHFGSRLSSVSRPAPNNDYHLAKSIVPQQVP LTLSPPPLGSLHLPPLEQTRSNDSLGLRRIGSYPVNQTPGVTPEGGEPSRLSIEERAQ KIDQLLELLGPTDIFDLFYRKTDVAIIALTRLLPSRQRGEVLVKA I203_08350 MATTQNPEPIPLSSPSPAPTLSNSTHNVLTSDTTLSTLSAVHPL LLDILRILIPTVLLRVSKTFYDDLVPGLYHTLYLNEGNIRGILEGYDDGSGRKKDALA LVRELTICDLQVLEYLSELDPDIQNDIRIDRSQQTQIQTLNGPIQSQLSSENDKRIDN HPDDGILCLFPNLETIHLPFKFITSLNQLTILSNEISHDDDHLHRTRRVKAERKLNLY PLIFSRYFNARLITLDLGQEIDTDLYWAFDSTLFNLLDSIPQSRSMNTKLRIETVSPR ERPSRGYIPHNLLQASTIHFVPIRKTTGAVVDPAANSGEAFVGTGLVEIGKNEDLAKV IRDHYDVHTSRPSYPPILYHVRDVRGVIGEMEKMMELRVRVEQELLVDEDEGGLLREE K I203_08351 MPNPLDLSDIPNFSPSSNSSPPLKLHPTLTPSSTISSLSFPSPL DMDIDQDVSESSTSMDPLESLVELMSSLPPEIIQQIWSNVISHPSASHKLSVLQTCKN NYRKIVPQLYKHVVLTAHNCRSYFYGLGPTFHGPTAEESSRVWPVSGPGIMPLRSIGL SFDPSTSTSPFIRKFTLCNLVESVVISDIESLMVLLEAGGETWRNGMDTWRSRVLFDQ IDSITFLSPIFQALDQRPLLYRGLLREVWNCIKSDSVIIHFPDHPSPNIGNCYQHDRE DVILMKEDTYRIALDILGSPKSLGQENRLLAELVIYTPQLDGIDLSRVGASRVKVVLI GRNNWIACGSGDDTGEKGDMNGHKECLSQEAQLRRFVRRHWLVGIDNDEYIGIPQEVE NVSIYNVANYNRRRCVTGRISNTNSSNNNDHTQDNGLGGGSSWSGSLPTILYSALEGD EQLAREVDERVDFLGME I203_08352 MTRRLIPDDLTGSQQQNLLAHIQADREKIAMTIYRRRDQCANIV GFLHLKLEGEEKEIRIELGKVFTIGRDPECDLVVNNRMISNLHIRLYAVKTTTDLSLA ILHDTSSNGYIINGEHAGPGTITKKVGDKEINSRLRVLREGDVLQIPGYNGVFTYSHH PQAQYTTPSSQVMSRHLQLFPSSDPSLTLPIDPWIIHNYPLGNGTWGIVNIGTHRKSQ NLVQVAIKTIRPHHPQREYARLVKFEIKVQRSCDHPNILKLLDYIVEPSLEDDYEDDG GEEEYKEGKIHVVLELVAGGDLWYYIDKHRQLQEDEVRWIGWQLISALKYLHEMGIVH RDVKPENILLHTSCAYPRILLADFGTATSQTRLLSTLGRHTGSHLRKVNEQGTIDYFP YDRLVALREEYHAGVTMDCGSRKEVGTKWWREERGLDIWATGVTLYLCAAYSPPYSCI PLPQESSVEHSQYDIRDTHVRKDKAGDLSELEEDIEMDHSPESDSDPFQGNRTQDLLD DDPIDDFPSPPSSIGMRMLLGDNLEKRLDETKVDEASPSAKNRQKRTTTRKRYDTPLS RMDTAIPLTPYVGREDTITPHDQAREETAVTKVKEEEIHQYDMLIRQIEIFKAMQYDE WPCDKPLWSEWSQEGLDFIDNSLHPNPIHRIQSLTAHDHPWFTNNRDELEEIYQKVLV KGEVIRWLL I203_08353 MASSSPFFNQPSDSPKSSPSSYRSKASRPITFTSLSELHPLILF HLKIISPLTLLPVNRALYTELLPTIYKSVKLNRHNANGLFYGYSALSNSFYRNKRSRY LSDFPLKGRRSRSSPPDVIPQQNDRFRKSKALSLTEKVILEDAESLSVICQVHMELLS YSPTVPLSRRKTIQDHDYYYDVDLDHSHGNGQNLGHSSNSTTEHPWPLNNVDVLEVGY ELLEYLVDCHSPTPTMGKGKGKSRERLPICCIPFTPKVLIINLRPLPISDGNARRIEG KRYLRLAISELASEFDGLEKLILRFDLDHLNEGNHEAVQIHDRQEEETEGEPEIYIPP VENPLPAPEIVVVLSSHPSLKANENARMASHEDQTTRYTRAVVNFLEDTGRRSLILPN IQIASPTGQIHEIRDSVKRIVDGGYPQSVGKRVLERTKFVGLDTTRFY I203_08354 MYLVLLFFAPFILSSPISLDKRYTAIRIKSYRNYECLHPFGQRS TWGMGTRVGTTPCDRAALWNASPGSGSVILYNTDLALDAGTGRDNNEPVQLAASWPGT FQQTWYWTDDNRLAITGGDQCLDQGNEAEGTQTWRCTTGNTNQIWTLLTPTPEYPEFD PPFGTVYEDAPDGGKRLLPYQRPDLALTLDGGLQPDARSAVIAYSQPTDGPFAAAQLL NLTIGTNLKISSAFDETTCLDAGYRPGNGALARFTGCGGAARWDWDGEKLRITNSSK I203_08355 MFTPVLIFLSILQVISSSPTHLNKRYNGVKIQAFRNGYCLSPID TNTANGVPVGAVDCSQARTWNINPGSGSITLANNPNYALDAGTGNTNGEGVKIWQSYP GLFQQTWYLTDDRRIAITGGNQCLDKYDDNTGVHTWQCGAGNIDQIWTIVQPYAPFAP VSGQQPVLNPPVGQTYLDPANFGVRIHPYQRPDLAVTVTGGVAAFGKYVDIPYDQQNS SPYARLQLWYLPTPGTTNSAVLLYTADNNYCLHAGTNPTNGARITLYDCDTVENTRWD WDGTHLKITNTNLCLDVRAESSPTPSNPYNIQKTLQVWTCSGGNHNQEFFTIAKKA I203_08356 MHFSSLIALAVSSISLVAASPIQKRYSAAKIQSIRDSLCLAPFS AWQNGTVVGTTGCDNGATWEINPGSGSIILHGTNWALQAQGGGSTNNAAVVLWRSAPG TFAQTWYLTGDNRIAITNGVQCLDEGTNGPQTYQCTTGNTNQVWNIIPANGTPPPNHT VGRVAQRAPKPTAA I203_08357 MLATLLTLIALSLLSLISASPTPIQKRYTGVKIQSYRDGYCLLP YGPHQYNGVQVKTTQCQYAPRWDINPGSGSVILSGTNFALDAGTGTENGEIVKIWESY PGLFQQTWYLTDDYRIAITGGNQCLDEGDNYGTQTWQCTPYNNNQVWNILEGDDLGAT PIPLPEPVDPIPTPTYTIVDATTTAA I203_08358 MFAPALLITLVSLLSFISASPVKKRYSTVKIRSARDNKCLSPQG ARWTDGTQVVTVDCASAARWDINPGSGSVILHGSTYALDAGTGSTNNAIVKLANSTPG AFQQTWYLTTDNRIAITGGNQCLDEGDNGPQTYQCTSGNTNQGMLYVQWHSCICQQAL MWRTF I203_08359 MPRLRSFFKRLVYPSSPSSHETNSSHYPTPVHLLPKWVDPYFKP KVFQRLSPVHHLILDYLFLCKPALIVTLSQALYDKYIPILYHDIIMRPYAFSGLFRTY SRGCEDDNNTIRAYKFTEILHLMDTSNSFQISTVTCGDQPVFVPHSNLFENVRKIDLG WEVYLAQGDESLYWMFIDFASKLGEQLKEGVVDELIVEIKDGSRMVDHRKLIRQYEQA VKPQVITFLVTQPFTPNDDNKRTNNSLCVNMFPIDLKYTQIVRFLIPKALGVYDHTCF TYQIKVLYQDWKKLKDQKHLFDTKLKIEYYLIGAENVKEMVIRDF I203_08360 MLPRSDTLFIRVNRDHRSYSRFDFIWGDTSTSSAWKRSTEAVCG IHPHEVNIDWYSRKVQALTNVKKLSFVDVEGMIRFIRTLNDTENFPHSSMGPKPKRYR RLFPNLEFLKMSRECVKAVSNTKSKENVRNNESRVEDFPCEIIEIDDVEDGEQTITHV SRRSTLAEHERDREADRNMLFKFEWTINPHIEPRYICLDYRFDHDGSGQFHSYLDLEL NMAEEGVETIHTHFGTSKKIKCNFGKKRIINLPSPSLNKSRRTGKITKQSSNPLGVGD IDLDKLLEKEAEIVVETFKSSTCPLLEEAQLWRSQSQIKIEYCVPYASECINLVDGKM KLDFVEEDANEEYSDYFENWRKYIEIVDLEDTERTINALSHANTLIISPRSSSAMWRA TKGFQPFSKTHKELFRNVRNIHLTWQTVYARMSGIPSPVNELQIENQLWSHVKNPIKL LIIDIESHGSDSALFNWIMSTN I203_08361 MSSLKDLFDKKHLPEEVLQQILIYLKDIAPSLFLRLSHDLYDKY VSSVYRSVRLSGENVESFLYGAMKTPGLGHIEETYEGCGVHKHEINIDWYSDKTHALS LVRKLEFTDVESMKKFSQIVGDKKEFSYGSQDTPDLSIVPVINPHINPQFICENHRYR FSGRERTDFSLDLDFARGGTRTIHTYLGRNKQFTSFFGTLRVLAIEPSLDICTPLMNP RLSSGEKEVLCSKNVEYIPLDYSHIIEKEATNVVEMFKCSIGGIIDRAKRKNHSGPVK LQCFVPSRYTIGLRSLVDHKMKEAVGKVEPDRGHWSVNGDEYVRRWQEYVEIMDLEGS DVCESCGRH I203_08362 MGHQYFNVPIFFIVFRETIEAAIIVSVLLSFVEQLMLTGKLGSS ETSIDNTDNSQGQEQENAHSGDDHLERRRKLIRRMRIQIWAGTIAGFFLALAIGAAFI AVFYTKLDDLWAKTEQIWEGIFSIIAAIIIYIMGIAFLKMDKSRIKWRLKLAKAFDAS QSKVLASEKESELDRREARSGKWALFILPFITVLREGLEAVVFVGGVSLNIEAVSIPI AVIVGIIAGLVFGYAIYRTGSTATLHWFLVGSTSFLFLIGAGLFSKGIGYFQYYRFAQ GVGGDVAETGDGPGSFQVAGNVWHLTYGNPETGSPTTNGGWQIFNAIFGWNNTATLGS ILGYVFYWILIMVTLVYLRWQEGRFTFVYPSYQGGSLVWQRKESEALQRRKAGKGIGR RVSHGSGSGSDDKHTKTEPETPGLNVPTLGASGSAQ I203_08363 MARLSSLLSAATIAATVVKAATIEHWWNITYAQANPDGLQERRV IGVNNSWPPPMLTATQGDVLIIHATNGLGDDSVGTSLHTHGMFFNGSNWADGAVGTTQ CPIPNGYTMDYMIDTSRQTGTYWIHGHHEGQNTDGLRAPFVISPQNATGRSDNVTWDE EYTLVVGDWYHDEYPDLIKDEFLIWTNPTGAEPVPKSAVCYVAKNGSYIHSNEDLMQG VGVSDNATIAFEAGKTYKIHIVNTGTLGMFWIRMDQHQMKIIEMDGVEHEPYPVDVLT VSVAQRYSIIVEALNTTGTNYAMMIMQDTDMYDAVPDDLQLNNTIQIVYDSNAPKADP VEVGIDDIVTFNDTELVPILKNELLQPDVKFELNAYFDTYDDGTNRASFNNVTFQMPM VPSMFTALTMGDDAYNTAVYGAQTNAFVYKHMQVVELTVFNWDAGFHPFHFHGHEFQI VHKSFDVTSNDTTVNPPINENQENPARRDTIVIPPTGSVTLRWRADNPGAWMFHCHID WHLSSGLAAIFLEAVDAFQATNTTDNSVPRQVVDQCNYWKTPTSGNIVGKFSTTDFKG QPYGPFPLKMGWTSKAIGALAGCIITVLLGIATIVWYASGELDEREVEEEVKYKLEAK KNKVPLWKKVLPNKSG I203_08364 MVSLKPTSIQIPLTNGTTAAATTTGVKTPKTPQDEAIAFFSGGS DGRPVQVWELALEDDGGPGEGKDYIRLPPPTRPYVLRFSIRPGTNVTRNGVLKSDFPM DGGEFKRGDWKERRLPTDLSKPVQIDLPISAPGAFCYYIEYDGPTPSSPRVTGRKGYF NVDPIISLPERTPFFTSESVQNPLRDTSAGVVLPKSTNVSLDGLIILSVLAKWMGKSN EWEKHFEEASRRGYNMLHWAPLQQRGYSGSPYSIKDQLSYDQAILVNKDVKDGGVAEI EKVVKMAKEKYGLGSVTDVVLNHMAYDSPWLEEHPEAGYSPHNTPHLAPAVELEDAIL TLSSKLASLGLPTTLHSEGDLQQLIPAIRSAIDEVRLWEYYVFDVRSSVSEVGSSLLQ NNPAPKPFESNLSGNQSPSQLAEVLKHQSSELIQGYKAYSARYCSRVKPEIAAGFIQK AFPNESPENQVKKWGEVLDVLNVDLYAECNDDVNAAVEGIVGRLRFTRLEDGGPKLGE ITQERPLVEKYFTRLPSNSTTSKHPKASLALANNGWMWGADPLKNFAEYPSKAYIRRQ VIVWDDCVKLRYGTKREDNPWLWDHMIKYTELLAATFDGFRLDNCHSTPLELGVAVID AGRRINPNLYIMAELFTGSQEMDLKFVRELGINSLVREAYNGHDVKNFADLLWRFGLG KPVGSMDAACLSSSDELSPPFGKGPSRSCIVTPLQGSVPHAVFYDLTHDNQSPFDKRT AEDALSTGALVTFSRAALGSNKGFDDLYPKLLDLVTDDRLYEVSDPTKENGIGKVKRV LNHLHTQMMEEGYTEGHVHEEGQYIMIHRVHPITHKGYMLIARCAFKGFQGRGWIKPI KLSRTNISYLFGASVSTDFSQWKSDPKTHKGIPSKLEEIPQPNIVQGQDNDGLYSEIS IPDRFDPGSVMVFSTSMDEIYPDLDAKIQSGAYEAFSELDLVDLNTILHRADGEERDA TGGDGVYTIPNYGTLVYCGLEGWMHPLREIMKNNDLGHPLCAHLREGTWALDYVINRL QKQIGDLPRLSKPLQWLTERFDLIKSSCPAFMRPKYFALVIYEAYKAARRAVVEQSSE FISSGHSFTHDLALCSVQMYGLVKSASINPAKPVASLAAGLPHFAAGWARCWGRDVFI SLRGLFLTTGNFPAARDHILSFGSTLKHGLIPNLLDSTRNPRYNCRDGPWWFCQNIQD YTKMCPNGLALLDDKVKRRFPADDTWVEWDHARAFEYESSVEDLVQEILQRHAEGIEF REYNAGPNLDMDMRDEGFNQKIWVDWDTGIIFGGNRYNCGTWMDKMGSSDKAGNKGLP ATPRDGAPVEITGLLKSTLTWVDGLAKAGKWHSKGVQATIKGEKRLVTYKEWADLIQK SFEKCYYVPADPSEDVNYDVNPGMVNRRGIYKDVYGTPKDREWSDYQLRCNYTLPMIV APELFTPEKAIGALQIADAVLRGPLGFKTLDPSDSQYRGDYDNSNDSHDQAVAKGWNY HQGPEWGFPTGWFLMAYLKFDRIAGEGKQDPTRTMHYISNILQKHAHHIDHDPWRGLP ELTNSNGAYCYDSCNTQAWSASTILDVLEEMHKIGKQ I203_08365 MPTIPSFLRPSSKPKHPSPSTPITTYYLSSPSSSPFAPLRPIPP PQGTPHIIPPHNLIFSLTHPPTDTSKPTAFFLQCIPDPIGFLYHSSTFIHRHLNLDDG RGPGGGIEWRHQLIQLVLEDKDGLAATSGGKIGVSLRWIEGIMNQVERGEKGMDGAIK EFKGVLLHELVHTIQHDGHSTCPGWLIESIADHIRLPAHLGPLHWRKSGSGKKDKGWE EGYDIGAKFLEWLTCDQSQEQDEKSLLGSRIPVHHALPTPTPTTTTMTGQAIPTQYPN VSHPSDIPQETNKDKEKMKHRPGPYPDLIKLIDMRLKYEKWDDIWWKELTGMSLEDLW ATYLDYYER I203_08366 MSMTTLPPSLPDDILQHILALIRSDPSPPPSLLNVLCRTSKSLY RKFIPILYNHLDLSSQKTLGIFEGLHLPPSEDDDVYFPEREDRSSVLTQLTNRDDLDV LSSNFDIDIEQSKLHLLSHTTSLKIHDIPSLKTLSKVLSIYQHTDEVARSDNPRSQIF PNLQSLEFTSDCIYEFCVQTQSSSSKYHDILWPLVTGLKPNTIIFNSPIWSSHPTIQR FLENADEKPLENAGFGIVVHGDIPEEIVSIQYGMFNLLDDIWWYFPLERVVVNGVVGM EMLPSTRPGGCGVEYEPLKKYEIHYATRQDLDKIDCLKDEGAMEVLKESRKMRLKIRL EDYHEEEYEMREDGEENRFRTEFEIERYGIGRKGEVSVRVGLGDVLEDEEQMRNLEKM VRFT I203_08367 MIPQITLFPLLLCLVKGDVIPSGYSLPNEDGGGSYPPQSSSTSQ LYSSYSTTQVYSPPTSSSSCDCHHTTASTSTSSTSSGAPLIATCLTPSGSSSSSCTIQ PTTTSSICTESSYPPQISFSTSTSTETDIVTPTSYVTVTSIITSTNSIPTTSAESSGH GYAIDPTPWSTDSTTADTPTLNGISESSSTESSSTPTSTESSSTSSQADGGGEKPTSG ISWSGSKAVWWMFGFYPGYFEKVFDVVRHEPGDGEQKEQWGEPTETIRCTASVTASPT EVYSMTVDRTSQPTSTDGPAFFSCTSLSAARATQLKRHELDNLREEEIDEPLGIIGVN VPIPD I203_08368 MAIRVRSKYLDVEGKCTRITISDISSLDGHTLIPREGDTPYEKY ILSMDKELPSTTFCRKCTDLFENLTKDHAYCLNQAEQTKDDVEVSRCLKNGARSVQDA LSEFTEFITSKFEEFEDAQEHLIDNIQRSTSLIINGSTRLSEQEKKDLVDYWQTTNRF CTKMANQYMVWLMIQNRMSKVERTG I203_08369 MFNLVNRTRRTYCKGKACKKHTPHKVTQYKKGKDSLAAQGKRRY DRKQSGYGGQTKPVFHKKAKTTKKVVLRLECTICKTKHQLSLKRCKHFELGGDKKQRG AAISF I203_08370 MSFAPLEAHQQFQHILRLLNTNVAGQGKIMYALTEIKGVGRRYA NLVCKKADVDLNKRAGELNSDELERIVTIMQNPAQFKIPNWFLNRQRDIVDGKNSHVL SNVIDQRLREDLERLKKIRSHRGLRHHWGLRVRGQHTKTTGRRVGKTVVGKKK I203_08371 MEGNNNDNGNHHPFDPLNPYGLVRQQQEPSADVTNTMTNRSVYQ RKSHNEESYQTPAISFTSAGTGSGSGRLGDKKYHRRLNNSEFIRGVQSRSENYHYVNG KRVAGPIASTIAQSRIRSHSNTEVTQPSLASARRSRKAGLTVIHHDIHSDTPSVDVDG QEPVQTALTQPHEAFSAAAEDEGIDLQGLQWANRALYGNRALDTVTTGIETLGLTSSQ DHGHGDTLSWMMGDYPNQDNSSHSYGPYYSALPGPAPALDDEICEITFSYTSTGPNAS KRVKTFSGISGKTGKEIEKALEEWSV I203_08372 MEVDEDSTGDASDSDGSESEIEEGEDEDDKDRFPSLFSNVESIC FENDSLTDVWANVTRSEIAWVFSGFKPHNVCATYDTSKKDFMTYIMRDIREWWRLRTF TWHQVTNPDFSPLNPAKYLNYHISSINTCVIANHHTGTTDAQTTTAQQQPTDECTCPI TLHHMTDFVYRIGPSREPPTNFDKSQKAKCNYVGLYNIPEHYSPSCWSELEKELKSKV KNQPIRSGRVWSKNEKDRMKRWKKEFGSFLVDSKKWVDCPCCGRF I203_08373 MSSSAKSTKNLLSDIDSIFDREFQNPSEAYKAYNALWNINRSLF PDNKASRSANSTIGTWDESDLSERFKSDLETLESTMSQLKPNVQRSDRWRTRLISTVL SKGLIQMDKKVRKENGKNAKLWKEICKDPRVQRTLSECESEGRKTKDAYSDYDSHEDS GYHTERRTSRSDSGSEGWYSDDSW I203_08374 MSSSQQTATQPSLGDTLRNVSQTMNQDNISEVLCAAYTMSSLRH KLKSNDESTAPRREDFGTWDESEFSEKYKTQIDLLNTQMDEVVSHHSKMGFRRNLDPG YLSLRLTLKCMVPIVEAGAARVKEAEKSLEESLVELRAVTESFYDAFLSERSSVSRAQ RTSDHDQYIV I203_08375 MYSYFGKCLYRDLVLDKRNAWNVCLGIDWDLDSVDEKGVGPGNM RAEREDTVEHQREGIFKRLAQVLKLKRPSIQQITEPSEPEKNPFPPPIPAPFGLDTIS IHHRKVQLLNHVQFLYITDLESAAYVCRALGTDIVPRSEPKDRPCSYPHPPARTFKNV QTIALGFDLMKAETSFFGSKIGGLLMGMSWDQIGFFDPYQHPNPENTLHTLACTLKPK QVCSTWCRGLERPWDCTFFWKMKDLVASWKLESFTWHSTEGLMDPGRSAGLYLLDETR AVTSFFPPNIPRIRASNHHSLTDRCGGSGESCECRKALKEILIHLTLEDWSDEIRNEE RKVDLIGYSCLEKIGTNDILRAVVESQQYLEKDEDEIQRTRKDWDGRREWIGSNFRIL DIDEAEGYEGARALAKALEDHQLSNHLSGVIKPTIDIFKNVKTISLGSKLLERLVTSC STGNTNVREIMETIGHAVQPTHVCLAKPLMDPTTQDGLWDIYLFEKLSPLMSSGRLIS FTAHGFWCYNDGCFPSSLDHFDIVFSDCPLSTHSGNCDC I203_08376 MDHGEVDSVLQEGKGVNLINLPHISPDMLTLQALLEMKIESEGS SPVHFEDDKMIYNDNGKMINLTKGFEASWEWFENKFKVVNKGDAIPC I203_08377 MLKQMISQNRAVETCDESMMIRSERVIHSIELGNCGLDISVKRG QVQNRSSRVTIQPLDKLRYPDISPVRRWVFDTPLKDESVVQRLAEKGYDSRGWPTGAE ELGERAGGIHQPGTSRRMISTSVRFE I203_08378 MSSPNSLRSISRNRQRTLQNGKTFTKDDTTKDCWDTQLGSSLLP APSSSKTYDFYNSSDDDEPYDDTSSTSSVETTVQAPQWLSMIPLQRVRTIETLSQDPS CDARDRALRQELLSTCVRRDDLSKKYSEYYHSITRLESELKAEKEAAASAEADSSSKG PKARSRWAIKEPLGLGRNKSERSEPRSEPSKESIIKKLKYEQAEIDSQQKVVFASFDR INGESKHLADTLWNEKVGQYSQNISDGLTEVRYNSFKSDEVQAIPDIRKAILESHSYD SADSQ I203_08379 MNRRISFPTPSTYQSHASTRPSLLRSTLLASLFGTNTQTDTDPR DEEIQRLKQLLSDTQRENERLTSENQILHCNLQSIHSPRSDWKICEHSWTSQNLAHQL SDMSSVVDILADAPHGLLDTIKYLIEDFENSVIESRIRNFTYIHTDSAIDIKLLKDTN KEVYANMEREFQTSKVESEKIRNFVQENVMNRWTSINENYGGTRESSEIRTGYDKWFD WGSYMDFNDCKLCLTLGTRIELYNPLDRADRDRITIPHSSEANDRVRRIMDTWKESFG DTRAMSQKGARPETCAKGSNLVGIGCSCDFETLLALSDKPVLRAVTKVSSRTSISNPS M I203_08380 MTIVQEKKIPVTCFTGFLGAGKTSTILSLIQQLPKDYKVVLLKN EYGDVEVDSLLASQSNISGVSEILNGCLCCTMVGLVENALKEIRDTMQPDRIIIESSG SAFPATLALQIRQLEPEGFKLDGVVTVVDSLNFKGYEDSSPSAKLQAKFTDLLLLSKH HLATDRQMDDLIDSLNELNDETPKIKIGPAPDNPPKPELIFGLDTKLFGLVEGEKESW DKIGGRAGWHGDEVETKQIYIGKKGKAKSKHNHEEGEHCEGCEEEEEDEEEIHEIQPV DRELLDKELSKLSFEIYRVKGLIRLLSAEPSGYTTNILNYAFGRYELTPIPSLDEDDS LKGVSLRLTVMGERGEVARRARKLAEGLGAQVE I203_08381 MPQLQLVAELPSHSEPAWNVTFNPTRSLLASCSTDRTIRLFSYI LPQTPSASAFPSSSDPKPVFSLNKVIPTEHKRTVRSIAWSPDGRTLASGSFDSTVGVW EEVIPSSDNEDEDDDEGVFKPQKAQAEGIEGGDEKEWECVTTLEGHESECKSVAFSSD GALLASCSRDKSVWVWEVQPDADFECIAVMMEHSQDVKCIAWHPHEEILASASYDSHI HLAFDDPDSDWMIFQKLHPKLTPTPLTLSPDSPAQLDVPPLEEDETVWSLAFSPDGSY LASGGDLGGIRIWKRQGNQPDSSWIEVLHLTVHTRSIFSLAWSPSPSPSPESTDLGLL ASAGGDGKIVVFQLTSSESGVMMKPIAAIKDAHGVSDVNSVGWCSREDKKGLGMLSSA GDGGSIKVWRVVSDD I203_08382 MSDSNVKSEGRHGITASDLNARLDALLNDTNDPGTAREATLLGA TDSLLTKIDRSLYRNDEKFKRVKIILDDFKSDNDTKKSTAYKYDLIEVQQSLKHTLTG PEEDLLTYIAHKHRPSDELILVWATFEDRFLNTRSGSSESIYTNPWKTERGHATITTP FFTSRFQTSAFWCLTNTYSNFEEDSVFRCKQAIGTGTSTSRGDGRFASNSTLLNEVDV LMSNLCEGNVQAAISDYDNGQLSSLLMQTREWDCGNANTNKIYNDQMVNLWGTLKELQ SCVIGQTASQDQPIEEAHAIEI I203_08383 MAAPEKPIIFTEHLQLTALGIQPASISFQTLTLESDAWICVREQ IDTPQVVIVNLNDSNDIVRRPITADSAIMNPRAGDKILALKAGRQLQVFNLGNKSKIG AHLMNEDVTFWTWINDTTIGIVTEREVYHWKVMDGQAAPTKIFDRHATLAGNQIINYR MSHDEKWLVVVGISSNPNAGQPGQNGFKIKGAMQLYSVERGVSQPIEGHAAAFATVRQ EGASQDSKLFCFAVRHANGAKLHIVEIGHQAPNPPFQKKAVDVFFPTEATNDFPVALQ VSPKHGILYLVTKFGFIHLYEIETGQCIYMNRISGETIFTTAQHESTSGIIGVNRKGQ VLSVSVDEETIVPYIQQVINSPELAIKLATRAGLPGADHIIQQQYQLYIQNGQYSEAA KIAANSPRGLLRTPQTIETFKKLPQVPGSLSPILQYFGILLEKGELNKYESLELARPV IQQGKKQLLEKWLKESKVSCCSEELGDLCRMADMNLALSVYLRANVPNKVVAAFAELG QFDKIVLYSKKVNYTPDYAQLLQHLVRINPDKGAEFATQIVNDESGPLVDLDRIVDIF MSQNMLQQATSILLDALKDNKPEQGPLQTRLLEMNLVSAPQVADAILGNEMFTHYDRP RIANLAEKAGLMQRALEHYEDINDIKRVVVHTQLFNQDWLVEFFGRLTVEQSFACLHE MLKTNIRQNLQLVVQIASKYSDLLGPVKLIELFEQYKSSEGLYYYLGAVVNLSEDPEV HFKYIQAATRTGQIREVERMCRESNFYNPEKVKNFLKEARLSDQLPLIIVCDRFDFVH DLVLYLYQNGLTNFIEIYVTRVNSARTPQVIGGLLDVDCEEQTIKNLLASVTGTFPID ELVEEVEKRNRLKLILPWLNTKVEQGSTDHSVYNAIAKISIDSNNNPEAFLKENNFYD PAIVGKYCEKRDPYLAYIAYAKGLCDDELINITNENQMYKHQARYLVKRREIDLWTQV LDPESIHRRALVDQVIATAIPECTDPDDVSVTVKAFMHMELHGPLLELLEKIIIEPSP FSDNKSLQSLMFLTAIKNDKGKVMGYINKLSGYDVDAIAKVATEAGLYEEAFTIYSKH DMHAEAMNVLVEHMVSIDRGFAYANKINQPAVWSRLGKAQLDGLRVKDAIDSYIKAED PSNFAEVIEIANRAGKHEDLVRFLQMARKTAREPKIDTELAYAYAKTDRLHDMKEFLG MTNVADILQVGEKCFEDELYQASKLLFSSISNWARLATTLIYLGENQAAVDAARKAGN TQVWKQVNAACVDKKEFRLAQICGLNLIVHAEELPALLSLYERNGYFDEIISLMEGGL GLERAHMGMFTELSVLYAKYRPEKLMEHLKLFWQRVNIPKVIKSAEQAHLWPELVFLY IVYDEPDNAALAMMERLGDWDHDQFKKVVVKVANMEIAYKAVSFYLARQPTLLPDLLA ALTPRLDHGRVVKILQTEDHLPLAKPYLIATQKLNLAVVNEAYNDLLIEEEDHVTLRS SLETHDQYDAIKLAKRLESHELLEFRRIASLLYRLNGMWEESLGLAKADRLWRDALET AAASKDISVAEELAGYFVSIGNKDAFAAILYVCFELVRPDFVEEMSWRFGLSDYSMPY KLQQQRDQSTKIAALEKEVKELKAKTTEKEPENEPSLMGSGLGQRLMIGGPTGGPYGG MPNGGGLMSQSTGFY I203_08384 MISAREEVLMVPSSLNIIRSSEPYDPYTPQSLGTKGALRQDSDS LEPLNGSSPLNKGVDPRRARLDAIHAEVDATKNILHKNIEGMVERGERLDHLQDRTAG IIGGAVGGSK I203_08385 MTTAPPSPSTSSPPAKRLKSSVAKSDVITTDSFTEINEAPIKSE FLPGTNGHTTVIPQGDGPSTSNTASSSKPQPVQVQGKKKKNRKIKRTLPEKYSSADVI FHDVRDFLGQKVVDEILDKNDGSEWEAPEGLDLYKEVVLDVGAFTVSGESISTYPNPS NKPWAIITPFAHPGDKIRVKIFKHDRLSSHADLLEILEYSEEYRGGEGDRRKYPEGGC KYFGECGGCQLQPVPYPLQLLHKKRTVELAYQRFSILPSNVLPVIQPTIGSPKQWQYR TKITPHFDAPPKWFQRQLKERATASATTEEGQMNAEDGHEQERGWETRIGFERKGKPG VLDIEECPIATPVLNKKLTEERKRVQDTILSFTRGATILLRDSLPTPSPIPTAESPYV PSSSASTEEEEEHIAITNHKFDVYEKVGKYLFSFSAGSFFQNNNSILIPLTEYVKEAI FPSSEDYTGKLPTHLVDTYCGSGLFGITLSDRFERVAGVEISDQSIIAAKKNAEINGL GDKTTWLCGKAEDIFGGLGEKGFEGGKSCVVVDPPRKGCDEPFLTQLLVFKPLTIVYV SCNVHTQARDVGYLIRESANWVGKKYVVESLRGFDLFPQTAHVESVAVLRLVES I203_08386 MASPEASSSTAPPAPAPASAPEPTIVAPAGMPSDKYEAIKGYRA KVKEHSRMSENLKQIRLNIRTLSTDFDKTEDDIKALQSVGQIIGEVLKQLDEERFIVK ASSGPRYVVSYRPTLPAAKLKAGVRVSLDMTTLTIMRILPREVDPMVYNMSLEDPGSA SFAGIGGLGDQVRELREVIELPLMNPELFERVGINPPKGVLLYGPPGTGKTLLARAVA ATLNTNFLKVVSSAIVDKYIGESARLIREMFAYAREHEPCVIFMDEIDAIGGRRFSEG TSADREIQRTLMELLNQMDGFDSLGRTKIIMATNRPDTLDPALMRPGRLDRKIEIPLP NEQGRLEILKIHAKGINKSGDIDYEAIVKLSDGFNGADLRNVCTEAGLFAIREDRDAV VQEDFMKAVRKLNDAKKHETKM I203_08387 MSSQAGKNQNLQQTLFPSDDEEDEDVGGKAVALTRNKQDFEEWN TTEYPRPSANRIENILEFSSMVSQGLDERVAQKSYKNPSVVDLRPLLGDCSDFTCTLS KAKQDPLGHRSARTKDAIFTNLHSEGRSSLFKNLFRHGAPYCDDHLPSSMNDLSWRNS LKPTDLVRCSGTYGHKVIPEFLASGKMPEIKCHLRGCPSWVPKTTRDGNKWPLNIPYT LPGQTADNAHDQLVCSFRCGFDCLTKTDEDKTKVRIDQGGKLITKPPKRRSVKSTTTA SGSASGSSAAGPTPLPRLPASPSSTDVEIPPPDRMITDLTHDNWTDGPIAEIYADFIP PPQDHSNSQIEPSFQQKRHTREGRMEWLKSRQAKMVEDNNRKYGLYLESDFRDIQFKE DYPGLTRTDEDCYYDRCPSKISRIAGKEQLWQFNVRTNDGQLKGTCSHEHHAEAMVEE MGRQSFRDRFKSFLQGQRSSFPTSAGVNPFFSDMTGYSGLPQYTSSYTGSPTQGQGGF EPPKRRRTGGSVRSDSGAYSVDGYTMSHAGSGSASGRFFPPSSSMGPPRI I203_08388 MSDPALPPFLKTSPPPTAPPSSARLHALYASTSSQRTTNPTGYA ANSQWWASVIEETLRTGWINGESGDRLILKVDDALLGRLEDEHGRRPKGIGGVIEGLT LTTPPTLHPLPHFTSSLTPLHAPPSLTSRFIGKPLWWAVSQLNPFGSSADKVGKEETL WSRYGKGKEYVHIPLLEQFASSFTSHISKNPILSYTDALFDLDSFREEYGQICFPASP SSKKLPEGTHKLSIRDIEVLVKWLHRDCGVIVTDGSVIKVLEPDQMPSDHPITDADRG VISVINAQRKVEKQILGIEEQITQSQEKAKKQLAKGQKNAALSYLRSKKQLEDLLAKR IASSEQLGAVIRSIDQAKGDVEIMAAYETSTSTLRSVLSDPSLSPDRISATTDALAEA MADQEEIDQAVRIGGELAMGGKRVEVDENELAAELEDLVQEEKVAQAAAKEQQKKQVE KERQEKTDETAGRQSIPVNEKPTVLLPAQGQVAKSQQNFAQHDQSTNQQKEEQVWKDR YEDAQHRKEAERRRSEEERLRKGEKRLVAE I203_08389 MQMYKYPNSSFDFDRLSLEEVQARQWELTSSLSETKAEMREILG RFDINADGDTEIIKTHEELTKENEQLKKTCAILHSRLKDTISELEEAKVTIDGLRSGY NSSRGGMHRSDRYTPRASKDIKSSQAEIEELSASNDQLSLRLMDAKDRLRKDNFPI I203_08390 MAPKDRTSEFHSTLNSIKSRSSLASSSSSSNLKGKNKDKELKQP LLANGKDGSLAVKEGASKSEFGRMASGIAKDINNTTLKLQKLAQLAKRKTLFDDRPIE ISELTYIIRQDIASLNTQIASLQSYVRSQKPNASGGGNNGKQVEEHNSNVVMLLQSRL ANMGMGFKDVLELRTQNMKASKDRTEQFMHTAANASLPPPSNNSLLFSQSGGPGSGFD RKGKNRASPIPDDGKTDFLALDIDGDRGESGVVTGGDYQQMQLVEQQNDYIQSRSTAI ESIESTIAELGSIFSQLAGMVAEQRETVQRIDADTTDIAANVTGAQRELLKYYASISS NRWLMLKIFGVLIIFFLVFILVS I203_08391 MSRVSQPELKKFMDRRCFLNLQGGRQVSGVLRGFDMFLNLVVDQ AHEELGGGQRKPCGMIVS I203_08392 MSSSTPSGSKSDSTPSSSRSLPVPHAHFSAQSQSRFSYATTSPP TPDPDRLFFRPPHASPDPDVTASTPDRQGNNASMTNLVFGDDAGTGQAGGVAVGYGMD PKMLADMLSPPEPSSSGSSSSKRKKGKEKEKRVKKQTSRTSIKHQEQERNNVSPPSTP PPVPPKNLLLPNATPKSTRTVTSLSSSLSLGYSDTTHSHSHSHSRHSHSTEIHSPKKA IHGRMTSASSHQLNPKPSLASLSGFSPSQPNFQPNYLIYYDQEGYQGECENRPSTSTN YPNPSESFEHETTLGHGRERLQASLAALPWAEPPPRLPSPNNFNSPTSGTGYSSSTVR AETPQRTPTYSFLANDPSVSASASAMYDNHSRRPSATSSIKSQLTMPPIPEAISGLVL GVPQSPSVWAQSPSQSHESNRSSQQSGYSGMAEFQPSSSSKPSGKDGQTSPISQDMSS PGIISLMSNTSSNTNQSGSGNHDRYPSIGSAMATKASSSTSHSNGKWSFVSRSKSSPM TKSSRSKSFKSIHSAKSYNSKRGSLSTLGGHGGRYVLKRWEVIQNLSNINSSTQHWEV LDGEEVRKKENQMDLRSLVGRAWVLERFLRSGKRVSSQSLKILRPFTPSSSATSSPMP ARPPLPHLPSSSISTTRHRPSLSVSVSVDPSRKSSLRHSNTFGKQHSPRSKSTSSSNT GTRRSKSSRDRRGSAPSIRVRLGKKLRRTESREDIFTEIGSSEEGGSRNHSLDDSVDS HVRAERGYPSVRSPKRKSTTEKEKQKGDDRVGGKGVIVFPEELSSSSNENIHNDGKKD SSPLPPKDHGYGKNRSGCTTPNCFNISPTSPTPLLPHPDPTYHTHTRFSHYDQPQANS ETNYLSPKCNTQGHDPEKGEGDVVLRYSPQSPHLGHRSPNWRNRQSVISYIETGVWEE KPKNRFKTFIGVGAGLVVVLIVGLLVGLLMKRKEQSD I203_08393 MPDLDRSGDYKDVKASKIRMKETKAEKADRIYRKEQQRLSRESQ RISRANGYAVSPPRRDRSVESISPPRNAAKRTYQDTQEEEEEEAGQGEWMGGYGRRAR EELEKREWEDKINWMAGSLNVEDPFGSSWGFAGFSHGLEDLHIPRRFREAAGLGSGSR GDPGPSSSSRNGRRAVDEVTMNGGPAPPLGQMTEDEYSTWVREGMYRLKHRSELEAAE RRRKDKEEKERLREIEREKAQREEQKRIKRLKKQKGEDEERKRQNERTRWRERWKSLA EKDADVIQIDMSFNDIPWPIYRHRPTHSSHITIDHLSLDNIRPFIHANAEDLAEDGKV DIRKTIREAIRNYHPDRFNSRILVRVKEEDKAMVKEGVGLVSGLLNDLVREIR I203_08394 MSSSQNQHAYQSPTQRRWQQSQGTTGHSAYQAHPQNSTPNFDAR FRYNHPGWSDRTDLCSATFVSDAGFPDVVRNDLEDDDYLNHFALHPHQDVHHMGLSND NFEGSLPNECEYQLEGTAYLDHFAIRPDPDPDHDHELRGNEVTQALSPDYRTDLDGEA YLNHFAIQPNAEAGEPMCDVAFHTEDYDLGRTSPFESHHPDTAYADAGDTSSEYKPPS SQVIDDQQEEDLTSPPEVVDEITARSDTTKRNVFGLTENDQTLLKTFSDTFFSLRSSR DPRLKDIGRGRWGGKKSILATYDQDKHHTDLKHFQTIIDGLCSQTLDKWPPISEIKLK SFYQLAKNVPVVCNPSKTILVDQVESIVNKVCDTNIRNFIYPYLDYTKPRGEATFTEE QSVFYHLASEPIEERSMVYFPSLPKSPLSEIDRSVPQNDRQTYTQLAQLVNTLSYLTV RRNDRNHIPSQASKRCMYNLLDGIGSMKPEIQNQVEYIRNRVSDSTILTRNGTIED I203_08395 MSTEDKINTIDSEKNTTLTSVAEVSIDDSIPEVDYEGEKSAIRK IDRNLIIVFAALYMMSFLDRSNIGNANLTGFSTDLGLKGNEYGAAVSLVYATYVIFEP IWAVSLKMIGPRNVLTGTTICWSALTVGTAFAKNFGHLAAIRVLLGALEAGVIPCINV YLTMTYQRHEYMTRITIVFVASALSGAFGGLLAYGLSQLTAGGLHGWQWMYIVEGLIS FCLAPVAWWQIPNSIAEARWLNEREKAAMKVRLERNRHIYDPDEKFSHKAILASFKDP RVYVHAVTHFGIDCTLYSLTTFMPTLVAGLGFTSTVTAQLLTVPVYVIAGISFAVCGT LSDRKRIRSPFLVFALSTCLIGYIMLAVAPQVGVRYAGVFIAAIGLYTSTAIHNMWVA DNMAGHYKRAFSIGFVCLVGNSSGACIGFIFTAQTKPRYLPGLHFDIGMTLMAMVGVI LQSFYCRYLNKKKREAIAAGAPNDPSLGDKNPHYMFFL I203_08396 MPRYCLNTFGAPSQQNRGLNCYELQQPESAARSGCPTSIFHLVG SLNRRDSVEEVRNYDEANDIFRNALNSNRERASEGVLYGRYDLAHGGWKAQNVSALLK LDLLSEQLSLIAGKETILDDLLLICITKILPVFPVVTVSECIGRDTPPDIFWSYYTMK EYDSTPHSPLPKIVRLVQYGLASMSRTVPTPIRQSIVKAVREELDTTLQTSKQASLST VQLLITLSISLELHDDDPTENRSLLWQRTGSGIRGAFDMGLHRSVSRNMIPCGQVHRR RRVWGSCVVADRWLALQYGQPLTIDLDFCDAPLPFWWPDHVPDLDDVTAIPVLHKVAP SFRFLTELTSLSIFLGRAYSLSSSTLLLARSQDLMFYNLQNDMEAWKAQIPVVWNYSP LLEIPAMQNLLQLFLVAVEYTFLRPFFPGNISGLPAHITFRPTHGSIDRLVERAINSL FWLASEEGAFYLDVWSMTVYPAILCMVVVTSGLVQRTDVIIASALLAGSEAIRSWSGV EGPGGKWESRQQVLQAVRLLQPAEDT I203_08397 MSEIHSTFQPPSSDGKPFVPDWLAPPATKEVHDFAKLTTIDLSL LDSSDPSVVADLVATTKRAIKEDGFLYLVNYGVTIEQLHRQFAIAQYLHRNISEEDKE RLLWDPATGLFAGYKPGFGWKREKGAVDGIEHFNFYHEQLSDINNIPTCIHPFRDEII AFCEFLTQSVNRRLLRLLSKVLELDDDFLWNKVQSQKGPVGEGYLRHALFHPLQEDTK KLGGGLRMNGHHDYGTTTLLFSVPISCLQIYGNDEKWRYVGYNPGSLVVNLGETLEII SGGHFKATRHRVYQPPSDQAHEQRLSLVLFNAAEGSLRVSPAMESPLLQREGCINDQG VYNGFKTLIDHGMPVPTMREWREIQIATLPEPNLKKEMKILEVNGKLMYQRDLFGIPV VIPV I203_08398 MSEIQTVSSASFPLKPHNSPAVVVTSPGLIFCSGQVGKSADIKE ATLQSLTNLKAVLELGGSSLSKIVKINIFILDLNNFDVPSRSQAIENLHSGRTFAQ I203_08399 MNNQLSLQNMQRHFSDTAYLPQVSSRLNPNPNVITRPSRGLPVG TVQQRGTMSMPTSPIMKKMVIQPTTSPAIDRGQEIWDSPQTAQAFFSSLYQQQQQQQS QQQNNPHPGQTNNQAQSQDQIQLQNQDQTPNSGFNHHVSPMDLKSSSSGYYDTSSQPP TTSSLQYISPQSTRFQTQPPNTGSGMGLGIGMDIASPFINHAGFSNQAPSPTINNQVQ QDEGEDHDMDFGAGTGTGADTGTGGRKKRIQVRIACTHCQKACKKCSNTRPCERCVKY GLTDCVDSTRKSRKTGIKRGPYKRRSSKYSATGHPHPLSGDGGGGDSPKTKMNIPPHQ FPNQTLNSNPISNHSHIQPGFHLSKINHTRANFSHLPHTHTEHQSTSTAANDNLQSPQ PNPSPLYHQASSTLSQSITYPPFPKPTLTASNNLVAAISNALSLPQQSQWLDGQRLPL NANTNTNVNDVQEEENENENGKTSPLYPKTPVGVFPFSLVGGREDPFSRAVSPIKQFE FDFDFGNTNDNGTDNRNGLTGVREEMEGVEENSSRSISTSVPSTLPLPNITANQSTNG TSTNTKSLRVNSQPMIDSRLPMDLKTGAYTPFVLPSKIRKPSLRTLMSTTTSRAPSPT APIQTQGTSNPLYNIDIEVPSPTLFTACADDSGMLLDVDDIEGWNTPWPGKSEDGGVE GDDGIRNDDGGENGLFEGIMGLH I203_08400 MVQYRLSYPSRISHIASTTPPLRSILIRALHATSTSQAGGPRTA QPGRSSKGSSFKQSTNKISFGANPSFGQKPAFGKKPSFDGQSRYPAFKSNDKGKIHPT SSRRLASFEESHQPRRSSRHYNEAEEDASGSIPSSSRFPKSQPFQPPSYPSTPTLSDP TAASPRPFDAFNLTPGLLQSLTSQYGQDGKTTPIQSLSFANILSTSSPTSSEGQRVIL GAETGSGKTMSYLVPLFQHLKSTDQNIRDSEEDAQHLHPRSIILSPTHELTRQSNRFA KSLTHTTKLSAMGLSNTAAGGVGARRGTVDVLLGTVGSVRRMLGIRKDQEQDQEENER EDIGSGLWKKEEGNGLVRSDKVEWVVIDEADVLLGSDFLDETLSILSKIPISSQINLI LCTATLPPSLLNLLSSHPLFSSTKFTHLLSPGLHKLPTKLETRFVPPSRSGNRLADVA HEVRRVFADDAVKSKSNLQAGGEGDREKSKIIVFCNSDKKVEQLSNTLETKGLHCLAW TSESQCRQRGRNGPLDSFLMKSVTQQPRRRFQEQQEQEEGTEDKSTSGTKSSASSSSS SSSTPRILVTTSLLSRGLDFSPSVSSVFLLDPPRDVLDFVHRAGRAGRAGREGRVVVF GLGDGSGIGKSTKKKGKELQVGEVLGKTQIRRQMLGGRVKRARL I203_08401 MNVSIGHLHPPPPHHHGSRNDSITSTRSHSNHAEYSESESEGED GKVEKDKLELRREKNRVKQRNLRLRRANHIAELERNLTNIRADHSSLQSSFAHLQQRE NNLQGWVHDLEFALFRNGLAAEVDTLRRIWVDRESNKPTQLPPPPPSSSQHVSIPHHA LPTPSGPPSADPLSTLARAASSMPPGSEPASGYPEARMSYPPPSARETRPTLPRPTSF SRPPFENPYPTPELQWGSQMNEWVQAPPLASSESDKKRKRDPYAADYPPPPPPHHSTL RPGLHPMSGRLSESNIHTLPPIQSYRQTSPTSARPTSAPYQSERHSVPISASTSSGTG NVSPRSIRISDLVSPKPSHTDHILPSLSTSLTATELTHPSIPESKRQLEMLTNREGGW NRRSSSKGDLSPETEREVPSLNGTRLPPLRFFRPKTEEEDHPILKSSPRSNGDSRMTS RGKDMEREISPKTRLSLSPSAIGGRAASPLLKVKEHQLLDDTTHQLVNQGEGGEERFL TDSIPHASVYTARILLLSILPQPVSLDNPPLLPDLSKEQQQIILTALSNLSPPEVADQ FRSTQLKDLSAEDAKLLLEYQSSLHSDLRLVLFPIAILRAATIRIYKANPSTSNLTHF ISTTLREARIFGNPLDPDAWEMPDTFWDQWGGWFPPGRAYCASLSAWRRRDGHTGSTV VEMILGLKGEKLKRREGWVGKPPGWKMPQ I203_08402 MPRRTLAATPEPAGGYRELNEEDPDAWPLLVAFDLDYTLWDLWI DTHISPPIKRKGDLLNQLVDRRGQDLSFYREVPSILAELKQRRIHVAAASRTSAPELA REALGMLLLPSEEGGDHVRAITYFNTMEIYPGSKLRHFKEIHRKTGIPYDQMLFFDDE HRNYEVESLGVTMQLVPPSGTDRKLWNDGLTLWRKRQGIKIVGP I203_08403 MSSEEAQKPVAVEDKQVEIEQKSAPAGKDTNGTAKPDEPVPVVD DDQDEEEDDEEYDVEDDEDDEEEYGEEDDDEEEYDEDEDEEEEEGEEIDHVDVLKKFY ENEQPDEDSEDDEATPAADEEQPAEPAPAVAAGTKRKADEPVEGEEENKKVKA I203_08404 MSLEAKKRPHILTIAGSDSSGGAGIQADLKTIEAFGCHGSSVMT ALTAQNTKGVQGVHEIPADFVIQQLKSVVSDDYPRCIKLGMLTNSSIILALSKELKKL DTIIVLDPVMISTSGHTLLPEDAIQAMNELYPLVDYFTPNIPEAIKLTGYSSRTSENS SQLNLEEMIELAKITNTKTRAFTVLLKGGHTSISGREILEIRKREKGDYEIFWEEGDD DLDTIEVLSLYSSYKQIPQSKQEEKELVVDVLVNKGEVVALFVGKKIDSNNTHGTGCT LSTAIACAHATQPRDVPNAILRIFKKAISYTKTAIASSYPFGHGHGPLNHAHLSVRRA LPPPTKYNPHPFVSHLIQSNLPLWKSYVKHPFVVQLGKGTLPKECFEHYIKQDYHYLK HYARAHALGAYKASTFEDIKAFTDIAGHIARESEMHVSYCESFGISLDQLQSTPESAP CAAYARYVIDIGTQGDILDLYMSVASCLIGYGEVGLWLKKQVELGEAKMEGNLYKKWM KDYSGKDFLGAVERGIENLERRIAEDPPTEARLARLTAIWHECVRLESAFWDMGLNLI K I203_08405 MTVTKDSPVQKGDELKQRLDRWSKRLGTLPSLALPTDYPRPTPA KLIESTQTLSLPSNLAPILGKLTYEFSTLFPSSPLPTPYHILLTSFAILLFRYTPDPS MVICTSSQGTSQPLLLKLDIAVENTFFDVLRQIMEREQEAAEDSIPINSLVDHLKPEG PLFRVRFFDSTQVQSDPTTSLTTDLTLFLLASQTDVPLTRSPLAPLYLKLAYNSLLFT QSRITALLESLLQLLSSAASRDPSHPIGSLPLRTAVQAQALPDPTADLDWCGFVGAIP DIFSANAKAHPDRVCVVQSELEKGQDIMDGPSRGRRIFTYKQIDEASNVLAHALLKNG LQRGEVVMVYAARSVEMVVCVMGILKAGGVFSVVDPAYPPSRQNVYLSVSTPRALLII SSAGVLAPLVSDYIKDNLDLRLLVPAISLSENGVTGSRSGEEDILSPYQQYSQTPAGV VLGPDSPATLSFTSGSTGIPKGVKGRHYSLTHFFPWMGKRFGLNETSKYTMLSGIAHD PIQRDMFTPLFLGAQLHVPTADDIGTPGRLAEWMADSEVTVTHLTPAMGQLLSAQATR QIPTLKNAFFVGDVLTKRDCTRLQALAKNVCIINMYGTTETQRAVSYFAIPSVNEDSS FLSTQKDLIPAGQGMIDVQLLVVNRTDRNVPCAVGEMGEIYVRSGGLAEGYLDPAATA EKFVPNWFGEGVQREDTLVKTNPEAAKHWFGIRDRMYRSGDLGRYLPDGRVECTGRAD DQIKIRGFRIELGEIDTHLSRHPLVRENVTLVRRDKDEEKVLVSYFVPIDGDELDGLM SSSEANGDEDESQDLKTEMIKGVKRYRRLIKDIREHLKKKLPSYSVPAVYFPLRKLPL NPNGKIDKPALPFPDTTLLAPTPAANTDLTPTQKTIHDIWLRLLPSPPPQVGLDENFF DMGGHSILATRLIFEIRKAFVVNAPLGLVFDKPTIAGQAAEVDQLRNSDLRGADGDSK NNGKEAGVDVDYAADLEVLVKDLPEKFDPLPSDFNEKRITVFLTGATGYLGAFILKDL LSRRVAKVICLVRAKSAEQGLQRLRDSGEGRGVWDENWVKEGKVEAVIGDLSEANFGL STSEWDRISKEADAVLHNGAIVHWVYPYPKLRSANVLSTLTALRLCTTTKAKQFSFIS STAVMDNEEFVKKSDELLSQKQNGILESDDLTAGEKGLQGGYGQTKWVCERLIMDAAK RGLNGWTVRPGYILGDSKTAVTNTDDFIWRMVKGCLQLGLIPDINNSLNVCPVDHVAL LASLSTLNQIPNQPFSIAQVTGHPKIRFNDLLTSLSVYGYEVKQVEYVLWRTKLEHHV LETQDNALFPLLHFVLDDLPTSTKSAEMDDRNAQALAQSGGNRPTSGVDLDLIGLYLA WLVRAGFLDGPKKEGERKLPVLEGEVMKAIGRTTAGN I203_08406 MTTPNGLVTVKDEPRTPVPLPSLPLFEVKNEPTDHNELLSTPQA SRSVHSGRIGSSPGSMSMSQRRGVRPAFPSPRMIRTGSAQSNSNNGIGTTRGLLTPQY SSSSSKHPPYPSPIPLISAPLSPPPGTGSGHPGPQRWHHSAPAVPLSAAIPSTNQNGK SKSTPNPYQVSLDEIPKDFVLKKLVQLASKYWYAPHSADCHIIVPIKRASRSPAIPST PRTAIPPLHQRLSTQPHVPQTANNGGPILHAQPGDSFFGPSTPTIASIQAAAQADGGG EIKPDVLSSSVSTMEGNRDSSGGRRGSLPGGYQLEQCLVFPLHKDYLTTQSVLFRTLL NSQAAHLPNPPPRDSEGRLIFQSPVIRGAKVLPTKSDRPKALYVPLPDPASFGVILHW LYWHDADHFNHCLSKGLVTWQGVIRNIEFLSLDNEIKLLAGKWWKRWVKPTEPTERRK APSVVPLLSSKGKGKRRAFSTSAAAAAKREINMKIDDDDDDDDEEYEEREEDSGDEAD DEDIEDQNGRNIKVKREDEGLADHVSLRLGRL I203_08407 MTRSSLPSRASTLVIGGGPAGLVSLKYTVEYGEKWVQGEEPVLV EMESEIGGTFRWRGYENAELVSSKQLTCFSDFRYPLSAPDHPSLPNFVAYLHSYTEHF GITPYIHTSTKLISLNKVQSPKDDYKHLAVLQRVDSDKQPIGEPISILAKRVIITTGL HVTPNIPLIPGLNSGPIPPSAPKWIHSSSYKSRSQLSDKEVLILGAGETGMDLAYESI MSTSKRVWLGVRTGFLSFPKVLNNFSILGYTFDGNLPIDGLITNLFEDVYVHRWIAQS HLRWFISDFVIRRVMWVLTGTKAGCNQWCGELPPEKQGRAYVFLNKSAKAIRFINQPY YKLSSIHKKIAHYIDPPLPDDIKDRKIDIVPFPQKFDENARAVFGSPPKHRSKENAWK TEECKPDLVVLCTGYKQDWDWLGEGYPKGPEECEIRGVTSEKDLSVGFVGFVRPGVGA IPPIAEMQTQLFLLLSQERIPIPKSPETYHLLHSPTSRIQYGVDHSTYMSTLAKDIGS SPGLLDLWWEYGWFVLFVYCFGAAFPTFYRLAGPYRSSKAQGIVETELWETIRRRGLL GNIFMGVIPMTFYAIINISAYMIEKTWSFSAPLFGLPPPPDNIMDYYSNDKNGNADVK VKVN I203_08408 MSKIVKAVGPFPAWVQELRRTGSATLSRNDTLRQPPPVHGSISD ELSWLAHRGAAELLGLPSPSFRLSHVRTSVEAIYGYWHGKMSTVRGQPMAMAQCIQDE MEFNMTNIPILPSCDLGPLDDDSKYTYAVLRPLIADFNMYIYAVELQDALDRINAIDP TILKASAKESGAEIEWIRQLAGLMVEEARITLRLFWAAGPGKELVKMSLNKPWHESTN IAREMHIHLNKPLEEVEKDSPFDAAFAAVCLNPDERGTGYGTSELTNILALEQATLAE TELKKKYPYAIQMVMGEYLMALELLHELPPPTSPSVEPHRPKTFAVRLNVSQSASTQI CTALSFVRSMCVRSTAVENSPQLWETHREIQGIFARELKLPNELIDKLFPFMIDIPEP KRWKPVNDHNQPLEGSLENGKGTEKTGEKRKRETFQVKRKEYKLCKQMFSGDDIDVTL PELSGLFRSIGFIIEKIGGSFIRFAPPNNAGSPFIVRVPVHGTFYRDGEFPIALENIH HLVVDLFGWDLDWFTLKTDAEDGKIDEDGSDEADYDDLHGECRKGMYVFNNNGDILSE PH I203_08409 MIKSPLLTALLPTIALIPNTMAVNGNVKINFPSDTSSQLIDGSL AVNQNGGSRSFPADQLVSKSVSFYWKGPLWSLTTLVVTSVSSSATVSGPVAATEAVAG SNGSGQSQVSWQQTCKVSVDDQFDGDVEFTLQVKEAGFKGSKDKHATIVCDQPLCLLP EGEKCEGRYVIPFVDSVSSPFR I203_08410 MFKFTLLALLVTSLSLATSALAVKGSVKVTFADTTYSHMTADPY ERGLEGAWREFPFDKVDTRSFYWYGPKVGDSTDRAWRQTCDVSVDDQFNEDETIKFEL QDKKAGFKGPKDKHAEIVCKDSICTVPNGGKCEGDYVIPVVDSVSLIPVPSQVETGRR DCSAYATPD I203_08411 MYSELKENDIYFAFYFDVYEMTNPVTDDFYTGKEPYYTLSCWIQ RKEGENTPSHYVLSAQSPWVSGDFGKTWN I203_08412 MSRNTLNLLRPLTNARPTYTRISPISMSKSAFSRSTLRSEIVRP ISGSGLKKRNLHTTIPRQHGEITRPEPGTGIKLTFKDSKGNEIKTIEGNEGDDILSLA HEYDVDLEGACEGSVACSTCHVIIDPENYDKLPEPDDEENDMLDLAFGLEDTSRLGCQ VKLSKELDGMVATLPSATRNMYVDGAKARTH I203_08413 MDEEYDVIVLGTGLTECILSGLLSVDGQKVLHMDRNDYYGGDSA SLNLTQLYQKFRNSPPPEALQLGRDRDYAVDLIPKFILSSGELTRMLVHTDVTRYLEF KVIAGSYVYRDGKISKVPSSEMEAVMSPLMGFFEKRRAQKFFSYLQYWKEDDPATHQG FDVNKSSMKELYAKFGLEAGTQDFVGHAMALWLDDDYITKPARQTIDRIILYTQSMAR YGKSPYIYPLYGLGELPQAFARLSAIYGGTYMLDKKIESVNVDPETGYFTGVTSGGET VKAKKVIGDPSYFGAGQDPTEGGKLRVVETGKVIRAICILKHPIPGTDNADSAQIIIP QNQVGRKNDIYIAAVSSAHNVAAPNVWIAIVSTIVETSVPEREILPGLQLLGNVVDKF ISITPLYAPTSSGTNDNIFITKSYDATSHFETVVEDVSDVWQRVKGEKLVLKKRETEI EA I203_08414 MASSAPPVELDPDELEEEEAFDSSSQASSTATSTLTWINWYTSL PGHDYFCDVHEDFIEDDFNLTGLQAMVPFFKEALEMILDVEPEEDSSKIPDVSIVESS AELLYGLVHQRFILTKVGLSCMVEKYEAGHFGACPRVFCHATPVLPCGRADMPGIDTV KLYCPNCGDIYTPPSSKYASVDGAFFGTTFSPLFFQQYPELLSAPFFTSSNPQAQPSS SSRVSPSESPVGGVTITNPNSFGGQKPALGKVYIPRIYGFKVSERARSGPRMKWLRER PERFDDLDKVDWKGRFISGINSSTGEKDNLMVVTGTTGKTEGRLFDDEDDEVEEDESE EEGEGGAGQTTSAVPV I203_08415 MQQPNAEEISTITSVYPLGCLAGCILISVIGMRLGRRLSIVLGC ALIVIGGSLQAGSVSQAMIIVSRVIAGAGTGMTSTCIPMWISETSPAKTRGRDVALQL LLVLSGNVTAYWFDYGMIIHHTGQVVWRFPVAFQCFYALLGGLIILFLPESPRVLYGW QRVKEADDTLLRLLDCTPNDESFIVGRQEILDAMEMESNQEEGQSLWRSLLWDTSKVH NSRLVDLQLQAITYYQTTLMSDAIGLDAHMASLMGVSYNVNTLFLGTFPPVLLIERLG RRLLLIGGAVGTSLAMIAFTVAVKYAPGNPAVGWFGVSMIFLYEFIFACSWNCVVWVY APEISPFKFRHINTAAGVATEWLFTFVTVKITPIGIASLGWRFFIIFVVFNVLQAVFA YFFCPETKGATLEEIDYMFCRTGLLEEPVEEIIDPTQTLATEQKGTSNDEVGQI I203_08416 MQTFVNKIAVVQAEPAWLDLEAGVIKTIEYIEEAAANGAKLLAF SELWIPGYPSWMWALNFANYHPINMKYIRNSMAIDSIEMNRIRAACSENKIWVYLGFA ERVGSSMWMSSCFIDGEGQITNHRRKILPTACERIMFGNGTGDSLMNVISTPLGRIGS LQCWEHLQPLLKYHTYSQHEQIHVAAWPAIFPHRGHEPWAFTAQAATVASQLYAMEGQ TFVLMATQVMTAKGAGMIGMSTEGKGFDEAYTQIPGGGSSHIFGPDGKILAGPMAPHE EGILYADADLGAILDAKAYADPVGHYSRPDLLRVVVDREPKRPVIYLEEQKMPEHPSV TDDFSKVVDSLSRSSGLDVISANSME I203_08417 MHHNLFIAGTVFLLLSVNADDDSSSHSASGDYSPEQACRRCISF LRDFGQIWPGTLSTASRLEILLNEWCPINNGNATRQEAGIDQGGTIILEKDAETDSTF DPPFGTEPAFNEDKVSLSTHGSLLDADRSLEFPPFFPASNCSPFDADVMSAFDMYSF I203_08418 MARPLLRTLSDIRTMTSHTRPPIRLVSVNTVPDRAQKVIGAVID NVKDKYDIVHVGNSATIKGVKSLLESTQPPPDILFCASMWTPEEQEEIQKIAKQTIPG IKTNGTPTGLHTQVGPDGIVRYLVERIDDILAEK I203_08419 MLGTPSFWTLVLVVSFGTAQQVTLGPEAYTAAGEFPTSLFSSYW NEPTQTASQVQPVITDSILNKTFPLNLTDPETIVNNDTSDPLFYPKGHSNLTQASAEQ FYQNVTAQIQGIIAGGEGSNCTKCMDALTAASRLAKEHPKLVPQLLLSLCKEYKFASG NGCQVYSEHAQGPFYAQVLAYADVGGSDGQYLCQNFIKVSKCPRPALPKFDASEFWTK PKPSNVTIPVPKGTNRVKVLHMSDFHIDPRYATGSEANCTSNLCCRKGNPAASLHSNY TPSVPAPRFGYFQCDTPWALGAAAVEAIPVLTGTDRDDILNMTIFTGDMVSHDPYYQL SRGYILYTETALYDLWKRTLNPSSPLFAAIGNHDQYQQAFDSPDTLTGVLKKQFSWNY DHLSNLWKNNDWIDGQAARQAKAHYGGYSVQHASNLKVITINTDLWYRSNIFAFINTT RSDNFGFLKFLAEELQEAEDNHSRAYIVGHVLSGWDGTNPVIGPTDAFYQIVDRYSHV IAGIFWGHTHEDQNMIYYAHNATDISAETAQNVGWIGPSITPLTDLNSGFRLYEVDAE TWDILDAHTWYSNVTTYGDLDSQLAVGPSYQYEYSTREAYGGQIDWPKNAPLNATWWH LVTERMSGDGGALVNLYNAHQGKMSTRSPNCTSTDCIEAKVCYIRSGSAPLALDRCQP GFGSVQ I203_08420 MGLLEQIPPEVQENLNRIWTSPKKRAASMAVFIMITLVLVYGTI RPSSSASSILPLDSQRSNVDSIRRSDWSLTSDYDIVVSHYNEDVNMMRETIESVKSRL PHSSSNRVIIYHKGQRDKQGLEELLDMSDEVVQLENLGREGETYLSHIVRHYDTSVTN LAEHTIFMQPHLAWHWVFLPRLERVLQPDTGFLSFGPYLSHTCGNDSTGQVFPRMADI YSMFRMDLCPPEPVLATWAGQFLVSRTRILENPRRAYANLREKFHVDKDHWIYKEGWW DNEPSNPTLGHALERSWPMIFNCTDATRADTCDEEEGHGASCQCLDE I203_08421 MSVADHSTPSAGTGSQHVIPNGDQVVEIETLVIGAGPTGLGAAT RLAQLGRSFIVADSADAPGGLASTDVTSEGFYFDVGGHVIFSHYAYFDDALHRALPND EDWSTHQRVSYVRSAGNWVPYPYQNNVSQLPLELRAKCVDDLIAAAEHRAQTPGHKPK NFDEWIVRNMGEAIADLFMRPYNFKVWGTPTNKMQCKWLGERVAAPNVRTVVRNALTM QTAGNWGPNATFKFPMKDGTGGIWTAVAKQLPSKQFRLSKSGEVVRVDGPSRTVEFGD GRRIKYKNLISTMALDGLLDCLDGGAEQRSVDRMRSIAKDGLVYSSTIVLGIGIRGKR PDRIGDKCWLYFPEDDAPFYRATIFSNYSPYNCPQDDVKLPTLQKADPSLPFDKAPSG GPYWSIMLEVCQSAEKSVDLDTLMEATIKGAVSTELMLPTDEIVSIYERRFDHGYPTP SLDRDGALSQILPALRDEFDIRSRGRFGSWKYECGNQDHSFMLGVEAVDNALFGTPEM TLHETDWVNGRRNIERRLV I203_08422 MPTHATIEVPNLGRVQVPTGLFIDNEWVESLSKETFSTVDPATG KPFLDFEHAKKEDVNRAVASSRKAFNTVWGNNVAATDRAALLNKFADLMERDAAKIGA LESLNSGKGVRIAREFDVGDSIAAFRYYAGLADKSHGQTINHFGGEKFVYTLHQPIGV CGQIIPWNYPLMMWAWKVAPALAAGCTVVMKPSELTPLTALVMCDLAKEAGIPAGVIN TLPGLGATTGDAICRHMDIDKVAFTGSVITGRRIAIAAAESNLKKVTLELGGKSPSII FDSADVEQAASWVAMGIWFNSGQDCTASSRLYVQEGIYDQFIDALASKAKACAIGQPS DETTSFGPLISEGQRDKVLKYIESGRSEGARVVTGGQKWDKSNGGFWVEPTILADTTI GMKVVQEEIFGPVIVASRFKTEEEVIDLANNTAFGLAASVFTNDAMQQTRLAHSIDAG TIWLNQYGILHPSVPFGGFKTSGIGRELGTYGLEAYQQVKAVHQNLTQTM I203_08423 MTDNVLDVPGTARIYQEQGEIVQNAVTLIPAPSKSPDDPLNWTQ KRKYLMLSCLVFYTITATILSSDLYSVFDPLSTSTGLSLDQLNVGTGYLYLFIGVSTL ISQPASLAFGKRPTYLISAFGAALVNIWTAFAKGNSQWIASRLLLGFFISPSFTLVEV SIADVFFLHERSFPLGLYINFLFGGVSLGPILSGYIYEGLGWRAIIWLSTGLTVIMGL VLFFFLEESTFERDHDVASSTSVITSAAPVEGEAEEEDPKNADKADRTTTNVEQEASH MSHVEDMTITDATPFTPSPWPGPRFWKFMKPHRYALGIMIRGLAQPLMLYRLPLIWWS GLMYATYQICFNLIAAISSGILAAPPYNFSTSAVGLTFLSPFLSAIPGAVYGGYITDK FVLRQAKKHHGITEAEHKLKLYIIPAVSCPIGLLMMGLGPYYEAHWIVYVLGCAIVNL IGPLATILTVSYVFDCYHPIRPKKEHGVQACAQDMAPYLLSTILLAMIFTFGFNYVIT PWCFGWGLRNFAISSALIITVINATVLAVLKWGKRLRRSGEHAYRKSIDW I203_08424 MLRTTSTNSSRTETHGTLKLRPSAVQDSLATSGFPITTDLTSSP FYDVQTGPTRVVLPTFTSLEEERRYRKEHLALVFRILHRFKLAEGIAGHCSVRDPIEP NTFWVNPQGKSFSLMKQSDLVRCSAEDGHMVEGVAPTDASASSIHSQLYKSLGRGPEG IEAIVHVHGPHTKAFSSLGRTLDMISQDACAYHDEQVLVSFGGAVFDNREGERIASAV GKDKKIAILQNHGIISLGRLSIDEAAWWQINFEMCCHAQLLADAARRPTDPVVEAGPE EIASTKREMGSSEMGWFSLAAYIEEEEYHSGGRHSF I203_08425 MRTLHQVLCEGGPVRYSIIGPEVLMIVAQCIYSKTTEVLPIRPI RPAHSSPTQATTEGTPLRKSAEADPQPSSSPGSSLPNHAHSLHSQHSISVISNTHIPP FAPHRTAQPGWQTALETLGPVDLLMAVCRNTRMASYFLQPSEPPNFLHAFFLSIEDLQ CFHHCVTYSFSIIVVSEDHNPWVESVAPLFMTAQGEESTSGTALKLSMLYMGAVHLSY LQGRDGSTTASLNTRSLALNYRKDCLRLLRSFSSKKPPTCDAAVLSACALTLTADLLG TNPKWRELMRIVKNIVDGVGGMDVMIPTSTHVDPAMKCAVESLVTLSLLGASTGYREP GNTADLIIEQLRRFEELCGISRSLITLYATLSSFLITTGTSSFMDTEMAHRIESDWNA WVMTEGALESEERVKAGSSAIWQAGNISILRKIHKASRTDERVQKAAMAVLEICASVG DKVEYMNWPLIIACSTLTDPISRDSARAQLKLFVPQCCYEIEVVQMVCEEMWTRIDEG EDEQACGWVEILLESGCPVLLG I203_08426 MEGNSSQYEIDPMANKTLSSSKQSTELLPNPTTINIVDSDTAAV DGLQTPKGLDREAFGPLTAFGLAFSVINSWVVLVVGLGSGLVSGGPSALVWGFVYASI CNLATVLSHGEIFAVYPSAAGQYHWAAILSPPKWRNLISWVTGMLNVIGLWLGAATAG YLAATLLIAAITVNVPDSAFTPGQQYGIFTAIMLFGPSATLSLGTSSKAPAVSLFSSG KILVIVCSRSDAQSFVFGGLENLTGWNSMVIAWLLGLLQSAFAYLGLDVIYHISEEMP NPRRDGPRAVTWTIVVSGLSGMAVLLAMLFCITDVDHVMSTPYVLPFAQICLDTTKSR AAATIFLLIPSILFMNSVRGITLTGSRVLMALGRDKVLPHLELWSITFRGEPVYGLGM CVLVPLICGLIQLGSTSTFNSLTGAATIVFEISYAVPAALMLLGGRRKLNLAAPGRTS NLGRWGVPCNLLAVFFVLQSCVIYCFPATMPVTAASMSYAVVVFVGGFAAILALLWST WANKRYQAPTESLIVSLTDGADGHHDGVVVNDQTEI I203_08427 MTFIESTLSAVVSKLGISSAEEPPYYHPLDPLTASEIARSVDAI RHTANGARLWFKSIQLVEPPKAILVPWLDEYAAGEAVSRLDRTAEILVGRRHSKGADW LVYQISLPETGSSQIISAEPVPAGQHVPPDMGEMMAAEEALLNNPTFKAAIAKLDLPS NAKVVADGWIYGADTLDATPRSIPFMVYLSFSDNPDTCHYAAPLPIVPVISADTFELQ RLDYCPIYGKGDKTLLDLDGRFPWEAYVPNEYDQNIRATAGLSSREDIKPYRVLQPEG ASFTLEGRVIRWQKWAFHIGFNYREGIVLSDIRYDGRSTFYRLSVSDMTVPYGDPRAP FHRKQAFDLGDIGAGLTANELALGCDCLGEIAYLNFDHFSQDGSPTLQRGVVCIHEQD DGIGWKHTNFRTNRPSVTRSRILIIQTIITVANYEYIFAWRFDQAAGISLEVRATGIL STVAILPGETSPHGNVVSPGVLATNHQHLFSVRIDPAIDGHNNTVVQEDSVAMPFDKA NPPSDNKWGVGYTVEQKAITKSGWADAAPEKNRVFKITNPNKVNPVSGKNVAYKLVPA PSQLMLAHPESIAYARAEFGEHHIYVTSYKDRELYAGGLYTNQSNGDANGLRSWVARD DNVDNADLVVWHTFGLTHNPRVEDFPVMPCEIHMIHLKPNDFFTASPAIDVPASTQAF NQSQLHNPGQHLQGDNDIEEKAKANGLNKQGCCA I203_08428 MTTVDTAQNRATIGDELLPSDQKVELAMIEEKMADPDVEGQPGQ FVSNRKWQFSDLYKRSDMINFYREALEKYGNDEALTPEMDRAVRRKIDMIVLPCLGIC YLFYYVDKTTLSYAAIFGIKDHKIGLGLSGSKYSWLSSIFYFGWLAWSIPSNLIMQKV PFVSYLSINIMLWGVFLMAQAAAKNFAELAVLRVLSGAFESIADPAFMLITTMWYKSS EQPSRISAWYAFNGVGVGAGGLIGYGIGHIKGSLASWRYEFLIVGAVCSAWALALIIL IPNTHASAWWLTREERLIAVARIRHNQTGTTDRTWKMDQIRECLTDVKTWLFFFIGFL GAIPNGGISNFSTLVIQGLGFDRLHTSLLGLPQGALVVIWIAAGAILNSKLPPNNRTT VCMLFMLPTIAGTLGFLLAPTNAYVGRLICFYLTGSYQTSFVICLSLITSNTAGQTKK MLTNAMIWFGSCIGSIASPFFYKAEQAPTYKEGIGSMLASNILEALCFLALRIYLKRL NRQRESQRQEEAPAINETAFADLTDKQNLNFHYVY I203_08429 MSVKITSYTVHDLRFPTDVYGDGTDAMNKSCDHSMAYIRVNTNS DLKGSGMTFSIGRGNDILCYAISQIAERIIGMDVEEIFGDMGAFWEYIVVADPQLRWL GPEKGVMHLASAAVVNKLWDMYALSRKKPLWKLIADFTPEEFVKAAAFKYVEDTLSKE EALAILKDGQARKEARIQEVVGKGYPAYTTSAGWLGYSDEKVERLVRDALGKGFNHIK LKVGANRDNDLRRCELVRSIIDNPDNMPTGFHVDPSSIVGKNAGPTGCVLMVDANQVW TVSETIDYMTHLAPAKPWFIEEPTAPDDAVGHAKIRKALKPMGIKVATGEHTHNRMAF KQFFELEAYDVCQMDAVRVGGFNEVLTILLMAKKYGVPVCPHSGAVGLMNYHVHVSLI DFVCVTGEMEHNVLEYVDHHFVHDPFIHPVGPVNARGRYPVPLDPEVGASIAIFDKTI EKFSHPHGSYWAAHLRGEDTQQALDA I203_08430 MRELIDERNLLSYKRDEAVSDSRGFTSPENGREVMAASISPLFS SESQIIRNSQGQTEYHNHSSMYRLPLKAAKSKSRDSVLPDSFPQDAESRRKLLENAAT QRGIEPAILYIYTLGLPEAPQELYTYLLDSFWTWIYPMFSMVYRPAFVRGMLEVNNPY FNSFQFHAILAHSTRHCREQQAMADHQPLVQYFEAKAKTLLDEELEKGSSVATAQGLL LLSALENTKGRISQGWMYAGMAFRMVQDLGCQFDVGPDEHHTEVDLEARRRLWWSAYL WDKMISVYLGRTPMLQDTISAAPQVILDDSTEHDIWTPLGMPGCQVDPRPSHAVSCFI MACSTSVVLTRVLLRYYCGTSEGGLGMGVEDKRLLHDAVGLLEKIPMHLRVNANDIVP THLSHLACFIHATIILAHRPHYAAKPDKDHAVARRCMDSAVKILDVVRTERIAYGMPR FTIVAWTLWVAASVFLLDLAVHKQMAIFIPADTVKTLREIQSILLEMAGGQPSLHRSV EVLNDQLGELAVESTSDSATDGSRPPQFDDTAPGDFILNDIFLNGFLSQAG I203_08431 MEPPARIIPVSSRVVSLHPWEQRPAELPHTNEHRRMYLRTSRYT FDHSKASERLAFKKMALETSLSIDEEATRFVPRPKVVSQSVEVDGGKFSWTKQSAKLN KSDTTSYDQSPRELYQIEWQPRCGSGKTSVRMAADIETLTANVKTYSEKHPEWSQALS IIKSGHWAL I203_08432 MCSGADVPQEQKAGWASFIKSLAQMTGDLSSMTAPPFILSPTSL TEFPAYWCEHPNLFAEISEGKTEQDRMERVLRWFIDTLSAQYTTRNEKMGSEKKPLNP VLGELFYGIWPDLNGRGETRLIVEQVSHHPPITAYYIENAKAGVKLQGHSGQKTSFTG TAINVKQSGHAVLTVQPKNGQKEEKYLITLPKLRIEGIIWGSPYIELTDTNAIQSSTG LTAQIDYKGKGYFSGKSHSFKASIANASGKSLQTYEGQWTGKSHIGGSKGPIFLDTTA PKEEVTVRDVSQQTEWESRKLWVNVAKGIRTGNYDEAGKDKTRIENEQRQRRKDETTN STTWEHLHFTHVDSDSDYQFLSALLHDKLTPAHEDAYVFKPETMDVVGRSA I203_08433 MPRLPAALPPYLTSGASSRAHHGLLVRLNEAASTQEEDEIIHVE ISRAKEVLSVRGQSKSKIAETLIILLHCLMLRHRTEEDVEFALVSALQLAEGGRTIAE RRIGYLYLVERLPKGHELNLLVINTIRKDLSSSTPSHILLALQTIAKIPSEDLAPAVI PLLTTKSLLRHKIPAIRQRAYEALLALHRIRRTTDPFPLTINKLLKSLDHEQDLSVLA MIFRSIGHILDTGAHHFGSEEERLYVLERGIEIARDHELGYEGQIALEVVKTLGQIVE SSEAVSERIADALSRYIAETLDAMKSCHRWEGAFLLEVCQYAKHIPQIYQSILRHISN LLLPDQAKPSSSTSSVLPAPNDHVLVLRCLKQLRLDVWDGVLGEREMGVIMEGVNSVD DSIRKLTIRLLRKLSPDLPLMVLQAHLDSIKSSTNLSLPLAMANGLNIDEMTRLGRYE TALRALEVVEASSAEDGHAYGEGVLKILRVLEEENGGRGLIWNEGAGHVLGRLEHLPR ASAEATTISLLELLQAGNNRPGDTTVVIITSITCQYLPSAYDKVQEIIEYFVNKITHY NASIQELILVTLVSLLTRVRSDRLENSTKLVHETIQDLREGSSRYLKKISTVINRDLL DEVKEKSKSSKLADILDAIISVSTTHSEKLTPSDKTTLSPPEPRANLSASRLRYDAYQ SPSRTRKSNERYRDHFEDDSD I203_08434 MSPGGQPNAEAGSSNEGKKRSKQPQLSCAECRRLKLKCDREIPC SNCMRRKCAELCPDGVKESRRQLFDVKATENLQKRLSILESLLAEHGVQVPTSPDTIN EDQSPQVTGKRRRESESSHSIEQHHHTSLHHSRPHQHHSGKLLESSSSPRYSSPSINA RPPVSATRGYPYTSPRDSDSVYWDRNNSDYRRLTPPPPALPAPLQHPGSSPHKSSDMI PGSSLNPAGIHTPRSPVGHSHGTLVLGQEGRSRYLGPTAGTEWLKNQEMGDAHSPTPQ RSPSPEEQQPQQNDIIGGKKISTEQMLAFPFPLSNGTFAMESLLSQLPPKDDAEVLMD SYYRYFAWNHDTAPRRFFQPVFDRIFQNISTKKYRSVHPQQLALLYAVLAMGTLQNLE LPPNDPTADEYLLLAKSCLTKGDFLNNNTIAGVQTLIVMAHYLLETEKGRNGDSAWPL WGLAMRIIVAMGLHRDGARWNLPADVVEERRQVFWAAYAIEILQANCFSRPTSLALQY IDTAFPNGPSDHPAGSKSYQTLRFELIQLSARILDTGMSVQFESYDTILSLYTQLCTF ERSIPFELRCRTAMLSLPSEYPDTDTAIKQSPEITRRNLKKTFQQFTLALNISEHVLF LHRPYFVMAMHDQPQDPTRSVYGRSYLAVIERCSVIIQIVSALYEVHPAVSSRQWFLW YHIFTAAVCLGTMVLRNPTSVLSQFAITSIDQSINVYSLLIKQNNTTSMIQNHDWLCR LRKRVFNKINGRRSSLASDTSQSVPNGNGNGNGTAEEDMDIVGWRTRLIESASNGTQI GINISSSSRPPNQQHLNSDPNHIFTPPHSSSDPTMSNGVAPAVQQVLQQHLVGTEGIV PTGVENVGMEASTDLMLHQFWDPMMMNDSNDLASANWWSWM I203_08435 MSPYTLQWGIIATGNISGQFARDLLVDPSSREVSDVTHQITAVG SRSVESAQRFIDGLKNPGEGDSWEWGSKNGKLDQVRAHGSYDGVYNDPNVDAIYVGTP HNLHYKNTKDALLAGKHVLCEKPFTIDIDELEDLVAIAREKNLFLMEAVWTRFHPIAY AVEEVLKSGKLGKPKRFAADFSMEYDLDSKPDSNQFVDPALGGGSLLDMGPYPSVWAM LLVHRNPHNQDQNPKVVSSYQSIYPRSGVDLSSRWIVKWEGLCHGQLVTDLTNSGLRE NTAALQCEEGDLIIEYPPQKPETFHIMPRPDRYLASVKEKTTHHHPVHQGNHGMSYEA DEVARCIRDGKIESDRMPLEESKVVQRWFDSVRKNGISSTKDMKGSAGQ I203_08436 MKTNLPLTGLRTALLHQRAPVPTVNGISKPMKPGGYADGSADTA YVLRSVGETVITPVPSPDPLKDLDWSFPDTVLGIREAVEKGANVLWANTGLHSRHAIV EIQEELKEKGVRLLGQSPLSVEKYDDKEWVNRWLAQQKGLEHSFPKSLLYHRGDVGQV DEFPLPAMSKPIRGRGSHGVTKVSTPEQLNKALDVLLKESDAVLIEEYLAGDEVTITV MPPGNYTKDYWALPVQLISSAGVMPWNGTVPVTENSRVITPEEDQADCAYSDAQAKCV SVAQLLEAATAIRIDCRRKTQGGPFTLFDVNLKPNAGGPGRPGRDSQAALTTMSAQAI GWDWPEFAVNILRTAKSLGEVLSRGQ I203_08437 MATEKHYSPTHLEDEKIVQQEHIEDTHTLDPETGRANKRGANTQ LDDAARLLAEAGGHVEYTPEESKRVLRLIDFHVCLPMCLVYFIQQLDKSSVSYSAVFG LQKEAGLVGTQYSWLSSIVYIAQLICQPLSSYALIVFPVKYWVMFNMISWSIVTIVTC VGKNFTGLLICRLFLGIFEATILPSFVLITQMWWLRREQSYRTIAYQIANSFAAIFGP LLSYAIGKATESSNVIKPYQGIFLFMGSFSLALVPLVWYLMPNSPTTAKFLRKGNDRL IAIDRLKENNTGTKASKFKWSQVWETYKDPKTYMWAGMWFCAACPSGGIGAFGGLITK GFGFDTFTTILMQIPTGAIGITALLLSIYITNRIKMRWPVIAAIVLFPIAGALSLTQV NHKKTGGLMASYYVAYLFSAIQPLLISWCNLNAAGTTKRVVTTATMFGALTIGNVVGP QVYLSREAPYYHTGLYVDIACWCIEFILVVSMGFYLKRLNKKQEARRVAMGLPANLKD ISIMSTAEADAYKIELEQMMASAGVNRDLLNQNSFDDMTDFE I203_08438 MDKTRRCEKEKQASRETRYRAVLERWTDLGSTTQLVFEEIIRCP RLRGEVRMVETFPLADQAVYDPESQKTHHDKVEVDAFTALAIEEQDHDIKYRTLSWQK ATLLLFGEYVCLAILALAWSWSVLGWVCGFFITFGLGIITWYTSYILWQFCMKHPEAK DICDIAAILFPAVPRIAFELTGLMLLLNNIFLIGFHVFTGAKIFNTLSDSAVCTVVFQ AVTAIIGVIVSLPRTLNHVSIMSVVSAIAMAIAIILSMVYAGIEDHPLYGYGGNYPEL GEVKTSIGLPGAPGFVAGTNAVLNITFLWIGQILYPSFIAEMKRPQDFPKALASLTGL QLILFSVTAAVGYYYNGQYSTAPIIGSLSEPWAKKSAFAFVLIPTVIIGTIYGNVAAK FIFKRILGNSRHAHSHSLVGWGTWIAIDIFIWTVAFVLGNIIPSMGDFLGIMSAAFDS FFGFIFWAVAYYHLNKNRLWANWKMTTMSIINIIIFVLGLFMLGPGLYTSVDAIVTD I203_08439 MGSQVSTARPSTAIKPVVITEKESPNPDVASPICSTTAAFDALS VSELDKWSRDFESNPTLALSQLVLSKADPVQSLSSRSALIRDAKIFNLRLKGTGPNGE YPGPRVNQASSGRCWLFATTNVLRYNVIEQLHLGEFELSQNYLFFYDKLEKANYYLEN TLELLDEPLDGRLLSYLNQAPVNDGGQWDMAVNLIGKYGIIPKSLYPEAFSSTASGRL DSLLTSKLREYSLLLRAAAKKTSSLDGLRKLKTRYLSEIFSTLSIALGSPPKPDEKIT WEYYDRDDKFHSWTGTPREFYAQFGKRRGMDPKESFSIINDPRNEYGKLYSVERLGNV WKGNPVRYVNAPITVLEDAVISGIRANTPLFFGCDVGKASNTPEGVMDCQVYDLKAAY GFRLGMNKAQRLETGESSMTHAMVITAVHLDESGRPLRYKVENSWSESAGEKGWFMMT AEWFREYVFQVVVPKSIVDKKWSDVLNKEPVMLNPWDPMVSL I203_08440 MLLFNVFISRSAGPSDASHFPPFNLKDFLYTLNSQVHINTTALF MLAPITPLIANLLRKAFPRTAYNVQVLPYFRSKSIRYDKDDVTLITWGTYDRLDRLVG LAASAHGPVSVAFYLPRDDLIAANQLAELVTLYQTNPSLSSRVDIHLVTADRPLLHNT WRNIARTFTSTDWVLLWDIDFEPCTDYQSAFRRFRQATARDAWVKELENGRAALVIPP FEWVDAPGEEFCPKSKQDLRHLYDTLSLDAFETDNPVLSHATDYAQFMNASRDEPYMV TEYEFLYEIYGIFRQDTEVWCDERFAGAGYNRAACTASMYMSGMNLYVLPDQWAIHHP HTDGAFETRSSEDTTLSWKTFLTDICHSYADSLALKGRLHLDSGNRVLSLCRNRQDPA LNADLARLVGISETIGA I203_08441 YGSTCDDHINNNAHAEDCVYEEGNDAVAGEQTNEYANHYAYETT HHHHQDSYGSDEGTYDHPGDDFAWADSRREGTEYPDTPHAQAQEHQHEAGPSPAIAYM LRDEVVQGVREGRARIALAEVFGCVSETPHDVAFRELINQLSSDEVAEMFRWLYYDLL ERLGNEH I203_08442 MPLRSSPERLYVGDVPRQEQVRRVSDRPRPKSARYIPKSAGSQS KAAPYSILKAQAAVHIKKEVAKDAVLGEICKVKREKELEMEEKLRELEQRDIEEVEQV L I203_08443 MLETQLRATWEGHIRTKEAKVRRAITDIIEEGFVGNQSASLQSV KDVVGPQSGVISGGGSLTMFEDLAHSALNRLLGLMSEVDALCMIDIRSIRIQSQPDSN PEYHVDPSSPHPVSTIALAPRHDPEWTLLPSTSALLSYLNRSHSLFTFTQSSLSGLES ELPPNTKSHLAMPFFTLDQPSFIIIAISEHDIIHLDSVNITRSVGSVLLAKGIQSRVM EADAAKTAFLSSISHELRTPMHSIMSGLQLAQSSVDGKDGQTLQRILDDVIDFDPAYN SKSKVSRDVTVDLLKLAKDAVQICLARSDDMELGSTVYIEYQERDWVATIDEARYNRI IFNGLTNAMKFCKNGSISVSLSTCGDTTKLVTRITDNGIGIDDKVLPRLLEPFTKQDL HSPGAGLGLYITKNLVNSMGGTFAIQSTLVKRILQYKGMDPKGEGTISSPTLAIPASI SSSAAPSKIKKTTKEHKHDTKALKVMVVDDHRICRLLLLKSVQKGPTPVQTAQAADGQ QAVEMFRTFQPDLVITDVSMPVMDGITAAQEMRRVSQEMSLLPCKIYALTGLGSSDPR LKSIGMEGGAALDGWLVKGQDDLKVIQGIVANVHEDVNQREEISPEEMLKKVQIADVS LAV I203_08444 MSSLPLMEDSQAAESEQSEPSIRVQEWTSFLTSYAEGDWSPDRV PPKPTPAQDPDIVELNRSPSVDSLKDDSNANVYSSPIINRKIARRVRQYCWENDYLPP PRSPHEEHREKIILEYDLLGAVQAGNIQSAVDLIAAFFPGTVVTFTLFNNAIQNFVSI AGPKNLLDAFQLRNGMWVAPETSLCGHSILLDGKIMFVPNLSDDWRFRSNPYAVAGLK SYIGSAVSLEMDPLSANPHADGQRDRIK I203_08445 MEAYLQYRSFTPTGKPTHQPIHEKDLENPHHLQIYLDFDENDKR NPKNWSVWYKTFVIGQLSFMTLSLTFASSVSSAAEEGMIQEFGCSTIAATASTGLFLV GMGLGAMPMAPLSELYGRLPIYLITMLLATLFEIASALAPNVPGLLILRLIAGFWSSA PLSNSGGTLNDIGDPVLRTIALPLFTTAGFAGPTLGPIIGGFVSQAPGYGWRWCYWVC AIWNGVAFLMSSLFMPETLAPALIKYKAVKYRLTTGEDVWRAPIEDQDIHKLTVKYLQ RPFILLGKEPIIQLFVMYLTIVYIVLYGFFTAYPIIFLQHGFTSRTVGLAFIPVMVGF FILMGFNVLHYIRYKRLALDAKAGIERRGIHEGKVEPEERLVPLMACAIFFPAGMFWL AWTSGPQFSVWVPLISGVIFGIGLLSIFQGSTQYLIDAYGPYAASALAGSTLIRYAVA GLVALAFPKAYETLGDQWATSLFAFMGLALTPVPFVFYIYGRHVRAKCTYTVRD I203_08446 MKLWDFLIIFIGTLPFYVYGIDYGYLGGVLAVPEFVQKYGTYDA AEDAYVLKSDKLSLLSSSAYIGGLIACFVIPWLATKIGAKWGLVITCTTFAVGGALQL GAVNLAMFLVGRILTGVHISSALILGQSYINEVAPAHLRGFGICLCLQLLTFGNFSAS VITLGATSAGGKLAYQIPISLCIMVGGLVAIALILMPKTPLQHALKGDWANALAAVVK IRRAPADSQLVQAEMERLHHATKDQVGSSKWSELFQKGQLRRTLYSFGTYFFMNGFSG CNFYLTYASVFMAQAGITNPWGITVLIAGTNCFLTTPALALVERFGRRRLCFIGTIGC ILMNLGAGLSYVIGKDTKNSNIALVVFVTLYIVFFAGFMAPSGWAFSAEIPSARLRAK TIAVTNIGNNIVTWAVGYGSPYLFQDPVNLRAKFCFFWLVGCLICGIFFYFCLYETKG LTHTEIDELMASGIPARQSVGWAQDKVRSRVGTTHEADLSVADSDMKRGDLNDISVLE VEK I203_08447 MPGLVKYIQLPIRGNDDLAAGVTLPGDYDSISIYVYESAEAYQA AIKSPAGALLQEDSLKIMDMDRNVAIPVYYGQDFHSGIAREEGQSWTRPEETK I203_08448 MTAEIESLRNEIANMRARETRTEHGPMADVSGDRNLGNGDQEPR AQSKAQRSISPSAGGEEGEAAGYNPVDSLHMNDSGQISYMGPTSIPLSDLPARSIEHN YDFSSLLPSSFPSSQPDSYFSLNTSAHNDLLDLYFKYLNGWTGFVDEIGFRTALDDPT HANHAYTPFSHVCILASASHISDNIEFRSIAPGERSVRFVNAALQMLGREIECPNPCT INAVVQLGLNAFDSGKSSTGWTLAGLYIRISQGLGLGVNTSKLVEQGKMDTLSRRARE DGFWTAYVDDTLTSLYSGRLPSKELEDHDIPLPDASPGPIEDCTGTHPIMELALVTIC AIARRVMHWFYSIRKERPRYADKVQVMRSDLEAWGKRFDPRAADPPRSPTSPSAMQHQ MRRAPVTNSQACKNLHVAGSFFILLLADLYDQPDKSVQRRQAEEGLDHVVSYLNLLAG SWAIASQALEAIQKMRHEYAVNTDLVDTQNNLWRNALAEDIRLTFDIEEFLRGDLGLN LVDLGVFSGDPDLSIFDELG I203_08449 MSHLPYFAYPGSGHIKQRDFHYSQAVRIGDFIHCSGQGGWNPET DVIYKEINAQIDQAFINVDIALKDAGGKGWEQVYQIDSYHVPINNEALEAMVRNMRKW CPNHKPLFTCVGVPRLGEDDMRVEIKVSAHDPEGAAKAAREAK I203_08450 MPPPTSASRTIFVANIPYDVSEEQLASTFSEAGPVNNVEIKFDP QTGRSKGYAFVQFYDEATALSAVRNLQEVPVNGRNLRVELSTDEPGPRRGGRGSRGGI PGHPAEDTPPRGYDAGPPVNRVDLSALPEGAPLPHAANATDSISKTLATVTPGQMQDV MASMKSLITTNPEQARQLLSSKPQLAYALFQAMLLMNIVDPSVLQRIQPLPATAPPPP SNYPPPAQNAYPAYPPASSGPSYPPGGAGYRPPPQQGGYNSAPPAAGYGTPSYGATTP APPQPPASGMAALPPSAQQALATLPEDQQQMLLQVLQLTPDQINALDATQKASIMQLR QQFLGTAA I203_08451 MASPADATPVVEAQKRADPELKNVVEFLRGKHGPKVRRGILNGK RVDYFKGKTAIRTLLSPQYQKLKKVPVLKDEEEAKALMIKLLPFAFFLRTDRPPAPVP PPSGTPKTLQLAPQQSFDETSYYTWFYDGSPLYTILGGAAMVVIMLAGVMFPLWPIKL RIGVWYLSIGVLILVGLFIVLAIVRLIFWCITVLTMKRAIWIYPNLFEDVGFFDSFRP GWAYDEPKKKIKKKKAVGGVTPSKKSKTPTAGGVEAIDALTHAAGADEPPAGSPGSPT EASATGVQINPASESNNQGGLRSRQAATIEEIEDDES I203_08452 MSRPTLNLLSPLRRTIIPSSRCNLSPRRIPHRSVRFNSTTVPPK YTTKSNTVLSNLLRPTTLVLICVPILTGFLGVWQLQRLQWKLNLIDEVDRNLQKDPML LPDNINLSALPDFSFRRVILRGKFIGPPILQGPQTKDGFPGYHLILPFQRESGSTILL NRGFITTTRATAIREGRQPAPGLNIDGGSDGVTYEIEGMLTRAGEQNFWTPTNNVRTN EWFWKDILGMTQYAGGEARGIQPVLVDAFDDPDAAPTLLMNQGIPVGRPPHVELRNQH AQYAAIWLSLSASTTVMLAYVLTKGKGAPKNRRPRL I203_08453 MQVVAQKGMEGMIYASRDVFLLDHFPCRMVELVAWVAGVDHKDT SMTITLDDGDGQHILPVLLRLSPITHTHNSSSSRSKSYTDKAVTARTTFATLSERESR AYAKRKAPEENWSNTNGYPKIFHPKDIRVGDTVRITGKVDEWMRKKSDGSGEWVRQVV VDENAGGFISIVDPDTQYAHTSQVDHLHQTLYSRPFTLPDLSISTKTPPISPDRDTSV SRVNPTMSDGLGTTLTSEAPSELSMTDAEPELRDPTKLRSSQLTDRTFRQYMLDHMTQ ETIKSIRKAQEIGPEVLRGELEYYFTEYRDVQVDSYTNGRSRTLGKNSNGSGVFTNST KVNTPSSSTSIDHATTPTQKTFISRRNPVKSTSTPCSLGLLRPFNPSSILVDERLSTL ARLVVDNEIRREERRRRRRIRDGTATRKDLLVDRERNTSTNGVMIDEKERNKKIERLV SWAIRNVSDEGNLVQVTLPSPPLPSSTRHNHNDGYVTQDRYGYLPLPSQLLLPLLIPH LLAERDLRKNSIRRKTDFKSVNGMTVDELTSVMRKWGQEGRWERVGDWNIEDALEYGV GRGILRKEGVGYWVVESM I203_08454 MDYSNLRKTLHTPLWSDTQERTLLEPYTYISANPGKEFRGKLID AFNIWLKVPEEDLKVVTRIVRMLHNASLLMDDVEDNSELRRGLPVAHTIYGIPQTINT ANYVYFLAMQELLSLRDTTSKGKKKDVDVVGLVTDELLHLHRGQGLDLFWRDTLTCPT EKEYVDMVLGKAGGLLRLAVKLMMAKSESGVDYVPLVNLISVWFQIRDDYMNLQSTEY EANKGYCEDLTEGKFSFPVVHGVRADDSNRQILNVLQKKTTSVSLKKHVVDHLRDETK SFDYTKKIIIDLQNQIQDEIKDLGGNKYLEMAIRSLALKEDE I203_08455 MAASRLSAEVPTLNARPGFSRADTDLPDTHKDAKRVLPDTENHS STQDDRSDKESIGSIEKYPGVAKIEALYMVFGKGAKLWVLWVSIGLLACAYALSSNTT YLYTPFATSAYGEHTVLGTISVITAIMAGVAKPFIAKLADLWSRPVAIAFGVIFYTIG YIVIAASKSVGDVAGGQVISTLGDTALSFTQTILLGDITSLQYRGLVNALVSVPYIPF AFVAGDIAEGIGAYTTVNGWRWGYGMFCIIIPAVVTPSVLILLWADRRAKKLGALSLA SSTYARERVLANVNASRKTYTAILIDYARKIDAVGLLLLGFAFGCILTPFTLSTTAIG GYKNPSLIALLVVGGILFIATIIWEWKFASHPIMPLRIFNRTFICAVGIDFMYYFSGY LSDAYWSSWLWVARDYDSKDYTYILNILTVGLCGLAVPAGLIQRYTHRFKYLQISGLC FRIIGMGLNYLSVAGNGSNAVIVSSRVMISLGGAISVISSQVASQASVPHNDLALAAS ILSLWTSIGGAIGSAIAASVWNRRVPELLEKYVGDYYNHNATALAEIFGSIYVARAAE PRELIVKAYDEAVKPLYLAALLTSFVSLIFGAFTKNYILDDRHNAVEDTVISMKSEDE TAPEVVTAKAREAEAKAAEKLHAEGRL I203_08456 MARTAPKRAAVAHSGRKRSHDEMEPKVTDLIPYPTPSTHEDDDL CSLISHEDESQLEKEDDPVSADEGQDVDQDTRDTVDIQPHSSTSSAQNSLISPPESFH PTDGSSMPIQNKPFLISQGVQTDDSSFFTVITPTVNLNRNTQPTRTKKSRVSTSTTTP TRPSTRPRQTRRKQVGVSRTQDVSVASGDMTVERGEELMAEEGASPSAQNDHMSTVED VPAHNNPLDTPGASKAKGSTEPTPRRTKKPKNTNPVPDPNPMTEPALLLPHGLQPVET TVDNHWVYLFFRFCAERHKMYNRRLAGVPRDQLTEDETMSKVHIGNVYRQLDPSSRNI RENIIGKGDQTPQEICCE I203_08457 MNGGVGLPTARGSGTNGYVSRNTAHLKIRDGPPSGPYGGSRYGG YDEPKGPPVHRAPDQGILEHERKRRIEVKVMELRDELEEKGLDEDAIDEACGTLRSKL SSANLPVPRSRPTDSHSIAAAKEAEMSRMQRALGVSINHVEGKAFQRETEEERAARLA EKEERERARVEAALQREREAEKRKKEWEEKERLRRREEYKRQQEASKPRSRDDSPPRR RRDDSPPRRERDDSPPRRRYRSPSASRSPPPARRRRPPSDSRSPPPRRPRSPSDSRSP APRRRASPSPPPRAARRYSSSPPRGRLSESRSRSPPPRGARSPDSRSVSPPPRRRRYS SDSRSPPPKRARADSEDTRSRSRSRSVTPRSVRSLTPEPSKKRVDEYTP I203_08458 MPPASSKLLNPLSLSPTDPSSSSQSAGLSRMTTQSNKPPRFYLP SPSNSSPHTPVYPLSRVVSRAQSDTNAAAGSSTSTSTSTSTTETALYSRRSRSRASIS NLRSALELYQIDHRVTSPDPGNIAGTSTDTDTTRGHPHGHQQHQAVLSNEDDSPSSLS PSSLIGNSKMDALVEIHRVLYRGKEDLQVKRIELTLKEEVDQVKRVVDRWFESDCVYD QPLVHLTSRESMLMHFTLLHAFGTVYMPSIRPSALIIHLKEIISLLQISLLPIEEHGK SRGAEGKKKESDIIESPKSPGEEEHLLGLGLGIGKAGDHRDTTRKTSRHSSRDHTTHS DGSMSYDGWWKLWDVTADCKEIGEMECYDGHYLAMIEHVIRLSLFPTYRNSIKTAPST SHPSAYFHPKTPNPHIKHHHHDIPAVPVPSFAKRIYTYIYRDFIECWLDWELRVNTMI QFNEVGKATHVRDVIDVRDLVECFVPFAKRLHLITTVTGFITSLIGVVILTSLAGNDQ AVEVVKQGKIISVSLPTRVMEKGGIMTHGVGYDARTEKDRDSKPSASEEKPSLNSTKS SSSAPMRIKSPTMDPQSRSRKMSAPTLMTSTHNTLGLEGVSPPASVQEGNSQSTAVTS VDQDSA I203_08459 MSTEGKTITCKAAIAWEAGKPLSIEDVEVAPPKEGEVRIKILYT GICHTDAYTLSGKDPEGAFPVILGHEGGGIVESVGEGVDNVKVGDHVVPLYTAECREC KFCKSGKTNLCGRVRATQGKGVMPDGTSRFKCKGKDILHFMGTSTFSQYTVVSKFSVV TVNSKAPLEKACLLGCGITTGYGAATKTPGIEDSNVAVFGIGCVGLSILQGAKAKNAK RIIAIDTNDKKEEWAKKFGATDFVNPTQLPEGKSIVDWLVEETDGGLDFTFDATGNVK VMRSALEACHKGWGVCNVIGVAPAGAEISTRPFQLVTGRTWKGSAFGGVKGRTELPGI VDDYLAGTLWVDEFVTHHQDLEGINKGFDDMHAGGCIRCVVNMGFDDAP I203_08460 MSVTSFPKVPRGIRLMKTSIRLLQLSQFSSSILRSAKASPQLLA LSSLVKESDHAAAREWVDSFKLDDVPKDGYVVSRSRSNGPGGQHVNKTESKVALRCDL SKAKGEWLPGFVFQPMMKSPHYLPSPPALLISAQTSRTASQNLTTALDILHKTIVHAA ESVIVNPTSHEQKAKVKRYIKKENEKRIEMKKRNSAKKASRRDVD I203_08461 MTTLPTSIPPLPISLITNLLPYLLPPSPLPQEFLSKALLQRLLY LPPSLSDLDSHLSPFPSNDSQPVSTRSSELCHGHQLGDVQYTKEGEEVYARIPILPEL DSTTDESVEIWFEYEYGNSESRGWVYHSARLPSGTQHQFVSTPEQLVNLLNEAGQNAM DEVGEVNGDNEAPEGYWTAFDSPTSSPSPHTDILDDQHVEDAYWARYSRPATAPITPG THTPFHHSKSPPAVDSHEEQAKRLTESLKALGLNGGLEADTQNGYSKVHTEGKRGFWI DEEEKQEENHIEVVQKNQTQIENASQTQTELNVVRQKEETVEESESGNQVKDRLRCKI LVSLNNIWKEHIEGSNELDLEIKAMEWLNLGKVAIQDHDHSYLPLLPDSEKGDIIVGK LEILFEMYQVLRENEEKDSFYRLLEGTIRKSPSLGDHQDEFDEVHRQNTYYE I203_08462 MADDEITKARTDAKFTSGESTATGKDTESKAEANDSKMFETTST AAPTETSQADTATVTATPAPATSADTASKEGPRTSWWKEFKKDLSTKWTSTKKSFSSF WQSTINTFKSWGVATKKTLSTLSSYPSKMWSSVVERWNKMLGKKPPPATTTETKTIGT NEVAKAETGMTVEKDETQSETKETPTAEEGEGDKKKTEEKAPKKVNKDEEEKK I203_08463 MWSSFTYHEPSIVQLLILSSWIYLLNLLGWISQHLLSAQLLGQI LIGIIYGTPLAGWLEESWEEAFVALGYVGLLLIVFEGGLSSSFSNVISLLPLSLCIAL TGILLPVGLSFILIPLASFSTLHAFAAGAALSSTSLGTSLSVLNPASVGFDLRQSKLG TALLSAAVMDDVVAFVLSSILNNLGVTSSEGNTSIGKNVGRTVGVTIGLGIVLIPVSR FLLKPLYAKLQSTDRWKEATWGGEPMLVGLMAVFFIGMIAAAGFAGTSPLYGAYIAGL ACAYLSDAADTHSSVKKRKGSEEDITRVESQELGLRRLNTFPGRSPHPLSRAHSRQII PFSFGIHTSTDAEEESHTLRSSFERFLTPILTYVFVPVFFGSIGYCIPFVPLWKGKII WRGIIYAILMCIGKLACGLWLIFWPKSKKTTNAISGSGSSWKGAAFLGCAMVARGEIG LLISQIAYNTPKPLLTEDEFLIVNWGIIVCTIVGPLSVGWIVRRSGKKIMDGGWE I203_08464 MDIDEHPMLQLHPILVQLATVPAIAIASYKALNVWRAVSALPLN PHSTPTVDAQPTPSVVSEGLKQWRSLTATKKAKQIAAGIITFSVVMSIHLFFVYVLPR ISSQSVLDTIWSIMMLVMFTGTIRYTSDLALPTLRSVRYNQPKPDSNIQIAIAFEGDR KTAWKKEIIRQLLSLLVTAGILYSTWAGYTLFHPFLAASYVICTIGTMRFPRMSIRRI VQFISAYLAFVPVGGLVIGVVINFFSGNSLDQNEDGDKKDSMTFASDWVLSLMLIFGE VFGIMIPAVMTAMTLRFEYSLAHEPVERPTDASSEAAPIQIPNEYPSFPNPIFLSSLL SLFTSIVIIEMISYVVPNFEWLSITPLTVFITVPVVFGGTALGAVWFGKFNQWWRYNE VWIPAKKASNTSDNDGTKDVDEAHVALLGDQVQEKDMA I203_08465 MPASNRWIKATLTVLTATLLVADAAPLPQSSDMGSSRSLQDYDT PSGSSSIASSSYIPSSTDESDAYSSESMPLYGDEDDNTYPIAGSQWESDAQFSDLSSF SISNFSAGSQNIEVLAGSPSAPSDDQSVISTQSMSTSNWDPSINSMRVTYPAGSMNPG NNPRGGSTFYAHPINMRRVHNATLEYSVFFPRDFDFVKGGKLPGLYGGHSGCAGGVDA RDCFSTRMMWRENGHGELYLYAPRHRQTQRLCRSPPFSDCSTPYGLSIGRGSWTFQRG AWTDIRQDVWLNTPGKNDGGFNIWVNGKLVVHADDVFYRDTTETCLASMGNSAALWSG MAPFKRDNSLEDTDTFITEDWLSFTADVDDQGLAIRSTEELEPLVKRQNSLVKMMKKW LGLIEKVKRSPFDDGHWKGINGYPGDPGYTGESSTQQCHMTMKPNPPTPTMKPSKPKP KTPKKIPKKPTKPSKPKTVGKASTLSTFSDSSDSPTFNSLSEPKAISTVGDSRINYTS GETRTLGASSTPGTISMFSVPGTPDTIMTAAEDDDPSADDEPADNPPADDPPADDPPV DDPPAEDPPADDPPVNDSPAADPSSPPPPPPVVAPSPPNPPAPEVPAPLPPPPPPSAP PPAPKAPTPPPPPPAPKAPGILLPVPPHADSITRPHRHLDNVACERGFVGLFFSTFFG GHTETWASPKEQNTYFRNFRIRINS I203_08466 MNVNRSQEENSENNHTPSLPKLTHDGASTLSEESTTLQKRGIVA SSRVLSVITRKDIHVAYAAYTLLALGIAFAQYTQSTFTAYATSAFKSHSELAAAGVVS RVFSIYAMMAGCKNVQTYVGANVFSGLGSTSYEIAIQIYIAETTPIVSRALWNVAADS FSAIVTMYSGAEIGGHILDNWGTASGWRWGYGMWAIINAVLAVPFISILVSWQGRVRK NPAAEPLPPRTSLYNQMFHEYDIVGVCLLVASVALILVPLTLAKGLAAKWTNQNIAMI CVGFGLLLLFIGWSLPKRFRPSWLLTPKLPLIPWYTLKDRSVLAMFIINMCDFMSYGC FTTYFQSFQQVAVRVSAAKASQIDNTLRIVFQVTAIVVGLVMRFWTPICRKVGFGERR FHTKWPIWIGIPLCALGIGIDINFVQNPRRSSSIASFVVAKAIYGIGRGMFQTSSQVA IQAAVRRGELAMATGIFYFAMSLGGAIGVAVAGSVWSETLPDTLSNNLPEASKNLAQT IYDNIATAIKYDPNSEIGLAILKSYVHTMKILAIVATCLQVPMLIGMFFVKDIVLTEE EEVAHAGKRLGLDKKKRSDQDRMLEKGEREEEKISA I203_08467 PPPTLQDWKPNPMWKPIRALTSMEMLPDISHNENSHVRRERKTN FSIPNDVIEKLNYTRDHPNSHPRYAIRLFCTSSDHYKPLGMPALPGQPVPTNKIIPIE YPSNPDIMVDSYPLSFKEKGLRGKAGSAPPFDLEKAPNGLSRVPGRMTTIAMGHTGPT VGKKKDVAKRFFYQIVFTEMTTKETLMNRLKGLVPTSVEASLAEFRKRQEEDDDIVVG TSVMSLKDPLAYMRMTKPVRSSKCTHIQCFDAQWWIESNSQHPQWHCPHCGKELQFPE LIVDGYVLSILNACPDSVDDVILEPSGDWHTEDNKYGSADWLASHGAAAAPSPVEKKP ETAPSPQSNGPTSDNANNAKRKIVELLSDSEDEDDEPLSRTANGNARSLALPAAAIPP RFTPAATPATPATGGASSARSSIQPANDIIDLTLSDSEDEDDTDEASPASQTYFRGPG TEYTNRNAVDSNPPLHSQIESKGSAARPSGGPSISIERDAPLNGQGSAARGGGTWINP LERDNLLNTNPRFGQVPPAGPRAGYGSSSGSLSKRPRGNDWYDDERPTNPDLRQKSQS ASGGGNGSASRSGGFSSYSSNQPQPYQSSVRQPFVSHPNPSQSFSSPLPSPTYQPLAP RQQAQSVSSPLIPSGPSSSLNTRPSAMDVSGSSRNRIEPSSAVTSTQSPSLRVTIPNP NYRGERSRTNTPLNSATIGISSTASRDKRDTDEASLELHPRPDVVSPDRPTSNVNLPI YNKFTSTANGNANHAGNRDGLDGHVYDDGDEAERSSDLGLGMDTLEENFWEGVLNGDI DRI I203_08468 MTNKDLPSHDFYQSLTHLTLSVYIKGYGVEGIKDQVKVEFDTRK IKINLPALPSGPGAEGRTISFEPLYDGIGTEGSTYRVLNTKIEIKLLKINPINWPSLL SDPKSTSLSAGPSSSSSSQTTTTASAPAPVEVTPSATATIESRKKEKKNWDKVLEDEL VEDQSDDKDPNSGGDAALQKFFSQIYGNADPDTRRAMIKSFTESGGTTLSTDWSNIGK G I203_08469 MLRLAALSLLSLIFAQSLTLYTGDTASTATSTASAAEGTYTGLA AYDPTVLTPPTPPETPVTSYTLTLPSNGQAVLDQGLSLSIAQKGNFLGFSVELSIANS LMGSSSTNMKVPFLNYMANIQNRAGVGPVIRVGGNSQEGSTIFTGGLEDGAVLDKIKV SSGVTDTPLINYSLELFYLMANITSLVGADWYFGLSFNESAVDSPTGNVPIAAKWAQD ILGEHLLALSVGNEPDLYVDHSKRESGWGVSNYVTEFDTMTQSILADNNLIDTTAFIG PSTCCQVEGFELDDVFNAGWLTSNVDNLAAVSVQHYPTNNCKINGNVIDPQSIFSDFL NHTSAQAQVSPYLTNSETVLGVQKEFIMLETNTASCGGFAGLSDSFGVALWMADYALQ MAWGNFTTALMHVGGQNVYYNPFTPPPSTEAATKQWTTGSIYYSTLVIAEAFGKSNQS KVVDISPSTDSDANNIYHPIYAIYENDAPTRVVLFNYIDDSTGANDLQTTINVGTATS SVSVRYLRASSVSEQYNITWAGQTLGTSFASDGRLYGEQSTVTIQCTDGNCVIPVYAP SIALVFLTEDALSNSTPSADAKTEYETSIVGTGSATVDKQALETSNGQSSSKNGGTSK GNSSGAISRITNVSRTTTGLVLALTGGLLALVL I203_08470 MSDGKKSATDSPVQYSNMSDVKKPKDVKAFLTDFLMGGVSAAVS KTAAAPIERIKLLVQNQDEMIKQGRLSTPYKGIGDCFSRTYKEEGLASLWRGNTANVI RYFPTQALNFAFKDYFKSLFGFKKSEGYWKWFAGNIASGGAAGASSLLFVYSLDYART RLANDNKSAKKGGSRQFNGLVDVYKKTLASDGIAGLYRGFVPSVVGIIVYRGLYFGLY DSIKPVILVGPLEGNFLASFALGWTVTTSAGLASYPLDTIRRRMMMTSGGTVHYKSMM DAGSQIIAKEGIKSLFKGAGANILRGVAGAGVLSLYDKMQELMFGKVYSVS I203_08471 MEIDQGEGGSGGSPIDEMQDEYEYDDIPYEYDEELEQALQAAES QSKPTINQGSTDGIVHDIEDLPLDTEAIQVMSPFQQFRKKGYLSVSDLVGPIWCETQY DYRLRTLPYLPPSQRPDIIKSKEGNEIVVDKVKVEGKEKILKRGEKIHKRLEREIHPE EIRVPISTDEDIWGLRFLNMLAAMEALLVLGKCRELPVVGFVKGVLVYGVIDEIIREP VAPTSISISSSDTPSNQTSLKTFFVPSRPALPKIEPKTHRLYISDSKTRSSNVLPKEE DTLSGRLQVMLYKELLDAVLLSSNDPSTDSSTHNENSESSQNGKSILPSRNSFSWDYI FAHLDLDSTKRFSEGFLEQSKSVVVGNGLRYGSDRAENLNDLKLIWNQYVLELGLGSG MTTTNRTKNVGKGKGKEKEEEKNSGRTEDSLKLVYRRAGGKKKHKDKDRRKGKRRRRG TKVEAQEEGEPPPTYPLLTVNGDSVEDEERRLIKLAIKESLKSPASDPIQPLISVEAD EKGEDANMSISRPPTRTSEEIYWGSDNDEQEQDSKQEDELAWAVEMSLVNPDLETDIE GGQGVVNVLKTPVSSQQSVSNDTTTHSSSASKGTDEDQEQDQGDNDNLPSGTIIGTHK FNHSPLLLAKHLENVLQFWMGEREPNGVTLEQTRRCGWCEFEEGCEWRLKKAQEIWES RKLT I203_08472 MELASPATTQYPSESGTGMPVMSSVASALHQQLTELHAGMKRFQ ELYASHADQLTKADIDTMVGELGLLKRTVEWTHRTMDDESAAIDSCVDDLIAGCSEAI VEAKIEYRINQEPSLKDISDDFTRKVYVDDKGPVSIQIQITPLLVDNIERRLTSSQLY AWYNTDPSHVPDIWSKFGHDTIKVNTSDGTNFKCYFGPADYMIAINNVQFGDENCFDT MKERNSTA I203_08473 MSSLRKLENEALARVEEAKAARYRPPYQVDPSCLSGDQSDEPGV ATLSKALASALATAKTDHSIFKARRHNLSSEVLERFRLESERDIQTQYTPFIAEILRE IETQAEGAKDDEISYSTFGSGYRVSVERKDDQGSNFVLEYTTESGTYILPVSWVKYSN NKYFTEILYGKSVSKLSKGSKDDTCIPPSVTSSSGVS I203_08474 MSSHLAPTPQQPLNRYIPQSPQPDSPPQTPSPISPGKSILEVAL GKEMEGDQPITSTPEGYSYTHSNNTNTNTNTGVGIGINFPPESNPLPKELHSPTLSSN DNLYSMAAPPIMQRRKSSEGGSASSVPLPSSQEGSSSAGMAHSLSAGSTSSTTAPIHQ QPQFPQQNLSPFPSSVSNQFNTPPRPRTVSPRHNLTSNGTATATFASSSSLGKGFSNN NEPRERVLSPHKLDLGSGTGAGVGIGDQQEENHNHLDPNNPYDQKSNNGSSGSSSNWE KANSSFSSFGGVGSQPYFPLTGKENLLGNSPTVQPKKVPFTDSVGFWLSLYFCFNLGL TLFNKFVLVSFPFPYTLTGLHALSGCAGTYIALEQGAFTPARLTQKENVILAAFSVLY TINIAVSNISLQLVSIPFHQVVRASTPLFTIFIAAIFLRARFSALKLVSLLPVVAGVG FATYGDYDFTALGLILTLLGTFLAALKTVVTNLIQTGGGGRLKLHPLDLLMRMSPLAF IQCVIYGWYTGELERVRRYGATQMTRSKAIALLFNGVFAFGLNIVSFTANKKAGALTM TVSANCKQVLTIVLAVMLFNLHISPTNGIGILLTLVGGGWYGYVEFMEKKKKTKVLER A I203_08475 MSNFSAQPIVIDGKGHLLGRLASIISKQILSGQKVTVVRCEEIN ASGSFFRNKLKYHNYLHKRHIVNPKKSGPFHFRAPSRILYKAVRGMVPHKTSRGAAAL KRLELYEGVPPSQDKVKKMVVPSALRVLRLKPGRKFCTLKRISAEVGWNYKDVVDRLE EKRKVKGQAYFERKQAALKLRAKADASVAKDEKLTQFGY I203_08476 MGATRANKEIYFEKLRALIEQYPSIFVVNIDNVSSQQLHMIRQS LRGRAVVLMGKNTMVRRAIRGLLAEFPQFEKLMPYIKGNVGFVFTSEDLKEIREVILA NKVAAPARAGAIAPNDVYVPAGNTGMEPGKTSFFQALGIPTKIARGTIEIVNDVQVVA AGTKVGSSETALLNMLNISPFTYGLTVVQVYDNGAVFASSVLDIEEKTLIDGFVSGIK TIAAISLATNYPTIASVMHSLVNSYKNILNVSLATDYEFEGSAKIKEYLANPEAFAAA APAAAATESAAAEAAPAAAKEEEKEESDDDMGFGLFD I203_08477 MSSKIICPVNPRRASRHSRKSCSHIDPVEMSLKLEATARESPEK NISGVEGFTYDTHIERDNVPVTPASRPSTSSSQLQQPPQNTSPQISSVQESFSDITRP SSSATASSHLSSSTQNIASITSFPPTIQRLLSARLFRLAVFHILSTPEYATDRSIILD IADHLEQKGAGKLARRLRRGWENSRDLTVSTNAIPEGKYTDGSGKRPILKLRLHSDPR TKDKPKEISPPNYWQIPIVPPSKPSSDPFSNSTKEERLTEYYNAHLEFRLKRPSLSST SSQYITSSPVPNLNDWPLASTNLRQLRKLLSTIDKLERTRGFKPDRRTGNLIIGCWLR SMIPSLSPHHGGKEVQYREYKDRYGNIKFARKQSSDKGSLGVKELRGLFELLSRIIVS TRTRSISIKPTTSHVENIDTDLISTRFSHSSSHLSDRSADPENVKEYEEIVRPFGKNM IRSMKNLGDLKGVDMVKQWMKEQRDVLLKDADR I203_08478 MSSATENYDPTAKDLKPDTQQFEVIDPDHEEPLKPHDEVDRHLA VKCPDSIKDLSFEELQVLDKKITKKIDILMMPVLMALYILNYLDRQNISSAKIAGITD DLNMTTSQYNTAVAVLFAGYVSLQIPSNMLIAKISYPGIYICVMCGIWGAISASTGAV HSFAGLAVCRTILSFAEAAFLPGAIFLVSCFYTKSQMAFRSALFYAGSQLGNALGGLF ALAILELDGAHGIEGWRVKGVMTVGSAAIFATFIPNTPETVRWVTPQERDRLVYRLQM DRSSKDAADEVTVGAAFKMAVTDPKTWLLCAVLQLDFIAASVTNFFPVVVNGLGFNRT ITLAITCPPYLICCFVIVINGWHSDKKNERTLHVIIPICFVVIGNIIAVSTTDTAARY VAMCLLPSGFYSASTVILSWISSSMTGPAIKRAIVYALINALCNTPNIWTSYLYYDSP RYVTAFAVDLAAAVGAIFMAAFTYRYLRNQNRKIERCEDMGKHGPTSLQIETGFKYQL I203_08479 MYDAVVIGSGVVGLSIALELHDRGIKVAVAARDIADDSNSIGFA SPWAGCNWYSFANGRSDPASEWDEITYKGLEKVAKERPDLCEKIPVWDVYSGKKKEEE KPWYKDLVTDYRDLEATPSNPLPGGKPYATNFKTWCLHAPNYTRYLGDKLRSLGVPLI RHRLSSLDEAYNLPSTGKVNLVINATGLGARSLIGVEDDKVYPARGQTVLVKAPWVKE QIFHVEGFFAGKDQDGDETAKAPPQAAYIIPRPGPEGHVVLGGHYRVGDWSTCADLKE AERILKDCYNLCPKLAGPNGRSWRDIEVIAHNVGLRPAREGGARVELEHRQIGKKGFT SINPTTVNDDMGRKVAVVHAYGVGGAGFQNSMGLAVKVSDLAVGYLKSSSSNRAKL I203_08480 MTSKRPYPNQDQDHQQQEQQQQQQQQQDHQNDEDNDTPKRRLGK VDRGREACNECRRHKIRCHPHPDDPQHLFPCSRCERMNLSCEFAKHNRGRKRKRPLPL LAGTTVSEDQPNSIPAQSSSSITLPNSNPKSKLPKQVDNHPHSFGDPRFPFISNEPDT HSHSRSSHHARIEDDFRPSKGIMSLRHMVGEESSEDVSSDEGDDGVDQAADNSARLGD PTGKKSSNKGKTPTRGPELVDDPIRAGFVDEVEARALFHLFMTHHNGPLPMLDPAVHT HDVVREQSPFLYTAILCVTSRYLSSLSPNTTDGPNMSPESAQSVHQQILVLARDHMTW TFAEAITSIDVIRAMVILTINKEPDDDKAGFHMNRAILAGRELDLGRIPSVNEMSRMN EEDHRRVRMKQRVWLCLFIANSIFNMQFQQPMLISQSDPLVATAHHWLKRARPDTVLR DTQLVCSVELRRKFLKYRDLLVGCIPNEPTYASALSLSMLTRTMNQDWDISCEAWIRD IIDVGGTSNNINKPRVWTAALRLNLNLLIVNQTLRLLPQDQIDVGLPSSIPAFNHCLN AATTVLLRMETLDKTQLTFASDTFLHFALYAATLLSTLCRGQHPYKFEAPEIEHCRRL ITKVADALDAASAYPSDSPTLHAWYLRRLCQLLPPPTTTPIPSGPPLSVSSSDAPLPP LTNAPTYNPIIDPLLQNVTTTMPVDPALTTVIGNELDFFLGDFPWVGLGLDATIGTNN NQLGQNQNQGNTWDNGTTSNNNDLIGMFGNAHAHTLANGYGGANQMGLTHNNRPGPAH GGNLNTYPNTHHPMPLEMPYDSGGGMGGHTGMGMGMGAGGMAFSHVV I203_08481 MSTDQLVSALTDIYHAHTLLIHSILAVLSGYIVFGMGWFTRSDY QPKGRHCYVTGGTQGLGKALAESLVRQGAHVTVVARDVVKGEKTLADLKAIAQPHQII QFLSADLTDAKSSQEALVKASEPFDGKCPDYLYLCAGFSRPKYMMDSSLEEFKAGFDG VYWVSAYTAQAAVKTMIRQRRTGRIVFVSSFLGYTSFAGYTNYSPGKYALRGLSDSLR SEMLIHGIKIHIFMPCGIAGPGHDAENAEKPAITFKIEENDTAIQPAESAKALERGLR KGYYSITDNIVADFARLRSDGGVPGNNFFADLFYLIISSYGFPIWRMTADRDVRNGRQ AVLDDYEARGVYDTPKSK I203_08482 MTSAYMAPVRACPRAPPTDTPTPSEITAGPQAGPSTLTPMPSSF PDSTTKPTKVKTKKVKIPKPQPSKPELKPKPKPVPVGPFDRPAEEEEKNVHEVYEAIA GHFSQTRHKPWPFIQKFLHSLPPNSIGLDSGAGNGKYLPSSRDARLEMIALDRSSGLL EIARNENGGECVRADLGFNGWRRGVFDFAISIAAIHHLSTPERRRHAIKSLIRPLKLS CQPSYSKFMIYVWAYEQGTLSKRKMGTLTNSSPGSTPIPSTSHPGLTTEPAPKSTETE ATDAVTEVEEKIQDLLVPWVYSRPPPAPGPKLPSSEDPKDDDLKASQTEEKAEAKVYH RYYHLFVQGELRELVIHSAEEDGFIVLSDGTSQDELDEQLKDIETGKNQNKKKWLRVR GVGWEADNWWIEGEVGLVG I203_08483 MPSADLPPTTTIAHDDMTGDQLSLPTSERVSTETKFGKVEGGRT KNGCQVFLNVPYGTDVPRWTDPQPLPSTFKYPTTPFIYDSKYCAQPERTYSQTNAIRD RLGLGSPSENPFFADIYIPSDYPLSPRPEGTPLLPVKVFIHGGFLQYGSTSGHHYNQQ FFPAEHYNEIRVLLGHRVSVLGFLGCDTPKVSGNFGFKDCWLGLEWVRDNIESFGGDP SQIHLSGLSGGGHVVHQLIHQAARLAPAKAPFVTAHLQSNAILASPVTPATRDIQFAA LCKAVGIDPTTPDVMERLRDTNELPTDKLIKAVQTMGELCTFRGVVGDDGWVRADQVE FQHNGGLAQGLRGAGVRCIIAGDVRDEDFFYKGVHFSNTKADLVPNIARYYPSEQSER FLASYGELSDDASVEEINTLLGRILADGQVHLPVRMLQTDLAKYSFPVVRYAIESVPV AYGTSGKASHGTDLAVHQLRLSMMTPQETVAALQFNKALWDEVDTALGGDGANFQQKD DEEMLTLDKNGVTQWRKDWRWPQLRNAEKVFRA I203_08484 MSHNIEEKPDIISASAVEQPVTELEDGLAGEKVQGLERVESARS NVMEDLAKGESVSYDDLPIIEVSEDENKKVLRKLDLLLLPLTMVAYTLQYIDRSAMSY AAVFTFRKDLKLTPDQYSWLGSLFFFGYLFFEFPGSYLLQRLPLSKTMGTSILIWGGL LMCMAAPTHFAPAAVIRTLLGCSEALVTPGFVLLVSRFYKREEQPLRVGLWYCCNGAG SFIGALVSYGMGHVHVGSVRPWAWIFIVNGGITVLFSFVFLWLCPENPQTCRFLTAHE KRIALERVRGNKASLGSKEIKWYQVKEALCPWIDPQGWVYFIIVFTIAVPNGGIGNFL HLILQSYGYTAFQTILIGLPQAVMQVIFPLSGAYIARKVPQARLYVLMAYMIPSLVGV IIQYKTRNSGALLFGYYILGSYVASLGICFAAPGANVAGYTKRVVVGAMVFIAYATGN IVGPHFFISTETPAYRSGMFACIICFTITVPMAFLLRLYYVRENRRRDRLAEERGEGA YDESRGDFSDKTDLENLSFRYAL I203_08485 METLNRRQVTDEASAAQSIDPGTECTAYSYQPVIDVMSSFPTIW DTASLVNGDTEASSLFATINATLNSKLPNVKPKGTSTGDFSNVGYSASDPDCWWTWSQ CTNPDSSLGINADHTTVPEPDTWGLGFDDGPNCSHNAFYDHLKNNGQKATMFYIGSNV MDWPLQAQRGLTDGHHICVHTWSHQYMTSFSNEEAFAELYYTRKAIKAVLGVTPTCWR PPYGDVDNRIRLIAQGLNLTNYVWSDDSEDWKTSTAGSNVTEADITANYQAVVSKASN GTYKASGPIVLTHELTNFTMSEFMSQYDSIKAAFKYVVPLASAFNITQPYTENNVSYP DFLAYTNQSSSASSSVSVSGSSTGTDTTAGASSSATSNSSGGSSTKSSGSPKLIVNFF AISVLAGLISCGTLL I203_08486 MSVHIEAVQAEHHQSGFGIAHSSPRLSWRFASTDLKDWKQVSYE IVITREGGKEEQYKVESSGSILVPWPSQPLSSREIVNVKVRSTGNDGKTTNWSEIRIE AAFLDRKEWKANLISGPAQEDKDKPKKPFRLRKKFDVSELSKKGRLYATAHGLYEVTI NGKVVGDRVLTPGWTSYKYHLNYQTYDITSLLKHGENEIVAYVGEGWYAGRLGRPGTR NVWGDRLGFIGQLELDNKLTLVTDDTWESLMDGPVKNSEIYNGEIYDTTHSDSTTQIT RSEVLPFPEAELISSDAPPVRRVKEVKPIEIITTKSGKTILDFGQNLVGWVRINNDLQ GEELFIRTAEVLEHGELGVRPLRTAEPNDRIVLGGKTKGWEPKFTFHGFRYAEINGVN PTLDDFTAIVIFSDMRRTGTFHSSHSMINRLHENVVWGMMSNFVSVPTDCPQRDERLG WTGDIQVFAPTANYLFDTSGFLSGWLKDVYSEQQYWKGVPPTVVPFIPPNASNDPWPK PHAVWADVVAITPWDLYTSAGDRGILESQWESMKLWLDKGLPRGENGLYNPLAPQYAD WLDPNAPPQYPAHGRTDTHLVANAYLVYVTSLVARIGKLLGKPASETSKYEQDAKKFK KLFQEEYISSKGRLVSDTQTAYALALKFGLMEDDQVERARERLEYLCKWNFFKVSTGF AGTPILLPVLSENGLSHIAYRMLQERDNPSWLYSVGMGATTIWERWDSALPDGSINPG QMTSFNHYALGAVAQFMHESIGGLSALKPGWKKALIRPQPGGTITSASTSFSSPYGTY AVDWKIENNKLKVSIEVPPNGLAKVVLPGSGEDDIGSGKKSYEVDWKEDERWPPKGIR GPQSVAIPDNFVP I203_08487 MSVRKSPPPSGPGSAIIKRARVDDGEDEDNNTMTMTVASSGEGQ RKNALIRSVKRTSGLEAPIVSLTGAHGGEITACKFDPSGQTLAACSVDRSISLWKTYP PHDNYGIIPNVHKTAILDIAYSLDSETIYSGSADGILISTDLRTGSRISKYSAHYGPI NSIAVTISGGRELVLTGGDDGIARVWDFSLDSKQNPVAEFDDERDCPVTAVEWSKDGN QCFVGGVDNEVKVWDLRSSSILYTLRGHTDTICSLSLSPSGDYLGTYGLDSLLIIYDV KPFSSDPMRVYRSLTGAPAGFESSLIKLAWTKHDNGSRIAVGGGDRSVTVWDVDTGKI AYKLPGHKGTVTAVDFHPR I203_08488 MRTPTRSSSKALSVRSGLSRTPSKLPPASPTPKQQQNNDTPIDP ALLQEEDDLEDAEGELVDEELEVDMSNHRHGPNGHHHHQYHRHHQQPDPIASSSSLYQ DTIVYQPHVQAPAFTPRIDIFTSSNGSNTPSPRKRARVARSSTSLSQTFSTPQPNGES HNHTAYGSRSQPRSRPKTKPNGKGKGKQPAVFREPICSFCGGTDNINKLGRAERMVSC TLCGRSGHPSCLNMEEGGLITVKIQSYDWQCIECKVCESCKVKGDDSRLMFCDTCDRG WHSYCLVPVFLCFPFPGLWHCPRCLSEEPSLSSTSSRSHKTHLNTTSSSSRKAVSALL DTQSISTPTARHKKTIAVNGLDDFASPGPSTHAQRIKVKLSKPSASASASEKRRRIRE KVDTEDDEGTPMIVRLKLPKKNKQRTEVENTSNEPEEIPEEERVPYGGIITGKEADTS KTEINERDKEEFERSRKLAETKLGGPPPNLSSSSTLDLGFSLGSPGPSSPGTPGPSQR TPVQNPNGSSRLNSSSASLSRPLRDRLLQQTISMSDPSPTSGYPFPPSTPPHGHLHHP PKEDTGKPEKINKIRFGQFDIDTWYSAPYPEEYVYVPDGRLWLCEFCLKYMKSGFVAG RHRLKCKVRHPPGDEIYRDGAVSVFEVDGRKNKIYCQNLCLLAKMFLDHKTLYYDVEP FLFYVMTEVDDLGARFVGYFSKEKRSLDNNVSCIMTLPVRQRKGWGQLLIDFSYLLSK KEGRVGSPEKPLSGLGAVTYKGYWRLAIFRYLLTAKPDVSMDDISLATSITLDDIYSV LTSEDMINVFDSRPSSQTPISSSRTPKSRNSGHNRSRKKPPANNDQDDKDFKVPLPTE YSISVDKEYIQAVVKKHDEKGYLVLKPERLKYHPFLVTRNPTLTSGERAEATLKIIGQ ATNTNANLNGHGHERSYDVVNGASEKMAEHPPTEEEILKGKDQATLNLVAELSQSPAR SLRRKPRESPSVSVSPIKSLRPRHNVQVDSSPNTRELRKHSTLPAANSFHGSPTKSDS NELPVLKKSSSMNGINGLESMNSRRRRIILSSDTEHEEEEEEVEQIEYDKVDPRLIAP KSNGEGVEGKIVDGEEIKNAEIVNGDEVMVEDEQDAEGEDEDAEGEDEDAEGEDDDEY VD I203_08489 MPPAIRKQPSRNSLPQPSSSSKSTHLNPSSASRREDALTDFQSR RLARKLDELERTNPTDIPATSFILPSDPRTNTNTTSQINKKKQSSNVRKILYGKKSLK DWLDELPSDPPNPYLTSTSPIPLTPPRKICSSCGYTGAYKCPRCAEWSCDRVCMEVHE RDGGCGIGG I203_08490 MFVQSSHMIIQPEYSTTLPTSRYLQLSIDLFFTRSDFDRDWETR ESWIVTYDSENGHCICKTDTVPQSTMGPTVKESKEWKDWENMVRKSGNTGRVDLYAGV YANALEGVFKVDAKERLEKGGL I203_08491 MAFPRPKPTTMETLAMERANPPFNIRKMSIAMHGSEKALILKEK FMAEIARHPAFKLSDIHDLSKDELRERTMEKFASMVYFVTNESLETFQLRMQLIGIAD PSFWTRFGVAYGLFLGALRSGATPNQLSYWTDRGVLGLNGVIGCFAMTELAHGSNVAG LETTATFDRGSDEFVIHTPHLGATKWWIGGAASTATHAAVFAQMIVDGKRHGVKTFVT QLRDTKTFTLMPGVTIGDIGKKMGRDGIDNGYIQFTYVRVPRAHMLMKHTQVSRDGVV TEPPLAQLTYGALLGGRTSMVTDSSNSAKKALTVAVRYAAVRRQFATGKNELETQLLD YPIHQRRLMPLVAQAIAIGFTGLRLQVMYEDMTQALDTMEPSDPNLNDILDKLKETHA TSAGLKAFCTWACLDTIDKCRQSCGGHGYSAYSNFPLMYADFAVQCTWEGDNTILSLQ AGRSLVGAWGAAVKGKKLAPGVAYLNDSSLISAKSDSSLSLSDIQRAWNCVASNVIKK AAEEYVRLLKSGKSKDEAMEKCSQSRFIAAKIHTIGYIFRLFKEAVEEMKDDEESRTL KTVAKLYGLWQIEEQQGYFLKYGYLDAQQMDKVQESVDALCQEVRGVAIPLIDSFALS DHIINSPLGRWDGSVYESYFAQVQAANPLPKEHPYFTRLIKPLLERQDEDMEDPGSAM GLDDELKEIEEERKGE I203_08492 MSRWRDCLEGHILIGLLASTISWVLLTLVTFSTPYIKSIYFLTV PTPELYFGTYGYCPSDTSGPEDCVGPQLGYERPGGEITEWLTRTMILFGLAALFMLLA WITLILSLLRVGKFMWNPVYFRTTALLGSFMAILAESFALSLFVIARRKYDDQGIRAK YGAALWLGLVGAISAFLG I203_08493 MVSPTLTPTTAQPSSSSSFSPSKDKSRSLSAVPVTASTSKVSNF LDNSSDEDDEDEEEDTIVRSKLRINGDKTKKRKFDNITNGHNTSSPKKLAAEGGSTST AESRRKEAERLFEKRKQLPFYQGRKEILEEILNNDTTIILGETGCGKSTQLPQLLRSH PLSKSHYPTKRGPKIVITQPRRLPAIALANRVAAEMGCMVGEEVGYSVRFEEMVSRET GVRYCTEGVLMRELANPDPSTSSSNHNQQNLNLLLKYDIIIIDEAHERTLNTDFLCGA LKKVQRIRKNLVAKQDAKGKAGVATGEIRELKLVIMSATLDPGKFQRFFQTGRDALLV KGRMYDVLTQHTTNPVDDFIEAAARQVMNIHCNPNHEGDVLVFMPGSDEIENCVELLR RAGKQLPEGHKQVSDEIT I203_08494 MSSSPFPQMLKRAHISTYDPLITRIYTSTPSSKSQHSDWGLKFP VPIKKGPRYIKFNSLDAGPGVNCDWRSGEREARFVQAWGDGTVRWQNEDEVQSLPFLT KAQTAAFSRNAQSDDYLTEQIPSSESAEDQLWMKDVESMSEKEFELYLEKIRKGRKQF LDQKLNDISTSIKETLVLPEDNTLIHLSYTGKTPSNSTLNYQAALTTSELRDVRSNKL NSKPHRTNGLVYSAKPTSSNEYLETSSKKGRVLNKVSRYDNTQNAFRPLMGGGGNNLP WIVSLGGLTGKTITNNNRFTDSNVGGNAYMNNSIDQTDYTRSDTSAGVGKFRIGRAEM GSNPPSVLALKDSKYTNNGTKLTGRWRQSTANQPSPLDTFRFDIDLSITDIESEVEGA EAGVGQPGSREWVGNESKLNKLTTDSWQDELNLGGPRRDRQKGEALDKLKKREREATK ETMSRLHRLLNKHKVDAEVKETEDGQ I203_08495 MLSSSPAVPLSSISFGEGNGRRLSLVTASGQELFTARDGLPSPE HLTPITGDSTPNNLSEPPSQPLSRRPSVGNEEFHDEPQDVPLGAEPQYEKYKELDSTS LRKVPSRGGIWHEVEEPRAPNVSQPALALRSRSSSPPVDKTDVEQWHAAHRRPTIPSN LSFTPATPNAPSPAPSLPAGQQDAHLTADGHRAPQVSKTAPSSPHVSPNATRHPKHHA GPLHDLRRFLNHHIGHHDHKSKHLPSGENGSIAAQAIHSHMNETPGPSVPGSPTHGGV ATPAMQRRGSGFNGLAAPGAAPSGTTTGQSTPATTRHDNNHDKHGAHTSHLMGFMRHH HRDNEGEKSHSSLASFFGHHNDKHKEKKSKKEGKTPTESRATSAAPSRVNTASFQMTE AEHAPTGGASLPPSRGISPPDTPDISTPKNASEYPGVPHPVVALTHPSLHEATHAHLS KKYGKWGKVLGSGAGGTVRLIKANSKNGGSTYAVKEFRPRRQGETEKEYQRKVTAEFC VGVTLRHVNVIETVDIVNDHGHFYEVMEYAPYDLFSVVMSGKMSRPEIYCVFRQIIDG VNYLHSMGLAHRDLKLDNCVMTHDNIVKLIDFGTATVFHYPGKHQIPASGVVGSDPYL APEVLNKDSYDPRLTDVWSVAIIFMCMILRRFPWKIPDYKTDMSYRLYVNTHPELCTK PPVPTPAPSVANGHEGLSNKVGGTHGLLNDGSSTLPFRSTSMVSNASTAVETKTSRDS PQKPGRAESRATATSSSSDEGGVGGGIQQLNLKDGDEEKKDRSDLNFPRRSDSVVSVP ASRYATSSALPTNAQPKRQGTHPVPSSPAMGMDKLSVPDKEGFKGGRNRAVSSPASPP TTPGVNEPHRNPFGAAGQGMSTATDNHNNNSVRAEQQAKRERAASISSTRTFQSGGAE SIFRLLPRESRSAIMRMLAVEPAIRCTLSDLLVGRGKDDMMCSCGSPECSGSVLPPPK EITGLSNDELDDGDEWVKNIECCSHQHHLQGKPAGHSHIKVVSEEKPKKKLFH I203_08496 MTNRWSGDSGPYHLLLTPTEFKQHGYNVWIESIPDGQNSYDLPI RQPEGIQYMLTVWGASGIQYAATTDVLTVQPSSNQNSSCFLSDDAILNLYTFSFNLTS NSGSYPPQCSNISLTWPTSLESNVTSDWVSDNSTTSTSSVQSILPRHTHPSLLPARGL NSNLEIEVFDERDASSSEHGGNTTHPPTMFGVIPLGNSFSIPITYNKKSKYATYLPES SLSDNPTTYTSQGVTHLNWTVDLAKGTKFILVAGIGSQEEWASGGSSSMFTVGQGSTG CVGSEQNGGGAPSVTASNSDPTSTSGQDNDPPPSSSSSVTRTVVASVLSVIGTLIIVG VIFMCRRARNRRRLNATAFISGNKKNKNQNQNDPDQFMTLGSGSGSETPLDLIASRND GSGLGSGNPPRLSPLVLPDGRPTSTGENGDHSATHTLISPLNPFDDRNFKIPFLPNSN STMTDTRSSPVSPIRGGSANDMTRNPSQDALLPLVGFDQSRNDNMPVGNGTYQSTQGS TSSGVGTGTYLTSISRNGRGPLQLHEHERGHEDEEDTMDLKRDTIAYLDSADGPGTGP GGPSEIRNGAQSVASAPSGVGRRRQPRPPRQQTEEREMEFRIHRDAGRVRVNPDENVD VMELPPRYDEVNWEEERERERQRQTR I203_08497 MLQKVVVTGGLGYIGSHVVVSLLLTGQYQPIVIDNCLNSYPEAL NRCAEIARDEMGSDAPQPIYHNVDLRNAEAVEDAFAQYDNKGGIWAVIHLAALKAVGE SGEIPLSYYKTNVAGSISLFESMERHGVNNLVFSSSATVYGTPEIVPIPETSPLLSAS CYGRTKAMVEEIIQDLCRAQKKDGQSSLRAVSVRYFNPAGAHPSGKLGEEPRGKPGNL LPLLAQMAIGREKSQLKIFGTDFPTPDGTCVRDYLHIMDLAHGHVLALDALAVPTNQK NIFSNCDAENGPFRAFNLGKGKGMSVLNMIEAMRKATGYAYQYEIVDRRRGDVPDLTA DPTLAEKELGFVAKKDLEEMCRDLWNFQTKNPHGFETPQKQTGTI I203_08498 MDQIPSSNSSSSQGYNENSAHPLISRTYRSRRLNKLRSSSADLV STGSEGYSTLLEHRQNPRKMRYAPNATYTSMMSTEQEQPDQPDQLDGVGDTSQNASEG NVQAQAEDGDEIHAMMGHSTSNESLSGWSMRTRYHGDAAGVESDSANIIDDTGTASSQ HQSITDRDRDGQRWKLPKDLNEELEIWAMRRTGKDIRQVYGLDACPEDCICKNGKAFD VVRGL I203_08499 MEYKDDKEQITNQPVNTFAAEGKVDDGYEIYAKNVDIQGDRTPE EQAIWEAKSRRIATKFDIYLLAMMCFLVGVNYIDKAALAWAVLFDLKKDLGLVGNQYS WVSSMFYFGYLAGQIPAFYCTARLPLAKVISVTCILWGVLMLGTMGCRNFSDMMAIRF LLGFFEAPLVPSLVSYTALFYTRKENAVRTLIWGAMQGTFYLIFTLVAYGLGHIKNDG LKQWAWIYLVLGLLSIALGAGWFFLPDTPIKARFLTEEEKVIALERVAENMAGTKGSA LQLHQVVDAIKDPMYYLSLLYVFFAMVPNGLSSFNTLVISSFGFNQFNTLLAGLPSSI VSAGSLLAWGIAARKYGGLRTLGMVLPLIPAIAGIAAVYATTHGNHPKWGRAVAYWLI NSYAVTWPFFQAHIGVNFAGHTKRSFIYGSVLVMFSAANIVGPFIFPSGSSNYSKALA IILVFFCVQALLAVTIRIYMIFDNRRRDKKFGKVDRRMETEGALEGLSDKTDRENTAF RYVY I203_08500 MSSSNYGFPEPDERKCLEVLQGLIKIKSYSKTDGELEATAYMAK LMKDVGLDADVKKFDEGKRQNALGIWRGTGGGKSLLFNGHVDTNPVTEGWTVDPWGGV VKDGMIYGIGVSNMKAGCAAYLCAVQTLFESGWKPKGDVVLTHVVGELQGGPGTVALI DQGYCNVDYFVNCEPSDLKAITMHAESHIFRIELTGVTRHMSKREDGTDAILAATDLI PRLDRLTFGNAKNDDVKACNRCHVGVVRAGLGKEMADWRPPQVADFAVIRGAARFGPG QTSNDVETALEIECMETQRRFPQLKYEVFNERSDSMPSFEVSKEAYIVKRLNKAYENV RPGEKQPTGALAPQCFYGSDAGHLYVKLGLEGIVCGPGGKYNTMPDERVEVVDYMDCI KMFIRLIMDICG I203_08501 MPHFAASLRLVLAIMLTSLPTKLLECSYAVDASYLLQTIPTFGG FLSLNILCYLNDTSNYTWYYRNFATCGPGPRSYLGRMFGGKSVINSLPMEEAGLIWLY EHQWKYWTRIGEDPPHAEELGLRPGMRVLDIENALCWCHRYINAYEKKGYGNFSQMTK PTYDKEMSDNTHEPHWCIEEKWLKSTSKVIYKDDLDEISRKLGHVVEEGKEVEENVYE VEKIITRRQGSKLKDGWFRVRWKGYTPEEDTWEKQSSIKQGAEESLQDWLDWEKNVWD CIEKVKKEYPYNKPSIAKDEKEIKPEMDDQLNSTNGDDEIEAVGDDQPPMKSTKQVKL EHEA I203_08502 MSNSTTPSLSSGASNPPTHIATPVQAERICTDQHALYCFDVLVA HFENREPLAPPFPNQNEKYALFVTWNTTVHLRSNKKPALRGCIGNFTPMKLADGLREY ALVSAFEDHRFSPIKSSELPHLSCNVSLLTPMTPISSPLDWTPGEHGIHISFPHPSTH RPLSATYLPEICPEQGWTREETVLSAIQKAGYKHKVVVGDVVWQSLKVKIYGSEKATT TWEAYVNWYKGKGGKLKVAKS I203_08503 MSAPSGSTDSDGFTVPPPPAKRQLRPTSSVPTTTEFYGEKHGIS ADLQAALQSVGRRGRQNVAMGHATHRAFERTQSVPSHVLSSSALPNAGFTTALDAMSH AHGIISKEALRSGELQPFYSGGSDENKNVDGLSLSPRGRNRRLKFNENGEVEEEVDEP LFPSSRSALETREVTHLTAARKRRSSPAEPTSDTETEIGDEDEDTPSFAPVSTFTSNA SDFPSVFKSPAMTQPELFGPTGSTSKAFPSAFKGVNARELRGLPGARKLGFGKAMSAP VGSLGGWGMDVDMSSGGDQQVDGGDEDGFDIKEWAENEQF I203_08504 MPTEFISVTFPNASTELAPIPGAPVDPEFLEKYARALDDNDYNY TLIPYGSSSFDPFTLGATVAAVTKKLKVIIALRPNTMYPTVAAKQLATLDQLSGGRVV VHFIAGGDDVEQAREGDFLSKDERYARQEEYIKILRKAWASSDPIHWEGKYYTFKDFR NNVRPINGTIPVSVGGSSPEAYRIGGALADIFGLWGEPLKETKEQIDRIYAAADAAGR APNDRPKIWVTFRPIVAETEELAWAKAHRTLDLLKSNRAGAPIYPNSDKGPQNVGSQR LLEIAKKGEVHDRALWYPTVTATGARGASTALVGSPQTLIDSILDYVDLGCELISIRG YINYHDALDYGRYIIPGVRKALKERAKGAETNGEEVNGERPPKRARALREE I203_08505 MTPVHASTNSTSHPSHSRRSHRALLARIPPKGQSPWQLSHSHSI PALKEHEVLIKTSYVALNPFDWQGVAFKYGIGEEAKVMGRDGAGEIVGVGEAVERFKI RDRVWFCANSSASHTGAFQEYSVHSASEVGHTPDHLADQEAATLGTGLITAGVALFKT LGLSLESLSRTEKKQREEDAPWVLIWGGSGITGVYLIELARLLGYRIICSASPVNHDY IKSLGADVVLDRWSDPDELVEKIREATDDNVRIAIDNVGSVTATLCHQVLQGSTTWRK THDVSVFEKEQNSSKLVPLAGSPKEPKNKPDRIREVESLRISFSTTFYGHPEFSEALL DRFDWLLLNKLLTPARIRLVEGGLHGIEKGLDDLQHGRVQGGYKLVARLADTPEELSV GTKRSRESDAEVIADIGNKRSRGNEEEREYRTSKKPKDAEATSDNHEHIKTTSRSIIA A I203_08506 MSKIIIIFGSGARIGQASTEKFLSAGYKVATVSRTPKTTSSDNL AHITADLDDPYSIEPIFDQVQRRWGAPSIVVYNAASMYATGENPLSAPIDEFTKVINA NTLSAYAAAAAGYKRNNNVAFFFTGNALNSMVMTRLVTAGVGKAASAHWIEAAAKAEQ LRPARFYYVDQRNPEGGPAMNAVNGEAHADLFLKLAEQEEQGEPIVVFRA I203_08507 MTSLPSARQQDAGLPRALPTRFFDRPGCKGYQAHGPEYPLAVPI YDRVWQSAANDMLSRLPKASQLISLFLQPAGSLLKSNTLFLLKQTCMSCVPRTSLEAG FAWPVNKTSGEVAGNRRSSTASVRFIPDLIVVKRVCSSAIVCPRIVIVKGAARLS I203_08508 MSKIAIIFGLGPRIGQPAATKFHNAGYKVATVARTPDTSTTDDF LHLTADLNDPSAVKPIFDKVESHWGQSPDVVIYNAGALVPTPTNPLSANMDDFVKSFN VNTFTPYSAASIAYERNHAVTFLLTGNAFNTLVNPRFTTQGVGKSATAHWIQAAAKAD GLRPARFCDQRTPEGEPCYTGLKGDAHADLFLRLAEDPEQGEPIVVFRA I203_08509 MYNTPAASDFDDWNQPGWSYGDLEPLIRKSIKFLSPEEPGSSPH SDEGVFHVSRGGHSVSYGEEFAKACRLVLGDAKECENITTYRMGHGFGRQPKLITSDG KRSNVADAYLHPCLAKKLTVVTGVTIDKVIFKGNEAVGVKVIGNNLPSASFGTNEKYT KTVKANEMVVLTCGALGSPAVLERSGIGSAEVLKAAGVEVKVDLPGVGHDLDDHQLIC PMYRATEGYYEPFDRYARGDKTVKEPVDEEYERTGKGIAASNGFDFGMKIRPTKEEIS SMGPAFEKYWKRIGADKPDKPLYSNVILPYFYSYRPPPEGKYFCIGGFHNYPASRGSV HIRSTDPFSLPRAVSGFLTRPEDLPVHNVFCRRLPFYEGEEPDSHPRFDPKSPARLRT SDDPNRTDKPVEEDVIPYSAEDDRVIELYVRENVATAYHSIGTCAMRGRDAMGVVDAR LNVHGVKNLKVAAENAARIILQDLDAMNGVRHVSAKL I203_08510 MGTEIPDTPSNNTSTTSINSTLDPNVVQKDHRNRGLSVGSSRST TSKPRLHPSLSSSSLSTVSTVSKKASDSNLAQRQTEFEKNFTKRMDLEPLASFKDPAS PSESLTLPDDYMAILATQAADLQSSILIHGRLCLTRYHLCFRSNIIGIITMKVHALSD VTSIRKGTTAKWIQNAIYVRVLEVDDEGNQEEQHYGYGSLWNRDSLYDALMDCWKVRA PERYAEFSKKEAMENGEVESDESEDEEDQEVDSSGPQLRRTTGSGEEYKELALNVKIP LELEQTYNLLYHNDPFTTDFYVNEKKLTDLKISDWVEGEETGERSRTLTYVMHMNNTL GPKSSNCNGSETIVIADPETSYEIVSETQTPDIPSGKCFIIRTRTCLTHDILHHKQAT RIHCTTQVDWSSSSILKGTITPAVIKGQKEHHQQLIRAITEWVKARPDEFTGVEAEHV EVKHEAEIEQSTEEVKEKIGKTIMEHAEEIPDNPVMLIITVMFVIVLLLYIKG I203_08511 MDNGGGSVVTRELCSGCGLYILEYGAQAKNDFRFLTLGSLDDPT ALPPKGDFFTQDRSDWMPQIPNTFQKREIKE I203_08512 MDARIDPAAAFGINLGDAHVVRNAGASAKDALRSIVISQQLLGT REILLVKHTGYGMLTFENKDAYALVEKSLG I203_08513 SNWIDPSIKTSPTGLNRDPPLAVYGHEQSQHLSSFLSDPSKTHP YPTPELVFSSPFYRCIETSLATAQKLEGGVRLEHGVAEWYSPVLPNTGLHPRPSHSEH LSQYFSPKSLNSSYRSTVFPSRKGESFKELHDRAELFVESWISKIEELHPEVRSVVIF AHAASLIALGRALTGDRTLNVVAGCASTSLYQRKRPTTSESFESTLQPHRQPFDSRPP SSLGFTSVDSPAFGGGLAPPSPAFGSLTGTATPPAFAPLSRPPSPPPRSTGSQVMPCG VGEWEIVWNGRADYLPNGIERDWSFKDALVKDDGEVINDKGDGGPYSEKDLLPQGLAD GAEKWLRRGRPPFDTGGEGKRGSVGLDIGSARM I203_08514 MRELAVDREAISPHPTPTYEDGYDEPHDPDSEKQASSDDSNASS LSTRAAIDFHQDDIRDSIGAVDEQDISPSTVRMWTLLLVLSTVISGIDALFQLRYPTV SVASIVAVLVAWPVGTAWSIWLPNLSLPIGGGRRLSLNDTRFNRKELGCVLMFVNVCI AANLTNTLMVEQIKYFKVELGLGKMIFYNLGLYITSWGWTGLTHSILVRPANMIWPGV VGQLALVTNIDRINRRLKPNPSDNDGKTWKISPMGMFGIVFTLSFVYYWISGLVFPAL AYIGAFISWSAPNNATLSQIFGVKTGLGLMPLAFDWSQISNLSNPLLTPFWAASCVFG AFAFWGWIVLPALYYTNTWQTGHFPIMTNSLYTVNGKSYDVTKVVTKNWTLDAAAYKK YSPLMLPAAFVLNSALGVASFAAVILDLAMNWRKDVWEPFRNRNADTGAVNGEQKRYR EKVLPLWLYGTASVIGIIFGIIFVEVWRNETQVGAGAFFVSIIIAAVLFLPLAMVEAR ANITLNLNIFLEMVSGFWLPGKPIACMYFATSGFATLQHAMHQSQSMKMAHYLSVPPR TAALIVFLSGVWSSLVNCSVTWWALRHTANVCTSAAENNFVCRTAKTSFNTHIMWGLL GSKVFTKGGRYVEIYYFLFGAAAVTTIVFIMRRRFPDSKWALVSPTLIMNAGTLLPKN TGINFSSWFLVSFVFGYLIHKKKTAWWRKYNMITATALDSGVAIAVILIYFAITYTGA GAHISWWGTKVQNSGCDANGCAHLSVESLVKPTGW I203_08515 MTTHQTSICEQALTQSDKTLGVSSKEKNICNDRFEKLVETLTEY GCRSATETFLIVATENEESASRADLMNRINSDGVYKNHIQSFLTRTFEGVSRHYENEH HADTDRMEALENLEFSIERNYPKGITELGVSSRQMYREILARLVSRPKPDSDDESESW KYNQAFDYSTWHTDVGQVPLAFPSPLTNAVAERGIPQVSLRLFQDLSSKLSRYLNTAP GENSSIQLPFRGLWSTRDWAKITETMQPKVLDRFNSVLKEKWHEKTAKDLNSNGSLLE LSVDLEVTPSELTTTTPPEVGSVRERFGFNEDSIKVRIASGDGFFKEIDPPSSEKLSE FVDYNDPRYDLTAQSIREHDEAERLKWR I203_08516 MSNRINASTTATQDLSRPVSSLSTLDKTLSLISRSFSDPIGALR WLLGQPASHSNTINEIDYDTLLAEMRELRLASETYERLSEDTTKDYTALYEKFQNLEE ENDNLRQSQSTSLNSDPGEGRQMVSSHNYTRPWRGVGDSAFERDLKNEGREVERDEWG LAKLDLKGDPIALRPQEDKKDEEKDEDDADIISSEGQERERENESGQGGLSDVQLKSL ISNARKIDHLITCTIGSIQSQITEERLKMMDQDEITKRVLGDSYQVYKVKNDPLSNPN LNEGELRRFVEEFLSDSIKHWFDFDNKISLNHILDGSSLKSTSEIQEDGVHVFLSSSI QSNDPTSDSTSQIYERVISLPKFHTSQAREYFSDAERYFEGETANRLTESVISQVQSH LPCDIPSDEGAKWHKDTRKVVRNYITDVMIQERGKRELQGRVGQGTQRSISIEVSPIN PAPPESQVGSDSKAGTTTVLLAWRSLDGFFEKLPSPIHLTIDPYQHSEDQGSHVLEEN KPAKGRRKTYKLMNGRAVRFMEFEEKHDPSEAE I203_08517 MDTSQTSSGSTADTSTGKISIKDWHSLELRTKSDPSTLERFFVR KSDELSDKVVRTSITGKRSDDTALHDALGQERERETFIMDMANSPQLKGGVDEVVKRA IGGTSNALLAAGKTIDDILNAGREMEISSEVRSSGLVKFSIHSPAVERDGSSSVSTWT GLVKPLESFKLTDQLRAELTKGESVFRDATLERWFGKADFPDLTLQQREDKLQEMFPN RDKFWSAWAKRDWDEISNEVGAAFANDSSHALDETIEDKISRQTSEVGSMDKVYLEVT INPNIKRTRNSLDCCGWLSTDPLMDSESVSIQLQSKDGFFHKFYTKHLSDFVDLNDQR FAKDL I203_08518 MSSDQLESALKRISEELRSHIIETILATRYDLDDPPTNEILGEN SKYRAHAQRDMAEDIEQTPEIQQLLKDTMIDVKTSLAGLSEKQARHMSLDDAVRFYCN KRPDGDLDLRMDCLSDDTGDNTTNLNKGIYNTHTATVSKPNIQTDFVEEALRKAEKEA IHPISQSLSHTAVENLMLTTQRVDSQWDAENGISTVTVGSQEGHRKDRDLRSWRETNW EDLANRISPGLHNWVLESLSQAYDQRVEKDRLWQGTFGGLSLRSDFGKVTRGSSTDFD PDLNPIDRFRFVLESRDGFFNGLEVTRTILLKGLLDDTPLNDH I203_08519 MESRLRKQLGLVRCLSNSDIEINKTKEEVLDMRKRWKSIDAENY KNVRLPLVFRGILPYGRYEQRDLGITGATRPFYVPAITYEVESHWISSLFKDDPFFNL PKSKKECLEEIKADNNFTRARYPGIDPYECIPSGTYFSGFNDLSYTRVLLRDMSLDPW RQKSNARWWKFWGNEKGWLDVRVNPEQYATLGEERRMLREKTQ I203_08520 MSDSDTDPAVLIIGCGPAGLINARTLLQDGFKVTIVAKEEDVGG CWRNTYPDLTTNSPWGAFTFSGLDMAKPSNHKGDVVPARTYRRYLEDFYHHFVKDEAE VLFNTEIVSLLPQEENQRGWVAQLKAKEGREEGRSFDKVVLATGLSCKPFIPDIFKDS PIPTYHTSSLGFSDQLNNLINTVTSSTDKPTVEGDADTILVVGGGKSGMDTAALLANR GKKVIWTFRGRLKWFAPTVPPGMMGAKWVILTSLLLPYLGALIIDSWTMWFYHCTFIG AKRVRAFWKMMRSGWTHTYVEHGLPPPETDPYLSLAHFAGGIPSSPTDFLPLLKEGRT AMIQNVTPTSLDSEKYSVEFTNSDGQVKIVRCGAIVAATGY I203_08521 LALYGVHISQVIRYFSHHRDPLRTKLLVCWIFLLSTLQIIIILL SSHQYYVNGIADKKIWGTFWWPLSFQDGLIPLMAFTAQLYFGRRVWLLTGKKPWMIWA TSILATIAFACGIALAVTAHIWSDNPFVPFQLFKQRTIGIPSQIVAITWMGLSAFTDG CITLLLIWRFRQARRNSVFYSTRNLVRKMIALTMETVLLTHIVGATMCIIFLASPAAH RTKNNVFWILLESITELYALSIVFTINSRSPTPPPTPDTDVDDSDGKDGDGRDRLPDG LAQTALDYHVEGYQGSTPFGVRAVNVNVQVDRSTGSGSGTGSSRTGDTIPSPFTPMDM DGQQYFPPFSMGSPGRMSMTMETEDVIDEKNGK I203_08522 MIILTALLPLMALTSVLASAIVQRSGATDVKLRVKDTDDCLSKK PSPGDPNSFGASTEKCADAPKWTINRDQPGKIQLAGSKDKVLESSQGGVLVTLEAPED GNKFQEWTFRDDGSIKNTFDGSSDQEYCLAKTTDPIFTMDLDDCPEADAKLDEGDPRV WEIIE I203_08523 MSTRLPAPSVAGPSNTPRNPVKLSVVAQQSSPQASSSRRPLNET DYKAEDDILIKAAVRKAQLQRRVDRWMDKLMEETVDRNTFKKITSHLTPAQYQELIHE RHLNSLCSYPLCPNKPRREYSDKKRLSISTTNRTIKEKQGNPEDGFCCEKCKKRSEWV ESTLSEEAVWLRREIKEVELLEELEESGMFTWPEHDKRKRSEGVKNGLKSRNTPSISR TDAITSMPQPVHNDTPVQSSTLPPKPSAAPDNPVSALIANLTIHERPTPSTPPIAPSI SQPTPSYSSPPPKSIFPTPQARTTSTPANAATEVTPRESRRAQTALIGTSSKLLSNSF VNATRSLGSIGLSQGESDVDEESEASDWDHEMDGGWDDEDMKGFWEEARLTRELVEEE ETANKDSRS I203_08524 MKLNNETVTIELKNGTIVHGTITSVDPQMNTHLKSVKLTLRSQT TQQPLSLDSIAIRGNNVRYYILPDSLPLDTLLVDDMPKAKRRKEGAGGAARGARGARG GPMRGGPGGRGGGGGRGGPRGRGRGF I203_08525 MSYTNGYNSNPHSHSNTPAPQDQNNNAAVKVQPLLCSGHTRPVT HLSFSNLLDDGTYLLISACKDGNPMLRSWLGDWIGTFLGHKGAVWSSKISLDTSKAVT GSADFTAKIWDCNSGEALHTFSHNHIVRSVALNPQQTPQYLLTGGHEKKIRLFDLGRP DAEPLLLGSRPDGLSCDGIVRSLVWDEGSGGTMGVSASEDGLVRWWDLRTLSQTGQLD LGESITSMELAHGGGTLSVTAGKKVHFLDILRQHPPVTVELPHPPTSASLHPFARDRF VIGSTNDPWVRVYDLDSGKEKEVYKGHHGPVLCASYSPDGEVYASGSEDGTIRLWQTN PGKSYGLWQTQD I203_08526 MPLLTSRARHILQPLRPLTLSVGFSFIVPLRFFGSSTPGKNDIQ CTRRLVRQPSPAASRESPYPVVFLRMKGAEVQGVEEEGEWMEWSSMFSEKGYTSIEID ITIPPSPTSELDTEEISISPLISSLSTEIRSLAIPFPPILVARGVSTLLAQAYIEDHP SSGLVLINPMPDEDPQSRQKSKLKWPIFQYEPHFPILVMSNQASLRELSVSNRLVREH GVVPKDEKIGWFGRSGKRVEIEVMDQVDESSRIQVERWMDRQGY I203_08527 MSKILNTSTGVTRIAQNFLASSCSHRPHRRLFSSVELKPPVSRR AMLYVPCSNPRMIEKSFASPADSIAYDLEDSVAPGKKDEARRLISEVLNGDRQPKGEM VARINAIGTGYEDDDLNAVLPTKSLQAIALPKTNSPDHISYLISRINQLAPPHKRTSG DQPIKIIAMIESARAMIDIERIAGSGKGHLDALLFAAEDYCADVGLTRTPSRDELLYP RSKLVTTTKAFGLQAIDLVCVDYKNSDTLREESEEGKRLGFHGKQAIHPNQIDIIHQS FSPSEQAILKAARVKFSFEHHDKQGKGAYTLDGQMIDAPVYKQAIKVLAKAYAAGLKI PDVHLEDI I203_08528 EYNAPPPYTRRMWAGGSIEWSALNRSLRVGEKVTQIVNVPKVEF KMDMVFVNQQLRIYPGTLSDLGGFDEESWSIREIRTHVFRKGPTTTTTTSTPVRPAST PSEDRDSIVSSDNSDVTFTHTPSSPLLFFYSALTYNPHKVHYDHPWTINQEGHPRPLV HGPLTATLLVELANLNKPSGKRLKMFRYRATSPRIIDDEIKLIGNTTENGEGMELMAV QNGNIGMKAAMEYQ I203_08529 MPVVPPMDDVRPSSRGSSSQREGSSRPAAPAGKVDKKSGGTPNG KDKEKGWIEGVDYAYEYVPVSQRRQGRKNM I203_08530 MDNHKSFNANSMCSIVPFYLPPPPPGYQLVYVPPPSTHHIHVDN HSSHCGSSHSCSTKSSAGPSTPPSHQTPSLPTPSDTPMSSRSDGVKHLPTDHDCVLRK YEMKMRQQPVQARMCGVGEKSDRRPVDPTPIIQLKVMDENGEDITPTDPRSRTSLRRP SPGPNGMTFMQNPYYFLFACLVGGDENEDELHVIDDGKTRFLTGTPVSSLYHLKDLDN TDAAFFVFPDLGVRKEGRYKLKLTLFEIVDQEVYYCTTMFTSTFSVYSAKKFPGMSKA TDLSKSFAEQGLKIRVRKDPRQPAARLSKSKRKSDAADSDDDFDSPRHHHLHHSHQEQ RRQHPPPQHHDHHHHQAKRSRGASVGHPSNQPEAVGRYNRPTSSHGEPSSMTYHPSYP PQPPSSSGGYPPGYHPNYPPPGHHMPPPPPPSAFDPYRNHQAISPSYPPNAPEYPSQY YGSNSGRPSTHPSLGPASATTNSRHHQSLPPPGYGPPEHPNFQQQQQQQRQHHQHTPS PYYADGYQPPSAPDGHHQRTPSYPGSPYGEPQGQRGGPWPGSQIQDQRDTYPQERERE REMMYIRERELERERERERERFRGQPQRNRMREGPGPLSPRSRVSPTRSNFHQHQHQP SPTLSAGSNFGRPPSSSSAGAMVSPNNITLPPLSLSTPTSRSRPLQLSGSGGSASRPN SAGLPPILSSAERDRDREERLSQRSASIGLRSASASASRNQSPQSQNTPPLSAQPLSA KTTDRMKLHNFVD I203_08531 MPKPLPKLSPPPEDQPDTRDPIEVALKQHLEDVREWTEWMTNGD PQGIGRMDYGIYRTFEEWVKKKEALDIIDGILQKRLTLGFPYTHKALILAQIIPSDRL APYAKLVEPFLPPKPPSGPEDKVDIPKFFNPLIASIAQGIHTQATAEIAKQEAAKKAE EEKKKQDEEIERWELWCNLYGRSRADWYPHPGNPQWTYWGWSEIGKENWWKGRND I203_08532 MLVDMANPSSSRISITDLPREVIRLIALKIYDPLELTQQDRLTW GIFSTVDMHQERQDDLASLISLGMVCRKIRREVRRILFTCVRVSGVHWAEEIIGNRDG WARYVKSIIIDLTMFDSDPDASTRTRLSPIEADPFTAQTTDISSSNVSTENIIIKPRS CWAESSLLTSLLNSLPSLNHLSFFADASDDSTLALLFASLIPHPSLHAIPPTFLSTSP STLSTISGSYGPSQHSRNPSVYIPFTHRLKSFGWRQRAAPPSNFRQFSQSSTFVSTLH LIRHSHNLSFLVLDADLDELNLVDILTPLKELQLRRSPIGEKSQLISLMICGPIRDWD QEGVGNGFLKSMVNTFDGIKELFIDRPLKKSMEVRETSFEDFVSTSLLSPLSMLPYLR LLQVGSYTFTNPLQSSIVKHISRNISSLLVVGLLGEEGETVWWGIWRRASVRGMKASQ EGEYDEYTDTLIKFLGDGELRILEDEYEEWLKISRPPTPPQSAQSVAGLELDLESESK DEDVRMTPRNTLSPIGLDVQRLHALGLSLDGSVSSPAMQHDEERGRKRTMNEGGGMME GEGRRVMSLDRLLG I203_08533 MPAHIPIVKKRTKTFKRHQSDRYHGVKESWRKPKGIDNRVRRRF KGQLPMPKIGYGSNKKTKHLLPSGHKELLVHNLSELELLLMHSGKYAASIAHGVSSKK RVEIVARAKVLGVK I203_08534 MVRAAFPSVQFIPPSLDTPDAKRHKPNTTTKAGSALGSALKKKA PPGPVYEKGIEYWDGVDASVNGVLGGYGEGPVPHIEQLSSRLLLLSLIPSLSPFPNPL TPSPTLKTLPTHRRTVLDVGAGIGRVSRHVLLPLFDDVVLLEPVDKFVREGYRAASSG EWRDLPIQNGDDEEQKRRLEQQQRDTKDGKGRGKRVRFVKRGLQNLDPKYPVDDQAEE IGLVSSPRGEVNGEGGCMEDEVLYDVIWCQWCLGHMNHEDLVNFLRKAKKALREGEDH YIFVKENCCDDAPGGVGQEFLDEEDSSLTRSHQKWVDCFIEAGLTVKREEIQQGMPDE LFVVKT I203_08535 MKISSGLTLALAALLPALTSVLASDVLDLAEDTFKGEVFGEDLA LVEFFAPWCGHCKNLAPHYEEAATELQKKGIKLAKVDCTEQANLCQEYGVNGYPTLKV FRNGTPTDYTGPRKADGIISYMIKQSLPAVSEVTSDSHAEFIKSDKVVLVAYGDASHP IPSAYSQYANTARDSYLFGQFSDSSLPSIPESPSLPAIVLYKSFDEGYSIFPASELAN LDVSALTEFVKTNSVPLFDEISPENFGTYAEQGLPIAYLFADPSEADSRDKLVEELKP LAKELKGEVNFVYIDAVKFIDHGKSLNLPGDNWPAFVIQDLAAQTKYPLQEKEVSAKG IKSFLDKFIKGEIQPSIKSAPIPEKQDEPVYKLVADDWENVFGDLDKDVFAEFYAPWC GHCQRLAPIWDTLAEKYATNSNIVIAQMDATENDIPPAAPFKVQGFPTLKFRAAGSSE FIDYNGDRSLDSLVEFVETHRKSTGGEGGAGGSGDVDEEVWEDEDAPEHDEL I203_08536 YLEKVDWLHRCIHVPTFLRQCNDLWSLPPERVAHEIALPFLGLY LTVCTLGLTFMDQSEISKHFTVEEAHSLPDTWFNAARSALWAADFVGSHTMEALQCII LLGVFMNNRDRADAAWALLGAAIKMAQGLGLSRLGAEQQAVDGKPLPMWTGRWESLIQ REVGRRIWWNLVFLDWHIQPDQIKTALPANIEDEDIIDDQPFKPQPISVRTGMSFQLA RLKFAEITQRQIWQANNNHHPPYSFILSVDGELRKAMMELPEFFQPDPNTKGPPSQDP KALVRYYEKIMLNLAIHSRMMRLHRPWLSRGYEDERFAYSKEQCIRAARASLRMMSDA DGTASFLEKWWLPLFYVSVSGLVVIIDLLRTPRRQMYSRETDAKISEVKGALDQMRGI MDVSHPARAAVRVMDLLLAEVEDRRQTPGSSLGKRKDPDDVDDEESGGLQRAVKKLIR QAQLEADSPNTSMTSTTPDLNRHVSQSPQNSDHGNSQQHKESERPVFDAYPMPFNPVQ PTITHATGPPPPQPQQANIQPHQAASQQQQQQQNGYNPLLTGNLNTNPFTFPIDTSSN NFSTFPSFDMMNNLNTNGTNRNLNGSGSGNLDPAVQSMLSNYFPSAQTQSNTNPPTLP QAPDDFLSRVFGFGWDGASASTNAQQPQQGTNANTGTPIQPNLPIQTPHPSQQNQNQN QNESNNNNGANSQNGFGTMGAPGNLQGWSSHGWMA I203_08537 MDSTSFDKGGIEISGYTTYPDDKGERQVKEVPYYLDQCRAWDPA LGLFTNATDLLKWVEALLSFPDYPLACSSIATEHVEANDYPNPKELCSYGLGLTQGNY CPCRILDKEKEIAHKPSPSIPATGDQKIIGKYVCPDFLTCDIKETDDLPERPECSPDV IWDHKLYADQKPVLASMGENKFEGCFEVRHWNDGKIQYDTPFQVELMEDDEKLKVSGI TGVELGLKEADHPLTFELDQRGFIAALTSPKLHQHVQSPTTIYAGVDPSASSLHVGNL LPLLGLLHFQAKGHQSICLIGGATGSIGDPSGRSTERKALSAQELAVNVRGITNQVHR FFATGSAYLQKRGVDIKGKGKEVQEDMGIKLVDNYEWTKDVSLLDFLRGPGKLSRVGV MLSRDSVKNRLTSDSGISYTEFTYQLLQAYDFSHLWKEYGCKIQMGGSDQWGNIVSGI DLIKRSQSQIQVQQQQHNEDSFSSEAMEAYGLTIPLLTTSTGEKFGKSAGNAVWLDER RTSPAEFYQFFLRTTDEDVAKYLKLFTFLPIEEIDSMMAEHEKSKSARKPQKLLASEV TELVHGADGLSKALLATEILYPSTKPTISSGMSSIYKTLKSSDVLAAFEGDSRFHKIP FSEIKDKPISKLCVIYGLCKSRGEASKAISSGSLTFNDRRINDPRDEIRRSQLIDGKI AIVKIGNKRQLIFYLE I203_08538 MPHRPSPSRRKEITRLSINLGRLPFEISPHIIEIIKAKRDTPTL LSLIRTCRRLYHNCAPTLYEDLDIADDNYRKILFGLGPNFDGPPLPRKAPGPTNDKDP FARKYNLLRCTQSLTIENVEPLEAILDIRCDFWDLEPEATDPSMDIFTDLYTLIIAPM VIYDIEDDPKEWEEVFEGISNIGPIFGICYHTGPYPSDWWTSKVIKQGLINVSGMDLS MHVYDLDFVCVDKYEMERIQIFFCPLSKIDDKKLRKKYPADQRYTIQEFMVRHFDRRN EDFIGVNPRLKKIEFHNVIYCDDLQESRKQPKITQWLTRTKVDGQFTEVPSSIPDATS HDIQASSSSDVMKGKPSYMPPWVISLFDDEEVLTQIDQMVEFWPARENCCRICCRNGY GGLRDWKPEVSHQIVIETTGVNVSEQN I203_08539 MSSFSRLIRFIPKSTSSSIPLIGEPVDAGLDVGIASYSSEPIEV EVHSGHSVLSPGERTGKRELVSRLLSPLGREEVGTIRCIGLNYVNHAKEVNQPIPTTP TLFMKPSTALGDPFPAPSIVPKAYVPDDAADYEAEVAIVIGKQAKNVSEEEALDYLLG ITAANDLSSREAQFRTSQWSYSKSFDGACPIGPAIVHKDHIKALADVKIEGELNGNTV QESNLDDLIFSIPKIISFLSQGSTLQPGTIILTGQVGCYTPAGVGWTASSRVTLRDGD EFRVFVSHGVGTLINKIEEEK I203_08540 MAASPSASPSLFGDISVDHAVAGFGAGTVATLVMHPLDLIKVRF QLADNSSTPHPSVPSYNSKSTLPHHLRKPRLGAGVYTALKDAVQVDGWRGLYRGLGPN LVGGAGSWGLYFLFYNMIKKQMQGGDPNYRTTSGQHLLAAAEASAVTAMMTNPIWVVK TRVFGTAKHDAAAYRGLWDGLTSIYRTEGLRGLYKGSLLALVGVSNGSIQFAAYEEIK RRRADLKKKKFAKEGREWRTEDEKLSNTEYILASGSSKLVAIALTYPYQVIRARIQNS TPSPTLPRLTIPSVISSIYKFEGLLAFYKGLGTNALRILPGTCTTFVVYENLVWAFRA LALKRQDE I203_08541 MSKFDHHSPGDPLPEEDYELQPSSQSSDPLLPSYDEHKPHFPSP HQRLVMNRRQSSFKKILTCTCLSLIIALPSLALVGCYFGRDGLDRVRSWEQLPPDMKD WLDEVLPANVKVDHGAFPTDIGYAGPTPTGSEAALLVTAPALPAYTNINPLLAPTAKV KDDFNIVQHWGNLCPYYSVSSHGLPETSSLVPEQCEIEQLHWLQRHGARYPTSYPEGP SAFASRITTARSNWRAKGALKFLNDWNYLLGSEVLTPFGRSQLFNLGVSARIKYGHLL NKMKGRLPVFRTESQDRMLKSAQNFAAGFFGIPAEDQYNLEVTIEAPGFNNTMAPWNT CRALGVDLKTKLAEWDAVFLKDAQKRLQDMIEGYEITIKDAKDMMETCAYETVALGYS SFCDLFTQKEWKGFEYRNDLYWWYSSSFGYAPAKAQGVGWVQELVSRLTKTRITEFNS TLNSTFHNDVQFPLNDPIYVDFCHDTQFALLLPTMNLTTFAETGELPTDHIPKHRSFI ASKIMPFATNLQIQVLTCSGTKKVRLVLNDGVVPLTGIKGCPEDDDGLCPLDDFVASM QELIAGIDFAKECRYDKGQDQITSDKKVSEVGEINQDTADVVVPEQGEEIVQEKTEVE NEEDEKDKDEEDSDSDSDSDDDD I203_08542 MPNPPKTTDEIVSQLFSRSTPPPLEPGTKVYAPELTKSIAGLKE HMYVIAALHLANDDIHHCHLIAQDNEGDPTANMLHATLHRREGDYWNSKYWWSNVRSH PLIPSTSDAKLFVDSCEAVRKSKGDDTKLRQRQWDELKKIVEWTRENYKA I203_08543 MKELKIDKLVINISVGESGDRLTRAAKVLEQLTGQTPVTSKARY TIRSFQIRRNEKIAVHVTIRGPKAEEVLERALKVKEYELRKRNFSETGNFGFGIEEHI DLGIKYDPGIGIFGMDFFVVMGRPGMRVARRKHAVGKVGASHRVRPEHTVAWFKQRFD GIVSR I203_08544 MYPLIALFAILPLIASTRAWSSDNHTCALTNPIYSCENTTAIVD TCCTPTEGLVLITQFWDSYTGLEDQGSVLPKDSWTIHGLWPDRCQYCDLSRQYDPAPS PKTTTGKPDGTPVPAWQGGDVITPLLEKYGKYDLLAFMQKFWKSQNSPDWTFWQHEFS KHATCFTTYDVGFNGSPDCYGTLYENSTEASIIDFLESVVKAQIQYPTYQWLEEAGIV PSNETSYKINDIQDVLTNASGAEPYLGCTGPTRQVLAEVWYYTHSYGRPQDGILKPID QTTKSNCNATEPVWYYERTVGSEY I203_08545 MMDKSTDHPYPSTSVPAPAPPANISRLQPHNNQQQHTLTSGNNK GLRPLGMSSTSTLNIPSSSTSPQIGGKSPLIGSSTKQGRRRSMIISSEPVEEVGRETQ EQEQDDSSKNSSRNKLKRLSLCSRPSLELIDNNTNVNTHNNPNTSTPVISPNMTSQPS TPLGGGGSTRRPDRRMGVRASISYSPAIPPKTAERKVFGRGDGWGMEEDFSVDGDAHG EREDGSEIELENVNRREGHRFTGVQTLAEKHADLLTHIAQRERRVAELKQELLTQENA LAQLKSRWTTIVSRSALSPTQPEPIYQTHHTPPSRPSQSQSNRRRPVSMISTSTSTSS ASSLSLATIDEPMPLSASALISSTGGLSNTGAAVLSGLISQTEGYLGPEVVQGGKRFL GNLWKTVGAAAGGTVPLTHQEPVIRESTGNITPDGRVEEEEMPKREDGTEWNHLSSKL DLANLQKLIIPWDTNTNVSSPSQRPPSSSSLYPSRRGKDRRPVDRSATVTPTSFQRRC PASPPATVGLGFDLNIKPSSTISTSQVSPKLLPERISADLLDGSASISTSNSMIMGLD DNVGDLGKALTPSKPKPRSTNKKIASTASSSTTSDDGWDW I203_08546 MASVATQEAPVLEQQGDHEQAHHHPHKHDEHHAHLDPVDANGVE RKPRHKPGEIVDYKVTTTCTNNSDATLTYLGEYHPHGNLQSQGVDMTGFSKDNAVGTW AGATLAMTGCGGVSLYQIQNLPGLSGTNYVVFFAYVPWWYSANEIWASVTSTKPTADS SLFDTLEKASKSSTCEVDLGNNYVIKGSIGDTDVTEARFTITLKST I203_08547 MINLPGFVHSGDTISIIRHKTYHTSTPEYLTATNELGVAKAQFR VADPTKPEGARQWIALTVPATEYERPSHMALQNVSTHQYLYVRDGQKDLFTIPPPPWP PTQACMFDLSFPNHRLGKHFLEISSDVLGPISPDPNITIDRIIQSSTATEGRLRPPPS LTTTDTDKTFMELEVFIRVADEVHADTFQGITVKFTNHPEGVPGVLTENGAKRRELLS CDLALPVGSNAEPLKDARNLTFSLGALPLDPVKIQEIYILEHNSKVILEDFEVKSWVS GTTELVVRGEWRPFDTEGADLGGVTLPVPAWHQCRVEPFPFDADVDKAVDVTGNKEAS QDAVGGAVDDSQQDLADHQDTNGFAIHSLKDDPVILPQVAIQPTSADMNAAIQQTLVF NAADALLCAAAGNPGVSTQAWNASTSTAYEMGYRVLNMLSFIKTRAAANPGASRFDVV NAYFPDGSISYIQREMLSYMMQVQNNRPAPQFRVDQRNSYISIGRPTIPMPIELAALA AISGAAARNVPNGPNDTIGNLLDANVVDIEWHVKVQWPHVNSTIPAGMLAADQTDVGK WRPVITNAAASQGIMICFREVFQDGSRNPWRCLAFHVLDPDSRIASPGHGDGFAGLQQ DYAAIINYRNANDVLSDQFNVRTMRTNRRAARRQYPMAFLPGDIVIRHHVHGHYGVVR NNNIADGFRYQQLSEAHHFVTDWAVEV I203_08548 MESSRYSYDQRKNDMGDGGYEGEPWAREVADEERGEDEGCSAGE SSGAWAGSEEGRQRWDVEDGMNSGMDSEMN I203_08549 MAIQPLQDGSDRSIDARSIVTLIVFFIVNALVIYPIRIPFPLLF SRGCDNLQKRISFEIPCEREERPPSSTASSTPRNSVSEGEGKPAQPPCARRCQCKRLY FPLDLRTVPVIGVLLLLASTCIPPEVVRRGIVGSGGIKPYDVMTLFFCFAYISISLDC TGLLRYLAFLIASKSTAHGGGTTLYNSFYLFFSIIGLFFGNDPLVLSGTPFLAYFTQH SSIDPPTAFLFTHFQICNLVSAFLVSSNITNLVLTSAFGISFLSYSAWLALPTVASAI VLFPLLRWIIFRKKGLIPHTIYPPEISPRSALVDPFGAMFNATIFIITVVVLVGLSAG HLLEGIEGVWTVTVPAAGLVFIRDTVKDRMNAKETKSARAMEKTTPEPNEKNAEASIA PVQGANTDTSSQPANNSQTVQTSPPQDNNRKYRNVTSFKPLSPFTDNFPNTSLVISRL PLTLLPFTFSFFILVEGLQHTGWIRIFGNWWGAWEAVGGVAGSVWLMGVLSVIGCNIF GTNIGATILLARVLQYWGTTYQNVSNRSLYGAIFALAVGSNFGAYSFVFSASLAGLLW RSILAQKGISVTYRQFMRWNTIPVVVTMIVGCLVVAGEVCVMYKT I203_08550 MMQAQAQAQAQAQAQSQGQVGQGQPQPMQGQGLHARVASGAIQR PNGMPQSFDGVAMQETDSSASNSGPRGASPPDRKRMRRNSGSAAPSPFPGSQNAQTPV NYNQGMTPQASASTPQQQGFGGMSAGNEAQLQAMRQQQQEAKMSYEKKMHQDQAQAMR QLANGTTLPPGFQPHTPIMSGNSIDAPSPAQPHPNQQVQASPRNPPQAQNQQMSRDRN QSKGVAGTMLPPQSPAMPTQRTTTPKPGTAGQGQVKTAQTPKSAKEELLRDAVATPKS QSLNPSPNNAIINVTDTNVPTGSTHGLTPSPPSASTTNTPATMSLNGSSTNTNTYSSN NNSTGAGDLMDFSLLGNTTGTGVLGGLEGGDFDALAAFGENFDFGMYLAGLEEDGDGG EVGLV I203_08552 MISQTRRWCFSPAQRAARYHSNSLTPAPSIASLTSPAPRPAPYL SNSLTPAPSIASLLEASPWRSTLKTPSRFSSPLNSAHSASGHVPPAGSTSSPLSSVLG TPSLSPASTRRSPQSLSPIGVSVNGLKISSSPLTPTRRSSSRVTNPVLYVYDDDADDD MLDHGQETEVYEEDGVGKELEEEDEEEEEEEIEDDAYWERKRAKEIEDAAQDGDHEPW SDGEDEEERSVMSDDGSYRWEPEEDESDQGGMDIDPEESDGRDGQGIMEDGAGRDDGG EDIDDDLWDLLGGDEDGEERGSIRASREDEATGEREGVDVPDRGWSPPVLEDVGVEWL ESFVPELPFMGGFKKLVEHQEEGNDWLDELERKPTDVDRWELSISPEEYLNRFESSLA NVQIVNRAPTRRLETSHGPDFSQYMYLAQATHQLWVHPRHRDVFIEKADKYQAIQKGR FREQASLGRAHLPTSVFVHCLIASGCTVIRLKAYGQKMPITDCFLSSEPAQVDHKYAK YNKWDIGVNFGSPYIWLFASATGRHNGFRHYRTMLPGSLDYGTVSIKATHKRRKFKLK IYPRIVHVIKSFDSHLQGSIPKTMYGVRNQVEKASRMIHNLSSKDDRALGGFRIEVEV SVTAPDLKEAHRLVEATPFLDPSYWLGQGEGPHCKHHLDAKLVTREAFLSNANWVYEQ AQIRRVFQGAAADVPTPHQRQILVDILNALGWNSDHGSPTKSLSTDAWWIGGEEVVPT CFSLLSDKYQTDEQLDGLFGLVKRIMPNGRFPCQRDPDGPGHGYHRNSRHPFRIACGK TGCRHKLTGVKMLRWLTELVSAEVLELSNLGIEQGAIGGDEGLEDEDRTGPGDGTRQT SEPYAQ I203_08553 MTKEATPTIPSDPYTSRFLPLREWLDRMPLIREWFRQEDEYGIS NRTKMEIQACRRAGRGTSERWSDKELDDHSVMEAFYEFKLGCPRDSTLPIAQRDNTFG FSWIAWNHASRTAGARRRPFSLKECIFVVDSCIEYWHSQIIEWRDHPETFTDHIKEFC QDILDACLLSEDLLEVLDPHTMLLNRRVRDVFEGYIYWIKVRKTLDTLVELGLEDGGK KATVQVHTPGRIQDEYTKLQMIITHLQKMSEKALQMDFAMGRGRIYIEPYSRTSFLTR YDQYGHDGLFCLSRSIEHLRKTSYVDYLLAGLSGLYGREDKTKWGFWHFMLEYEQESP KHPEWPKMLGNHTQRLLGEASMISDAIDVTAWITKEGDFVKKSEDIHWYTEMKPQIDI IYKIFAYRTPAIKELEYNYLLQDDLGERLMKQWYQYSKMVYNKDLDELFSLNDRVARW TPTGPDTSLDSGFSRMKLGEDKGRFAQSGHSYLKLNSESPSQKVKTRPDRSMEMTFAN IQDPVSPAAKSFNTRYETNDIPSIIFKINNKQTKLVRRLFSTASEVEGQGQVRWDDIY KLMKRIGFRQVIVLDDHNT I203_08554 MADDVRKQLDEAEAGCVAWLATLNAAEHDYLLGELPGSHFEREP RLDDEDNLISIKSNWDTAKRVIDTYRENNQGGNALGPSTNVPDALKLPPGWAIEPTAP TKPTKPKSTKSTIGKGKGKAAPIEIEQDELEETDYKGKSKLSPDLDDEPPKKKGKKIP IVSYQA I203_08555 MAHGLDVRTIGAHFNISDGSVTNFTDRSLQAIIRQQHRFLSWPT VEAREYIKEKIQREHGIPDCVGYIDGTHIILEYAPAKPKQTQAGFFSRKGSYGMLLLA TIDHNKRFTFLHYGYSSRSSDIRAQYNSSLYRTPGAFFSDGEYVLGDSGFLCTLSQW