-- dump date 20240507_084409 -- class Genbank::CDS -- table cds_translation -- id translation L199_000001 MSRVPSVIQAPTPAHVQTYYCTHTRSNRSNIEATVRRLLGDSAD QPLRRLPEHGDLAIPRPHRSGGPKFWVVRISGQLSQGDQDTILEAARKNAVVKEKVQA RLDQVDRKTCLAHKASNDRFRSAVDQGKLDLRGLSPSDFQDVQVDHVADFFRFGQLAT CSAITQDGRGDLLLPTLGLALPVFPGDVVFFQPGLLPHRVVALKPEDAKKRVVITMFT CEPTTDYLDMQGIMLPRAKRSWKPRSGQDTCPKCDKTYKDLLDHIKKKHGEDRFTAEE MAETGLWVCSCGKVTASERGLSYHRKRYPSHHV L199_000002 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVVCACGRLVLNASGLNKHQLRFNCPSYSPAQRAARYLSNSLTPAPSTASLTPAQR AAPYLSNSLTPAPSIASLLEASPWRSTLKTPSLSPNGLSINHLQINSSPLTPTRRSSS RVTNPVLYVYDEGVFSHGQETEVYEEDGAGKEVEEDDDDEEEEEEEEEEIEDEAYWEK AGQGDRGCSPGWG L199_000003 MSRVPSVIQAPTPAHVQTYYCTHTRSNRSNIEATVRRLLGDSAD QPLRRLPEHGDLAIPRPHRSGGPKFWVVRISGQLSQGDQDTILEAARKSESAGCQAFI KGTERTTGLSPHHLGVFFRASEIHTPWVTNDTLQPHSNKRVAAARRQCMLGLCLAIDA VVKEKVQARLDQVDRKTCLAHKASNDRFRSAVDQGKLDLRGLSPSDFQDVQVDHVADF FRFGQLATCSAITQGQSEKLHMDNHDDRRLYTTLLVLGRENLDWDHTDGRGDLLLPTL GLALPVFPGDVVFFQPGLLPHRVVALKPEDAKKRVVITMFTCEPTTDYLDMQGIMLPR AKRSWKPRSGQDTCPKCDKTYKDLLDHIKKKHVRGLSYHRKRYPSHHV L199_000004 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVHLSLKHPPWRSTLKTPSRFSSPLSSAHSASGHVPPANSTSSPLSSVVGTPSLSP ASTRRSSQSLSPNGLSINHLQINSSPLTPTRRSSSRVTNPVLYVYDEADDDVFSHGQE TEVYEEDGAGKEVEEDDDDEEEEEEEEEEIEDEAYWERKRAKEIEDAAQDGDDRPWSD EENEEERSVMSDDGSYQWQPEEDESDQGGWI L199_000005 MSRVPSVIQAPTPAHVQTYYCTHTRSNRSNIEATIGWSQVLGGQ DLRSAITGDQDTILEAARKSESAGCQAFIKGTERTTGLSPHHLGVFFRASEIHTPWVT NDTLQPHSNKRVAAARRQCMLGLCLAIDAVVKEKVQARLDQVDRKTCLAHKASNDRFR SAVDQGKLDLRGLSPSDFQDVQVDHVADFFRFGQLATCSAITQGQSEKLHMDNHDDRR LYTTLLVLGRENLDWDHTDGRGDLLLPTLGLALPVFPGDVVFFQPGLLPHRVVALKPE DAKKRVVITMFTCEPTTDYLDMQGIMLPRAKRSWKPRSGQDTCPKCDKTYKDLLDHIK KKHGEDRFTAEEMAETGLWVCSCGKVTASERGLSYHRKRYPSHHV L199_000006 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVVCACGRLVLNASGLNKHQLRFNCPSYSPAQRAARYLSNSLTPAPSTASLYSPAQ RAAPYLSNSLTPAPSIASLLEASPWRSTLKTPSRFSSPLSSAHSASGHVPPANSTSSP LSSVVGTPSLSPASTRRSSQSLSPNGLSINHLQINSSPLTPTRRSSSRVTNPVLYVYD EADDDVFSHGQETEVYEEDGAGKEVEEDDDDEEEEEEEEEEIEDEAYWERKRAKEIED AAQDGDDRPWSDEENEEERSVMSDDGSYQWQPEEDESDQGGWI L199_000007 MSQSATPPPSTNDPPCELCETLKIACVSHSANCEMCTKRHRTCS LNEKPRKNKKRKKSDSEIPTKLETLKTDIRKKLKRLAGDNETLKAKVEMIGPDIDDQI DEIVEAVKAELGE L199_000008 MPLYICFCPDAQGSLDKRRQARAEHLALGQKDRAEGRTVFGRAF IDDSVSHHDDRSPPEVPSGELSSGTKGSTMIYRYQTIEQAWSRLRADPYWIAGVWDKD RTTIHELAPGENDDTIRVM L199_000009 MSTYSRLIRFIPKSSAHPGPLVGEPADPEIDVGIASYESKAIEV NVYSGKSVLNPGEKTGQIEIVDKLLSPLGQNEVGTIRCIGLNYVNHAKEVNLPIPETP TLFMKPSSALADPYPSPTIIPKSFVSDNAADFESEVALIIGKEAKNVSEDNALDYLLG ITAANDISSREAQFAQSQWCYSKSFDGACPIGPAVVHKSQLARLEDIRISGILNGTTV QDSKLDDLIFSIPKIISFLSQGTTLQPGTIIITGTPAGVGWSANPRITLKDGDEFRVF VSHGVGTLINKIVEEK L199_000010 MSSQSAPVNLVSVNTAPDRAKKVIGAVIENVKDRYNIVHAGNTT TIEGVKPLLESVQPPPGILFCASMWTPEQQEEIQRIARETIPGIKTHGIPTGLQVQVG PDGIVKYLMERIDDIMTKE L199_000011 MDVVSGDHENSVGLVTSQSTASAKISCLACRAAKRKCHTSNSHS PCRRCKNHNITCEYTKHQRGRKKKPLIEDPSQSSGKPDSLFEHNNDPEASGSGLTGSF IRSSSIHDLHHNTTSGDHSIDLPPQDKLNIHFSHVIPKEGDSSPFIAGETTHYPDPSV GDNPLLLQHLQSTCPDPVKAGLLTENDAYELFNFYFQHLNPILAILDPHLHTPTYCIR HSPLLFTSVLTVTAKVVRPKVYAKCMMLANKLVGQAIEFGLCSVEVVQALNLLHHWKK SDDHTSWRRIGYAIRMAQELRLDIRSPRPLAKEELKARESLNRERAWFNLVIADYHLA IHHSLPRMLYTQDVDDPEDWVTEHAHLQTPGESSLGPLVTFSRMCRLYADSLGAMGKE SENMRTLNWLELERDRWRRRWLENNDRHRFTQPQISTFPRHGDHDNRIDLHEPNPLSF AFSNCIEAALGVALVLQNDLAPYGYLSHCFYLTWVAMAVTAIWLVKNIAPMYQEDRAK VIRLLSEVQFSTEEASRSSDDMAAYTHRLLKHLLNGISPEWQLASFLTDPVSQTTPMR SIPESLPSIAESNTSNIANWELSSTQEIIQGCLWNQPQQYNLSHSLMNMPNVDSSPSA NTGSQLQSQYNQSPQRPHQLQQHEQHQHPPQQTDTAISSHLDLLFPADDDEFWKQLFP STE L199_000012 MVNAPVNSGFVLQDDPATPRTDATVSFRDILPKPDHPRIAGITN HTSHSWCQSRREVPAPAWLINRLDITNIERPYKGFSSDGNPDPSIFHYHQDEGAPVEE AVKAVEMLLDSLPEELRSEAIKGDVRDDDEFRAWSNPELYVNEGGIRLDETTQEVQDL VHNVLEASLSADGYHKALGCTLTNHFLGELVNGLSVLNKHSYNFRLFLPTNDKAPSLT QPWGYSFFGHHLCLAVCFVGRRMIIGPTFMGAEPDRIDVGEHAGLRLFSQEEIRGLTL MRDLSSENQKLAQLNEGMDCQHGLAEDRWNPFDERHLGGAHQDNRIVPFEGCPISKFT PNQREEIYAIIQAFNTYLPEVPLKYKMERVRKFEDQTYFAWIGKFGLGDPYYFRIHSP VTFCEFDFHCGIFLTNTTPAKCHIHTVNRLPNCEDYGKALIRQWEAEQSQE L199_000013 MVQAADLKNELRIHIVGAGMGGMGCALALAKQGYTNLHLWESAR EIGEVGAGINITPNLSRILDQWGVLNIARSEAVALDGASVLNCAKDEVLTSVDFQYIE KEFGYPFYVVHRSALQKSLVTGATRSGVVKLHLGHLVTDWDFENSRFRVKERATESSK EPLDNGATGGASLAEGKWVEADIILAADGVKSKARTAMLARIGEADQVEDTGQAAYRI IVKRSMINEDPELLPFFTGSHSYRWIGEKRHIIAYPIASHDLFNMSSAHPDRRFVEAD TWTASGSKQEMLDMFSDFCPRVQKLLKLVPEGDVLEWKLRVHAPLSHWVDGNTALVGD ACHPTLPHLAQGAAQAVEDAAVLGVVLGKIKSKDDIHRALMVYQALRKPRADWAVLTA AANGKGLHLSSGEAQEKRDAAFKAAKKEGGENPDKAIDQTTQRILYAHDCAKDADERF DELFKAVV L199_000014 MSNHIEASVESGSQEDHSGYSYRDGKDPSLPPNHPINALGKWRK FFILITLSYSGFLANFSVAIIQVAFPTLGKAFGVSPGKIPNTIGYNLLGVAVGPLFWN PLSKTIGRRPVYLLGSTLFIPCVIWMAVSNSYTVFAIARVFAGITSAFSQTVPPATVG DIFVKEVRGSKMSMFAVAVVIAPAIGPIFCGLIVENTSWRVLFWLILGLAVVQLAAFF FIVPETLWIESEDTPMAVAPVQSGSDLQQVSSSNNDHDDKAIAEHVEDTIQSPGVRQG HVGAAWMPWKRPGEFLAISLSPILMARYLTIVIPSIYYGSIFAWSVGITIVMPQKFEA PPYNFAVIPLGAAFLAFGLGGVLGKWSGGIVGDKVVSYMAKRKGHREPEHRLWALLPI LPFMFVGCLIVGLVVKLQLHWIAYLFGGGLFFFCLSAATGLLQTYVLEGYLSRSMDTQ AVFVFFKSIWGFAIAFFVYDWGEEHGFLSEYIVQGALASGVGAILCAVLILKGRSIRK WQGMPMASN L199_000015 MAVYSQHVTVLQALEQQQSRIAFSAEPGHPTRLRSGRLDLDVDR LVHPAEQPITDKLYSGFLEHLGRCIYGGIVDSPEHPSPKDLLLPQDIGDLATKGRLGW RKDVMGCLAKAGELQIPMMRWPGGNFVSNYHWQDGIGPINQRPKRIELAWLSDESNIF GTDEFIDYCRGLDCEPYICLNMGTGTLEEALAWLEYCNGTGDTHWAELRRKNTGRKEP HAVKYWGLGNEMWGPWQVGNMLPSDYARKARRWAHALKLVDPTIQLVSCGETGASEWD REVIQALLPLADMHSIHYYTMLGHDKMSSVPGLEYEKNVFGAAAAEKHIDVCKSLIDM ANIGRASERMPGKDMKICFDEWNVWDDVKARGNNGLEQTYDYTDMLGFCAWLNVLVRK HRDIGIACLAQSVNVISPLMTRPDGILRQTLYYPLALFSKYMKNGHLLQLPSFPDVYT GPTYPVYIQQGAYRPSYIDSVAVVVKTSNGCSIRLSILNRHPTADWEVKIGFSGFNIE KVQLHEIYSDDLAAVNTFETSTAVVPAVSNLDDKEWQSKHGDKLAVKKHSWMFLIFDG A L199_000016 MAIPPKIYTFLCACFAAMGAMLYGYDLGVISYVIVAPNFLTTID TTDDDYIGFIVSSMLLGAFAGSIPASLIADRFSRRMAITVAGGVFILGGILQTATQDK ETMFAGRFFAGIGIGMLGVLAPLYQSEIAHPSKRGMLTATFQFFLGIGAIVAGWIAYG VQQTHPNDPIAWRLPLAFQMLPAVPLLLLSFLLPESPRWLMIKGREEDALHTLARLHA RGNHNDAFVLGEWHSMKQKVGEEAQTDQSWGLIFKDRTNLRKVLYGIILQFSVQMTGV SAIQYYAATVYKSVGFSQSTSLLINSLNNINALFGELACILLVDKVGRRFPLIAGNML AGTCFAIATALAKQFAEGGGNRGQGIGFVSVLFIYNFAFSACIGPLSWVYPVEIFNTA VRGKATALTCMAAWISNFMIGQVSPKAWSNIGWKYYLVFTVCGFTNALTFYILFPETK GRTLEEMDRYFRETHWFVPGAKVPSLSSTDREVQLAQGEYGSPTKGLAVDQSTEGVSQ EHGLPSDDTEKGLKGGEYHHREAI L199_000017 MLLQSLLVATSISAAFAISINRRAAITDFDTSPINFSFPPGRTF NATTATTAPCGGSPAGVRADYPLTGGDISLTSKTLLDTVNILWTNETDPTRFHAFSTY TNTISELGSGHYCQPAPDFASFGLKAGDDVTLMIMYNLEGVSDNYYYCADINLVSAEG FTPSEQYMCSNLTSSLQVASAEDSMQVGTTSATTSSGSTSDNASESSTPGATEAVSQN SGLSAAAGGGIGAAVTMVVIAALAAAAYVWGYVRFGKKRPVVLADHASDSTGVPVKAA QF L199_000018 MRPIRCLISLCVLYAARAASAASPGDTTQIPWSSKQPEDSSHHP KNPTSIFIDHFSASRSGTDTYLQTQIAALYSNHSVVTTDDIYFDIFRFAAGDPASIAI REIDDLEPIKRVVFVKPSRRREGEGTVAARAVFGGWEVAWKNKEFKVIVATWAEAYRQ VTQWHIITDEPDAARQFIRSCANYCSSFRDVVWVFEQGSWRPDRGLWESVQKASWEDV VLEDRFKTALQSDYRSFLKSEKTYKDLGVPWKRGLIFLGPPGNGKTISLKALMKEVKV PSLYVKSFHSWAGDEKGIRDIFSRARAEAPCVLVLEDLDSLITDQNRSFFLNEVDGLE DNDGLLLIGTTNHFDRLDPALSSRPSRFDRKYTFPDPSRAQRRDYAKWWQDNLRSNEK IDFPDSLPDEFADKTGNFSFAYMKEAFVSTLLTIAGRNENDPKKLDFPALLLDQVHHL RHEFETTDAMSSSSSSANSARTWQNDGVTGYGWDTMQWTTESMIFQIPWVELESR L199_000019 MHIKDLEGSLPPVGDEGTGRSSKLEKQIPRTGRPLEVGMSLSLW LQTVRNNPLLNHRTTEDLPKTADVVIIGSGATGSLTALSLLQSPNPPKSVVLLEAREL CSGATGRNAGHCKPDQWRGFTKYETAFGAEQALKILSNEQKTWERLVEYVKKHSVDCD LWVGKTLDVLMTDDVAEVAADNFSNYQAAGGDVSKIEISRLKGAKALYAWDASSLHPW KLVAHINQQSLDLGLNLQTWTPVTKVSGSANQWTVHTARGDISTPVVVHATNAYAGAL LPETRGAIRPCPHMCNKVLPPSTFAGSRSLKNSYAIIYPEGMYTINARPTSDGILLFG GAAPNQNKLLDYVAKDQRRRIDDTLTNFEPVTEAVRTLGTEGFEWWRGSRVLYDYAWS GIIARSADQVPFIGAVPGKPGQWMCCGHNGHGMARIFTAAPALAKLIQGATWDETGLP ECFEVSNERLNRHLDPNWELSEDQ L199_000020 MIASAAIEKPTTSKVAASPIAPPSMMTPPPDGGFAAWATVAGCW MALLVQFGLCNSFGVFQAYYESNLLGHKSPSQIAWIGTLQLFILFFGGLFVGRILDSH GAHVLTVPGSFLIVLALMTTSLCREYWQFILAQGVLFGIGCSMTFHPSVSLPPQWFSK KRGMATAIAMSGSGLGGVIWPIIIRAFFDSPRIGFQWGTRAVGFISLGLLAAANALIH KRAPKRKPLPWFTVFHFITDWHFSLTIVSIAFTLFGFLVPFYYISTNALALGASEAMA FYTLSCMNAGSTMGRLVAGFIPSLTPLLILPTSSLLCGIFILAMWIPLKNVASLLAFG VIYGMFSGIWLSAIPPCIASMSQLPQIGAKLGLTFGSASIFALVGAPIAGAIVDAHED LGGFRQAAVFSGIMMLVGAALSCVVWVTKRQTYCGK L199_000021 MIRNRPPSPSDSMDNHASERGRIKRSRAVLAKAEAKCIYDPWRG GQDQRSLPDGAVPYHLTDHCGSGPSSLEGRLGHVERSLARIESLLSDNSLGRHDGHLP SSPLRHNEEDVWLSLIAQLPPFSLAERLLRNYFILDTMLRYTHQPSYTSRAHKIYSVS TGQVRHDIDKESSSYLASLCLTMAIGTTLDDQRSNSKSEQQSRNLRKQLISLHHRFYE ISETLVPYRSKDSNPEFAYYHLHALMLRIQRGLMADSSSLSQTWFEQGRLFNTALFLE FHRDPDDLNVQISPFWKELRRRIWWALSVGERIATQKLRLPTILPQSTVRKPVLIPDC DLREDITQSELNDQTFIGRIFTSSSTSFAELTPPEILVASTGKTAPAEWAFIDAKIDS TQIMTELSKMSLHSLSPNSPLPDILLVDNLIEQLDAQIPIHLRFDTLSTAQTRHCPLT APDQPPWILAQACVSNTGKASATLNAYQPYLSLSTRIPNNTQIVQHALERSLAAAHRL IVSSEIFVWHITFRWPEGRSLFSWNTGSKVFAAGVLVALAAIRDGPDNEGWRGWMGDL QSAEGLLKVLSDHTAKNLEGEWSACESPDLKALKILRRLHERARSPARPTRPSGSGTT TIINEEKLFDPYTTHEMSRISTLTNSPSQVKMTADPGVAENFTLEDLEALLTQVYGKP QGGAE L199_000022 MLEPVEAEFARPPIYTTEQEEALQDEDVETPDALSPAGLERHRT QMSRILSTHPTRVGTHTPDSNELEKGNGETLVEFEEGEGPRNWSQGKKWFATMSTSFL CLTVALGSAMPTGDLPGTAEGLHVSDEAIYLSITLFVVGFGVGPLFFAPLSELVGRKP IYCISIFFYFIFTLPSCLAKNIATMLAGRMLAGIASSAPMTNVGGTISDIWAVEERGI PMAVFSGAIFMGPCLGPLFGGWISYKTHQWRWIYWVLFILVGVVFGLTLLMPETLGVV ILKRRAAKLNKAHKTKRYVTAHQKLQESSGVSFGQTLKTAMIRPFILMFLEPVVLFMS FYLSFIYSLLYATFFAFPIAFEEIRGWNPGMTGVAFLSIVVGIMIAMFLMPIQERLYA RKPGHPESRLYPMMFGAVLLPISLFIIAFTGAYAQVHWMGMMVGGALFGCCMVSVYIS ANSYIVDSYAHVAASAVAAKTLMRSLIGASVPLWITQLFHNLGFQYAGLFLALVACCI GFIPYIFFFKGESIRKASKRAFKPAEKS L199_000023 MAIKDTLRQRTLAPSSWVLPKEESCIAPENVWSNKDMDPAPEEH RLWTGWTFFTYWISDALNPGQWATVSSFVAMGLTWWESCLAIFVGGFLVALVVTANAY IGAILHTPFAVTSRATYGYWGSKFVVFSRMVIACFWLSINSWSGGIFVSLMIEAIWPQ YARLKNSIPASQGATSRDFLSFFLFWLLQLPFALIHPSKLKWVFNIKAILVPVIAVGT LIYAVKRAGPLTSQALSSPPNRVPGGSKRFIAFMASVTATQGTWATLSINVGDFSRYC KKPSAAYVQMFAIPGLFSVFSIFASISAACLNSVYGTTDSFYQPYDIVALWNTSAGGR AAMFLGSLVWALSNVTTNISANSISAANDMTSLAPKYINIRRGQMLAITLGVWGFAPW KVLASATNFLTFMSSYSIVLAPIAVLMAIDFFVIKQRKIDIYELYKPDGIYRFSKGWN WRAYVALAVGIAPNLPGMVNAIDSTVEIGNIKYIYMISNIAGDLFAIIVYLALNRFFP AREPQIEVAVHDLLPSSPSTSFDDQGGYPVTYSGSDPVADEREDKSSTQGSKVKEKIL V L199_000024 MPSPDESVHPTATGLAKETVDRHQKDEDLVLWSGWFCPFNQRIW MALEERKIAYQYHEVNPYKKEKHFLNLNPLGLVPTLEIKSTDGQSKALYESDVLVEYL EDLYPESNEHPSIFPKDTYEKSWVRLNVQHITKKIIPAYFKLQQFQDPSDQDLAREEL YKGLQTLTERVKGPYFAGEQFTAVDLSIAPFVRRFYNLVEFRGLDESNVGDKWLSYRE KLMNRDSLKNTSSEDKYYTEILERYLKNEAQSEVAKATRGGQSLP L199_000025 MPAPPNLTAEQIAKAAERKAAKLARKKAIADGKVELSEEQKLEI ERRKFLKRDWVSVGSSSNLEANAPNEDETGKGKLKIVTWNVLAQTLVRRELFPGSDCL RWSDRRAMLLAELEHHSQSDIICLQECDRVKEYSSSFPQHTSVKGSGPGKLHGLVIFY RTSRFFVRSSKLVHLDEEEISPSDSKETGEDGKRRRGGSRQTKNVGLIVALEQIDGDG KGIVITTTHLFWHPKYAYERVRQSIIILRSIRQFQKDNDCNSWPAIFAGDLNTQPSEA TYQLLVSPHTPLPKSMIDEISSSRLVHDSVAKISPSTITNVSEVPSTTATGSNTPTIK EDEEGEGEGELPDNNEKSIANTRTPQANDGILPVGELVKSMQEILPEGGARSAYDSTE WGSKVDNQETFGRRGGFEHVNGDQVSGKEEPSYTCFTPLFRLTLDYLLLLPALPGHSP AVITSIYAPPKIGQLGEGLPRKGICASDHLAVGCEISF L199_000026 MSDQLEQQQQPIGQAEVSQDVAQVNGEEKVEDQPEAVPLPPLTI RFPTPTCPRTVPKAKDSSEPRDRLTIYPQPTETMQDIKLLINDWVGAYWLGPYSLRLP FVKGDDGRGKVFTKKEDLSEIRAGERLNEWLEVQDAFTHLEEDGERVLEVVKEPYGEF TARQSVLRILELIAPAGTTANTTTTPIALQPGSTIFESVRDGVLSLGGETQYEEVEVS LPSGRKGKGGKKELVKVKRAVSNDKSHAFSDWKGSEGWASTKLGELPFSQSPLEVLPC LKSIQISPFNPPPPHLRQLGHQLYLQVALLEGETVTIICTTRGWYVSKSNVNQFDPSP RTDNNGSNPPPTHSLIDLLHSLSPLFSERLSKLSPLSTEPPSLDPISTVAIPQAEPAY PWLTNIPKPATSAEILRTQLAFLHTGAYGADSVDAARDWNEEIQGIRELPRGSMQERV FREKMLQKVWAEFDQAAIRAVQTVSRGDIPAINPSEDPKAHMYLQSNIFITQGDSDAL NAYGHLGGDAAMRISHGKDAAGVKLLNKLDVDGLYMLGHTIVDWQGKRWICQSILPGI FSNRKKEEEEGDQESNSAEDGEAQKKEDWVKVGDSPTKASADKSVDVGEPGQTDVEGE NENPMMIYGIDSENPTSVHWDKATHKLMATVGQAQKLAAHKIKDGKGVEYEFYASSEV KGLKGTDGRRYLLDAQRLSPVDIEWLEKDYEIEGAKYPHRLTMLRPELLETFWESELK RWARGVAEKAKAKQDEQASKDKAQEAGPSTTDEDKPEGQASDESSTPKEEQSPAAEAA AAHRAEEEKPVDASVIGDIKQFELKFNPDAFVDQIPSKDSTTSDDQPFLPSTITDESD PSVKAVRDASVFLRSIAIPAVVLDVLTGNTSGLMDGASLTKHLHGRGINMRYLGHLAN TIKQFSAGPEGEARKETGHLAALKAIVLQEMIFRASKHILRTLISNLQPETTTYAVSH FLNCLLGSSYNSSPSATFNPIGISDREEQPEYTKLTPESLRKAIIDEVKLRFRFTVEE AHFEESLKKRQLLRELAVRFGIQLAQRDYVFDKDQDSSSTEDEKDIKQLRDRKNKKNK VPVQKRLNTFEPEDILTLVPVVKSTAPTVTVAEEILEAGRNTINRGSIDLGLEFMLEG LQLYENIYSVIHPEVASVYNQYSQAIHQLARLKIQQVAAQAAANPEGAADPEQPLGLD ISTALRLQRQAIIVAERTLGVYHSETAGYYFNLAMLENLEGNPQQALRYFRHVLRLWD VIHGPNHPEINTVLSNAGVVLQAINETSLALVLQQQAYDSTLSLFGDSHIQTGQVLHQ LTQSHFLAGDMAAALSTSEKALDIFSARLGEEHSQTQEVKKNVELLRAVIDNVEKQKE RNEQLKKDQQERLKAAKERIQLTGGGIKGRRIGSTLANGSGSGGVRIVDPTTLAAAAA AAGHPIDASGQSAAASASSAEGQGQVSEGSNIGTRGTESVEELVRFIQGQQQPSASAA RRGKNALRGKRRTGAKR L199_000027 MSVNKRTGLALDNQVDNEKGRDLASIPTLPRDEQDEEGPSPNRF IPLKYRLIAFSMVVFFNTSSSFSESTLSPLKSTFREQLKVTNAQYGAISSASSLVNTI LPVIGGLGIDYWGATYASIICSTFIMLGAMISAAGSNTSRFGLVVGGRILMGFGSMAL AIGIDLAWSRVLSVILRSTAVPMSMINGWWGWALWIPAFTTVANLIICIMYWAYERRV PKQYRPPLGKDAARKEGALRKAIEFNKISKIPRFFWLFAGIQLFQTSVVGVYSSNLAD IQTQTRGTSKLAAGYNSSLQSVVPIVMIPLVGAFIDRFGMRMYFISWTAMMYVIVIGL IGLTQVHPLGPIILGSFALSTYAIPFSASIPVMIGDADMLGTAIGIWKAFGNGGIIVF DVAAGAIQDLSGTNSYDNVIYLLMAYKSLQILYGFFFAWLDGKWLANSLRKGEKERVA IRDAALANNVVLPGWKPSKWGMWIVMGELVALTITAWVVYIVYSLGK L199_000028 MPVSTRSTASGHDDLSAGHPIHPATVHWPIAFLTASFGISTLDL LPLSIYPTSVLPPRATLSTLGFYAAGAGVVSALSAIITGAGEAYELIRKEYFEKGQDW SKVVDSAWNMRDNGGRKVKMTIKHASMNDMVVALAAYNWYRGWFYPGESLPQTTTILN ALALPALLYSAMLGGRLVYEYAMGIQRQGHGKQVKEEGQ L199_000029 MPYNAFQTTLVGTVIRLVSGNRLLRYQDEIECPERYTKEEIAGR QEKESPTQSRRVSRYETPASNDDATVVEETNAPRRSDSDETIIEGNPDHVKDLQKGRG KEVVGEYNDSSRIGMANNEQQAEEGMKEDPNLVTWYGDNDPENPYNWSTRYKTFVALQ MSFLTFAVYIGSSIYSAGIEGIMEQFTVSQTTALVGLTAFVIGYGIGPMLWSPIAELS NVGRMPVYVATLFIFVALQFPTIYANNIHTLLAMRFFAGFFGSPALAVGGATMGDMFT PKHLAYAIGVWGCGAVCGPVIGPLLGGFTYQAKGWTWPLWVLVWLSGGCFVLICIFFP ETSGKNILHRRMLRLRRITGNNDLKTQAMIDSSHLSGGRVVYEALIKPFVLFFDPILL VYNTYLALIYGLLYIWFESFPLVYMEVHGFNPGESGTAFLGIFVGAWAACAVFCYYIH VYLEPIFDANNGLIPCPEIRLYPAPIAAVCIPIAMFGFGWSGQYESVHWIVPTIFTGF FGVGAFILFQCIFAYFGDVFYEEISSVFTLNDLFRSSWGAAFPLFANALFKHLGIGGG NSLLGGLACLFVPAPFLFIKYGHKIREKSRYASNKN L199_000030 MSSHQTCSNYSRGNSSHIVYPLTPPQTPESQAYQSPTTTPVQHE NTKYDGDSVPPLLTSAIPDFDLNSIPEEVTEDEHAQDEIPDKYVWRKGMNEAEKDERE AWLCEKRGRKGLRIVIVTENFLPKVDGVTRTLSRLLEHLQSQGHECILLGPASTLSSY ASHPLVGTLGIPLVVYPGLKLNFLRPKFLSIIRDWEPDVVHFVDPIWLGAQTILAMEL GWAGDKWLSQESESPTMGKGLGGAVVASYHTNLATYATLFGLSFLTPIIWAFQSSLYS KLLLTLCPSPSTKSMLESQSFNGVRLWPRGVDLSQFGPHNRDEALRASWGITMPENKW KILLEDTKYDMEKQGMMTPPLTPWNGPVDGASISHGGDEDRVVLLYVGRISWEKNLQL VLSAYSLLAQFLPEGSLLPKLVFVGDGPARSDLEARCSAEKNWDVTFMGHRQGEELAK CYASADIFVFPSFTETFGQVVLEALASGLPVVGLDAEGTRDLVTHDQTGLLLSVPTKE TTWPQACKSDSSYFQELSSTYAEIISTLVVDHKRRREMGRRGSTEGIKGYTWWDAMEA CVDGYRESMRISRSKRNVQIIPHSPAEEFIIIPSSLPKQRRGLSLVNRVVSRRLAYKQ PRAPIRGGRGRVRWMLSKKDEGGWMSIRTLVKIIMTICLFYAIWSHHSSQITSDSILE PGKL L199_000031 MLHHTVFFLRPVHRHVSINSHQEYLPTQGTSSSSTPPAFTPLPT RLPPPPITTRRHNLGRAPHKVSLNVQQQQQKTTTVLASSRQPSISQEHQLQVHPTEPT LALNFTTTPPPLPLLPLTKPESMEFTFDSLNVNMGSVDWDQFLVDADLGEATNVPLMS NSPAPSDCQTATPKSTESEQSAALDPETDFDFNFDFDFPLPTSVGITHQPEDIQQPLP DFDFDFTATAAAPMPAPGPDGRGTTDPTMTNTFGLSFGDFGLHTDLGADAASQLGLTN LLGKLGEPKVAQQQQPSLTSTGPGSVNDLTDAYALLDKLLPSATSTMSSPTSIRPSQL SLPPSPPASTTLKRKSSESADGAQPIKKRGRPPGSGSVKSKTISLQGGGSPSSSCADE SELGSPTPATIKKTISGKPSTARPKSVVPEKFLKDGSAQSILGMDINQIQSYPSFDML LKDVAADKLPAAKEFGERIADNRDKAKDAAKKSRDERRAKIERSEYLEKKVEDLENKL SGLTGVLMSLVDRGLIGKDQIASYL L199_000032 MRFFTACEPARTHRSSADTEDEVKDRTNGWKKYEKTWEDQDPEG RWKRKVWVRKWSNASSDEGEENTSPFIKRERGHGHGRIDDDGDHKKEDVGPVGQVNVS GRNNDEGASYGAGDQSEDERSKSRNLLILDTILRTTYRAGYNDALKIKPFLPYSDSTS PSSSPSANSNISESSNPNNGILLVGGLVVLLTGMISYKTYNRMKEVNQGLQEVLALVE LTRSNEASAVGKMGRELLGIKKLLENGQGFLSSNGNGNDGSITKSDADLWKDVKNQAR PNQTPFDNSLSIKSLNTQLSGNIHEDDIKLILNELKNDLKKEFRMISKDFNAQQGDLI SLKTDLEAIYRTLVKSSSPSTSSSGTRDGTNKIIEEITKLNTASHQASDKFSNLTRSI IKDISGEVSLTNQFSKQGLSKLDEIRNVLEGLGRDLRELKEKSNSTSKVGRPKGSSSS SPGSGSGGSGSVPAGGESPSTQSADNPATPTFGTSISPSQSKPKFSHNAGLGLGLAGN HDDVMPFGDIMKAIEGVKKSYMDDQSKQAKKDSSDEADGKEISTAFDLKGSTPRTSEE DHTNDLGFGSASGYGPTEGINFQTPLDKVKNPYKVAVKIDTPLKPNDTPDPSSTKSSA SKREADEDEDPPSPPPAPPPQSRVSSEPRRNRQPQPHAHWWTVHSLSHTHINDLWRIK GFGWYQPSSSAEREPEQVKKDREDEDRSIGAWAVGRVRRRFGDWPFH L199_000033 MPKYLESFGDHLYFSNEEEQQAELRQDKPQLPSESEPKPTSHPT HPLTKALFQSIYNKGYTDGASSTFLQSLSTYFTSHPILTTTMVLLIGSGSIALSARRG TGINTTKRFLFDAGSNSSRSAKTTGSRSFGTSLTALARTPPYSSAPPGAIAGAGGEHD KALDNLREDIHAAQELFAKEEEAKVDIGTQLEGKVDVRDGTDRSSGSDSQTIMDALSQ MLGQVGEGKKIVIDLSPDGNWSGPKIVDSTSTASEIDDSSIPSTYLSSSDGSGIPGEG FTPEEVQKINADTPSSPMAEIETWTKARFAIIGWGEEEVWNGTGNLTIKFWDAENPDQ VINDKMIVRTLFKEGIPEAIYHNGLLFQIEETPEDLDSGESIWKFEKLLVDVKKVVEM GELQNDEVYNPSLASDPEEDLNELTMFDEMIANDRATNAHIFKDPVPIIEPKHLIKAV ENGQRVEFWLDEKLGDARAVGDSGKIELWKVRVGIYELYDKPTWSELEGLVYFNGPPM KIAIEPETGYSVDLFPGDDGQYVVKGQEEAGEAFVN L199_000034 MLKNFLAIGALCASVISASPVTVKRDDFGLEWISKDSSLPKVVL YNTGGTIVSTSNYSTIDNVNYGSGPRVLPEYLIGNYSVILEKAQIAIVDMSKAGGSSN LNSTLYFNVSRAANEHLCSEGSDIDGAVMFHGTNTEEDTYFGVDLTLNCSKPFVATGA MRPESYISNDGPSNFYQAVAVAADPKARDRGALVVFNDRISSAFYTIKTNGNTPDTFK ALEQGNLGAMLGGQPYWFFTPSYPVARYHFDISSMDSGEDLPHVVVLFGSQGFDTSLM YAAVANGAKGIVIMGAGAGQLSTAAATVAGELKAQGVPVVASLRPVTGASPPKPYEQN YISSGYMQAGKARIQLQLCLATGMGWEGCRNVFEKDMREVIYNESTEYYFS L199_000035 MPAHIPIVKKRTKTFKRHQSDRYHGVKESWRKPKGIDNRVRRRF KGQLPMPKIGYGSNKKTKHLLPSGHKELLVHNLSELELLLMHSGKYAASIAHGVSSKK RVEIVARAKVLGVKITNPNAKLRTEEA L199_000036 MVRAAFPSVQSIPLIRSLDDILRVVQPSLDTPDAKRHKPNTTTK AGSALGSALKKKAPPGPVYEKGIEYWDGVDASVNGVLGGYGEGPVPHIEQLSSRLLLL SLIPSLSPFPNPLTPSPTLKPLLTHRRTILDVGAGIGRVSRHVLLPLFDDVVLLEPVD KFVREGYRAASSGEWRDLPIQNGDDEEQNRRLDQQRKDIKGSKGRGKRVRFVKRGLQN LDPKYPVDEQAEEIGLVSSPKGEINGEGGCMEDEVVYDVIWCQWCLGHMNHEDLVNFL RKAKKALREGEDHYIFVKENCCDDAPGGVGQEFLDEEDSSLTRSHQKWVDCFTEAGLT VKREEIQQGMPDELFVVKT L199_000037 MKISSGLTLALAALLPALTSVIASDVIDLAEDTFKGEVSGEDLA LVEFFAPWCGHCKNLAPHYEEAATELQKKGIKLAKVDCTEQANLCQEYGVNGYPTLKV FRNGTPTDYTGPRKADGIISYMIKQSLPAVSEVTSDSHAEFIKSDKVVLVAYGDASHP IPSAYSQYANTARDSYLFGQFSDSSLPSIPESPSLPAIVLYKSFDEGYSIFPASELAN LDVSALTEFVKTNSVPLFDEISPENFGTYAEQGLPIAYLFADPSESESRDKLVEELKP LAKELKGKVNFVYIDAVKFIDHGKSLNLPGDQWPAFVIQDLAAQTKYPLQEKEVSAKG IKSFLDKFVKGEIQPSIKSAPIPEKQDQPVYKLVADDWENVFGDLDKDVFAEFYAPWC GHCQRLAPIWDTLAEKYATNSNVVIAQMDATENDIPPAAPFKVQGFPTLKFRAAGSSE FIDYNGDRSLDSLVEFVETHRKSSGGEGGAGGSGDVDEEVWEDEDAPEHDEL L199_000038 MEEINQSNNPYPVMPTGYLLGSYLALALYGVHTSQVIRYFSHHR DPLRTKLLVCWIFLLSTLQIIIILLSSHQYYVNGIVDKKIWGTFWWPLSFQDGLIPLM AFTAQLYFGRRAWLLTGKKPWMIWATSILATITFACGIALAVTAHIWSDNPFVPSQLF KQRTIGIPSQIVAITWMGLSAFTDGTITLLLIWRFRQARRNSVFYSTRHLVRKMIALT METVLLTHIVGATMCIIFLASPAAHRTKNNVFWILLESITELYALSIVFTINSRSPTA PPIPDTVVDDSDGKDGDGRDRLPDGLAQTALDYHVEGYQGSTPFGVRAVNVNVQVDRS HGSGSGTGSSRTGDTIPSPFTPMDIVGQQYFPSFTMGSPRRSSMEMEDEIDEKDGK L199_000039 MSESDTDPTVLIIGCGPAGLVNARTLLQDGFKVTVVAKEEDVGG CWRHTYPDLTTNSPWGAFTFSGLDMPKPSNHKGDVVPARTYRRYLEDFYHHFVKDKAE VLFNTEIISLLPQEENQRGWVAQLRAKEGEEEGRLFDIIVLATGYLGKPFIPDIFNDS PIPTYHTSSLGYCDQLNNLLNTVSSSTDKPAVEGDADTILVVGGGKSGMDTAALLANR GKKVIWTFRGPLKWFAPTVPPGMMGAYRLDIMFGPSRIVDSWTMWFYHCTFIGVRWVK AFWKVMRSGWTQTYVEHGLPPPETDPYLSLAHFAGGIPSSPSDFLPLLKEGRIAMIQN VNPTSINSEKFSVEFTNSDGEVKNVICGAIVTATGYRGGTYDFMESKLRKRLGLVRCF ANSDVKIEKIKKEVLDKRKRWKSIDSEEYKNVRLPSVFRGILPYGRFEQRDLAITGAT RPFYVPAITCEVESHWISSLFKNDPFLKLPKSKKDCLEEIKADNNFTRARYPGIDPYE CIPSGTYFSGFNDLSYTRVLLRDMSLDPWRQKSNAPWWKFWSKEKGWLDVRVNPEQYA TLGEERRGLREKAHR L199_000040 MNPCGWESILSTSRSPISSDQFESTLKRLSEELRNHVIEKILAT RYDLHDPPTKVLLGENSPYEAYAQWDMIDDIQQTPEIQQLLEDTMIDVRTSLAGLSEN QARNVTLDDEVKFYCDKRPDGDLDLRMDCLSSGMGDNTTSLNREIYKTQAATVSKPTI QTHFVEEALQKAEKEAIHPISQRLSHTAAENLKLTTQRVDSQWDAENGISLRTVGSQE GHRKDRDLRSWRETNWEDLTSKVLPALHIWVLRSLAQAYSQRVERDRLWQGTFGGLYF RSDFGQVTHGSSTNFDPDFNPIDRVRFVLESGDGFFNGLEVPRTILLKELLDDAPLND H L199_000041 MNTSRTSSRSTADTSTGKISIRDWNSLELRAKSDPSTLQKFFVR KSNELSEKVVRTRITGERSDDTALHDALGQDRERETFIMDMVNSLQVRTEVDEVVKRA IGGTSNALLAAGKTIDDILNAGREMETSSEVRSSGLVKFSIHSPAVERDGSGSVSTWT GLVKPLESLKDGNSTKYSEFSKRLLHFQKDKIPDIASKLTDRLKAELTKDESVLKDAT LQRWSGKADFPDLTQQQREDKLQDMFPNSDQFWSAWAKRDWDKIGDEVSARFSDYSRQ ALSETIEDKVSRQTSEVGSIDKVCLEVTINPDIKTPRNSLDCCGWFSTDPLLDSKSVS IQLKSKDGFFHKFYTTHLSDFVDLNDKKFAKDL L199_000042 MDHQVEDPRPITSQVQDKDYTLVFASEGQEHEQERLSDIQLKSL IRNAKKIDHLITITTGSIQAEITEERLKMMDRDEITNRVLDDSYKLYKVKNDLLSNPN LNDGELRQFVEEVVSDSIKQSFDLDNEITLDTILDGCPFESTSKIQEDGVHLVLSSSI QSNDPTKMYEKVISLPKFQTSQVRQYFSDAERYFGSETANRLTESVISQAQSNLPCDI PSDEGEKWHKDTRKVVRNYITDVMVGERAKRDLQGRVGQSTQRSVSIEVTPINPATPE SQFGSNSKVGSSTFSLAWKSLDGYFEKLPSPIHLTIDSHPHPEDQESHIVEENKPAGR RHETYKLLKGRAVRFMEIEERDSGSDTEWGERGERDLKVSA L199_000043 MATNLSLSSDQSISIGTPTRDMSSKETTIYNDQFNKLVKTLTHD ALIIAEKNFLIRATETEESEVKDYLLCKITSNGPYRKHLGSFLSNAFEGVNRHYGNDR EDERMKALSNLDFSVYASHLTGIRNINADSSRRYIEIYAVASIKGRLPISPNKLSMKL HGKLEWAKSHTPSHLR L199_000044 MRELAVDREAISPHPTPMYEDSHDEPQDPDSEKQASSDDSNASS LSTRAAIDFHQDDIRDSIGAVDEQDISPNTVRMWTLLLVLSTVISGIDALFQLRYPTV SVASIVAVLVAWPVGAAWSIWLPNWSLPIGGGRRLSLNDTRFNRKELGCVLMFVNVCI AANLTNTLIVEQIKYFKVDLGLGKMIFYNLGLYIISWGWTGLTHSILVRPANMIWPGV VGQLALVTNIDRINRRLKPNPGDYDGKTWKISPMGMFGVVFALSFAYYWISGLVFPAL AYIGAFISWAAPNNATVSQIFGVKTGLGLMPLAFDWSQISNLSNPLLTPFWAASCVFG AFAFWGWVVLPALYYTNTWQTGHFPIMTNSLYTVTGKAYDVTKVVNKDWTLNEAAYKK YSSLMLPAAFVLNSALGVASFASVILDLAMNWRKEVWEPFKDRNADIAAVDGEQKRER EKVVPLWLYGAASVIGIVFGIIFVEVWKKETQVGAGAFFVSIIIAAVLFLPLAIIEAR ANITLNLNIFLEMVSGFWLPGKPIACMYFATSGFATLQHAMHQSQSMKMAHYLAVPPR TAALIVFLSGVWSSLVNCSVTWWALRHTANVCTSAAENNFVCRTAKTSFNSHIMWGLL GSKVFTKGGRYVEIYYFLFAAAAVTTTVFIMRRRFPDSKWALVSPTLIMNAGTLLPKN TGINFSSWFLVAFVFGYLIHKKKTAWWRKYNMITATALDSGVAIAVILIYFAITYTGA GAHIGWWGTKVQNAGCDANGCAHLSIESLVKPTGW L199_000045 MGDESTQLEILNPKIATASRETIITDDSDTLKRHFDILTSTIAN TQLSSLSRQLSEDNRRALKDKYGIERKNDLESFLNEIVTDISKYQCHDGSSWREVLDK VQYSVTSSSSSNDQEEENEDQRAKEGDMMIYADSGEIFKVIWDEETTRLRLSNLPVQS RDEDYYQRIEDRMNRAGDTSRWKLSIKPVEIDHTS L199_000046 MLEYIYICRHGFRSNWIDPSIKTSPTGLNRDPPLAVYGQEQSQH LSSFLSDPSKTHPYPIPELVFSSPFYRCIETSLPTAQKLGLIDGDEHSEGKGQGREGG VRLEHGVAEWYSPVLPSTGLHPRPSHSEHLSQYFPPKSLNSSYRSTVFPSRKGESFKE LHDRAELFVESWISKIEELHPEVKSVVIFAHAASLIALGRALTGDRTLNVVAGCASTS LYQRKRPSTSESFESTLQPHRQPFDSRPPSSLGFTSLDSPAFGGGLAPPSPAFGSLSG TATPPAFAPLSRPPSPLPRSTGTQIVPCGVGEWEIVWNGRADYLPNGIERDWSFKDAL VKDDGEVINDKGDGGPYSEKDLLPQGLADGAEKWLRRGRPPFNTSGEGKKGSIGLGIG SARM L199_000047 MSNFSAQPIVIDGKGHLLGRLASIISKQILSGQKVTVVRCEEIN ASGSFFRNKLKYHNYLHKRHIVNPKKSGPFHFRAPSRILYKAVRGMVPHKTSRGAAAL KRLELYEGVPPAQDKVKKMVVPSALRVLRLKPGRKFCTLKRISAEVGWNYKDVVDRLE EKRKVKGQAYFERKQAALKLRAKADASVAKDEKLTQFGY L199_000048 MTILTDKTQTHIKLSTHLRLTQLHPKPVPCRGPWLPTRPYRPVQ HSNVVRSRYGGDEGRKDGYAKVPDSNKPESKCCRDDTPFAQMMMK L199_000049 MSSHLAPTPQQPLNKYIPQSPQPDSPPQTPSPISPGKSTLEVAL GKEMEGDQPITSTPEGYSYTNSNNTNTNTGVGIGINFPPESNPLPKELHSPTLNSNNN LYSMAAPPVMQRRKSSEGGSASSVPFPPSQEGSSSAGMAHSLSAGSTSSTTAPIHQQP QFPQQNLSPFPSSVSNQFNTPPRPRTVSPRHNLTSNGTATATFASSSSLGKGFSNNNE PRERVLSPHKLDLGSGTGAGVGIGDQQDENHNHLDPNNPYDQKNNNGSSGSGSNWEKA NSSFSSFGGVGSQPYFPLTGKENLLGNSPTVQPKKVPFTDSVGFWLSLYFCFNLGLTL FNKFVLVSFPFPYTLTGLHALSGCAGTYIALEQGAFTPARLTQKENVILAAFSVLYTI NIAVSNISLQLVSIPFHQVVRASTPLFTIFIAAIFLRARFSALKLVSLLPVVAGVGFA TYGDYDFTALGLILTLLGTFLAALKTVVTNLIQTGGGGRLKLHPLDLLMRMSPLAFIQ CVIYGWYTGELERVRRYGATQMTRSKAIALLFNGVFAFGLNIVSFTANKKAGALTMTV SANCKQVLTIVLAVMLFNLHISPTNGIGILLTLVGGGWYGYVEYMEKKKKTKVLERA L199_000050 MPSLQERENGPLARVEEAEAARYRPSYQVDPSCLSGDQSDEPSV ATLSKALASALATAKTDHSIWKARSHNPSSEVLERFRHESERDILTHSTPFIAEILGQ IETQAKATGDDSRAYSNVGSEYRVSVERKDDQGSNFVLEYTTESGTYILPISGVKYSN NDYFTEILYGKPGSKASSGSKDDTCIPPSVTYSSGASRFPL L199_000051 MISQEEPKWVDINSTVIIEESSLTKPANIDKIHTFYTVVRLLPR NYMMELASRATTQYPSELGTGKPVMSSEASELHRQLTEIRAGMKRFQDLYASHTDQLT KADIDTIVDELVKLQQSVESTHRRMDDKSAYIYSCVDDLIAGCSKAIVEAKIEYRINQ DPSLKDGSVDCTRNVSEDDKHVVSSHIAPVLANDIETKLTTSQLYGWYNTDPSHVPDI WSKFGQETIKVDTSDGTNFKCYFGPADYMITVDNGQSGDENLFHAVKEQDSAG L199_000052 MEIDQGEGGSGGSPIDETQDEYEYDDIPYEYDEELEQALQAAES QSKPTINHGITNGIVHDIEDLPLDTEAIQVMSPFQQFRKKGYLSVSDLVGPVWCETQY DYHLRTLPYLPPSQRPDIIKSKEGNEIVVDKVKVEGKEKILKRGEKIHKRLEREIHPE EIRVPIATDEDIWGLRFLNMLAAMEALLVLGKCRELPVVGFVKGVLVYGVIDEIIREP IPPTSTSNLSSDTPSNQTSLKTFFTSSRPALPKIELRTHRLYISDSKTRASNVLPKEE DALSGRLQVMLYKELLDAILLSSSNRTSTASLTQNGNGESSLSSKSILPSRNPFSWDY IFAHLDLDPTTRFSEGFLEQSKSVVVGNGLRYGSDRAENLNDLKLVWNQYVSELGLGS GMTTTHRTKNVGKGKEKEKEEEKNLGRTEDLLKLVYRRAGGKKKHKNGDRRRGKRRRR GTRLHDQAEGEPPPSYLLPIENGEKVEAEERRLIELAIKESLKSSTADPIQTLFDDEV VDMIQSDGTAENKNLNISRPPTRTSEEICSGSDNDEQEQDSKEEDELAWAVEMSLVNP DLDTDIGGGQGVVNLLKTPVSSQQSTSNNSTIHSPSASKGRYDDQEQDQGDNEALPSG TIIGTHKFNHSPLLLAKHLENVLQFWMGEREPNGVTLEQTRRCGWCEFEEGCEWRLKK AKEIWESRKLT L199_000053 MSDVKKPKDAKAFLTDFLMGGVSAAVSKTAAAPIERIKLLVQNQ DEMIKQGRLSTPYKGIGDCFSRTYKEEGLASLWRGNTANVIRYFPTQALNFAFKDYFK SLFGFKKSEGYWKWFAGNIASGGAAGASSLLFVYSLDYARTRLANDNKSAKKGGSRQF NGLVDVYRKTLASDGIAGLYRGFVPSVVGIIVYRGLYFGLYDSIKPVILVGPLEGNFL ASFALGWTVTTSAGLASYPLDTIRRRMMMTSGGTVHYKSMMDAGSQIIAKEGIKSLFK GAGANILRGVAGAGVLSLYDKMQELMFGKVYSVS L199_000054 MLRLASLSLLSLISAQSLTLYTGDTTATATSTASAAEGSYTGLA AYDPTVLTPPTPPETPVTSYTLTLPSNGQAVLDQGLSLSIAQKGNFLGFSVELSIANS LMGSSSTNMKVPFLNYMANIQNRAGVGPVIRVGGNSQEGSTIFTGGLEDGAVLDKIKV SSGVTDTPLINYSLELFYLMANITSLVGADWYFGLSFNESAVDSPTGNVPIAAKWAQD ILGEHLLALSVGNEPDLYVDHSKRESGWGVSNYVTEFDTMTQSILADNNLIDTTAFIG PSTCCQVEGFELDDVFNAGWLTSNVDNLAAVSVQHYPTNNCKINGNVIDPQAIFSDFL NHTSAQAQVSPYLTNSQTVLGVQKEFIMLETNTASCGGFAGLSDSFGVALWMADYALQ MAWGNFTTALMHVGGQNVYYNPFTPPPSTEAATKEWTTGSIYYSTLVIAEAFGKSNQS KVVDISPSTDQDANNIYHPIYAVYENDAPTRVVLFNYIDDSTGANDLQTTITVGTATS SVSVRYLRASSVSEQYNITWAGQTLGTSFASDGRLYGDQSTVTIQCTDGNCVIPVYAP SIALVFLTEDALSNSTPSADATTEYETSIVGTGSATVDKQALETSNGQSSSQNGGTSK GNSSSGAISRITNVSTTSMGLVLAFTGGLLNLVM L199_000055 MSNKNLPSHDYYQSLTHLTLSVYMKGYGVEGIKDNVKVEFDTRK ITINLPPLPSGPGAEGRTISFEPLFDEIGTEGSTYRVLNTKIEIKVLKINPINWPSLL SDPDSTSTGGPSSSSSQRTTIVSPAPAPSEATPTPAPTTEVKKKEKKNWDKVLEDELV EDQSDDKDPNSGGDAALQKFFSQIYGNADPDTRRAMIKSFTESGGTTLSTDWSNIGKE RTPVRPPEGMEEKKL L199_000056 MANQAVLQTDWNDYQWFSQDYIPRQTVPVLRLFASIIESQSRIQ CYIRSSDRKAEIVSRVQDAFARMKASNDLRGYGQIRLQCEQRGVPQYRPNYAPAPTFG GVPSSSSSYSRPAAPPTNGYGSSSYSQAGPSRPGGSNWQTQNRPPPTLQDWKPNPMWK PIRALTSMEMLPDISHNENSHVRRERKTNFSIPNDVIEKLNYTRDHPNSHPRYAIRLF CTSSDHYKPLGMPALPGQPVPTNKILPIEYPSNPDIMVDSYPLSFKEKGLRGKAGSAP PFDLEKAPNGLSRVPGRMTTIAMGHTGPTVGKKKDVAKRFFYQIVFTEMTTKETLINR LKGLVPTSVEASLAEFRKRQEEDDDIVVGTSVMSLKDPLAYMRMTRPVRSSKCTHIQC FDAQWWIESNSQHPQWHCPHCGKELQFPELIVDGYVLSILNACPDSVDDVILEPSGDW HTEDNKYGSADWLASHGTAAAPSPVETKPETAPSPQSNGPTSDNANAKRKIVELLSDS EDEDDEPLSRTANGNARSLALPAAAIPPRFTPAATPATPATGGASSARSSIQPANDII DLTLSDSEDEDDTDEAPPASQTYFRGPGAEYTNRNAVDSNPPLHSQIESKGSAARPSG GPSISIARDAPLNGQGSAARGGGTWINPLERDNLLNTNPRYGQVPPAGPRAGYGSSSG SLSKRPRGNEWYDDERPTNRDLRQTSQSASGNGNGSASRSGGFSSYSTNQPQPYQSSV RPPFVSHPNASHSFSSPLPSPTYQPLAPRQQAQSVSSPLIPSGSSSSLTNGPSAMNVS GSSRNRIEPSSAVSSTQSPSLRVTIPNPNYRGERSRTNTPLNSATIGISSTASRDKRD TDEASLELNPRPDVVSPDRPTSNVNLPIYNRFTSTANGSANNAGNRDGLDGHVYDDGD EAERSSDLGLGMDTMEENFWEGVLNGDIEGI L199_000057 MSELTANKPYDDLTKEEQAAHDAKEREREKEEQAALPYQWTQDL STVTVTVPLPQGTKGKDVIVVMGKKKLKVQLKTSNEPILEGELFNDIISDESSWTIDD GTLNIELEKLSAHIQSHQWWPHALTHHPKIDTTKIVPENSKLEDLDSETRGMVEKMMF DNRQKAMGKPTSDELKKLEMLEKFKKAHPEMDFSNAKIS L199_000058 MTIYLEKALVESIIIVILVLIFLITSCSPDKSSWSRPSREIPKG EKHDRPECDRNRSDRDRNDKQEKDQGDRTKSSDQDKDRGKRDDDGKKMDEPSSSDSET SDSTPTPTPDDGSTDDTSNDGRTSDTDTVADTPGTLNWSAQLADNFRLNINNSDGNFR VNYHG L199_000059 MSDTSRIASTNPSSTLTDGTSTLVTPSIDIANRHDSSAADRYDN TGMEDMEAGYTLPSVSWADPQTSKGYEQSSYKKFTGRNGRGRSKALSKLFEGYKYMDK EASYPGEENGDWIPEWTIREFLQTNPLIHPLYDLYGDPIQGGMSRPQLQEQYDAAVGK QKEIYSRSDLDHGRNHLKLNQFHFTHILRGVRSTINSPSYTGISNILDRANARREFDK CHLRGECLTSFDDKSITLTLTSYGLDDKNKNRRWTSITFKADGDLTYGYSDEVRGEEK KEESVD L199_000060 MKGGSADRDTFTQPTSGPSGDSIKWSHPNLSENSDEYNPERLDC YDHHRRSKGLKKLVDTFTFTRRNTPEQRTHTVWSLLPGAVNLTYNTLLGALYDNGSFD AMASGGLNRQFNVAPSKEERMYGRSINVNPVKSRWRLDDLQKSHILQNIKTIANCPAN NDRWDQLRLKRSEIRREFDRSHITGELQCTFDERSITLRLISYDMEDESKIRNRRETR ITFLDDMSAAIYFKNENENDEVQVIAPGGLDPGMPIPLPEGITW L199_000061 MFRASRVLLSALRPIKASTGITGLEPIADPLPALKSIYSSTLST LSSLPSGSVYRQATEALTKHRLEVIEKSNEDVSKVESELGKIIELTIEEAKAEQALVG KMIEWKSWENLEQEPQPDQWKYFEPASDV L199_000062 MNSSPPPPSFTLPPLPSSGNFPITPSPTPSPDTEEDGYKLTAKG GPGPSPRKGKNEAHITEEEGRISMYVKLFDEMINTVLESESYLFTARELWVLKYILDL AYEPHYLLTRLLLRRPCKIHPYSSLVNAYSAELGEEGVQRAVKILSKPLSISQDIIDS EPSIINNDEPIPVASSSKSPLAPTKSYPTPLSAKSKGKLPSTCSKKLKPWSSLSSGLT LEEEKADPELAEALKESLWASKVGRVEIDDDGSEIHSPPPSILARSRSVSNTSSTSSS SLGSGTKTPLMEEFSLTPQHPPPIICLGRSEKDLGLDDIMTCISAEDLKKIAKSKKIP PLSLITRETTINALRGMAKKQTVLSFTPVKGKSKLADKSKQATLPFSPSTTRITSESL LINQLLPFLGHSAIQLSSELHSLISRVNLIFSRTPPLTSGGSSLMLPSILVTSHKRRY PNYGSPTRSFIWSSRDELLIWERAVGWETKVSDALGENWQEQRKQFVPGFGINNKPMI SRTEGAKIVKKIWEGVWSTWLELVRGQGADQVDYGKEKGGLVGDRFKTGHVLTRIVYK GATALGILHEYDTECMVLKALLAQRRWRRSKRGAWYDRLALVLMNHYNATPEEKEEKL REATQTCIDALLDEDTHMIYRPALSRRLTRLENKLNLPSDERHISYASLNKCETRELI APRVMENMGAPRYRARSASESVRGDREASLGMGEEELRAGGGMGLQQTGKSVWLGREG EVTVEGWVLEWWEDKGYKGFHSESSILTTLFTLLMWPILFSPLPGAFETPYQTAPLDL GEDTFAPSRSAAIEQRLEEMSSTSAALDMLSEVDERERPRETWAVGVNWEYSADDLRE ILECIGGEAMSGVCRMLAEEYRHRSSGVPDLIVWNHEKKEARFVEVKGPGDSLSETQK VWIDVLLSSGIPVEVCRVKEKIASTQQIEKVKKKRKSNELAQKRAKKVKEEYERGADG EFVEVGEDELSVMKDEEEEGWEKEDEMRFESGDEGGSEGRWEKK L199_000063 MSDAFSTPAEHALVHPELESIAESARAGPSSMGDENEGISIEEA FEVNETVRRILEGGYKTIGLQFPDELLPSSVQVFRSIQMKIQHTGAQAYVLADSTYGN CCPDVLSCLHLPADFLVHYGHACLTPTDALPVFYVFPRRKLDITHAAEHFSEASKEEL SDEGGKKGVVIVWDVSFDWLADEIQETFTKTTSHPINFATIHKPPTSSSSVPLPSSDP DTKGKGKAPALRSIVPPKGVDMSDCTIWYIGEEGRSSINLQMSYANNPLYLYSPSSSS VLSLHRRTSRLLQRRLFALHQAMSADIFGLIVSNIGLSSSQPLLHQLRSDLRKAKKKS YTLSVGRLNPAKLANFAEIECFVLVGCNEGGLVDNSKDFLRPIITPWELELALQGEGG SWQPEKWTLDLGKVLEEAQERNSAETPKRNGVQNGNEDEDDDEGPEFSLITGKMRTKK TFHGATDSTEIPSEGIQSLTLRNQNFTLAKLESAGSNFLASREFRGLEPRYGMDEPSL LEEGRSGVARGYTEEK L199_000064 MSFFGPTISPDGLFVTYHPIHPPSHPCSCYYHDTQVGFDDGDPL RPDPGTPTPCLPPPGPCPRPSPPGDENPISHSRGRPGRPISPRPCIPPHGPCPGPIPP SERERSHTYNNPSIPISHSAQQGLPIAYPNSPPRYTPNSPPLYKPLPSPSEGTLLLAP PPSFLASTCPYVHSANPSELPTHSCPHCYSHSGPQPQTADTVDWMVALLIILNLFVWG AVAWGYICEYTDGDFQWGDLVGSCVGGQGAAGWGKICQGEECRWAFVDC L199_000065 MPYSDTFDRRPWFKPLDEDRRKALIHKAAEQDMINLKDILARNI GDEDAIKTFVEKENPSWSGIFNFHDKNQTIYATWSAKNDSRGVICSDKGSEVRGDLSV VSPDP L199_000066 MSSSADEAESSAQALERYITEQLSGLFLTVPQDDVEMMARFVEE EGLERDEKLEGVKGMLEGVVDGGVLPEEGVDEMLGKVIDEQERLKVEEEERLREKEEE EKSPTPPPTKPTDILSTLTPEELKAAQKKALLRQYAYVDASEDEVRAALAANGDRDPN APAKAGGGGNDEKKAAEERKKMIEDALRLDGKKKKYRKQQEIDLLAPNLNREKVAYRA QMEREAQKSASQQKRDRDKAALDKQRADQAKAKADKQKKAAKQERRA L199_000067 MTTEITPSKIPVPINKRSSISLPSTNQVQQSLSNNSVSSQATQQ SRSQSPLNVNGSNAKTGRSSPALTNQQRRWSLLSGGQGPSRKTSFSETTGMNTNLSSS TSYDSNDPVQIKAQIAILQSSLLNAQQRLVDVQNGNTSSLPLTPIDGLSNLPEVEVEV DPDELRDRLLDENDEGGRDSPLDPEPPTGINTINGKPRLNGLPHSNPSFDLRSRAVTP ARPTGTKLPDGRSRIPQAVVAGSAALHPTPPPASPARPHTSPPSPINLPDREKNLRSP FLAPESPQPPSPYGSGRPPSSLGVGGESRLSPSPAGSRRASGGPNDKSSASTRVIDGL QTELINTRGHLERVKQEVRSAQRVIGSLTRQTEDLKETRERMRVECEGLNNVIARKER LLQEVLERARTAESSLSQHQSTRKALEQSTKKSLSHMTSQLTEAQAGQAKAERECVAL RESVKSLRDVWAREVKSVRDEWKKGEEKGKKEREEARQKHLALVKLVQSQSADRAAIQ NLAEKATKESALATEAFEAQIARLRLEIDQSSEESRSAKSQAEELAIELVRLRRLMRE PRRGSLEDAAVISSNDIAGLVNDDK L199_000068 MPPFRNRGNGHAQRGRGGFRGGPRGGRGGPKLPAELREQVDTKY GSKSRRGGFRHHDDRRDTREDRRAGPSTQMRGRPPPSPSDAEDEEEDEEPAPRPAKKA RTKPMKDEELPKKKKKKLPELRLPDEMVGDQEDQEIEWLEYILKKEKGKGKEIDDDGL DDLLDFADVVGPGGMGLKRDGEDESDLEQDDEDNDDSDKEDMMDLDSLDEDDDTSEED DEEEDDEGSEVDEDGESNENVDLDGETSEDEPGVEDDVKQPEDNATVPAASIGDTTSN SAAPTKYVPPHLRAAQLEENAKGNKQKAEELIKLERKAQGLLNKLSEANLESILGEIE SLYRGHSRNDVSTTLTNLIIQMISNKANLLDSFVVLYATLVGALHRVIGMEFGAHFVH TLIMRYQSALTGSSTNETKLEANIYETPDGSKEALNLLTLIAELYNAQVIGSKLIYDL IKGFLEAGNEKEGKEVMSERATEGLLKVLRCSGAQLRTDDPASLKDIVNLVQERTKGK EKSMTARARFMVETLTNVKNGKIKSTQNSEGGNEAATRMKKFLSGLGKKRRLLAYEPL RVSLSDLLSADKKGKWWLVGAGWSGNPLVEREQALASTSSSISEKNKKVKGEEDVTDE EALLELARKQGMNTDVRRGVFVVLMTSEDYVHACDRLNALKLSDVQQREFVRVALHCC GLEKTYNPYYTLILSNLCSNSYDHRFTLQYALWDFIRELESGSGESTKLKIQKKKQRQ ENISKAIGYVVGRGGMDLTVFKAIDFTTLSTPLLGFLITFLIHMFLSIHTVSPIFTLP KSFDPETEFDEETVEEKFEKTLSNTELAGGWLYVLERGQKKFAEAIQDLGEREKEVVK SGLDVGRRVLGNVL L199_000069 MQSIPLDNMTTNSQRQSQPIIQASYQLHDSTKSNSKCYYTESYD PSSHRSTYDFNWSLPYSFWNDKKNLPFPVEFTAFGQERHTRYTFIASFSERKPTTGQK ILYGTVAATALLGLGTLGYTTYEARHQAELKWQSQDLNVAYENLINELREDHPDWEIP TMRVSKRSEDGTEWKVQASEHNSIESEDGNGSERETYSIENADEVVASILSRSNDGNS GVPQLILTRIDSNKVSKSFVA L199_000070 MFIGSTVLLAGTWGYCMYELVNNYDSRSEGLSAGCNSTSVWNKM RMYEEYIEGMKEDHPDWDITVPDVDDEESNEKGKRSLWKVGDVDTIVEDILSSTMAGD GDENGNGQFDMVWTDPRKTEGDEMSLGLSEGEGSKIPIVFTA L199_000071 MVKHNNQLQKNHFHKDWQRRVKTWFDQPGKKKSRRVARSKKALA TGAQPLQRLRPAVRCPTQRYNIRIREGRGFTLSELKLAGIRKKEAKGLGIVVDHRRRS KSEEGQSLNVDRLKEYKTRLVVFPRKQGKPKAGDAQGEDLTAHLTREAIPLPASYTAE APRAITDEEKSTDAFVTLRLARAAQRNEGQRQKRLREKEAAEKQK L199_000072 MAPMEKGFSWSNIAVGATMNMFEVTTLGQPLEVLKTQMAANRSQ TMGQAFATVWSRGGIKGFYQGLIPWAWIEASTKGGVLIFTSAEIEKYTIKAFGVSPAA AGMLGGIGGGVAQAYATMGFCTCMKTVEITRHKQASAGAPVESTFKVFLDIYKREGIA GINKGVNAVALRQATNWGSRFGFARLAEASLRNIKGIKEGEKLGALDKILASTVGGAL ATWNQPIEVVRVEMQSALKSQDPTRPAKKTVLNTLSYIYKTNGIKGLYRGVAPRIGLG AWQTICMVSFADYVKAA L199_000073 MASSTLRPHRSPSPSPTPSPTINPSNPAKLTRQSLGPPSTTQGA QSKGFSGLGIASPTAASHPRHVSSAALMGGGGGRENSLSPRPSLGSSGGNSHPQQPRA VSSGPGFALGMLSGGGGGRPSSEYIPSRDNAKTPEAEQIDQWFKHLASWEATLEEMAA ASTDQNFTEELGAIEQWFRVLSEAERTAALYSLLQHSTPVQIRFFLSVLHHMAQSDPM TALLSPAPSGPGLQAQMEQRLSAMNLKSPSAGGGSGFTGSPNANQYLAPDDAAAQKAK AKQNRISAPGTLQPLDRWQSGLDQVIERGSSPGLESNGSSRSRSPVPDARPKSTDFSG QANQPRRESSGFQRSPRLSAGGVGLGIGQPEGLPHGQSPVTSPFLNNGSWASMVNTPM VPGFIDPKVDNLTQALNMANLGLGGNPNRIPLEDARKFRRPNAGGNTSRNVSGAYNDD GEMINPRSNQPGGNGGFSNATSPLLGGFARSPVLDQFNALSGLGLGGADPNALAGLGM NFANLGAGGLNAAQLMAMQQLQTAASFAQGGYGGGSPNLGHGLNQHQHQRGNNHKNGP QGRRSPMLGNNSNKNSPAPGGAAGGGGGAGGGAGVAGPDDVDLKILEDTAGWLRVLRL HKYTPNFEKSSWKEMVEMNDQDLQDKGISAQGARTKFLKVFYNVRTKMDLPHPEGQEE YAPGAKDKEK L199_000074 MLERHTSFTVASGGSTPVYMTPGTQLDRETVDALSGIIASSCQQ HRDLRKEEQARSQKDREANYWEDRVEEWRLTGTLLVGLTVCASLITVATDRSNNSLRR EIKELRSEMKDMNAVPTVTCEVGAGSQSEQPDGTLIRVDLADSYADKDDAKDDSNGVC SAFAFIPFEEA L199_000075 MSVSERSWVHTAGCTSSRDRFHARHTDTQQVYCLNDKSSQEILA RCEKERIEKGLSDQGQKGKWELHFVPSNSDGKTQASEVIRDDDPVPWKLATAGAIASA IVCCGFLCSSEEARSQLMRDNRELSHYASYCYGEVSKYKPADQETLASMLSADRTFSI MPTMESQGSGAEAVARATGVPRPGSSTA L199_000076 MASVVRQNANPRKSWGETCKRSTNGLLQDSGYDGKVASALAAST ISQFYDRSDQYETECPGTKSNFTNWRAFLTEVPTLQDIATDHE L199_000077 MSSSQPPSQSGGSRGSTNPSYQCATDSPSQLSMYQADETDDSGL SDEELRRQVSEDSKSSTTKLAVTIATAGAIAGITKLLK L199_000078 MTETKSVNVRAMLFDMDGTLLDSTPAVNATWEYFAKEYDLDLHE VLRTSHGVRTIDNMKNWCGITDPVELRDAVELFEGMIVKEAQELQAAGKDGLIALPNV LDLLNHLNTSPIPVWAIVTSATNTYASAALPTAGIPTTPKLITADDVSNGKPHPEPYL TGAKALDVDIKDCIVVEDAPSGVRSGVASGAKVLATCTSHTRQQLEGLGADWIVTDLS KVSFEVKDGRVQVTVDESP L199_000079 MASSLPQYYSILNVNPKSTADEIRQAYKRESLKTHPDRLPGNAT PQERRRATERFQIVSDAYYVLSDPSRRAEYDALFNSRPSSAFTDDTSSEFEQEQASGN FFENFAQFFQNATGSASAPGPGTSEKADAGPGAAPRRGGGGMGGRPDAHGVFGDVFEE MLAPEVAHVRPWWSWVGGASGAAIGYIVANVPGAVAGGFAGNRLGAIRDAKGRAVGEV FRELGAGQKAEILKALAFKVLGSMS L199_000080 MSAEEDMSLTPISPQPLPLPTVELSFDTYNGGAWDDRELIRASE AAMKEFHAHHPGPGSWLDKATAALAKGQKLPGADDYGTAWYSASLTSETEGQAEASTS TRVKVNDNTNGNGTQSNKRRKTKRTNMYDTNIPNPYLPSTSISTDPSHSQSARKGSPT YNPGSPTSRPIQELNTDSDSESDSEEDFYDEEEVEDEEYDEDAEWNLPTQHQQQQYGS GYDQLFPSLGVYPPGGINREEALGYAMTAQYWAGYWMGVAQSQNQSVSQSQGNGNGVG YQQDQREKRSKRRRRVNFDLGDEDDTTVDAFKFNQMNGNGNVQPTNLKVTKKRFDPVI NGLRR L199_000081 MSSSAPLLSGTIEPDEEPPTLPQGKIGASSRPSEPVVLVPGTTA QGNVATGIGNAEEGGIRGILKQSSHPLSLLFLYFFRSAAIAVYVLCGLFTDNYVLSIV VVVVLLSLDFWNTRNVAGRTLVGLRYWNEVDEEGESSWVFESRDPSRPANAIDAKMFW IALYAYPLGWLALLFVSLLKFNVSFLPIVLLALVFNLSNLLGFTYADRDAQRRWANGI ASSGNLMGFGFGGIGGQLVGGMVKNSLGRVFG L199_000082 MSGHPTQEDPLPITNTLFPPPPAYWQSFTETNIQRYESLTGTSF FDIQGDEVKADMDSDLGEEETKELEELKLRLNRPRNDWVEEDGRWMSFGTLFNIKPTI PTIKDIGLPPLFQSTTTPEESLPNLLSSFLHTILLLLDVLTTSARTPDELMHAGWAHE GDQYIQHLSNLAASMMIHSNSLRQMQSESTLILMMEKEIEERKKQTDVLTRKCKEIST NIKRLKEIRN L199_000083 MSLSSSSLSSLPTNSPSFPSTAQRQQQDDTNRLLHSLTETVTRL LTLHLSDGLESPYVYEDLLKPINLTTWKNEKYPYPNFKDKSQNEVYDLIVILAKRVAE FLTRLSVMVKVVYHLFGLLEIIIRRLETVERGDDEIRVVEKRLNRLERMMMMRSRVGI EGGIGSNQKEKEKYQPPTPKSSKGDRTVRYGRVDQHPMMIVNEDEEERSSNLRRSSRH VKVVDYTISSSDDDNPEADLDVD L199_000084 MEEHRKRHGRRMDYEEKKRKRTAREAHKASADAQKIFGHKAKLH HAKRHAEKVQMKKTLKAHDERNVKQKDDGAVKEGALPTYLLDREGQKDAKALSTAVKD RRKDRAAKYSVPLPKVRGIAEEEMFKVIKTGKHKGKSWKRMVNKATFVGEGFTRKPVK LERFIRPMGLRMTKANVTHPELKTTFQLPILGVKKNPQSPLYTSLGVLTKGTILEVNV SELGMVTTGGKVVWSKYAQITNNPENDGCINSVLLV L199_000085 MGLSERKVKQKIGLDPRNLTWSDDKSRFSYKHMTALGWNENGGL GAGGDGNPNHIAVIRKSDNGGIGMDRARKDGSDMAAGAGQAGRGLEDVLKRIAAASAS PSPAPSPAPEVEAEASTKKEENKVIRNRIASRQKHLNSKRMASQSPAALAEILGVPVS SLPSSSSPSPSPSAPSTPQPEASTSTSGETTNERTADETVTKSTLSVSDYFRQKMREK MAARQAAANASGGSTDVKIDDLPSSSLEHLSASSSSSKPIGGTAWEGQKMQFGNDEQV EFDPSSGPVDEDQEKEKSSKKKKEKKEKKDKSGTSTPIALEGEDPIHIAAEHVHEFEH AGEIDEKEKKRKEKEEKKRKKEEKKAKKAEVGVELEKKEKKRKRDEEEGEVKSKKEKK EKKDKKEKKDKKEKKSKSPSS L199_000086 MGKDKLHVNVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKSSFKYAWVLDKLKAERERGITIDIALWKFETPKYQVTVIDAPGHRDFIKNM ITGTSQADCAILIIATGVGEFEAGISKEGQTREHALLAFTLGVRQLIVACNKMDTCKY SEDRFNEIVKEASGFIKKVGYNPKAVAFVPISGWHGDNMLEESSNMPWYKGWVKETKA GQVKGKTLLDAIDAIEPPTRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKAGMVV TFAPSNVTTEVKSVEMHHEQIPEGLPGDNVGFNVKNVSIKDIRRGNVCGDTKQDPPKE AASFNAQVIVLNHPGQIGAGYTPVLDCHTAHIACKFSELIEKIDRRTGKVMEANPKFV KSGDAAIVKLVSQKPICVEAYTEYPPLGRFAVRDMRQTVAVGVIKSVEKTDGKGGKVT KAAEKAGAKKK L199_000087 MTSTPSFSSFPDFSAGTEPGPSRPTPAAPVPSFGSFPESAEPKR SKRRSRSPYYDEKRSKTKDKEDDRNESRSRDKDHDKTFRERDRSDRHKRDRDDKREKE RRRDRSRSREGDEYNHRDRFKDRHKDRDRSAERRHKEDRRRKEREKAMDLIRGSDVGI IRSEKRKEGRVISKTDGQSWYESVATVKDEGIDTAPSTSFFPDTVGDRDAVRYGSTSS ASAPRYYRDGKNRILGLNEGLRIVYSRDRTQKGVEVAPKGRPYLPRYNSRRTQSAASQ HLQRILLLPSDDQAFNSSAPYISFALKTARQETDIPSYRDIHQLQEDEDSELAEIQAA IGSYSTLAEEVRRETVETEQYLKSHPGVIDRWIKYSQLHLKLSPDLTSKSDAAQISTK TRAEAEVTLSILSRALDAEEANFNSEKLHLAYLKAAEQFWPNDKVTNRWKNVLRELSE KNDQVDMMDLWLGYITWREGDGFGKGDGGGVDEVVEVYEECIGKLKESDKGDTQAREE NLVYLFLRACLFLKQAGYTERALAMFQAIMEITFFKPDHLRISSSSAHIRFDDVLDEF EGFWDTEAPRIGEPGSKGWKNTAPSAVPSSQVLRPLNRTSEDPFEKWLEAELHAESNY SMPGRATDLNEEVEDDPYHTILFSDIRPFLSPINSPEVRLQLIYAFLTFLGLPFTPPD VPSSSPASNDPHLQWTLAHNPAKRNAFWPPRQSSKRLPWQTVGGEPMDPERTRGLDNP FESPVKCWLQDRNTTLGRKGSWFTDIDAEDVAALDVDLLRNVFNVLRPLVPDPAFTLA SFAFESAVSAKGAVKFAKSVLASDRDNLLLWDGYARLERQRGNIPSARTVYVTALQAA IAVRSYGVVTEDELDLWAGWAEMEFENAHEVRALEVVVLAVGVGQDRLAEYLAPDRSP GQPSSVGMLKSRQFYLNTQSPYSPSQLLLKSLFHYLTEDIGSTRSFLLNHLITLLPSS GEAEYTLQLLTKILYFHSTRHSAPASLSRDTLELALSSFPNNTSFLSLYLYGELGGRV YGRVQRLIADLTSQRGSHGELVVHLWAIWAEAVSSHRTFWDEGGTGAERVRLSLDKGI NSASGRYSAALWMLYIEFECLMGRQQTAKQLCYRAVACLGGCKSLYLLPFSPSLRPHY TTRELKDWAELMMERGIRLRIPFERYFDTQEDEGALLEFPEDEDLQEDELGFLREREV AKPY L199_000088 MLLLSALVSSLILGSRAQAAPAPTNLTRSSASVSQRSVNTGWDY GQEKVRGVNIGGWLVLEPFITPSLFEQTGNNDIVDEYTFSQYQDKSVAQSALKEHWDS WITEDDFAQIAAAGLNHVRIPIGYWAYDVSGGEPYIQGQADYLDKAIGWAGKHGIKVM IDLHGAPASQNGYDNSGHRGSANWATDNNNVVRTKNVISTLAKKYSDPSYFGVVTMLA LLNEPATYMNDQLLQTTRQYWKDAYGAARYPYGNSDKSGLVLVIHDGFQPLSTYQGFM SEPEYEDVFLDTHNYQVFNDDFVSWNWDQHVKGICDKASTYSQSPMWLVVGEWSLATT DCAKYLNGRGIGARYDGTYSGSSYHGSCDDKSNDVSKYSSEYKEFLRKFYDVQVQTYE NNGQGWIHWTWKTESAADWSYQSGIKNGFIPSDPTNHKYSYQSLCG L199_000089 MSSNKDSERGQPSQGSDQGERGISATTAATLLVGASLMTAGSIT LAHRNSINSAINKAYALGLKNGQQMCYEPSTTTITVARESATTAANVASWEQPVSFDE GAQEVYVEMNSQVSEDQIPSKYRRSEI L199_000090 MSAQDVIALIVYLPSSLGLGNAVVIGATTGLFTAGMVVENRCEG GVRAAYQNVEADGFSQGMRETCYPKYYSQDASHQADTTHGTTDSGSTDNYWSVDHGDA QQESIYVVDTNLHRSYAGKGSLYLSGVAGQDQPVDDSQVGEHNIPTKFRRT L199_000091 MAATINQDAKAGSVVVMEKTDKRDYLIALESSAQESWKTAQSFE ANPPPLPEGIKSYQDFFESGQSMEELQKKYPKWFGTFPYAYMNGSLHLGHAFTISKIE FAAGFERMRGKKVLFPVGYHATGMPIKAASDKLIREMEMFGEDFSGYKEDVEESNDNA IPVPTTTALPAKSLESTDPSKGKKGKLNAKSTGLTYQFQILELIGVPRDELKKFADPY YWLNYFPPIAKQDLTGLGARVDWRRQFLTTDANPYYDSFVRWQMNKLYQQGKVKFGKR YTIYSPKDGQPCMDHDRQSGEAVNPQEYTAVKMEVLEWGPEVSSEVKQAVGGKKAWMV AATLRPETMYGQTNCFVGPNLKYGLFESAHNELFLITERAARNMAFQGIFEGESGRVA KVADVVGSELLGTKVSPPFGVAKEVYVLPMEGVLATKGTGVVTSVPSDSPDDYRTLMD LRKKAEMYKIKPEWAAIDPIPVLKTPKYGDMAAEFLCNELKIQSQRDKEKLAEAKDLT YKEGFYNGVMVIGDFKGEPVADAKPKVRQQMIDAGLATPYAEPESEVISRSADVCVVA LVDQWYLDYGEDSWKSSAFKLLGQMNTYQAETRNGFEAVLNWLNKWACARSYGLGSKL PWDPVWLVESLSDSTIYMSYYTVANLLHEDMFGKNPGPLGIKAEQMTDEVWEYVLGSG ELPANSPVEPEKAAQLKYHFSYFYPLDIRSSGKDLIPNHLTFWIYVHAALFPEKHWPR AVRTNGHLMLNGKKMSKSTGNFLTMKEATKKYGADAARLTLADAGDDITDANFEETVA NAAILRLHTACLWAEEMKSIESSLRTGEYNEFDRGFQAEMDAMIERAYEAFDQMEFKT ALKAGLYDFENSRNWYRLISDPANGGQGMHRDLVFQWIRNNTLLIAPFTPHYSEHIWK NVLGETSNIQSAQFPKISGPIDKGILEQMDYMKGVVDRLRAAEATLGKKKGKGKSTTT YDSNKPKQTRIYVASKFPEWQEKAIELVKQAYDGKDVDDAKLKSGLQESGLLKDKKVM PFAQTMKRKVITEGISAVDRTLPFDELHTLKILVPYIQASAKFTKVDVLSVTEAQEIL AKEPEKENYDSVKIEAAQPGIPESQFWNE L199_000092 MAPALHSRGLLLAMDLPYRHTVLQLRSQIVQVRIRSHHVARQLS SRRSCLQIGSRPTLSLAILSPKWTRYYSQKPVVPNDKTSIPASSSIEPKPATSIPPLP ASDEAELHESPYTLPNALTLARIIACPFLGYTIVQGDFAWATGILFASGVSDWLDGWL ARRYNSRSVLGSILDPAADKALMTTLVGTLAWSGLLPLPLAILIFGRDLGLSISAFYF RFISLPKPRTLKRYFDVSIPSAEVKPTQISKINTALQLLLMGLTTVSPLLGYSIAGPL QALQWTVAGTTIWSGFSYLGRSGVKILKQPGKKLL L199_000093 MTFSPSPSPTSSPTPAFNHRRVEGGDKAPSQGSLRFAPNAHVRM SDTSTPNHHHNQQQQQPLKSSALSNRPPPAMDPLNFENFGSSLASSKSSKSSSQSQSQ FKSASGHLYRKAVDPSSTSTSHPNGMNKASGSNSYGSYIKPATPNAFQNDSPYQQQQQ IKQVFSKTSHQPAFYTNQQWPAWVHNGQANPILIHHQPPAQSSKPKVASEEDHVPRED FNIDAIPVTAEDYQRYNGDADKHMQELLSGAIGDGEGELDDNSEGDDVIEGFADGIKL MPHQVRGVKWMRQRESGRKYGGILADDMGLGKTVQTLARIVEGTATAAEKKAGYKAGT LIIAPLAVMEQWATEAKSKTKPGQLKVTTHHGPSRTKSGKTLEKFDVVITTFQTVASE FAVHETAAQKRLEEVDSDSDVDTGRKGAGKGKKTKKAGCPLFEVKWLRVVVDEAQNIK NRNTKAAKAAVALKAKYRWCLTGTPIQNSVEELFSLFKFLRARPLDDWEDFRDRIIKP VKDGKTKLAMKRLHVILKAIMLRRTKDATIDGKKILNLPGRTVQVTPCEFDDEEKAFY DALEKKTELTFNKFVKNGSAMANYTSVLTMLLRLRQACVHPLLVTKSLTTDSDAIGDA ATQPKEVETADQADELADLLGGLGVGSGKTCQLCYVKLQDQSSTHCEPCSAIVKQAES KSKLSTADESLPPTSAKIRMLLKLLSEVEERSNKTEKTIVFSQFTSFLDLIEPFLKTH GIAYVRYDGSMRNDKRQESLDKIKNHPGTRVILISFKAGSTGLNLTCCNNVILMDLWW NPALEDQAFDRAHRLGQKLDVNIFKLTISETVEDRILELQNAKRELANAALSGTGANG VMKLTMNDIMKLFKRTRRDEDEDDDDSD L199_000094 MTSFLSGLYDFFLDGGDETLANFPAILDHQAAEQSLGGVRFSAE WLQLKAKIDQLLNDKNDQDESLSKALKIFAKVIDTLDLYAPSLKGLTDVHAYTCETLE SIIFDQPVADRRGQAAAYLYGQAMAMSSLTSEAVSLAIENLLLRGDQIASNLALCIIL GIERSSSILFESHLPVLLRQVQMAAFGSADKSVSQESLIRALKIYSPSEKASSCQTIL QSTHSLLAASLRLCEEHTHSLAHAHVHGVAGKEEEGLAWCCFIIEHTPMLLRNDLRVR YPTTCSSKSIYNLLLPLSSKVSLSAQIALCAALPILATWDTMSFVENGLMDDTIRFML GLTQSTGEGLRVAALRGLGQVAGVVLHRFEPFLEQVGQTALGVLKEQTRPKDQKAAQK LLARLLASLGPITLDVMIEYLHHPHHILLADVELLEMIATLLPNLAKRIIQTLTDIID DLLSDKQCGYPTEAVLEALNVFPLTDRDLAARAQKLVLWSLEEDKGKNIVIAALQLST NPIIATLSIMRNLTFKAAHLLLADDAHVVHVALSVLANPALASMLDERSCSMILLALH QSLEPSIQIVAAKLLTHLTTAHKAIVQPLAWSHIRQSLSLMDFLHSVDPNRAVVEACI ISNLLPALPPALHKKYVGPVKELIMTGLRRPSEANILSLIFQGLSNLSKFESYSSDQQ AQLTYDLLEMTLEIPKDCQHASLNAISNLLSIPKDGLDVFELLIKVHAIFGNSKNSQS TSGIGLGLDDDLKALVSSIYGHLGTVERNPVINRDSKAAINRETSTYPSKIQHLSRIA EIRDRYGPLSSTHISHLLIENLLGSLNVSHKSNNDDRSGIIETMTCLSILQPSTAPAP GGSNHSSGSGGGIVNLIPDLPLKIIKELKISLNSRESIKDINSTSISSNLLFLTCVLH QDLKIWLNNYWNDTTQIMSECITSGEVLPTLRGLDVVLDAISD L199_000095 MSQPSSGSWYDTLTSTPVLIATAVGVSFAAIFAATSSSSKTTQQ VKGQVKEVKNAAVNAADAKGKAAQAGASIMSAPAADLAPPKDDPIPASELSQYDGSDP SKPIYVAIKGRVFDVSNKAEMYGKGKGYNIFAGRDASKGLGMSSLDIKDAVPDYSSLN ESQLNTLNQWESFFEKRYNVVGKVVP L199_000096 MSANNYYGTPPPQQAYGGAPGYPPNGAPPPPGQWDPNQQAPPPM HTQYAPQPQMGYDPNQNQQMPPQGDTDRGAGTAAACCGLGACCLCCLQCCALEACCDA VF L199_000097 MPPKLTGPPQGWQPPTHMNSASLAQQKANSSGISEFELPKSNLT KLAKGSVPDNVKMQQDVTIALLRGSTLFINYLTSAAHDQAVSRSGKTITASDVIKAIT ELDFGPSDALVPLLEQELQAYRNHIQAAKLAKKSAANANKNKGPRKSSGTVNDGEGDV EMVDDDEEGEGENDNDEEDEEEDIVEGADETRDGNGLGVGDESEIVQEQREGEDEEI L199_000098 MIFGYFGNLLYIGLLLTNAIAILNEERFLARIGWSSSTPSNANS NVGFGHSPNPHMYNDAFGQNANEGPGVKAKLVNLISATRTLMRIPLIVVNVVM L199_000099 MPAPTQQSGPPSQGYSSDAAAAQAAQAAEMSVILCTAGYDHSIR FWEAWSGICYRQITLQPQWKQVNRLAISPDKAYLAAAGNGAVRIWDIASLSNTPIATL EGHTANVVALAYSAMGKWIVTGSEDGSVKVWDTRTAQIQRNYLHDCPVNDVIIHPNQG ELISCDQSGSVKIWDLADNTCTHELVPDEDVPIRSVSIASDGSTLVAGNNHGMIYVWR IVPVPDGNANLLPVTSFRAHSKYITRVILSPDTKYLATCSADTTVKVWSTEGLDYALE KTLQGHQRWVWDAAFSADSAYLVTASSDHAARLWDLTSGETVRQYDGHHRAAVCCALN DINLA L199_000100 MVNNTEYYKILGVSKDASEAEIKKAYRKESLKWHPDKNPGDKRA AAEEKFKKIGEAYEVLSDPNKKEIYDQLGEEGLKGGAGPGGGGGFGGGFPGGGGGGFS FHATDPNDIFNAFFSSSGGGGGMEDLFGGMGGGGGRGGPRMRSSRMGGGMGGMGGMGG MGGMPGGFGGEPHSSKPPPPPGEITKPLALTLEELYKGGTKRLKITRHLQTGGQEEKI LEVAYKAGWKKGTKIKFAGAGNEDEYGQSQTVSFIVEEKPHNRFERVDDDLIIKLNIT LSQALLGPEGGGAITKEVEQLDGRRITVSTPEGQIVQPGQETRIPGEGMPVSKVGSAK KKGDLIVRWNVVFPTRITPEQKKELRKVLN L199_000101 MDLGRPIGETVPTRGFDQGEHGAGTGLSGGYGEANASNTQAGQL AQNEQSGFNAGVGGNHASGGIPSGTPIADKFASGSSNTPDVYGSTGSHGHHHGSTGTG AGTGVGAKLDNALDQYSGSGTGSHGSHGTHGTHGGDAVSGAYEGGGTRDALTGQSGAH TTGSGVGVGGENLSGGHHGARDAALAGTAAGAGALAGKEFSSSHHQGSGSGAESTAPL DRGSGLAGVGGGLQPGVGGETGSGVGPSGGSGGHYTTGDSTKSGALGAGALGAGAGAA GASGLSGSGSRSDAQSGLNSTGGPESSATRAGVASNPTAGSGGAGKYGRAEAHDGQGQ PISNPKDLDTGNAHSLVFDKATGQYTHRRDLEGATTHKH L199_000102 MPSLLSKFRHRKTGSQSSTSSVDQPPSSPGRHSLESPSRARHSI DQPNAKARSSLDQPRSPITSAKPQIQTQPQGDIPTSRTEEDVVVIDKSPTPTDPRSKP FVDESERPELPTTTSNVNNPLPQPHQSGSTTYLPQEDIDNNKPLPAVPEVRSPPIQSN DKDLRDFPLPPSKSSPSSVEPEHLRDRVKSPPLPHIDSVDRQPLHQVEEERPGLVGSS SRPPKSGEMDVVKGNYPHVSNLPHSDKREDLNELLNGQKEQVEIPSRGSSLYHHQATL EQQQSRSGGGVGGDRPEVELQEHRRDGKYTQPSSAVDPISKHPDGIINERFNNLSITD GGEQGLDAQRNAMISRLNDQIETKKDLTAEGVEVFKKVGMEGLLEKENSIDVRSRELK PVVKETIFPLEHTEYTTILTRCIHKTHYVPLIQPIHDPNPIILATRHRIYDPTTKKWH EVIGDAAAISILGEDVFRNGPKEYRELRKPALPGLEEMDEDALRMAKEAGLVSGGGLN TVEGVKDYEYVSQAQDSIPIKGEHEDVSIDGIGQGEGKGRVLEREYVLGTEDEEEKGD WKEIASYVGIGKGKGGLTKHHDEDQDQVGVAL L199_000103 MSGITQGVKEFLKGSHKADSTEVCSEVAPEVVQEHVRPTEHTET AEAIDRERHVHHHQHRIQPIEHKQTLETQHVNTTGPEVVREHKEEMLPEHQAKLHEQR TLHNNETRTAETARTSAHVGQHVNEHEHHHIHETVQPVIQRETVQPTVVHHTAGIHEK IHDAPIVHEATTLPTITHEQFLKQKTDAGPMSHADSGHQHQFYEGAPRVGGHKQEAAV L199_000104 MFDKISIILFSALLASLGKVNALTHYPPSKTANTDLEQVINGTG APGNYWSSTTPDEEYGRYNWCSMPHVRKTEYQVPDKGYEIQYVEVIQRHHKRTPYASN TFFKEDITWDCSKEGPYHHAKNENPENTSGVVWQRQTGSQNPFEAMVGPGFVGSTCEF PSITSEGIDDAMIHGRDIRGVYGDLLGFLPESHEKDKYAFRVTSNVITSQTLGGFGRG LYPDLEEHTGLIQDDSYDSLKPGVPCDLRDTINSQITDLSGEWGKHLNLTFELRERFN NVSGIEPDDTAGWKTSWDHPYDNISAKQCHGKPLPCSTNNTAICVQQEDANTIYRLGN YEYAYRWRMHENSTYYSAITMGAWFVELQDHFKGKMDGTNPIKYFHNFAHDGSVASVL GLLQHDEPVWPGMGSEVVFELYKKAEEYFVRVLFSGQPLKSSTPFGVMDMVPWEDFEG YLKDTIPEDLTELCIASNE L199_000105 MAEETPVKAVQVKLVLLGEAAVGKSSLVLRFCQGDFNENTSPTI GAAFLTQKCRLENRIVKFEIWDTAGQERFHSLAPMYYRNAQAAVVVYDITKAASLEKA KAWVKELQRQANANIVIALVGNKLDLVSESSTSPAPPASSEAPAEEEEEEGSSEEPSA TPTPNETESDDARQVSTAEAEAYAKESGLLFFEASAKTGHNVGEIFTEIAKTIPFDTI VPKSTTNTSGSRRSGNNNNDNGSNNNVNLGEGQQAKKGGCC L199_000106 MSVVSKNIFDLLGDDGESTSPAPAKATPAPAKKDATPAAQRTVP GSQPRGGQGQGARGRGSYPSRGGPRNTYQGEPRNTGPVSSEGNAEGTETAGGFDGERV APSRKGNQGTIRDAHTKGPRGNRPARGGGNSSRNVTSGGHSSYGSGHYRGAKVPAQAG ERRQFERRNQNGGTDSQKKVDHGWGANTGEAELKDEVEGEKDAQVEENQPQTPAPEAT EEVAAPAEAEPEEPEEVTKSYDDFLAERAQQALSIPGLEKKEARTVTGELEGTAFVRS GVEEFFSGKAKNTESKAKAPKKEKVYIEVDGTFAQPNRPPRRDNDNNQRRGGRGGASR GGQRGGASRGQGPRGGARGGRAPAGINANDANAFPALGA L199_000107 MLRSVPLCRSSLAARPLRTIPSLAARRVAPSQTRSYAVAAPAVG SYGQVDGEPTLNSPSELARRISAKVLPKLEKPDVKKVLVVGSGGLSIGQAGEFDYSGS QAIKALRESNISTILINPNIATIQTSHHLANEIYFLPVTADYVAYVLEKERPDGILLT FGGQSALNVGIQLDKMGVLERLGVKVLGTPIRTLEVSEDRDLFVQALNEIDIPAAQST AVSTIQDALDAAKEIGYPIILRSAFSLGGLGSGFAHDEEELRNLAAKSLSLSPQVLIE KSLKGWKEVEYEVVRDAADNTIICCNMENFDPLGTHTGDSIVVAPSQTLTDDEYHMLR SAAIKIVRHVGVVGECNVQYALDPESRDYRVIEMNARLSRSSALASKATGYPLAYTAA KIALGHTLPELPNAVTKSTTACFEPSLDYIVTKIPKWDLAKFQHVERNVGSAMKSVGE VMAIGRTFEESLQKAIRQVDPNFTGFDAYWKPEDMQTALANNNDRRLFAIAHSMLNLN YTVDQLHDITKIDKWFLYKLENIVVVYKQLQSTPFEKIDKDLILTAKKTGFSDLHISQ LTGVKENQVREKRKSYGVTPWVKRIDTLAAEFPAYTNYLYTTYNASTHDVDFNENGTM VLGSGVYRIGSSVEFDWCAVTCSRAIRDLGKKTIMINYNPETVSTDFDEADRLYFEEL GFERVMDIYEMEQAEGVVVSVGGQLPQNIALRLKNSGVNVVGTDPEQIDNAEDRHKFS SILDSVGVDQPAWTEATSLESAKAFAAKVGYPVLIRPSYVLSGAAMNVVWDEASLDKN LTAATDVSPLHPVVISQFIDNAQEIDVDAVAHNGELLVHAVSEHVENAGVHSGDATLV LPPFSLPPTDLDRFKEIAQKVAKAFQISGPFNMQIIRKPEENGQPAELKVIECNLRAS RSFPFVSKVLGKNFIDVAAAAIMGENVPAPVDLMKEQRDYVAIKVPQFSWTRLPGADP FLGVEMASTGEVASFGKDVHEAYWAALLSVNGFKLPKKNSGILLGGDISRSEMPTIAS NLLSLGFKLYTYDPKVESFINEQPNLTIKKIYVPVKDKRKLREVLEENEISTVIDIAR SRAASTGEAEYAARRAAVDFGIPLINNAKLAVLLTETLQKKFHQSPLPYVEGTNPPEV KSWREFVGEERAY L199_000108 MSQLPSTPSYSASQASPSPTTPNRRHGLVSPPLPPGASQPHTSQ IDRAEESSKYGSLTPALPRLPSGGLSEFGYTDQPRSVTPSNSNSGSMGRRPLPAQPPV PALPPSPYSSYRTPPSASGSVGRRALPTPPSQRGSSSSPLPPPRPGGARALPSVPGNG PHSQRIRTVSSPSFAAEAERTPPPPPPRPTQPYSSTSYVSSPPLPPPPRQATQSTPPI SRHPSSTGKVDTTCENRYKSSSSGQPDQFTSSPGPSRSSSQSPSTVNSSSNYTSLASV SRNPSQSTQASSIDTRPTSSYSGEDSWLPILERFGDLSTVDERSGSGRGSIDGLAPPA VLVERSSGRGTPTQNDWFGGPPNLPYLEEGRGNRNRAASGATIGPGGEAFPTTPKGDD TRFERQGGILGGGSLQQDRASSTSAVPADEEGTWSSNDTVPAGDGIHREGSTRSETTD YSITANDADAQSISALGSTSTNNDTPQRQSSVHSSLHPASISSRNTRRSFELSPSWQE QTQQPANWVERKLQIHQSHRGPFDEDGGPSDLYDEEDEEGWDEEEEEEMDVNEIRFFQ PAFISEMALQLRDKVERGRHIKAGIAWVGSFTGKDIVTTIQNLLPAHTRASPTDRRFA HATALSLQNQLWFVEVDWDIKPLRDSSDDVFRFMGEMEGMGSGGDALTTELPKGLMSM ATRCYSPSCTGDNRCYAPRCPYKTSPNTFLEKIDTTALPTPSSSINGGRHGVLGDWRE DVDPILLHDLSPQQIARQSIIRQAYQSEIAYETDLNAMETLFIEGIRDSNPPIIRDEY RREQFIHEVFNNAMELREACRRLIEEFTIRMREQPIIFFVGDLFLQAATEFRNIYPEY TGKLPQAELALTKEMDENPDFRLFVERIVRENDRRRDIKYLITRPSTQLQRYPAVIEG ILNATGQDDPDREFLYQALQSIQNLSSLSQLKLFHASKGRGPAGKLQWFDLVPEEQRA GIEKKEQKRQMQIWELIQGEMEYVADLETIETLFVNGLRLADPAVIDRNRLDVFLDEA FHNYRSLLEVHSKLLENLQFRQLEQHPHFGMISDLIFDAALNWQEAYMEYVTHYPIAK AKVQEEEARNPKFAAFLHTCLKDPSANRQDVYHFINRPIPRLLRYNLLLADILKSLKE VGPPDHPDIEQIPQVMEVITDLGKATQKGVAVNESKVELWGFQYTLDGSRFWPRMVKD LDLANPMRELIHKGRVFRQPEGSIGGSWTELIVLLFDHYLVLTKPERASRSSRKDHHR QVRYIINRRPIPLELLSLGNFSDAPRQRNTGRLFGVGGGNSDANTEDAVTTSNDRDNR TVYPFSISFIGQGQLGGSYTLWADSLAARDEWKEKLQHAKVLRMEVDDAGKVFEMIPL STNTFYMAPNYAVPKDKEDKYTGRVTCSCPFTTSDQRKLVAIGCQDGVWIGVRGDAPS LRKVLHIKAVTNVAVLEEFGMFLVLSEKVLFAYHLEALVPTGNHRNQFKTAPERITMP REEITYFTVGKLDGRTLVITMKRETTNSLFKILEPVLNRSAEDPRQRRPFGFLGKGSD WFRPYKSFYLPAEVFGLHFLKQKLVIVCSRGFEIMDLVDLKGGSIPMFDPAKTREKPS LGDLEKKCLAAKPMGMFRSTETEFLLCYDSFGIYVDRHGEPNRDCRAIEWEGRPDSIA FHPPYLLLISAPFIEIRHIDTAKLLQIYTGSDLRLTWDGSGGHKHQPIDNPGKNGYGE ETKIQEPQIHICQRAQDMRPLGRGLQGVGQHVFELSPTLLLNNPLMNPFNTHDSNYLP PPPLTSHATPQIRQARPPSIMTTSSADYANNTPYNQVAMFNNSNGYRDSGYGYPNGPN GNGYPQNTYNIPNSGYGYGNPPQGQGQGQSGYGGYDRRESGNSYSTSGYSNNHATGAG GAGGGGGAGFVRRESGNSNTTSGYSANSSNQVGYGNDLL L199_000109 MFAPQGVDSSSSGEETTPPPSPIPQRVKPKFSFRSLFSPTSGGS SRTQHSRTLRKGKVRPPTNEEVKHSTPVEAAEADPRLPTDENDLLTPEKRVRIYAGEL QSGERARGLTNNLGEEVRDSLTLPTIKNHQALLDTDNDRNDNNTGISEEVYMMLKRFT DEQKELEHAEREHQEEIQVEPHTRTSSIPISNFKPVDTPLGEGKAQAKAIPKPKPIIT DTSLEDIAQLSPSPTPSHGVTRRGEAEQSKPTDILLRLKSSASSLSKKRSLKSLRSIK LNKKSVSTKEIPPIPPIPMDCDEETAMISKTPIADKFARPQNLLVDQLQTEQPRTAPP ATSEYFETNPPRGIGTAEEYYRSFPDNIHLPYCPHCHSPRTAPPMAYYPSTPPNIAQN RGWCQPPGWAIHPYHLVSPPLSCPLNYPHTSVRQEHSISDSYPPEMNLSAEENRQTRG PSSSLDVKNVAGSGRISAEPALRSGPMREVRRYEGEKCESVQGEIGRSSHLKNGGGTE HDAAQSSENSGHNERKSLNEEGRLISNSQSGDKVKDRDSCPEEMTKLKPSVERGKPRS AEGQIRSQDQGLGQKDELERIVRQYKAEYSEAVKHYKTSGLQQNEREQAKEKVKRAEA KLIEATQALREHDSTHKDEGKDINGYIVEAEQGREKLATKKEAMENARQSPKDDEPLV RKHNLTKAETDATTSPENEGLGLTADQQKMDGHLEGLQKMVEKYQVRYKQAMKIYESE GLSEEEREAAKEEVKKADMRMRENMQALQEARNELEGKGQTREMEVEREGEKGDGDHP MIDFKHHEKSDEQVLKRFKEDVEVEDRQKRRTSTGDDVKGRSKRASERGYDRKKEELE LLVKKYQARHNAAMKACKADDLTDNQKQVAKEEVVGAERKLKEAMQALNAFQNSPQKP DGQHANHAKGEDSARKAEDGSTEPDSAAKMKDIKNVSQSGEQITRTSDQDNNKKRKDL EGLVQKYRIRHKELIKAYKAEGLPEEKKKRIKEDIKTIEGKLKESIQALQAHKTNEKT GAPKPDDSKGDTKPKPIKVEESGPGCATENEIEDEVRDLEKTLAKYQIEYKQSMESYR QGSLCEEKKKETHKNNKVIRQDTEIEISNFEESPKLKPEVSSRASAAEPSSSNMSQVF LEEDKVKMLEEMVKQYRIRHKEAVNQLKSEALTGDQMDQAKVSVKKMEVKLKESLQAL KNIEEDTKPDSSQQRQEQTDSVKEKKQDQTVAKGCSDTQSKWSKTAEQANDCDHEVTD LEGTVKRYQLHYKDAVKRLEVEGMTEIEKKEAKENAIVAETKLRRAMQALKELKSKEE DFSTPNTSESMAENARLVDGNVSDDWQGKTEEAGSQNSKEVISAQNLREDKIAPSSEP NTPAMPAKKSQPDLTSLEAAVKKYKMQHQEAIKTYRTADISEDDKKKAKEQVKVSEVK LSRALERLQEVSSAATEGEVQGNSTSDQQVNDQGNLVKTVPQRPDMEVQLSTNKNGSD DGSRPQRAEIEAQIKRYQEQHLEALRMYKSPESQQTSSEIAKEQIKKMEVKLKQAIKA LHARDPPHNTENTLRSDQSWKPHKQRTKSVSSPFEEESDVEKLDKQSKELKSSYAEAI KLYKSKRLSESDKKKAKEKVMYLEQEIKQSQKELSKMAKNGRAFSSKSEKGLEEGEAV EKQIKATANWDKALKEYVTTPNTTQAQREEARLKAKAAKVQYDDAQRGLKVAQEITGS NDPELPEKGPDTQGRKGETSEYSPTREFAQTQNLNDVPSAIARSPAPMTSKLKELDEE VNRERPVPIPKDDEGATSGGTHHAWAPLRLSRQGSPVPPTPPKSPTRAAVIEIQPGPF VEGDITWSKTLISLANCIDLANRILQSLIDSPSPHPITEIVQHLIIGLMMQKNVLSSL KEVVGEQSAGMIDEFGEHVAIIKSYVEDLMIKREEGTLEENGVKRAEKVVEKGSKVLE NVAVQSIVNHLVAFTEYLTPGMTDTLKEIASEWKREGCGMKVIIHTVLAIRKELAVIQ RCREHPKQIEEKKRTEGLIGTLDKVLTLFSSDHSNTVLVVDPEFKTKRSNSSAPTEQQ SDMTSIRSMLRKEVRKDKGKKREDYIDVKAKIRPGLADNIDKSIQPGDIPTTSPEPPS SSSKLFPISSSSSLMPRSHTPIEEPPSSLSHLMSKISNKLHHSKPPRPNDGKEKEKDR KSRPRPIITPSLHQLVRDQKSLKLPQTTQTFLTGGSSWKHRTRPRRISSASLASTSSS FSATVPYTAPLPSRHDRQRKSAGDTTAPSTPYTSGSELSPEGSSPSRYSQTSVESGST STAKSDRLAGELLAQADSGRQAVKHDWRNDLDSANSSKRGVEPDDSQVKRAEDVLYNK VLPVPDTSRGGIRRGDYI L199_000110 MLGKLTSFLSSSEPLPSSHTAPRRADTYVAISKELEKEHVPVSE SDCVSCSNPCATSDGDGAGAGSITEVIYDGKSYEEYVLEKYGDLGELPKGFDMDWETE LQGSSKGGKGRVVVISTGKSDWERDHVDEKGSLAHYLDKAISSAPSIPSPPSDKSTKS SLSYINPSAFPAPSQPLSHPISTPPSLYSSSLISQSDDPSDQSVLVFPDWKVVHEIDN SLNGAKALYKNQLSGELGRAGRKGVDEDDELARRRSWVLPYRAVVLLCSHKRRDKRCH IAAPLLRSALHTVLSKYDIDIDEDGSSLSHLDGPPLEEIEGTDQEREEEVGKRIEGIE GVQGGEGGQVGIFNINHLGGHRYAGVMIILLPSGAYISYGRVTPQEIPRVVEDTILQG KIVPGLLRNAVGVQREINGGKGFLTW L199_000111 MRSSFVLPFLAGLAASFPLHEQQPFSLQEQPRDKVNVSLYVMSR CPDARLCENVFQGVIQKEGILDKINLEVGYIGTPNTTAPLGVTCKHGLIECIGNAQQL CVYEHLPIDKAYAVVQCQNYPSDFPKSIGYEDSIRKCIKTVGVDWDQSGVGKCIERKI KPKEDEDDVEVELKKLGKQARKLLIENVQETYDRNISTSCTIDIASTVRSEGKRRCVV DNGIWRGCDDGHTAQDFIRVIEEEYKNLQSKKDE L199_000112 MVSSKTQVAARKKQDERERLVKEMEDELGLSSEHPEDQPYLNSS PEDIVANLKSKKDGWTAERVMIAFIRSACAAHRKTNCLTEILFREALDKARSMDKECA ATGIAEGVFWGLPSSFKDTYNILGVDSSLGCSPHCFVPTEKQEEEATLVKLFRIGGGI PFCKTNVPQTLLAFECRNPIFGTTTNPYSSERTCGGSSGGEAALIALRGSPMGWGSDI GGSLRIPAHYSGICGLKPVRGRWPVLGQRNSVQGFEGIKGMVGPMGRTVDDLIFASRS ILDLAHSQVADLGFRGEMLIPLPWKEVDLPRRLKVGYWTQDHLIKTSPACVRAVDECV KKLKDAGHEVVEFQPPGIAEALKIFAGLTSAEGYKSLLSNIGSDPMESSMRLVTLGSK LPRWLHGLLCWVVNSLLKDHLFASIFATSRPKSVQEFWHFTARRDVYANAFRKLVWED EKFDMLLCPVQAVPALEHGTTKLLSPLSVGTILFNVGDSTVGVLPVTHVDKNLDCHSP DYLADSEGSWILEKRVFGPGGAYDADKMHGLPVGVQVVGREWQEEKVLGMMKVLDNLV GHGR L199_000113 MPVKESYVQGLIQLQLNGDWPTLMTYMRDDIEWMVINPLVKSTP LSGLFHGKEEYGKTLTPLFSTFEDRINFTLERLTVVGNLAVAEMKGQAVGAKDKKEFV GFWCNIFEFEDDNDENPKIKGIKEYLDSALIKEFIENNL L199_000114 MPITREYVHKIFEHAKNNEQAEMFRYVRDDVRSMVVNPEIKSSF ASGGYTNKLDYQKALSPFGSMFASPLDISINRILVDGDTAVVELRSEAPGKRTEEQYV NYVCMILEFDDQEEPKVKKFHEYLDSAHLQAFYEKNKG L199_000115 MPSELLHLPPLYTLVGLYRLLTDPFIRQPVLDKIKHASVRGLVV GGIYAAGSWKLMDWFVRHFLIGQGWNIFKSHKALEKESANGVVNVGIGKFSIPIDIVL YTHLFILLPQISSILRYFIYKNLKIARSRAYSLTVSSRGKPHEFWSQGYIEEWAQPPA LPGSGQVDKNGRRIRSNTQYIDWILWWPTQLVLRKYLFLPLSPHLPLLAPLVKSFLRS ITTGEYLHQPYFDTKGMNNDDIWRWVEERKWAYRAFGFAASLIESIPIIGLFFSISNR VGAAMWAFDLEKRQHLFSAGIIQPLQPSQVGFYGMGRVDDLGVDIQKAEKEIDRKFST RKSTGANEQDTEQEGVFELKGKGLGVEESGREKVL L199_000116 MENVGISSPLPLPIHFDLLPCLTPGKTLCPVFYVLVDNIPIYVT LDPSQIPHMSLLPLFLTLHPISPLSILSTLHLRPDSDYSLTLKGIAPFVDIWVSLNLA WTICESLNVERLFWDEMDPTKGLLSKIMGEIQSWDEGLAIGHNWLPPSTQLPKSAYSL STLLSTPLTGVDIIQDNRYITTPLDDGSRSRMTKNAEAHNPSKIRDGKWHEVWDGIIA LSDLAWNEFLLYPSIPPIPDKPSHIPLSHPIETDILHTIVPLIPSLINHESPLPTYPF NLNDLQILLDSKPTPSIKPSLYSMVFSLVASKKETERTAKLEENEYKARLKICLAECL GGIMISALLSQLHSDDENVLGRERGRRRSSDKGIFVFVEKPDHFDLTDIDLSPSRQRQ HQQQQQQQQQQQQQLQKKDQERQRDKVGSGSQNRDKLHHHQDPKDNWMKTIESLEERR GASPPPQDQLQKDPVHERRRSHSSRSTSGSDLQAHSPLHSKEDKRRSPKSTHHQSLHK TPLSPPASQEQKPGTISSEPESESTATPPHYRVIESIDQFPLGDWSWTGSSKLMLSEN KDGWQPYRILGIAILLGWVLGHWQVF L199_000117 MLGKILKIVHEFQQLADKKDCTPGQSALAWVITQDAIAIPGTKS ATRVEENWRAGDFDLSKDDLRRSGH L199_000118 MASSDSDSDSSSSRSGRHRSRRSSSSRESGHRSERDEKKRSTGE RSKSDSGRGKENRHQDGKRREKHRSGGRESSSRRDNGRSSKSIGNDGSRGNEIARRCS HFRYYILYTSGGWLGNLLITLSDMSGIWEFTFLSILFVISWTVLRISQVEITLILGKV FDLVIPLQHKFDPHRSPSHFNHLQQYWPIFLVGTIIEFGGFILIHPDLFTLVACFKTL LLTCLWLGVDGKGRFLTEKLGGRNGRSTRSDQPSRGDRPRGDRQDGDRGKDRDSDRPD RNRRSRDETEPDSEGKRKRSDNGKNGKNDSSEQIEKTKDSASKPSTPSTPESTTSSRA QTPSRQAEGDDGGQGNSRDPYDSKGFKGNLMPIRKKAPDGPRQSRRHRH L199_000119 MPSTVSDSSELAGSPVPSSSCIDLPEIDFDLNTFLQSCSEFMSQ PLTPSPVFHVPPILPDPAVSTPPYTSDEGSVVGDCALDLDHQRKTKHIARPVVSVSAK PQITLTMSSNRQFNAYQSPDPSNPSSSSMSMRTSQSSSQSQSPPSLTYSYSNSNIFAS YAQSYPTTSYFPQQDPHVIAPSTNSHASSPPWQTTDTEANASGLDVDLDIDLEGITDD GTGERSYSTASPGKSLKISGKDSIPRPPNAWILYRSDILKDLASGNDIPGLDTVLTKL GYGPVTSASSDESNSESISASKGKAKQVTDSEMMPPPSTFKVKKSKKGVKQPTEEFLS LGRGKTGKGLPQAHISKLISTLWKNETEERKAFYERKADLRKIEHQKKYPDYKFQPMR KADKIRQREEREREREELKRQKEAEKQAGKAKRHQRRQRNRVSPSSPYSVMNSSKRPD TGSLARSLSYSGESSKNGSQWWANAGPSAAYGGPRRETEPAPSRYALGADPLGVYPFP VPIDALPALAPDDSSTMHQQGTPGAMIAEDYHSWQHRQSHGHPQPHPMPIPAPPVHME SRQRSRTPIAPAMSHESSSDIHPSLRPPTQAQPSIPHPHPPQVQEVPPQPMQGLGVYP SMHSAIPFIADPLPMDAHGRPMAILGLDDIQPFLEDDNGDPAMLAEMWWNLQDEDVRD DLENATGPSGLLADERTLQVYDIDADEAGRSSATRVSIASTDVPSGSNSAAPLTPSTT FLAQEPFGIPVGEVAYPYPQGFVPMYVSVIPEDGNIDPSIPFFTPGYDANLPYLSMDA TLDPSLFVPIDEQGPFLSTQQPMSPTESWSAGPTPREATFLRAAGSGSNRIPSNSSEG TVRTISSNQQSAPRYVSNSAYPLTPSSQDPTALLGTGSGIVDRNTSYSALAAVMSGQT MGIGMSIGGAEDMLGWADNDVIDLSEEEDGNEQDGSQQQRREKGNNRIPTPISAGASV STSRPEQIQAQVKSPHDPKSIPDPTKEQPQIPRKHATRSRAVASKFSGDGGV L199_000120 MSLSLTFTIVLLSIFLPRIPHVRADDRQDQERMGSEYTTMPLYR SGAGTNVLSVNVGNPPTEMRLTCSTNVDFFIIAATGCEECIEDSDLFEVTRSDSLSIW EQDLAYVFPYPSGSSSTISIAGRFASDVISDERGDEATPRPIVLAAAVQTNDPRALLD GVDTKLTDGTGGFWGMGVYQDRESNSMIPSMITSDNDGSTEQLTSFTVGFQINNFSTN TDDLAGEIHWGAVPAGVHEGNFNWLNANSSVGGSWGFDVDRFRIGGEVIDLENHYGTV DPAFDSIFVPTNIAERIFAKVNGAVRDGRDTTRWNVPCDASIDLKISISGTQYAIDPT SLVRNRDVAGRTCWSSIVAWQNGSVPEGKGEVRLGTPFMSGVYTALYYSESAQYVGLA GKPNSVNASNLYSRDEGKANKKLAGILIGTLLGILIFGLLLCYARNRSSFQSIWYRAL RRQQRAQMNAVVRSATIPPPIVPVVGMGPPIIPRGPPLMMGMGIPPMGMGMGAPPTMG MGRSMMPYQPPPPYQPPIMSQDQAQEQQQPLMSMPQTVQPRNQGYYSPRLQGTSPPKS GFLALPFGRSRSHRGVGGVGGYEYEPPYSAGQGSKVHFGPAAARHMRSESSAGNSMNM NVNEFGGYNYGRGPEGRYERQDQYLREYNNLQPSSSHRQQPQQQYTSMSMPPLEDVPE GEYRPNLNLQTPSQGLHGEEKKRYFQWRSTGQDQTQGEYAPVSNPVQPNASSEIGSGS NRSKRKSWWGKDRNGGWYDTPQQDTPRMKRDLGWG L199_000121 MLIVCIPLIFGFPTKFKQDASEPGRMCPRCNNAAVVGGSSRTWF EFFWIPLIPFTKSRIWICTICQWEMKQGDGPDPQPPSQNRWGGGYRPPPPPQQSHQPG YGQQQV L199_000122 MASVVGIDLGNLSSKIGVARHRGIDIIVNEVSNRATPSLISFTP RQRHIGEPAKTAETSNFKNTVGSLKRLIGRSLSDPEIEEYEKKFINAQLVDVNGSAGV KVNYLGEQTEFSYTQLVAAFLGKLRDTAANELKQSVSDVVIAVPGWYTDVQRRAMLDA ANIAGLNPLRLINDTTAVALGYGITKADLPESADAPRHVVFVDVGHSNYSVSVVAFSK GQLTVKSTAYDRHFGGRDFDYALVQHFAKEFNAKYKIDVLSSPKAVFRLSTGCERLKK VLSANAEAPINVESLMNDIDASSSLKREEFEKLTDHLLTRVNNPLAEALEKAGLAIDQ VDAVELVGGSTRVPAIKERIQAFFNGKTLSTTLNQDEAVARGATFACASLSPVFRVRE FAVHDIASYPIKVQWEKEAGNPDEDSELIVFPQNNPIPSTKILTFYRQGPFELEAQYA EPSLLPKGTNPWLGKFTVKNVEKPTNGEQLACVKVKTRLNLHGIINFEGAYIVEEVEK EEIVTTGEGDEKKEEKKLVKKIQRKGDCAVVGQYSGLVKNVVDDLTEREGKMHAEDKL VMETEDRKNALEEYVYEMRGKLEDRYSAYVQSQEKSDLLTGLQEAEDWLYTEEGEDAT KSAYVSRLDALKVKGDPIVLRWKESEERPKAAAATREALNTYLNAAQSGDEKYSHIPQ EDLQKVIDASANTLSWLENQLVRQSEKPKNVNPVVTSAEINKRKEEAVFTCAGILNRP KPKPKAEVPPTSGTETPKKEEEKMDVEGEGEGPKVEEMDVD L199_000123 MSSPTTSSSLYPIHLLMDELKSEDVVLRLSSIRRLSTIALALGP QRTREELIPFLQDQLDDEDEVLLVLAEELGNFAEYVGGNEYAWVVLGPLENLAAVEET LVRDKAAESISKLSTLLNASQIEEHLLPLLQRLSQGDWFTSRTSACALYAAPYPIAST GVQEEMRKLFAALTTDETPMVRRAAAKALGPFAKSVAEVPDQHSILISDIIPLYRKLA GDDQDSVRLLTIPDLIAIAAALNPEEVKEHILEPLRSSVTDKSWRVRYMVANEFVGLA EGVGESIIREELVNAFVGLLKDNEAEVRTAAAGQIPGFAKLVDKEVILAKLLPCVRDL ATDSSQHVRASLAMQISGLAPLLGTDSTVENLLPLFLQLLKDDFSDVRLNLIGKLDMV NEVIGIERLSQALLPAIMELAEDKQWRVRQAIIEYIPLLAQQLGVQFFDDKLGQLCMS WLGDTVFSIREAATINLKKLTDVFGVEWAKSTIIPKVLEMGDHQNYLYRMTTIFAITT MAPSLNVPIIRDTVLESALNLANDPIPNIRFNVAKCLETLAAVLATTPEGQEIISRKV IPALKKLQEDSDADVRFFATKAFERTAGDNGEPMGGSDD L199_000124 MSDPFDDDDLFDNPETLGLLEAVEERAIQASQKPSTKPRFSQPI RHVLAKPKNSPSSSSSSSNNSRLKKEPRPINTEPGIRGGTGFGWEEGGKRSFDIDRHI GNVKKRESYWNGSGRKGEEEDDESIPIDVIMGGSGKYELINNNRNNSTGSGDEAIITD KRRAQPFMLGESLGLNGSGNGSSGMSMQKRQQSEDAIQARRKAMAAAAATVSSEPFSN RQPMSKSNSTSTTNIITSRLSSNNHQQQKNQDQKFNANRSLSRSVSAGAQIFNRSNAN AIAGPSRLPTIPSETSSQSRNGSSENGDSREMSAPPMSQGSAARAAAIELEVERRKRQ ELEAELAALRTHAQAQAQTQTSRSQRPAQQDEGQGGVDVKEKIKELQSQVWAAKGEAE MIRRAQKEEHQRHLAELEKLKLTIQDKDVQIKEKENQAKKQMENIKHQAVFSNHAAHN SAMKVRQQQSQRFVGGSQSQYRGLPTPVKLGSPSRRRSQPVEEEMTPLIKSVKGKGKA PTSGPTFGGFNNAFAATPTVGPRAKRQKTADLSPQGSPTRGPSPLPFQASPARSQRKS SPLIGEEEIDDGIDWGPVIERADEGMTIDGEAKEEEEERKDEKAELLYHLLNHVSISA FQYTLGLTTEPTIYRLMNYRPPINIEGHEIYTQRCSEILKACGDPEITFEEMLEMVIN CLSDMLSFGLKVISDVDQASLTDIAVYCNILILLTSSTFLFPLVIRTLSSTDITDNLK SVVHSIYSDAATLAKFRGCIDAVPETEDGAKKVERENWYLELADKIAGLAEAICFTSE TSNWKGDELVDIILGLMANHNDPYVVKRGIEVFYVASRQSCHFRSLITASDKYQLGSP NESPLVDRLSRYLILASEPESLQTSLLIVRGLCMLSISHPDAVIIMGQKSVLVPALVI VLQTESNKLYGIFGVLQSYKDALSLLLPTLSLLHQLVFPAPVTKHQSIESQTQSLSQQ QREESDISPIGINLSDRLFTAAQTREFNGLQHMFVSAMGAMAYSQIDEEVVEEVDQRG IQYLSGDLLENVVEGPEGDAIYELYVPLDDEEDQQQRDQDRDLDPSVDPDVDVDVPMD VDHDDDGEDEHEQETSHIDKGRGKGKGKERGRSGSEDVTEIIEIVDDDDDD L199_000125 MDDSIDVTHATTGTVEIPSYRPDAKTRRYDRQLRLWASAGQRSL ESARVLLVGCDATGCQALKNLVLPGISHFTILSPDLTTSQDVATNFFLHPDSVGSPIA EQSVKYLKELNPAVDGSAKVEEPSSLLVSEPDFITSFTLIIASNIEPSLELRLADLLW DVSNGVGGPDIPLIAIRNSGFIGRVEIQLREHSVVDTHPDTTHTLRLDSPFPSLEQHA RSLDLSAMDSMEHSHIPWVILLVRAASIWKESHGGKLPETSEEKAEFKELLKKDKVKG DEENYEEALAQAYRVWSKSEVPWEIKQLLEDDSVKNISSSSKNLHILLHTLSQYTATP PHLPPTSPSLPDMHSSTTSYVHLQNLYKKEYQSDLARFKTLLAEVLQKIGLEDGTIPD EEVEGFVKNVGGVGMIKGTPLRERKEGKGLIAQSIADYQYDEYDGSTTCFAMHLALLA SERFYIVHKRWPGVKGKSDMSEDNREVEEILKGILGKKDLEEVDDIFVECIAEITRGG SGTLPTTAAFLGGIVAQEAIKLVTNQYTPLDNTVIVNLVKSESAKFKL L199_000126 MIHRLYLQTAVLLILARRVIGSPVHPSEDISGFQSDTVVVDAQS QNDIGQYESIPTILNPQYEKGYEVEQEQEQETMYDLCEDIILPQSNHDPTDPEVNDQL IHLEEDRDRDPMFQGQRLCKRPSGGSSSSSSSSSSSSGSRGGSSSSSGSSSSSNSGSG SSGSRGGTSGSNTGSGSGSNRNTGTGSGIRGGTGTGTTNDGDTDGDGAADSGSGTGTG GNTVANGNSGQTTGGRATSAGGSAPATTVSNSGGAATSVVAANPATSSRTSNARRNVP LMGYPISVISVVTMYLLS L199_000127 MYKYLFTSLLIVLLLTLTPSSHAHPLSHRRHPSNHFLSKRLALA LAQPQPNAHPNPQPTINAPALGPKDDLPPWRKSDDNDRRSNDSNHKGDSKVMSGNEHD TETY L199_000128 MSASTSAQPADALLDNELSFSEPPFSTQLDPRILAALADQKFAH PTLVQAKAIPLLLEGKDVLARARTGSGKTAAYVVPAIQRILEAKANLSPASPEYQTTR VVFLVPTKELALQVSTFVKNITKYCEGLVGCVNVAAGGTSVQRVLLNDNPDIIISTPT KLLSLLQSKSISLNQLCFLAIDEADLLLSYGFKDDLTRIMDPTSGWVPRLGVQGCLMS ATLSEDIDGVKGLVLRNPAILTLSEPATSSSLLTQHYTMTSERDKFLLIYVLLKLKLI RGKSIIFVNDVERGYRVKLFLEQFGVKCCVVNSELPLSSRYHVVEEFNRGVYDVIVAT DEGTGADAQETTENEEEEEEQDEETKEQEEEEEAEVETKNAEAGPSKRPRSDPSTSSS KASGKRRKIDGTSSLARGIDFTAASSVINFDLPPTSTSYMHRIGRTARAGHSGLSLSF VVPKEKWGKDKNVSVKSAEKDEVVWEKIKERAKKDSGSEIKEWNWNKKEIEGFRYRME DALKSVTGKRVQEARREEVKRELLNSEKLKAHFAANPLDLSYLRHDTPLHPARQQTHL KHVPNYLMPKIAALPTGTGDVTDGGHIGYSKRGRGGGGFRGRGGGGGGRGGKGGSRGG KKVDPLKFKG L199_000129 MRFLSLVSLLPLLATANANVNPTPNPSLATSDTTQVAAGVADKG NVEARHHSKWFMNRLKRSKSLEMERQEVEVAVKPEKEKRNVIQKVVKRRKRATTSNGT CSANTVTVTVTTSVISSTSPSSTYLSSMSSSVNRSSSSSAPISSSTSKSNSASASITS SAVSKTASASASKVSSSASVSKSSSSASASKASSSTSASASKASSSISASASKASASA SASASKSSSSVSGSASKTSSSTSAPTSKSSSSTTAKSSAASSSSTAKSASASASASKS SSAPAGSAKATLNLGGPQASSTSKSVSASASTTAKSSSSSVKPSTSTSVSKASSSSAS ASKASSNSKAASSTSTSKAATSISTFKAATNSTTSRLTTSTTSKPSTSTSSSSASKAA SSSNVSSIKTSSAVVTSSRTGSSTSNTTSKVTSSSSTSKAASSSTSKAATSTSKAAAS TSKAVSSTSKATSSTSKATSSSTSKAASSTSKAPSSTSKTASSTSKAVSSSTSKAASS TSKAASSTSKAASSTSKAASSTTSKTSSTTTSKATSTSTSKAASSTSSIKASATSTST SAAATATAVSADPDGDGPFYGWGTYFDTGLGACGWTNVASDYIVAVSAELFDNWPGYN GLNPNNNPICGHHMKLSWGGNSIVAQVADRCPGCSKRSLDLTTGAYGALTDDNWDMGV MASYINGAAYNSDLEWEWLD L199_000130 MRSIIVTLILSALSLRRSSLLDKRDTAEVHVVGDIVWARSNHQE DDRASDGGVFAYGDSNAHGSMGSDQFHSPSSQEGTSMRRESKLDDRNDAVETNLRKGF ITVDVIPEDPDQDQTPAFDPRRRSEGNSCLTCAKRDTGTATVEAENQTPAFDVRSVRN SFVSFVRDTNESIHNTGSGHDDVFVHWSRQSTEHDPVEAQGFDCSGRKRNPEVDSEDD TILWTSSSQHDWAKRTSTRRKRWKERMLKPLRIILMRISFGTANRLSQAESTEQGKRD SEPNDETVTWERRDVER L199_000131 MFARSALRTTAMASRTMVARQQLARRSYTVPTYDEQIRAFFKAR PVPVDVYPILAITVMMCSYASYMLTKHIREDNDHVRWGPGMGGVKFQLPGSQ L199_000132 MPMPYTNLAIILAILLSVSLSGHAGPSLPPATTKQDDFHISPTD DYKGTHFVHQGTRLVVFPAITLGPEVSPIKTGSGADDLDQGSLEKRDNGLVARKKKIK LPKTSTTTTTTSNSTSTSTSTATRSSAEGTRGIVAIQLIVGIAALVSLMLGLSTIL L199_000133 MTKINSIILMIGVILSLHTVLALPAEKLGMALPPEELVIEDDFG VIGSDEQQYTIGVEDEKDEESWTICGSYVDVLADETSETPDVALCRRASTGGGGGRAG SVSSGSSASSGKSSSSGSSSSGSKSSTGGAAGVGAGTGAGAAGAAGRRKNNTSSACPT RSMVGMSMDGTKSPILLLSITCLCLGGIMNLF L199_000134 MLFNRYFIAVLSLSFTSVVLSAPTLIARQSSDEKELNGISVEPT SGKTPSDTTDSAMQTSTPTVTSEAIISASASVSASKIAPSSASASVSAKPTGLHFTND FPDQLKNGDQIDLEWEGGDGPYILYTILNYPGLSNVNPHVLERSTDETSYQLTINEDD SHDGSKVTIGIGSNKKSDQYKRKTIPFSK L199_000135 MPYTGPINNPPATGEAPFWEYGYIPSLSMGIVGCVAFLLVSGGQ LWWFVKKRGTRSVHGLFFFACVTEALGYGARLYTHGHPFSGMSFLLGISLIQVATILI TAALYKSIQRGLKYMPNGDSLTPMRIRSMLTVFIILDVVWVLMQIAGQYFWASAQAAE IVDDTPMFALGTSTLIFLAGNVLQAITTIIIFVFCFVILKRSTRVLAITPPETIIPHI KPLMAQIMISLGLFFVRLIMRIAEGAQGAYEKAATNEVYFGVFEFAPIFLIIVLWAAR PLHIFIFPLGHPRYHAKASAQEAAIASSVSGTGSQSADHSVKA L199_000136 MSLPYDGTPPPREPEPEIEEIEVENPALDRPLDDYQPAIPDHVQ SLMGRMSKGKVYLMEESPGILHLDGQEKLKRDPRIAALAKRLNKQDPTSWLEAISDSA PSPIKPNALYVKSDLIQHLSTSKVFSWTSGLGAGVMGIEWLNDTTLHLIFPTAASALI GLTVLSKAGFDPAEGDDPLLERSAHSVPISLLPLAEPEPVPSLEGQELLSGPSTSVED DGIKRKGRGTFGKSGAFDLEPLVKPEQTGLDEIKFAEGVNPHARITIRYAIEADSDLR KQAKQSQWYKKHGRHAGKETSSSRRNITNNDQDEGNGGFSFAGRGSGEGRDFAKRIGR GGRERERERRAPYGERPDRRRGGRTEEDLDKELENMARRRQTGEIDEDIDMDSQSRSY EKRGGNSRRGPSRKEDLDRELDELFANRSTTT L199_000137 MSFFRRKKDEPLIPPVAPPAGASAPRADPYAQAPSNSNRYGGAG GGDPYTRSKPAAGDDNPYAKATDHNDAARNELFSGFSAPEKPKPERKYGYEGREMEED FDEDEEVEGIKQEMRGIKQESLASTRNALRLAREAEENARGTVAKLADQSERIANSER YLDMAKANNQRAEDKAEELKKLNRSIFRPAVTWNKDAKRQAEEDKINERHAMERHDRA KALNDVTDTRRRLGNAANPSPYGSGYEREPLPGEQKAKKESRSRYQFDATASDDELED ELDDNLNETYEVTKRLKNLATAMGDEVTGQNQRLTRVTDKTENLEFAVMKNTERLKRI R L199_000138 MSTSTSTSTTTDPPPPDYVPSQPQILITPLPDSASFLWGKTIQG EIYVKGLGEGSGKRTGIVKSLSVRLSLTNHLPNHPTIHLYEFPIQTLYPPSSPISNVS SSTIPSDGSIPFSTSHRFSIQLPYSLSSSPSEPLPGTLNLTSYEKGEIRYSLSVKLVL PNDQVVIEEMKIEGTPQEVSCQDAAAPHEAEELGEVEEKLEKDGVLARLLIDQDRPRL GDLLRLGLEIRPAERKKTGVIGLAKQSDPIETLRPLRRVRVELFRKVIIHSTQLDSIA SSSSSSTSAATAAAGGGGGGGGGEVEHLTLLHATGKSLRYPGSGPGRHYPPLRVLFTI PTAQLGVVAEQTWGEITSNVPYHGITFFIRVTIGFGDVSPTGTSNRDWRLSRNITIRP KRWKEPREIVVDRGLIPDLGNGNDSPSLGQDTEAGTSQIQRGSESGSGSGTWTEEDHR KEAYRQKGRDVVGDSGTFRYTDTDIDTAHNDLPPPFEVETRTRAGPSNSSSSRPGELP SFLESEEQARTGEIPTLNQTVRSERLVPVNFNQDDQVVVIPEEVEIQGHREGTIGEEG FERNTWVGRRGSLGGELGTWVEYDGYETFSVAPPSMDASYGAGGAMDPPQEGDENSVN VVGGMVARLGLEGEGVGMQGLELMEHLGLGEGTRIVDLQDDLPPGIDEPSLPALPDFH SHSHVHVHAHGHTHDDDPHSPPPPPGHAPYISPPAHSHSLPPAHDPPSFDASQAANAV GVAATSHIRSQTGPNVPVGVGGRRPSRHAIDDAVGVGNVSTQGDAPPGYERAGGEGGL PPYS L199_000139 MSAPSEETKPKRRQNVACSCCKLRRIKCDLSDLLNALPSSSTQP PPPLSVLVEQHPEVGCTNCKRKGLKCDTQGIREPTRPNKGGKRIEEAKRKFGEEQQKK QDEQVPINMGLEPSETEMPFDIMEFLNNQPINPPLDPSQATYLSQDAQTSVNPLEIAS GPLLDQTINSMFDPTGLQTFNLNPDSSPSNPSPLIKTPGPSSSASQQAASIWQQFANN RKEAMYLVRTTGLTPGADGVQISEEDDDMMGGLQSRLSAYMTGIQLPSPSSNSTTYQD ISRSSSSTTPLAVPGNILGIDYNTYTNHNDDYLNGDTRKRSRPSYDLDSQSRKMVLVS SNPWRLYSESGSGQMITWGRREAVSERLADRALGMALSNHLVKVFFQAVHLSYPAISP EVFYLEWIRAGQRSDRMSPAQEALCAVIEAWGARYSDSPVILGLSEAKANAAPKVIKA DGTFTPGTRARTHWGTARIGACKALLTRAKRLIDEHGLFRMPSVTGVQALTLYSQLMQ MTDEKLLDKDYWLHNRMIHSTIIEQMQLLGLMWDAEGPIVTDGGEASVSHSQLQMKQR RLFWSHMIGDAFFSAAIGALPRIPQEDIDAAGEWVETVQDKLPQSAFKLLAFFLSIYH RLGLAGREVATHVAYPLRKKGAADVGKICMTVRKVWKDIRDISRDLNAQVTQQLRACQ KEDLLGFSPLNFMANLRLSCPFLLLVIHQLIRDQLAFWRSCQPPSTPAFISTPSDRSS STTPPSKPGQGNARAFHNIELLERLNKESIDGLLFSCRSQIHMLKAFLPTGVIQSAAV LLRVLLATAQLLAEIPTNEQGYPDHTPGGHGWTWEAKQKKMDVCLEALHQVGWAWADV AEVCDSVALTMERMTPSPEEISAWNSRHQQTNESRSREYVARMKEAEARASVNAVEAV LTFWPPVSIPNLIEHALQNDPKALLNSSLPGMLSNPQPSHVGISKHHTSGQNPGPFWP GRGDQAFINEPLNDAPHTPFPEAAPGHMGHQNLSGNDRSGSEKNSGFHTLSMPSQFLD AHFVQPHQVQQPPQPQPQPQPTTSSDVLDLNSLLNFGTVGGNNGAETYDGSTNHDGHV VGHNGQTEPLDIDTFLKELGIPHMS L199_000140 MSRPRSQSNNPSPYKMQSGPSSSHSHSSSGGGGGGGAGGGPPGS SGSSRPPGTGSSSVSSQEELGLSAWLSCSADQEARISYVSESMQEILGYTPNDLLGKS CYLIFHPDEIPMLREIHYQALTDEKTACVAYYRALHKDGYYVECCCSYSTVYNTSLAL YTRAVDGQRTLQQALTAREVIEVTSASQGKFAIKRWPSSSLAPLASSPDSTSISLSPP PIDHPWPTPHKPSPRTFFILDRFTDTSRVIYVSNDVIINGSRLKNQPFYSIIRPSDRA HVRKYIESAKQSSPIMFNERRSGGHGYTRFHILKIPDLPPYGETWPQGTDESERSMPG QDFILVEGIFTASSDGLACIISKIAPGRESNSRNNSRDDNRR L199_000141 MSNQQEQSRQSISLPVLPNPIRFSLPSKPITCLSTSTSTSTSSP SAKINSVRPAPSSLPPKPTFIPKSTSNEFSPERGRDIIPSYRRETESYRPPPFPSPSP PRRYRTPSPRGDTYIPSRGSSVTKSRSRSRSRSLIRSPSPRYTSSNKRRRSPSVSKYD SYRPRLKRNSPSPSPPLTTAQKRNRRAGPSASARTEREASITEKETRPKSLKDRLGGF CKQEQDRPAEQISPIPTKRSPSPTPINTQPSTKLPKPIPTGPRGASRSFSTVPTIPKG PKADRAPPTGPRTQYALPGNPPSTGNGVFSVASRRSNIPEDEEDMAGPSRYRSKGGHT PRRGGSAGPSSGGIVRQLSPPLRDHNQIMDQYGQKVHLKAQWAENPKAPLANFLGNGG GAEMSQEYKAEEGMIGNKRMVRVTVVADAGSGIVGLGDHASRKEAEKLAALSAVLQLA AAGMLEQGKGGGKSPSATNGGTTASSAPLPGGSNETAKLSDGSDISYERARQFMEYYC ARYKFGKPDIEFSQSQTSQGFNKGRKGKPSNVMIWDAVITVGNRRIGMGQSSTKKGAQ VKAYLDVTQYLESCDPDLWKDFLEASKKDKSANLGLAPHLVFQMSDRLNEDIQGLCGD IRHSRLWANAPPSTLSSNEHQQLPTWSSGGKRQLSEKELMNKSLDLQDRLAAYESDPK LETMRAQRNALPVTSRATEILAKIEVNDVTIVMAATGSGKTTQVPQLLFDHYINRGEG VKCNIVCTQPRRLAAMSVAERIADERGQPLGKEVGYQVRFDTKLPQPNGSITFCTTGI FLKRMQSALGSTADPNAVARMDEVTHIVVDEVHERDIDTDLLLVVLKRLLADRVSRKK PLKVILMSATIDPTLFKSYFADARGRTAPVAEVPGRTFPVERSYLDEIVPQLQGIPQN KGGWVFNEKNVAEYLSKELSHDPANFGPGSGMALEIPYPLVALTIADVMKRSDDGHVL VFLPGWDEIKKVADILMDTRGRPLLGTNFNDQSRFSIHYLHSTIPAAEQKEVFRPPPK GVRRIILATNIAETSITIPDVVYVIDTARVKEKRYDPERHMSSLVSAWVGSSNLNQRA GRAGRHREGEYYGLLSRKRLQALDPHQLVEMKRSDLSNVVMHVKALNLGEVEEVLAAT IEPPEPSRIVAAMETLRMLGALDANQNLTSLGRVLLQLPVEAAIGKLCLYGSFFRCLD SALTLAAVLTNRDPFLAPIALKAEADRIKDSWCPPAFRSDPLAIVSAYNQWSAMDDRG EYRTANQFCSDNFLSKPTLLQIKQVKASLLQSLDQAGVIAVSAGGAVRRIGRRLTVPP ELNEHGNSLPLLAALIAMANAPNFALRTSDKTCRTSQDKTVFIHGSSVNSRRREAGGP EQASESFNPAEKRLYSFGEKSRNVPLGGKETGGITQLRSVTRLDPMTYMLFGAYELVV TQRGLECDRWLPVVGNLHALDDVQRLKVLLDGCMLRVFEGVGKSLIKDRDERWRKSAS AIQVNTKGSSKIIQAEATDEVVEEVIENESDDEDDDEEQAKKTTRIIQPMTIDEIKEL EYLTTDVVRILDAYASEREGGSTVNSRPVTPGLPMMTQGQNRSNGNGKTSQYQTNSGS RKW L199_000142 MSTAFIPVALAPTVHYSNHDIDKYTHHYPSPASVKESSEGRCRS PPPNNYLHTPDSAQNINQPHSSSSSSMYQQPGYPQGYQQQQQQQQQQPDWHTQVAGPS TRRRQSPSSSSQISPVPLNDYRENSREAGSGYTKDRASRMNVDGEEDDGRIGTEDPRG ERKYTELKCLGDGSFGTVWLCDWHSPVKSDIILSAMQCGAGARPEWAGKRLVALKRMK RVWEGGWKQARTLGELVSLSKIPPHPAIIPLYDAFISPKSRELYFVFECMEGNLYQLT KSRRGRPLAAGLIASCFHQISAGLYHIHQYGYFHRDMKPENLLVTTTGLTDYLSASTL NQINNSRIEGSGVLPPTEDQVKYEKDVRVIVKLADFGLARATNSKPPYTEYVSTRWYR APEVLLRSTDYGPPVDMWALGTILAEMVNLKPLFPGVSEIDQVYRITETMGEPSGEYG VDERGQIIGGGPWNSGIKLAKNVGFSFPKRQPVRFRGLFGENVPQSLVDCIHDLLRYN PKYRLTSAQCIDHAYFHETLPHLQQTPPLPRIPFSQGQPAPGALRNAPAEIAQAPPRQ VPPSHSHTPHEARPAFANGDMRTLPPPLGTPDSASPAGSRFFPSAHMHGHAQDARTYS SASALVHQLRELDLPTDDLASYGNRPAPSPALAQTQRIDPRARSQQWASDVAMSARRA PQAPSTVYDGSVYESSQPALNSNPAFNNYSSASIPDASTRLPYDQLKPHVAAYVQQQQ TQRYADESPRQAATSQLSLAPPIEPTPGAARAEKFAATGKKKKWGLSSVFGGAADKSS TVDLTPVAEVGYSGSSLKRTQSGNRPQDRMVVQPPTSVDPKKAKKEAEKAAKEAEKAK REAAAALQKERARAVMQKRNQLIEARNQSQTKTEIEFASSNVNEIGTRPQRPSQPPNY ISPSTTSLAPEGNPSMGNLRNYPDVPASSSMTSVRSHDSAHSAMSNKSGLSAAALKAY DDVHGEGRHKARRRDDDDDHSMSSFGQYSRSVLTIGTMGTVDSDPGPRRAREHWPDPL RQRETKRAPSVSSFKQHHGPTSRSTASLESQLAHDFKVRANVGAAPSSASLGRLGQGR SSLSLHGQMEGLGRPLLAHGMNNTGQGNGSAPTSPYGHPKGVAVSHGIGMGRQSISNA SGHTGSGTILPSISSFDVGDMSQHAQGHINPMFRVPPAGPNQSQTTLPPFSAIASVAD REAHDHPHPSQ L199_000143 MVILKFSEVISCLERTDPTHPPSFYYALEVVKRYARAKIAKGRG RQLMCKHGKLETLESKFSSLGLQDIFYLTRLHLKLPPSSITLTSPSTTVRQTLLKAGY PLPASSAQAGPSSPKNRLDGRARGRRGSRSLTSSPVPSAASTPALRRSSSSKPDIPST SSSNIKDHPHGHAGSVRSKITGAGASAKASSSPSNSSSCSSHSPTMSTRWAPILTGLG LKTILDSSSSSSRSAHQAQTPSIAQQAEMAFNNFDPFHSPASLTSKEHERGNMNPQSP SSLEFLDLIPLDLNDNHQDGREIEQTRMMLNESKYNGAYSLSLSPLPQKVVREVEYVE STLDLKVGNIRLKM L199_000144 MTTRLPTPPPSPTIPRLVLCADGGGSKVCVVIRSSDGIEVKGIA GPCNIQSVGYQAASQSLLLATYRALSQLSNIYIPSGLYIPSLPLLPSEGGPSTYQKLP NLNVSVFQYAWLGLAGVNCDSDARGFMPFATGALALTQDKIKLSNDVNLLAAPALDIS GIEYVVTVVAGTGTIGRTIRVSPPTPKISDQTQTPTRSNSKLPLEDMGIARGWGYLLC DEGSAFWLGRLAIRSVLALADRHASSSIYSSSTPPLLAFHRDILEHFGTTDPADLITL ASLSNSIFDGLDIGEATAKRNAKIAGAARVVLKWAFPDENPVRNGLPTPPGSTDGEGE DSSDVDMDIESEAALELEMTSGLGIDEKSQKEAMRIVSKSIQPLIELTLECLGDMSLV KLDKTILVLGGGLMMSKGYRSLLLDGLAKTEEKVRFKRVLKVDDSAVEGARALGKIEF GY L199_000145 MSMSHSHPFTSYSNNDNPLSSPENHSNMIRTSLSLHSPSMTSIQ PPATPIPTSASTSTPLHPQYSYPAMQHNQSYPPPSSVQPYGPQRNNSYPQWQVHTDHH QHAHYPHTQPHQHYHHDLPSEPSRRTIDQSQIASMQDHIYSPHAIRASTHESLDESAQ GVSRSTNRHEISPEQDTTSGSIKKRPRQISIPANAQVQSSSNESQSETSTPMTTMFWN VNTKSPTSASSSTKVQAELTPTTNSNQPHHPTTISISVPSVLTREKKQKACTKCRKAK LKCILEQGSQECVRCKVRKEKCIFFPKSQEDETQQKLIRDVYEATDHLSQLSKAVHHI LHHLTEKNIIPPFVSAELPEGLDHYDPPERPILYQPQQAGEPSAGKSEESRNTGGRGK RRKVNNKVVENQLDELEEEEGSGTRPSTATAVTTGEITPTESSASSSIPSVLQGSSLT RSQNNSHHSGTGDSHSSTVITRLPSTTVSSPRPVSTSTRLPPPLIPPNRPMSHTPPLR TSPVDTAHFIHPSIGQVLSSSGEGWIIGQPPHDIEMRSISPRTRMSSPPILTSSYVHS PYTQADLHSAENPDRPTHNGRLSSYEEHTMAPDSQMDVVRAKDTELMVIGSQDPRKDV VKKGLVSAKDALFLVNYFHQSVSPLLYGYPLQFHHFPYIAGPQYITPLLLSVLCLISS ERVSSYHKKYHRVLAEEVTTLLQTSPAESWQRFEGGYTPDFGDPDGDEPLDAEFGLGP EEIVAACILATYMTEREQASVIARSAFRWARGWIKLLKSSTSPRFTIAASVGLVPPER HATDLDMARIWLLCYIVDSTERLQLSLDAPPPRDALSFCYMLIPPTNPMAHGEARHSA QDVLLTFHARLISSLNKWRHKFKSLISASQPPVELVEQLKNLAAKVNVELVWWESEFE NALGRSVTFSTANITKNGNVSPTMDNTGMAFGLRFGQSSKQHIMITFHFVRMSVNSTL SKYLPSSTSYTTISYPPSNEFEDRQLKDYQLNINSKKIVVESAIRFLEICKNWHGYNP GIEEEKDREQSLFNLSPTYLFFLTLMGSELMGIVRESKEGVSHTDTTDIKADDVISLL KSVGEMLFLGELDEQHVSRTTAKTLFLYCQKLQKYR L199_000146 MDPTPPYDDILDDETLAPLKRNHACLQCKKRKVKCDATKPTCSP CLRSHAHAVRSAHRNGTSPPVLICTYAEGDSPEPGTSEEGKPKKKKSIGGNSSSNHAG VKRHQVAQGKRDTGDEEKEVLKARIAELEARLSVLTPPSSHSNIEPSLPITSSSTYNT VVNDMPFSATVPQFSSSSSRQTSARVEPVLTDFGTGVESSYNIPIIGVDTNNTVPLPE PIGNFSGLDDFFIVPKDWPKGLPLPFLLEHLVETFFNYVPQTPRMLHRATLLARIKLP PSSPDFPFPGLLHAICASAATYTAWVNNLAPHLLEEAVQRHLALGLDLETIDDFGLAQ AHLGSKAVDITTSVCIMGSGALIFQLTQTCILLADVYYQKGFPMKGWMIGGQPARLLS VLELCNRQPKSNSHKEPLLGPPESDKEREERLITSWMAFVVDAGFTINSSWAPAMALT EMKCNFPTSAQDWNKLDGMAKNPQHAGSLDLYYSHPVEDSFVFVIKGSALMSQVAQWL RNWQQREKLPDDELTGPTMESFKLLSHSIEAFSTSLPASLKNICRHLDTQMMAFDANL LAIHLIPNIATCLLHEPFMQWLPYDPSTMAVQRAYDSIMAILHLIPSNLDITLILTPF LALSLYTVGRFISDFTRHAESTGQDQTALRYRADLRTLINILERYGQRHPLGNAMVHF LESYMQATSKDQPINPEGFCKLNTKRIEYSTLTPTEENNIEIVYQNKINLHNDIAQKY PGGIVNGEIQIGYDSSKAPTERSTSFSGISPNTIISSASGSGTSNQPTPESINSLNFN CGNPSDCLLNFENSNASGNENRQQNGINISESANTIYKTASNWDPNLNSNDKTNDTPP AGDSFEHWSKSFHTDTINQLKHQPGAQLPLMTFLGNLGTDDAIQPLPIPVPLPGSNQD WKDNVVDSFKIPPIEMSSNQNSNHNQ L199_000147 MPSRNWTKNSIFQKCVPGSTNPSEPCFLRPYNSYDEYKSTQENK PYIPALEVNATSKNGRSGKVKTCASHRTKINGVATLIRNHKRDNPEDPSDLSIFIFQA RNGNGEQLNWPLANSSRRSVPSLDKDSRQSLWDAMTTLKAFDRKLESSAVQLAERSLC NANLTREDKRICAEVICEWALKRSIPASLKDKVTTFRPSIRTDIPVDQSSWDGGYSYR SLHNNRSYTPREVRELQQRRKSSDASAMAAGSDLLIGSLQGQGQADTSSDDEDRSTLE HSVTSLFD L199_000148 MSLLRCIPRTTSISRSFSSISARAYSAGIPQPSYPVQDKQPSSS TPQNLQQSPNVATTWSTGQNPKPHAYDNQRFEQTALQFQPNAPSAMGMVSEDPVRLVN GRRATCDGGMGALGHPKIFINLDKPGPKVCGYCGTRFEQAHHEH L199_000149 MLDPQLYTIRLASPSQIEAHVQASFEIDEWRNAMTWEEFQVFYG KEHASEWAKDSGALTWVLVRRDDYDGQIYSTCITHRIKCFYKSINSKSKSKSNSNSTS NSDPNDGGIVTISNEWYYTVTTVATPIRRRGKGYAKHLIQLLHYVLLSPSDPGHPISD DIPEYPVDKWGEPPLPISEDILPKGIASVLWSDLPIKFYENCKIGSDKRKSYGWKSRA EWNYRLTWSLNSNRDSCSSTFKDKDDDSPNLGWDPIWESDLFEIGSILSQSIKHKLEN IDTYNQAILVQDPSSRGLLSFVRSRGSFLPDRLAVKPSSSTQGDIPWGLRLKKSSNSR EHSGESEETIVIFALDNYQISPNLLMTYIHNLQPYHLNSLLSALDRIVSTSGIGDSKR YGEVWGLDPQSELVKKWKECGRDVEVGVREDGDHILGVCGYVQRELDIGQSQMWSWV L199_000150 MLDPTQYTIRIASAEQAEEHEKACFEMPYWRCGMNWDQFLEFGH GETQAEWVKDDAMLTWVLVRKDDYDGPVYSILATHRKKALIKPRFGLEVIDGYWYNIT AVITPIEHRGHGYATHLIRLLHYILLNPLSPGDPPSPIPSFPIEWGSPPPPVPSYLKD AIPRPCASVLWADIPMSFYESCTIGLTGKGYEYRKEWNSRLVFDLHLDNRTRTVEGQD IWDLIHQEDLEEIKSILTESTKSNINKSKTSSKSLWTHDPSTKGSLTFIGTRGSFIVP PTEWKNNSKPLPLGVRLRSPTDEDGEDTAIVMFALENFLVEPRLLLTYVHNLQPDQVS SLLEVLEDVVRDTNAPWTQGEIWGFNLSNPSDSWVKELENMEDRNVKIDIRDGLRSHV LGVCNYLDEGAEMVDHQMWSWV L199_000151 MSVQAQFDKAVAIVQGLPKDGPVQPSQDDKLAFYGAFKQANEGD NTGPAPGMFDFVAKAKYKAWKALEGTSQEDAKKRYVDLLKAILQKQDDEESKKYLAEL DAAGSA L199_000152 MFPSTIALRQTSTALRRPVLRSTSIASTGLGIAGRRWNTQEQRG GKSKLIFRFGLRDIPVELYPMAFVVAAACVGAGFAVGRHFYLDGLRIGPTGKKE L199_000153 MTSRFGYTHTDLPSADPQDGSIEAGAFKALKGEEMYKIQEQNSC TDETSKDDDLDSDAEMNLEVDQDEQDSEKHPPNTLLRGELTFTKKRLVGLIKSKKITQ SQSEKPEE L199_000154 MRLIARTQEIYKEKRLFKLEGIVEVLGARVQMLEEQAIIRKNYG MKVGKHNDNEEEVSLISQFEFGDSDDTSMGNNSTIRKGQSSDTGGSQGRRLEELETNC MALNEKGR L199_000155 MTLEKVSSNRVSTGYLTKYKFPSTSLGGLSTQFNIFLPSSASAS SPVPVLFYLAGLTCNEDTGAQKGGLLNTAGKEGIAVVFPDTSPRGAGVEGEDDDWQLG TGAGFYLNATAEKWKKHYNMYDLVVKELPEVIKEANLGVDFSRWSITGHSMGGHGALS IYLKNPGLFKSASAFAPACNPSRTPWGINAFTNYLDAKTRSSPPSEWLPYDSTHLLQN YQGEPNILIDVGTEDDFLKKGQLEPQAISDLNKKGVEVRMQNGFDHSYYFISTFAPEH VEYHAKFLKA L199_000156 MSSATANITSALGSFELIDEHASQGRTPSAYDWKPEHWVKYPVP ETYNIQSPPWIRPEQVPMSFVGVNIVDVENSLILKSMTVKIKSGKIESISKSLKEHMQ EDGWESINAEGLYLSPGLIDVHTHVTAIPGQPLGSLSPKSETLTALGTQYVLKGMLWR GFTTIRDVGGANRHYKNATEQWLIPGPRIFQGGPLMSQSGGHGDDGEDVDKPINGCCA GGGGFSGTSCIVDGVDECTRAARRIMMAGADHIKICSSGGVASPTDKLDSEQFTVAEI KAICDTVRMMKGTLVTAHCFTSAAAQNAIEGGIGGIEHGHLLDDDTLKLMAKKGVHLT PTLIVQELLDKPPYQAMIPPVSRDKLKLVIDGGYRVMKRAHELGVNIAYGTDCFGPMQ PNQLAEFELRKKVLSSKDIIKHATINAAKVLNMQGKIGIIGEGAFADLLLLSANPLED VGIFNEPHKYVKGIIKDGRVVRSELKSLRVEVSLM L199_000157 MGLFGSSDPTVKAEKAIAKEAKAEDKQLRQAEKDLAHAEKSEAK ASKAEVKAHHAQEKAVKVEQKAAAALNKATHKHDDTVNHANAAVNDVNRTSGEHQRIS QEVAQKKAALENLTHQHHAHEQTRESKLNELHQQPATTASNVTNDLRTGEHVTPNGGV NQTGAAGNGALDQTQANAAGVQAGSGAGGYGAPGAGTGGAGYGAETNAAQGAGYGQGG VTDGQRTADAVKRL L199_000158 MAGGAVVAGGSGGNPLRHGFIRREYLWAFILVTSLFFLWGFAYG LLDVLNKHFQNVLGITKLQSTGLQVAYFGIGYFAFSPVAGEVLKRRGYKTAIVMGLSL YSLGAILFWPVAKFSVNTKNPQAIFGGFVICTAVIACGLATLEVAANSYVSVMPPHNV ANFRLQFSQSFNGVASFTGPLIASKYFFSEENKNNLNNVQWVYLAVSGMGMLVALAFI LTKLPEVSEEALQAEAEALAEAHGADTQAAQPFYKQYRAITGFVAQFLYVGAQVTIGS FFLNYTAENAHIADSKGSQLLSYALIIFTVGRFVGTALLAVVSAPVLLCIYAIMCTLL SLLIGSLHGMSGVICLMLVMFFESIMYPCIFVLGTSGLGRHTRRAAALLVMGVSGGAV FPPIQGAIADKFSTRTSYYLVVPCFIYIALWAVYIWNKDGRRFGVPATSDIEREVEAA VGGVVPAAAVGALGYTHKQEEEMYETAEKDQIETVEKA L199_000159 MKSSTSIALTLAGLVSVSANPIVRSSKWKRADLQGLDYEIINLA RNLESLELNYWNQGLKNFTESDFDNAGYKGFRQYLELFRDQEIAHFTVLNEAAGEGFE NCTYTFPVTTPYDLLSVGQVVTTVGEGAFIGGIANLTDSPARQTGGTILGLDFFNAVN FDTPLTASQAYSLAAPFLNCPSSNPPIKFHKIPPLMANFTSGSTPHSPGDEISIMWDS SSVYLGNDVHITFLGDVYSIPQPLNQNGDGSGTTTLPKGINGTSILVATNFEGGGPIP DEQNFAIGYVVVA L199_000160 MSAAAANTKPVAELKKPIPLPFAYTFASGAIAGCTELLLLYPLD VVKTRQQLDTAKTSTGMVQTFKNIVSQEGPGRLYRGILPPLFMEAPKRAVKSSWGALF TNNGQRKNTQSLAIVTGCFAGATESFVVTPFELVKIRLQDKNSSFKGPLDVIKHSFRT SGPLGLYQGMEATFWRHWWWNGGYFGAIFGVKNQLPKATDKKGELLNNLIAGTIGGFV GTALNTPFDVVKSRIQLHGTGEWTYPALIKVAQTEGLGALYKGFAPKVLRLAPGGGVL LLVVEALSTVFRGYLGPPYI L199_000161 MIFSRFVTFSLLSFSTLVLALPTPTLNDREGLDRRYHEDHRKHH PKVHESSRPSASPSGIVRRKVQVPISTEPVVLGAPEDLARCPSQQIACPISPMSLSDV QNASIDTPYECITPQEDLYSCGGCATLGTGQDCTSIPGVLSVSCAVGQCNVYSCKAGF TLSSEGKCLPKIFDYTTLP L199_000162 MGLLPLPLPLDHQTQPEAGPSTSSSQTTSTTTATPPTSPIPWSL RTRKRRRKEGSEDSTFSSNYTPSTISNPSQESPPLPSPSASTSTSTNLPSKPRPRPVS LRGGGKRPKKHNNNTTGSRPDSQTSSYISTGPNGKPRHKKPDGHGHGHGHTRRTTRSK AKRASSPSDLVTTSLEEIALEDTAVKSEIARLGLTLRDVKGDGNCLFRVLSDQGFGGE KRHAEIRKLVCDYLETHKDVMEGFVVPFMKDGEGYEGYVARMRQLKQFGSHIEIQAAA RVFKRNIRVVMSTTSFTIPWQTEAGPTKDPPATPSPSEVGPVPITPSHRTRSKTVHTP HLPSIPLPDYLPTMKEDSSMLWLALFSQAEHFQSVRRMGDREHGPAEIQDILSVPHAR DVSEAARRERGELVDEKRPKTPLSPSSEITQLLNSLPVGHGISPDQAQNVLGRTHGDI GEAVDILLEDINIDQDQEKEEEEGEGSSGTTTTEGGRSDSGSSDHVEQMLLSPTQPNT NTIPSGPTDYRSDDRVRSRLNTSESPSNTATASTESRSRSRSRSNSESDTSIQSGHTS QTEPFAENETKAVVVNGENDRSLQPINSTTMLSDGIKDMKVDMSGMNIDRPQLIATPK PNGEVSRRKSRDLHMDRERRRSSRLGTVGA L199_000163 MLYTRATCGVGSISMGAVLRRSPHGMMLQREAGSVSLRQQQLYA HALGNTLSNRAFSSSLPIPLQALSITQQTRLYSHPSFSRSVSTQPQPPTTSSSPPPPF PAPSNPNSPKNIHDPPLGTPPPLPPTPLNALPPKATELAKAEEEKEVAKKEEKKKPTG PLPARIWAVVKKEAAHYWAGTKLLGQEIKISSKLQWKVLNGGTLTRRERRQLRRTTTD LLRLIPFLPFVLVPFMELLLPVALKLFPNMLPSTFEGEFAASEKQRKLLRVRIEMAKF LQETVRESGLKADSVVKSEEFKEFFRKVRSTGETPSQTDVVRVAKLFHDDITLDNLSR PQLVSMCRYMNINAFGTDNFLKHQIRSKLEKVRVDDMMIHAEGVDSLSTKELQSACQS RGIRFQGVSPARLREELEQWIELHYTNGISGVLLVLSRAFNFEQKGDNVMESLVTTLS SLPENLLNEAELNVSDEATYKQKLEVLQQQQELIEDEADQEKEEQEARKEEKERKEAE EAAKREQEEAAKKAAAEASGEAEQPAAATTEEIKEPPKPEEDARMTKEQLGELAEALS ILTAKSSIVKERDELKALLEDNLLSEAESKERQEENSPSVAVSKRVRKMIQTIDTQLE KYDEKVGSSLNVIETSPHGQIALADLKKALTVIKHKPSDEIIEGLGKKLDVDQDGFVE LDHVIELTQDHGLGILLEDEEARNILDKGSDIRHSKDVKELKPKREDILQE L199_000164 MSFSNPLNVLLIPPILYLVFRLIVPHPLKQTPAASTYTEENYNW LPAKHPEVICHRKYTAKELLEYDGTKSSPRILLAILRIGSDGKWGERTVFDVTAGKTF YGPDGVYGNFAGRDASRGMAKQSFELDMLTPFDQPLDKLSDLTQAEIDNMRGWHEHFE RKYIVCGELVEDN L199_000166 MAKSAPVPGSKKATSSRPRPSVKSIFSTSRQTFYACFFILWFCI DVAELGLVSQQIHKYGRFAQNWPTGKYQHAMGLLLFTTIIGLLFSIFHWALGLTMYLP IFLMFGVFWGTGAGIMYETPFGHGFQCRHTNDPSRFAPNWVPYLGECSRVTAIEGLAW AMFALSVIALFFTLFDKFTFTSKRSAVYESLAEEGHAQIEEKH L199_000167 MSSTILTSLNLKLLLIGNSSVGKSSLLLRFTDDEFLSDEETSAT IGVDFKVKSIELDGKKYKLSVWDTAGQERFRTLTSSYYRGAQGVVLGESWYHCNQADR LVYDVSSRQTFDELLKWFKEIDTYCGEGVVKMIVGNKVDKEFSRQVTTDEGRAFAQRM GALFVECSAKTKLRVPEAFEELVRRILATPALWSKTSATPLRRSEPIKLEDDSWSSGG CSC L199_000168 MAFDNPGLRRRSPNPNSPLPQLDIPYSPALLSPYINVSGNGDGR NLGLGYNDVLERYQRASPLVDPRDYPNPTIRLIPSHGNLPNSPIQATRHAPLTSRSQS NDEIRSVSAESAAWLAALRRHRTDPKSYSNGERASRGSAFESSLFPRDSRDRPVPPLP LDIKRSRGGTPSTISSKSTSTARGTGESTMGSSSPATPHDSRPPSVLSSLKSFKNPFA RASQSIKTPSSPALSTRSITSFATSRSSISSASTLAVPFYPSAFWKEGGRLGNLSSND VSEKLTDKFPAPRQVKEAEKGRKERWTVYKWVLLLSVMTVFAYGVAGLTWALLILGRV LTLASLLCIFTGLIGFTGTLLNSRPILAFYNLLLWPIVLSMCLVGYTSYKRGSLQLER KLNQSWSQFLDDGERLTIQNSLKCCGYYNPLHDATYSNRCYPRTTLPGCKAKWIKFER ENLHDFATAAFSVLGFHFLNIVIALLCSNHVNRTFGKGLTPPAYRLRMADVRANALAV LSTLPKSNPHKPSLTRQPAISHKSRLDSPYSRSSSRMSMTSSDSGTVWTGVMHEAGPR GRALRAARQRGLL L199_000169 MADIDNDQVNDIEIGIVGSGSMGGGMTLLFSQHGSRIGCYDYDK EAVQKLMDEAKQDENVDEKLVHGFTSLEKLIKAFPTGSGDKQKPRILVLSMPHGKPVD GIQNDLLPLLEKGDIVIDGGNEWWEETERRQAKAREQGVEWVGMGVSGGYQSARHGPS MSPGCTKEAWEYLKPYLEKWAAKTPEGEPCVMHIGPGGSGHYVKMIHNGIEHAHLSIL CEVRALLHQQLGLSNDEISDLFESWWKSGPLRGNFLVGIGFKGLRFKEGGGIEHAEDG IVEKIEDKVTQDVDLSEGTGTWSTKEIAERHVAAPAIAAAHQLRIISSDKFERLKVAD NLGLPQPSQAKEAKFDKGEKDKLLETVQTAVYGAILGAFIQGLDIITKASQDQKWNIS LATCIKIWRQGCIIQSDAIAEFFLPLFEKFPPSEPINLLKSIPEIARELAKTYDAQKK LYTIAIETDAVAPALGASLEYLKAVNCRDLDTNFMELELDYFGHHNYDIKGQAEKGHE KGKYHTEFAKMPGV L199_000170 MLLGTNDFLNNPFKTRDDVLRGCVALLDPLASHTSAGGALLDIG STATHYDVKAVALEAFARPLWGLASLLAGGAKYDGVERWVRGFDSGTNPKGEEYWGES MDKDQRMVEMSPLSFAIAMAPEVFYNNQSPEAKKNICAFLQSCIGKRMPDTNWLWFRV FANLALRTVGSDFHNPEQMEKDLQRLEEFQLSPHGAEGQESASAGWSRDGPEDVKQLD YYSSSFAIQPAQMIYAKLAAKTDSERAEKYRQRARDFINDFVYYFSDEGAAIPFGRSM VYRFAVIATASSMALADIEPPAPLTWGHIKGLVLRHLRYWNDAKDIFRSDSTLNIGYR FDNMNMTENYNAPGSPYWCMKAFLCLAAPSSHPFWTSEELAWPKDSFPLTKSLPDPSH IMCRQGDHTFLLSSGQAPHYAMRHGPAKYCKFSYSSAFGFCCPTGDMDLGQLAPDSML ALRDASDGVEDSDGETWRVRRNPIDARIVGRGTDKVHLNSKWRPWKDVEVETFLIPPQ NAKSSYYLRVHKITSGRKLESAEGGWTNYGQGENGRALIQSFSGLMSKGGDQEVGWSR AVTAGGAVGLVDVAYKGSSGKRQGQLVQIDPNSNVIFSRGILPSLIGTIGQGESWLVT AIFGKPAKGGKTEGWEEAWKAVPEVPSWISQTA L199_000171 MVSVRSDNDETLTIRAARTQEPNYGATEPLSNDVEEVRLAKKSE PNPLDMGQIILLSTARISEPLTFGILFPFVQQMIVETGEVSVENVGYSVGFIEVAFSI TQCLSLLYWARAADKFGRKPVLIASLFGALSSTALFGFCKHVWQMYACRFLAGVFGGN AMVIRTLFTENCDSTNQATAFAYFAFAANMGAVLGPTLGGYFAEPAKQWPRVFGHIQL FVRFPYALPCIVCAIYILVSMVLCSIYLKETRPQECDNPPSIKSVLTRKMVGMLGIYG WAVTVVFCIYALFPLYLFTPVAIGGQSKNPPQIASYGAANGLCQGLWLLIGLPRFDRW LGTKRTFVIVSSMFPIFILLPALANAFARSDHWLLSQLSLGAFVTIGSSGNMTFTSVQ LLLNSCAPPAAIATVNGIAVAICGIVKSIFPAVINSIFAISVSNQILKGYLAWIVLAA MAAGTFVCALYAPEASDDSKDLDKNKRRVLEAEGEEVMESGQF L199_000172 MTDLTRLSEQLLESDFDSIPIIDLTDAHSLDVGKRRALAEVIRD ACLNAGFFYVRNHGVPPKVVDEIFKQSHTFFDLPSEIKSTVDINKSDNFRGYMRLLSS NTNPDNKGDRHEAFNIGLDPLVHPESFEQSEKEGELKHSENLWPDQKDWEGAEAFKQA NLEYYRQVLALGYKLFPLFALALNLPEKFFEDKTRHPAAIMRLLYYPALDGKEVDELM PGIGAHTDFECFTILRQDEVSGLQVQNRKGEWIDAIPTPDTFIVNIGDQLQRWTNDIF VSTRHRVLPTIAKDRYSIPFFFGCDHDVPLVPPNTCITEDRPARYDVMTAGAYVHMRL SEVYANAKE L199_000173 MWAKSLIAASILSTAFAGIIDLTIPTASELVSDLDSNLHSDSSV LEYQGGYLDPETQHKRPLCTLKALGDEKDDSDNLVAAVEKCGKGGIIRLPDANYTIGK PLELILENAVLDLHGWLSFTSDIPYWLENHFDFPFQKQSLAFIIQGHDYVLDGNDKGG IDGNGQYWYNYAKDFGNKYGRPMSLTIKDSRNVLVKNFSIIQPQFWASLVWDSENVYF KDFYVNATSFNPESSSDEKNWLQNTDGSDTYQSHNVTYENFVYQGGDDCLAFKPNSTL ITARNVTCFGGTGIAFGSIAQYAGVKDIIEDVLLEDISLYPSDQCPGYQGVYFKSWLG YSIGVPPNGGGGGYGYARNITVRDVYMEDIWHPLVVQSDLTYLEFNRKQYADSGLFEW SDIHLKNFTGTALANRIAWMSCSKITPCHDWTFEDINIRPGKEDHPEIHYTCNNFVLG GNDGLSQCHPSNSTLETDNGGTL L199_000174 MSMRTALTAGWAALHTAQYGFAISSLNGVQGPLTCGGAGGITPH LVDSKGLKDCIQMSPGAFGLVTSIFTLGGLLGSLAATNINHHLGRIGTLRLSALHILV GSLIVGLANSMGVMIAGRVIIGMGCGLATVTVPLVLSEVAPPSIKRALGIMNQIFIVL GMLTAQSLSFPFAKPFTWRYVLTVSFGLAIIQLLGSLLIREPTKGQYTGRDEESDEET SLLPSDNEKPLSIKDLVLSKDPLVTRGLMVVLVTQLSQQFCGVSPVMYFSTRILTPVF QSNSRLIALFIILTKVPITILPAFLIERLGTRRLLLIPTLFMSIAAVLLAFGINYDAQ ALSVIGVFSFVMAFSVGLGPVTWVVLPEVMPEHAVTAAGSIGLALNWTLNFCMGAVFL PLQRWMSGGRDDEEGNVFFVLAATCLGAVLAMRIAFKAQERVAI L199_000175 MSSKLALESTVKFHTGREMPRLGFGSGGLKDEVGVEAVAYAMKT GYLMVDTAQMYGNEEEVGQGIAQSGIPREKHFICTKWQPPVEGSDFRPTPEQVRDEAR KSVARLDKSRFEKEYLDLMIIHHPRPDPEGRVSHWKGLAMAQKEGWVKDIGVSNFNIK HLEALPEPLPAVNQIELHPWCQQREVVDYCKSKGIVLQAFCPLVRIRKDKFEDPVVVK IAEKHNKGVAHVLLRWSLQKGFVPIPKASSPARIDANKDLYDFELDEQDMKELDGLDQ GAAGRVSGIDPAHLPD L199_000176 MPFTPVQTFLGGLLLHFSTSSLLEDTGRVFGISGIFNGVIFGTR ESWQWATIGGLLAGPLIGLATGLQAYYPGNGLETIAQMGSGRLALAGALVGFGSRLGS GCTSGHMLCGVSRLSPRSMVATATFFTTAVLTAKLLPLHTSPSVVPAYTLQAPPTPTM FILASVLIGFKIAYSSLRRYLLASSSTTLRLAPFFLLGTGFSLGLSISGMTDPSKVSG FLQLFNPKHFDPSLGMIMLSGVLPNAIHHARIKHTAKANFPWESWHVPMRKDIDWRLL CGSAIFGIGWGLQGLCPGPAIVSLGEVLVRTVIPGHNIPIEALTKVITFVGAMVVSMG VVPLV L199_000177 MATTHNGADNQLKPSEHHPTMLESAEKALASEAVEYGQSPMLSR TASQELTLNETNHSNDNDKSEGKDVEKAEPTQDNSGLLSGIRLYLVFLSLMLSVFMFA LDQSIVSTAIPQIVSEFQAFDQVSWIITGYFLTQCGLILLVGQLLTILKAKWMLLGAV FFFELGSLICAVAKDMTTLIGGRAVQGIGASGMFVSILAVIAVVTRVDQRAAFMASFG IVFVISSVVGPLLGGVFTERVSWRWCFWINLPFGGVAAAAVLFLLPARDPEVNENTPH DRTLLGKLRRMDWLGTALIFLTVTCLLLALAWGGNEYAWSNWRIILLFILGGLLVISF GAWQWYYDKHALIPLSLLKNRSVIACAGAMFFFMLAMLGGTYQLPLFYQAGRAHSPEK SGIDIIAFMLSICIAIFISGGITTKFGRYYPFLLIGPPISAIGFGLLYTIDAEMSNAR IIGYQILAGFGIGLSFQNVLIAVQAEYHDQPALLPQATGVVSFFQLTGAAIGIGIINT VQSVFLNTEIKRLAPNVDFETVRQSVSAIYQLPVADQPPVIDAYIISITKSFIPIIAA CILALIFGAFIRNHNMLTKGGAGAAHMA L199_000178 MSDPQGPLTSRLSSTIKSTADSLASSVKPAENELARWRRTFDRF AKEEIEGKKYLNPSQFIDAIAPTDEGFSKIKREQYTNLFKVADNTGRGLVSWEDFVVF ETLLKRPDADYQLAFLVFDTDASGTIEFDEFKAVLSANTAASGIPFDFDCDWMKLYVG KRGGRHVLGYHEFTQLIKGYQGERLRQAFHHFDQDGDGYISPEEFQRIIVEIAGHKLS DSVLERLPTLCTMNPGRKISYSEVIAFHNKMDAVERIIEHAVRKSKDGRIDISDFLDE AASSMRYGMFTPMEAQIIWHFATRGSASTSSRLGLADFQALLDAKWQPPEAAVQPQVT ASRSVLSQLGQSAYGFFLGGIAGGIGAYVVYPIDLVKTRLQNQRSTVVGEVLYRNAAD CVRKVYANEGGIRAFYRGVMPQLVGVAPEKAIKLTVNDFVRKKATDPETGRIPLYMEI FAGGMAGGCQVAVTNPLEITKIRLQMAGEITRAEGGGAVPRGALHIVKQLGLVGLYKG AIACFWRDIPFSMIYFTAYAHLKKDLFHEGRRGKVLSFGELLTAAGIAGMPAAYLTTP ADVVKTRLQSQARAGQTVYKGVVDGFTKILQEEGVRALYKGGLARVIRSSPQFAVTLA MYEVLSKTFPYPFAPAVPLAPTTSRPSLSSSQDISRVRARNALRILLDCSSRFGMVNS QTASQGVASLPKVFRSSSS L199_000179 MDLGASGLPTSFGKQTPSLPSKPPPAQSTSRGGHTGRGSRGLAG GGKRGNNRGRGTGGASSGGNHGNHAYGDEIEYGQSGFNGGTKRPHPPSPNDSPSSSNR NAPPNYRQNQPFSNRGSGGRGRGRGGGPRMHNDHGQGGGERGFWKDSFMEDPWKELEE QRSRTKGVV L199_000180 MVLMSELMRVLVPTLLQHDSTLLSSLIDLQNRSVYQEVVKYLYS TVDIVLPADLSLPPLLVSPKVNAVLRTGRLGQVTKTIRFRHSSSSKKDVPSVQTRYLP DTDGEKRTAVWTLAADIMQRCPNVRTLEWETDFGIGGSLWDAISSLNDLTQLSIRHSP LHPSQDPNRNSTAHFPRIAPPLQLLIPPGLSLQPEVKAEGTDTPLTRGSVVGNGGWGL GIGWENLEVLKIGPLSETGAKTIANHLTLLSIIGSLGTLIHVELSTTGTRLTAECLNT IITGCVVLESLKLSGVDGHLSKDTWSTITEWPSSFGSLEIVVAEYSKKFSWILDHLES IHHVPISQLKHLAIRRSVHPTNLLPFPPPNAVTYPPCRPDMALQAIPDTLFNAIVDKG KQLRSMCLTWWEITQGGFTTIMSNCTELRTLEIALAAPLTQVLNLPTSFAKLPMERLC NTSDPAVYPANITGKLKIDYINIPEGFPTVLRDQILESDPHLPDPRDLKRFSRRLPNL TTLAWVGKGGRGEWHFTRKSAPSSIVNIDFIHSAVKTKDIWQQCQKAPPPLYGDVIEN PSSGVSLEIPSLPSTPAIIDSPISRVSTVNTDTLVKTPSIRRVSLAEVEASLEDPSPF DAEAVLEVPSTATKTFTGIPKISRNSKALRPIPATSPSTPNKSGPSATFSPRTSQPVI SGARSPPSSPHSFHRRGSSRSWHGVTRKNAVPEQELTNAPRIVPGSGGRQSLPVEAIG ERLKKVVPKEKVEKKKIEASPKSEQSGWIVVGAGNDKPNKGDKSKKGISKKSKH L199_000181 MQHQDRHPASTEPTGIGIYDGGFEQIPHVPATPERVAQHRQLDD DFERAGPSKHWSLANFDIGRPLGKGHCGKVYLARVKSKTDSFILVLKCLSKDEVITKE VQIQVRREIEVMQQLRHPNIIRLYGWFHDSTRIFLMLELAGRGELFKQLAKKGRFSEK RSSQYIRQVASGLTYLHSNQIIHRDIKPENLLLGMNGEIKIGDFGWSVYSPEENSQRT LAGTLSYVSPEMVLGQSYGKAVDIWALGVLAYELTCGAEPFGADTSSGPRLVYQRICR CDVHFPSFLSVEAREFIQSLLRLKPEERLPLNQVDQQPWILNYL L199_000182 MSSYQTYPQQGGRNQPGALSERSAASEDDGEEERDLPPSKANGT GAPSNRACVSCARKFSPFAYPHLQDYCHRMKMKCIGKENPPCNRCRQSKHPCTFDGPR KSKSSKVEDRLRLVEAQIGAMQGNLQELLQLQRGAAAVAAANTHPATTNDLDANSPVH LGQRHYSSQTAHGPLGPPPWGAPPHHPHRSRAVPSPGPSDEDEPIDPLKSAYAAAPWA NMLHLAEAARLKADSHIGGDDDQGFRPKVISPRYDVLDDAQRARKRPRPMSAVGDDAS SVHISLNERGPSGSPDPVDLGWCTLEKGRQLFDT L199_000183 MPCFDPEYDTWDSLRKRSPFAITTIIAVVTKCEDAAGPPSELQL KSREHAEKMAMHSLFTPVSRIEIVQAMKTFWRPGGHAIRMAMDMGLHKSLAYLVEAGM GAGKTPEQVEADRLIVAGARVWLTLFKMEYE L199_000184 MSFAYGRPGLFCSEITIKDARDFLRHPLSLPTDARLVSSCESLM LRMPLHQPLALAPSNAAQPYPNMDGKLREANRAITDWYNYWDGYYARNGVPKDHFLRE TLITGGAGSFLSSNSYVLHEIRSRRDVAFLSDERRQWLQEAGRKAQQLVTICLRGQQY ANTIQYANLLTHYNIVYAARFLIRMATLVPESCNLRQIGKDVEQVAIMLTKGELSRHE NALTRSVPGFLFAHMLSDVVKKARRDQVLPPASRAPSRLPSPTRGLTALPMSDQNSWS SGLTPNTNFVSSLSGGPDISISVNPASDQGLSSHMDFLYAEQLFANTGNASTPSQFLP NPASGTTDQGFSLDAWFPFPPLDNDLSPLLATGTDPSAHPPPTNANESRQTWW L199_000185 MTTDERRPLLNTANTPDYSSTLKSDEPADVNKLSYNKVGLSVNR FWLLFSECIRRDRRCDASRSNLFFLQRYEHGIVAWYLLASMLLAMAIFTTGNLLCAVA PSMEFLIAARALAGMGGGGLSTVGSTIMSDIVPITHRGIYQGFGNLAFGGGMGLGAPL GGIINDYLHWRWAFGIQLFRRIDFLGCFLLAGWVGAALIAVSLKTNSTSLDAYAWSDP LILALFGVSAVLFALFLLVELKWASEPVMPFELLNRRTAIYTIPLFFTVVRGMSSSQA GAHLIPNSIAGMIGSLGCGFVVRHTKKYYWLNFWSGFMGLVGAILISMWNRESSEWML WTNLSFTSFAMGAVTTLTIVALIADVGHEHVAIATSLSYVFRTIGQVLGVALSGALAQ AILQKELSKRITGPGAEEALHAVFLCAVILSVIYLLAGLGIREIDMHKAVIKPANGQG EEEEEDIVGEVVEAP L199_000186 MSMQEEYTIPSPQRSSTTSELTKIEMSNISGEKENVATRLSHCV VSLSPAFFSLNMGTGIVSILFYTFPYPARWLRAIGLGIFVLNVVLFLLLSVGNVIRYI RWKGLFKSTLKHSAAALPWGTLPMGFVTLVTHTIESMSASWLLPIVSLVVAAASGGVV AEAVSQSMPSTAKSIVITSYIVWGTGVPLALSISATYLYRLIAHGAPAPQALPSLFLL VGPCGQGSFGIITLGKVVRDLAHTSSFDILATQKEQSAMTIMADAMYAGGLVTGLILW GLGLCWYLLATAIFIDHLCNVDRSYLRHRSFNISFTALTFPIGVWASASNALATELDS EVFRVIGAVVSTQVFVNWLYVMTVTIYKAFDGSIFIAPESELFTSKHRQSLQNRSQDI V L199_000187 MVNESLPQAQSKADYDPITLSLFSNRFMCIAEAMGRSLKQTAIS TNIKERLDFSCAVFSPTGDLVANAPFVPVHLGSMSWSVKYQLKLHGKDLKDGDVLLTN SPMAGGSHLPDLTVITPCFDENDPTKIIFFTASRGHHSDIGGILPGSMPPTSTQLYEE GANIHSLKIVSNGYYDHDALQKVMVEDPAQYPGCSGSRSFRDVESDVKAQIAANNKGT NLLKALVQEYDLQTVHSYMNHIKNNAEQSVRKMLRKAAENAGTNVLHAVDYLDDGSPI ALTITIDPKSGSAVFDFEGTGPELRGNLNAPICVVHAAVIYCMRSMIGEDIPLNAGCL VPIEIRIPEGCLLSPSPESAVCGGNVMTSQRITDTVLLAFKACAASQGCCNNLSFGAG GKNQETGEVVDGWGYYETIAGGSGAGDGWNGTSGVHCHMTNTRITDPEILERRYPVIL HEFGFRPSSGGVGKYRGGEGCIRSLQFLQPLSVSILSERRSRAPYGLAGGGPGATGLN LWVKQPKDKDGKVRTINVGGKGTMQFGTGDSLVLHTPGGGGWGKPEVEDQIEKEEGQR LLNEAREWEPRGSWADKAQPDF L199_000188 MSSVPDHSIRISIDRGGTFTDVHVSTLPRSNPESAQQDESKREE FVLKLLSQDPSNYRDAPTEGVRRALERVTGERFERGKPLPVNQLEYVRLSTTVATNAL LERKGQKHALIITKGFKDLLEIGNQARPKIFDLNIKRAKPLYTKAVEVDERVTLVGFS SDPRAEKNALVFNEDGSVKKAYSGVGAEEQENIIPGRIVKGLSGEAVKVIREPDLNTI KKDLQALYDEGYRSIAVCLAHSYTFPDHELAIGKVASEIGFPHISLSSQLLPMIKMTN RGQSTTCDAYLTPVLQDYLKGFYAGFEGGADGGLHVEFMGSDGGLVDLNVSLKSILSG PAGGVVGCALTSWDEEEKSPVIGLDVGGTSTDVSRYAGRYESVWETTTAGISMFTPQL DINTVAAGGGSCLTYRNGLFRAGPESAGAHPGPACYRKGGPLALTDGNLFLGRLVPKY FPKCFGPNEDQDLDPEASRTKFEELAEEIRTETGTEKTIDEIVYGFVKVANETMARPI RTLTEARGFKTDEHVLASFGGAGGQHACEIAELLGIDRVLIHKYSSILSAYGLALADR VYEQQEPASDTYNPSSQSSLSSRLDELAKKVKQLLKDSGFEDSKIQVERLLNMRFDGS DTSLMVLETAPGKGDYEEEFKRAYKEEFGFLLNKSIIVDDVKVRGIGKTFDSLGPSAF SEYKSLKLNDVDQSKADFHQEAYVWYGQEGKRQDVPVFELGSLHTGDQVKGPALIIDE TQTIFVNVRWTAVATSSHLLIQRENRAISSDDDDLA L199_000189 MEAKTYLRNALQAKQPGLGFCLPGACTISTVLATGGFNWVLIDA EHGAITDKDYFDIVSFSKYPPTGSRGYGPMFCPPVFGCKGSEYDSQADEKLLVIVQIE SRQGIENVEEIAKVPGLDVLFIGPFDLSKQLNVQFGGEEHEAAIAKTLKAAHDAGKVA AIFCSNGQIAKQRLDQGFDMVSIAVDNACLAAEMERQMGFATGTTKTGDRSYS L199_000190 MTKPVVVALNPLHPQALALATEHFDLILPSSERFKDWRRHAQGL LTISGSVNKEDVDVVREHGKLKYVAKQGTGVDMLDLRALKDAGIVVMNTPGINVIAEL ALGLIIDVARKISTTHARFYAGEPIHKADGWGGHTLFGKTIGLIGGGDTGFALARMFQ SAFNGHVILYDPFLSAADLQRWERGIPPTFITRTSSFHDLLFQSDIVSLHCPLTKETK GMISAPQFDLMKRSAVLVNVARGGIVDEVDLEVALKEGKIIGAGVDVTVIEPPTQGRY EGLCKAGCVITPHYGAAPADVQEATCLAMVEHMIEALKGGGINNRVV L199_000191 MSSPARHSTPSGSTSKRQNGVRTPMSRPAIAAAGMGRITPRSAC ESCRTRKIKCTGERPICARCQKQGKECVYANATTSWSGGSGVSPIPDPPAVINVPPPK PSLLVASDRSILPARRHIHELLSHYFLYTNAAFPIFHIPTLQRQVDAVCFSDESVARF DIAVVLYALAIGAASLDQKSLSDPAIPLRAEEFFHHAVKYVLPGMGWNGIESLQIQVA HLIFVLYKPGCGNAWESAGFVARQAIGLGLHQEA L199_000192 MGDNGDDSLITDHAILPGEPSPLKASSLQVYRLHQIQSEIHTRL HASTVAPPALWLDSMVNRLDQWRAMCPEGTGYVSDHWQVVQHHATMSMLYRPSRASSN PDRQETMTALVSAREVIRRSKDLSRMGRVDFMDTLLDIQTCLALMERLAGTSGIRNAF EGISEKVIRHIMATSRGSDSSSRPVRSPMNNLAAFLVQPLGEKSAEEWDEKVAGVLRL LP L199_000193 MPNHALDTNPPSGSVQHITVRGSDWLWAVFAIMMVTDIVVFFWQ FSLPRGQRVFHQLSLFILSTAAIAYFSMASDLGYASVLTEFGHMGYSANTTRQIWVMT GLVGALVATSYKWGFYTFGCAALFYIWWVLAGPARASAGALGADYKSAFTSSAAILSF LWLLYPVAWGLADGGNVITPDSEMIFYGILDVLAKPVFTIYHLYRLSKLDLSLLQLSS GKFSSSGGVFDREKHAAAGAPAMVGVPGASTTGTAKGGFFSRRGQRNAVAEPRASEAT AVNP L199_000194 MSILESSGYELIVNPQDSEPSREWVLKQIADPQVGAACIMHSQP SDKVDQEFIDTCNENLKCVSTFSVGYAAHARGIKIGHTPGVLNDAANPQWPQIPWAPF VLCGHSLGHPGLKIGFLGFGRIPQAAVDRLLAFTNKTEPPTIIYTSSRERENQAAIDA DFTKRWGVDVKRVEKDVLASQADVLIVLCDLNPSTKDIVNKDFLAKMKATSILVNVAR GPVVNSDDLHAALKSGQIFGAGLDVLTGEPNIKPDHPLLHLDNCEYDPEQTSLTDRYR ASSPWLRRF L199_000195 MHPYYIFKDLITIFLFFIALATLVMYAPNLLGHSDNYIPANPMQ TPPSIVPEWYLLPFYAILRSIPNKLLGVVGMLGALLILLAMPLLDDGRGRGDVHRPAS RVAFWVFVGIFFLLMYLGSQHAEEPYITVGSIATAAYFGWFVVLLPIIGMMENSSFDG TNAPASSQAV L199_000197 MFEFLVTLLLATAIMISLMAVGVAITMPFHGALVRLRANYNPHA VGLDAQSRVGPTLTTLVGTLKRTKRLEGWWGLWKGTYPTLAYTTLVSIASIIFVGGSS TRGPKNTYSVPEAGGVRMGLFTIVLTLIALPMTVIINR L199_000198 MLYLTPGLLATTFIHSLCVTLIARTMRVFFLGASAPEDISDNIS PWRWLIFILWQALATGWLTPLEVVSTRLSVQPNTPGAVATEEEEQGPPEGVSFCGTDE DVIGLRPTTDPYLGMVDCGRKVIEEEGWQSLYRGFWWTMLSNVFGAFA L199_000199 MAHPLTQQPPSSLPFPFAARPSPLSFGFCHPSTPSGFTSPSRPS SSGLNWSSPVHSPTKTSLSRLRSESNNLTPSSTLKRARRSRSPSSSPPLSPNSPASSS SQARGSASKVDLSAVAGLALQDGPSKSAKRSRVLPSDNPPIAASDGIDVGILLATLPS SSHLPILMQLLRTHPTLSESVLGQIPQPEVKTCVREMQTAFEAVQKAAGGSFGMREAS ELFELRRWERVRGEAEIFCRTASTYIRYFTSNTKSPLEAESIFAFLHPLTTYLRTLLS VVPVNAPPNNPILDLAKLVLTIWDLWLKSLSAEVNQRGGMYPHPVVTNWAETMDRLTS KSATSAWDSSHHTSHWSLPTSRPANQDSSPSFDESFRQALEPLRNRFLTEVGWMIGRR AV L199_000200 MTTAQKIKDIEEEMAKTQRNKNTEYHLGQLKAKLAKLRRELITP SGGGGGGPGIGFDVARTGIASVGFVGFPSVGKSTLMSKLTGTHSEAASYEFTTLTTVP GTMTYNGARIQVLDLPGIIEGAKDGKGRGRQVIAVARTCNLIFIVLDVLKPLNDLAIL TNELEGFGIRLNKKPPAITVRKKESGGIDAQEIKAVLSEYKMSNAAVSIHQPDATIED FIDVVEGNRVYVPAIFVLNKIDAISIEELDLLYKIPDSVPISSQMWLNIDELLEVMWD KLNLVRVYTKPRGQQPDYSSPVVLRRGKCTVEDFCNAIHKEIVKQFRTAMVWGTSAKH SRGQKVGLEHVLEDEDIICIFKK L199_000201 MSAGEPPHDLAVPLDVQLLSDRGTLPTLGSDFAAGLDLYSAESK IVPARGKALIDLQLSIAVPNGHYGRIAPRSGLASKHSIQTGAGVIDADYRGPVMVLLF NHSDTDFEVHPKDRIAQLILERISIPRLRQVESLDATVRGAGGFGSTGGFGVQAKKHK RDEGEEETAEKEG L199_000202 MSDADALARKDLGDYFHQAVLDNPDVMAECLSILRMYNLTPADL FFKYEAFLMSRPSGLRAKLSNLSLETLRELRSEIQREQQAKAVAAMAGLPSAASGIQS DQSTKSAVGVRKGKGNMADIGGFLEGLSTPSRPTKPRSSHSTIRSSTHPNNDSPAGST FSPSIKGTMATPLQATPAGPAASSYRPAPSRPSNGGLLETPLGRGDNGLSVPSSPTSP AGSPTHMTPQLTQPFELRPQPHSLVETLNPHLPDSNQGVPSSSRPRVTLSSTADPKQW NYRYMFEKISQRSEALDDSIDDYAENIKDAYGISELGDPHFASEESIYTVGRILSPPT DTSKATTSSLFLESSRLLGAGKRIALRFAPPGTLKVRGGAPGVKGFGLFPGCLACVKG RNGGGGAFVVEEVLLPAPSALAQSSASELLDFQHGDKLKGQPISMMTAAGPYTLEDDL AYAPLSALVEVVSRERPDVLLLLGPFVDSQHPSITSGAVTQTPNEIFRSQVSRRLQDV LDTSPGTVIILVPSVRDVVSHHSAFPQSMLDKEALGLPKKVKVLPNPCTFSINEVIVA LSSVDILFHLRREELYQKAEEAEPDPELKGAEVKDAMANLLRHVLGQRSFYPIFPPPE STASEVNLDVTHYPLLKMDGPAPDILILPSKLKHFSKIVDSTLVINPAHLARAHTAGT FAKVAIHPVSKPELENAISIGGELDEFRDHQVWDRARSEIWRI L199_000203 MPSVPDVTTNQYTAFRQAEKHFKNRAVKDKYPSLRKYQDSLVDL SRPEQQEDDEVWKAGWWSPDHEPDARSNRTDWKPWLFKGKEKDKGTRPDLPTSDLKPI QLNDGRTGWIVGPGCILIPRFLSTSEQLHLLHSSLAEYTLPPNPLSLSTHYDLPSNLF ELYTHDPDTAVQPKHRTIPASQTRSSATSDVPKSRTLIETEPASVIGYDEIVARNKTW TGDAPSDKLKEKTVDQLILELRWANLGWVYQWSTKSYDFSREEPIPFPPELAAVCHQA VASVPWHRVFLEGDDSYACGWENWAEDYAPDTGIVNFYQARKDTLMGHVDRSELDPAR PLVSLSLGYSAILLLGSASRHDPPRPIILRSGDCLIMSGSGRQAYHGVPRILEGTLPS HFAMQDTDSETMKAAKRFISSARININARQVFPPGFVRPDRV L199_000204 MLIYQDIITDDELVSDAFDVKEINDIAYEVDCSLIIVKEGDIDI GGNPSAEEAEEALESGASQVNNVVHSFRLQSTAFDKKSYLTYLKGYMKTVKAKLQETN PERVPVFEKGAQELAKKIVANFKDYEFYVGESMNPDGMVALLNYREDGTTPYFTFWKD GLRAIKI L199_000205 MALAFHTWNIASPLSTRATTAISRAFISSVASSTSPASTSASAS ASHEHVQDIQTSAAGEQLDAARNRSRRRFHKTRRQAVCRSLGSQAADDLIKDSTKARS IDVYKQRHKSGAPSLSISSSIRDDLYDLTRSPKAFHKRKSEQDPAIEQVTDLDLRLTS DESIQYTQKAPTINESEIEEILGSSTSTSHTTKQDEGKLGIAGTISAREVSINEPMRD MKIARLRKALGRVLFSPGVIPLRDSRTGVWNFEPSLHDIPQPDKFAFHRCPPYITPSQ DEELIDLAKQQGCSFVGSTSTLTKALSQIYFAISGGKGVDLSTLSQDFTSEMSNGIYS VDSDKRWDIENVISDFGRILEKMLTCEVHDFKRFLLSSPESAVNSLLMRSQLDCHDPR LPGNGVFDIKTRACLPVRHDRANYLANSAYDISKDRGYTQSYEREYYDLLRAGMLKFS FQVRIGGMDGIFLAYHNTSRLYGFQYISLSEIDERIFGSTEMAEQAFRLSVSLLELFL QKSVAEFPDQAINILLKHSPIIDAHSVTAYVEPKDWDSSAGERPIRAITLTMNNVLDG SVNYTISYNEVDEEGIKKARRGLHRLQQDLLAMNSLVVPAGETVKSMTRKDVITRRRE KKEHQKQGEDQSAIPDEEAESYSKIRWREPGARQIKLREEAQESGQAYEKRKKTWRKG KFAWST L199_000206 MASSGQEYLPIRVCSGMGCLSWSLGIHLGLAGFIKPLQAFLNAH PKVASAITSLAPVILVALLTIAICPILLVIANKAETIVTRLGIHNSVLERFWKFLMVN GVIGQSTIEAYLTAFQNKSFDPLPIIASAFPTAAPYFASYILLQTAIQPFFEIFRFGA FGHSEAKVVTDGTLMPLVLGGAIMHLFMLLNPLVIPFTLVYYGACYGGLDALALAQFV LFAFFILNKAKGHAIATGILFAITLITKLIEFPEPTMEADLPSLDIQEADILCPPVTA SINDNDEDDDTASEDWDPDDVPLPNGLPASQSSSRFNTLRRSVGRWAGSWKKAGSSHK PIPFDHVLFSTLDSKIAFEPFGNPQEASVKPSKFPSHASQEKDSQRIVAPHPVLQPWE DIPPYYRSRGYDDQPTYTDTYDDFLWLPRDPLSTLDLDDTVEMRVALTTSTGGSGQIS DCALDFADLSVRDRKDRDTWQDVFVHGPSDVRPTSPGANSETRLMSTPSNIGSEVHDT FSGTHLFRRHTHKAATALSDVFRRPRASSSTSNPGIGMDNLSVRSRPGPPSPLEPNNL DTFISMRRVSTPNAISVQQEPAVTREPSTPAAAPTGSHISFAATLGRSPSGRRPSRMR SGHSNMSEELIQSPTSPGNRSLSHLSPFKSRTASAMSAQQQRLWNEVMEEERLMMKED REEEKAEMEKEKEELLKEQDKIRKASESGEGGGLRRRLTRGNSEGARPRLERAGESQR SRNESVALVDTPTSIV L199_000207 MPEKCGLDLTLHARFLRGAFFYTLLQTVVIMPVLMPLHIIYSPR DIAKTSMLRASVSSLVQSSGSKWLQIKALAAKFAASKETKRTITRGEEGQDSSSIVED CKGVKRYRTLMVTNVPPDMRDETILRDYFNYYIQRHHARKQSPKNRPDLKKPRLSLNA LELTGWTNEESDVEEVILVRKLHTIASLRDRRQDVLRKLEIVSARVMMLVLILRPMST WQNEF L199_000208 MADLSQHTNYTAAYSGLLDNFYLTLAIAGACLIGYEVEVHIPRR RGKDGRFQRIPVRLFYAAQLGWERLRGRGAKFQNREDGRPSSEGLVRDEAEEDKARSK LGDRESWEFGYIFQPKAWAV L199_000209 MALRDANCYTLTVTQSSTDPSIIQLSESLGQIGNKDEPRYARVR EKRDDEAYSSAIYDVLTGARLASAGYETEKSKKRRLQLHGPDEEVPFEFTGRLNFEWT FTFEGNKYRWTREVYGKDYICSLDRKPDPKVEICLARDVSSKGPARLQILHYNIDRFP NEIQDVRGLETLLVASLLCLLDAANDRNTLSRSPSSGSKSAVLSSKDKDIPPVPARPE RVISEDDFEPENPNEIVVSATSDIDDHIARAIALFEDPHVLFIVIRTKNAEAAQRALE VSLGVKRFRHHEDLPELSQYVIEEEADSIPPSSTKGSKTGLKVISLDDEPPKHISSSN SVRGKQKVWTPPPNIAIYLSTIELPDLKPGRREHLRYVASTSSRPQSSKPTAPFPSAP PPVLPPKEPAIHADSSKRTSSFGRLFRSHS L199_000210 MSGQSETFGFQAEISQLLDLIINTFYSNKEIFLRELISNCSDAL DKIRYAALTDPSQLDSEKDLYIRIIPNKEEGTLTIRDTGIGMTKADLVNNLGTIAKSG TKAFMEALSSGADISMIGQFGVGFYSSYLVAEKVQVTTKHNDDEQYIWESAAGGTFTI TEDVDGPRLGRGTSMKLFIKEDLKEYLEEKRIREIVKKHSEFISYPIQLVVTKETEKE VEDEEEVKEGENKIEEVEDEDSAKKTKKTKKVKETTTENEELNKQKPIWTRNPSEVTQ EEYASFYKSISNDWEDHLAVKHFSVEGQLEFKAMLFIPKRAPFDLFETKKKRHNIKLY VRRVFISEDNEDLIPEYLNFMVGLVDSEDLPLNISRETLQQNKILKVIRKNLVKKALE LISEVAEDKENFDKFYTAFSKNLKLGIHEDATNRSKIAEFLRFHSTKSVDEMTSFKDY ITRMPEVQKSLDAVKDSPFLEVLKKKGFEVLLLVDPIDEYAVTQLKEFDGKKLVCVSK EGLELEETPEEKEAREKEAKEFEGLCSAIKESLGDKVEKVIVSNRITDSPCVLVTGQF GWSSNMERIMKAQALRDSSMSSYMASKKTMELNPTHPIIKELKSRVAEDKSDKTVRDL TYLLFETALLTSGFTLTAPQDFAQRINRMIALGLSIDADAEPAPAASAEADAPALEEA AGSMEEVD L199_000211 MATWTPLLTPPPDVSPEVKPPLTTDQEAKLKSLIDHFGAPGFTL PIKQHAEEKTGLGDREMMFLSKETLIRPSEPATKNDLPATIARLEDCLLWRRIEDIDN LQRMSEECSEESKTGKNLALGFSNKGQPIITFFVNRSFVSLDNPKAVEGFTGRAHPMV YMLERAKDLMCAGVTHTFVVFNWSGKKSGPSLPLSVIKSTNHILSNYYPETLGLSVFQ NMPWVFKTLINLVWPFVDPITKKKVKFGTIEGQEIVKEGDVDPSQLLKDAGGSVDIPY DHATYWPALLQTCLKLRAEEEERWTALGERQVGREENLFKRPILSS L199_000212 MSDTKGQTSTSPDKKVEDKPAASSSSTTPAKPESTEKTTTPDVR KEEEKPELEEDVIDMETFQQIMDMDEEDDGDDEDGEKHAFSKGIVWGYFEQAEATFKD MEAAIVAEDLAKLSSLGHFLKGSSAALGIIKVQASCEKMQHYGNLRDEEAGVSLGAPE ALKRIKELLTACKKDYEVAKNWMENLYEEDK L199_000213 MHFSTRNAFYLRASNYRVIPLFLYLDERHVDWMSERVLQLVIGA LQPKMTELLFTARGQKKHKVHVERGEGYQFCYFLRTTTRTEVVLLKEKSFSLRPPTPP LEVIPEQSPSKRKAPSSSGRSSKAPRRARTRSRSVATELEEVDQANSPALQTVDDGNE DAIDQDGVRVKAEPVDHDADRTESMEETNIKDWKPDVDVSYKGFGTSSVQLVLIIEPY PPLPPSQYAPPSSRLSSRSASIASARSRSRSKQGTGAIRYSSTSLSLDSGGQRRSQSV AEPNMRNASRSVSAVPNSRRGGTASVTPFGREDSSTPGPSGSAGRRRSSQTPLFMPRD TPFDEDEEDEEAHEAYEEALREGRLRLPSVNRPAGDQSGLTRMNDDDDDLDDVPESIV DIGERLVRNSQIEEGVIRVQGGWEERAEGEESAVMGKEEPGD L199_000214 MSAPASDPPPPPQNPGNGDNKPEEVAVSTSSGETQDTNPSADAP AAAEDVQMEEEKTKEDDLEDIPEGVLSSDTADIKMQTRMIDNEIKMMRQESLRLGHER EQMTDKIGDNMTKIKQNKVLPYLVSKVVEILDVDAEEQEGAAHNEQNAKKSKCAVIKT STRQTVFLPIIGLVPYEELRPSDLIGVNKDSYLILDKLPAEYDARVKAMEVDERPTET YTDIGGLDKQVEELIEAIVLPMQQADKFKTLGITPPKGCLMYGPPGTGKTLLARACAA QTNACYLKLAGPALVQMYIGDGAKLVRDAFELAKEKAPAIIFIDELDAIGTKRFDSDK SGDREVQRTMLELLNQLDGFSSDSRIKVIAATNRIDILDPALLRSGRLDRKIEFPLPN ESARERILQIHSRKLNHHGVNFEELARSTEDMNGAQLKAVCVEAGMLALRQNATQLSH EHFHGGILEVQARKAKEHHLIMSHNEGLGLGSLI L199_000215 MTTLPPLAAIFLSHFDDIKGQSVIFYASLPNLPAETIEHTTLPS GLHALDSDLVLFVHHDLPGAGVFRNRLSDESSRGRKMGTLGVVLANPAVPSDLFSLHG PLSTLFDQLESLESSPFAPSTSTSPSNAVSILAKVWHDHRADSRSRSSDDVKGNGKEA VRGIRQLVDGRASLPIEHPIAWMPSLLGILGPAIVPVYKAALSGQRIILYSTPPLLPL AAFAWCIWSMSLSPPSTPDAESSKWIGNVGLMDLTELKKRKGGWIATTSDAIYKSHHA AYDMFIDLSSIPLTSSPPHDETTPTTPTPHIFSTYHQPNNVPVPITYSFSDLPLYKSL LLLTSSPPTVHAGVSKAGGWWLLAFELLERAWKLCMGVCDFAVGRGNVGEQGHLRLDE GEEDARLLDEDDEIISNLDGEEETDEDPEDEAIRRGKLILRQLHHNSYHLHSRLRDTI DARPRGKTSRTALLNQTEVRQPVGSKWPLGGSGGEGQFWKDIARVWGMTVGIEEQDS L199_000216 MPLSAAPSPIIPEPLPNRPPSPAQLAPRAITHPEQIAAQLALLT KREAELSLSLNALVADRAQIDSALSRLRELGSGVGSLAAEVDGSRTVYPNSKGLGLQS NGHDIYDGPDEGLVERVTRVWETSERVGGKVRRLDEEVGRVREATEIVTEVLELKNAL YTLSAAIAKSDWESASRACRRAMSARKEVIEGGFAGGVVPTPQYPLPPAQTLQELREI LLHTFRTEFDAAAERKDEQNVSRFFRLWPGIGAEEEGLEAYGDFVVGLVKGRNSTAGK SSSPLYYLTSLTNLLEAIAHIIDQHQPVVDKYYGKGRMRTVVGRLVGESDRVVRNLVE GWEEERRVGRLISDTKQSSFPLLHNPSLLPPLFASLSNPAIQQLSLASLASTTTVALP NLSSASTLLQSYAQGGKKGVPQPVQPVAVEEKEEGPDPRDVDRVLGELVALGGRWALF RRFVWSRIVDDDSEDDEEETKPESPINDEKHQQQMNVIEQSGSQRAIENLLKVYYEPL ELWFLRMSIEKAHRLDSPDTTSRPHLSSILDDTFYLLKLVLGRLLSCGSMATLRSMRQ KLAEVIERDYTGVIRKKMDAVYVGQASAQDRGLEKDKRERDQRSAFIIHLNDLDVSAD YMERLLDETLANLPQVFLKTEIRPVTDEIETLRDISNRFRSTGKAGLEQLFNQLTKPR LRGLLDDCYKDVTYLLDEDTFAEADELDLVRKRFIRAWESLVDGYKDTFTDHNYQTFF NLTVEVLVRPWEKMIQGMRFTELGAIRFERDVRAIANYLSTQTSFGGARDKFTRLQQI ATVLNLDADEDPEEFWSNSGVPWRLSKTEYNSIIEQRQ L199_000217 MSALDPSLSINTLMAAGLTDNPAHNTAQSASSAALSDTDIPKVL ARNAACHQCRKRKLKCDAVKPICTNCQKPRQRGVNKGDHGSESEPCSWDEPKEPSART RRRRESAKRQALAAAQERREDEQDQEVSVKKAKLNELEGRIAACHSVLEGQKQGLGHS SFNVTDNAAPHLGQPSQSASNGGYTYDLQYVQNAPTYNGQASMSASTSGLENSAHQQH LSSQGNWQNISSFYMGGIGLESPGKDLSANRTFSDPSQTDPLSGFFDLIWPDWPKDLP KYEVVEHMVRVFFEKVPTLPKMLNKNQILQNLMLPPTHREFPAQPLLHAILAVTSNFI SETSLAARAYFPVGASSNEFTHPSKDFDGSATEPHFNFTSSSAARKMETTTPLSRFQL WHRRKAFQTFSARIDRGDKFLQCMQAYIIATTVDQYNAWWTDLWMESGACIRMATPMR LNESPNVPQNTLSRGVNSLLPPAASDMDQAERDRTWWMAYILDRCNTASTTWPSSLSE DEITVELPVLQATYDIGHGELTGVQSLQSPDLYTNHPPRHRDSFCLLIKSLKLFTEVQ IFFRRYSRGTHSIAGYLSHPTFRVLLSQINTFRMSFPPEFRRPTSFKADQGVEALDRD LIQTLWILHTASICLGEPLVTKDTWTHEGARMTLAAIRAALSLLYDITATSYDLTLFG PHCSFTWSLAARGLLRFIEAASQAGDPVSASVFRSEVEVFRLALQRYSERFPIAVKHL RIVDDLLAQMELQSSDRKPLTVLYTCSMEDIVTSGRPVGAYATVSETASTQTMVTPGG SSGFTPDSQGPTISPANDQSRSNSNQNIKSGDNVNNPMPAPSVLVDRGFQESWNINSF SFDINAMVSMFESNGAVFDGSQFIMPTQ L199_000218 MSYYHDPRVISTYQQAFPPYNPQTHPFPYPTQPGPSTVYQHSIK NQSYYTPNVGRYHPSPRPGKDHKSKGYRYLESLSTSVSNLNINPTYNNAYDTSYSPDP RVRTHSETISPNYNKRLPALPPSPPSNRYEAYPSMNDAGPSHQNFTPPPLPPRPISMP LPETHHDLPETVTVYSVTKHHQRLDGPESLGSNNDSASKSQKASSPPKTFRPTVHPHS KPRLSDENRLRPPSPHTPPRPKSDSVIPTSSKNRGKGKATASSTSTSKSKTKTPTRRK EKDNDPDWNPIIDLTVSSSEDDSEDRNENITPRSSARRKRAVSEQPGRTSAHTSTPSN SVSGGGSRTPGTDSTPGVVQCSGFTRTGQPCKRLVKVTAPYLLARDTNVEQGGDERSE KVMGRYCKDHAGMICQVDGFYWRGDGDKAGIWIEFNEFIPPDLGQQTQTLLRMTMESK LTAKESSGYLYAYELRDLETPSVAYFKVGRTDNVPRRIGEWTNQCQSKKPTLRDIFPL PPTKRINAGLQRTGTLTTSFLPGATTHLNPPSKAMKRWERLVHLELSERSSLGSRESQ KAFDKMREKCNDCGSSHREIFPIHKNDNQDQVYESIVLEVICRWDRFISRITEK L199_000219 MSSPHLPSPASLPTPSSPSKLLPQDPFPPRSTSPPPPPRKNAAG LPPTYLRHLRGLLHQWLEQQVQADNNTGLPAGRKNGFVEEERLWSEGKVEVLEKAIWE GVIVPQISRENGRKRRALLELDWAEYIRGATKRKAVWKASREQRILAEGIPDNLISEG SSKGAKSGYQSRKSSVNESDPSEKGRLTIPGSPLPPSLTSLTPSRCTSRPSSVASSSH STNITPDPSTSFRPIDHGKGNDENADGEDEQRREWCQVISGMKGYARLSLPKKDEWEV VEHDFPKYGTIQRDVPGAFPQSSFYEYRSSEDRLHLTASSSKTRFSDLGLPTPASLPD QPRLDTELLKPVFCLHFPSPIRRQEASSSTLQLKRDNSLSKKSVSSIDSDGGNKKWWS GSGGRWSEMTIGPKTEVQPEFQPEIDFVEGQFALPSHGSSSGTWRQGMLRKPSKKRIS IFHSFNGSPESSSSLRDYSTNATSDTETEADRSATEGTEAGHSSTWSGTEKDYGTSDK GALSLVISGLEDDIPRKTAEQGEIGLVGGTLVVRGLQSEDEKFALQKVFQLVMYTIQS MMVELELLDAFRIPRELDEPPLPPKPSSGIASVPQSPTKPQTSSDLHRKGSFKERGGK SKGFFHRLGKDTKHVWDGLMGKRRSSEAHEPLHLVAPTSNQPPDLPITPLSANISNSQ ESAIPNPAGTSTAGLPAITTMQHPTERYLIVLSKLEQQIHSTTPGMTLPMPPLLLRVR EEDRLRREKAKEEAKEEGSQVVDGLPSSSPSIFSPSKVLNNKNKPVDPLHGRAMNYRL GGDVRAGLGALSSDIDGFEGWIRLQRLEVLRSVGLDLMTENGEREVHICQTPTFSTYL HWDTERDKTIQQILDELQEELAEENMVCPRPGCTATSAEHVRWWIHSGKKVALKIESM EKQDVEEEGIDVWTKCGKCSRIGEPRGLSWNAKSHSWGKLLEILIYTETLHLSTDCSH SMSSSYYFRTSGLVISLDVESISVLDTRLPKLQVGPNVTKRKGGKEPVSTAMTGILRK EVAQKTVASLNSEITEYFEAVEQKIDTLHHLGSDNAIAAPEGPKVVSEKNTALDDLST SVSKSKAELLQILSETEPSQINDTRRRFSRQIKDTRMMFVDWLKKYAGAADLTNDLAK YEPEYAKGKGTFALPGSSVIVRVDEPASIIAYTLSSSDYFTELTSTAKSTAAQSDDGL STSATVKSEAPIAEKSKSATGATEDTWSVEVKRRDTPRDLLSLRTITKKKSEIQLPQP PKPPLGLSPAPNAPSLELSLEQVEGKTQSSDRLGELLKTISKATAQDPTLTISSSSGV AKALAAAESDTDAMPRVRSSPRGTRRLMSDTDRPTPPSAFRPTTTRSVSSTSIPPSTP TSSHLVPSVSAQTPGSNQKEGWGSVTSSFSNSFNQLLKLGSDMGESIGSIRVKGTDRS LSSLMGPLGMLSTVDNPLSSIDDRPHFQFSYTLGDCLKLGCTVYYATAFDTLRRRCAI DRSSIRSLSRTNAWDAQGGKSKAAFFMTTDKRYIVKELVTKWNVSDMHALLDIAPQYF DHLAGTHNKATSLAKIVGFYTVKINDLRAGTRRQMDLLVMENLFYNQSISRTYDLKGI EGRRANKMSRGEAETKIEVKPEMTLFDGEWLEGLQKDLVLLQPHAKRILQEAISLDTK FLSSQSIMDYSLLIGVDEGKHELVVGLVDAIGSYNLFKNIESRGKLALNRGGEVTIIP PDQYRDRFENALKHYFIACPDKWSKPSRRSGMKRTAGLPSVL L199_000221 MSKDTEYNIIAAWRQAIVLSARARRRQSDVGMDPLIAESLKAQI SQSHWHRDMRSITGTLCSNHGEPSIPGLTLEPQLSEKPERETKVRVLSNHNFAYHENI DEFLNDARLLRAISHAELEALVKMSKNTRKRMEEGIEKEKFFDVADFIGNSTNYQN L199_000222 MHERDEDYQVQGRTIILPSLQDLDHLRSSTEMKDVNDSYLAKKN FVLRSSAAPIHFSQDDIDTMIKLGDDLIAEWKYPIWDSDARDTADLILNFQKDDEHSM VKIRDFLTQDVPANFPEPPSEPPSVNEEEEKEDHV L199_000223 MVSKNPKTTVIRPPILPTNTRKSTRRSTILSSPQIDNNGLSRVP TSGSVHTRNALVRMATHETGEYYPEDQEQDRDDDDGLHTHDEHEHEDGSPTHSRRNSD QPTSSNHPNGLDGDPIDEEKKIEGQKSRFGKKKEIELQDQTNLLPVRQVIAVFAGLTC ALFCSLLDQTIVTTALPTLGKVFNRADISSWVGTAYLLTSTTAQPIYGRVSDIFGRKF TLLACLFIFLMGSLACALAQSMIQLIIFRAIQGIGGGGILTLSMIIISDVVSIKERGK YQGITGVVVALANSCGPILGGVFTEKASWRWCFYINLPLTALAMVIIVFLLPLRRVRG SIWGKLKKLDFYGSLLTLGWASLILIALSWGGSQYAWSSAAVLAPLLIGLALLGVFLI VEWKVVSLPLVPMRIFKNVSVAACYATTLFNGMAFYASLYYLPQYFQVVREVSAIRSG VLTLPLMLVQTCTAFTAGIIQSKTGDYWWNLVIGFAIWTVGLGLLSSIKPDTSEAQLA IYQVITGIGAGQTFQTSLVAIQSGVSRADMATATGLRNACRMLGGTIALAVGNAIVNN RVKHEISGSLNDDQLNTILADPTLVKDLNLTQDQVNSVIQAYSKGINGVFYFTTPVIG LSLIITLLFVKNVKLKKSEDEAAKKAEAKAWIESKKAKRAAKKGHHVQDEPEDQKEEN DTTAGNPPGKTRDRADSEETVTEASHSDGEGIKEELKDAGRKEAEAMAGPETKA L199_000224 MSLLLPRASSSLRCSIRSLSTTSLRLNDQKIKVPITLIASLRKE FPVPLAQAREALEKSNLDLKSALDYLRNSSASSDNAEKKAAKVSGRSTDEGVISISLL GGKRVGMIHLGCETDFVARNQVFLKTAKDISETTAFLDVPSEDDHPHPVEIDLNKKSD PILNFPTESLLSAPLISLPSDPTSNDNGSSNSNTSSHPISSSSEAQTIKQSLLSSLTQ TGENLKLLRATSFAAPFPSKPEIRFVPSGYAHGGSNDKQGKVGGIVVLAVESIDQEKP IATLIHGPNGDKLETDLNELARTVSRQVVGFPTKIIEKQDRPFENDEILYEQPFMMKG DSRSVKEVLQEWGNERGVRVRVVGMRRWSVGDELDQPAVDGADSTA L199_000225 MARKKVTPENNSPIAAGPSTEALPTTPMTEGNKGPAVYFQLADG SSKTKRRLKHDVSFDSVLSKTLSKLVPDVDSQQIRLSHVRANGREVDLIDDYDYVSFQ RRALDNPSQTQTIKVYIPGSSAQNLIASSISIPEHPQTPSPAGSVNNSVNIFETPKGQ PKRDRKGKQKADATISQSEVPEDTQQSQSATTPARGHVEVPKSSKKRERKTSEPIKLR TSSSSFDSPSAADHPPSLTKDSISTSPTKNSLASPKKSKKRKRLSEVPPDFRIPLVLP APSTSSPAEDTSSSRERRSKSPEKKKRRKSKKDQDDTPVESPLVVQPSSALQIQLSSA SPSVPPYLKLNKYKPATPSPLGRMPSPSVDGEAEEDFHDGSPDVRISEELPKSPIKGK KKRKNQEKDKVKNQLEEHVENAETHTLVEESGESQDRGEEREEIQVDGTAAKEKPKKR SKKAKKKDPVVETASEVQEPQAEPQVEAIETVDTPTQSKKAKERAIFKAKQQEVRDAP ETEVETVENVPQVTEEVMEVDQEEPASPKVVTATSRKINSKVKSAAPKVRKVIPLSSP YILYVRPNHPLPYITSKYNSSTIDRNPLKTYGKSVKPIYPPTEDVEKSDDDAISLEEE ELPKSPEPPKRAEVAQKKKRKSAPADEQNEKHRETAGTGSSPLSSKQLHSPHSTTSTT ELSKHGRHIPSEHHLNVDARGHCLICLGDPHLQINCPAVAKGLDHLRDLFEERKKDKN SPLYDNTMEAFDIWFNRADKIASSITGVKSPSVHSITNGHEGTSSSTPDQPLTLSPNR KSTLSKKGVVISSTSAVQTVARSPPPPMVNLESEQQEAAGPEAEPRSAPSTPSEEVSD PELPASPLSPTPGPAVAPVRPSQQSQSAQSPSREHSAPPIYLKALATKAGSVSGLSVS DAVIETGSSESESDSDNGSGSGSDEDSDAESNSLRGSESSNKRSQRGSRSCSPASIAR SPSPSLMQDSGSLATPSLNDFLSMPLSQKLKQRARASAAGMMQVELDEEIQDESDNES SPERQLPPASFAGRARRGSESSVGEFADEKSDEDADMEEDEVFPFTQSVPVQPIQKEQ ADTIETAPEETRKSDSPPPSDQSKRSFTDLADVSSPTPIVAEFPGSIALQEAIDEDDA QERGMDVNTSARPEESKTGHIISQGLMSPPSSTGEDLPEEPSEPMPATQIVNGDTQDD QATPKPLNRRVTRGKARDEAELAPPIDIRLSSSQPAATTTGRRLRSMSRELSAEPVSP RRTTRRVSSSQPEPASRMKSTSPPSILPARRNSKRTTPSSQIDELASSPAVPLRRSSR RASTPLRSSQPDQLESSPPNVMRTPAPIAEEDESEVDESEPQQTPEELKTPLVPETQE SLPRHGLRTKPSPLFMSQGSQIPQTQAYNIYPNLPSSDAGASIDETPKGRTNEIVESP QSSRKTNKLGRKANLRFTSPIVEDIHEEEDADRADQVKDSQSLDEFDHDSDKDENGNG EPALSEGAASESESESEDEIPPVPLPKLRSSRSTPSLYPGLPKPKALSSSQPQPTAPI PSAFPALSALSKDFITRRASFGVGAGSQHKTAHSRMSLPANGFSKSQPNPKSDDESDS VSGSDSDSSEEEKTPAGLKGRFAKGGREKKRVSASQPNIGW L199_000226 MSRLNSTFIKVMATLTLLSAVYARSKDIDCPDDPFASPATDICN PLRYIPNKSINIAAAVLYFVVAAILTFHSFRQKANYFLCLVIGAWFEGLGLVLRVAFR KNPHSTGLYIVCYLFVVLSPCAFLAGDYILLGRLVQYLDKNEHMRPLKASWVSWTFII SDIVTFLIQAAGGGLSISKEIKTAEAGGNIFLAGIAAQLASFVLFSGMWILFGFRAWK NDKELWAKPGWKSLYWAMGFTCICFVIRSIFRTVELSEGYIGYLATHERYYLGLDTLP LLLGIATYCYFWPGRYLHFPAKGQVANDQENGMDMTTSEGQQPYTVNGPSQVDRNEDN SGIESRGSNEKTI L199_000228 MVRATSIIRNASNAGKPKPMSADHTLYHAMPTGFWKKFLNPEIS SGLPIPTEHRYPQPGSRTERYATPSTNASDVAFNPYWKRDARRAYPQTSFITQSELSS LLLASPTLESLPSPETANQVRPDSSAISPSSDSSAQQQSIVTSSVPSLSSVLEKLPQG KAFTGSGIKTATASTSALPPTPPGAKWKPQRGDEIPHGEHAYFPMIGYK L199_000229 MMYTPTPATRRPRPPRSLSRQRPQLINSQSDPTSQKQKPRSHST PSSVVDNVTIEDTPLSAYIRERREDARNHLNGISASPADISFALDLNSSTSGTSFTAV SNDKISAASGVARREGKAIGLGISANTSGTGLSEASPPPPYGQSLPSSVQPQHSEVQN NPSPTSITSPRQDSGVSSRRVSTSPVRDTRYHTLRQRSTTEGETRTVHEHGRNIGGEQ REEIESEDGMSEGEMRNELREIKQLLHRREEELFVAARVAEQALESHEKVMSALPTQV KARLPHIQDIYTPLSNVLPDSSDSVPFPSHFPTLIQRASSSTAAQPLSPIEDYPSTTS TTYSRLSSFLSPQFPQYTLESPFKIPSPERHLHHPHQLFRTVRNADSSLPFPLHHQKA RPTIFGIQSSSSAQMPTPRYTRLAALQAEAEDRIVALEQALSEARDGEENQRKVAARW RKEVDKMQRELLKMDEQRAQVEQDALRESVVGQAGWRRKSGEPHFTERVGILETYDRP TSGSNRIGWGYTAFPEFPPAGPSRDHKPQTVDHTSQLEDVSLANEPLGQITIDTMQLQ GQDTDSEAEERPLSTHLAVHTIPVDEVDSMSTTSSFEGERTAGQPKSILTSPARQSLR HTTSTGEVKTLKRKSPKLKYLSPKKARSKPASEANTLSRATPRVTIESPHISPSDKPH SRQGSGGSQSSGTSIRRSPWPSPKVAMADASPDVRRTIDFFSHSREGSQSPSISPAFA SLSSRMASMRAQINQSLSLGPEIGLRRTLGSELGSEFGDDWDRGLKSLDNIHWAQPTI SSPSSPSRISTTSPLTLQQDHSESEDELEHDAEGDTSCFSPVYQPSYPLPPTVSAALS SLATALAPSTIFSDDTKESSRILPKGSLREPGIDYSAYELLNEACKMRKITWAEDDTS RNDEHKSRTSRLSEKVGTTLAPLHQSIKEMGMTRDPWDEGEYSDEVTFSEKTSSDNEE KRSILAKSRPYSNKKTNSFAAGTNSETPRKYALAHRRINSSNYQSLHLIEKQLEPDLK GKGKVAEKSQMKPEHTLTRKGLKSIKSSLKDLETYEDEVEKEPSTIPAKIVHDLFCII SIWLEYLEWFIILMIRICMDIRNGPRGSSGLRKGKRAQRYYI L199_000230 MTTNSATSDATAHVGETPKTNTNIAASSDPKPTQSTFIIKLEKT LHIPKWFTPSLTDRRQWKNFIRCMITTFGALVVMLAQNSLNKIGQAAFFGALMSQMLP PYMALSIYLFALLTLLIGLCFGWAWGVAAMASALRARDQVLYRQQVQREQSGYDTTAN IEAQYQASIFRGAFLDPGSSAVYGVFLFIGCYFLGFVRATRPRLTLGCIFGTIIMDLM CSFGPLFPIPEYTLAKQLLIPAGVFIAIAIASIFLIFPQTLNHIMLDAVTTKMLGPTI QLLKLQDQVVTTSPQDTEKWHELAIQSYALRAGHIAGATALEGQTALLQLEITRGQIG PGSLQKVFAKVKNLGLRAYGLASFSMIIDEQHRSAKAVLEESSPHSMIRTKAHHDRMN QHIDDTHSLKGLLPILAESTSELRQTSARALDDISDWLLLVNHTRWKKKPSSAPDIQH RETNLQDVKYALKRFRESKHFQMLEPYKDSFDPLTGQLKPHLTESYRYSSRDLFRCFV FTSSLIAFTIDLVELLELLLQIERDNPKSKIQLPNAFTKNVVKSANEKEGGNPLDMGT GDNSSLDVNARLEEDDHDEERSETSTTVEKKDIAKKEKKMTTHAKDPDAEDPRNAFQR FGRSLHHLWQGLTGASGLFALKYSLVSVALWIPAVCPSSAYFTYTNRGLWALIMAQTG LGVFTGEQIQQFILRMGGTVVGLVLGMVAWYIGSGHGTGNPYGVAAATMVLVAPCLFI RIAVPMDKAAFFLMTNVTLVFVVGYSWVDEHVYQTANQGSGAGLAGRRALLVIIGFVA AFIIMLFPRPVSARALFRKRLAKNMSDIGDLYGRVVTGIEGELDHEDSETQSEDKGKV AEVRRERYTGGFMKVLGRIMAMQPQFNFARLEPGLRGPWPKKKYEALYKTQSQLLSTL ALLSSAYSRMDVRWCKRLASRSELMHPAFIADCLSMFSILQQSLRTGEPLPAMIPIFE RLAIHRCAFKGVPTHKIDTTVSPEGEKHLNGADDSQRSQVEESGSIDGMEMATRDAEN VLRGTITWETIHDEQIALFATANIALVHIAVGLNEMFTIVKELVGERELQGLERASER WARGELGRV L199_000232 MIQHRSIPSSSSYHSTSEAGPSSQHVQPPPTPISPTNPTRLPTH VQAIHDFDPSLLASTSSSNVNMYLSFKAGEIIRVHVRDATGWWDGEISGSARWANEGE DNTMKGLRRGWFPSNYVREMGWDGSFHRRDESSATSNASVTSPTARHRENAHTHSQSH SHSSHARYTSAASHHSRASTSTSVSHQTPSPATSNGSNTLDPSFQTLMHPIVQSLSLL ESAIHSNRKPHIQPATACVISSIRAALMQTDCLSKESTTLVTWPVLAKERKIVLMELS KLVACAKTTGSLEGAEDQDDSNELEALAKAARGVFASVKRFLHLANEYGVTVTLVENP QEEPPEGPAIAKDSASTASSHTSAASTDDTDQSTIGKHRVNTSSSGNARLQEAFRNRA ASIGDLRAARRRAGSPPPPLPTASIIASSSRTGRERSPSVMHTPMSATFSQGSDRSSP IASKSFRDRRVQGSMDSTFSQATAASSEDAHMPWEDTTPVPTPQTSVINRQLGSVADV HEAIGHAEDALLSIIAAFIGHIHSHNISSHPSSHAHLIEMTRETIDSVRELLTIVEAV GRNIGVRHKRPREIEALRIAKDQLYEVASKLVESAEIVANAPFSEFGEDSYDSEKARL LQSATGTLRSGKECVRLVKLCLPEDENVHLHATPRQSDVHGRQLTPRPSHTHEAPLIM REKPVGARGVHTLSGLHRKATSLSTLQKRYQHGGGSMAQAPMEEEEGEDEETEEEEED QEVVQDFSKDEDLTIHPTMQPVMLGAPVNFPSRPTLQQHHTTPGLITTTRRPSEELLR ALNETNNSGARSRSSSLTSPAPPRIKHRSPSRSADLDKFTADYDIPLEPQRRASKGTL SASSRLSTYTSASSQVSVTSTAPTSIRSSDVSEFNAVLLQTPPVHDVPAFGALKVEIP SASHELSHATHKLALVDETAVKTQANLRPAAPVRSVTAPMPSANADVRFWVVAHDYDP REIAFNSDGAIVGASLAVLVEKMTPHDGPVDPTFNATFFFTFRLFTTPTQLLEAVMSR YDLQPPAAVVFGEKERAIWIERKVVPVRLRIYNFLKSWLDQHWREETDDVILDTLQVF AKDVVSVTLPAMGPRLADAVRRKMNGPMSAVSDRSSIHRPVSMDRIRSISQSGLLNPP SISGGLPPTPVISKNLHSFLHKASAAGSNINITEFDTLELARQFTIMESKMFCAVVPE DLLQTGKKTIPELKALSTLSNQITGWVADSILNETDAKKRASLVKFFIKLADKCLIMY NFSTMFAVLAGLNSSTILRLKKTWDALPTKYKVTIERLRGVIEHTKNHAAYRVRLREA PTPCLPFLGLILTDITFTSDGNPSTRPSVLEPDIILINQDKYNKLGRIAMDFKRYQEP FNFHELEAVQTFLKRVLTERGSGSVDALYRKSLMLEPRQGSERFSSNVERPNWLSGKI L199_000233 MPPPPTTDPKINTLLSTIQSEKRNLEGAKAVMRAVEASSKNEAV IQQAQNEVRAAQASIKFLEDELAKLQMGSGNSGTASPMRPGESSQSHLPSRHQMTPSQ SGSSNMGYPGGPPVSPSPSQKGYNVDRDRPLPPPPPGADQPEVRKPEQKNYTQLDLQR YDAPLTGAKITRMLNQLQFKLQVEEQYKLGIEKMAQAYRIEGDKRLRGETDAKRVESD GKIQLLRKAKRRYETLAKFGGAVEDDEDLLPDGMKRKDALRKPISGKLVVSLRSARDL NHRPLPRKSSKVYNETTVVIKIEGNERAVSHPSRVDKWHEDFEIYVDKANEVEVTIYD SVAPGDSAPVGMLWFTVSDLLEALRRQKVGIETQGAGWVTAAAAATLGPRSGAAPDSV TLHSAGTIRGRPPGADGKVPEGIEGWWSVEPAGAISLKLDFVKDNAGGQRRPYEALGR AGAVRKRKGDVYEMNGHKFVQRQFYQPIMCALCQEFLLTGEGYQCEDCRYACHKKCYP KVVTKCISKSHADAEGDEEKINHRIPHRFTPYTNITANWCCHCGYMLPFGRKNSVKCS ECSLTCHQTCSHLVPDFCGMTMEMANILLKNLRDIKTTQVHKKPVPSVSSSASSVSTL PSYHTQERIGAPQLPPIPQQPPVQAPAPARPPPGAYDPRYGPPPQQAPAPPQQQQPPA QQQPYPPQGGYDNLRPSGGRPMPQAPAQPQQRMSYGDQRTQEGYAQMPSVQPSPRPEP PRSYAPSPAPPQAQLQPQAPQQKMVSRPSQQQLARARKVGLDDFNFLAVLGKGNFGKV MLAEEKTSSNLYAIKVLKKEFIIENDEVESTQSEKRVFLAAAQERHPFLLGLHSCFQT ETRVYFVMEYVSGGDLMLHIQKKQFTLRQAKFYACEVLLALQYFHSKGIIYRDLKLDN ILLTLDGHVKVADYGLCKEDMWYGKTTSTFCGTPEFMAPEILLEQRYGRAVDWWAFGV LTYEMLLGQSPFRGDDEDEIFDAILEDEPLYPITMPRDAVSLLQRLLTRDPTRRLGAG EADADDIKRHLFFKDVNFDDVYHKRIPPPYFPTIGNATDTSNFDQEFTREQPTLTPVH TQLSAQDQQEFAGFSWIAPWAAAQA L199_000234 MPIPLTPSRSLSKRNKPHTLIREPTFYQEAYLASIPYIQTIGNQ LKRDWGFREIDEGDVARFQPFIQTDKDELLLNLISKSTTHHLIQLPLSLLSHPHGIQR HVRLSTFSTVLTSTYTHISPSTYDIPPLKDLRSFLQCLLPSSNALLLSLSPPSGTIGR CSGTSEDMCRPDEGRENDNENDKLISIDSWRQEISVMSPPPPRIATPSPQWLDRNEDM LRDTVGCGYYRRLREVAEDQKENENGYELVQIQEVLIE L199_000235 MRFTEFVPYPLCSRKPLFFLLGLLTLLTSVRGATDCDAIDPYED PKNDACNPFRYIPNKAGNIIVAEFFTHSAFFLLLCYIHRPIRWFLVLLIGSITHSIGL WLRLGIRALPHSIGFVVVEEVLVVLSPCAYLAALYVLLGRITQHLDGAKYLRPIKPEK VAEIFVWSDVITFLIQGNASGLLSNDNPTVVKAARYAILVGLVLQFISFLFFCYLVVI FFYRVRKEAPQLYRLRRWKRLYLALMFTCMAMLVRSIYRIAEYAQNHPGYLYTHEVYF FTLDCLPMWLVISTYVIFWPGRYLTDDTKIRPSILDGVPVDNTVMLDTLDEEGRRRLD SESAGQAGH L199_000236 MAMVNIRRDVEDKFYRYKMPLLQIKVEGRGNGIKTVVPNMEDIA RALNRPPTYPTKYFGCELGAQTSMANDRYIVNGAHTADRLRELLDSFIEQFVLCPSCK NPETELVITGKSGHEDMHRDCKACGRQNGVNMRHKLVAYILKNPVKKKEKGKKGKKGG MTAEANVGGPMVFEKGAEDGSGEDEDASPSGTPAPGDQGVPTTGTDIDDVLGRSDPVL GNPDEAEEVSKKLAKTDLNGGDDEDDEDADSPYALLGGWLEDNKDASDADIVNKIKEF GIAGKYKVLVEIGHHLFTDEVAKEIDARIPLLQALVTSEKHQKGLLGGLERLLALSPN SDALLAAGTTSKVLMALYQADILDEEFVKNWGTHVSKKYVDKEKSKKVRKSADAFLKW LDEADDESESE L199_000237 MPLEVTPPPFAASQRLPYTPAFARNDVQGGPGSGASSIGGAGGP ASAAAAAIIPPAPGGIADPHRAIPALAEAGYTAPNLRPAAISIDPRMGGASVYGGGSV YGGGSMYGGGAARGPPSSASYGYEPSQHHHQHQQHHVHSPLSVVHSDGWQANSLPAPR RGPPLQPESTIAPRHHHRHHQDDFDDGKSDASYYAMPKSHNHRPRSRSGSVSSLSDTS SPRELQNPLPGGIHRSRSINGHNRSPSLPPAIGHLHSPISPSPLAGGTGGLPNSIGKS ALSPADPDGLIRRHRDRASPGPGPGQVEEERERRPRIHSFTPANLDMEEPRARERRHS ALANEIERPRVHSHHGHGHGHHRHRSGSHLPVPPPSDYSYSSSYGNRAPSPPPGGALG LHLHPDGGSNGGGRRRANSMQGFDRERPYQFQAYQTPSIAGGGNATVYDDGASLISES KMTFMDGSVAGRTSQYGLPKYPHQPKMDYRRFCVQRGNADVFLD L199_000238 MGAWQSLEEWVEEGKSGPWSPSHPSDAQRESMVFLAFAFLVILI FWQCKIPYWYAIEKRKFRTVFFPVLTPFKLLTVLYHELGHAVVGMVTIWYKELRYGIP EGGDRGRIDFIMIDKYEGGLTKFGGEVEPIYSLTLPAGYVGSCLIGCWFLFTGFDAKW SKFGAISLLFLTSIATSICFFVKAKSGLINNWYYMISWIYKWVLFNEQKSRKAMRRHE NKKAERNESARYNHDNAEGPTEIDLHASQDLIIGCSLLVGLLLTLAWMWDDSIWLRFI MLFMGLLSALYAVWDIILDGIRYAKVAKSDIRYMAEEHNRKAKIHNKLNPERRQKRQR STKFYAILWLFTKTDMIILVIVLGYFVFRKTKIEQAIESRDFLPAKFRYGPSDLEEDV RIAGDTFKEGMGNLVGNGS L199_000239 MAPIYTRPTIIYPSLAVLVLAVLFPRLHILQTLLYTPIYISSAI FFAITYTIYRTIKLDNRSSSHSSAARIRHAIRPLKFTTPAAWSAVLTRQSWEEHPSPF TAIHKNVSAEVTSRLDSFLGLIKVHFILPWYTRISPSPAFPHAVEELIRHILSDVTGR AENVDWPDTMVLKVLPIVSDHFQHYRSIEHLSSSSSAPSPNPALPLPLPRKSHPALSS HPHTSSGISPSIEAHLRDTLATILARSLPEKDRSEVVLTIVREIVLGAVVLPVFDMLC DPDFWNRQIDDRGGRYLQEQKQVDKFLSALSALPASTASTSNTPLPSSKSRRKHKTPV TPSSTSISSESSSKQFDTFLRSIGKLKTLADARRLRSDVERELRSAKLALADELRQGQ NSKEGDRKLKKAEKYVQRLERAKIDIDRKIEVLSGQPAKPRHSLDRSPMSSMILEAAS TESVNLYSILSDPSSLAYWLEHMERRGRSRLVQYWLTVEGFKDPLEAAGLDSALDSTS QSNNKIPMSNGNQTVGEDVAFLYEMYFAAGQTGISIPLRHRQVIEETAQSGSTTLSAG EAQRVKHAVFASQKEIYEQMAEEDWPVFKKSELYIKALTDLKRAGVSLAPPTVEAPPP RMASPILDSSPLPRTPVVRPPATPAESSRSLLDILSPSARPRPTNKRGSFSPAIPPTP TASVSITSPFFERSMSHEKQLPLSKDIRKVSPGNADSKFSSQESSIPSTPPPPIRRSS HLDFLISGGESKENTVEDRGRLFGDDDDVNEDDEHYVEAQRIEAIQAALNEIIASDDM ATSKVVDITDDGLFSPQPKSPSASLILFDKTPKIEEKVSKLTSRSAENLKSTQKGKAG VISSAPQSRLPSVALPDLKPITRRRSIPRLSDSPEKANKHLFDDQFIDQDRISIDEEE VDNNVNEVIQLAAPGDLQLSVEISRLQDKIQELVQQDHLLDTLIRQAELTGNQVELRI LRRSQSSVRREQRTAIFQKAQFEQQEEENRLIPSRTRVSIPSSVITSEDGESGKQVVR YTIEVSQVDEDGKIRLGWVVARRYNEFYELDKALKDWAMERNDLTDELKSRMVEMPGK RLVPNLSASFVESRRMGLEKYLQSLLTSTIICDSPLLRSFLSRSHVPLKAGSTSDPMS SSTASLVSLAPHNIVKTLYKTMATSLDDALLGPSMLDMMYTTLSRQLVDFGGLVGLGG EDLVGLLPSALKGGQYTPQWMKNDAVGTGSTEGRIGPMGGESGMTSFTGPICDLFIEV FDLKENNWLRRQAIVVILQQFLGSTIERKVRDSFRAATSSESIERIVLNLQETLFPGG ERRSPSIERTEQEKLETKIRASKKLGLLIPDVAANMIGRSNARRAARRVFGALQDTRL NQHLILSIMDEILNAMFPPR L199_000240 MSVRALLRAHADFVPVDLPSQSQPQHVNEDGFEYDPNEPQSGEG VEAGDEESEGGEEAEEVNQEIGGEEDVEVEANEVEEAEGEDEGDIQEPLLSIADSLVL PYSLTQTRLHHLTSLFPHMFSHPPIPSHSKPRARPPPKGSFQFPTPPPPMQPLPHPEY HGPTIPVESSTPGPGESSKYQEPNDPSSTSTSNVKLSRSARSKKTNDAEWPAHEIECI SRCTLSIGPISFPETEIWTGRFVEPRATQPKKERAKPGERKKRELLAAEEGGIVKKPR ARKSGTEGHTPRPRLLAKSSTPISSPASTPNRPPPPPARPSAYRPSPVASAQSARPPP VRPSVSAPTRPTTASPQLIQLVNQAATRHAWLSTLIYKAAGSTANQVELERLGKAVAR LSRGEPIEDLAPPVATAQAAAGPGPSTAAQAASSAATPALKPASAMVPTAAPTASGTV SISTPGAPAPLSGSTPSTQSAPKIVLSTTSSEPPVPVTANSTSKAEDSDSDDEVDMTG RPQVGGGPLPPSSELGTANPPANPDSNTSVPLPEPSSQSSESNTSGPVVTPTIPASGI VVDPKPSASQTSTAAVMSTPETSKLHNPTIPAPQVSPTDINAQANKIHSATSTTIVRT PPVPPFAQPSPVERPVTATPPPPPPTPPKPTYPLPPPFLLVAFKEQATEKFLLPLGQR SFISRVGGDYVTAPRPATPEPEPEPEPQPISQPPSQVSTEGPKLETNLSAGPLTDTPV STHTPVVVNDTPSHSTTPGSLAVAGKPLRSRTRQSLGRHAKEPTISTPSEPPKEPTPA PEPTPPTPYEPESKPKPESGLPPLPGQIPPPGTVLLSTFIPSGSSRWEKVDWEKLKER LPFDNPIFWDKPLEPAIEVKKESVEDATTTNVSTPVQPQPTRGLRHPRTNSDSTKKAT QEKVKSVPDKLELLNLAVEDFKPSQGDLQPVTIRLMGITDEVWKKVKDIMEMAERYEI ESMFRKEPGLLEGVDMDSIADNDKSSDLGRKQSIDPPGNHAPITPSNKPSSTFRGLSS KMLSTLRPMYNSRKTSLFTNLLQRVPTRSFLTTRLPPPPPQELVEATSDKWAPRPYPI TTKPLYLPGGEDDDGEEQEYGREIEFSPPPANKKRKGAAGEEEKITFELPVSYELLDE RLEQGLKRDMLNPRNKRGRKSMNKSATEGGEGEGKETKKSKKKRGTESGICEGCGKEG IKVWRRGPGGRGTLCNACGDLFVAKQLPPLKRPGAMKTLLGEEEDDNDDGNGDEKEVD QQQKEDGQNQMRCEGPDRKEETTEKEMDKQPQLQDGSVSEHDKVPDGFAIDDSMNVNT TSTSDVDPSLPVSSQPAVESPRIDNRKDNEPALSENQPEPEPDTSSFKEPGITSIPLS HEGAMGMGPDKAQEQESGPSISGRTFENGVDLSVDDSEKKDGEDKVDLDV L199_000241 MPSDDRVKKTDYLGYTHSGPQQHQYQHPETQGMNRNYLGPDLGS THLRDQSIDVPDEAYGMEDTSMMRDTSRSPLHAPQGGNGDGYPLGSSQPHVAPPQPAA TVPTPGTGTSTPGSRVHYPPSPYMARGDAYTTIPLQDRERDRSREDSPNRSGTNTPVG SGNKKKHWSFLPGSSTTSLETGTLHEKNMGSAGKRPRSARGTSWDLLGDKAEWEEFNP KNASVENLKFAEGDVGTNKLSRFYYWALNRGIAVRWAMYIIPIMALFWIPGIVGVTAE PDATVWEVKLIWWSIWLTVVWGGWWASTAVFMMFPVVFRNTVGAIIPTAKKYTDVVRA LGKFAKLIFWILAVWISFTPLVINHYIGDQSANSRSNLTTMANILFGLFLCSLVLGAE KLIVQLIAFQFHQDSYEDRLKEQKFNLKALTVLYTNSHDIPGRTDTLSDAASGKTKAS QVPKVALRKALRGLKDVATTTTTALGNVASEMAGQSVLQTNSPANKVTAALNSANKSK ALARRLYYSFRAPGIDHLDISDIARFFPDLETAETAFAIFDKDGNGDATRDEIESAVL GIHRERLSLEASMRDLDGAVRRLDDIFMVIVLAIVVLILAAMVTTKLTTLVTSAGTFI LGLSWLIGATMQEILAACIFLFVKHPFDVGDRVTIDGEGYTVAKMQLMSSSFKRLDGK YVWIGHNVLSTKIIENIRRSGPISESFTFEVAFDTSFEALQVLRARMLKFCKDNSRDF LPVFDVIVDDIPAQGKMVLKADIKYKSNWQQGALKVQRRNKWICALKMALADLKIWGP AGAGNPEPDPAEPVQYTLVPWEEVKGAAAPATSSKPESPPPTFASATAVPNLMDQRGV VNDSSQDVFDEQDELNNQSLAPSRVGTPGPSQVGMRSRHAQEQGDIEMTSAPVARRV L199_000242 MQATPETISALSTYLSSTVSPDAHARRSAEDSLRQGETQQGFLL IVLQLVKSNEVDMIVRQAGGVYFKNAVKRLWSGEEETQIAPADKEAIKSQLVPIMIFL GTSQTAKLQSQIGEGLSHIATLDFPAEWEGLCDELINSLTPDNFVINNGVLATAHSIF KRWRSQFRTNELYSEINFVLSRFCQPYYQLFQHVDQLLQSPNPASLPPNSSLQLLSQT LILLVQLFHDLSSQDLPPFFEDHMDEFMGWLRKYLDWEREELKGDEDDEAPGPLQKIR ASICEISELYAQKYSDVFTQLGTFVDGVWNMLTRIGAGTRDDVLVSRALRFLSVVVKM GNHRAMFQAPETLNAFCEKIILPNMAIRQHEEEMFEDDPMEYIRRDLEPSTESDTRRQ AATEFTRALMELFEKEVTDIIKGYITALLQEYAKNPTDNWKSKDTAIYLLTSIASRGS TQQLGVTSTNVLVDVVEFFGQNVFADLQATPGSVHPILTVDAIKFLHTFRNQLTKDQL VSVLPLLVQHLNSDNYVISSYAAITIERILFIKVERQALFTQADVRPFAENILMALFT NIEKGGTPEKIAENDYLMKCAMRVVITAKQSLVPSHEAILNRLVAIMGEISKNPSNPK FNQYCFESVSALIRFVCDGQPAALATFEGALFGPAQHILTNDVAEFIPYIFQILAQLL ELHPPTSLPASYQALTGPLLNAQLWEQRGNIPALIRIWKACLQRGASSIVAAGQVQGL LGIFQRLVGSKINDVYAFELLQALFEFVPLDVMQPFSNTVFVLLLTRLQQKPSPQFNQ SFVYFFAFVANLDTVGPDFLISVLEGVQPGLFGNLLSGVILSNTQKVTTRNRKLVEVG LVKMLTKSNKLFENSLKQHWVSIFVSLLDLFTLPQDLTYAQQHAVDGGDLTELDPEEA GFQSSFSKLGASETVQRDYTAGIVDTKEYAIKELGRRSNEKPGVIPGLIEAAKGIEKD VVDGFVGYATQNG L199_000243 MGINLHRIRRPSPSPSTSQSHSNSPPPTPSSIANGRSGLDTSRP ISPTIGNHPRDGSVDTSNQSVLQLFKEDYVSCRIYMKEMDYREALRKALRRHMYKWYA ILVIAIVLTALITSKHETIVEFCEPVTRTIRDWPGGWLIPTAILIVVSFPPLVGHEII GILCGLVYGLWVGFAILAAGTFLGEIATWIAFKWCCQGRAAKFEKKNKLYASLTQLIR EKSFMFTLVLRFSAVPGHIVTAVSASAGANFWMYLAAAFLTLPKQFTIVYLGKAFGTQ SRKNTIISIITTVLTLIGTVVAALYIYYQMRIVMRRNAAMTLPTTIVESETSMTMSEF QDEKRGSTALDTSNNLHARRPWLYTNPSTISSVNGSGFRTPTRSWSMPGHMNEEELRE WVKEMEYEQSANSQLNGLSTPAIKIDDELGTNNATAVFDNLPSVFTPSLEPPQFNFST RSLSPSGTSTPAYMETDNLVSTSVEDLNTNTTTYPPQKRGESSTPKPSFDISVTPPRK VKGGREIADESDLYAISKGGKRPEYGRMRGDSRAALLGRSGVDDTILESSTSPSWKRD YTRSRGDSGVSLLSRPTSDDLGDALVSWKKNYANGGAGARGRGDSGAALLGRPTSTDL DTNRNSLVEERRTSEEDSKGEEVLKDDI L199_000244 MSTVLITGTNRGIGLGLAKAYAQQSNNTVILGLRNVDSMPGIEV GQGVKLVKVKIDSGDIESAKKAMEEIKSQGISKIDLVIANAAIGDCFGALKEVDLGSF EEHWRINVLAPLALYQACVPLMPEGSKFVWMSSGASIIDRVPDKLDAGYGITKSSMNY LARYAHFEESSIISFSLSPGWVQTDMGDRGARWSGMEKAPITVDESVAGIVKVIGEAT KDNYSGLHMRYNGTQSKW L199_000245 MSSLPPRPKPWETKSTSAVPLSNSEAGPSTKPATAALSSSAFDN ALQASSSNSNTAPKLPDRPTDLGGSTSLTTQPYAQNRYTNSYGMSPYGGIGGMSGYGG YGGYSGYGGYGGMSRFGGGYGMGGYGMGGYGVGGMGGPGEGYPTLTSSLQASTAPAFA VLESLVTAFTSLAQLIESTYMATHSSFFAMVGVADQLGSLKTYLGQVLGVFSILRISK KIINWLKGKNNTKGNNLINGWANEWSNAIPNSPGGTGGGGGRPSIKPLILFLFSAVGL PYLMNRLVKLLIASQQQQQQQQIQNEGNGGILDANGNIDPSKLEFARAKWEFRSSEEW ELNLARDEIVAIIEKRPPASASAGQGDGGAGVGWWRGRTRDGRVGWFPGNYVEIIKRR ENGNTQKSV L199_000246 MAATKTMSQPLATSSHIKIPAPKLNVIRNPSGRVRQAVRDNNVS LLSRLQHKNDLRNTDKNRLTSLSWSAIEGSLEVYEWLLLDYGHDDQELSRDADNNTIL HLLASIPSLSTSPFQHHLSAHSTSLPTKVDTRSYEVKSNISLRMTELYFTLFPFLLDW SNSGGKTALHVAAQCGNWRFIDLLCSLGGDLNLTDLQGNTPLHYASAWGHLDTIRVIL ENTTTGSSRSSSNLLAIRNFEGFTALDYAYNAQILFEIQNIQRELYQRRKEEKTNNTN FNQNDSGPGNHNRVRSTSLTSSASNSNSNLNSGSYSSQPGRYIDQSQIPLPPLPLPLQ GLGERSSSLPMSRMDSSSSQHGSTVSQRSVPTLAAPAPANPQTVLGRPTPPLPDLPPN EGGRPINNRSPSMPSSSSSTATASLMKPQGSEKGLPIPLPKEGVMMGSVMRRANSAQT GGSGSGSLDFTSNRKDPRI L199_000247 MQAPQLRLNERQANPNPYIIFIRSLLNNKDHKDAEDILKAVAAQ MKTIMRERFMQVHTLEEAAFNRVFAGRNWNHGQSIELVLRGPSGRFLPMPYIISVMCH EMAHIEQMNHGPKFQKLMAEIKADVSRLQSRGYYGDGFWSDGKRLKDSVRMGGEGYRA SDFPEYVCGVSASDARKAKRPSRGPGSSRQPGLVKGEASHRSGRQTEYRRKAGRKNNA DMGEGGSRLDGGRQITKEDREQHKSYVDDMTQVLLEQGLSMNKAKKRAGEMWEEDNPW WKEGSTRGKVAKSKTAAEMRAAAAEARLRALAGPSTSNVAKPLTTMSDDEGEEEKEDV KLVFDEEDEVEDPHIGIEERKKEMEDEMDESEREGLRGGWEEYINPPQTMSTGESSRR VKRERSPTSTVDTEATSSKQPKSTPGFGAAAVRQNRLKALGLAPTPISSKGKVKGRTL GSTTNDTISLGSKNNRKPDVSISDQLSSNNDRPGGWECKICTFINMADHGRCEMCQAR PDGAMPNDVII L199_000248 MHRYEPYPPSPHHPIVSSISASYCRKDQGPSNISHPPPPPPYTT KPLAPYPPIPEPHITSKLAQSHSQSQAQHSRSQSHSHSQAQSGWKIVSNRTESICQWQ GCIEVFRSRDECERHVLVGHMGAFSARCPFDCLFEGPSFPSLMAHISRRHPKATPDDF IPGLIHYQPTLPPLSRLPQLPCLTQPHGYQAFEPILPFRGGVGSRNRKMVQRNCFKGR YPRVEAYEDKRGAGAAIQAIIENSKRLKYLPPKPAVSFKVGGEREDEEEEEEEEEEEE ELGTARTMVQLVDVIGSAKLASEQAKKEFSDGIDYSASSDSSTASRRSSSAVSDSTSS DKAEYDTEQDMVNPQMKVKRSQRLRLKIARRDSDSSFGNSLYSEEC L199_000249 MFSRNVKHPQHGKTKRAYSSDDSLSETERQAIHIELEEMTLHPQ GRAGAVGRVQPCRGAKRKAQESIDNAYLREKIWRDTQEVLRISKRRKRTELTERGLST SGLWKGREIVASQSKLDVGHSSEKLIYANIRRGGMNLGKGEGESRQAEGVEGDSETDL EDCGEVLSQESRLCDESVQDTEEGLQGEGEGEGEGEISASDDNDDSEDRLELPASYDL TENVSVDVDDPLSTSQDEPSCTDIQDESDDCEEREGHGIDQDEILNGDGSNPRHEESN SSNLSDLPENQTEEESSESQDSGWPDVSQSQILTGGMLLPIEDPTVKGEDQVRDRCVF CLNNLETIRRDSIQSALDPILVIWTCDQCQTDCTFTWVTSNCPKRGRRLKRNRKCPIC RYRWSSFDILEQARTYNPVLYHLSY L199_000250 MTRSVREIVHLQTGQCGNQIGAKFWEVVSEEHGIQADGSYKGTT DIQLERINVYYNEAGSGKYVPRAVLIDLEPGTMDSIRGGPLGSLFRPDNFVFGQSGAG NNWAKGHYTEGAELIDSVLDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIR EEFPDRMMCTFSVVPSPKVSDTVVEPYNATLSVHQLVENSDETFCIDNEALYDICMRT LKLNTPTYGDLNHLVSVVMSGVTTCLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAP LTARGSASYRAVTVPELTQQMFDAKNMMAASDPRHGRYLTVACYYRGKVSMKEIEDQI QAVQTKNSAYFVEWIPGNISAAQCDIPPRNLKMSSTFICNSTSIQSLFKRIGEQFSAM YRRKAYVHWYTGEGMDELEFSEAESNLQDLVSEYLQYQEAGADDELYGDEEIPIEEEE V L199_000251 MITTINNNTNTHHIPNQSISSQTDRSSSPILVSPTSPPLVALSP SHSHTQPVPVPGKSQKSVKNKNKTNTKPRKSHSRKSSSSSTVNSGTRFPPYSTGGERQ CTNCSEVDTPQWRGTLCNACALWKRSRGTDRPLPLLFPVKRRSPTPEEEEEKDDDRSD DGGIDHRESSPTIVGVNVQRRFWVDERRHRSTSENTLGGQLPIRGRDRSETVIRPRPR LGMGIVRGCPPGRGVVHGHGRTMSYQPVIHHQRQLSHPFPTLNLSIIPPSSNNNGPQT GRIQGVHSTPVSPTHAKDDGLPPSNSNDGQQAEFGVGLQSRIAALMSQVKVEDKPKRR NSIPSIGVAFRSTSLPGDHPGSHQEIEEVRSPYLPYSLDKKGHGANQSRLKAILSRME NQQFTVEQDQSENQNENESILGLGISKDEFMRNAGWLYDVLESTSRLLRQSQGQGATT SSADQMDVDDDSSSSSCSRRVEEEDHGGLDILGELAEEELQKKNR L199_000252 MASGPAAEEAKLLTDVLGVVKVQSVQLKRCLEQDQIMEALKAAS SMLAELRTSSLSPKQYYELYMSVFDSLRYLSNYLYEAHQEGKHHLADLYELVQYAGNI VPRLYLMITVGSVYMSIPDAPVKEIMKDMLEMSRGVQHPTRGLFLRHYLSGQTRDFLP VGTSEGPGGNLQDSIGFVLTNFIEMNKLWVRLQHQGHSREREKRELERRDLRILVGTN LVRLSQLEGVDLDMYRTIILPSILEQVVNCRDVIAQEYLMEVVIQVFTDDFHLHTLTP FLGACAQLHPRVNIKSIVIALIDRLAAYAAREAENEDPEEKKKGEEEAAKRLAEKIKS GRGKGKNVDKSDQTQPKPATPKPAEADEWANATPATDLSSPKAGTSSKPTSPVKENGE SSTSPKKNGEEEKDETKIEEKPKEEGVKKFRGIPEDVKLFEVFWQQVVELIKARPDLP IMDVTALCVSLVNLSLSCYPDRLEYVDQILSFAHGKVIEYAQNPDLHSPPTTANLLAL LLAPITSYLSILTLLAIPSYIPLLYVQPYSTRLSIGQAVVSSVLKNNTLIDTSEDVSG VLGLCAVLVKDQKDSTIGGGAPTRRGGQQVDLRELAEEQGWVARMVHLFKSDDLATQF ELLQTARKHFSEGGERIRFTFPPLIASGIQLARRFKQREDVEPDWETRLSSLFKFIHQ LISILYHKVEAPETCLRLFLLAAQISDDCKLEELTYEFFVQAFVIYEESISESRAQLQ AITGIISALQTTRSFGNDNYDTLITKAALHGSKLLKKSHQATAVLYASHMWWQGDVPG REKDDKPSFRDGKRVLECLQKSLRIASSCIDELTSVQLYVDALDRYIYYFEQGVEAVT PKYVNSLVELITSNIDSVTNGNGDVHPSSAGAGGLVEGVGEGEMIVKHFRNTLTYIQG RQRQAADASDRDSSEAANEGGEEKKNVDWESVDVIGGCLKMGISR L199_000253 MVSLDVTETSKSLAVAGGYVSIFGIFSYFVKEKLFMSEALIALL VGMITGPIALKWFDPFSWSDDQDYLTHQITRVVIAIQVLFTGIALPKRYLKKEWLSLV TLLGPIMTTAWFVSSLLIWGLIPGLTFLESLVIGACVTPTDPVLSNAICKGRYAEKNV PLHVRNIIVAEAGANDGLGFPFLFLALYLILIHEPDHPRHSIGGAIGEWFYNILFYQI ILSCLIGAAVGFIARKLLKFAKQRQLIDHESFLSFGVALTFFTLGWVGIIGSDDILCC FVVGNSFTWDDWFRLETEDTGFQDVIDQLLNSAIFLYIGAIIPWSDFSKYSLSPWRLV VLGILIMLVRRLPWVWALSRWIPALKTTRESVFAGFFGPIGVGAVFYVQVALDVIPED GTREHLRQVLLPIVYFLVLTSVVVHGITIPIGKGGFKLARTMTMSGSRNATGANDGMV SRLPPPVELPSRSQTQSISTSGHDGTSMTLPLPDHKVGQPPPEFSADRDRIRFGDPDP ESTTAATMNDHKTPIGILSRRNSSENVGDTEPQEAKVNGDRLSATDISGSRPGLGENR PSRIWVEGHDIVEESEDGETVRVIRGGHGDRHGDAHQRNKD L199_000254 MRSTSVQILFAVVLLLTSLPFSIARPLENGKLVVRNTEAPTSSV RGTTDNAAAPTSGILSTSTVTNFHEETSTTEIDSSASATISDSGSVSFGNHNSEDCTD SHGFPGGGGFGGFPTGFPGGGDCADDSGSPCDCSLPNATPLGSPTSTGATSNAAVPTQ SDVGCADASGSPCDCSQPGATPMVSDTSVPASQAGTTSMAAASTSDTVPTSDIGASTP SSDIPIPTSDAGGCINSNGAPCDCSQSRATPTESASTGVPTVPAGETSNAASPTTDTA SATAPTSSQSHCADQSGTPCDCSQPDATPIESSSIPSDTTSMAATPTSDVPIPTGGCA DASGIPCDCSQPGATPTSLGGIGAPTSLLSGATSDAATPTSSEAMPTISVSEENCSES KAYSNSTGSVTSSGPTPSQSSSEGNTPDNSGNYDQ L199_000255 MIHLLSLVLLLLAWGVNSATVNRYPQQPPRFVGCTASVVSQLAI FTLSNTYGRTEELAGYCAVTCGDNGYQYSYWQLIPSTYQCKCSNTAPVSTVLDQTSEV YQVGTTPACLSNYDYNIWLTRSNYNIVRCSNTTITTDLASQGTKSSVLECLQACSNFV YDSGVSVTPLPAAQGGGYECSCTRQAGESIAYSSEKVCEIGTAMIYKTVDNQVLPSGY ARRALKEKLKKARTVGICPEGFEACKIGDSPEGYECIDTFSDLVIESCGGCTSGKFET GQISGTDCTTLPGLADYGVTCFKGSCQAFACEEGWTLASNQTCIAP L199_000256 MSEEPDTPSPPRQAKKNAIRKIDKAVPKEKKYKKKRKKENQAAK ELRQRYRQLGQELRLARQQVKQERHAVINLVDDDPEEGGRDERKKEEREAEVVPFECV ICSEEIMDVLSEAISEGKGGVDGGLAIWSCDQKDCGVLFCISCVIRYITGAMARTNCP ACRREWNLGMLRDQARAYNPDLLGEG L199_000257 MAKSNRKKRPPPCTPSPKPSITKPKLASASTGRIDYSLLSHPDQ AIEVRNEDQEDSPARNTRSKVTLSQFSSGSDVVFLSTSKKKGSPAPKKTHPTSYAKAV NPIASSSSSSSKGKSRQQIPPSSSTSNTIDSHHVIAQKGTSSFTLTTPQASHSHQEIE AECIICSEEISEILAKAEKEGKGGIGGGLGLWSCELAGCGALFCIECAIACIDRSSSK TPLAKPICPACTREWNVEEIRDQAKAYDSSEYPASAPGQQQSQGSADTQLAVHNASTN SNPYNVNEDRRRLHLERNPIGTPNSARPTFTWNIPTIGNHPINHPSQAQLIPFVPADY NLAPILPTKGPAVPPTSVDGFVQVGNGRMPNAATPSREAIRGNGKGWVRGDSSRNAAG SNNRYVQ L199_000258 MADPSTPKIAPIKIKLSLGSKLATPAPTAAPSTPTLTLTTGRKR KELPHDNDQNEGVPISTPAGDDGSKRKKGKSKVPISTPVSSTPVHEISLNEEDENHAG PSRITLPTSSPLIGHQPIPNESPLTAAPSTPTPSTTRGRPSKSNTGTGSTTKKKTQTQ SKSTPRTSKSNSSKGRKSISRPSAIPSRLLSESISTPSRSSLPLVQVSSEQSSPFETP SNTNNSSEATSPDPLSLSNPLSPSAYDVELPNVGTPQNGLGEDTQMQTPQSSTRGHRT GGKWMRIKRPLKELLNRTLAEMRRKDDYALFEEPVDLEAFPDYLAVIGGEDKMMDMGT MQRKVDSGEYTSVEQLEVDLHLLVNAAQKFNPPGSIPYNSAARILTIGMKHVERSKPL VLTPSPSPTRESATPFGSRGRGYSVLSGREGTAALEDSLRRAEEIPPLSYIPEQMLDF PPNSLQALAVGWNLNGGKRVHAKRIVRSREKFSGKWRHWEIDGTRDIAEMDDPTLLLE RWKIPKTDKLIDWKETRNAGVGTGTGQWWESDTNLSGPSTVAGQPPIPYAPYNPRWDK VVEKELGFEKWGNDHEIAEEMKYLRRRMGMVNEIEDEEVLSEHLRPIKRRRANSRNDQ PPPPATMSLTNIYEDTSSKFGRNSIDWIGDMTTGGSTQGEAYLNSVMRFVEGAMKGAS TSGSPIGSKVNVNEEETKPVVEDQTEQEDSIPLDEYVLEQWHDGILSKSKARTIYRQT IQDLSKPLHCRSEWIRKMTSDAYARIALREMTLPTNPLDIKPLLRLENDFLHQGVGAR VGGSQGIKMGLEWIGGEIQRLDKEIKEKERQKMANEYDKRKKQGNGDVDMDDIDNKKI KLEVQNPNGIEQPKSTVSSPLSSAPASPQSPAKPVDINPPSNNNTSTVFPTPSVDPGD TASLRKLRLELVALAKFYPLPALKKMRDYEAAKLLPFNVRSLMIVPEDLKKVGNTNTT GSGGGKMKSGK L199_000259 MEQSHRSHHKPSAGNKHAKKDAAKGVDRTGGKGFNPKAFTNTSF RAADRAARRTAEKDQRRLHVPLVNRNPEERKVTSAKGQGMDEGKLPPPPIVVGIVGPP GVGKSTLLRSLVRRYTKHNLNQPQGPITVVSGKTRRITFIECGNDLNSMIDLGKVVDL ETFEFLNILQSHGFPKVIGLLTHLDLIKKASTLKDTKKRLKHRFWTEIYQGAKLFSLS GVMNGRYPDAEINLLSRFISVMKFRPLVFRNQHPYLLADRIQDMTPRELIRENPKIDR TITLYGYLRGPNLPPRHAKIHIPGAGDLEVKNVERLSDPCPLPTLESERRRKMGEKAK LIHAPMSDVGGVMYDKDAVYINVPGSFTKGGDAPQGEGEKMVMDLQDANRTFADGIQN SEIRLFGHSSAPLEVSQQQRVRRAAAPRSGGPMLGSAEDEEYDSDEDGEDFEDEDGEG MVDGLDDESEEEEGDVRYAESDSDNDDLEFATGFEQDGKIVNIDDEDVDGEENDDIED DDEEEDDEDVPQWKRNLSDRAATSFAERMGKRRDLMSLIYNSELSPEEIAAGKTRPSS ADAESSRMAQGDDLFQISREENKGDEGDQLKVPVDDEALKAKWDDEEMLNSLKEMFIS GPVGEVDAEGNPYEEDGEGFEDLEDGDDDEENGDGVPYVGVKPSAADSGLDHETARAQ AAAKKQAALKAKFDEQYDNDSDEDGDKMDFYDQQKNEMAKQRQLNEDEFQGIDIDARA QIEGYRSGMYVRLEITEVPCEMIEHFDPRFPIIVGGLLAAEERFGYLTVRIKRHRWFT RTLKTNNPIIFSLGWRRFQSLPIYHLDDHSIRNRLLKYTPEHMHCYATFYGPVSAPNT GFCAFNSLTDDSPGFRVSATGVVLDIDRSTKIVKKLKLTGTPYKIFKNTAFIKDMFNS ALEVAKFEGANIKTVSGIRGQVKKAQSKPDGAFRATFEDKILMRDIVFLRAWYSIEPK KLYNPVCSLLLADKGDWRGMRLTGQIRRDEGIKTPLDINSAYKPIERTTRRFNTLKVP RKLEASLPYASKTKAVAPQKKPTYLQSRAVIMTDEEKKAVTLLQQIQTLKKDKVARRK EKQEERRKEHRKKVGVVDEKLQAKLKEEKKERFKMEGLKRKREEAAAEGKYGKKRTRD L199_000260 MRLLNFVKRLITKPQPESWDPETTSECYICREDIFYLVSSDRRY KAGQAHEGMCLWICEKRGCGSVFCMKCAIKWSARSKWTVCPACTRKWDKIALQRQYDI YKRKKRDAIGEKT L199_000261 MLIKPLLFASLIGAFWGNDGPANADVAYDSNSKDSSKTWFPSVL LSIAEKDKSILQDAAVDLYDGKEDNGFQTDKVKIKLPKPEGGKQEIEVSYDDALKLDK DVGIQGGYYWWPAAFRYAINQIQGWKGIDDQGYLTDEGDPEIGFNMLTDKKVEIKSPN DLDDVCELTYIGKFIEDNSISKSADDQDKAPKISIVLSTNDKVTSDSGLSKNHNYALL YTDDGNKIWLKDPDANVGFKNPKFDDIKNSIDKLYLVGDAIEGL L199_000262 MAAGLAYDLILIFWRIVINIFFREIRPRGAFNIPKEGPVLFICG PHANQFLDPLLVFSETRKESGRRVSMLTAAKSMQRKFIGAVARIMDGIPVARAADYAK AGKGRIVLSETDPLLITGINTAFTSQVKPRSQLVLPKSAAYASATVEEVISDTELRLK GEFVIPSKDGSANVKASTRVRSEGESKEGLEYKVLPHVEQDQTYGACFQRLKEGGCIG VFPEGGSHDRTDFLPLKAGFSIMALGAMAQYPDLDVKLVPVGLSYFHPHKFRSRAVVE FGPPQSVDRELVELYKQGGAKKREACGKLLEQVHDGLRAVTLRAPDWETMQVIQAARR LYRIPGQHLTLGQVVELSKRFMEGYLAYKDEPKIIDLRQKVLAYNRLLRDMGLADHQV ERASSTSLKSALLLVYRTGLLLWWSMLALPGAILHAPIFILAKAISIQKAKEALAAST VKIQGRDVLATWKVLVSLAVTPLLYIFYCILATFLAYKYDLAPAWRHWTPVAIFVLLP GWAMATLKFGEAGMDVFKSLRPLFLSLWPGSLREVNKLRQMREALANEISEVIDEFGP KMYQNFQSARILPSATVPQTGRNPGMFVRKAQAPDSSVLSHPMLWLDERIFGWNRSAS VGQSVWNTTKLDKTRSEPSTAPTSPAESDNEEEADVDYDDVLAIIDTRRAGPGSPRGR RRGRSYHDLTQLKSETSSSATSPVIDGVPLPAPDDEGSNRLHKRPGAGFKKEEEGDGV GLGLTGAETKEEKEKAQ L199_000263 MLAARRSGNLLRSQATSAPCVRSALLAREYHGDPGPSTRSNRNQ QELRSAIVSASKTGQQVSSSGRHLPKKLVNADRQILDGIFDYNQYKFKSPKAFRVGAK VQQKDVSLPLRLPDTRFSKTSYGHGGGRGGEEDAYSTSMKLRKLIEKHEKNSNGSKKG LTDLQIEEAVQIVISAPKNMVNTPVWNILLGFVGKQRRLNWMWSLYNDMKKRGIKPTT RTYSTMINAYSRISHSGDISAEYELIPVKELTHSRVTILFEQSQQHIKKCMNASALLQ EDLGITHSTSVPSGSQVKKEDQSVNNEFEDEINTSPTNAYLKYLGRHGLWEEMYQTFL SMDTTGPLSPDSITYTTLFASLHHIHLVRGRQKSADPSIVHKLIDIGPVSRGIWDQCQ RQFAKTKGERDRSIDNELFSHALRCLIKGRPEDHRFAISLVDEIWGLPPPGQSKLAST STSTSTGSTPRLNPTVQSATALIQGLLRSKQTVLASHYTTLLLSRKDIQTSVDLYFLK SAINALSETGDIGGILGILESYQPPTGSEGWDISTYSSALQGARWAGDFPNALKIFKR ATQISDDVENTSPADKSLTAEKGSGEGYIRSTPNGQPVDSRGIRWIKPRPIVPDTNLL SILLKISVGSNNEAIKKVLNIINHFGAERLFSIPNSSTRHASENKRSSQDDEGGNHNG ESTLLIESTPQTLVIGDRKSSASLGKMVDFAKNIVSAIERLHGSQADEYRGIKEDMQK IVKVWDGHVYGATRKDEGDGVKRHRGGRNIDADRKSRSGADDIPKRNRRSEIDRGKQG SEWEDEDQYIGKSTRRSSSRRDENRNAFRDGERSSRRDRYDEENRIPRSSDPRSRRND WAPKDMSRSRERGFDKPERQFPQREERRSSGRDGSKVRGGQPKKVAFGLKK L199_000264 MAPRNTSPPTYEESLYVASTSRRPLSRKKKVSQGIGGDVVGSMK RGLSINDSQSKRKEGRLKLLLSIAGVLFLVLTTIWIVRLNKRINDKGGWTNLYQVISH RISSSSMPIAQDREL L199_000265 MSHLDLTREWLGNVLRPYQAKERIINEVMKILSERKTLSVKTDA FTFDSGQTALLLLLHGTLPINYRGATYHIPIHVWVSHDYPRSPPLLFVVPTKEMGVRR SKEVDPSGRIREEVVQEWWRIWPTQDLETILRHLTDIFSAAPPVYAKPPEPSSPAQSS RSSISSPSQPRSQPQPQASIQGQPPPPPARPGFGPPLTQNPDVLPQYRPAPTPPPHSL AQGSHSRQSSGTYLGTPPQHPASPPVPNRPARIPQPQPQSPISQAVGPMLPQRPYIPQ PQPQVQSQAPLSFPSQQPMSPLRSDAGQTQGYPNGYQPPAQGYYPPQMNNQSQQPQPP IPLHPRPSHQPQQPQHPPPAQSLIPPRQPIPDLLGSPEPSSLSLPATTDDPSIPPPLP PSKPPPPSLQHLQSILLPHLQASLPPLIHSLQSTQQHLLERKEDLVSGEPAIKDEMAR LEAVKKVCDSTGRKLAEVVQKGEDRVNELEGKGEIGVDELVCGISIVHNQLIDLVAED NAIEDTIYHMTRALDAERVDLDRYLKSIRSLAREQYMKRALIERILQGMGQTQGW L199_000266 MSSLWIADSSPLFFYSPAEAYFAGQNLNSWIGNQGPYQRSGELN VTGESSTYHSSFGKSAVVLPSIYATSFTPTFSASSAYNVTIQIGSWDPEPWSSGRSFN FTNGEFEPQTFTLTFDCQSQNQEECGEVDFLGAWVETRFSPDGSQIDSVSMDDTSPLI TYEGFAPIDQNNKIVNIDSTVDYQQTLSMTSTQGAEATIHFTGASIFLYGVTCPSCGI FTITLDSSSTSATLGSFNNATNHDSLLFFTTNLDTASTHTLVLEAQGGVVLDKFELRG PKGGVGFIGNENGTPTTLNPSSSSTPTSGNTTSNSNNNDNSSTNGNIPSSQSGTPNAG VIVGAILGSIAGLAFLYFLCRKVSPKFKKKDTKKLNPWDEANLLQNMKNEEVHVTTAA NQRYVYPGLIAHSDLKK L199_000267 MSSSSPIKPPSLPSRSSSQLSLDSHLSSPRKRYEKTAARPSLDH NGIKPPSAIYLGEEAGSGPVTNKRYSGDYTHDDHPHLRMASGFASVHVGIGSPKLDFA RRDWPGSSHKRKEGSSSTETSPEVRQEGQITTEHSKEGRDLVDRVSGLPSPPGTESDI AAPNNDSLNGESSEKVPSPVKSTSSSTKSPTKLSRRTSSTGSAHLIARRTSSYSGSST HVPDSPRLRTHALRPKHSPSLSMSRSGSSSSSLIASPSMAANRSINESTRRGSAGLSR TSSHSSSLSLGGNASAGGSSSRKAPPPLDTKRANSPEERISSPERTAKRLPPVSSGIE SMNERRHSRRSSRDLREEIVEEEPTKASAGMSRTRSQGSELDDKIRGAEERIAQAALH RRRRSIDVEKNSTPLRQPIRRHDSYTRATGSLIVNPPGSALRRTTTLSSNHTDSPSES IAKRKDDSHETPEKGEDDRERSGGSSSSRRRKGLPAEFRNGSGSLFTPSPQKPKSSGI NDQPGSARSSRLRQFLGSPSDYPSSSPIPSRLSTTSRRSGEMETITSPSKAFRHIDGL ERSSSLGGPRGETSGYSKRNWGQSISGLPRVSDEVDHRGLPRDRYRSETVLGGSTYQS SRVGEDRGLGRRGISTLVSERDLLAASRSRVGALGPGDSVSAVGSRNDRGDGKDPLEM IRRLEEQRAESKRRWDHMPRPATSMSSMREIYNNPPNTAPLEPLRHRRSIDQDSPISP PYVRGGASRLAFSRAGGPSTEPRSMRSSTSLGGRSSANFDLANSSTEHGRLLFEAFRV LESKLGQEILSTHPEMLRTLHSATRTSENINAVLRNALQLASQIAVDAELDDSAKVTE EYSNLAFMLRDAGKASEQNVRDMTRVLLDLPKLVRIQENGSGMTSSTSASVGRIRRSE SAALNYNHDPVKQGVMSEDRPARRWQPTSPIAQTDRSPLQGRYSMDTPRRSYDLLRSS TAMADTYSPLSSYSSMRNRNTRTNGNLDSSRTGGSTVSSLMSKVRAMTPRKSPSKLDL STIEQSPPIKRTSSPSITSPDKSPEREKPLRNLLKKKTSTLSTNTIKGSTHQPSNFLP ASSSNKPTTAISQVTAGDLSADDEELEPNSPMSKFSYNSQRRKLSISRPNIAGTVKGH SRNGSSDTFGTTHTGTGTGTGSYFTDHDEEQREVDDENENEIDAVSMLEQRLVKVAKM KEERGLGITPPVGEENKRPSISDRFRASLRKGSGRVE L199_000268 MTVRGGPGRGRGRGLVPPRAIEDRDVLGFANKTVANSGPPQIQT YSKLPPNLPTRGGRGRGARGVPPVRGGPSSSRGGLPPALRTGPAPGPPSSRGRGGYAD TYRPHVPLREILHRGREQSRTDEWADYRDKQKDRDGNREEDMWNGPSRSYSNGRADTY RPASPETSSKSRSVRRYSPSQPAIQDNWFSQPRPTSNGNDRFHGSSTSTSTSTNHAGP SVSSSLNQSKSDVSRNHSYNNLSTSTNTDAGDATSQHIVFSSPSLPPSIPFDPTFKRP PPSGPSSSRRVSPPIPSNSSTAIPQVPDKGKSKAIIAPTSTLQIPEPAPEIRKMSFKP INPNNPKPKGKEKQKDDSPVSSSASVRIKAEPDHQQPNAAAQIPISSRSTSTNIREEE IDVKPNIKELERDVEVLHTRGEGIRRSGTFAFTKAELPDCWARNPTERSKARMAFRKT QREAMIAQGKKIGGTHWRDDGVAFDWTLPDPNSDQQSTETKSDVVDASESIDGVSAST VPSTAAPGSATTPAIVSPSCPNPISSLKSDLAASNISGPSRIMIAIPSSSSQTNQIVS RSAPISKASTNATATNVPRTPSKVAERPLVSRPSSLATSTDFSSSNYSLTQPETVTQN RQRIEKIDPQGWKNREFSYYEIFDFPKDLAGSERSGDKKSMKDWTNKVIAIVSRPDGQ GRPTRWVKFMKRDDCQDLMLLSKDKTQSEIDEYEGNQVILDQDLVANLRRNEQVNQIG MKPPPTSKAEQGTRRKSVSREAVPLTDKQKKKLEKQEKKRAREELASEAGSISVVETS PAKSTSERPSKKNKNKHASVDDSPIKNAIASGSGSNSLPTHDGNTTNHVPEASLSLPD LSNLPATAGASHPTPTIEQDQKPSVTSAKLEELNSSLRQKVSEIEKWTKLSNEFPDLK LALNTQIEKTREEIFTLYDDIAEEKVRLAG L199_000269 MSSETFLDHKPFLSDSFDVHAYANAILQGKVYRPDEKVEEGSKA DKEKGDGDVGAELARLNYGIEHVTRQLRQEITSSYRLHTKIHTPYSNLSLLVARQQVL GLVTDLTRRASRFVLLARRLEGQLRKMEESEKGKVEGERERELAKAALSVAELDALLK PPSTDDDEELNDAQQIPLQELEFVEAYLPVIDKARDTIIQEMESMVVSGLADLNQSLL SSSLQTAHNLRLLPDLVSNLIADLNDAVTLRVTKAFDSAGIGKEVAAKEGATSHSAIK FSRGRPATEPTSSNTQLWVNTLWSRLEKVVEDVANCCIKVYTLEKVLKLKKDAVTGVE FLDEVMKTLDEKPSFAFWTTLAKAFESQSKDAIRSSPWLQQALSTGYPRLLRLFHDFF SKIAVHTDTVYTRDYQSTEAVLVLRSVSMFETLYLSRSTTRMNDSISTALSSYLSARG NPPGPGDGVSIARTITNELDSARFDPLLVRTVARNAGKVLDGFIKRIDAMLVKDFTAT SLIGPNATPAQVVNAQLVGCLYHCWLNVQYVQHDFVGKVWETLSPSVDSLESTYKRIT DLLDSALRKEFVSILTRIHKVDFSKPMDPMTMGSGGGSPYMQDLIDKLSFVRSEILGR MSLGEMMKEWVIDLSRYIIKTFLLHASIARPLGESGKLKLTGDMTELEMGITNLLNTG RVQGSRGGMKVEKIGEEYLALRSFRTLLFSDDSNLSNPVETVHLPPIIILNHILVLSA KFKLPNDLHNWTEHEYVLWIEKHDQEEQLDLIEKSLNAQLRNAEEGGEDDLYVRLVRE VLDHARHDEDETPGVG L199_000270 MPKEQVRKRGRRVRKGDVQNEPESLPEVPTTEIDEPVPSSSTAT GIHPSRAAFLAGQPIPPSQPQEPSGEQEGEAYGEGEGAADWTRGSRIDSEFPFGVLDP DVKAYFRNVEEQIKDWEGVSTEGEEREDRQLFLTSVLSELRSHELPTSTDPETSIILE RLLPSLNDWGRRVIGDSFGDKWEELIRHRFGSHVVQTWLTLAASTLDREAKNIYPPQQ SKQDTSKGSLPKMTELFLNIIDQLLPSFPQLLSSPHSAPPLRLLLLILTPNRALPSLE SSDSSQSGSGLIRSKRSNKFRKNQEVKGKSILGDDEKKDTERKVPRDLIGFRKQIRND LMSKLGEAEWKAMGVDTVGSATVQLLLEFEVEDGDAEKEGSLFDIITEGLITQIKQSP NTKPEAQPYLSTLLQTQTGTRLFESLLSLAPETVFKALWSAYFVGKLGKLAGHPYANF VTAKGVSRLDKEGIEGLIVEVKGNSGGRGLIKAARTSVLQALVDRSVVLEECQKSVLE LLYSCLELPEDKRSDLVPCLMALKTYPMYQALLTGSSAPEDETPATEDVDKEAEDTAA AYARRSAWENRRTAKPKVAEGEILPNMQGCLILQGMMGMGQVNSIVLESLIAQPLPTL LTYAKSPISSHLFDKVFTNSAVPPKYRRKVMMLFMESWRELVEDRIGSRVVDTVWDKA DGYMKEKIARTLISHIVVLGQSQYAKYFMKKAELSLLSRRPDEWREKVVGVKHHFAHQ KVTPAPAQPQAQIQVEGQNEVENKKRKKEDKKDEIDLLFDGVEGKKKKKSKKNKE L199_000271 MALPLPTTMPLLCPIYLPGQEPVPPGTSDWERQEMQTALRYQKY LGMAMESCPLKVVLSGGAGFALGGFFSLMSATFAYEDPLSRASSQLSTRAQTMHVFKE MGRNMWSSGKGFAKVGAIYSGVECCIEGYRAKNDITNAVSAGFLSGAILARNSGLKAA MGGGLAFAAFSGAIDWYLRKEPAE L199_000272 MLEDMSNSPSASRRRSKPSQENWDDDFEFTLPARKSTNTTTTTS SSKPSKGKGKDENTPIPRADSPTKDWDENWDESPPRSNPPQASITTKHERKKSSIPPP INIPSSTSSHRVNPPRLSPSQSVGLSISPLPTSISSPQQPLLPSRSHSSLSMGPSPDD LQHQQSQHLPRLRSGSTMTSTVTRNKLIKRHPSTSFVPLPNSHSSSNLAPASALSNPS EASLNSISMVNRSSPNLPQNSPSLPRSTSGEQMPPPPLPQANGGILGRSRSRSKSKAK TGQEVRVSSIPFSPSRDEMQEKGKEKRPGFWKRLSGAPTTGEQNEGTPQHRRRRSSPV GAKHLPSGSPRPPVPPLPMNFRSPSGASSTSTSSAKSGPTSAFSALLRRSSSSLSKRS DKSRDTPPSSYPYSSSKNGISSSSVNSFVQQPVSIPSNRKGDVTPELPSSASFSRGFH LPSPSPGSPYHPSKSRATSAAQQYFDLPPLPHSTSFPGPQTQAKKGSGTGSDTESEGD SKIPKRRKKIRPVSALPAPRTYQDDPIPGLPISRQTSIGDIRSTKSSHSPASAFAQGT TSTLKRLGSLSKKHGRRLSGGWKFGTNSSSDSNKSATRPLEPVLGSPSKPYKNDDDLP LSPISPTPNLETEEEMRKAIRAGSVSAPTSMFTQPRSQADVSHIPSEETKAAKEKEKK DKHRRRQSWNDFVIPREVMMKQKGLKEGIGAVKMFAGGVASLKTLLSTHADIRDRILS SGSQTDAANFASLDSEFEQWLEMAVVLIEVGSTGADPSTQPSFSSPPRSRRVTLASDE AKAASAAMSKATSAPGGPTPPVSLSSWRKTSLPDPEETSLSMIGPPRADYPEQWRAST GRQDLSKRQLEVLRTMLRTPMSANTPDKDGSRPEMGPRTASTLSASSTVGYLQAQGSP SPNSKIQIQRGLTLTPDSGSISFPSPGDSAHIQPSNSFPSPISAARLNHNQRSLKDRR ASKAGLAGLKEFLRSLKKDKNTVPNTAASGGIGGLSPLRIKNRFGIKSSTSPASPTSP LSPMFSPNGNTGNNDVFCPTQRSSFSALGAASGKIPQTPQTAQPILSTTRSRAGTESS FNRGGGLGPEQKRPSIRNIFRTSSGNWSELVKNDPNLSPAPNSNTSSPSGSGSGLSKK LSAQRLGFSSSKSISKISVSDPIPSKIPLSQSVSSRTLNASASTLSAENKDKDQEGEM TLRPTAKKRVPGLGLGLGWPETSTSTSTGIGSPIKISNGSGSSNSVIGEMGTVYESPH KFDRDHANEQTLKQHRSVIPTSPNKIRFPSSRTFTSISTSTSTFGDGLSSRIPSNDVS VTNFNIRSSEDSTSTIDHDLTIALTPENLPTLLEYLGQCERMLGLWKERIEEVIDID L199_000273 MSQAQAGPSTQPNIDSHICQRCHQPLLLDPSIQNLTSSQYNLIT SALPSTSSPSHIPPSSKLQSLPPASQSSAQVWAEQNSLSIPRSANVGESFILLSESSL IQPTPSSITGPSGKTPLPPQNVNLVSQLHSILSSQTAISHPLCTECTALLTTEFQKLA EELTRERDAYIGFEKDVQSNRESLIHSSSSSKNKNKIKDKDKGEDPEGLGENDIEGTE DEWQELNKRKQELESEEEELKLILEERERELKEVELEEERVKRDEEEVEREETEYLLH HSALSTHLSHLQHTLSTAQTHLLLSKSLLAHLESTNVYNDAFQIGHVPLDPSSGSGMT VGTINGLRLGGRPTVEWEEINAAWGLTALCLDRVADKVGCVFSGYKIIPLGSYSRIEE LPPQKGIYELYASSDLSPARLLQNRRFNYAMIAILDCLRQLIDFGKKRGKGWANNNIE IHKDKISNHSIKLPGISSMPLTLPSMSIMGLGSGSTTSANTSSGNAGHSSSSGSGTKE MDNTAEEGWTKACRAVLVVLKRILMVESQMDRGGVGQ L199_000274 MPKRPPTPPSFSPPLPPDQHLVHLLSPQGSNNWLCQDTEGTERL VEVTSKLRRIKSLIIMRGDFAIISLFPSGPEDKGGRLVGEIIHVLDKGDIKDWKKAGA WPEGFGETPVTATADQEDEQSNSENEDDHEAEEDEEEEQ L199_000275 MLLFGMILLWLGFGLPYINFGNSQNITNTTSTPSPAIGSSNSSN SSFTPYPSLNLTYLSSPVPYPLNGKYYNPTHSKWHSAQKKAKAYLANWTIEEKVTLTT GMGWEQGRCLGNIAAIPSRNFSGLCLMDSPTGIRLTDGNSAFEAGVNVASTFDKDLMY ARGYAMGQEFKGKGAHIALAPMTNMYRLPAAGRNWEGFGGDPYLSGWATEMSIRGLQD AGVQATVKHFIANEQERNRTTSISQLTDRTMREIYSHPFLKAVQADVSSVMCSYNLLN GTWACENSELLNGILKEDFGFRGYVMSDWNAQHSGVYSANSGLDMTMPGDIELGSLTS YWGRNLTESVNNGSVSMDRLDDMVVRILTPYFMLDQNEGYPEVSFDSARPKAEANNSH VDVRDDHAKLIRHIGSASTVLLKNVNKTLPLKSPRKITLIGSDLGPSYKGPNGYPDRG GDEGTLAMGWGSGTCNFPYLVDPLQAISAKAIKDGSQLGWFFDDYDIESAQDTATGSD VVIVGINSDSGEEYITVDGNIGDRNNISAWHGGDALVKAIARSNNNTIVVVHSVGPID MEQWIEHPNITAVLWAGLPGQESGHSLVDILYGKYNPSGRLPYTIAKRLEDYPAQLNY VNTDQVEHPLVEYREELNIDYRHFLSRNITPRYEFGYGLSYTTFEYGKVEMWYGDDGK DWDKGTRNLGVRGEEEMDDMIGSTRNGTSNTGTSGGSTRGNSTSNRKLGSFTQESLHR PRWTVSVDVTNTGDVLGCDIPQLYLSYPKDAGEPPKVLRDFNRVQLWPGDTKSVTFQL SKYDVSIWDVVGQKWVIPKGQFGIEVGRSSLDEKSVKTKWCFRGCE L199_000276 MLLRIRSPAGTARINVESSTPGEQFAQMMLDSIPKSDEQPDPST LKLSNQPGASGESVPFSALVGRTVGDMGFSHGDLLFLSYKPISADPSSHPTTQASTSH PHPNQPDPSHPHTHTEPPLPNTIPLTDLSHVVEPDIDLYWKNQTGKIERKRDPDFCRH GEKGMCDYCMPLEPYDAKYQASQQIKHLSFHAYLRQLLSSRSAAQSSATDLPPLDPLS LSVMTPCPTGSHPPFPQGICSTCQPSAVTLQSQTYRMVDHVEFASPSIIDGILSAWRR TGTQRLAFLIGRHDKYEKVPMGVKTVVEAVWEPKQEGELDGLTVETPWSDEERVGEIA SWCDKGLSVVGMIYTDLTPQPDDITKTLYKRHAQSYTASSLEMLLSAAYQISHPLPTK MSPTGHFSSRFVTCCLTGDEDGGIGVLAWQASENAEAMVKAGIVEASVDPGVVRVRKP GEGEYIPEVFYSYKNEYGLQVKQPAKPTFPVEYLFVNITHGFPVDPSPLFLSDSFPTE NRPGLHDQSLEVVISQLSGIIKKSDAEISDTGTWPDRIKEEVKRWLSDWHLVAFLCMQ GLLSLAEQKILCRAATMHAHPSDKNALEELFGSGGWQTLLTIVESSSSANVPVQSAPP SRAFGELGIDSPHAGGSSTDLSGLNIPPEPSTSTSLGPQGGDGGERICPHCTFVNEAG RSDCDICGLPLG L199_000277 MSKSKRTKLEDSILMNKPMVDQYIRHGRRALEEKDWQGAMQHFD KAMSFGNKRNYTILDLKVKAMTELPEWQDPAYEITKMMIADAPNDYRGYYRQARILQK KNSLQAALRLIAEAIKVGPTKAQDEKIYRALQRYRTDLIFSQHENEQRRAAESAEERR KIELGRAAAKKSKMNFINMLSPDVIINIAEAGSSDSVNGMGFVVKMSGVCRSWRNILL STGSLWSTLTLGKKRVVERVKYTLGRSKNKLREIIIKDDFDISRLTDISQLLKPHLKN VKRLTINGDINRFSRFWQGEFHKLEYLKIKSTVFETSDLVYRLLSFDCDTLKELELEG GRYEHIYNYTYDLTLQGQALQNGGIPKRNGDIEDDPPFWTEHCQTHLSSIHTLRLKDC HIYAAWTDPTELLCHFPSLENLEMINVNWDSTYLLADPTSRGYKWNQIRSARGLDMTL NDLKSFSISGSIRNLGLYDIHAPNLQHLDLWTVHPIGSTSIAPLITTPGLKDALDNLL SLDIGRCTIDLDDLRDILPKLPQLKFLNVSYCPLDNKFLEALERQNKGSDLVPNLMGL SIAGNTEITSGPLKRMILSRTPRGIKSIKPKEVVKKGNPFRPLAPVVKPSSSPFGSSK PASSKSQTSRSQSSKKSFAPDSIPSTPSSSNSNKVDLIQTNETEKEKGEPLPSIQWLC IDNCDRIEREFIDHIKSKVKYISNAYSTNMVEARIRGKGRYSWKLEWDMDCGEGEGGC HLRKIPGSKDGYYIHHTCKKAMPTKSSAERGWSQLSQSQPPGPGLGGGSLGSMISSGS FEGF L199_000278 MQAPSGGGYGGGDGITGPLNPFVVTPPPPDDKEDEIPGYVKRFG AYHTLTEGVFLPAGTLLPKGASFAMQSTIDFGLHFPKGTKVPGGFLLPVSVVKKEPSK KDLPPEENPVCVVQ L199_000279 MSNPPFLPTNPKPIPSLSSPTASSSAGTSSSFGAPKSSISRKKP LGLDISKSILKPTRAAPGLPTNGDGVTPRGSNGGGGNSIISEAERLREEIAHLQLSSK STSSTNSLSLPDSPINNSSSHHSDSSTNTSLTTGSSKRKGGEKEKKRSKHKDKDGEDL VKDEDLSILSDLGAGNGGTVTKVWNKKRNCVMARKLILVDAKPSVRKQILRELQIMND CNSPYIVGYYGCFPVDVHVGIVLELMDAGSLNDIYRHTGPIPVEVVGKVAEAVLHGLM YLYDVHRIIHRDIKPSNILANTKGEIKICDFGVSGELINSIANTFVGTSTYMSPERIQ GAPYTIKSDVWSLGISLIELALGRFPFTDSQGNEEEEESEGEEDYDEDPTLPLSTQRP KISAPGESKKENRKSKGVSLAGGGHTMSILDLLQHIVNEPAPRLVSKKKTFPSEATTF VEDCLYKDPNQRKSPQELLASDWISKSQVTQDDLKIWASSTITKD L199_000280 MSGSIKAIDTDSVHRIHSGQVVLDLQGAIKELVENSLDAGATAI DVRIKDNGLDSVEISDNGSGIAEADWVSIGLKHHTSKLPSLSDLYKVTTFGFRGEALS ALCALCDSVTVITSTKETAPMGAIIKLGRDGTVVDSSGKVARPRGTTITLTGLFAPLP VRRKEFERTVKRELTKALTLLTAYALVPASVSLSDGRNGVRLRVETIGAGKSGKRNVQ LSTDGRGSLRASVGSVWGHKALEGVQEINLELDVEIDRVMARREGISETNQTIKVTGL ISSAQWGQGRSSADRQFYFINGRPCNLTSVARAINEVYKSFNTHQVPLAILDFQIPPQ SVDINVSPDKRTIFVHSEDKLIEALKIALDEYFRPSRSTFALGGATQTVKTIRQTQSQ LTQFGKSAVSADDEEADESDDGDDGDDENLEQKGDDDDDELDGNSVNDDQGEEGEDDE QAIAMEVDDQSEQGEDEKEEVRLPTICKRRSSPIIQIDTDSEGEPELSQPSRRSSQRR AVPSSPALIPKRVVQQTLNTSKASWSPNSKSRSGSRSARAGPGPSTREARMDLRKKLE AYASQSGKVVRDDSEEETEERQEEPEGQVDEEADVMDIDEDEQQEDLAEGEDHDNDHE FHRANGKDADIEDANDTDIALKRGRAPDEPLFEDDRDTSPLNGEESTESEETPIPISV DELEEKRPLTRRQSSSYRDEISTTGIQGEMKLSFNLERLKARYDLKKQRESAHHRVSG VKDAFSMIKEGGISDAAGISNKNMQLAEEALSRVISKDDFTKMEVLGQFNKGFIIARL RHTPDDLETQENGTGTGTDDLFIIDQHASDEKYNFETLQRTTVIKGQALIKPRPLQLT ASDEIVAMENLDILSKNGFDVKVDEDALPGKGERINLVAMPVSKETTFDIKDLEQLLH MLSDSSRTHGQMVRCTKARSMFAMRACRKSVMIGKSLNKTQMVNLLRNMGTIDQPWNC PHGRPTMRHLIKIDQPTKSRSGKDKIDWSKWKKSQGI L199_000281 MSDDLLDDDVAAQCVALQEDEITVLESIYPSLITVHPNPADKPG RLLTLTLPITLPIPHQIQLDTSTGPSASLELSHLPSLTLKVLLPKRYPMVEPAKPISL RAGLPSGDKLGNWLPRTKLRSIQDKLSEMWEEEGEIYGGEGQGVGVIWKWWEWIGNGD FLTDLGMFNGPILELSVPPMLTPSTFHTMLKTYNASQLHSDFEKTAFSCSICLENRKG KSCVQIPGCGCVFCTPCLSSCWSLAITEGSLENVSCPSVSCTKQRALRDRGESGGENE IDGEMVESVVGKELRERWEDVKEKRKAEIDPLYTICPRPTCQAAVPPPPAPKSNSITF NPTFTSRVIRLSDLSTTTSTSSTSTNGSTEVDTDRDTIFVSPSIPTEDRWVRHRTCPK CSYSFCLYCNATWHGPHTPCAFPQTSLIVSEYLSYPEGSEGRRRMEVRRGKVNLERMV RQYLEDEANKSWLENKTSPCSGCGVRVEKSHGCNHMTCGRCNAHFCYRCGGSINPKDP YKHFNTPGRSCYQKLFDQEEIDRFEREAHGGGAALGGGHEDEWREFRGIWEW L199_000282 MTSSCPWHTNPTAVNRRPVPDRELILAMKAQANTFVLGTRKSNL ALIQTGHVADDLRLLHNSPPPSQEGNEEEEGTPKDNGNGGIPYTFSIESMTTVGDRNQ TTPLHLLSPYSSTQPAKSLWTDELEARLMNGHFDMLIHSLKDVPTVLKDGCEIGCMVK RHDPRDALVIKDGLPYKSLDELPDGSVVGTGSVRRVAQLKRAYPNLKFEDMRGNLNTR FSKLDNPESPFSALILAMSGLSRLGMAHRVVSALEAPVLMHAVGQGALAVEIRSDDIR TRNCLRGLGHWPTEWTCGAERGCLRVLEGGCSVPVGVESEIVELDENDLENLDGIEDP YKDEDEIPLKEDSPMLHFSGLIDIKPTTRPSTPTFSKNALPPLRKRFAKLTLSACVTA TDGSKHVLYEPKSVLVRSYRQAEKFGEDVARQLRKMGASEILDEINRVRKERERQDLE RAIQRSKALEEEKEKMGRVKGAKAEVIA L199_000283 MSAVAMDFLQKYAPVVADQFVSMNSTQAQNTLYGDVNLESLNWL ERTWASYYIWMGNPVLATGVMSFLLHEIVYFGRAIPWLIIDAIPYFHQWKIQPDKHIT KEQIWKCTKVVLITHFTCELPLIYLFHPICCYFGMATYEVPFSSLGLMAAQIAFFFVF EDTFHYWAHRGLHYGPFYKNIHKLHHEFSAPIGLAAEYAHPLEVLILAQGTISGPFIY CLFRKDLHILTVYIWITLRLWQAVDAHSGYDFPWSLRHIIPFWAGADHHDYHHMAFTN CFSTSFRWWDFSLGTDAKYHAYKKRLAAAKANQRAKIEKEENERAIQEGLEAERMVLM GGPNKIEKIKVGTGKKQ L199_000284 MENPHEAEQAVLLERIIKNVDKCNEAIMEMNHCIKEFLDSSSDV HIAAQLFANYSRNVAYNLNIAKQMPDPV L199_000285 MVKAVGIDLGTTYSCVAVWQNDRVEIIANDQGNRTTPSYVAFND SERLIGDAAKNQVAMNPYNTVFDAKRLIGRKFADAEVQADMKHWPFKVIDKAGKPIIQ VEYRGEEKTFSPEEISSMVLIKMKETAEAYLGGTVSKAVVTVPAYFNDSQRQATKDAG TIAGLDVLRIINEPTAAAIAYGLDKKTEGEKNVLIFDLGGGTFDVSLLTIEEGIFEVK ATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDLSSNARALRRLRTACERAKRTLSSAA QTSIEIDSLFDGIDFYTSITRARFEELCQDLFRSTMDPVEKVLRDSKIDKSSVHEIVL VGGSTRIPKIQKLVSDMFSGREPNRSINPDEAVAYGAAVQAAILTGDTSEATQDLLLL DVAPLSMGIETAGGIMTPLIKRNTTVPTKKSEVFSTYSDNQPGVLIQVYEGERAKTKD CNLLGKFELAGIPPAPRGVPQIEVSFDVDANGILNVNASDKTTGKSSKITITNDKGRL SKEEIERMLAEAEKYKQEDEEVAAKISSKNALESYAFSLKQTLSENGDKFESSDRESL QAKVDETITALETMESASKEEIEQHQKDLEAVANPIMQRFYGSQGGAPGGAAPGGFPG AGGAGAGAGASHEDGPSVEEVD L199_000286 MPRLQILHHKSYHPYLEKNKQRVREDEARAAAEELAKEQKEIDT EAGNRLSQLRRRAGSPSFAEDDNLPSTSTSRDSGKSLIEKHREKKAREEKRERKKRDR LDFDFPSETARRNKGKERQREQHDDEEGRGMEKWETGGHLNLFADLEKDPHLNKPQPT LAEIAKTKKDQESDPFTLYLGRPDKETKPWYADKDLKRVEDKEVGDEADERRERDRRK DARSKNRNDPLTHISTLLSSSSSSKSHSKHHHHHQHSNHNKPSNPIEARKCREMSERE RALALIAKSKAPPCIPGGWDDTPSSAAGGRTWAEEWEREQANAGRRFFERPDRSNGRS WEV L199_000287 MSDGIGIANLPNQRHKITSQHGAHFTIMVVGESGLGKTTLINTL FATEICAPRNYRQRFAKQLDKTTEIEILKADLEERGFNIKLTVIDTPGFGDYVNNRDS WTPIVDFIDDQHESYMRQEQQPHRKDKQDLRIHACLYFVKPTGTTLKPLDVEIMKRLG TRVNLIPVIAKADTMVPEDLLNFKTIIREVVAAQGIKIYTPPVDTEDDSVAEHARIMQ SVMPFSIIGSTQDVTTPDGRVVKGREYLWGVAEVENEDHCDFKKLRSLLIRTYMLDLI TSTEENHYEAYRLAQMETRKFGEPKVRKLDNPKYREEEEMLRKRFTEQVKLEESRFRQ WEQHLIAERDRLNKDLEQAHTAIKALEAELDQVAAYHRQGGTVGRR L199_000288 MRASRTLLTSSLLLAPIVRCVTPDSTGRQGHGLIGYGINMYDPI CCSACKDITPTYLYWDPDQVMDEMGGMSMVVLNSRQMDDDMDMNMSNDTWTTDGKVAT DMATPECIATNTPFLQTAAYCMKTHCDSSVTIAAIEQWWWMNIVGTMPDQPDPSMTYQ ETLSSLSTDPNATLAEDEPLSKGVLVDEDSYIAMSYSLVNFQLAETRHAKYSIIIIST CFILPVFLSFLRLIPFPTLLINYVHSQFIQAPLYGGTISLPLFGQLSLPTRGQALFIG WLIFINILCCSIGFRSASSNAWYATRSLEIATYISNRSGVISFANIPLLILYAGRNNI LLFLTNWSYSTFILLHKTVGILATIEACLHSAIYLQIKLAAGLATYQESLQSPYWIIG ILATLSMSLILPLSVRKFRSACYELFVIVHIGLAILTIVGCWYHIIWRFDKQWGYELW MYVAMAVWGFDRIIRLVRITKSGIKYARVYKIDEDYLRVDIPNVERYSGYVFLYFLNV NWKGSYLPRPWESHPFSIAWYDSPSPTTPDPLTNSPQQENPKMFTEGEESQAVLPSLT SPGANNDKPTKQGQSSRSNSKGITIFVRMEKGITQSLSHHSLPLSSTSVPTPIPILIE GSYGSYHGHGNFLNEYLDYSAEYRNILCIAGGVGITAILPKLFTVSRSPWRSTDASIK LYWSVRTRPLVDAVEDLLPKGGNEDRWGNIQTSVSVGNRLHLEEIVDTELGSAGKGTL VVVSGPRGMADTVRKLVIAKAREGVLVKFVQESFDW L199_000289 MSLPRTNQDINSTHPSAIAAAAAGRRRRNPITWHLKKPQQGPAT LAIKNHFIAMVGEYVGTVLFMIFALGGTNVALIPTTSVTGSTTSGQDGSAASTVNTSN LLYIALSFGFSLAVNAWIFFRVSGGLFNPAVSLGMVLVGALTPLRGALLTFSQILGGI TGAAIIQAITPGTLNVRTTLGGGTSIAQGLFIEMFLTSLLMLAILLLAAEKHKATFIA PIGIGLALFVAELLGVYYTGGSLNPARSFGPAVVLRTFSGYHWIYWVGPSLGAIIAAG FYKMLKWLQYETVLGPESDADQNAPKPLVVAPPVTSMPGRDEEKAAESGGRTLAVTGP GLGDLLTAGPSEAVFDLDRHPGPLEARLDRIEHLLAQLAESRPRRSQSTYVEDQHQQA MTGTSNGHGNGYNNKKSIDESGLGRGQINIIGNNTIPAHSTRTYTTEPVQSHNGGAEG HDGHNHNIPFTHGTAGAGQRGHIDFTPRQ L199_000290 MAENVGNAQPATGTGGTAATGQQDYLDKGVDYGLKQTGHGQSAS TTEKISDGVRSGFKKLTGKDVPIQDKQ L199_000291 MSVFTKSAFTMSAMPSPANSAPPSAAPSRRGSFAGLASGQVTPV AEPHIVSINVESVLFDMDGTLIRSSEAVVKAWELFAQTYPLDLDDILRSAHGMRTVDV LKKWCKITDPELLNSEVVRFETAILNAAEDLARTNGGGGIEVLPGVAKLLADLGAETE QRNGEEKWAICTSSTYFYAGKAIPIAKLPVPKVFVTADSVTRGKPFPDPYLLGASGCN ASPFESLVVEDAPTGIRAGKAAGSMVLATCTSHHREELEKERPDFLVEDLSHVTAQWD PNTNTFNLIIEQPVDRMSTRPTPDVTPVITPAGSRQNSFSGVGKSGYFGSQLASNPNF KASDELTGNDSVVGSPEASRPGSPSGGPTIEEDEKRAEMEFHRRASQSGNTLSLDAFK RALAGNAAKRRANSRGELDQDE L199_000292 MSAQSTAPSTPDVARDFSDLEISSTVTTPAATRPSSPIPAALPP SPLASGKHKICVIGSGSWGTALAKIAAENAWKRNDEFHSEVRMWVREKIVNGKPLTHI INRTHLNSRYLPDIKLPKNLVATPHLKDVVKDATLIVFVVPHQFLHTVLGELGKPGVL HPQARAISAIKGVEVNGTDIETFASLIEGRVGTPCSALSGANIALEVAMGQFCETTIG CPTHEDSLLWSAVFHAPTFRVNAVEDVNGVSLGGALKNIVALAAGFVDGLGLGGNTKA AILRIGLSEMTEFCLEFFAGSQRETFSNESAGIADLITTCYGGRNRKCAEEFAKTGQP FDVIEKKLLNGQKLQGTATAEEVHNFLRARKRTHAYPLFEKVYQISFEGLPPKALVQG L L199_000293 MVTTLPEESTGVSLPLDVLSNIASLIHPPFVAPPPPSEPTSIPQ IDVIHNVDPTPPLPQSHLQLLSLMTRSSSRALEAARPWLWEDVDVQTGRGWLAIVNAL TEEIIEIEEVMPEAGPSTVSQPASAASEPIPISGPEAHKAPATISSGFSSYISTPPSS VYPNPYGDLTSIPPLSYSPPQPSHIRELLTPPGSRNSSPHPASSSSTSYFDPSSPSPP PLIRGVSHPGPTALKTTSRLRGRSRSPRRTVNFDTESISSVLTRSRSNSSNIAGGSGF LRRQTSLSKAHHYDDVDEDDVDEVQDEITPLRGLAEMKSPVITARGTAEQKENVNPDL LPPPGPYIRHLSFTNFRTIGSRRSQEEAVRGRFVTGGRLEGVIKNAPNLVSLCMTEYV DSALSYPVIEELFFRGYRKPRYHSPSKSLSRVRSLSVGPAQSLAGSDYDQLDPPRPTY APYEDETEDEKWRRRSMFTPLEALDLTGCVSNNYTEAMNRFFDTWLMPDEDSATDDDR GRERSRGRHRGRHGGYTTPEVTEDESDAHAHRPKRKFPKFRALRRLSLRACTRLEPPV ITGLVFACPNLTHLDLSGTRVPSDLLAYLTERCPRSLRLTSLSLARCPRLDPQIIVDF LCRCPAARDLVDLNLFVNPTQGNVIEQNDLMRLVTEAPCIKSGRLRYLDLSSARFTPA HLSREVFPQQPSLISLGLSHIPTLSLPPIAEFLLNVAPNVEILTLTGTATTSSLDPSL SPLQLTLELHARLINPLTTVPFSLSNLNISGALQGPNLNPGPTRLRVLELSPNIRRSI TPNGEWQVVRSKGGRGWYVDLSCGWRRLDGDIEGKEGWEFVRHLPRQDPRRKWLNHLS DSNGKVGSNVGWHSRKMEVVKGMGMMGREEGLAGVGAFAFEE L199_000294 MDPEHSNSSWSTQLPSSSRYPPDLDPSRWASSYPRSELPASSCL EVEERPPPLPPRPIPAYLGMQSSQASQQSGIPLQTFSGDTVGRYVSGTSGYNKDTGGM PLRTLDDKTTYSSPAMMSYQRPGHSGQCSELTPSIRETSHNSHRGTHTSNGISDKDAR ELMGLTTTAGILGGMTGGIALSGDKGAGGGDAGDGEGDGGEGNPLRNLNLDPGFNPGP SPANPGDGDGGGDSDECSICCEGDWCGDGDACCCCCCCGDCSGCGDCSGCCGGGGCF L199_000295 MVFANLTYEAFFSLLDEYFASRPHLAPSSSSGPTIASLTKLIPP SRSANPPTPSSPNYPSSSPAIQSGGVGSTEEKPDMAQRFISSSIKLGTKGTKTGIGAI SKNKDAMDLLGKVGAAGMVKGANNRLNSPPPVEGGATIEEVTVVEERKVKTPAPPPSK KGGVAGLVSGRSFGHVDTSSKMSAFTSMWKDPHKAQQPTVDQHVPPALTNKRTSMPPP IRRDGSGSSADLRSPHEEKLAQAQAQALYDYAGSDTTDLNVQANQIVNLIEKTSEDWW TCEDGNGTRGLVPATYLKEL L199_000296 MFFCAISGSPPTAPVVSKTSGTVYEKALIERYIEENGTDPISGE PLTKEDLIDVKAKPSTLPPRPANQTSIPALLTALQSEYDSIMLESLEIKKAFQNSRQE LANALYREDAATRVIARLMKERDEARQALSSIQSTIGFQPTAAAEAPAEDVEMAPESQ GALPEEVEAKVMETNQALSSGRKKRKPAPGYSKADQVKGFTQTSHVPSLHATKPAGIT AIDVAKDGNIVVTGGADKAVQVFDLEASKVLGTLKGHTKAITHVAFREKDGENKLAIS ASADKTVKIWGEEGGKWSNKASLGGHKGEINGLALHPSNDYLAVGSSDSTWSLYDINT HEEISKYEAIKGIEGSFAYTSFAGHPDGVLFGGGTKDGNVRVWDVRQRNSLAATLESH PSKALTTLSFSENGYYLATGSSSEPSVKIFDLRKLDILSSWDLPSENTVSEVRFDPSA QFLSVSGTDLRVYANKTWDELLKFDDNAGVLTGARFVKNGSEIVLSGLDRTLRVLGTQ A L199_000297 MDLKTFISDNVVRILGSSDNATVEYVHSLALSSKTPGDLYHALL ATGLSDSPDTQSFATQVHALVPRKTKPKASKAEKPAANQRFALLMDEDEGASSSSSSS KKEKKSKKGKDKERDGGAVKLGRSARKRDTEGNWESDEEEKEKEAKRLRLDSPSRRSE EPPEIQETEEERLERERLEDLAERDAFAERMKEKDKDRTKKLVTDRSTKLGSGGLEAE KRSALADDLEARKAAIDDLRLHSRQEYLTKREQQRLDLLKMEIEDEKILFRNQKMSKR ELAEYERKKELIKIMEARQRIDDGTDGYMMPDDYITEQGKIDQKKRKNALYQRYEDSK PVEGQFVTDVDQWEYSQTDKSNLKTGAQDKEVIVEEFDYVFDETQKIKFIQEGGIKGE GISLEAQNLLDQVDQLEKKAQSIQETRQTLPIYEYRDELLEAIAEHQVLIVVAETGSG KTTQLPQYLYEAGYCKNGMKVGCTQPRRVAAMSVAARVAEEMGCRLGQEVGYSIRFED MTSDKTALKYMTDGMLLREFLTDPELSTYSALVIDEAHERTLSTDILFGLIKDIARFR PELRLLISSATLNAQKFADFFDQAPIFDVPGRRYPVDMFYTKQPEANYMHAAVTTILQ IHTTQPKGDILLFLTGQDEIEAAEENLKETMYALGDKVAELIIAPIYANLPSEMQSKI FEPTPEKARKVVLATNIAETSITIDGVVYVIDPGFVKQNNYNPKTGMSSLVVEPISRA SANQRAGRAGRVGAGKAFRLYTKWAFKNELLEDTIPEIQRTNLATVVLMLKSLGINDV LNFDFLDKPPAETIIRSFELLYALGALNHKGELTRMGRRMAEFPVDPMLSKAIINSEN YKCTHEVLTIISMLQESGSLLYRPKDKKVHADKAHKNFIKTGGDHFTLLNIFEQWSES NYSQQWCYENFIQFKSLGRVRDIRDQLAQLCDRVEVVIESTPNEIVPVQKAITAGYFY NTARIDKGGGYRTTKNNHSVYVHPSSCLIGMQPPPRFILYYELVLTSKEYMRQCMPIE GSWLAELAPHYFNKNEIDQMLGSASKVKMPKAIEQPKVGPVNP L199_000298 MQALKNVAIRLLNIYVGPYVENLDTNALNGSLWSGQVNLKNLHL KKSLLERFGVPVEIVAGDIGSLSLSIPWNALKSQPVKVVIDDVYVLARARPQGKVDPE EDERVEQATKQDKLKSAEAVDNAASQVAHQEGNDETKQTYIGAIVSKIVDNVQIQIKG IHIRYEDGSSTPEHPFAAGITLNEFKAVSTDENWVETFIQNSLNGVHKLVKLGALAVY FDTDTGSLDKGPDDRQGTIDALKAMLDGSPNHQYILKPVTGEARAIINKTMSNEMPKV DAQVIFDEIGVVIDRDQYRDALSVVDVFHFYRRTHQYHKFRPPEEEFNENPAKARLKF ALNAIRSEVHEKNRRWSWGYLSERRDTRKKYVDLYVNKLALTEGKQLSAEESTALAEI ETKSSYEDIRFFRSVARAKAKKDAATRKKLEAEKAKNQPHKQTWSEWVWGSSAKTTDA DGGMSEEEKKEIDDIIDYDATAAAESVGTTPRDFMKARLSAKLNKGSFSLRTEPHGKN NDIIALVFDSFSADAVQLTDSMKGKIALGGFRVYDGTTPDSLYPQIVRVKDIEESDKG KTRQQSLEVEGGIDGAVADIDAGLPDDADPFFTMEVEQNPLDGRADNAVTIKMRHLEI IYHKGYVEAVVQFFRPPESQLESIGALLDAAGQTLDGIRKETRAGLEYALEQHKTVDI QLDMNAPIIIIPMDIKVKQCQTLVLDAGHISVGSKLADKDKLKEVQSKRGRQYSDDDY KQLEDLMYDRFDLHLQSAQLLMGGDIDACMNALEDHSEGADELHVLERINMSFSVQNA IVNAPNLTRFKIAGHLPELHVNFSDRKYKTLMKFIDVAIPKFGDDDTPQNPAPALTHT ESRAVFRSAPIEEYNFDDSRSIVSHHTVTDKDDSSSVGGKGDQFYEARDDQTESQKSA LRQISFEFSFSVGRLQASLFKSYSPSSEKAFADAVLEDFGLTFALRKYDMSVDLFLRS VSLAMIEQSSNKRPILSSANDGGNSPSDLKLLQVRYLKVQKESPEFMTKHEGVDTSID TELSTFKITLAPEPILSLYDFIMTTFVPKDEEAPAQATDPESGQVMDTAEEPQSTDKL RIRVKLTSAQVSLENNGNRFALLGLPSADVALLLRAGTLRIGAKLGNITLEDLSDDTV ANPEFKKLLSIEGEELADFSYETFDPNDKETFPGYNSSVHLRTGSLKFTFMEGPVRDL YTWATKFARMKAVYDAAQQAAVQRASEVTRMHFDVVIKTPIILLPRDGLTSTDVLILR LGEVVAKNEYLNDPNDTSTIDASLRGISVASEITVDGKKGHLQMVDDVAITAAIKQAG GSSHRADPDHADMEITTEMSDVKMSLTQRQYVLLMGILESLPRALSDIDEDEIDPESF PVTPATESRIDTPNVKTPAEEEPNVNLEPELAVIKSDNNGPAQLWTALDFVFSVGSIA LEVYTNDAIVPEDLKNCSIARFALVKPHLGFKKLSDGAMEAEFSLKTLSFLSTRSGNS MFRDIIPPATHEGNQIMFQYTMAGGTDQSALAIVTIDSPRFILAVDPLAALLEFAVSP FKKPADAEAQQAQEEQPEEVEEAPKQGGGLSFRVEIIDATVIVIADDTNPKTQAIQLS IKEVLLSQQSILALKVERIGMSFGRMDRPNDRVKFLDDLNVALSLDTRRRGAQQMSSF EVEIPDPIIFRASYTDMMLIVDIVNKASAVATKALAPEGSQEQTSPQNRRDSLTADAS TENTYMAIVTTKHSRRASVSRRSSISRRRSSMEKAHVLVSKEQLKARINGFQFVLVGD LQEMPMVHLSTNEFQVMINDWSGDMKMATSITTSIRYFNLTNSYFEPLMDPWKFDLRV NSVSAGSGHNPLNVRLTASERLELNLSSAFIELAITTMTVWSREKDKAKESRGTDAPF RIRNRTGLTVLLWPEQADLSKQVTGVKRLDDGADVPWRFEDRKHTRDNVSAVRHNSLG IQLQDTPWEALRGISVDREGDHILTLRPRLDKVSHQITCEIKLENNIKVITFRSTLNV DNQTSLPIEMIVVDSHGKASSGAMRIDPGESCPLPLEAAFEKRFRLRPLRGFGFDYSW SMPLHWKQLVTKPIRPISCKHQTPKEPAFYFQAQANFNAKDPAAKIYPRMTLTLRAPV ELENLLPYDLKFRIHDKNTGLSSSNFLVKGGSSPIHTVELGHLLLLSVAPEDTNLKQS DYAIINTDDVELPIEDHFQLADEQGLKLMLKLHYFTYPNSGGAFKVQVYSPFIFMNKT GLPFDLAAKTWTGGQRPIAGRDLFANDYHRETPTPFMFGFPSEDRRNRLHLRVDDSKW SQPISFEPVSADMQIVMTSASGESDNYVGLSYTEGIGKYKLTKVITIAPRFLIKNTFS YPLKIRQHSTQNVIDIAPGERVPIHELQHQAPSQLSMAFDEPNLRWSAPFNMADIGRT HITLQRLTNRGQKTYLLRVDTHLEGSSIFIYVSRDTDQWPLRLRNDTGLSLKFQQVDE DGTRDTRPLRYLPAKSEQDYAWDWPTATNKRIRLLVDAGPNNEPIPLANTIDMMAIGI QPPMKIPRTADVQRSMALSVDVQADGGSQLLTLSPYNEETSVYKPTRRATGGIRRTDS SDTLSSAAGSYETVSVSEKASLNISVEFEGIGISVITKRPDELLYISVRGLKLGYSDY PQYYDAFVDCKWIQIDNQLFGGLFPIILYPTVVPKDGKELESHPTLQASVAVLKDQSH GVVFVKYATILLQSMTVELDEDFMFALLDFVKFKDAAWKEDTHDVLIEHPKDIPEPDI SSTQADVFFEALQLQPVSLELSFMRTDRVNVDEKVSTRNPFYYAINALTMALGNVNAA PLNFRALFLENVRLSVPSLQERVQLHYQEQFMSQIYRVLGSADFLGNPVGLFNNISSG FSDIFYEPYQGIVMHGNKDIGLGIARGATSFAKKTVFGLSDSMTKFTGSIGKGLSAAT LDSEYQTKRRMTRRRNKPKHALYGVAAGASAFADSVTSAFEGVASKPIEGAEKEGAIG FTKGIGKGFVGLFTKPVVGVMDFVSSSTEGIRNTTTVFDQTDLDRVRLPRFISADGVL RPFSAREALGQSWLKDLDAGAFFHDSYVAHIDLPGDDAVSILSNNRILQVQLRRLKVI WQVPFEELASLSLEANGINLVNRDGRGGPFLPIAEQTAREWYFKQIGRVVVAYNKAHS QRDD L199_000299 MIPLTSLSFFILLASPLINGQYDADSSGYELRTPPLTTDWTQKV GTNPWTEYPRPQQVRDKWQSLNGIWRYQKANSSEDIDNVPQNVDDKWGRAVMIPSCIE SGLSGLQVDPKDNEFSWFQTKFDVPSDWKDQSLLVNFGAVDYEATVFINGKNATTHKG GYTRFDAEISSLVQYGQENEITVFVRDPTDSEEHIIPVGKQTISPSHIFYTPCSGIWQ SVFLEPVPKTYIRRIDLSGDMDGVGTINVHTSDSSEQSVKLSISDLQGTAYETNGNTE SSFNFTLPDVKLWSPNSPTLYNVTVSMGDDTVATYMGFRSIGKGEVDGVIRPLLNGDF IFAFGTLDQGYWPDGLYTPPSYEALKFDLNYLKELGFNMVRKHVKVETDLFYRACDEL GMLVIQDMPSTTARKEFPPNQDQQAQYVNELRELVNLHKSFPSIYTWVIYNEGWGQPE DGPEIKHAPMVKDLDPTRLVDAASGWHDHGAGDYSDNHHYPDPQCGMPNAKDPSGPYD PARIGLQGEFGGLGYNVSIDHLWNVSKAIAAINETYEIDETLEKWNSRSRDLLIMLQS QITNYACSGAVWTQTVDVEGEINGLMTYDRRMERTDKDIWKAAISDIYSAASGRGAGS GSGGNATKTSSNDSGSSTNTTKAQSNVATSGLSTNESRQNSSSRSVRDQITFNMSALV GLGGILMSL L199_000300 MVGPPVRERYNAKARGSVAGGSSHKKRKRAKKNDDDGDAPNDMA EGSSSTVVEDPTAGMSSKKRKRFESFMAKKVKTEQRLETLKLLASLAPSGSTSASLLS SSTLGQNPLNPTSAQERHDKKEDKLVRQGISKLAKKFGENQDDGNDSSADDDDNQMHN KNKGKGKQKEWMIEIIRDPEMNEGNEEDQVNSTVIPSRSDLKAQAKAQAKGKGKGKMP KKANWNPNLLHTQPASSSSSEFDSSDSTNDTSEDEVDDNQTQQETQPEAGPSSPTKLE TPNPPPAPVSFGGALKKATDGAAVQPRVEVRKKKPIIDYRFSRGLREEEDDLNEDEDE DSDMETSGEEDEEEDLTSGEDEDPGSEDGSEAEEDDDDDDDDDEDEDEGEYEVGDIKT EAKAPPKKRALGFKDWALKQMGQATPTTAPNLLEKDTASPTIAKPFQPVSKHAELVGP LGEKMNIPATSLLDQSKGSENATVRPAIKRRDSVTESRMNLPILAEEQSIIESILMNP VVIICGETGSGKTTQVPQMLYEAGFGYKGSDNPGMVAVTQPRRVAAVSLAERVRSELN LPPKSSIVAHQIRYSSTTSSTTSIKFMTDGVLLRELASNFLLSRYSVVVVDEAHERGV NTDVLVGVLSRVAKLREKLWREKKDQDIKPLRIVIMSATLRVSDFAENSVLFSKPPPI LHITARQHPVTIHFSRRTVSDYVTEAYKKICKIHNRLPQGGILVFMTGQSEIQGLCRI LEKKYNQNKNKSKIQHQEKPEVTLPVEERETEDVELGGDNDLAADVDDGNAESDPEGL DTDEEDIEGIEGLEIDEATDAPMHVLPLYSLLSNEQQMQVFKPPPEGHRLVIISTNVA ETSLTIPGIRYVVDSGRAKERHYDPSTGVQSFQVSWISKASSSQRAGRAGRTGPGHCY RLYSSALYEDHFEQFSKPEILRMPIEGVVLQMKSMNIDQVINFPFPTPPDRFALKKAE DLLTNLGALERSTQTRMIAGQTRLGVEGGKITDLGIKMSSYPVTPRFAKMLIIGEQHG CLPYIIAIVAGLSVGDPFVHENAIEIDEEEDQGNPEFSHITDEEVKEKEERKETRKRF FKAQQQFTALGGGTSDMFKLLSAVGAYEYDPSSTFCTKNFLRLKAMQEIHQLRNQISA IAKIPLGKLLPPNDTQLKVIRQILTAGFIDQVAVREDLVLKKGGSYESTRGVKYRVNG VPSTENVFIHPSSTLFHRSPPDYLVFTELIRSPGGKTWLKGITKINPNWLSNLGKNLC SFSKPQELPGNRTKIIKNKDGTEEREVYVTPHFRDLGVDLPVMKMRQRKEGTRWVLIE L199_000301 MPIKVANNFDSKGRPFHLAKSTRERLENAGLDISKGYPYYPDKP KDLDAALRKQAWEFKEPGLRADREKKALLSAAKEVNDLSPHLGTEIVGLQLSELTDQQ KDELGLLIAERTVVFFRDQNITPQEQLELGKWYGVPEVHPTAARVHKDLPGVTIISDE IAKTNGLEPDYKSPFGTQNWHTDLTHEPQPPGVTHLHLDHIPGVGGDTLWASGYAAYD KLSPAFQKLIEPLEGLYRSVHSYTDPVTGKQSPIINAHPIVRVNPATGWKALFVNSRF TIGIKGFEYSEAQAILKKLFQVYEQNTDIQIRFKWTPRTSALWDNRISIHSAVYDYLD EVTDEPRHGTRVSSLAERPISVAEAPNAVSRREALGLPTGKVHEQYRDTYYS L199_000302 MSAPEIDIITKEVLPESELKNGQMKTVEFGEGKVLLSKIKGKIY ATSAFCTHYGAPLEKGVLSHDGRVVCPWHGACFNVCSGDIEDAPGLDSLWKFSATVNN GQIVVSANHQEVKSKVGRIVPKSRTKGTGRKENETVVIVGGGSGGIHTVESLRMNDFQ GDIVLISEETYAPIDRTKMSKGLVDNAEKLAWRKPEELKSDFGVDFHPGTSVTKVDFS SQSVTTSSGQTHKYDHLVLAPGAKPKKIPIDGADLDGVVTLRYVQDTQKITSAITKDS DIVLIGTSFISMEAAGAILKKEPKSVTLVGMDETPFEKILGKGIGNAVMENMKKQGIK FYMKAEIEKLAPSESNPSHVGSIHVKGQDPIPANFVIMGTGVAPATSFLEGVVSLEKD GGVKVDEYLRLEGQKNVYAIGDIAHYVQYPDKFPRRVEHWNVAGNHGREVAHNITHPD DQVAYTKVPIFWSSIGKGLRYLGTGAGFDDSYTDGNIDELKFATYQAKNGKITAVATM QRDPIVAKASELMRLDIMPSLDEIRNGKNILEIELIDKGGNKV L199_000303 MSELRYTANTQLEQLHARYTGTGHADTTKYEWLTHQHRDTLAAI IGHPPLLGYVSIADGECQARKRFELIEKMVQPCGKPPGKTDD L199_000304 MYYATAGQQATQQPPSSRGAISGYPRGGPPGFSSRSADPNDFPA LGSHPSTYASQAQPSAAGSNTIPQQQQQQIYLQQQQQQIGGPPPPPPGIAGPNPNSSA NQGQAQTNGPAQDDFPALNSGGGDSKDARLSNYLRNQPNPAQGTSSPSLPNGITSSQI PPSSTSASQSTNATPSTNPPQVLQNLGNNAVWQQQQQQQQQSNEPLTRPIQQILSSPV DKWGLKALLYEIQMHMNKTDRGMLVFGEELEELGMDISGEEALYPSFVTPWADATSLT QPPRIEESYHIPQCYNVHAPPVSTKLPNFTEDTLFLAFYMSPGDVLQLEVAEELYARG WRYHTDLQTWITSPTLPSIDLVSLQQSSNEGSPQWIRGPFMYLDTRTWTKQRTNEEFT IDANLLEITRPASVIVSEEKSQTHTPSSPGGLVNPTSSNQSQSSQSQGQNQNQAYTR L199_000305 MISKSILAHPILSQPFLSLGFTSLSLLLLVLILLSVPGPIKSLY WFSIKTEDGESLSAGVLGWCMSNTSNCTYAPLSDNTYLSSMINTGEALLVRTMLPLSC YWMIVTFLIWIGLTVLIPIEGYKIKNLDSIIRHLRFSILEAFVLCMSLFGNILAWLAF GLSRNAFESIKRKGGKPKSGNAMETTAVAAFISLLSLFFAIWGLHLRLKSAQKQWKEE AVMVRRRSMALCVNGVVNPDNADVLGERDEARLEKRLSTISGDSFRLGGGALENGRNS IYKATYQPQPQAQSQSRSHSSNGHGHGDQEERLSEGDDHEAQTQNQAQMVRRFSLHDS PYTAAAGAGHPN L199_000306 MIPPNNNGQGQYTSYDPRQQQVYQFSPGSISTSSSFQLNPPPHP QFLRGPSVSPEDSYSFAQLPVGGIPNAGMAFTQQPLGQSQASSSSSSLQMNIPPPIPI QPHSRASISSNLLDGDNEPDNSYVSDADAEIEGEFEMDPEHSRLPDGIGFPPVPSRRE TYGSLSGSTDSMVDGSLDAGEVKEPSNKRKPRITLPRGRACVACRKCTGDAPCKTCQK AGIECRYEELPRKKPRAMILEERVAELEACLNLRPNDPVPPRFQPYQPTSVPQPVHYA PPPHSSNSLSFDSRPQSATSVTSPPSSLSNNAANFSLVSHIDIAHPMPSLNPSRTDIP PNSALELALVQSILPFAPFIGLPLHSARFIALLTLPPTDPRRPHPALLYILFAQAVRT LELDRPVPRIPSLPSGMFPGSFASPFPQPNIDRNLILSQVGGTSLILLERARLELDRG IRNVDRTFDLTRAAIGIAWMLYSMGHFIEGWNIPVSRLLISCGLHRITGTYIPPEGGS GIDPDLIPKPYAPSHQYAHSHSLRHPSNPFTNNADFPVVRMRPIIIPPARDEIELAER VATFWAAKMQDWEAGIGWGWTVSLADEICTTEWSWGSGPVETKPSSTVSEKYSIRDLY DPSSAVHSTPTLDTTYVLAIKSLALLHRASALYDLPESSYPITLPDGRVATSTIAPLT SIQSVQVALSNLRQRVPDIFQDYTQYTSPPSEVLYEGLCDPWWIMFHSNLYTAEMLCW RELADHHPTVYENAVRSARALTNLVRRVPNDSWANLDLVVALNLSLTSRFLFKESSRL LGLPQTNAETNRMAAVISADAEVLRQALSGTYNRYSPIAGMHSLIVQRVREGWPEKEG EYERI L199_000307 MPMSPPPPPPPPTQQSQQPQSQSQSPPNRAPFDIFASIIISGLL SSLTLGIVWNVKEPRLSRSSGQAFGYLRRYPKDSWHAKLLVAAQGVLVTIESIVICVM VVETCAVHIGDDTYALTIQLDSLAWVRSIIGSIVAFATQLFMINRFMRLFRSLSLHKR SPYRTKVLYCSGLIILTSLAVLCFLAGLANPIYLGILKRSSVPLDSTQSSFSRAFPII YDTQFISLLVLDVILTVLFSLKLQKSRTGFAASNRIIDVLLIVLVRNGFLVTALQLTA VVISQFPERASWSLITNHTISKIYVLTILAILTKPRDTHLDGPFSSRPNGGYTSGPSS GSGTGGTGGGSCQTCRKRLHIRSPTPQSIGGPKQEPLNLTEFISEGSVDKSVQLEDWQ ESKELPFLTRVEEGQSQVYSTEVEYEPAMRAERGQGDIVIKVDRQKETFISPSDNSQQ ATRRPRYNVMGGDLTLENNQTV L199_000308 MVQRQSFNDFLNSGSPSTPRGRGRGGFRGGGGRGGRGGGFTPGS TKKSFNADYSNMGFDYEKINSQKYTKMEGFNVQPFGPSSSVSPGPSTARGRGSQTPRG RGRGFSSHHRGGHQQPATPSGVATPVHGLGFHDHESDTRTKGDHRGLGSGKSTVGKGL GTGTVTWGGGKAPLFVKAGELFKNGEADVITMGEDHKLHVEVYPMSDPSAPQMTHLQD ETEIDFIQQPSPLSPPTSSPPSSLNDESPLTVQGEEGLHNYQDEALEELLSSATRSLA VDNDPASHGIPISQNPSIPVAHIDEYSETIAIKSTSPEREDVIVIEETEVIVPMAEGG PIDAVESKSVEDETPLFFIDTNPEADDETPPIPTYDIIESRPLGEQTTHVQAEESEEE KILFVPKTYKKPAPIFIDIGASSHSQHRSPAPEIVSRAFVNPQALSRAEKKAAKREKR RGRGKKSRQRKQDKMPREDSDIEWGSDGPPVNIIDVQGGESDSFVDPEDAENEEDEDI KLLRDYMKGTMLNAETDMDEQEGEVEMKVREEELDAQDDEDAEEMDIEAMKMFGQGIK GLTEGGQEIVDEDDWQSDDSREAFEEEEEEDDDDDDEDDSSVLGEIDIEGMMDDDSED EDVEALFNGSSQWDKDTDWFINAMEDALDGTDVNMKDRKSRNSLFKSIEDGDFGDNWG LTPAKKSKKNKFVPPELQVQWEKDRLVKAEKKQQRELERLIAEIEPTLAGYSRKGKAK AKGKGKAHQAAVAHLIPASASQVADLFDISSDEEGDFPLPLFRKGGRIPTSMPLEMVD ERIQIFLDDRGKTTLQLPPMGKDDRKKVHMLADCYNLGSKSRGSGKTRFTVLTKNKRS GTLVDEIKIERLLTASQKVGGSFYKALYTRGGKAKVKGQSSGPSIRHKEGDMVGHGAD KIGQDNIGHRLLSKMGWAEGDRIGRGAGLEAPIVAIVKNTKTGLGA L199_000309 MSEATAPPPTAGASTAGSTLMNPTATQAQGQTGQQDALDKGVDY VLGKAGHKQNVSTTEKISDGVRSGFKKLTGKDVPIQDKQ L199_000310 MRFNTLTCLIAPTFLTTLSVNALTSFEYLQVTKNFADAFMPSST SDLVADLNIKSALFAEDVQGSVDIVGNINGREYSTDFLFGLFLGAAQNPTVPSPVGVP ISYNVTAAAVEHNTVSAGIKFELQYPILNTTYPIELEAFLTINDKREITQYDLIFRRW AWATDTIIPQLTPYMANLLGMSNDNSTQTLHQFLAYATCTQTMTYCTDGNAQYDTLTD CLANATSLPTGEFYRVGENNLACRAVHSSMLQLNPETYCPAMSLSGGSFCIDRDYTET VRGDHFTQGFLAPKYVTPENKKLVQDVWASSETSELSGLLELSMSGQDAHSWDATWYA TMTFVYFVFYYFFAKVTDFMFARFNNAYKDLPRELQKNVTMYFMTIIFTAVALALQLV GSPGFKGEWHLWEFKCVRLAGVLTVALYLFELIFRFNMRLPLVAHHILTIFAISLGVT TNEYTQNPTFMLSGIIWLFQATTEQPTFIGLMGYRLKWKKETVALILKISSVQTFIFK AASALALLVYWGLHQNFNYNSIDRVWSVFVWIIAIGLFLTQIWGSYVTYIIGVNILKK RPGPPIRLESNDSSSSNTSTDIDLEKGQITSSVEEEKRRTGRTVTPVEVPTLGYDGKS EIGTSFTMSKTMSSSASTVVDQ L199_000311 MSDVQLDSALFFKRAERIFQAWENPSGDTAELEGLTALQVVLGE PNDDTPAYNKTMSLQLYLLGFEFPSTLMLFTKSPRKVTFVCSASKAKLLKQLQSSNGI EVDIQVRSKDEVAAKQVVKDLVLSLGDGKIGSLPKDKPAGKLVDDWNAAVATSKGGLE VVDISIPVSAILNEKDGEELKNLITGSKMTATTMQHYFKSKMESIIDRGTKVPHEVFA GLVEEKIGNDEKGPDMKLWNKNSSLGDVDFSSTEWVYSPIIQSGGKYDLRVTAMSDNS PLKPGVILASLGIRYKSYCTSMSRTFFISPNKKQESYYSALLEARSEALKKLKAGAVV QDVYNEVQQFVESKSPTLGQNLSKSIGFATGIEYRDSSFVLNAKNSRTLKENMVLILS LGVQELPDPKKPGRTYSLLLADTVKVGQSGAVVLTEGVTKLNDVVMDLEDEEEEESEP EIKAKPSKKTNGDAKPKSPVKTRTGAGGARAAPAKTRGANRDNVEQTTSEKIKANQAR LHAQRNADGVKKWEKGGKGKDGSQDKVVKRYESYRREEQLPRAVEDRRVYVDEQRQSV VLPINGFAVPFHISTIKNVTKNEEAEHIVLRINFQSPGQIAGKKEDMPFEDPDANFIR SVSFRSQDQRHMLKVFDTITALKKTATKREAERKELADVIEQEKLVEVKGRHPYVLKN VFPRPAPEGKKTDGNVEIHQNGIRFRPDGPASKIDLLFSNIKHLFFQPSEKELIVIIH VHLKAPIMLGKKKTYDVQFYREVTDMSFDETGGKKRRARYGDEDEIEQEQEDRKRRAE LDKQFHDFARRIESAAQAQQYELEVDVPFRELGFSGVPYRSNVLLLPTTNCLIHISEF PFTVITLSDVEIVHLERVQFGLKNFDMVFVLNDFKKAPIHINSIPVVHLDNVKEWLDS CDVPISEGPVNLSWPAIMKTVNDDPLAFYNEGGWEFLTGGGSDAESSESEEGSEFEED SDAFDDESSSDDESGSDFGDDSDDSGSDEDLSDEGEDWDELERKAERADKKHREKGGD DSDDDRGKKKKGGRR L199_000312 MPIDLADINHVLTASPSLCPFDESDTEPELELYNDNSHRAHPLS SSPIGIQRRSSPVIPSQFLQPTPQISGHKRPYDEMSRANTHSPILATEGSTAFQAHTL ALLSLISQHPSPAFPFPTNGQDPAGHLTLSTFPGKKTPAEEAIERAIIALGERVWATE RNQGVVHQAPKLPPGQHQASDLLTPEWTPPIASVIAASAQGVSPICPTCTRPISENTT PTNTYPSVYASHPLSVSLSTPDAQMRQLPSSQFGFNPPHSILTTPSGQSVLTGGPGSA SWSVGDSGMSAEKELELLKAQVQDIARVCKAVATGDLTQKIIVPVEGQAMTELKNIIN AMVDRLQTFAVEVERVSLEVGTQGKLGGQAVVEGVEGTWRELTAVVNKLAANLTNQVR SIAKVTKAVAKGDLSETIDVEASGEIAELKTTVNGMVMSLRTLADEVSRVSLEVGSQG KLGGQANVPDVEGVWKDLTVNVNRMCESLTTQVRSIGSVTTAVARGDLSKMIEIEAEG EMAVLKNTVNSMVRQLTIFANEVTRVALEVGTHGTLGGQAVVPGVEGVWDDLTTNVNK MARNLTDQVREIAEVTKSVARGDLTKTVNADVQGEILELKITVNDMVAQLTVFAAEVT RVSLEVGTEGKLGGQADVPNVEGTWKVLTDNVNLMALNLTTQVRSVAEVTTAVAAGDL SKKIAVDAFGEILELKNTVNNMVESLRSFSSEVTRVAREVGTDGRLGGQARVPGVAGT WKDLTDCVNIMAANLTEQVRTIAHATTAVARGDLTQKVVGVKVSGEILDLVNTINNMI DQLAIFAAEVTRVAREVGTEGKLGVQAEVENIEGTWQEITSNVNTMASNLTSQVRAFA QISAAATDGDFTRFITVEASGEMDSLKTKINQMVYNLRESIEKNTKARQEAEMANRSK SEFLANMSHEIRTPMNGIIGMTVLTLESELTRQQRENLMIVSSLAGSLLTIIDDILDI SKIEAGRMTMEQIPFSLRLAVFSVLKTLCVKASQNKLDLIFDIDPTIPDQLIGDPLRL RQVITNLIGNAVKFTTKGQVALSCRVKGYINNSVGLEFCVADTGIGIKQDKLDVIFDT FAQADGSTTRKYGGTGLGLTISKRLVNLMSGDLWVESEYGAGSRFYFTTVAEMTSTPR DQIIERLAPWSGRNILFIDTLGDETGIATMLAELGLKPIVIHAVSEVYNLPQQGLTMF DTMIVDSLKAAGELRGIEYLRYIPIVLLAPSNRPPGPENPSFIDLPEPRRRLLALPSA GDQSLSPIPVKDCLEMGINTYYTTPLHLQELSNAIVPALESHQMQPGDSVKDTVLSIL LAEDNLVNQKLAVKLLEVAGHKIEVADNGEIAIEKYKRRQLARTPFDVILMDVSMPVM GGMEATGLIREFEANEGVPRTPIIALTAHAMIGDKERCLEAGMDHYVPKPLRRGDLIA AIARVLTNNPPPLSTGGVPLQESNQTYDVGLATGPSGTYK L199_000313 MASYHPTRREIVLVLILTTILVLILQFDLSSTFSNSVSRGPGSG FTIGFDSSRNSRISSSSGGSEEDWIDELHSKTSKIAGMSEAKIKWDEEGAGSMTQVLA HAPGWTVFDQIYLFNGTWYIVTDNPSSIPLLRLMVSTGNEIWNDEESIKGREPTEKDM RIIFPSEAKRLWGNSASLVSGVSFLVNDPPQFLDHYYHFAAELLVGLWRTYSSLDPTI NAQGITHLPSPSRMVMPHVAAGKWNDYAKMNSFLSRAIFPSMSYEYQNDFLDRADTTR SFLFERVVFADRAAAFRGPEFGKTWRTASEAVTLQASKYWWSPIRKNLIEFVGGGNAE NDLAGDMGLGIGLDAEPDVDLIALEEEEGALVEEKEEMLEKLRKEKQAKMGKPVITYV SRQEWGRRMLKKESHESLVKELKELEKKYNWEVNIVSMDKLSRDEQIRLSARTTVMMG VHGNGLTHLLWMNNQNPRSTVIEFFYPGGFAEDYEFTCRALGIRHYGMWDDQAFTAPD TPQVAYPEGFQGNEIPLNGKVVADLIVQRLLVEKPEKSQREMTESEYAE L199_000314 MSALSGDSQIFIGIDHDLPSTFIGLHTGFSERDVGPTLGRGIAK QITTVCGFTKSTATDSEYGKYGQSLEDDFRDTVWDRKLQALKYTWKKDSAKYQTVYSG LSDEEYLEKQEEFKYALFLTAQIVENADQIRLPIRIFDSGQRNE L199_000315 MSQSRYPYTTISTQSNATYGPGSTSTNTGYEQEQQVTLHDGANE EYEYSSGVWSIDYSEGGDDDDDERRPMPIPEDTKIKISLNYDLDAKEGIYHTPPTMVV VEVDWTTTANRKKKERKPFVDISGYTNTFRSDPDFGTNLNLSSFMSSSHWSKKIDELY RSVDDNMSDFRKIPKRKYGRERHISESMELAVAEMLYSLETEKDKRGEDQIHIRILTT REAKGLRDNWGYSRWKRRDKIIIS L199_000316 MNGLGTETEQDQDNPDAESFWPVNSSEAMNLNAREPTHIAEDTK IKTGLGDDYDWEDQVYYLPETMIVVRHGDSQIQIRVLSKADADNLRDNMGYSTWRRRD KTIV L199_000317 MSQSQTKRTSRKSSAPTSDIQMGLDDIPSTFITISIDPTKLDPE QSNAVSVRGQTKPDDNYDLHHLRTKGPEVFMMDSTCSLGFAERTERWKSLVGKIATLD KVNDYDYPIRIIDSTQREELGDKGYYEFSAFLSTKDNNSTTGVGSRYEQESKYRTEYR EPREEMQKEEQRGRPRGRAPTVDF L199_000318 MSTDLKQLEQLLAGKSYIDGFQPTSADVEVFKGLGSAPEATFPH TLRWYNHIKSYESEFDSLPKGTNPLSASSSSAAPAADAEEDDEDVDLFGSDDEEDDAE AERIKAERVAKYNEAKAAKTAEKLAAGKTLEVAKSVVTLQVKPWDDETDMEALEKEVR AIEKDGLVWGASKLVPVGYGIRMLQITLVIEDAKISLDELQEEIAEIEDHVQSSDVAA MQKL L199_000319 MSSLLTPFLWSFLPGQITHQILPYLSSSLPGIFPPASRGSPTYL RNYRIAFTGVICTYLAYTFYTGEPSQSIREDYYALLGVNRDVDEDGLKKAYRTLSRLY HPDRAGSGNDDVFILIRRAYETLSDPVKRYAYDRFGPQILDWKSSSIREYIITGINHS IGFYVVSGGIMLLLSLLGKARDGSYWRHTLFILLLISELTLILSPTSSIPFLNQLPHL VKYLFPMLSAPQFIQITFLHRLFTTLSIAINQLTSVWCPSLPSEQEEMTKVAGMLRQL EMESVTAFQSEVVPLMSSGDPKIVEGLIQSTMEDILVERSTSSHPLIHQAYQSSLLKP IPRNLRTFSHNVIGEKDGMRQLEIARQIPLPPSPPPSPSRKLP L199_000320 MTRVSRYKEHKDETEDEKMEVRERDVPPHIDANTLTPKFLKTLE ERTLLDQSSAKRKKREESIPSAVVEKEKVQVSTKKRKKKDRKAEEDRKEEIPSEKQDV NLLSEGHPVNSSSKKRKTTSAQEVLTSPKSKKRKTNSSNPAADTSKGFNVSPQPQSSS ISTNQPSQIALMPSTSNSNDTFITRNGTILTFQNSGRYLLHWAQAIGHNELEVNYCNV PSTSTHSQPETTTTVISKTLQRKIRQAELEGREMPKPDIQEHQGTLRECSIHLPIIGT TVHSSKPFEGGWMNKKLAKQSASFESIKLLYKLGKVDDNLKIILSSSNSDSTSTSSSL SSTSDSTYSDSTGITDISIEGGPEREEEVRLLKETRLMTKSRSTQRWDKQKELIKDRL PPSPSESNSSKPTGQYGLGSYQSYISPPFWAESPSLSTDCLYATTLEFILDSPYQQTH KIDEQCRKLCLVTSKPLDIFDKDGMVEINLTIGDQQSPQSTGYGPKFRLVDYGKLKYW SEEDLDKALKFTERLLRAELQKPFKGDLERVKWLLVPLKSDFRPGSSLDKDDEEKKQK SKEKKKKRRRKLSKKDISWEEMTKIVDGPLYTSIYLDDMDILKKQCIDRMITSPSEFA RRKYVTSVRFDLSLDSPHPVNPAKTILESLPSGIPPLGYSKQPILQCENVRPYKSGGI MDSISYPLKAEISYIPPELTKIHCIPSSIYRTGTVLPYLFDELDNHLIANQANKDLFN GMINRRLLKQALTTPGSNTILSKNYERLEFLGDTILKFIITLYFYLFDLPSNSTKSSN LDSISLSRSIRSTSDVNGRRTTEEFDQDRHVITSNRSLQHNALKVGLNQYIRTKRFKS KEWSPKDWILNWDEWSKNNHGGEVKGNFMLKGLDSKNVTGMNELGDKILADVLEAIIG ASYLTSRNLDNVISVTHSLGIPIKGLNSWSDIKHLIPTTSSHKATKDEKVSGLGEAKY MKFFKAKGKTILGYEFKDENRLNQVLSLDMNQPGRKDLFDRYRLLGNAILDYFVVEYL YDKYPEEGPSSLHLMKSSRCTEGARSALSTELGLLDLLKDGTLETTLQITKIRKGLKA AKAKADQLRSKAKAAEVDQDPAKVGLEYWMEVPTSYTTSNPLEALFGAILHDSSFDLQ PLRQIFQEKLSPFLERYCTPPKSKDRNPKAELIRFLQSRGCITDRLMLEKKLLDTNGR KVEVIVNYHGVEVTRETVEEGLGFKAVKECCAFTLGFLRDQGGFEKVCDCRTRKN L199_000321 MPRKSYEQHLAEKAAMRRRGSVIESETDVESLFSNTTYQSQYSN SSPYLSPHQHRFSPGPSQFARTLSSTSYSSSISSPYPYQRGRSNSPGTSNQNQENRQN VGFSSFPPPLSDNTNPSDTEPTTHRRPSQGTLTHLTVPQTDKEVARRKRHEQRKLSKS TLLSSKYNSPIRQSIRYLTKIGMHRHTMSVSLMSYVLIKLLVVRFIEGRELDWVKGRE EVMWGVVVYEWVRREGEKGGRSLRSQVIASLTILLSPLSLFADKKIVPMSMTIRPLTL LSSTDDYASIFYLFLSLTINQFHAIWAMTFGLYMIGRGSWIGGVEGSKYAFLSFVIGA TTLGSRYAICESNFFNYVYNQITFDLPAVKYLAKLPMISWLISNIPTVQNQVNECCKA TVPSLTLSSLVNQAMTHKIQSTLTILSIIPPITILLYSNISLRPGSSTRPSPTISFLP LLLFLISIPIYLFSNDPHNIILPLMPLTLMMSFRGSAARGSERSSIGGSGEDEVWRTG VVLNALSIINLIPYQASLAISSLSTGLTVLWITLIGASPLMSNIVVLRQISIMVIPLN VLVQYINPLEHVVLKGAFAIGWFWGMKKLIENAWAIGGLSGRKKKSREKVR L199_000322 MSNSKLYPEAYPMSSGNPNAAYSSEGVGGYQPKKKRNKWLWIGL PILLIVIIIAAVLGGVLGSRASKDDDNSTSSSSSNSGSNNANTGVPSGVSGVNTAGAT STGADGQVYLAVATDTYLLPVYATGTATAGYTAPTVGSSNGWPTDPSPPSNSSIRPNP RLAPAYKWTALTSDLIANNLYFKQWNATIVQNASDTLGDDPTPYTEDGGLSGSGVLDV AREIKLKVKNWAYAYKVTNETKYADRVWLELQTAAGNNSDVAFGADDGTRWNPAHFLD LAEFSAAFAIGYDWLYDFWTDEQRDAIMWSILNLGLQFGYNALSGDSSASAYNWWAGS ATGNAQVNGNWNCVINGGLTQAAIAIMDRDQSGLAQKVLDLTVPDAYNNCFQGPDSAG SWAETSDYWYFGTTGAAEMVNALISAYGDDRGLAESNPGFNLTSIYHIYIQGMTSKFN AGDHGPNKYSSTANSLLLWANIFDAPRYALYQRDHIDASEPWSMFWYDPATTGTWWDG LALDHHFDAAENQWATGRSTWSDNSGTYWAMRAAKLQGHQTHGDLDVGDFVLDAMGQR WAGELGSGQYLSDGYFSSEAQDSERWLYYRKRTEGQNTLLINSSNQNVDAAPTGNFGS SGTAQGPAPSLEVETDDTAYFWTDMTSAYNGTGGVKRGIRFLNGRKQILLQDDVTTSP TLQWRMHTNATVETNEATATLKLGGETLVASIVQGPSGASFSTATPTRLSTDPALPTG TEDADQPNDGVTVLTIDVADGGSFSLQVLFTPQWGDGFTAVNNVSNVALDNWSLTSHN L199_000323 MSDSLRDSNNPSADNSPAPSPLPIPARYPHADLEDNAHIHSSPA FDYHEERRLHLQDEIWLSHNLPRLPPSTVSEAGSSTSKAGGVGEIGTSYKSASGRQGL SPGQFMATSPLTQHRAIPSSVASPGEVDLGRQGKNLEKGGQSMSFTNDQDREAGENQQ DQEEGLSSRFQRQAQVNPVERHSSMLSPSGPEYGDLDPAPSIIHRQERDKQEELDLEE EARERELNAALLNALNSGGRNEGVEAKAAAKLAGAGAIVTQPGECYHLGSHAQNLLHI PLYLRPNILLLR L199_000324 MPPARPPNIALTDPELFLGGIRSPLTKPSTSIASMPGTPVNEAS GQDLNAKELLMQMQIFAFAEQGIGSELEQLYASFARCLDLRDKYMELSNQRLGDNPKD HDGTFHGFTPRSSGDVMGLKAEVEQDACEGSSAAENDDLPTWNIYPPPPPPHWHWKPS QGSVMPEPTSSDGTEMTKTTTSTSIKDNQTFKIEDCQIHEEDKRHIFQLNDEGVFTVY SAAEESPRVNGEVDSKGKKPLARIPTLKEYFTDLDYLLGVCSDGPAKSFAFRRLKYLS SKWSLYCLLNEYQELADMKAVPHRDFYNVRKVDTHIHHSASMNQKHLLRFIKSKLKRN PNEIVIHRDGKDLTLKEVFESLNLTAYDLSIDTLDMHAHQEFHRFDRFNDRYNPTGSS RLREIFLKTDNLLKGKYLAELTQELIADLEQSKYQVSEWRLSIYGRNLGEWDKLAKWV VNNKLVSHNVRWLIQVPRLYEVYKGSGLVNNFEDVVKNVFQPLFEVTKDPSSHPELHI FLQRVVGFDSVDDESKPERRLYRKFPTAKMWDTNQSPPYSYWIYYMYANMASLNAWRR SRGFNTFVLRPHCGEAGDPDHLSSAFLTAHSISHGILLRKVPALQYLFYLKQIGLAMS PLSNNALFLTYERNPFKDYFKVGLNVSLSTDDPLQFHFTASHLLEEYSCAAQIYKLTP ADMCELARNSVLQSGWEMQVKKHWIGHKWYLPGAAGNDIHKTNVPTIRLAYRHSTLLE ELALIRHGQHSPSATPTHLKQSSSAQLPNQPSPQPPSVSNDKTTVNNRPGMTSHPSDV AAAAMSMTNSSVYAQGESHPIAPHLIGGVGTLGERSRKKSVGQLKGVSFGGEAGTGAV TPLESDQVHEARR L199_000325 MLFLSAVLPLLAMTSARSIPSPKADASLDSRGLLDGLVGGLGQT VGNLGGTLGGVVGGVGNTVGGSLGGTVSGVGQALGGTVTTVGGAVVEVSKLNLDVLVN TCIRLGTATKVNEVASVGGGLVGQSGGITLAAGACVCVDAAASASLSGVSANVGVYAS GGLYFNGTAAADIASSTQPGLLGLSTGVYNFDVAETCIAASVSLSNSHHDLQPVGGSC CARACNSGYVLTGGSTCCLPGSTLSSTGQCITPPTCTTNEILCNNQCYPKSTYTCPSG LPVMIQSKRSETCPVGMEKCSIGTLGLNQWECIDTKSDIESCGGCMYPTPSDTNPLAI STGTDCTSLPGTNGVSCVKGQCQIQSCLKGYKLSNKGTSCEKQYQLPVRRSNRIRIVQ QQS L199_000326 MSLKVPKAGGPDLFKAGYKQMSGLEEAVLRNIAAVGELSEIVRT SFGPNGRNKLIINHLGRLFVTSDAATIIREIEVAHPAAKLLVMASTAQEAEMGDATNL VLILAGELLKRSEHLLTMGLHPSDVIQGYEMALAKGREELETLICSTIPASPLPTAEQ LSKAVATSLASKQPGCEDFLAQLVAEASLAVMPKNPKDFNVDSVRVVKVLGGGLEASR VVRGMVFGREPEGVVKNATKAKVAVYTCGLDISQTETKGTVLLKKADDLLNFSRGEEK QLEGYFKEIADSGVKLIIAGSGIGDLALHYLNRMNIGVIKVLSKFDLRRLCRVVGATP LARLGAPTAEEAGMVDVFETVEIGGDRVTVLRQEEGEKTRTATIVLRGATANYLDDLE RSLDDGINTVRILLRDGRQVPGAGSSEIEVARRVSEFGGKTSGLAQHSIKRWAESLEV VPRTLAENAGLNAEDVVSLLYKSHAEGQSEAGVDIDSENSSNGNGIITSSKEKGILDP FAAKDWAIKLATDAAISVLRVDSIIVAKQAGLAPPKQQGHWDDD L199_000327 MAEDKKKFPLPPGVGAGKPDHPFAVTVEDPIPNAIVPDGYGIKY HAYHTILPAGTSLPGGAVLPMSSVADFPMVLPMGTKLPGGVMVPIVMQKVEKKSVAAS DPPGPICSIQ L199_000328 MTNAASINGVDSFELVDILLIGLGSIGSVYAYLLERSGKARVTA VARSNYTLYTTTGVTLHTDRFGIVEGWKPYRVVRSQAEALADGKRYAICVVCTKCLPD VLPNSELLKDAIESGQVGSWNLVQNGLGIEEDLYQAVKHLGTPVMSSPAWIGIVTEGS LVRWRGKDTLVTGLYPPLPPKDKSAEGEARIFTEREKDALKLWVDLLTAGEGYVHPTD HIDSIRFLKNVWNCAWAVVTGLIRHTALQFSYLGPTEEKYIKDYFMEIVDIGFKSGLL YEGMIQYPAGDVMGDAQSVVNRAWTVLTGTARERGVGHKYSLLIDVEQNRPFEVEVIV RSVLNIAKKNNIVTPRLEFAYAMLKALQLEIIRDNDRKKVKKADEVGA L199_000329 MSFTPPTLPPISTLSAALENTSNSSMVIAPELMGEEGAAPNIKR RGSQSEHEGDESSHGINSNGYQSSSAREERAGWGNGSYTTGNRHPHPWEEGYRDEAHH DADYPSQTAITQNQPSNEGENKDEEGNEDKGDKPKPRKRARVSKPRQSKDNRNNGVKD DGIPEDGVLDYADPSCDFKLGPVYIHPPKGAAQACVRCHKIKRKCDNAKPRCAGCNKA DVACVFELSPATASYVTSLKADNVALTSQIASAAERIHHLESAISNLERGLPPPPEKD IYDDHQFSDQLTTQADFAAMSNTILSVRSNDLSGPVFSSDTAALDSLASLHNGRTDNT PFGQTQSQYKQTPLPPYDIALQAVESFFVMNAISYPFLQRDEFSKDMDEIYRQDQSGA TRRGSTYSASGQQPDRDAEQWARKEFLLFMVIAIGTTNKERTGEAEKGSSKIYKDRAF SSFRAALGKEDILCVQSLILLGIYAMFDPSGISLWHVVGFAARIAIALNLHRRVDDST LTASEVEHRKRVFYSLFNLDRLVAVTLSKPLAIADNDIDVDLPSPLPTDESYRGRPRI DFTRHITKLRRLGGIILSTVYSVSGEQNSHNETERSNIIHNLHKQLDIWLSECPLSPA DDENDGNSDGGSMIHTSYSWFLLNYQQLLCLLYRPSPLVPSMNEEKLKILHESSLNCV ELYLNLYKANKVSYNLINISSQFLSCISLLYCLCEFDSRNKNLIDDAGWKAEVKKRLE QCNDLLEIFSKSLKETSKYNEIFKKLSDLLLVRYGPLTESEVTTTTTTTQEMQPAQLD STSALASASASDENQIAWNAMTQLWYNSGDFTFDENTLSNSFGFDKDRDLGSAKGLWD QLG L199_000330 MSSTNSYVAQGLGGLPMRFITVGYSTQGGTQLNTNISAWRRSNV ARNRQLDSSYDRMTGEIDQEIDRQTGTIVSTLRDKGPEEFQKWIPGFLEGLAKHVSKS SEIDNLSIRILNAEENNSLAGDSEFTHTSQSAPMVFSNTE L199_000331 MSSSDIRSGLNDLPDDFITISYEFTEEGQLQTDVSAWVKAGTQH APSNDRSAVDQTFKLARSKRVNTLDHSIQSGFAQWHRTQHNLGKMLILLFPRNWRRS L199_000333 MKKVSPSVARRKLINALPNFLPFIITFTHVFLSPYTKVEESFTL HAVHDVLAYGWDRSRLSHWDHITFPGAVPRSFLPPLILGTISYTVACAGVALGWIRTK IDVQIIVRLILAAIFSHSFNHLAKTLRARYGASVRTWFTILSLTSFHIPYYAGRTLPN FMALPGVLLSISLILRSGSRSAPETVTAKRLRTSIVLLTGLATVVRLELALFLIPVAL SLVVTSKASLSQAVGWGMLGGFGSLAISSPIDYTLWIPTIPHPSLPTFTSTWQVLWPE VSALHYNLLQGQSANWGVMPWHYYLTNSLPKILMVSLPLGGLAGAIWFFRLIGVHVGG KEGAKIYEGVGEVVKVFGAGVVGLIGMMSLVGHKEWRFIIYAIPILQIIASFGAAGLW NFPYPKLRPLVRLGLVGLVVLNIVPSGGLTFISMNNYPGGEVWKVLERLPKGQDDTIK IHFPSYPLQTGSTLFTFLHQQSNINTTSHVGSFELSPFPKQKQPQWIYSKSEDEAYST SEGLWNNEVDYLVTEDWDSYLDKWEIVDEIQGLDGVGRKGKFGLEVKWGRKSAILGKK DGR L199_000334 MMVPLSPAVMSNIAGYMSIATWIVVYSPQIWENYQLQSGEGLSV PFIVLWLLGDITNLFGGIMAKLLPTMIILAVYYTICDLILLFQVYYYRRKPSPAARTH IDPDDESTPLLPEPKQPKPLLPPSLEYPVMLGFVLLSGVGAWYITDQDEVKIPEKPEV ELEWKSQLLGWMSAVLYLGSRVPQIVHNYKTRCAGLSLAMFFFAISGNITYVLSIFFT SMNPRYILANLPWLAGSGLTVFLDLFVLAQFAVFNWQDKKKEKVFASDEDDDEEA L199_000335 MNRLSQILSLLCSFYLLPLVLGSAASQFHLDVNTHASECFVECH SRLEYTIEIPGTGSNGFSWITKNCQQEAWRNLMGNCLPVVCKSAPDVAYAVEYGENWC HRAGVEVEISLPESYLNTANGTYFTSAEYLASSSDPNTNIRTGPMVIGLISTFGLISL FL L199_000336 MMSIGSKRNKGNGVNLFVLGLLAYSTTINALSEYQVVEVVKGFA DSYLAPKNIEVARSINSTLFAEDVTGTADLSTKRRVSANQIVLLLDISFDGRELSTEY LFGLFVNTADDPTDPSPFGSPISYNVTALLVQHNFISTSIKFQFHYPILNETFPIQID AFMQVNENSEIQQYDVSFRRWAWATDNIIPKLIPFMQKGLNLTNITDTSVILRTYLSE KICQMAMEHCTGPNEQYKDTEGCMTFLSGREIGEWYRMGEDNLVCRHLHVPMLPLRPS VHCPHIGPSGGDMCITRDYKQVVMDSHFPQGWLAPKYVTPENQDEVGGIYAVSGEDLD PLLEIALSPGDSHSWDPTLYATALLGYFLMFYVVSNILWFIYFRHSSVFPTLGLEHQK NVVMYTMNIIFTTIALALELVAAPAFAQRYALWEVQCLRTAGVLVSALYIFELIYRLK MRIPMIAHHFLTIIAISFTVTVFEYTQSMSYLISAVIWLFQATTEQPTFFGLLGYRLD WDRRRVSKILRLAAVQTFIFKSASAIALVVYWGVHQNYSFRPMDKAWTSMVFILAIGL LLTQIWGSWVTYQIARRINKQPIQLANPKFKGSNLAIYQTIRLKPEDDGQQQHKRNDS SGTHTGLEDDIDRYEIMTTDDSTPISNPTGGGLNRLPSLSSLDGTGRNRLMSNADYNR VRDKVNNEGASGGGAGLMVGLDPANIPLPKSPSFLSPTTSSARSDDQCLFTTSNTPVD RALYDSNGESGIRNSASFGKAL L199_000337 MLATQPTPMHHPNTTSKIRTTPGWDEQIVPTLKKRLESESQYLT KRLSAGPTEDQHQHQPPTSVSSSSSKLNSFPQSPTFGVFQQTQTGSSSSSPFSSGIPR SRTKSGPFLNDTRQLNGSNGGQSNGKRPSPRIDTSSTSSPKIPSTSLPSRIPTRPRSK SQLSSSSSPKPSPVHSNSQPPPLPTTSISGIPVLTPRSRSRSPSKRPAQAKMSVAVME NRRESLELGLGGTRIKEGFIKNELPPFKMNPNEALRIAEKGHELNEEDFNINSNRTSY DSSRMAERKRSISMKPNNLHPKPNGHMLERSGSSGSDRSARRNSRPSTSSAATSTRGY GISTSHTGLGLGIGQPSSSSRLSNGRPTQRVGSSSSPINGSPSMAFNAPRSASLNLLS GSPSMSMNGTPPSTRLGVAAHFIPPESTYTPPKGTDWDDVVLPTVAKKLGINESEKEG GGVVVGEEDLAVEWDKDGTPTRWVKRKVVSGGNGSARVGLGLGDSTGSQNSIQPNDTT PTRTHAFSPTFEPSPDNPLQPRQRPSSASLRNRPSASSDTELTTIRTTNGQPVSLGQP IDRSSSPSRNNAYYPGGEPFPTTGSGMGVHRKASSQNISTSSPLSGLGSNPALNRKPS TLKKQNHSTPTVSRQGSELSLRARNASNVARNNNNNNNFGPGPGSGSANGHGNGQMSI PGTTHRITDAIYENQLARREGRVNEKGGGAKARKDDDMHGKGCGCLIM L199_000338 MAIRLPAPRRGIRSLLVLLTLLLVPYLLLRHLSSIKGEDDLPRT NSDLLRNRLEGRRDELVLNDEEQGNQLPFGLNRFFDDDDDDEEEEGPSRMGRSKNRPI DDDDDDDESRTGRKKRPIKPIAEHRFLPNGLLIPNVHSPHPIFELISRSKENWQKKVD KQSKSLSEAVDEYRRRYARNPPKGFERWWAYTERNGVGLRDEYDQIYHDLEPFHALPP SKIQSLLKDSSEMSGMYTISCPGIQSHNNRNGNNHKSSSSSKCVYKIVETGLNEEGKR VAKERAKEQLGLLESIEGLLEPVKVTMYSHDVPWQFVGHEYKGALEDASAVGEFFDPT EHEIDTAHLGWASACAPHKPLRHDYDPDILPDLDGLWNGDKSFVWDHKATMDPCIHPT LTHLVGFLSGHGKGPAPSKELYPVLAMCKTTLHADVLGVSMEAWTEDVGDDPAWEDKK DDRMLWRGKTTGIYFRDEVPWHISQRVNLVSRSAEPIGHVPVFDVPPSYNPNKPVGEP HNVPLSQLNAELMDVAFVDEAIQCDPNVCRRVQAGYKFGSRKDWSQGNQYKYLLDIDG NGWSARFKRLMTTNSVVLKSTIFPEWYTDRIQPWVHYIPIKADLTDLYDVLSFFRGGH DDMAKEIAMEGKNWSKSFWRKEDMIAYQFRLFLEYARLLADDREEASFHLRGDDLRKR DEEYKETEDEVIVEVEGEVVDEMDKEDTLSGI L199_000339 MNRSTSPPPNPSSDSPSLSPAPTPPPPAPHTGNSRPVSPSSGIQ SSVGSPSSIAGHLKKPSSLISAASGSPPPTHRASFPDPSKLPRNSSGNLTINGPKVKT GYCCERDKEIALGEEVHIVDAFKTTEGGKASYITYVIQLGTHTTRRRYSAFLSLHQAL TGLYPVLIIPPIPSKQSLTDYAVKGQTKAKEDATVIARRKRLLEDFLRRVLRHPILGG EHIVHRFLEDGVSWNEVLHSPPISLLPKNPLHAPSHNPTFQPASPTSDQPSASTATGG GSTSTSYIAHHLLPTPSPSHPLKKPDQRFIDSEVFTEKFQNHFTGTMEKVNRRVTKRW TERAGDMSELGAVWNGFSLVEQGKLGEAVEKVGQAVDQEYLATAALLQAWERTTTEPL HIYSQFASLIRQRLSFRHQKHVQYELVQEALETQKDKLELLENAEREARRLEEALERG GRGLSSSTSASQFDSPSVTATQPQPEENRYQQEERRARTSTSTGQGFGLLSAVKHSLS GMMDVDPEATRRANIGKTRDNISQLEDSLQASAQDLKYASTTLQADLDRFQRQKVADL RNLAIQLSKVHREWCKQNLEAWQAAQAAIREIDNHPNQPPAQAQPAQQDTLGGPSTSE QTTSSTMGMGNMRSEIERVEAANKPLPLPERDEVDTPFDENEEEENNGKGKEKAKEKE GPLGPL L199_000340 MDPLPILPSNSDLERRRLESTIDNDLGSLSLSSLPTSTTTTHSF ISSGSSTISMEYPRAETMSFSYQPHHHYGPTGTPRAAGRMPSRLSSFGAPEQSMFVGA SPVSTAGHHASAVTLGAGVFGKRDEGNGNTSEFDPERSLGRLVGELGRVMGSDKLPSR PTSPFSPPRSPSPLPSLMQNNNQAANLSFTLNRNDPLLSPPSSGGEQTITQKNFPSNS NSRFSSLARELGEEIQNARKQKHRQQQQQPRRALSDSTTQNIQTPAPISHARKGDKGH RIKMLQGENRRSASAPIRSNKDNSMDVTGYTNLMATPAKGGEYGTLGKNGEVGGETGA NIAGTLATLNARLRALETENSVSRRRVRELEDELEKARVEVEMAKQDGGKRLRDVIGE KSALEDLVKSLRSHLARLTVEVESNKALIKELRSASSSSPPRKHTPVVPGSPSVKSEL AALRREIERLTQEVARLGGIVEEGLETRKKARGERTVRMEREEMERLVRQVMDEENDA QKNVVEPSKLRQGLHTAASTSPTLAPPTVQPVRIAKSTNRQHQLTPPPSEDDDELRSP TPVSRSNSRQGSLLDQQYPIQKSGSKSKSNRRVSHDVTAAIAGGPSSPFPSILGDEQE AEFFSPSTRRTQTQTQTRTQDRAFVETQAEIRSKAPSGNGQRSTSGRGPGSARRGLDG EDLPPQTVLSRVVRELEDDFEHYKTIYSELADQYKLLDPASISSKRHVLAEHLREVID VLESKADQIGDLYELLEFRDKPLSDAQKYDGNGRWVGKSVGDVLRMVKSSLGEEVWRR LEGDLGHGHGRKKGGKEI L199_000341 MYLSNHPTKEEASKYVASQIINRINTFNPTQNRKFVLCLPTGST PLLVYKELARRCEKGEISFEHVITINLDEYVGLSSDHPQSYYQFMEENFDIPSNQFHL LPGLPIPPHTTPQEFCASYESLITALGGIQLLFMGIGENGHIAFNEPCSSFRGRTRVV KLNEGTRKVNARFFDDPSEVPTHALSMGIGTILDSQEIVVLAIGHKKAEAVRKAMEDG VNHLCPASALQLHDNVILVTDEEASQNLRKTVKDYLTSQIPCQRCSMISSIGRPDVNR DYQTSGIEGRAINSDQDTDPMKQVDNSDITLLSQGKIKIDEKLDNKGNDDDQGDQDCN SL L199_000342 MVSKAVEAPNVGFDFSNYARNEFFGQRLQGIPKATSTGTTIVGV IFGGGDSGEEPGVCLGADTRATGGPIVADKNCEKIHYLTPQIRCCGAGTAADTEFVTN LISSNLELHALSQGRPARVVTAMTMLKQYLFRYQGHVGAHLVLGGVDVTGPQLFTVHA HGSTDKLPYVTMGSGSLAAMAVFESSFKENMKREEAIALVSRAIRSGIFNDLGSGSNV DVAVITKNGTENLRNYEMPNERGLKSRNYKFRRGTTAWTKESIRSLIVSEEVKSTTGA GKDQGGVEVVAVPTGAGAGGEEGMEIDS L199_000343 MQAFKNFQASIPALPAVDVSGFNKSFRNTVQATRERIGQVGPDG ITELPAEYKALEARVDALKDVHQKLLKITKVHERESYDYPSDIAESLNEVGHQAASAW SVFANKNLKNTNLPIPIPSPSAPTPHQPKTLPHAISRAAKAGATELGSEDRLSIALGV YGAAMEKVGDARLSQDNLIADRFVTPWQATLSTSIGLAVKARNNVKTARLELDSARAA LKSAAPAKQEQARLHVEEAEDKLVQATETAIGLMKAVLENPEPLQNLSNLVKAQLIYH STAAETLSGIQSEIEEAATAAEGEYRTSRGA L199_000344 MSRYHDIEERELSRGRGRYDVEEDDLFDRGRMSRPPSQAPQSEY QGDPGRSQHAASQQDRSYPPPTAQPSYNNGRNSSRHDDHDRASQPSGYNAPSRAGPPS MAGCSRRPPPTPASSRAGATDISESLERLALTSFYVRPGFGSTGRHLSVLSNFFQVRA IDKRAKVIYHYDVDIKPVKQRGENAKKPKGLLRAVWEQFCLEQQGSFVDGLTASAYDG RRNAFTPNKLPIADNSSQSFSVALAPDGIVHRAREGSSFDDENRRWNLVLKLVAEVDL EYVMEFCRANKGPPSNEEQCLTGIMATNVLMRDFPSKTYAQVGATGNKFFSMAGAVAI PQGAVVCKGFMQSFRYSSSGLPMLNIDVGYSAFLADGPALEVIAKILDKSSSRGRGRG GFGGPPGQTRVISELAALEIAIVKRTIRGMKFTVTHRNSPRLHTVLSVTLQPAEQITF EIQGREGGPGRNISIADYFREFHSVQVTKPRLPCIQYGKKAFIPLEFVRFEKWNSLPP TKLNADQTAEMIKISAINPKDRALQVNHWRAELAHESQDKIGAWGLQVSKRMVQLEAR ILPPPRVLYGNRAQAIPNDGSWGLQGKLFFRNGKKPLMAWSVISFDKWTEEDEMHRYI TYLCETLTAHGVDVRNRQPDCIGPIDPRGNDAVANALQQAARAAYRVGRCAPQLICCI LPGRDAWLYEKIKKSSFNDLKGPVPTQCMQAAKIRAPRGIAAYTGNLVMKIQSKLGGL PHQIPIEDLPGMVKGKTMLLGGDLGLPPFKAGNENAPTVACTIATYNSDCDTYSAQIR LQEGRAEIISDLSSMIEEHLKIFHKHNGEYPERILIFRDGISEGQYAAALTYEHRAIL KACARLQKGYRPRLLMCICAKRHNTRFFGRTEDVDRTGNLPSGLVVDRSVTHPYAFDF FLQAHAGRVGTARPTHYICLLDELPMTPDQLQQLVHSLCHSFTRCTKSVSLVPVCYIA DLVCQKARIIVHEPGSTIAPSESSAGRSDARGSRRTGFSIDIMQVQKVLARNDELAEV AWWM L199_000345 MYNQSKRPTISASSKPFNPAHTHPASVSSIPSIQTRSSTSAASS KDTGKPAVPEEGIQSGGGGGGGAGAGTEEGIEGVGLGKEGEEEDKSKWSDPKKVHPAW EGFGKGPNDSTDEKEGKKKRDGKSKL L199_000346 MSSSGWEVRFSNSRQIPYFYHAEKGLSTWEPPTEISQEQLHQLP GASKYLKAQGASGPASGGKDGQVRASHILAKHSGSRRPSSWRKENITITREEAQKIIE QHINTLKSLPPSEVPQEFAKIASTESDCSSARKGGDLGWFGRGQMQKPFEDATFGLEV GQLSDIIYTDSGVHVILRTG L199_000347 MIDIQINGAFGIDLSEFTDEKAYVDGFKKMSEGLCQIGVTGFLP TIISQTKENYHSIVPLLRTLPTVSISQECQSRSLGYHLEGPFIHPKKSGCHPTSNLIT APKGLQSLVETYGEDSFVRGNEDALVKMITVAPDVEGILEVIPELVVRGWKVSLGHTN ASTEQALQGVTNGATLLTHLFNAMPPLHHREPGLIGLLGLPPTSSSGQTVRPSMAQRV YSVSPTPMIRSKAPTRVPSPVLETEQNLLQVDTCSGDNGIKRCTGGKMGCKAKDLSVN TDRCVNGGCEDETGDYVYIHSGKKSKNHLNLSLKLDDLSVQANDGRDTSSPENSESAR SDGHPPLVSEGGDGQDGSIVKRPYFSIIADGIHVHPQAVSMAYNAHPDGCILVSDAMH MLDPSLPDGVHPWREQYIEKRNGGITLAGTDTLAGSILPLSQAVLNLSKFSGIPLFKA VVCATYTPAKALGGEVENMVGLSVDCWADLCIWDGEGLKGVWKGGNEVWYEG L199_000348 MSKLSTFPLLSRIISHSQSSPDSKAIVDIPFAHSITYSKLVDDI LSLTNLVSPLIKEDEARVVVLCEKGYLVPLAMVAVWTSGGLAVPILPSLPLPEQSYMT TNAETSLIICDNKNRSRAEQLVEEVKKEGKTTCEILEISLEDIRKNGVTEDEKRNALE RLNGRELDGERRAMMLFTSGTTGRPKGVVTRHSALAAQVSAVAEAWRWSESDNLLHVL PLNHLHGIVVALLPTLWSGATVELWEKFDGPAIWKRWINEDGKDPITMFFGVPTVYSR LIAAHSNLPSDLQEKATKASSTLRLQVSGSAPLPESVKKTWEQPGGVGGGQVLLERYG MTETGIIAGTGWAVEKRIKGHVGFPLKGVEVRLWDEEGNKQVTEKEVSGEVQVRGPPI TKEYWRLPEATTKEFQDGWFKTGDVGVYSSDSAAEGQLRILGRKSTDIIKSGGEKISA VEIERAILELEGMKDCAVVGVDDEEWGQVVSVCLVTTRPEVTVADLRKELRNVIAPYK LPKKLKIFEGEIPRNNMGKVNKKKLVLEAFPPESA L199_000349 MRELTPASSTSSLSSRTKRHIAEEDTPSKKPHARNSMVDIFKTT HLNASGDHVNEETMVNRDTLEEEHVVVKKDKGKGKMKMVYLPELPEEVWTRIFEIYYE DRTTQWQSTGVLRDGLTPVLLSRGHARIAIPVLYRHPYVGYKAITPFISALSKPPQNT ELPHKDYIKHLTIRASPIIPTNEFSAFYAGRKNPDVGTSAIAPYTIHPSFDTLMRTLP ELSTFTLKDTLILHQADAQLLFHGLGHISPKKAKLEFRMWDLYDSPYGQDIIGATRGG AFNSYGSKPSISPSPDLTSPRTVHYDIGPLTYQKAWRDALYNATELDLPPWWIEPPRS DHPAPALPFGQWIANNAGLTANQLTNWAATLPPPTQAGGQPPNIAPAHPFFPAAPAAP PPPPSQPSSSTTTQDHLLGQLQLQRQRLRRMLRDHPKLSDWLEPEETSDTVTTSGTAA DSTGAAPVRGTGSSGSQTAAAVSEDTSGLSLDDLIDTTAWELSDSEDEQPGSLTSRPE TRPPPAVGHSVPRLGSSHTQNPSLPSSTSSRQENGPSWWRSTPQGRAQTASANSGSDI AIAGSSSTVMTDLSPSQATVPAPQQRYRRIIGPGWTGPSDVSSARASERTGSRVGQIG RSVTQTPGLTSHELAHYMRGLLLKLIRDSWTPRLQALSIVALDPLASLMVRAPQLDFW TQVNVPHIRVHLPRSINSLAVFKGAKEVARDRARRRREQGDMGNNSNDNNEPDQDLVP TESGNEDVYKIVGGDGSGNELINEGVRLFEVEINSLEEMRDEVWIRYGDQLPPQLCRI LAGEHDWRDVSFGHMNDTYSPPYSEYIPPESPATSDFDSPTFSFVSLGENDDDQATDI DELEGEGEGGGNDTYDKEKAEEQAKRMRERGNAV L199_000350 MPPRLPTRALTALPFSQQAVASSSTLPPPPPVNGKTQHRRTASP RSSPSQCFSTIRGRSPGQAERRRSFHSTAVHRASAKNPYDVLGVKKDASASEIKKSYY QLAKKWHPDSSKEEGAKEKFHEIQAAYDILSDDSKRQAYDRYGSASTQEGFDPNGFAS GAGGFGGFQGFGGFGGGPGGNAGDLFEQLFGSAFGAGARQGGPGGPFGGAGGARSRPV RGDDLEAGVSLSFLEACNGSSKKITITPVVDCKPCSGSGLKPGEKKKQCSTCRGTGQQ TFQVQGMYMASTCQACGGAGETIPKSSRCGECDGVGKIKEKKVVDVEIPAGVEDGMMI RIPAQGDMPLSSAGGPPGDLLVRVSVKPSSVFRRQGTNLYHDAKVPLHVALLGGIIRI PTLEGDVDVKVKGGTQNGEEAVLRGRGVKSVYAGRRNDRGDLIVGWKIQIPRSLTPNQ KKILQAYADDVEGRPSNISFTTATNGEASSTYSPNHNFERERPSYRPTTQESSAPKND PIDPEQSGQFGSSDSSIGGKVASAVGGAIGWLERVLGRR L199_000351 MSALIGTFITHISQCFLTSSSDNLVTSLPLSSERPFFGPLRQAL STVSESSISQQSVTQQLGFVGNDIKDNLASFLSAVLKNVRGEQSESENEAAYGEFSRL QSVYSEANKLYGMTNDDGTHIHAFMNPLIINLARTLLKVSNNAAALSTLPLRHPKSSR SIRDATRQVIERSMQISNTSMIESDWNYNCTQQHMVGDIVWELGNILFRIYAERKLHS QSAELSRTLESLTPHEQKRFASRGEIVPSTTICQSYYWRGKIRLILLDFRQSKYWLDK AWSIVPKDQIGWKQRRAILIRLIAVNILVGQLPHPQTLQEYDLPQFLPLIHAYKTGNI PLWRKTLDENREWYRRRSIWLILYERGEILVWRNLFRNTLKMYYSLDPTAPKNKCPTW IFISSVYRTFLGSGEIEDGQVELEDVICVLSSLIDHGLIRGILSYSQRQLVMKPAPDG LGGFPNISTVEPRKIQIVGQ L199_000352 MPRVPSLSPTNHRRPSTSTSSGPPQHVFVVSKHIAGPSQHAVSA GEGDGPASVTSIVEALNKRQPPSCDACRTRKLKCAGRPTVIELGLEAIATVQCEHCRE WGLDCSYLYQRKRRGRKNRVVERLAEEQRARRKSLGGDVLLGQVRPGTGGGSSSSDEE EPERRDTSASASTATAVPPPFMQIPQLYHQDSNPLIRPTNDSPRPYYPSPPDFSNHAP HPPQTIQPSSAPPHAFSFSNSAQSNGTIPPHRLHQHDQAASLPSVASHDFANSISAIN STSPNNEVSPSVALSEASIPPSTSIDSVLPREMAMNTIKLWFDHIHCIIPVIHRPSFM ADLVTHEEERRPMFFALIMGMIATTLIHVPKSYFGMSAESVRKLSDKCMKACYAVTRR EMDNPNLDLICIKYMVFIIHNKHGNVGLEAAAFGEAQYLAISLGLHREDTYYGLDPIE AERRRRAWFLIYNADKFEAVARAKPVLLRPDEFLGPEATNFPTELDDKSITKHGYLPN SIPVPLISGFNILTRIVTILGDILVHERDIRRRPPNDPEELLSALRQVRQLQQRIKVI ADKLPRPFQLEVGSGDVLPAPGWEEAIRDELDLFFSDPMSSETAKDGYLVLKANIHVT LAMTRLRLILHREDLLNRSGQVGTPSRNAAELVAADLGENVDWRHTVYQDLFKAVHGI PIQALAANGPSLVTKIRVVAVTLLDAMPSQEQADPNVQGIAAYLLDFLNIMSSIESQF AD L199_000353 MTRTIKLADGKSIPALQWGNGSGGLNGKHDPALEYGITALKAGV KAIDTAELYKTEVATGQAIEQVGAKKADIWVTTKTHLDHCSAVPATPEAIKANVQDRL SKLGFKPDLLLIHNPFVVEELEIAQFWTALEDLVLDGTLEGVSLGVSNFRPQDLEAVL GVARIKPVVNQLEYHPYVLTHLQPVLDIQAKHGIVTEAYGPLTPILRHPTGGPIKPIL ERIAEKLSKETGKKVDTAAVLLLWTIGKGVVAVTTSTKENNIKKIVQVDNELPDLTKE EIDEIESAGRKIHFRYYAEHMTEDFPAPNLPEDL L199_000354 MPFPNPGWHTDELAEEWPESSPSPPMMPVELPPIPGPTINMDSI RAKRGSLRMLGQASARPLPRSRSASSGSQPGRIVSGHVDNGQGHIPRSRSISHNENGL LSPPSSRSSSGAGQEGAGTEAAAGTFVVKEGVEDNRGQHLARNPMGPKGSKDIFGALP LERMFDPPSPPTNPTNQERQSPPSILEPIAESSTAISTPTPAAPDHARRSSHPYAPAN PSRLSKSVTPSSNDSFTTTSSANGSLGRSHIIEQHIVHEEADSLIRDDTLLGEDEDDS VLPGEGEFHTAPQGQDGTMKSRLGEITLKSGEVETDLSPFNRRGSGDYPFTFNAPRHP SGNISSERGHSERSIFDPENHNNGEEGPSHSTLNMKPKSRPALEQVQPLSRGVSQQSS NPGLRLFRSTYDTYTREHLSALVDSIAIEPSPSPPAAQSRQASRDWSPSVEYSASPSA SDSRSTPSGSSPSSDARSSKRLRLSPASPPKRYSGLRDWGAQGRAMMEKIRGRDTADE TTTSASKSQDTASDPHAYEMDGPTIDYAALPPTPPLDRASPRQPTDKPTHRSNPSTTS SGYLRAAEDIMARIKSRKVSESASGVENSPVAIGGRRILSESDENRMWEEGAEDYARS RSNAKPRTGPSPRRILRRLSASEEIKRVAEEDSGSSDEQPQPLRSSLPKHRQQLEERR PTSRASTSSNPNLGGPSQPAQAPFDADDLNRYMSSSTHATSTTISTSFVKHRGPKAVS SGPAHGMRMIRPDDVQGVVPDRIGKMRFDRAGMRWVREELGPVDEAGESRLGGSEESV DVFAGMESLPDDTQRNNTNGNVHTVHQAEISVFSVSSTSTSDNGDVILHEAERTRIID DEESEMMSESEEEEEAEDPTEVPPIRPSAPSPKSVEPVSPHRPMIHHASTAPAIMTPT PSAYAPKPIRSALRNAPTPAGVFKKRTGWSDEVTPAGTRGATPGSSGKRSVSFSDGKK TGKIVGLEVEIRTTAARWSTTEENDLFNEDSSSHNTGENSKSFLPSARTKRIQNLLED MEEMSLEDETPSKPSRAVERPASRASSAHSSDSESTVPIRSFRGRSFRAHTPRNPGDA TFLTECSFGVAHDKLVELITDVHPFEAHWEELKSINLKGKGADSVARLKEFLPALDEA NLDDNAISYLSGIPSTVRNLHVAGNKLTSLTSVNHLRNLQYLDISRNQLDSVAQLECL RHLRELTVDNNAITDLSGIMDMDCLIKLSCANNQVENLDLSNAKWSKMETINLANNRI RNVRDLHKLSSAASINFDGNQLEHLEPSRPMTSVRVLRFSENNIDHFDLSLFPKVRTL YADGNKLTHLSRSSSSSSNGRLENLSLRNQRLTIPLKLVYKDLENIKRLYLSGNSLSD GFFPSPSSPLYALVYLEIAACQLTEWPNFSKLLPNLKVLNMNYNYLKNLNGVKGLRGL RKLTLVGGRLGSEESNNKGILEGLKGLDSLEEVDFRMNPSTLSYYFPLLLPSSSSTPQ SALDPSSSKGLVGAPASIWQSYDSRFRKNLPDEWYSKRLVYRGLVMSTCPNLRRLDGI QIEDGERKKAEQLLKVAFRRRI L199_000355 MPRIRKQTSNRKTTRDRAKIHKKSVDNKRKTKKAAKKDQTWKSK KKQDPGIPNSFPFKDQILAELAEERRKAEEEKIARREAAKAAKLAPPQEEAEGDTPGI ISLSGAVLSRTAPLSAIAEPSTSSFVAADVPDLIDTALATLTDVIDRADVICEVVDAR DILGGRSGHVESLVKEAEGRVVLLVNKIDLVPKEALASWLAKLDIPAFLFKSSLPSPP TSSASSSKTPSSSSLPLHSSLGKDEFFKAIKQWSSEKQSKSRSKSGSKSKSKEPVEPL VIAFMGLPSVGKTSILNSLLSPKQPKHAVAPYIPTATSAKIPEPKTKAPVEVEIEVDG EKVRVIDTPGWEYAEDDDSEDEDQDDEDEEEVNPEKWDALEARLAGDLLRRNLGRVDK VKDAFPLVNYIIKRSNHQDLMLAYNVPFFEAGDVEAFLTGVARAQGRIKKHGTPDLEA AARVILRDWAFNTFPYYTTAPKSLSATKVEYDMSSVLEKCKSKRDLKKENPKGLVRFK GAEGVDKRDIILDDDYTAMAGPSEDEEDEDEDEDDDEDEDEDDEDEEDDDEGILIGSD EGEELELEDGPEPSSGSDPEEDEEDEEEEDEEESEPEPEPVAAKKRKRASLPAPSKKV KRVSFAKEEKPLKGILKRKRN L199_000356 MSSAQDEFHVIMVGAGYAMFGTPEGPWNIAKRAEQKLGSRLVVD AVIEVVPTRAEEALNIKRDGPHKESYKNTVILPSVAEFKKLVDEGKAKEPRAIFVATP PTVRGSTQPGKDLEIQLNKAFPNIAIFFEKPVATGQPWEESVGEAIKVSEYLKKNHKA PISIGYVLRYLKIVQQVKKIIEENNLNVMATNARFVVAYELAIKTEWWNKDIMLGPII EQATHICDLVRFLGGEINFDTISAHALEADDKPGQLSKKNFDESVIPDDLRIPRATTA SWKYESGAVGIFLHSTALHGTDYEVQLEVFADGYAFILQNIFGTSKAPELHIRRPGSD EFEKIVTPGDDAYQTEMNHFIDAIEGGESPEIFSSYEDAAKTYEMTWVIRNSAEEWSK KVKEGKVKK L199_000357 MFRDSVLSGAVTCEDTRASEIGTTILSEGGNAVDAIIATILAVN TLCPYHSDIGGGGFAILRTGDGEIKSLNFRHTAPAAANNEFYKNPEVSSSIGGAAVAV PGEIKGLEELHGKYGKLPWEKLLEPSIRLAEDGFEVKQDLHEFITAECNPPGSSNLSG SWMENDSCYSSLFVDGQAIPVGSTWKRPEYANTLKQIAREGSKAFYEGEVAEASVKVV QERGGLMTLQDLKEYKVEWNQPLSIPYRDYTIYATPAPASGAIFLSALGMLSHFEPEG NGSVKDLHVLTESLRLAYGQRTALGDPNYVPGLLEKQASWLTPDALEEKAKLITEKTH EPDYYKPPKVEIVNDHGTSNITVADADGLVVSITTTVGLAWGSRVIVPGYGFVLNDSM DDFSVEGRPNQWGYEPQVANYVFGGKRPLSSSCPYIITRTTTNQPHASGGAAGGSTII SGNVQVARNILDYDLSAKEALRANRLHNQLLPNVSELEQSSTHQGITVDGFSEEQAKG LEMKGHQIKLVKKNRTTPVVMRMYDGKKERWEVGAEPRRNDSGGSVFIAP L199_000358 MDHQVATRLSPFTVPVEVAKDLNALKNHVFVYQKPWEFDFPQLK RRAKLIKDANVRGLKVWPGLSNVTQQSKSYYIVCLDGLPNPKEAGQDVEKWTYITIQQ YTELIETCEYQCLTLRKAGRPDPPQGFPMDPREFTEICNEDLLSYGIWQDTKSILNGW ALRREPETGLTKPSYSERYLAGVQEYNSTRYDEQRCHFRLLQAYKEEDQNRNARPASP EPTEISIPTYISQMSTDPNQYDPPPPLLQRHLPYRLAEIINFVLPPPSGPPSSVATSL RVGPAYATPADDTYRRAISRWVQATKQARRNRNRDGFEEGEIAEEDSDDDTETVRDFR RDSHSEDNAGYEETSTGTESYGYTPSITSSRTDAPSHLAYAIDEEESVFVSVSERAYV LMEREYQRRLEAGELEEGEIPED L199_000359 MSSAAQQQQPSTAALVPSSSSTKRLSTINKPNSFSPAKGSNAGN AGVGVKDVVSPGELCGFVDTLLAQLESRFDEMSDQVLARMNEMSHRIDNLETAIENLM QDDIHENVISPVPQK L199_000360 MPAPSSICTRPAFPPSLARRLAKRRINPARPLHTSSPILNVSVK PEPSTIPPSTALSALLSRLSLPSNDPSLHPTLIACLTHPSYYSAQAQSNTNEIPELEV VDSESSSSSSTSTTKSDNELLSTLGNSLLGLFASEHITQLYPLLPTQAVKYAITAYVG PSTCLSVARELGVSVQGGGNNGQVPGLGRGSNSAGLPIRWSKVYLQEKNYQDNIRGEN VTSKGPEKVPVARRFQKFIEKKENEEKDISEEIRGRSNRESFEDVVASTVRAFVGLIY QEQGIHAARSFVHAHFLSRSIDLSSLFNFKNPLHMLSSVISSHLSSAGVPISANQGVI EKRLLASTGVNSQSPLFLVGLFLPSGIKLAEGHGSSKAMAEYRAAKNALLSLFLVRSD QPNSSEETGLELGSISLPSSLYASSERWLTNGKISENVDEKEVSYRGVNWGGKEVIAE SRDLRRKL L199_000361 MSFAKASTPISLSIRSTLRATPRPLLLSVRPISSTPVSRMVVSP FLYEARKTSRSAWAKDPIVKYEELKPITQQPTDDILIVDVREPDEVALGSIPSAVNLP LSRLKDALDKGFNPGDFQKEFAFSKPTYDQNIIFFCRSGKRSANAAELAGEKGYGNVR NYVGSWLDWSKREGEDKDD L199_000362 MAFGRKRGTTTTTTTTETGTRTRRRSPLILGLAASAVLIATLFF WLSAFSVPCIDSIHYVRTRENDVKFGNFGWCAGAPLNGLVAGKACHQHVGYNFRPWIP GGKSATGALILVALTAGFGSLAFLSLLHSVINIASGAASFGLTLFTTLLATISFFLIT IIFGTAHRRFNNDNLDAHYGAAFVLVILGWLIYLFLAIPLVFLGWFKQRRHHTTTAHI RGDTNHTTNVTIRA L199_000363 MPAVDPHYLWAFGHGVMLVSAAYVLLQTVLFRPSPTKSYKLAFT GALLSYSIVVYKSLGKPQLNQAWLRRALVDENVQYAILALYWWVAKPVNVSILPFATF SLFHCLTFLRTNIIPKLVPPPAQGTQGQAQAQRPPVFLETISRKIQLWVKSNYDVAMR FVAYCELLICVRLILGVLTFRQSFIAPLFMVHFVRLRYHASPFTKSAITNVTSYINNF VVGKPPVVQNVWNTLKRVIATWGGAPLIAGQGQAQGQGPAAAQAQAGRR L199_000364 MSHFRRNFGRFTSSSASEHYQRQLTAPVNKWKKQWVTPVGLAPE SSYKICKWVKQKEKAKLTGAIEVDDNTPIPEEGEGDEDEDAGEDQEMEEDDQDQDQNE DEGDEGEGEGEGENDEEEDGKATTTSAQTPAPAVTVDTADTTTQTPPQPEPISTTAKE GEESSTKPTDAAVDTQEKEVVPTSTTIEKEKESTPAPEKEKEENHESTIPAHQTIPSN AIEIHPIAPSATESGLGEISTEPLMEMETRPAEDSAEKMDIEEEPKEKVEEEDKGLVH GEMDAPTKALEVENTEVPKEVEKE L199_000365 MAPFQLPNIFIYLTGIVRPKLLRPHIRVPSIAQVDFRSLKKEGY NAVVVDKDNCLTLPNRDEIYPPYQTAWNDLLQTFEPGRVLIVSNSAGTRKDPGGIAAE SVSLSLRAPILIHSQNKPGCSRSIISYFKGQLGQPITNRKRIIQGSEKVWKDEQEDEK MLWERWEDEVVQKPLLGYGQDPTSARKGDRVAVERNPNPISESAGEDSQQSKEVGVGV DDLKILVIGDRLFTDTLLSHRLSLHLPKRKEEGVLPSVLSIYTTSLPQPKDVRILRWI EEKLSKSQIQPIPGNFSHRFILKSQEEEEAEKNRQMSDKEVKRNGIVEALRWFTPSRW REFDRSLPPLTIHPKSWKPLPALVGFSKSFVYAIVLLVRYGLRGAKKIRGYFKKQIEL ARAKAKRIDREKAEESKQGQGVIWHERI L199_000366 MDPTGHYPPTSSASSSTSFPIYHHPNPYHNETNATMYIRHNQSC HHHNSHFNQSQDSLLQHISPDLSQQQSLPSVQVTHPTPTKAMKFRRKSSDALSVSTAS SFDPSPVGQMQLLPSPGTGTLDVMVENNMPRVPRSRPRSPELELEDDDSEAERIRLEE KQARIREKGRERQRRKRERDKKKAKEAEAANGSSSHLPVPSSSTQTKTPSQALSISVP SSVSSIASSLPQSASYFSISPSHPSFGIPTGSTSASGTSTPATLFSPADSTPGLGYSP DTSMSASLFSLGLDASMTSAMLEIPSDKPNKRNPRSKARAASTSVTSSANSRKVSPTP LLTGLPQPIRESKPPVIKSAKRRKSEPQTDELVNLGGLGVMTSREDPPAHSIWQTKSS DNTRPHPRRTASDGVVIKSSHDREREWGARSPTPPPVPSLPDEYRQNKVLRPSPSTDT VSDAVSAPSVQAEIFADRMVFLLNKDEGETGWLSNHIGLNNSDIDEMKNALKGVYDKW LLEKGMKEMSLDSSEGMGSQPSSASMTPSTTYMTQPSVPASPIINSTNSASGFFTPVP SRTSSRRGDKRPTITVPNAAGNSATSQTPSHTRQRSLSSASMMARGLHITSVTPVQVQ QWSHPATPTLPQEGNANLGTDPRSDDTASPVNSSLQTPSTGQGSFPISDQMTVSHGHW RSATDPTGQRAYTTAQHEQHTPFQDPSMQQNSHIWNVPSTCPSAHQMNYGQLESPLAT TAINKADQMGMPPPPTTSTGTAAQHPGNIGGNANTVGLNGISPVVHVESSQGYQGHQR HFSTPVTSRTQPISDRNIMAIPFTPETPVPVRGQNHTTIDGNLITSMPMWYNSTFMSH PDSQVLQSPIVERGHGHGHSHGHLTTIRPEHGQDLDMEMSEFAHVSTSQGQGQGQHGF L199_000367 MAATRNIKCVVVGDGAVGKTCLLISYTTNAFPGEYVPTVFDNYS SQVIVDGMTVSLGLWDTAGQEDYDRLRPLSYPQTDVFLLCFSVVSPASFENVRTKWYP EIQHHSPGTPIILVGTKLDLRDDPLQLEKLRDRRQAPIQYPQGSAMANDIKAARYLEC SALTQKNLKSVFDEAIRTVLNPNRRAGKAKKSSGCVLM L199_000368 MLLTWVIFPSLFLPLITIVYSYSHDAHDQIPLIGAETLPQLDLL QTSIREIQESLSDGRLTSVQLVETYLARIERDNYQGLTLKAVIQSAPRQSALEIAFQL DEERSKGRIRSELHGIPISVKDNIATDLELGMRTTAGSLALKDSIVRKDAFVIENLRK AGAIVIAKTNLNELAGWKGILKGFLPITSRDDSPKANVTFRGPTNGWSAVGGQCSSAY VEGGFDQGGDPMGSSSGSAVGLSVGWGAAAVGTDTLGSVLGPASRAALYAIRPTLGRV SRKGVVPVSLDHDTIGPMGFTTWDVALMLEIMGGEDQEDQSTHDIPPLSNLTSIASSP PNLSEFTIGIPTRYFSDEPFFEIPSGCPSESKIKFSETLQHLQTNYGLNLKWDTNINL SSLRDIQRFLEVLTDKINIDFRADMDDYLTNDLKESKVRNMLGLAMFNDKYSSQELQP NECCQERILTSLTSSPRNSTEHQRLKSELKVLADERSLGHIFDTNPEIDAIALDFELG APGYWVGAANYPAGVVPLGYCSNGLPYGLMFVTRKYDEQRLIGLLAAYEKVMPKRGVP KPYQERYGK L199_000369 MLSPITAPPISITPEEHTQITSSTPSSFVDIPPILRWSDEEVEV LLSSRNGGWEGWGEGKVKGKLWVNEISVAFIPSDPNITPGFNLPFPSLTLHALTPQSP ELPAHLYCQVDESDAPAAATAAAGPSQPLGQQNGNGDAHMDQEEEDEDDAEGYAGGEE EEFTEMREIRIFLNQSKLESLFQALSFCSALHDSLLPNGEPSSFFGFGGDDDDDEDED EEQGEEVQWEDADESAGADGAGRVRSDFHSGGGPQARFKPY L199_000370 MSTTPSSSRLPRPTLARASPSSSSSRYVSPSSSSKSKTKSRSGS HPKILPFPELSLRAQEAQRTSREGSRTASPMSSPPIDRLEVTFDQMVSMSRGGSSEGN GHERRSSASASGNGNGPGTSSSSAALAGSTSPPIPSPLAVSAARLSRARASTAPSRAR NSGNASDTSSRQAPSVTVGRSAARPAIPVGTSPSRRIEGFRPASPALARVTTNVHTTS TTVNNSLLNPTTATSVQPRLVSTLLTPPTPITPSTPVKHHPHPHNSHTTHNPPVQLAT TLTTAITPISSSSHIKDHLYQSFTKGICADVRLVVKRWGVCYHVHKMILVQTSFFHSL FLGGFSETQPHLGNSGKGKENARERVISEAEWNGEDVELTFDDPNITRAAFEICLSRL YSSHPHLQFPTELLPTATYPLTPSFPRITLPDYLVLRAAIPPKSHLATPRLLLSLLAT TIYLGHGMFMREVLVLVLRTVGPLTVGRYLSFALGDGIMEEEYSGQSEEGARSLNGIA KIITGSRDSSFVSRQTSDEDLVEEELHSLDHTPRSSSDLGTKLSDSSEESIRHGGIPI PSLQLPSRSNSVRSHHTTDDPFSFISNDAISLPHYYGVVGNKIGEACCCWLARWGVDL LNAELENPSPAYRIWAHGGLPANLVRAILSSDYFFVTNEMERYRFARKVLDLRRSGWD EENEDAGDISLVTGSAAGFHLADESWEEWDEEEAEILKTFAEGIYYPHMTFDDLSTIA SDIDPATHLPYAPLPVLQAAHWAAADLRSRVTAHEKTGASPPTADDENELGLTQSTTA ICTRNRRRRPATRSRVPSPAIPSSSTWGPPSPSSTIDTLPSLHSSHNTVWHAVPTDGT HKIGASGLLSLSNSTQYNALGDMPDFGPEPLDPAPHPNSDVMDKNRPPPHGERSAFGL MGNKMSGKDLEDKWINEGGSFMISGLGLEGDSPSKVTLGDVNEERWTKIEPYRFSVEF FDVDKLTEKERFYSSTHFYAGSYFNCYVQMIKRKEKGLQLGVYLHRQSPNEPFPIPSS PRKSNHTPSLGDTLAPSSSPLPSSSAGLGTTPATATSPVLSHNRNLSTSPMVVPGSPP TVTTGGPTSSSVGQSTNMNHGSASAPYIDSRSTTKAFFSISCASALGTALIRFTSGPD SFALSQSWGWKSSALKSEEYLCIPPQQPQPLPEDEDGEGDGDGVLGWSGEIPHSSTRF GQCSLRATVVLGVV L199_000371 MAPKKKVEEPKKVILGRPSNNLQIGIVGVPNVGKSSFFNTLSQT DLGKAANFPYATIDPEEARIPVPDERFDWLCQLYKPASKVPAFLTCVDIAGLTAGAST GAGLGNAFLSHVRSVDGIFQVVRAFDDAEVIHVEGDVDPCRDMQIISTELRLKDIEWV EKGLEQAKKNARSAGGVSLADKAKKEEVAIVEKILKHLVEDNKDVRKGNWSNKEVEVI NGLNLLTAKPITYLVNLSERDFVRKKNKWLPKIKAWIDENNPGDSLIPFSVALEERLV RMTDEEKVAEAETLGLGKNASALGKITTAGYTSLDLIRYFTCGPDEVRAWTIRKGTKA PAAAGVIHSDFENKFICGEIMAYNDLKEHGTEALVKAAGKLRQQGKPYEVVDGDICYW KAGQ L199_000372 MTSIDTQQLDKLIRASLTYRDRAYAPYSKFRVGAALLSSDGQIF GGCNVENASYGAGICAERTAIVKAISEGQNKFIAVAVTSDVPSPTTSPCGICRQFLRE FLSPSIPIYIISSEYPSELPSWLENLDQDQEGDEAKKYVVKMTMEELLPSSFGPDNLG MQGPQ L199_000373 MATLQEPGKKDVRQRGHSAIDFKSATTGVAAKTMRNELNRMVSG ETDAQKRKIFEAEMQSFFLLFNRFLTERAKGEKLDWEKINPPKPEQVRPYAVLDNVDP SILNKLAVLKLNGGLGTTMGCVGPKSIIEVREGMTFLDLSVRQIEHLNEKYNVNVPFI LMNSFNTDEDTARIIQKYQNHNINILTFNQSRYPRVDKESLLPCPKETTSDKANWYPP GHGDIFDALTNSGLLDKLIAAGKEYIFISNVDNLGAVVDLNIMQTMIDAQAEYVMEVT DKTKADVKGGTIIDYEGKARLLEVAQVPKDHLDEFCSTRKFKIFNTNNIWCNLKAIKR VMDEDALNLEIIVNNKVTDAGQAVIQLETAIGAAIKHFDSAIGINVPRSRFLPVKSCS DLLLIKSKLYNLEHGVLTMDKSREFGGTPVVKLGDQFKKVANFEKRFKSIPNITELDH LTVAGDVHFGKGVRLAGTCIIVANEGNKIMIPDGTNLENKLITGNLSIIDH L199_000374 MSTTIPISRDRSIDQLPPISGGSTSREMLQPLQTEDEEGEEEQE QEREMDPRPISISISIRPKSNINGNGNGNGQISRSNSVQTKEKEKEKERVVIDKDLLP HPAEDLSADDDLLSWILVDQLGCMPNTKLGVHPQQVKFVGPTFKTDEVLNIVRETVTK GNIQGAMQRLQEFHLIKSHLESKMTDYQRERFVSHLRRYLLPLLPTSRLEIHLTSRYS FITGHTELAVFATRPLTPGLVMQELQGSVVPLPDQWREEMEIGEDFAVAVEAAGEETD SDAGGGSDDEDDNVSLHSSATAARKDKGKSKEVDNNNNSNGNTTRKGQRRSDRTKRRD FSIVWSGLKRCYQLFLGPARFLNHDCNPNVELLRQGKHVTFRVLKPIRIGDELTTFYG ENYFGRNNIECLCLTCEQKGVGGFTPVSSIPSGRNSRSNSRDSSAGPSRRDTSADMRE SIAREIKNVGPSSLRNVMNGNQDDELDDLQPATTVEPSLVGDDTEEASLSVSFKDDNG EDSASASISITATPTKKNILKITIPPSPTTESVASEPDSPLDPPARRERIIRKVVQNI KPWSFLQRPKKFKKDEPSENDEESTEVTDDLPDDFPRCATCAKPLAEQIWFNGRYFEH CARCVRHAFIFELPWPSHRPQDVREYPPAHLLPSRYIPPKISTIPLPTLSKLPKYVKP IEVPVSPANETKLMRQARKLRDQIAAEDFFVESLREVAWSAQESKEAAAEAREAALQA QKEAKEEARRLRLEEKRQRDAKNIKGTGVWSRYAYLTEEDIRKKEAERNQVLSGTRRG GRFRAREDEEEMKKLAEEKAREERRENLAVMGIEPGSPERSGIDQSNNQQQEVEEGEG EVEEGDVSIASSSGTSTELVVDPRALVRGQRQIPHKITLFTRKDKEKERVTVNEDEDD ELEVATSPVLSVIPPPKPTVRNAVPSSSKPRPVSASTSTVFRPIAPKIPTLPNTASRI VVSSKTTSPGKDTASKVVSSPIPKPGGNSSSSFILKSTPNTKYSPSTSSINRSPIIDL TLDSDDSDNSSNASGPESLKRKKGRPLGSGKHQKAAMKKLAKSQSQSQLPLGNARVET PTGQNSPIDLSFGDEVKSKVRDENRFFPRSLESQVIQAAYRLTSSSGTSPAKATNREN HAGPSRLYSAHHLPPLPPAGSGLGSGFGSSKTSNGSGSTSSIDMAENALDKHPASSSS SSTSPPSANTSASASNGEMKMPKLKLNVNSSPAHLKINGRGSSPTKNPAFIHPLAAEM NRMKKEEEERLSPKKDDKSKSGSSSSSSLKGLSYGNGKYHHGTHYGGIYSKEYTDKPP TALAAFGVGPVSSSSSSTLAFNDKKRKRISDISEASSTSSPSSHQPEKKKRTFFTSSI SVGVLKPTPVIPKPKHSIHP L199_000375 MADSSHSLGKSVLGSDALSIPKLFDVKGWVAVVTGGGTGLGLVT AAALAANGAKVYITGRRLEPLEQAAKEASPKQGGGQLIPIQADASTKEGIQKMKDIIE SKEKWINVLVNNHGVSLGHADPNAVEQTPEALSKEMFENETFDHWLDAYRINTASYYF TSFAFLPLLSAAKTVGNFPEPGNILNLGSVSGICKTSQKGQFSYNANKAATISLSHQL ATEFARRDLYVRVNVICPGYFPSGMSTIEDQYQGSSSREYFKQRWGTPFGRAGNAVDY AQCIFSLISNQYITGAELVIDGGWLLVQRESFPSEVV L199_000376 MRASVITALLLSIATTSTIAAPSPVALKTDSVDKKIASYDTPKN FHQDCDKHNVQCNAMNKDVYGSANQGSGAVGKQVGHAANTGSQIAAIEGQNDLSKTLA SDGPVIQHDLQGRDHGHSEMNEGIKKTSSEVYPEGLLVEGGKFMATQGEAAEVAGKGL NTVGKEANGEAKTASKGRKDHGDSVVNAVVS L199_000377 MSYSTDLPPVTLLLQEVQTVTSAMRRNQRWASTSSSSYASSSSP SLRSHRLGRQGSVRSGSRRGARGSEGGVDEGDLMDGFVELRRTLSGVKDITALSPLDI CAPFLALIRSPLTSGPITSLSLSSLNSIILSIIPLYLTPSSLEITPLTPLQLTLTHIT SALSQCRFPSSSPQQDELVLIKLLKVIDSLIAPIPVPTTTEGLKGIGNMLDHMGDESV CELLEVGLGMLARSRLGEGVRNSAQASVQGITRVCFVRLKVLRPEDVERLLGANEGVQ PKKVINEESQEQVNEEKAAAEEKDQVDGGPQKEKETVQNREGDELKQEEKKTIEEKRE PVEPTPSLFTPYGLPTILELLRVLIALLNPNDQAHTDSMRLSALAILNTALEVGGSSL GNWPELREGVRDEGCRYLFQLTRSDSPSLLAQSLRTTSTLFSTLLPQLKLQLELFLSY LIDRLTPPNPSPIPPHLLGRSDPPSRPGTPSLAGGSTDGRATPVNGEKPPIDSPAPSA TPRPLSLLPPVPNETKELMLDTLTQIALRPSFMVDCWVNFDCSTESEDIFERLMTFLT RGVYPSGPPKGDGSTNLFDGLDNTQLLSLEILLSFVSSMAERLDGSEEPWSPDYTGYE LLTEQKSRKGVLLTGASLFNQKPKTGLAFLEKEGIISPQAGGEGTEEDKKNLAIARFL RSSSRLDKKLVGEYISRPDQLGLLKAFIGLFDFKGKSIADAMRELLETFRLPGEAQPI ARITETFAEHFFSFEPAEIVDQDAVYVLAYSVIMLNTDLHNPQNRKRMTIEDYKKNLR GVNGGKDFDPEYLASIHESIKKREIILPEEHAGQHGFEYAWKSLMQRSRTAGPMIICN TAVFDEAMFKLSWRPLISSFAYAFTTSAQDEHVIQKAITGFRQCASLAGHFRLLEVFD TIVQSLSSATGLLDETEDGYQMSNYPSVEKEGQTLTVSPLSIRFGQSYRSQLATVVLF TIANGNGSAIREGWLSIFEMFQTLFLHQLLPTPMLQMEDFLAGTTTIPMKASAPTPQP ERRPEGGLLSTLSSYLLSPYGQSNEVLVMETSDEDVENTLVAVDCLSSCKLEELYAEI LALNVEALLPALRAIRFLAESRTTAKLQARSEVRGETGSPVLVRFEGQLPYDPACVFH LEMMVSLASRSKENIAETWPIIFEYISSLLSSAQSYSVLLIERAVVGLLRLCLIVSEN PELRDQLYIALDVLRSLPSTVLNSVSEQLMAGVARILEKDKSVVSSQTEWNLVIALFR ATVAHPEASKVTLQIVQKMASSSGSSGAGESGPGLTNDNYSGTVALLDEFATAAGAAA ANRSQQNRRSASHQNQNTSLGPTVERGLTALDSLYELRNVIPGLIAKSGLGEHEAFNT FWLPPLLVIGKQCINGCSQIRQRAIAYLQRLLLSTQLLSSNISSGILLIIFDKILFPV LDELLKSSQTAQSQTQYREMAETRLKACTLLCKIFLQYVIKLVEYGNPKVVEGLWVGV LDKLERFMRGERDLMNEASESLKNVVLVMHSSNLLVPPSAPGTEDTRTPEQRELWDKS VERIERVLPGFLNEAIPPPPPAPAPVLQTQQPSEGQA L199_000378 MECSFGLTGKDYVLLCSDMSAGRSIIRMKSDENKIKALGPHLAM AYGGEPGDTNNFAEYVERNMRLYHIRNHYPLLPASASAWIRRTLAESIRSRHPYAVNL LLAGYDTTTSKPHLYWIDYLGTKAVVPYAAHGMGVYVSLSTMDKWCFNDMNKREGVEV LKKCIDEVKKRITVHFNFNCILIDKDGIHQINIESQDPLSELESKDSSASIVVDAPNP PLDVGVTA L199_000379 MLREVKVGLDEKGGNRPGTLYGLSHRIWLLIVGIIGLLTLSRFL FPSSDPSSSPYATTGGLLTPRDYLNASAADPAPFDFCPVFGPGDKIAEKRGQWGLLKS RLHVGSGARIQRVVQKAMAGSPVTISILGGSVSACHGAGDDPVSPRCYPAKFFDWWNS VFPHPASEVTNGAARKTDSAYFAYCSMHHLPDQTDLVILEFDASDPNDPEWLTHFELL VRSILVRPDQPAVIILGHFSPQIQAQNGFAGPELLHTVVAQFYDVPHISAKGLLYQDY LSDPEQARTSFFTDPVLANAAGHELIADIIISYMQSQICAGWAATMGHAFDVPYMGGP STDQIVLQGESAQSKEELEAEGGGIAAKQRAIKVPQAMLSDRPSDILRFREVNPFCVS ANDLINPLPPSHFFGSGWYAHHPSKGAAQEEKHYWYAELAGSKFRVPITLSAGEVAIY YLQNPEDRPLGRAACWVDDNFANAVELSGIADVHDTTPTLTIIDSGVAAGPHYVECNL LGQEGQKTAPFKMLGM L199_000380 MALPKHLQNGLTPDELTFLAEEETIDIVPLFSMTRVRLLSGIYG PFTPPSSAKVPLWLALSLKRKRKCRIVPPEWLSIDRVQNVLKEERENAESFCSLPRRF IETSKVLLDVAQDDLMQPSLLRSLLKDLREVRQAKIRIGLQSEGVMRGSYLQVTNLTP LELSELKPFLVKAMGIMQSLEPRREDDEDEEEGQ L199_000381 MYSTTIIRALFPLFVTSSWFVVTQAQWTDGNPYDPEKLTCATGQ WFDNVVADRSPSEPGMLITSFTDVGDCQRGCFESDYLYSYWQNSTQKCFCHQNREVYP SQVGNTTMITDGCWTGSLARLDYLRSDFNSAFCTDLTTISTSQPYIHEYTGTIKGCID RCGYPNSNSTARAISVRPIFATDPNWQTSVYDCECYDTSWIGTVENAVPCGFGVRHMF TRWYSSGGQA L199_000382 MGGDHKCPLCSATFTRPQHVGRHLRAHTGDRPYECKECPLRFAR SDLLSRHVNKAHKPPDENAPQDKKPNKKGRRKSFPASAMKKPNGNNQPNGDNNINQVR DKPRSASFNQQPQPQLQAQRMYPHHPLLAGSSSSTSSTGPMQVQTWSTNPSQAFATTA GMTNLSSSPYGQTFVNPVTGTGNPNAILGNPTYPANHSNNDMLPPFDPPFTAAPMKIT GSEQGYSSIGHVPLNNSSGILYEWGFKKRACDQCNHSKVRCDFADPCLRCTHRNIKCS YNKTQRSRTAGYPLVPSHTTSPAQTNMAHNNQNSSVITTSPQSQFSSPHSTSSPVATS RAINHHQRNNSVSSLPANLSVPPSTIPHRQWGSVPQIQPSATINNWQPVQSGLSQPLY PSIPAGTAPGSITGQIVPSPASMNIPLQGAETYQAPTHYQGGNTVSQNASPQQGTAST PSLTNNTTSPPDIDEPFERRSSYTGTTGGFLSGQEWQNQNRQLKGRNVAPTLQTHNSD PAQIVPFSSSPVQYSPTQSSQLSTSAPFGAEYTYQWPPQASQFIDPTWQARQDMTSDD DNASALSSSAHSTFLDLNDAQFAPSQQHRRRSSAGQWTNALAQMSLQDNLSSTESSVI PLPEPYSASGSMESSMSSDGSAPVGVPRGSARRPTFPTLTGVAEEPESEAQPMPSLSD VKDLWRLFMAEPMTGLTPMGEKHNDLELNTNNGPLITPRPGMGKRTLSKSNSMPDLQS PMINGPQFFNNFLSGLTPRPAEPQNSYLLNQQPAAGGMNANDHADIGKWSKEIQQRQS SFSLSGQPSSKLGKSNSQQSFYGTGNNSDQKDRNNKMSPPQTHSVNRQKPNRPLPSVV QRSSALEQTLAPERIPSFGIPQSFLDTNNNQFLRHQHQQQQVLSGVPPHMARPGNKRL ASQTLVPGENGKKTTFTLYDEIEPQIQTQAQVHNHTGTQANSMFYPNWSLNPQPISK L199_000383 MPTTSPMPDISIPQARRIVVDTTGAQIVQEESPATRDSRGIYLP HYVEPVSHIAIDIGGSLAKVVYFTRSNLPISTTPPQSGTSSPFLPPSESVFIPPFSSN GASSSSHTDSPSLNPHSHAPFDHSPPQRRPALNGALTPGVLSEDYNNHYASSSSPPIN TVSPSSASKGKIPSHHSKYRRSSLPPPLPGGLLNFARFETEHIEDLIAFLQDLIKSSA SANRVSLEKMQKNVKVMATGGGAHMYYDRLKDELGVEVTREEEMECLILGLGFVSKIP QEVFWFSEELVYKVSHTSTSTSAQPQSPIKLTIPASELPRPSPTPPAYQVTFADTLDG TDSVPHFPCLIVNIGSGVSIVKVDEDGSFERVSGTSLGGGTLWGLLSLLTDAENFDEM LLLSEQGDNSAVDMLVGDIYGSDYSKIGLKSSTIASSFGKVFRKGSNPEERKKTFRQE DIAKSLLYAISNNIGHVAYMNAAKYGLDKVFFGGCFIRGHAATISTLSYAIRFWSKGT MRACFLRHEGFLGAIGAWIKNVEPEPEPQPQNGKGENGL L199_000384 MSPPDSPTINYFSMLRLTQQMGLKSKSDSIHSAPASPISAEPQS YFPSVPAPAPASRAKRRFTLPISRNRLPSTFEMDSTAVDSIVPMALVQGVPMLKISSK KMKQVIIRVNNGGISWSSKKDNTVSISEVRDLRLGQPPSDTYNSSRWITIVYVRGTQW KVLHMVALTDEIYNLWVQTLKSLVSVTLDRHVADVTPADPDLIWIRQLWPIGSKVIDR QKAEALCTQIGLQIPDPVAKTIDGVLDMSTFHQLIKDCQTRPDIAKIHSELSEDGPLD ASRVNQFLKDTQKLTDTQAVFEKFKHDGEDHWTLASLTEFLCSADNTANMSQDMTHPI QHYFISSSHNTYLVGEQWRGESTVEGYIRVLLAGCRCVEMDVQTGDLEPVVYHRKTLT SSVSVRDICRAVNQYAFVASPYPVIISAEIHCSFEQQNRLAIILKEVFGDRLVTAPLT GECTDLPSPEQLKNRIMFKAKPPKPEPKSPKLLPSPDSATSSTESDSGFARLTRRLSI QGKTEKPDAFSPQLAELLVYTTGVKYKGFSKLNEYETKEQFSVSERTAAKIVKENKAD WVKHNFNHISRVYPRGTRLTSSNYDPTVAWSAGCQLVALNWQTLDEATLLNHAMFHAS NGYVLKPLALRQKVQEIPTRYRIKIQVISGQRMPLSPDLYVEATLKPISNYSSWSSAS STSSSSLYESPPSSPTFRRTRISSGVTLNPNWNEMISFELTIPPSSLILNFLHLEIKN RQNGLIAQWIRPLDLTPKGYHHLPLYDPMMSRFVFATLFTKIDIDILGSGST L199_000385 MILRKPGLSLEWEDRLLKMDCRLADFITFSDFKKEFDERNRKPI RGRKRREANQISDGESLETTKRPRRSTTNYGSRSKP L199_000386 MSNQTPDWLASVTHVELVVDKQNFDQVANHYLNWEGTLLSRHPR PAFAHPHKFPFVARIALGNNKLAMQAVKNDHEFFTEHLLDLQGTVIPTHYGTYIEPET EVACMIFEDVGTPVGQNHCFRIH L199_000387 MPHTGSCLCGSVQIKVDSTQEKQIACHCTDCQKTSGSAHSTNIL PKISEVVLTGDVREYNSKAASGNTVTRLFCGTCGSALAHKSKAFGDAMAVQTGNLPDF RTIPFAAELFVKDRWTGLPPIPEADQAQTMPDA L199_000388 MLSREDMVKEDDKVASANVDIKDADYDYDPTTIPAEEAEIDQAY LNASKLTRLFRGTLFQMIMFGALSFVGPAMSDAITNLGGGGLSTPYLANLATALQYAM SCVMTLFGGPLINKFGIKWSCMIAAVTFPLAGSGYYVSAKFDVDWYLLFSRVLGGLTS GFLYVGETTAMLSYPHVHERGRYLGIWSAMRNSGSVMGGAINFSTNNTQSSSGGIAWS TYLIFIGFECTGVVWAFLLSKTSKVRRSDGTKVPYSKDLSWKEEFGALIKHAQNKRTW LVFLPAFYSFFYGGVYGTYLSLHFSVRARALSSLIVPTIVIPMVMAYGAMLDNKRWSQ KTKGWVAFAMWAIPQAACLIWTGIEYGKFAKEGTIAFDYQTHGRRWAEAYLPYLIIFT TGYWTQLSIYWILGTFSTDVKSSSRTGGLFRAFETAGQAVSYAINANTGDKRIPLYVL CAIFALTLPCMTALIKLIPEKPADNDDIADGQVVYAREQMEQSTS L199_000389 MRLATISAFPLFNPLLKYLTTAKWTESDLHSHPEPLVWVKQPWF QALLDELPQGRLPQMRTPDVFLEECNMS L199_000390 MAATTVKGYMTPTEVAISQEQTTWAEQQALPTATSVNDLVKVLD PTARLPYLASRNLPTPPTTAPSVCSGYDEEHPALSSTIHENSVHALIQQIISSRVEQS DDDESAFFACDLSAIYQSYLEWKNSPIGKRVEIFYATKCNPSPQVLHLLSLLGTSFDC ASMSEINAVLSLPSAPSPDRIIFANPCKPASFIKNAAVKGVEMMTFDNADELYKIKKL YPTAKLVLRILTDDSKSLCRLGLKFGAPLSTCPGLLALAKKLDLNVIGVSFHVGSGCK DPMQFADAVWRARKVFDMGKLAGYDFTLLDIGGGFERETFPEMTQVLSDSFDLYFPEE SGVRIIAEPGRFMVSSAFTLATSIIASRRAQQPIAGAPAPAEEQEEEEKTEGAADVMY YINDGVYGSFNCIMFDHQIVHPHPLTIGGDLTSTAPPFPPLPNVAVDVDLPVQMGYTN TEKASVWGPTCDSIDCVRQLVDMPKGMEVGDWVGWTEMGAYTLCAASTFNGFDRSPVH WTTGDQSKSESKFVKRVLEEFNSTSLR L199_000391 MNTLASSTPTPILKVTQSPTILLIILGTSIRLIHISLLYILSKL IPPFDSSQSLLSPDNLPGLRWDAIHFASVAQNGYEFEQQLAFQPLLMGLLRLLGQSVV CIRGREMEVGDVIWSGVGISAVAWVGASIVLYKLTVHLYNPAFAILTTILYMIPPTPV PSLPYTEPLYAFTTFLGAYLVIVKRQYLLSGLIFACSTGLRATGIFNVLILGGVGSLE GLSVDRITLKVLFRRLLTQSYKLVIPCVLTVSPFLIFQRYAYQSFCHRDEEPRRPWCG SRLPFVYGFVQKEYWNIGFLNYWTIPNIPNILLPLPIFLTSVLGIKKIALSCHTAQRR HHARSEILILYIHHALMMLLLLFNSHTQILLRTCITDPVIWWNVAGLAVNWENKENAS SQDRGKIIGKRGYIRLTRIGKYWIGWCVVWSTVSTILWVGHYPPA L199_000392 MKAPKRTPWSSRSELEELYEMLFAPNSDVHSRRRGLARMSIYIS SPSCPTFIHLLHSLVSVELLPYPPKCVEESQRSRMMIGMAIVRFVNGLVDPLQNGPYA RPISHLASSLSIPPSLIALRHRATHEDLPPLALLHQSLLSCISYLHYYSFLPLLSSSS TSASLPPGVLERMKEDERRVEGLIKRWKKVIKFRLREKEVREQDQSALELNKIKGSLQ NHQLGIIVNVLVGEGGLVPSSIQKRAPLTSIIPTTPSLKIWLPLLQHLQQTSHPDLPS QLSSKILDILLNPNFQDPNQGQVNGFAGHGSAVDVNMKEKQNDQRSFRWNLTIWCIWL WKNEEDGEEALRLLEEEKNELVKRLLASMLELHDDVVVRRLFKVLSDNGIHSNINGMN DFLPEVEMEEEEDGLKGLEIGMDLDDNNPSMDDANMEKMEERLNEFESLIENRRRTAA PTITKPDVNTSMTDKDSATPLSTLPAGWRKLSYQEWKPCPIGLSGIP L199_000393 MSSPPPPNNNDNHNDQSPPSAEANQTQNNDRTQSRHSPLPSISF SISIPRPAHSPAPRPGAADGPDQNLNENQNQQPSAEGSGAQPNGVAPLGSSAGSSFFW TFTIRPDDGPAPGPSTNPSDAPGGNTSTNPPEGTSSEEGSGGQAQSQQQQRMTLPQAP PWIFPPFLNFFLPLRTEPQPNPEKAAELLRSLPTVGRRLLMRVDRIVAAQETDVLPEE KGWKCGVCLEGLNAEEEDEQDKGKQKEGSSDMKVDGNAKEQKGNLKEQKNRTGVKALP CNHLFHEKCLQPWFTTKHTCPSCRLDLDPLQTLNSPSTHTNTTRPGQTGSGRRSPHPY SRDRPANAPTATENTATTEGNAAQDRTAGGNTHLNPFIPSSGLFPSVGISGSGLPEED DRPSITFIFSGSPPPGLNLPGMPPRPDIQFNNSTTPQAQSTNTATSEQQPAAENRGSG TNNPDSAQPAPSTPGSGSVFDVPTFFSSPLPMGSRASPSPAPDLPPLVNPVPHIASAS VPPPSSSQLNASPSIPSPAASTIANTTAEGDRPRPERRPHITIIRRTSPSPAPGPGGL PPPGGLGLGNLPLPPFLFPTMPPGFLGPHPNLPGQGQGGAPATQNAAGSSPASAPFVP QSLESWTEEREKSLGWRCDAVECIYAPPTAEDDEDVDMTPEEEHEGEEGDKEMLSIYS TLQPPLTDEQKQEEQKDGSGKFVLLACQHKWHRKCIELSERSCGRLHDREGEDGRVWV RCEKCRKDGWVKTRTKSTDNSMTEMKESEERQNKDDQDEDEDDGYAPSEREVENLVNC L199_000394 MASPYLRFRNVFSRYRPPPLLPTTIRTIQILATLHLVSTTLVEL RICTGFSMLPTLSQHGDCVLVSPLPYWSPFSEKHTRSKRPKRGDVVVATSPMDPSQTV CKRVLGVEGDLIEIEPRRGNQRKWIDNAGVGFMVDIPQDIELEGHDQDRHISHDELGL MSKPKRNGQGQWVKVPKGHVWLVGDNLSNSTDSRKYGPVPIAMIKGKVLARVYPNPAW IENNLRQIDE L199_000395 MSSGMYETTVGSLSLRKLNYSYSNDSSPFTDTLIFHGNQVGSKK TSMEETERSRRSNDSRNGNRGGRREELESEDEFTSVDEEMDELSDSRSSHTSSRPVTP SQRHPISIPTSSRMNRKARSTSPPGHYHSPDGIFTLAHKAERILGLVPGTLGHAKACL ENAKDEIKRLAELDKSSPVQAYLPYHLTKDYGSNGKVPNVVLGLGVNNKEEEERREKR RKAADGVIYWQREIERLEREETERVMR L199_000396 MARNKTYSEAISLLNTCQSNAATIEAIRKSGGRLNEHAVAEMHD YLRKIGYKPDDLNKLSVVHITGTKGKGSTSAFTERILRTHIPNGKIGLYTSPHLCAVR ERIRINGEPISEEIFAKYFFEVWEKLEADSKTLTPQTPQFPIYFRLLTLLAFHVFLSE SITATVLEVGIGGLYDSTNIVPKPIVTGITSLGLDHTAVLGNTIEEIARNKAGIYKKD VPALSVVQDKGGEVLKEVAEKNGAPFEVIPTIPPTPLGLPGSHQLINASLAVALCSKF LSIQKYPFRPSSSSSSSSIPESFVEPLAQTRWPGRCQQVKQGETTWLLDGAHTIESLR SCAEWAFAEGKKPDVLIFNCSGGRAGESLLNELLETGSKVKGVSREDLGRGFAKVVFC TNVTYTDGHFKSDLHATAIDPNDLSQLATQNALRDAWLKLNPEYNPDNVHAVPSIQHA VKIVREAGERQVLVAGSLHLVGGVMEVAGLQDALSMV L199_000397 MSSSLPPTSHTQTITPTSTANNSTTDLITRAYTRTSMNDPAREP RPTSETPASNQQCPAEGEVCGNEQEVYWEGQKQERNVQNTCTSNDPSQNINTATSTTA KVVFPPWKRNDRWSGWMSDTVTAQSLVLCLILQAFSTGILDATTYLDFSTFASNQTGN TILLTVAIVRVSGHLLLLTGVSLASFLSAALVFGHIGHLVGVRRRIWLLLNVFCQIIF LILSAIFLSPSGPAQTRLGAKHEWVIISLFAIMSGAQVSAARQASIQEIPTAPMTSSY VDLVSDKYLFVGFNHKHSSGRNRRLAYISAMIIGSFIGGIMHKYAGSWVVVVVAMGFK LAVMGLMAVAPAEIKEKKEKANGINAC L199_000398 MVVCDVNVSEEVDTHQLELSHDQEYTRFALSRVLYHLAGYVVQF IWVSMTEEDAVITICWDVMDWTRVGKDGKESHEYDREYFYPGTTIEDFEQVHGNDKKR VIGGIRGDKDPRQAAEPEPEANEEDKVPTKEVEMNENRARV L199_000399 MLTSLRRLALLGLVAASSVVGKGAIGIKQGKVAVTSSDGLGDAT YTLKEPTPLPSPITLTEGSTFKLSFSVVDTTSGESVYPQQAHLLFEDPKGDDVTLPVS VKSNGKAQITINTAKPHPALLTTHGSFHLTLLLSSLDSLEPLAYPLGQLSLPPSILKP IPRKRHDLPPRQGEPAFQPQQEIFHTFKEDPKTVGWTLSIIGTIVTLAPWTVLLGLVG KISPSLNFQTPPVSSYIFLLVLGALEALIFVYWLRLKLYHLLPAFLGLSVIATYTGIV ALRELRARRLKAGGAP L199_000400 MPSLPSLPSHLALPTTAQKWRTRFFKSLNFYRIHLLAFTIIPLI LSGIMYGANTEYHIDYVDCLFCCMSAMTVTGLATINLSTLSAFQQFLLFFQMVIGSLT FVSILMIVVRQYFFRQTFKHVLKERQARSKGFRFSKTFSRVGTIGAPVSAIRKKFSGL TTKDKRPEEDVKEGTDEGRTSTPSLVMKPITAAPPSPKGSHHKSSAKKHDTKKKGHKK INPDMIKRVSGGGVGLVNPMGWYDGERTSIKTPAPTPEHIDDVPLPSDNGGVLGLSLD DGRGVQSGQQLNNALEKAVEDGKASVVDDDGPVTQSPGQLTPEENVEGRGLPPSPRQR VPYAGLQLADDAFPRSKTIAFDDEQADYQDPRGATTQREGGGFPRSATFRSAAGGDRL PREPTLQSGNFPRTYTLRPTVSHRPDPRMSGFGGFPTPFEIGKKLFRRAFPEATNNLT RSLTMAKTMTVPRTNTTAGRGSIAAASGTEKEVPYISFAAVVGRNSMFHSLTTEQMDE LGGVEYRALRVLLYIVVGYFIFFQLAAFVIIAPYISAQGRYDHVFEAQPRMVQIPWFA LFQSVSAFSNTGMSLCDLSMVPFQGAYLMIVVVIILIFAGNTAFPIFYLVYKLVPQSS RASESLRFLLDHPRRCFVYLFPSTQTWVLMLVLITLTLIDWVSFLVLDIGTPAIESIP VGTRIAAGLLQSAAVRAAGFGIVALGALAPAVKVLYVIMMYISVYPIALSVRATNVYE EKSLGLFGEEDDDEYGTEGEGAQAVAKYIGWHARRQLAFDIWWLAFALWLICIIERGH INNEEDNFNIFNVIFELVSAYGTVGLSLGVTYDNFSFSGGFRKLSKLIMVFVMLRGRH RGLPVAIDRAVMLPKDFTAAEETAFEEERSRRASRRGSMFEGDVFSTRRGSFTTLSGM AGPGTGGRELSPIRGPNTALPGQHHHHLPGQPSSHHIHHSPNPNSSHHRSTSFGGSLP VPGSPSSNFSAATSGGVDRGSLQFSLPRVESLERPSAMGMRNFRPGAAASGSLTPVKE SSMSRNPTIVPQQDPLNDSAIV L199_000401 MPSRWHPPGFTSSTPGSAASSRPSSRASSPTRNGPASRPSHITL PGRRREGTVDSGDPLSDYFSGSYGSHSGLDTPSSASGPAWGGLITPSSSTPGLITPGG TSHAPHIEIILDSDHLVMRGAGGDMNPAYLSGRVELDLPHAINLKELTMHMTGKAKVQ FSDSSGTSSKNHHFTHVFTTHDWSFLQGGKGHAHTLKAGHHSFPFSFMLNGNLPSTLR TYSSDASIVYKLRATGVRTGFASNISTQKEFTLARMYTSDALEFTQTLEIENTWPGKV MYSLTLPYKAYAAGDEIPVNVKFMPLAKGTRVTQVVSVLKEYTLVHTRHSSRPETRVL SCVKHEMRQGRAVEVAREPIRPPSHWYDPTPANRSLTTSRQSSPAETPVVGSRARLAP TWGERPEDSYFPGPAAGPNGDGSSNRNAQAGPSNSSTHESISTEETDIEIGDDEINTH FSIPIPDWVTPSHAIHPVFITHKIKWSCSISNPDGHVSELRCALPILILDHSLYDEAR AAGASTRGLLFGQTTEEPQVDLPSYSNHVYDRIAIADSGTTSGFMPRSLQATPLPSPH DDTPPSRSRPPSRPASPTRHQSYGTASTSSRSGEPTPATDVPPRRQLSQWADSELLMS LGALRTHSNETSPNATPPDSRGPSRPLSRRNSFTRSGRSSRTGSRANSRASSPERGGG YSQPGSNSGSYAEESNVRPGSERRNTGGLHGLFHLQKNIRPLSHLSSGRPILKGSNTN NDSNGIPRNASYSGGLSSSHSSTNGNGHGNERSHVSFAPHANVVSNGPPRFSIGGADG DEEEEEEEEDVDPLSRVPSYAIASRGFLGGGVVPLDVALPTYDASEDMQRTRSATDLT NNSSGLIRPRSDTALVQLGAQAAADAEERAGEENAAA L199_000402 MAPVVASAVALLAIAGAVDAKPLLKPGRHANPHVASVLSASKRS LHSLMARYYGTKHGLSKPPPLPEKRDTTLPAGWSYFGCVSESWEERLLQGFAFSSSSL TPLLCVTQCTKLGYTFAATEFGDECYCGDEFVGTGGGRADDTTCNVPCEGDTSEGCGA AWYLSLYQYNSSELASCSGVVTTASVTATLPTATEVTTTVVENGTTSVGIVTATANST NAVPTASVTANATDAVSTTASATTTASYPVYTDASDASEWYSLGCAVDSDDRLLTGSS KLGFTGMTIDSCLSYCEDAGFKYAGAEYGDECYCANTLPENVQYHEDYACNVVCDGNA TEACGGGYALELFELISAAGNSTDCTTSSSSVPSGVLIATGTKTGITTTAATATAIAT TAVTTTAANTTAASTKAATTVASGTTQTAATTVSSTTQTAAASSESHYVWAHHMVGNT YPYTQSNWASDISQAQAAGIDGFALNMGSDSWQVDRISDAYSAAGSSGFKLFLSLDMT VLSCSSSSDASNLVNLVKKFSGLSAQAEHDGKVLVSTFAGSDCGISWQNDFVSALEDA GVSIFFVPSIFSDPSTFSSDSWMDGELNWNSGWPMGSDDLDTSSDKKYISALGDKEYW AAVSPFFFTHFSPSTWNKNWLYKSDEWLYATRWEDLIAMRDQVKSVEILTWNDYGESS YIGPIEGALPSGSEVWTNGFTHTALNSLTTYYATAFKTGSYPSITEDEIVMWARPHPH DATASSDSTGKPTGWNYTEDYLWAVVLATADSTVTLTSGSNTQTFQVSAGLNKLKLAM SAGSISGSISRSGSTVASYDAGSAFTYTTTPETYNYNYFVGSSSS L199_000403 MFWKAKSASIPLPADPSIRRSHLSPNELVTRPAGDEVTVVGDFF SYSAEKYGNKVACYYRDVVDVVEETKIVPKSDGSGDEKKSWKYFTLSPPKPVTYTELD STVTALASGLINIGFTSLSQPIAFRPRVSIYADTSLNWQLMSQTFARLGHVLTTAYTT LGEEGLLTSLNEPEVELCYCGEGQVELIGGVIERAERVKWIVYDGDEKRIKQETIQKI RKVVESRGGRITTYAELRQSGIDSPVTEFGDKPSEDDLFCIMYTSGSTGTPKGVLLTH KNIVSSIAGSVLLWGKNFTPSDLLLAYLPLTHILEQFLEFTFYFLGIPIAYGTVKTLL NDNVRNCDGDFIAYKPTLLPGVPAVYEMIRKGMVKKIHDAGSVVGTIFNLAVSGKQTL PWPFSSAIDRILFAKVKAATGGRLRLAISGGGALSLDTQKFLSTVLVQLIQGYGLTET CGMATICTPQFSPMGSVGVIGPSCEVKLQDYPDAGYLSSNKPPQGEIMLRGPNDELNK QSFTSEGWYRTGDIGQWNIDGTLSMIDRVKNLVKLSNGEYLALEKVESIYKHCNSVMM LCIVAPSYADRPVALVYPHEGNLRNRLKANGIVDDGGPKEWASNLTIVSYLLGELRDE AKRGGLKGAELIRDVIMTEEEWSPENGMLTPAMKLARNKISKKYEKEIEKALGRSS L199_000404 MPTYLNTYKPHKVNVPRDVHLRTQPEEYDFNYCFEVKELRSDRV ELRPFVPSLHAQLFYDEVSKCSPDFTAWLIDWNSLEDVLVWAETTIRSQPSALAYAIY TAPPGSDQAVDPKDYEFAGMISMINSDVAHMTSEPGWICILEKYQRTHVLTHAAGLVI HRILDMPSEGGLGLRRCQWFTTTLNEKSKAAALRLGFQLDGVLRAHRVLPKGKKGVRP GRKGDYQEDQMTRDSWLACISWDQWEDGVREHVDQLMARRK L199_000405 MSDNEVTIVIPSFPPSPPTSPTRTMSTSPEWFTTPVKAPYRPSP LSTTIPLTALEQIDPFSNESIDTSDGLSSDPETPPLSPTRSLSSVSMDRRTTSGSSSG SDEEVVTPPAVPRRPSLQHTGMPPSYFNLENVTRDQRKHRKNKYRARFPSAPVSGSIT PMWKIDEDSKSHGLTQLLEPFEGLLPHTRRESEPTYILSEDSFVLTHVPTKSVSLADF KLKMIRVPRWQRPIVIAVMSLLLFGSLCMISWFQQSLMAVEHAKVIRQGQWMIKHAAM ARAESDAVVDTEPGYRYEAPKHHSLKVQQKIAKRAEAGFTAHAAAQAAAIAPVDFTMT KEEELAALMSFIVGTAANTLPAIDTTDPHSLEGFLPFDPRSPHAKREIEELAKVHWED HPVMVLGNMRDPKMREVRALLKKYIVKPEPFYVDIDQRRDSAVLHPTLERLLGKQSSP YILLKGKNVGESSKLIEMEKKETLIDTVKGSGATIAKRLKRNKHQKEEERKENERVLG PRPIFDQESA L199_000406 MSPEKTLKPFLPSRPSGPVFIGLNILRLLSIIALLLVLAANIVT MADDIKSIKASNASNAESEEDCEYYEYSSVPDQTGGPFWSILNRIFIIFECILLTMSE VGIPRRLFEEWIPILGPAHGLGCLGVFQALIGAQVLSHYCELFPQVSSWLLFIVGCFN ILAGIFLRAGTKKKRLIFSWENVSSLTPQTRMAATAWDMISEKKPSSSSSSAPSDSGA PPLTHSTTSSSDTPLLPETKNKTIPGAKFGGFGFGRQGEKHAAERGWKISKPSEVLPR YAI L199_000407 MSDPSSSRRGAFIVFEGLDRCGKSTQVARLVDRLEREGQKARLQ KFPDRTTAIGKMIDAYLQSKAEMDDHAIHLLFSANRWECAAAIKRDLDRGITVVADRY AFSGIAFSAAKGLPFDFCLQPDRALPLPDLTLYMSLPQEEATQRSQFGEERYETVTMQ QATRKQFRLVAEEVKKIHGGKRWMEVDARGTIEEVEERIKGLIGDLTDGVNGGVGELW V L199_000408 MASPRKTRSQGPADAVDLDHPPKQGKNHLDVEEELAFYSSYHSN KVNQIIHFVCIPLILWSWLLIASHLPIPGTSPTLLRDGLAYQPSLAMGWIVAYLIYYV LLEPVGGLTYLPIGTLLYLTSTYLAVSPPSWLPFTDPFKPSAQPFAWAVFFASWIAQF IGHGVFEHRAPALVDNLVQALVLAPFFVHLEALFAFFNYKPDLHKKIKNKAGIRIRDM SRAAKLK L199_000409 MYTSLAHTILPLSLLGTTLAGVIRIRGEDDHDYDPKKHHDDHDK HDHHDNYNETSPQFIGCVSRTFFNLVSSDDNFDGNFTEQPDLNTCIDHCVDEKFRYTY WDADRKQCYCSPAQRPDADQIRDNDSETGRCKNRDAIVFLNSANFIFGGCFEAGTNNY SVAPVARFSTTSVRDCFVLCDEPCRDKFVEVVAITPRFDPALYSFAYDCECFDVSYDD IPPLVNRTCAIDSVFGYARVEHKQDDDHHKKEAKRGKYDYDYDYDYDYDSDYDHGYKG DHQDDNKDKDHGYKHHDDDDHADHDKDDKYDPDYHRNHKGDYNNDDSDDYHYGYKGKH HKGDYSDEEEEDPDYDHRKDYDHEDNDEEEDYDYDHGKKHDDNQDDEGEDHSKDYDDA EEDQNSGYHSGKDHGNDGDDNKHHKGDDYADEDYDDNHHDDDEYNHGKKYDHDHDYNY GSYGKA L199_000410 MTPSITLHTLLFVFLSYLGLGIFHIQAQALVPVPAGCVSGVYFH DHILKGGGSLTRQPDRANCKEQCHVTGFKYAYFRKESRKCFCTSSDRKAPPSNQQVEG IDSEGRCKDRHASIDYMASAYHFDRCYDTVPGATSRKKEVNNIEQCLDYCQEVTNCGP KDAYRYYK L199_000411 MLAMALFSSPEKSSSPQFAGCINPATFRSIAHGDSYGLLSKQED NTACLELCGNKRFSYSYFLSRTSECYCTKTESIDPYRIESGCDNLGGCNPCQAIVTYL HSPLAFTSCYSLLFEVVGVQSTQGTEGNWSWKCACYSGRNQGAYKEKYKMNCGKESIW RFEVPREELRIQ L199_000412 MIPKRSPSPVPSSPMTHQLPPNHFLSLQPSFAQPTVAFPSGVPS TSSHESHGQAPNTASLASADFEVDVRTGFLPGSKNVERLTGRYEVWEEALDAAKGSQP ASGLIIGGQREQENLWRNGIQIMPVVDTADLLVSLPHLRRAHVVLTFLAHFYVHTTPA STSSSVPSTKEPIPIPACISVPLLTVSPLLGLPPVLTYADTVLWNCLPSNPSIAPSAS VNPPSEIITTFTGTRSEEQFYLISALTEIAGAEALRLMRQSLDELFLADEKALRRLTI YLKKLANQIDKISDITMTLMKEVDPEEFYHLIRPWFRGGDGDGPNSAGWNYLGLDDTT STTSVAESSDTQGKKGKLFSGPSAGQSSLIHAIDIFLTVDHSPTEQEKLEALNAVEHP TQSKILVDSTPINPSEQQPAAPAHGHGHDAAPKGEATFVQRMLQYMPLPHRSFILHLS THPTPLRPLVVHYAASHPALAAAYDGALESLRRFRERHMRIVSLFVVQQARRQPSERV RKMMGLEPLTGEVEAQVKEVDINEIRGTGGSALFKFLKRCRDNTTKAMVRPSQAGYEL E L199_000413 MRLAIILTSLIACTVKSVHAGCKDPHHLSGHLAFYCVDEVTQIE FEYVDMNDQFANVEKEVDLAEVVYQTYHDAIYDRPAQSPIFGAIETGEGGSTRCDETE L199_000414 MMFALLILARPAIAATLANRSKDYEWLLDKGTQGRFDLSNAVIV FPGGSKETLAKRDDWKFDQSINILGLQVGVQAKCDTTCKNVVIGSSLLYLAYNAFETW LGSGTGSASRTDSENKRKRTTWYMYYTMQVANEYDNQWPSTTDVQGVFWEMMVFQDKN NLKNYAVSAVQGQTHGLGFDTGRVVVDTSFCLWPSGGSCDTPGYPWSIGSDLYIDTNS DDGKQRICYGDPYFDKDNGELACDGVNY L199_000415 MTIPHVPIPYNPLSEVTVEVGGKENLESLQRVARDFRSDTLTVP TDAQLLHSLKASRGDDVYLEDPSTTALERRVAKLTGKEAAMFAVSGTMTNQLAIRTHM KQPPHSVITDYRAHVHKMEAGGIAMFSQATTHQLFPSNGLHLTAEDIEPQLQLGTNIH ISPTKLICLENTLSGIIFPQEEIVKIGELAKQNGIGLHLDGARIWNVAADVIEKKGLD ATSEEDRQTVLTELLEPFDSASLCLSKGLGAPIGSILVGSKEFIERAKWFRKAFGGGI RQCGGIAASADYALTHHFPRLAHTHKLAKRLEGGLKELGVGIAAPVDTNMVFFQPQAI GLPLDAVMARLAALPNPIIVGRERCVVHHQITPQAVEDFIACVREMREEKKENGQVQE DGEGIKGENKEKLDHYIEPKGKTTDAVLRKQAALGY L199_000416 MIGTSSIAAPLTRVFLRPSRSLRVTRIIYRSIHQLRDLSRPTEK EIANKPRLQPCDWPKAESSSMAAARFSSAHQLSEWTSSPTTILLIQKREDARTTKAMG DILSYLKTHYPHLRLIVEPHTALDHPQFKDLIVTSPGEEGLLPLHTNLVVTLGGDGTI LHVSNLFSQGECPPVLSFSMGSLGFLLPFHIDSLASTIQSTLNGPVSVLNRMRLACTP LSTNGQVLDRCTKAVGEAGWQVMNEVTLHRGRHAHLTVVDAYFDGQHLTEAVADGILL STPTGSTAYSLSAGGPISHPETDAFLLTPIAPRSLSFRTVILPGRGSVQLEISPLARS SAELSIDGKEVCLLNSKESVHITKSPYPIPCIERVGEESGWVRDINSLLQFNVGFRNK SLLGHGTV L199_000417 MAPSPEGVSVVLGAQWGDEGKGKLVDILAAEADICARCAGGNNA GHTIVVRNAKGEKTSYAFNLLPSGLINPTCTAFIGSGVVVHVPSLFNELDTLERKGLK VSDRLKISDRAHLVMGFHQIVDGLKEIELGGSSIGTTKKGIGPAYSSKASRSGLRVHH LYDPSFPAKFRKLVEGRFKRYGHFEFDTEGEIEMYLAFAERLRPYIVDGVTFIHNALQ SGKKVLVEGANALMLDIDYGTYPFVTSSATSIGGVVTGLGIPPFAIKKVVGVIKAYTT RVGGGPFPTEQLNTVGETLQEVGAEYGTVTGRRRRCGWLDLVVMRYSTMINGYTSLNL TKLDVLDGFEEIQVATGYKIDGQQIEGFPADLDRLAQVEVEYTTLPGWKTDISNCKTY EELPENAKKYIKFIEDFLKVKVQYVGVGPGRDQNLILF L199_000418 MHVSNLLLPLLTLSVTTPAAVSALHINPHHKKALSTPSNGQLQA KRDVPSAGHKIKAKRLVKKKKRATCQVKFNATSIATEATTSATSTDSTVLPTLTNNEN WANGTATATATSTSAWGDDTASVSASTSASASSTSSASNSSSTSTWTKVEEWSGDSFF DNVNFWEWDDPTHGTVDYLNSADAWSSGLISINSNKRAVMAVDTTQVVSTGRKSIRVH GNKIFTGGLIIMDAYHMPTGCGTWPAWWSNGPNWPIGGEIDVFEGVNAFSQNQVSLHT DNGCTMPSDMNNGQVGQLTTGSYDSYNCASYATSNQGCGVRDEVTQNAYGEPFNAIGG GVYATRWSKAGITVWFFPRTNIPSDITNDSPEPSGWGTPMAHFPSDNCSPYQFFYDHF NIFDTTLCGDWAGADGVWNYAGYAGQSESCAAQTGYSTCADYVLNQGSAFAEAYWEVS YVKYFNSTTEV L199_000419 MPHSHHSHSGQFCRHAKDTLEDVVKEAIRKRFEVFGLSEHAPRF RMEDLFPEEADLTPADLLTTYLAFLSHASSLKSRYSNQISLLISLETDYITSLDLNNV SELTHQRKEIDYIVGSVHHVNGISIDFDRPTWLRSIQASVQDVQGRTMDPGPPPVLDL GDSTNPKLQNDYQPTQEELIPFLENYFDQQIKLIDHFRPEIIGHFDLCLLWIPTFNLR QIPSIWQRVERNIKKVIEYGGLFEANSAALRKGWKGSYPSEDVLKLIISLNGKICLSD DSHGISYVGLNYARMKDYLIRNGVKEIWHLVPTPAQMDGDQEIQNDRRRVVSRKLTDW DKHSFWFDNEL L199_000420 MQFKRNKLMCGILGLLLHDPLATQTSLAGTEIAEGLSLLQHRGQ DAAGIVTCGSGGRFYQVKANGMVRDVFDAPAVAGLKGWMGVGHVRYPTAGSSAHAEAQ PFYVNSPYGIVFAHNGNIVNTPSLRQFLDVDAHRHINTDSDSELLLNILANNLQKTGK FRINEEDIFTAVGDLTRTCIGAYACVAMIAGFGLIVFRDPNGIRPAGIATRKGARGGT DYLVASESVVAQGLGFTEWEDVKAGEAIIITRSNISRRQVATPQAFAPDIFEHVYFAR PDSTIDGISVYRSRMKMGDLLAETVKKELVKAKLEIDVVIPVPDTSRTAALNCAQALN IPYREGFVKNRYVGRTFIMPGQTQRRKNVRRKLNAMPEEFAGKTVMLVDDSIVRGTTS KEIVQMAKDVGAKRVIFASCAPPIRYSNVYGIDMPSPHELIAHGRTTEEIAEHIGADL VIYQTLEDLVESCRQFNPAIKQFDCSVFTGEYVTGGVDERYLEHIQRLRNDNAKAKKN HQAIEAVEANEGGCNGPMNGSDALLGRSDSIMGLSNHSPKIGATNMPTPNDTIGLHNS WYGQ L199_000421 MAPKKPTSEAAPPPSSRRSARIAEQPKSTSIPTNGVKVNSKKEV NSQKRSAENDASTDNKKAKATTTSKASEPKSSKKEDTKSKEKEEKKAEAPASAKADTG SKGALKLGDKPPKITLKDNEGNDVDVSQLAGEKGVVVFLYPKADTPGCTTQACGYRDN YDQIKEFGYDIYGLSKDKSEAQQKWINKKELTYKLLCDPESKFIKRLGAFVQPNNTKR SHFIFEKGTGKLVDIALGVKPADDPTNVLKFLEKHHK L199_000422 MSFSTTVDVLIIGGGPAGLGAATSISRLKRSCLLYDSGLYRNEV AEQSHTIAGFEGGNPKDFKNKVKSDLENFYSDTLEFRNGLITNLRKLDDIQHGHAFEA LDSEGKLIVAKKVVLATGIKDHLPDIEGVKEQWGKRIIHCIFCHGTETANAPFAFLFT KANSMMNEKLVETMLKLWKNLNHTDRYVLTHGMDVNTPEGRKDAGLEGKLDLLKKLGY QIISTPIHSILEESSKSSLIITFTDKSTITVPAMLLFPEKFIPSEHSAPLLTEELLGD KLQAWGTIPGPPASGSGATGLPPRMGDDPRTSVRGLFWAGNAGSAPANVTLSLAQGQM AGVMAGDQLGEEELAKL L199_000423 MSRIFTSSLRTLPRVTIKPISTTSAKAFISSSSSTNSALAQEQQ HSQSDDMPIAVKTAAQWAEFGRDHVCHGLGRLRDHVIVKGEGLDLYTADGKKLLDFTA GIGVTNLGHCHPHVSRAAAEQVNSLVHLQCSISFHAPYLQLIDRLLPAMPHPSLDQFF FWNSGSEAIEAAIKVARQATGRQNMIVFSGAYHGRTMGSGALTRSKPIYTQGTGPLMP GVFSSAFPYWHQLGVNPSTSEEELVRLAQHQLDLILRQQVNPKDVAAIFIEPVQGEGG YVPVPPAFLRHLREVCDKHGILLVIDEVQSGFFRTGSYFAIEQIVPELRPDILVFAKG VANGFPISGIASNKEIMGKLDVGSMGGTYSGNAVACAAGVAAQEVYQSGEIAQNVEVR SKQLFNVLNGLAQGEKTKHLISDVRGMGLMTAVEFRNNSDKCTLEGLPEGSSVPKNIG KRVQEYCLNKDLLVLTTSAFDTIRFIPALTVNEEEMDRAMKIFTEAVELVAREG L199_000424 MSRQFEVEKARAEAVVTAGERRRAALAEIDEAKFSWFHAKACIV AGVGFFTDAYDIFSISIAATMIGYVYHNGGSNTTNQDLGIKVAHSIGTFFGQLLFGWL ADHVGRKRMYGIELMIIIVGTLGQAVAGHAAGISIYGVMIMWRFIMGMGIGGDYPLSA VITSEFAARRIRGRMMTAVFASQGWGNLASAIVSVICIAAFKTQIHSQPLTNMKAVDQ VWRLIIGIGCVPACVALYFRLTIPETPRYTMDIERNIKQASQDVDTYLTSGTYVNDPI HNNERAELPKASWADFFRHFGQWQNGKVLLGTAWSWFALDIAFYGLGLNSTNILTTIG FGSSTALPTKQENIYQTLYNAAVGNIILAVGGLIPGYYFSFLFIDSWGRKPIQFMGFS ILTALFVVMGFGYDKILSTGSGKKAFVFLYCMANLFQNFGPNTTTFVIPGEAFPTRYR STAHGISAASGKLGAIVAQVGFSRMINIGGKNKFLKHILEIFALFMLTGVFSTMLLPE TKGRTLEDLSQESQDHFVHDTNVSPSTSPLHKGQGSDSNYAEEDPRLAAQV L199_000425 MFSLKSILATSLVASTAFAHFTLDYPTSRGFDDDNEPQYCGGFP NVASPRQSFPLGQGPIWIDSHHTLATVVAFISTSSSPTSFDDFNTTSNGTSIPLASPI FQVKEGEACFNVDLGGLGVGLTNGSQVTLQVQYDGGDGNLYQCTDLVLIEGYSVPSNE TCTNDASTASNASTTTSGAATSAASTASSSPSASASASGSSSGAFARIEVAGLGVIGG LISVAGLVLL L199_000426 MSQPGESVANPIVPAPSAPSPITSTSTSTATAPATSSGYTIHVS GLATETTEDKLHDFFSFCGKLISVKKSGNEADITFEKLSAMRTSLMLNGGTLDGAHLE VTSASDAEPKTASVLPTGATGSTAIGATDAPIGQEDKPKAAIVAEYLAHGYVLGDNII QKAIDIDNKQGISSRFLQFFNSLDQNIGNKVVGENKTVSGKINETAAAGVAKAREVDQ HRGFSAKLHDYYARIFNNPSVQKIVQFYTTTQKQVLDVHEEAKRIAEEKKTHAAPIPP VNATADEPGAGAGITTAQAPSTGAPAPGAVALGP L199_000427 MSRPQILLREALKVTSTPRGVNIAGPSRLAIASPSRSNASTYPI HHGHRHYATSVSSNSSSSTSSSTSTIIQKPQPHQHPAFESLSQELSSTQPCFGARGDE IELLTSPQMFYGRLIEMIKRAKRRVLISSLYIGTEEGELVESIRQALTNNPQLRTVFM LDYHRSTRLSRNSSSSVPPSTAHLLLPLVEAFPDRCEVWLYRSPKLRGLLEKIVPERY DEGWGTWHGKWYGVDDEVLISGANLASSYFTNRQDRYIHFKSNPSLLSYLSSLTRLFI QYSYLLHHSPPAHISPTYTVPLPNPSSSPSSSGGTSRASLIWPSASIHPRRFSTHALA TLTAFQNSWRSSNANRSRRVDIDTWFWPVIQSGVLGLKEEEKNLVKVWNAIKKSYESD EEGSKVRVDLTSGYFGLYKEYKRLVLDSPASTQIIAASPKANGFYGSKGFSRLIPEGY TLLESRFHREAVRRGRAWDEEKGSGVRLREWEKEGWTYHSKGIWLSPSSSSTASSTSN PFLTFIGSSNLSTRSLTLDTELSLIMMTSSPTLRKSLGQEVKTINKDSKDVGEDTWNS EDRKVSWLAWVLVALGVEGML L199_000428 MYAASLYGLKRAAIILPVAWTGAWLGYYAYTDTLRRSHIRERNR ELSHTLMGLPGEGPDYSRPAEEIEREALKKRYSSLKFAGRYWNPYVEWREQGAWEWAL WKIIISTITLKLFYNGGVPPERPIPDLPLERPDFSLLYPSSSSSAEKQSSISTSRNSG SGGSNVDVTDKLTLTWLGQSTSYVTLDNLTILTDPALSDRTLPSRIAPQRLRPSPCEL SELKRVDVVLVSHNHFDHLDPEAIKELGDSCEWFVPKGVAPFIRKFGVTRVTELDWWE QSQHTLSRPGQKDRQFTITAVPTMHWSARSPLDTNATLWAAFHVKSHTKKPKSFIHLG DTGYSPTLYHAVGRVLGPIDLAAIPIGSYEPRWHMHLQHTDPEGAVRMALQMKVGKSV AQHWGTWLMSDEAYNKPPLDLEIARQKLDVTEDQFCVLPAGKTIVVE L199_000429 MAPLLLTHFRPKQRSILSTLFTATFLGAVIVVAFPCPVKSHGEA RLDGSSMGSMGSIGSMDGRRNEVVVMMNQRGKRRFMEER L199_000430 MVKVLAVLYKGGQAAKEESRLLGTIENSLGISEWLKKEGHEFIV TDDKEGPDSQFQKHIADAEILVTTPFHPGYLTADLIGKAKNLKLCVTAGVGSDHIDLD AANKKNITVAEVSGSNVVSVAEHVVMSILLLVRNFVPAHEQILADDWNVAQIARNAFD LEGKTVGTVGCGRIGYRVLERLRGFNCKELLWFDYTDLPEDKKTPDFKRVDKLEDMLA KCDVVTINCPLHEKTKGLFNDEIISKMKKGAWLVNTARGAICDRNAVKKALESGQLNG YAGDVQPAPKDHPWRHAFNTLGGGNGMVPHYSGTTLDAQARYANGAKDIIQRYLAGKE QDPANLIVIDGDYASKAYGQREKKPTGQKPAGP L199_000431 MGNCFAPISPDSPGSEDETPAPPGPSTGRSRSSDRQPRPSTSSR NPSQDRNRRNSQSLRRQLSTSTRRNRNGKHSRSSSLFSMGGPSLDALRNYATLSNPRS SLAPSKLLISNKNTIAIFDSYPKAKYHFLILPRYPFPPQSDPDSKESIIPLNALDDLK SLLLSQNRQGRDEVMRALYNTALEVEEMIKDEMLKTEGFEWKVDMGFHAIPSMKHIHL HVISDDRISPSLKTKKHHNSFRPDLGFFIPIMEVQRWLEDDSNIQERVEALADAERLL NTPLTCHKCDEFITNIPKLKTHLEKHFVDERASALRHIARHGRQRSSDEDMF L199_000432 MLRKLPSSSRPYLLSQSLNRPTLIARTRIIPSTRHVHVRAISFS SIPRAMARAFRIPAYGAAIGAGGLGYANYKLEGVRNATSEILSNVSDKFSSAYNTAAD GLNSAADIGSSLASQLQGRISDTSQGVQDTAEAFRNGSKEWWEAFTSQFAKSQAQQET SSRSSSSSNSKKGNTSEGPDQPQNNSGGGGGGEEALLGLVGAAAAANVEEKRTDPFSS GGGDEHQLLQLTRKLIEIRSVLLSVDQSEALKLPSIVVIGSQSSGKSSVLEAIVGHEF LPKGDNMVTRRPIELTLINTPSNAASSSSTPAEYGVFPNMPGMGKITSFATIQKTLTD LNLSVPPELAVSDDPIHLQIHSPHVPDLTLIDLPGYIQISSMDQPEELKDKISGLCDK YIREPNIILAVCAADVDLANSPALRASRRVDPLGTRTIGVVTKMDLVKPEHGATIVRG ERYPLHLGYVGVVCKAPPTTGVFKSIRGDRESPNVTGAVLRREEEFFGGENSKYFGRD KKVMVGTDTLRRRLMDVLETSMSSSLHGITNAVQLELEEASYQFKVQYNDRRITSESY MAETIDALKARFKEYTAQFTKPAVRSKLKEMLDDKVMNILEKLYWDDPRTIELTKLGE DRKLTSEDLDSYWKYKLETASSLLTKSGVGRDSTGLVAEGLRQLIDSIATGEPFTFHP SVSERITEFSHAILRERMGLTADQVENCIKPYKYEVEVDEREWNVGRDRAEDKFTEEI KRCDFKLKEIQNRLGGSRKLNGLIKHVGELEKWEEERRKRRFSVSGASGEDGNEVVEE GAPVLDAYKYSPAQIIDGRHALLLSNRLSLLKLRQQALKSRRCRSGPDQSAFCPEAFL AVVADKLAYTSTMFINIELLEQFFYQFPREIDSRILYDLNREEIARFARENPKIKQHL DLQERKDKLESVMRSLQSLVNLQKDAKGSSTGTSRREGLFTKFF L199_000433 MVKRSLSSSPPSSPEQTKPSSSSSSIDEEPSPKKSPRKSNTISN NKESWDADKKRIVVEMILEAGFKSVEYKLMSQRTGLTTKQLRDALKSRHDGGTNLRSH LIKSVIPK L199_000434 MSQTIKRSLSSSPTPSPSPSPPITPKKPKAKNGINTTSTPKQSP STKSTAQSSWTNERKSALVKRLVETGYKNMDWKSLAEETQMTEEQCKNQLTPGRSNLR RTILEIFK L199_000435 MKHPYSTYTSHPPPTLNEPGSSSSSSTSRLYPHPHEFNRISPMP MEGYESSDIEANNPKSAKRKKKDNTGSGNDERIKKTRQSPCRARKVKCDRPPPNSTSS SVAHRDICSHCEHLGLTCTFDYKPKKRGPPNMYMRKMQNEPEGGSTESPPPSLTDPPP PSTTLAPGMPDLSYPALAKPKPQPDMNEVTGWEPTLASASVGGSGNVTPIPIQDPTQV QVQVQAHYGGRHPHPHGPHGHLLHPSYIDVPRSATSSPDHPRQYPLPHALPPISHSAH PQEHIRNFSGGSTNGTGSAYYTSPQNSINSAYPTPITNSPVNARPGVGGSTRPLYMYV EHPPNPQNPIEKILPRRLLYEIIDLYFDYIYCLIPCLHRPSFTHDLNVKREERPNEEE WTSLVLAIVGSTLIQLPRSFVRMSRKETKDLIIACNSRIRDYVVTDFPAITINRTIIF YHCLFMTRVMGGIPAGKGLFGALYAYLLALKAHEEKTYALLNPLNRVFLRRSFWLLYG ADVSIASLEAIPVFFHEDDCADVAFPEELDDEYISEQGYLPQPEGHTSILCGFNYISR LHRITGQLLDKHRRDKRKPPSGLLLQMRLNEVNDLYERTMTLMDHCPKPLRLDYRSGS KSVQSLSPGWNARAKDDIMAIFSDPNYDTEVVKDHFLVQQANIYVTQQYVRFMILQYR DELHELQLAQERDNNNKVIDESINQPWIRRNSAEKNEHGQQEKEGIMVNSIAEKDEVI CDLLAILQKIPLTVLAVNSHPIVQKVQFVASTLLDSLEPSANNGNGESAFRPDNQMIP TVLETRTQKAQRNLWQFLNILSDIEGLYSLEDE L199_000436 MSSPSTTASAPAKNGPSPIENFQEYLPHLTQALDNLTNHAANLP SKSDLSFHRTLDKKFATDLDSASERILKLTERLLDLVDKSQQAQKNPNQLPKSDQVKV AKVKGKGKGTINRRKLGDEDDVVDGFKQSVVAVVDGLLEDADSCLDEVSGQKKKAAIA VKPHLAAQAGQKLPGPFSKQTARLPQNILHASDIPKPQLLFHEPIDNTPTTSPWRPKL SSKPHSMVPLDFVPALDYSLSMEEELDPSKEYWRREREIRLRQHPYYYETKHLPYPTS LFINSPPIKPKSFQDTPFQFVDTPEQLKELTEILKKSKEIAVDLEHHNMRSYSGFTCL IQISTREGDWVIDALKLRKELKEDKLGDVFTNPGIIKVFHGSDSDIVWLQHDFEIFVV NLFDTYHATVVLQYTQRSLASLLKTYCNFEADKRYQMADWRIRPLPEGMLHYARSDTH FLLYIYDNLRNSLLEQSSRPPSPDPNGNPVIEVTRRNPQQAMREVLGRSEDTALKMYE IDEYNEETGKGTGGWMGAAKKWLNKTVVDEEPGWVWRKLHAWRDRLGRELDESPIYIM PQDILKNLALLRGTAPILIKQAINPDRAPIAAARLEEIGAIIKQAKAEWQANKLEESQ KAAAIEEVARSKKQGIIQKQQQKMLDSQPKPIPDVWDAITVPAPAATTSSSSKSSKTS GLFGSTIKSSSSSSSTSTPSSSKVVNSSKLTSSLFGSTIIQQGKGRKPRNTNRKNKEL SPGFENVQNSIHGELQSTKQAEGSIVPIVHEQVPYIPPTERGANTDGKVNGNSSTTTI LKTEDKQDNTAGTEPVPIPVNNKKEEGGIVQVKKSRKPKRERGASSSLPSTSGVGSTN GTENVNGGKKVKLDTTTDTSTPNGNGEQVTKSKKEKKTKKVLKPDEIPEFDYSSMPNI LDQPDSALGKEGKEKKKKRKDKKGGNGGIGAIEAPTFGARAARDLSQPKGGNKSGTFT L199_000437 MSFAPRSLIRPSSSMRRSALQRFSSSSSSPSSSSSNAPPLIASL LLSRAPLLTPTPTELEKTYYAYSRSIKHSLSSPLPTEFYFKSGSLPLRRYLKAEHEYE TEIYGERLAGGKPDNIGDIPPETEYEILPRNHWDEKDQKEGKGEQSLERYPEEEVYCL LQSKDKKKWVFPSTTVERLQSLDEAVNDNIIGPNGQLDGKGMDSWLVTRKPVGVVREG EQRTFFLRGHILAGQPTLTPSSNYTSHAWLNVKEVEDRLRQQGDEKLWESVKGMFGVS EDIAEEL L199_000438 MKGSKFLYPALLKTLIVPPLLFSLCLLLFALNHLKAIWKILGYI LSFPIIYIIRSHISVYLSSRRARLKRSRDIPRVKGRWPLNLDILVDWAKSGSEEEVGR MMVLLQRKWGRTYNTRVLGEDQVISSDPAVLKHVLMDDFDNFVKGEKFKDRAVDFLGD GIFNSDGDRWKFHRSLMRPFFHPAHISPKLFTPSIRAFVKSLPTNGEGFDIQKELGRL ALEMAISWMTGEHLSSTISSTKVGLEGKKDEDEEWEKSKDRLGDAMTRAQRIVGKRVK IGTIWPLFELTHDPLIEPMKIIRTFFKPIIGRAIKRKHDRQNLIDDDEKQDKDHLHLI DRLVDATDDRNLIEDQLINTLLAARDTLASCLTFCVYVSILHPEVGSKLREEIRSIAG EGDGDGEITKDVIRELRYSRAYINEVLRLYPPVPLNIRRTLRPSLLPTSSGGQPMYMP ANTSIILAFILMQRDEKVWGDDVNEFKPERWLNDGLGKKEREAFMSWNYGPRMCLGQP FALTVTHTFLILFSRHLEKLSSEGKKLNFAFDSQPEDTSIPSLWTTVDGCDGRARGGK DRMWVVADVVLAIKGGFWVRFESGETTRLGKQ L199_000439 MLPLLLLSTALAAQAIKLPRQESEVSGGQPVYIACVLDTKIPSL TESVAVNATSREECSSSCSTSWDLAFYRQDTSECYCSPSGDAPTPDELVYAVDELGNC RSQDDASVEYLHSSYTIQTCKIPPISTPSSTSLSTSSIGCLDSCPDGTQSISVRPEYD GTTDQFQYECGCYDSPADQIEGQKMDCGFGINAIYTTV L199_000440 MKFTVAFALLSAIASYAAPAKRAVTDTDILQYALTLEHLENAFY HQYLGQFDQAAFQKAGYPDWVRNRIENIAEHEAQHVALLSGALGSAATQPCTYQFPGI TDPASFLATATLIENVGVSAYLGAASSIVEKAYVTVAGSILTTEARHQAWLYSSVEKG PAWSGPEDTPLDFDEVYSIASAFITSCPSTNPTLPVKAFPALTIADDGTVSTSASTDG AYVQVIAGLTTNTFPVVNGKVQGLPSVQGISYAVLTSQGNTTLVGDDNILAGPMIFNK PFNSKSSNPAPAF L199_000441 MQHEKPISAPPSVMPQGKRTDDEAGPEERTDLVEQNSVFTGISS MDLARRRELLAQPLSKCGPIESASSHDRYYSIVHPYESTKTFEMSTEQYGQKMSRFTA ERAQRSNPGSRMVSRNPSEAQVTQVTDKGTTPTSTRDLSRAVAQTSNPAISSDGSNHM APHESKRRDDDFFSHGSVFSRNALSE L199_000442 MVKYSYTHLDEFFVLSQWEEDVKGPFGLSPPPGDWESFKAKIKT LNDACPPGERVKVIYAARHGQAEHNVIADKYGEVGQMQHPILDPDLTSLGRSQAYQTR LALQREVGRGMPLPEKWFVSPLKRAGETCGIEWGWLFHDEYDSDGLKAWKGGKGHGVN ATVIENIREHLHVHQCDARCPIANLKAQFTSFTYPEGMSDEDEVWKPIEVRGRETEDE LVARRGEGIREVLERSQNNTYISITSHSGALRGIYKSLNVVPRKIIVGEMNVLVIRVK EVPE L199_000443 MTYSPSRSKPKRGLGAIIDSIPTQADRIPDSCSEGSDSASDDDD DEVVGVGTIATPQPIPLTPQVGPSRSLSKGKDRAVDSPRVETSVGISGRNVYQVVTPG KYAEYFAVQWRKPQQKKHSEFRTWDGDAFIKVEGNKITMIDEDGNYKGVTGKGERDVC ADQELRIGGFDVLVDREVSEQEFKAGTTILNRPKASSILGPTAYRATTFARPFKAPTQ THAAKPVAGYIPGRTASGGLTAAPPGIPLSRKPEMPISKPIAAKSFYGPSVVKPKANR IVLGEKSSKERMEWGGALFNPNAEGAVVMPRPPEKLVKMLRQKNPDLDVVDVVVDPIL GSLLRKHQKEGVQFMYSCVMGYTAAEAEGCILADDMGLGKTLQSIALIHTLLYQSPFD NQSQTIQKVLIVCPVTLVENWRKEFKKWTSAKTDKRINVLVADGTNYRVSSFINNKNM QVLIIGYERLRKEIKELASCQPPIGLIVCDEGQRLKSKDNKTKKMFDALSCQRRIILS GTPIQNDLAEYWAMVDFTCPGMLGRYNAFNKQYEKPIMAGRAVGASSKVVELGEERAS ELHKLSKEFVLRRTADVMENFLPPKTLIDMMRKISNSPMLLRKKDDEGSMSDEIGSAL SEAKSAIPLDINVNDVTTSGKMLVLDKMLHSIHQETTEKVVVVSNWTATLNLIQDMCK IRKYPYLRLDGSTPQKQRQELVDSFNRDVRREDSFVFLLSAKAGGVGLNLIGGSRLFL FDSDWNPSTDLQAMARIHRDGQKRPVYIYRLLTTNAIDEKIYQRQITKMGLSDQMMEQ GEAGKESKDSFSHAELRDIFTLNLKTDGCQTHDLLGCDCTASGKIAETTSEETSTVAE DDDDGEDEKARFVNAAQYDPRPSAKMLRKTAAEQQQKLRALRKWTHYDTYDHHSFRDI IDVTLYNMLYESWDSDESALLAAASAEEGDSKKTNRKKRRIVDSDEDNVEEIPDKVFD SASEEGEEEDGDGDISGSEESTSVPSKRKKSDNKNSPRLKKDSSEEYEDPLCMGRKKK HDLTKIAENGGTGRVMFVFEKVSKAKMK L199_000444 MSSSSSSSSTSETSTLALLTPPDSSPGSPIKTQSEYESPFTSTP ISRTRSPAPTKPSTYTDSLLTLTDNVTSSIASSIYSIGGGPLISVAKFAILQTMSSQI SYGKLTIITPQGESHTFPSAENRKNEGEEEVVEGQKTEVTIKVLKDSFWLRLVAQGDL GFSESYMMGECEVDDLVGVFKIFIKSSPSSSSTNISGVRTIPSRIFSLITSLTNSRFA NTITNSICNISAHYDLSNGMFRSFLSKDMTYSCAIYPDLDKDLYDGTESKRSQGKIVD VYDNDKGEDELEEAQLAKLRHIIKKADIRPGHRVLEIGSGWGSMAIEAVRQTNCTVDT ITLSSQQKALAEDRIKAAGLAGRIRVWLMDYRKLPESWKGTFDRVVSIEMLEAVGKEF IPGYFGVLNEMLNEKGVACIQVITIPESRFEKYQQEVDFIRKWIFPGGFLPTVTYTLD SATQGSKNRLVLDSISNIGPHYARTLREWRHRFIANFNDSVIPALLDEHPEMDQEDIQ VFKRKWIYYFAYCEIGFSERVLGDHIFTFVREGYSDYGCSTYQ L199_000445 MSHLALPSSARASPAPSAASSPKRTGKKRRLDRVLLDEDDDVDE NDLIAVPRARRRLNEEYDEEDDEEYHEQEQEGEQDQEQEGEGEGEGGQEVPRTSKRTD KDISEGLKLKMERQKEREREKEIDMKGKKRRAETFLLRDQLDAEQAKRFDTFSTVALN KNAIKRLNRELYDQHASPQISMVVAGMAKIFIADVIELAKDLQPHSAHPTGPLQPYHL KLARMHLEESGLLADRKQAKKTIFRRR L199_000446 MRGLTAIGPVRSALLAKDIPLSQPYLHTRQLSLFPSSSSNSRIR HAYSTPTPARLRPSSRPRISSLLPLQSQRISTPSSIRSFSVLPSSLNPSLPEVIEETH AISTTDEALTPVSTVFDPIIDPLSNFLLEMNHPFGYGVSIICITLLVRTMFTLPVSLW QRKRALKTKQIVEPELKIINERLAKSIARDYRKAGKGYKEYLLEVRRQVAKAQSALHK KHSTHPFITTWAPLLMHIPIFVTLSLTIRRTLEVTNSPFSNESFLWLDQLGEVDPYGV LPIVGSCLAFGNAELIGTRRSQQSVKTDQVDNDSIRNQVNKEDILPTPQPRSQPHQDG PKPPPSGLFSHKQNPTAHSHSHRSIPTSNRSRGLSTSSSAGLAQKPPKGISPLVDVPQ GDVPAPFSPARQQEIRRNFLAGVLRFSAVGFGLIASQMPAGVTLYWVTSIAFSLVQNL VLSWWPQYKAQREKAKLLAEMAVER L199_000447 MASDDVPETVRPATKRIRSDHDHSKASTKANESDEKEQEKHQDD DWLKEPPFSVGADKDGWETKWRESCWCGKVAFVYNDDPAGSKICHCEDCQRLHGAPYQ HSCIFHKKNVRLDSDADSKWIGFLSAHGEVHPLSSTPTPLPRKISCRACGSPLMDEGR NMIMAFPPAFEWTRTHKEVKQSKEQNKDGNHGEEGDSGKGARKVQGFPSELKADCHIF YERRCVDVKDGLPKWRGHKEKSDLMKDDEK L199_000448 MLRRLTTISSIILSFTSLVTAQSTSTAPVPTGVPVQGDYNGPLR PRVHYSPPKGFMNDPNGLFKDVNGTWHLYYQYNPTDVVAGNQHWGHATSPDLYTWTNQ PIALFPPNSTAGVFSGSIVVDPENTSGFFSNTTNGVVAIYTLNTPEKQVQEIAYSFDD GYTFTSYSGNPVLDVGSNQFRDPKVIRYGDKWVMVLAFASEYVIGIYTSPDLKEWTHA SNISHIGFLGVQYECPNLVEIPIRNSSTGTDKGWVLTISINPGAPLGGSITQYFPGEF NGTHFVPHDGATRLSNFGKDDYAGQFFYNESVSIGWASNWEYTNLVPTANEGWRSSMT LPRENYLIDTGVRGWELVQEIYHIQPILSNQLASSDNLINTTSQVDFGNSTSVYFDMN ITFPADVTFSTYASINFTFSTQSSNEQFKGGYILTGSTPYTIWVDRGNTNGFDHPLFT NKFSASQLEPAKRIQGIIDHSLFEVYVDNGSVVGTVDVYPSEPFTGLSIGTGDLPEGT TVSLQVWGLKDTWA L199_000449 MSTIDDKSSVDGVHVVPVHKSQGPDAHLGTIPETEDANAFLASH AIGTYTDESNKKLLRRIDMYLMPMMCISYCIQYIDKSALAYAAVFGVKKDLGLVGQEY SLLTSLFYIGYLVAEYPQAWLSQRYHIGRFLGVIMFIWGGILMCSAATQKFADIAAVR FFQGVFESALTPAYIIVTGMWYTRREQPFRVAIWYSMNGLGNAIGALISYGMGLISGK LDSWRYIFIIEGIMTIIWSFVVFAIFPSSPMRVRWLNDAQKVQAVERIRSNRTGILNR EWKWDQVKEALDPRIDPSGHILFWACVLNEVINGGVSTYKGLVIKSIGWTDLQSSLFG IAYGAAVTIEIASCGYCAMRFKNARHWCMILWLLPSAIGMILQITLPTSNKAGKTIGV LLMPSFVGSLALCMGIPGQNTAGFTKRSVVVGMAFIGYCVGNIIGPLAWVSGQTPAYI SGYTTCLACMAGQVVLFLLLRIYYARQNKLRDQMVAAGQAPDPSIPDGGEFEDLTDKQ DLKFRYTL L199_000450 MKLHLCALLALLGSIAASQSQKPLEPNPSPYVPLHPELVARSRE ILVKYPLIDTHIDLPATMRTINRRPMDAIPRLNESHPGHFDLPRAKAGGLGGAFFTAN APCPGAYGRDVGPDFLNPTETVQHVLESIDLVKNTLAYYPTLMKGARTAQDVRDAFSE GRLAVLIGLEGTHGLANSLSTLRMYAELGVRYVTLTHVCHSSFASSNGGGAGTSGSTI PPAHPGNGLTPWGIELVHELNRLGVMVDLSHTSDNTARDAIKASKAPVVWTHSGARAI NNHPRNVPDDILQMIGDGPDKNNGIILSVLFSTFIDPNNATTARVVDHIEHIARIVGK NHVGLGSDFNGISLAVEGMEDVSKWPNMIQELLSRGWTEHEIAGIMGGNLLRVMEEVE VVKNNLSNLPPSPEIYYKRTDLPAHEWGGPNMAYLAPDVQKIVVQQKRLRDEL L199_000451 MAQPLSSSEINKLCANLVYQVAYAFYDTPYIIILKMMIHDNVTT EMELANKIGLSSPEVRKYMGTLHTHRLVRRHVNKEKVPIPEWRLKQLAAQPEKHLQPV KPGQPKPGAIDKDGKPLIIERERTRDVHYWYLDYREFANVVKYRLAMMRKGIDDKIKQ EVGHRGYICPLDGRMYDPLDLSNIFDPFTNTFKCEDCGSELEEHDPSTSLDGTITTAQ DAMQRFNIATAPIRDALKAVEAQTVPSINIIAWIAQNVKTSVKVQDGENRNGEEDKKF EVVMGKDENEEKEKERLAQQQREQNALPHWYTHSTVTGEKTTLGIADQKRKHLLEERS RGLGNEKEIEDESLKAHYEILDDDGDGEEGEFEETPTPAAVDEKEVPIPIVRVENGII DIDDDDEEEGMYDVKPNGGEEGGRMITVNGVPKRIEDVTDDDHELMTTEEYEAYAQAM YG L199_000452 MPHSPSPPPRGGYRRSYRDESPPWAARERDDYRYRGESSRYREY DRRDPRDEPGYGSRGGYGYGRDREYDYDGGRRRGGSPLRRSFSVSSRDYDYDPSPPKP RRSRSRSRSRSRSKSRSRSRTGTPEEGQITSPLPSSNENAPAAVKSSSNPAGLPPRPR SPPPPISSRRRSRSPLPYRGYARERERERDWRDKDKDRDYYYRRSRSPYSPPPVRRRG GRSPSIVSSISTRSPSSRPSPVKRYQSRSRSPERPPRQRSRFPSPAPVSTPITPAPPP RPIPVAVPLSNSSIGKPMLNKIPPSAPRSERLPIPGLPPTGPRALAHLNTPVPVPLGR TLGMNRSIPPTAPAPVVKEEIRPSPSTPSAGLSDPSKPPPTAGGVTGTPRLSWSERKT LLSPSTSTSINQSTTPEPTTISPTPTPTPGPVVINPYTGKPFGQARAAAAQGNSSPAA SSTPSIMEDIKPKIDEIDARPPTPPVIHQDAKPSIEPTSTLTRPNPPTGPSHRTSSVS VPVLVPVVSEAELAERKAKEEESRILAELPSLKVPFGGLSWEIELSNYNHRMISLSNN TLRAQSAARHAAMILADAEAERIAAGERRKICEDQLLSFSVGIIPGV L199_000453 MLRPTQVALSRASTIGWIGLGAMGHPMALNLFTKTYLASQNSSS SSAPGYMICEQDDVRVSSFLSKLRERGGGELAGKVERVENGREMSKMASRILTMLPST PQVESVYLDSANGILSGLQTLSKDEPPLLPLATSDQNEGIEQLSSSATISPGPSTPSP VVPPLDSSTTISPTTSSQRESSPHTLLIDCTTLSPVSAANIAKNIHESTSGKVVMLDA PVSGGTVAAKKGELTIMFGSPSNEATELALPLLQTMAGRDRIVYCGENGKGVGVKVCN NLILAINQIALAEGLALGNSLGIDPILLHNVINTSSGQSWSSRVNTPLEEISGSPGER GYDGGFQTRLMLKDAGLALESAAALDLPIPMTWAAKSIYEAVCREGKGEWSTKDFSVV YEWIKKKQLEGVERGWKADPTET L199_000454 MPSWSTRAKDDGGKKAEPVKEKVKNVGTSTKSKYQRTDAKSSTS LKQLAKTLSESVTERRKKDGTGGTKNDERRHGHHGLLDAITPKPAPQVEGSFSNPHKT PSSRSGSLSFTKSAPDSATSKKRGARRGMCSMKKDKDKEKEPEEEDGEWNEHGFWISN PKPQPVRESLADDRTETEKRKAEEEIDELEDTQITPGNEGKVKDLPTSSPSPPPTRLR TPALQHTAINPRMVDNTPLPLPPPQSRLHRLKDPDISFSQNAMKDISHQAKNQAQNTK EKEKEPLFLAVSISPDSDQEPHQREEDDETEEEVEFIESPIQRPTTRRSHVRSSISDD EDSSQGKGIPPPSFLAERDRRKKEEEKRAQKAREKKGKIHPEANYSDTSVSESNDRGL VLVPDSNPEGDTPARHFGIEITPFVNNSPSQSRKTRSKSSRKSKTYGKGRSHAYQHGN PLPHATSQRLTLTPPPAPVVSSYRGAKRKGSTSIWRDSSSSTSPEPDAVGGFDQGHDM GYDTEPPRPGKKRKRWTRNGPPPGERLLGLFGEDEDQPPRYRQKRGRTPRYPVTTVFK WPESDEAGKEDQAEYDPDTARRRKKRRKREIEKRHRAMIRHEPRLQLTRSGSCRARIE DYVGKIGHTLTLPKKPLHASRAVRGRSYKRMQGVPKHACKPMPTISYSEYVARYRKSP NFASSASRPSGGRVNELISAGRKARFESGIRKQRPITREITPKLPLVPLVPSQGDPQI EDEIVVEPSQRSFRRRGSSLGQPLVPKNVPRLKFIKNQPPSTLNRPQPNEDPSESTEP DGTSILSHLFTMPPSRQNPRREMPQAFRKSATPLIPFEDIILSDDTPETSRRNPQNYY EAEDPIQPWPTQDSQLDIIGSYSGRTPEMFGGSPVLPSPPERLSETFRRLDGMYKQDM SEHVAEPMVQEDMVQPEHVQREVTPLQDRPEVTASPDHQADQLEGENDTDKGDLPEDD MDWAEIGKQITPSQWTGLDVQLAQVEEEIKARKSSKSREASEKVRFANIPRQASQTAV KPPETGGGLVLPVPSNARSPTRAPSPQPSGRSEPDRKMTGMEVTQHLLQLPLDPSIAR NINKTFVTSSSRGSSADRPSGSTSTSRKARREERAKRVHDKRLKDKKREKEKQALLNF DKPRSSTSRNVLAEVHPPSDTEEEEEVEEVEPFRPVSKPPIKRKQTLSEAYRNIYPPP SPPRLKSRASAPSRFSLGTTPVVKNFKDAKQPSAKAFGERNRRLSQEALGRVFRPPIR GTNSFGTRTSSTDITGGVDATYEPTEVSRTNTQGNITRQPSTNSIRNTNDSQPYINAW RTEIEGTDNPIERGRNQGGNPFEEDLRMFEHSPPDPSLNERSGKERGRQRYLSAENWV GSQE L199_000455 MIVKVKTLTGKEVDIDVQPDMTINKVKERVEEKAGIPPVQQRLI FGGKAMADDKAIQDYKINAGAVIHLVLALRGGR L199_000456 MKAPIQSILTPVRNHALAGIRRKGKFSPSLIHRSFKHDSQGLTD IAAEDNDFILSILQASPSVRDSRSYLSSFAPPPSTTNDIPPPGSTSSETQPKEENQLV NSLLNPIIRRPALVKIQGPFTDAQLDSICRGMAYLQKLGLVSVIVVDRDDLPPNEPKD KFELQRQRAIVRHEVERVVHFLTRHRAIARPIFSTVARIHEVDQTDPKEKEKMKVVIE EEGLDHVRRAVQEGEIPVLLPVALDEGCKSTRIQSNRVLLALAKSMSTSTSTSTSTGN SNYDLTPLRLLIINKEGGIPSYARQGLPHLSINLSSEYTYINRTFQPEWKESHPTALA NLNLANGCLEYMPKESSALIVSHRSPSSMIANLITNKPKHSASLPHSLLQGITRDTPT IIRKGLPVRVLRSMEEVNIPKLTNLLETSFKKKLNHEQFYGRLKNDLDFVIVVGDYAG AAIVTMEGRDDLETNKEPICYLDKFAVNPLHQGDGTVDFLWVALRDETYGLGLLDASN PSIGSLRGVGTGKDLVWRSRSDNPINKWYFERSNGFKTTNDGKWKVFWCDAEQRLKSL WREREFGGGRLVKVVEDEEKERVEWWEDKIGKIPSAWK L199_000457 MSSEQDFTKRKLWGGRFTGSTDPLMHEFNQSLKYDKRMYAADVK GSIAFSKALLKAGIVNEKEQKEIERGLKVVEGEWAEGKFAIQPDDEDIHTANERRLSE IIGKDIGGKLHTGRSRNDQVATDMRIWLMDESAQVEQYLKDLLNVMVSRAEKEVDAIM PGYTHLQRAQPVRWSHLLLSHAQSFLSDLDRLRQLQPRISVLPLGSAALAGNPYSLDR ELLRKELGFQSIGENSMHAVADRDFIVEWLQWASLLQIHMSRMAEDLIIYSSAEFGFV QLSDAYSTGSSIMPQKKNPDSLELLRGKAGRTFGQMAGFMMSLKGVPSTYNKDLQEDK EPLFDAVDTISAALRIAEGVIATLTINPTKMSQALTMDMLATDIADYLVRKGVPFRET HHISGRSVALAEQQKIQISDLTMEQWKELSEHFTDDVMDVFDFENSVEKRNAIGGPAR SMIKRQVDIARERIGN L199_000458 MSDSPTSSSSSSTFIPRLPTPPSPIPSSSHLRPSRPRGASTNTA RSYSHSSTRDLSDWEIEELEEIHRAGGRGRKRNEPTSPLKAVLYVVSLYLIFQILTRS DDLDIFPSSNPTTSSIRQSHSPPSSMEVPSYPHLPYGFPPIPNPIPSALPPQPGTSWW RLFFGVLLYPVYLLATILTTPLPLLLNLLYLLKELLKIILYPILVVLYTLYGTFISAP LGVVRRVLEAFYPLMVFIGGLVGVGCTLGLGAGWIGRWVLDCILNWKNRRQAESKLRR REKMERDRDMKDLRRIREAEIDFELERLHDRFIPKTPTSTSHSHSSKARMISSVGGRR PVLNLNIGTTNIRGTKKDERRQQELQSQRRKSISSSESERLTTPTVTSSGSGSGSRGG GIGTGKRDRRIETFDTTYTTSTGTGTGNRNSNKKKDGRRLSFQDQDDFESMGIGSSRE PMVVGIRKRGVRETYTVHG L199_000459 MSIASSSSRGVKHALARSSRRTTSARSSQQRIDIPSFLLPGFSV RHQSSSSETNKRRDKERYNYNTSLSFSDAPDPDHVHWRRVTASELTSRKEPPTRVKML VRDFIDDSLYNPNYGYFSRNATIFTPPKEGFDFSSFADTAAFQEAVAERYEKQYGLEP TTGQTGGLGRQVWHTPTELFKPYYARTLLSAIFQSYKLNHFPHEPLILYEIGAGNGSF MIDSLHYLRQNYPEIYEKVEYRIIEISASLAKGQKERAEKEGFGDKVTVINSDFFKWD GTVDGVSKGGEACFVVALEVFDNFAHDMIRYDISTLTPFQGVVAIDASGDFSMIYEPI TDPLIRRVLAYKRLLPPSPSTTPPLSSPLLYSGLLRSLYTSLPFSANLSQPDFLPTKS ILFLEHLREKLTNHRLLISDFDTLPDAVEGRNGPVVQTRYGGSMIPCETFLVKQGYFD IFFPTDFELLRDIYSVIMNSPSPSSTATSPSTFSAIPPPYNKPSKQPKPLSKDFFSGV QGFRRRQVNIYKHDEFINKFGDQQQIIEKTNLGNGENVMKQLYDNAKVMF L199_000460 MTQIPLGAQLSPVDLPPPPPNADPREPSPALTPRQRGSRTPQRS RSPLASRDASPVRPIGQGRSHSSELGSSPPSTLQQPIPKSTSGTPTPSPSVPIQTHSH HLSRPSSPSSIHSSGSAIFERDIELPPVASLSLNPNSTHQQQHHTLNHKSSRLSHLSH GSALDHTVPTVLDDAVEALTAGGLDGTSRGFEGLEIEAPAPAPVPSTISVGMARQSSS SIPRKISSGPRSGGGNIHSRSPSPISIASKTSSITSPATSPPILGQLNTQQQQSSGLT GTGQNANQLSPTAGEQGLPGTVPRPAMPQRISTGPQVPGGWASAFGGGNSAGATTLPS QAENSVVADDRAASPSTDSPNNAFQTLTPTSLPSHISPNKNKHRISYLSPNDLLLSLP TKVTSLEDITSGNLSPDHLPGTVSPSMSTRSPVMSPHNPLSGDLSPVTAGGIGPGATP PPQGPVQGRQVQPKSSFDNYSSGRPGALGGLGLGEGEWEREGLGKGLEQRLEEVAQGQ GQGQSQTQP L199_000461 MVRFKNRYLLVEFLVPSTLTPTVPNPTQLSEEEALNLNLEYTPQ QAAEEDEDGDDEDDDEIGLSAIPKIPFLLPTSQPALGIGDEQVIYKAIRGCIQDVFGD EGWARVASSFRVIYHSPLTTLTFIRIARPYYRLIWSGITFLSSLGGKQVIPRVVGVSG TIKKLQNRGISYHRLVVAQLIAHSLSSADEGLKISGGGASAGEKRLEKDSEREREEIG RLTEGQ L199_000462 MSSTAPELSYSSTSRRFDNDHTDANEEEGKKTIPHQDLNDQEGG AQFYEDNSSQENMLAGVAKVEALQAVWGPTSKWFLFVGIGLSAYIYSLDGVTTWQYLS YATSSVLKHSVSGTISTANAIIIAVGKPLMAKLADVVGRAETFVIVTVLYVVGYIVIA TANDVNQIAGGQVLYSFGYTGLQMLQQIVIADMTNLRWRGLVTGLVSAPFIINNFVSA EIAEGVLPNWRWGYAMFAILVPVSLAPIIVTLFWAQWKAKKLDHIKAQPNAYKPWSKV ITDAAIEMDLAGLILIAASLALILLPLGLAPSAKGQWHNASMIAMVTIGAVLFPLMLV YEWKVPRRPVVPMRWLKRGPILGACLIGFTDFVSFYLQYTYLYSYVYVTQNWSYRDLT YYSATQSLALTIFGICGGIIMYFTRRFKWMLFAGLLVRLIGCGLMLKARSATGNTAEL VMCQVLQGLGGGFAAIAIQVSAQAAVAHVDVATVTAMVLLITEVGNSAGSAAATQIWA SHMPAALAKHVPTTNATLLADLYGSITQIALYPQDDPIRIGAITAYQDVMYKLVLGAL IVAIFPPIFCILFTKDIKLTRAQNAVDAKDLAGNPTGEDREFEDNRTPSERNLETSQR V L199_000463 MTSSTTASQSELESARVPLGWRDQCSALLIPLNVCRHKTLYMPW KCEDERHGYEKCQYDDYMRRMKQLTRQKKAAAEAAAAEE L199_000464 MAAQPTHTPHGIHPLHPLHFPFRDNTIARRARRPNETELAYQYA EAEAIRRSLEASASDVSSENSLPLPQGSTNNSRRPSDPLSDTLDIDGNAREMLNEQIS HEEELGEAEEREEIVRAAETSPLNAPLELAPVISEHEQQEMNTDAALRQADSKEEQVI EESIQPNESERRRLRKEKLAERLMEVFGLEEREDVLEEMRCWLLRSVMLKGYMYLTKR HICFFANMPDKEDLVVKTGPLSKKASRTKLNTKFWVVLKNDVLSWYESTADPYFPKGN ISLQYCSSCDAVDETKFKVRTAEKNYTFSADTEASRDEWIKAIQKVMFKIQHEGESVK LIIPLQAVLELERSPTLEFAETIEIKCVDPEDQMSVESYFFASFQDNERAYSKIQLLL DERPSSDLPRISSAVTIHQQPVEPLEDSVKTIRRPEQVSDTSNAHHLGLKKIGSVLRP LISRSNDKVNETDQQKSGLTIPFLSNKTHKSSNDSLETVRNEPLIEGEVESPEEGDFD DGYPPRQNGPPPAGMTHDDHRNSWGPSWIRKPASKLFGTSPGSTSTLGRSPPEYASGI SRSSTTRTNRRKQNSVTEIVEPAVPNAEDSSDDELTQRLSGRGGRSSFASDVSSGSQM VQSRSDFSMMEASESGRREDDETAHKFRSVFALGEKEELIDHFPGYLYRVLPVSGRFF VSTNYFCFRSSQLLYKTKMIIPIKDLYGLKAQKAFRFGHSGLIVVIKGHEELFLEFSS SKRRKACVALLEERMEAVRVSGEKGEPDQADIETRIMEDLDESVPVESRTTNNVPPVS PSPLFGSTTSTFLEFKPEPMRVTCLTIGSRGDVQPYIALCKGLQAEGHHTRIASHGEY KDWVEGHGIEFASVGGDPAELMQMCVDNGMFTVSFLKEGLQKFRGWLDDLLNSSWEAC QGSDLLIESPSAMGGVHIAEALRIPYFRAFTMPWTRTRAYPHAFAVPEHKRGGSYNYM TYTMFDQVFWRAISGQINRWRRHVLSIESTTFEKLEQHKIPFLYNFSPSIVPPPLDWT EWIHVTGYWFLENADESKANEKKWTPPEGLVDFIDGAHKNGKKVVYIGFGSIVVSDPE EMTRCVVEAVVDSGVCAILSKGWSDRGSKSKGESTGSSDGADGVKYPPEIFSIDSIDH SWLFPKIDAACHHGGAGTSGASFRAGIPTIIKPFFGDQAFWAERAESLNVGSAIRKLT SEALAEALIKATTDEKQIAKARIVGEMIRKENGVAKAIEAIYRDLEYAKSVIKPPPGT EDKALDKVTSLLHADTIVPFRSRSRSKSTPSKSENQSRHDLSSSGEHLGNDVHASDDG WSVVSGGNGGDNRSRNGSVSFSRSQSGLSSPENDNAKTSNSKNDLFSGLSLGKALPIP LPNPFSLGKKKKDRLDKDNDGEEEEEEQEVTDSAPVIGTAGAKDKVHVDIPTN L199_000465 MSNPPPPPAQQPSPSSLHAPPFPQPATSPDQREPSPRHPHAEQF INAATKHVFPTHPAPGKEQEREDEQALENAKGLDQPKEEKEERPIISGTPLDELPYTP AFEIPSVRFEPAQEQKEEEETITEEQRLEEEERQSGDIINPIPQTHSRGDVSLSSSRM TSTSVSPDDRESGEDDEYGDRLTQSRPGFGAGKDIGSVRMGASALVSALNALPWDDEK SSSSSSEDEEDDDSYPPSDQNSTD L199_000466 MLTQHFLVLFGLLPLLVISTPLQPEPLHTLPLKKIPIAREGEHP LAAFERHQKAAIKRMHRYKRLVPSSEEEFLQRNLERRRAIESDPRLDKRMYIPDNPLP SLPQREKRIWWPPTDTSANPTPTGAIPHVAAEVNRTGGRAAVATATVKASGSNANGFS EVAIQASQDVSLKNSDSELIQGGLDYIIEANDIGYLSEIQIGTPAQTFLMIMDTGSAD TWVPSTSCGVANCGDHTALGADNSDTFQASQTQFQVTYGSGAVAGVLAADSMTIAGMT VVNHVMGVTLQESVQFSASNVPFDGLVGLALGKLSNQGVQTPLESLASTGLIKNPILG IALGRLNDGENNGELVFGQANTAKLDATTTQTLQVTSQEGFWQVDMAAVTIDGTNAVT GRQAILDTGTSLMIAPPADAAAFHAQINGAADVGGGMFSIPCTIDQEITMTFGNVAFQ IDVRDLLFQPLSNDLTGDCLSSISAGTIKDDVTWLLARTDSPGSSSFAIAAAATGVAN NAMNVQSNSTNPTSSSTSTSSGEASSTASNTAAVSLISAIAALLAGKNL L199_000467 MESYTINAQAGPSSPSSRLTLGPVISPTTKMIPLTEQEIDLDHN ESTPTTPRPYNTSLPVTPPRPARSPRRSIDSSHHRPSISSVHSGMITHDWTPLRIQPS HRKRSLSLRSSISSTHPVPGDENRCRVSFDATPVPPSISEFSVITQTPTSSRVSLGQP TPAQEEAAFDDRRKNVKKARFLEAPLMTRSDHGTSSDTAHTHRSYISAFTGEDDPDMY AEEFRVPVDEAIRSVPGVVGLGEGWAGGPQSREKKKWFQRRQTVEEDPLSLWKGNEQK TTSPIRGIWSRSKKNLFGQSSPALLSEQQHDTQPIRSSTVLGKLFNLSRSNLASPDSS QHANPIKSKSKRFSLGIFSSSEVTLQQDPTIAIHPSPSMPALSLTAPSDPTPQRHRQK QYSQSSLARSEGDLTMPSQIPSRSSSLRKQGLPPPWRPSSMILNNGGQRNSMLLVPPE QDGNATSDSTTSLETPATCLSSTSSLSRGHALTRTATFGLDDIAAEQRIDEENNISED ESDNDWEHVRRESSTSNANEMRKGKDLPRIPSPQRRPSLKQRRTTWLKKMKNVLTSSN TQDSSSTSIGQTVDRLLARRKSSNPRNSTVDPDSSVNSAEPTMEPLKTSPLAPRRKAS RIKHRRNSWMIRSSSSLAKRLSRLTEHEEGDTEHENVGHDEEIDIEDVDHANRLASPL GFASLESSEVRKDRRKTSKKMDLSESRRSSNFSLLAMKSRRSSCVHPLDEIDRFPGSI SMPALSKLTTTDLNLRVSLDGEELGLEDILDEERRGSIVQSIRSGTPIPSLTLTPREQ AIENTLDTLSRKSNSIKIQRSPSPNPSYRSKMKARHASLPLNTTHISDINMDERHTGG KSHKRTTTLSTMDSTHSTNLITPRHPNELATFLDALTLVSPSTPVEEEPIVPEIEETL GGLTVPGNEKRNSINTHRYSGESYLTQPSLYDTDEVVQGHAIRVDSIEDMQRQASVVS LTDIGRSWSTGLRMSKA L199_000468 MSTPRNNLQEIMARTQGKRASPTSSNAVAGSSKSDGVRTPGIFK LARPPTSSSSRSTPTTEQQILNMPGVKQSQTISLASRPMLNGTPSSSTSNVDPSRSNM AKLQSSHLMTPSSTVGSPMVMVGKGKGKDNTPRGIAVGSGARASKFASWSNEKVAAEI VKLLEDKDVLKDKKMAILMGQGDADGDEFIDESIEFLTTKINALKAEMTARTSSPSAA PAQQTLPPRSSGSTCVSVPQRDYPTPDTIGSDRSRAVLGDFKENRSKGLSSDDGDPIP LFHKISSDSMHRTEQPQAGPSRPRKAPAPPPQYDDFDIAMAEEGFAEEDDPEEMLIPP SSPPPRAITPPPRSQPKQPPQPPPSKSAAIAEMEDLAVEEIFSSPIQVTSQLPPRVVA SQARSVLGGPRPPSSPPRPPNVQAPQQRTIQVEKTYPWTKEVEHKLRQMFKLPNFRKH QKEAINETMAGKDVFVLMPTGGGKSLTYQLPAVCQQGKTRGVTFVVSPLISLINDQSR HLCNLNIPAIAYTGDMTQKDKNLAHEELSRPEPYTRVVYVTPEMLTMGGHIKSILRSL LQKRRLARFVIDEAHCVSQWGHDFRADYLRLGDLRKDYPGVPIMALTATAQNKVEEDI IRSLGIQGCSVLRQSFNRPNLHYEVRPKTKKVIDEIVAFIRTQGQRASGIIYCNSRDG CENLAKQLRDRYEIEAHHYHAGMSKGDRRKIQEGWQEHKFEVIVATIAFGMGIDKPDV RYVIHHSLPRSLEGYYQETGRAGRDGNPSTCILYYTWGDGKKVLNQIDQDQNLTRPQK ERQKASMNEVLRYCNNKADCRRSQVLSFFNENFDPRNCNQGCDVCLGRDRSVYTTLDV TDDALNVIKMVQSFEREDRITIVNAAECFRGFKGSSGKGLDQNPLFGIGKDWTRQEGE RLIQTLVIEGTLEEFCVASAAGWTNAYLRLGKEARKYLNGSKKLNMDFRQASPRKQPA NKKTASKGSGSKNQAQIASFARKLSNPISRKRSYQQILAETEEFDNSPWGDTDDEDYR PDDDPIEIDDDADGDATEVEADDDLPLAVKRRKTARKEIGSTIVPKGATSRVQVIDVD RSSTGSPVENCLKALEKMRGSVLARNKNAPQLDDEMLQYIAATMPTNEVSLKECEGMT SAHMKTWATRIMGICVKHRPAYHAADPQVAPLATVSASSASRNNVISRIQRYAFDPKS NSNNNASSSSAKTSSSSTPLKRATTLASSLATSKTSLTPNGQLTLTSRANGMRPVLVP QNSNGNGRKDKF L199_000469 MPYRPNHIFKGVVTKAGVMRKTVTVTVERIFEHPKILKEIKRHK KYLVHDEGEVAKVDDKVTIIHGLRTSKTKSFRLQSIDGRDTRKYPDDPIPQIISEPHI RLRKQKKMGVLEALNAVRSEEAKAVSP L199_000470 MTVDQQEFKNIVIIGASIAGHEAANHLSSNLPPNYRILLIDARS FAWWPITVLRAVVTPGTPHQVIAPNKVISLKECSVVLERPFEGSNEVPFFRCVIATGA SQPLPTMPGWNQTETEFIESLKASQRDVEKAKKVVVVGGGAVGVEISGEIAAHHPDKS VTVVHKDYGLLSPTPPNEIQAKVQKGGKEVGSYSSPPTDPRLSIELNKICDKLGIKVI LNDRVIIPPCASSYLPKEVKDEEDNEYGQIENAHGNPEEGQMIPSESEIEGNPPKAWN GQFGLQPSLVSLKLTSGQTLEADYVYPGCGMKPNSRLVRDVDEGALDGDLIRVNEYLK VATNNSGESIFSKGIYYAVGDVCSSPGFKIARTAIVNAQKAATNIINEIKKKPLSKYS AGPISHLDLPLGPNEGAGMTTFGWLGTWVFGSRFTTMIRGKTSGTERIFVGRFRGEKR SEIVFD L199_000471 MIATAQKQLPPHLLHPFPTTSIMPQQQPHASSSRGAPPPPIQTG GRGIKGFFSRTKTMSPEPPELPASRSRLRSFINDTARARTSSKPQAITTQGRTGVRTG VGRDGAAKTGQRTLCSEVTLGRQRLEKELGRVRPVTPTERTQRQIRFNPTALPAMQKG DDGKWQPPSLSRGSMSTSALATLVQDEDDDKTARKGGEERARKDHKHTLSNLFQSTTR HKSTSVAVPIRRQPTDDHKTIKATARDRTNDVGPVSTPQIHRPSPADSSGTWQQISPL QTPSRQSVIRPNPDNTTIKALPQSKSPVEPKRPTHTVPLPPTPISAPSMQQSKSDPIR QTHTTSTSPTTSSNSMPPPPVPTHARHDSSPTSILSHEHYLLRLSTTFIVKSLTPIVK GSAFVQNDKNIEMRRIADDTLTALARMEKAWGADWVRAANTLASTPSSTTISESPAIG STEAKVRLVYVGDRAKERERKAWVEAMKDGILLCFLLNHLFPAQPSHIPRLNVTEDGI LRATNLTRFITACQTVGLPDTDIFGLADLQEGSESSIGRVAQTVIALARLAGPPAAGI SRAKSPNNSRPGSRSNSRPPSRAAATSTSPPRSPSTSPRRTSVELSSPSKRLSIDKNI VSPKPFPNGINMDRQGSNESQNELHTKLQIATDAKAAVELDDPSSFKTPTTSTFALPP TSSEPPAIKRTPLSRASTQPNVSPIRPKSPTSSPSSRSITPVTRTGNLQIRPSLRPRN TTCSRVSVSFADNEPSSPRSENAPQSPLPLISHSRERTPSLISAGSRVTSSNYSRSSA AYSVATVLGGDHANAIDLTEDIEDEAMMHQLRERRASEKKLQEARHKIIGTLLSSEDL PEDLRRAVKDSPESLGRASEEARNTALSDSLAALEGNKTAANLPTRIETSPGRRPLAR RGMSIEISRPNVNRVLEEEEVSSNGTSVAMPNDGSRPSALRRLSSNGKVYVPKRSASP ASNLTSPTGTAFPTSPSVPNPSYMSRTTSLNTYQPAVSSEQSRPTDKAERRQSDGYPR SKLHQHGRDIAVSTEESSRPLQIRINSMVNLPVSGMERSPSLYREHSGQSAVRASQSL QVLESREPGCPVVKYQLGNCIGRGQFGSVYRSLNLSTGQMVAIKRIRLHGMREDEVTD VMKEVELLKRLSHPSIVKYEGMSRDEEYLNIVLEFVENGSLGQTLKSFGNFNERLVSS YVAKTLEGLDYLHSQGVVHCDLKAANILSTKNGNVKLSDFGVSLNMKAVENIKQDAKT AGKEGGKKRVSEVAGTPNWMAPEVISLAGASFASDIWSLGCTVIELLTGKPPYSEITN SMTVLFRIVEDEMPPLPEGISDALVDFLKLCFIKDPNARPPAVMLFEHPWVKGLIPEL QALRPQDSVPFLRRVSMDLRRVDSQRLFDNGNLSPSLDGAPDGRPHRHSMASSHARDG SGSDKSHVLVKTSFGKAIPCRVCLIDVKKSGVLCQDCGLIAHTSCASKASPRCDIHEQ LALFTRQQEILQSLSPPRIASPQPSFSFENREGGTPLTALPAKLLNGIIRSKSRGGLH SAGTSSPSQLDLNAIGGETRRKAGMYGNGFISRPSLDSHQQQLPSSRSTSFNLQDHHR SSMYSNMTEYDNDLNEARRRSGVHFELGESIPGAMPFPYNHANGAGAPVELTAENLAL VGQMNNILENSSRTGGGHVRSRESKSDCCIQ L199_000472 MVNQDFKNIVIIGASGAGHALVNELISTLPGGYRILLVDALEYS FFPVAALRAAVVPGWEEKVVLPLKTSTVFPFGSIHKVIAPNKVIELKKDSIILEKPFE GSTEISFYKAIIATGSIQPSPMRPSGDWRDINDYLKALRKSQEEIKRAESVVIVGGGT VGVEFAGEVRALYPSKSITLIHNRSTLLTPLTESQPDGNKEIPNSYISPPTHLKLAKS LTQLVKKQNINLILDDKVIIPSEESNSTISNSNSDSEEWNGSFGSQDSLRDIRTENGQ VVKGDYIFLSIGNKPNSHLVQIADKDAVESKTGLVKVDKYLKVQSDILGHEDYYAIGD VSNVPGLKTLYLAFLQAKSAAVNLVNEINRKPLVAYNPGTFGGLFVPFGPELGAASIT IPYLGTWTVGSGMVSKVKGKKLLLDKWVGSWKGEEKVEIPI L199_000473 MNTDRVDIPTESGERGLSKSETRSNDDDVKGNSLDDNIQQREPF QYNDTSNLSSKNQSIEVSSQDQSESQIPEPHPINPLNLHLISHPSRGRGVFAPTIIPA GTLIEESPVLVITQREWEEGKMNDSILGSYGFCWRDGGMGIGLGLASLFNHSSSPNVN FIRQFSNSTIKFITTKNIEKGEELCICYSADESKLWFVKSDQAINPINSQDEMVSSEE EEGMEGFFSKIDLDEEDLVNEDGIAQKKEREKRLEASQTRDVNGQLIKRKKKSTTSLL SSTNIQSPQPIPFSNNAIAGPSTNTSASTASSRTSTPSSSSVYPEASSGIITSLPPPL HSTKTEAKSTDGRYMELTDELDWHEEYWTGTAPCPTGAGREDEFAEFIRIKGPAERED EVDQDGTMEIWTLEFTDPRLTRTALEFSKELTATDERLRHLKRVCRRKENDEEICRIV LAMVTEYEQSTLQSIMASYSPTLSHLAPAVHTVPSSSARTLEQMKAKSHIWPVSFQPT PIIPDDSSDWPIGRKAWVTSGIKRVLSLALEAKSKGEIPIAAYCTAQPSHFWPKKEEG FIPPTEGLRASSNDTRVSDNHPLRHSALNCIKSIAYLRTIPPFTEITPTRNGSDYLLT SLSLFITHEPCVMCCMALLHSRVKEVFYVFDRSHGGGFSGSGLGINARNDLNHKFHAW KYDGRVDERTKKLLKFDEDIQV L199_000474 MMHSGAGVPTMAYYSYSNQNSPAVETANKENPFDFPSVNLPPRL KNIGRKISQMSNDFLPTEEDTTSRKVQLERRRSHEKEVPGMGDEPVMCPFCNKPLPPS LLASQLLSGKHDHNHHNQNNRPSNVRRASSMRVSSNTSSPRITPSAPLSRVPSASTPP AKPPLLDALPVKTPEPAASKAVEEPLPSATSETFAATVKEGDVANHDAAEKMITEEDI KRWSTLSGISITKTSSTAVKPTEPTTIVSPIPKPLEDKKAFPLLPPPPPAASKLTKPP PTDRPSSTSSSKFNLFGENKTDAAEEDESDDEGVATGYTKLTGPASDSEDEGESKKRV EEKAEEPKEPEPNDTTEEKETPVDASSPGSNYSALAEEQKSAEVVATADPNEVKKVLQ EVLGRVNELSKSQAALLASHSTLLTSLKIARSNLAMAEANSEMLEAQLKRTSATSAAS KAGTSRNVSGPATTTPGTPQLSATASRSSADHIRATTTSNSVASPASAARTPARVSLE ERTRPTSLHITANELAGAGGLTAPSPGGLSSTSNSNSWGFWNGGKKKVTGALSHVHVP SASSVIDAFQQPSRPGTPNPDGQPRKSTDSISNWIPTSPAAPYSVPLPGANARPLPNR TATHQAVLSKSLNEQPSLSRSMSVMNVPSMASQRSVSARTSSTVSNEELSTLRQAYSA AVAKMDGMSKELAELKKGKVEMEAELENLSQALFEEANKMVADERRRVAELEDSLKEV KEEREALRETIKVLGGKVEDIPQISHTHPEEDKEESNKVEEERDGDEEEEMFRPRDLD KHYAALRKSIHHVASGADLHEDEDEEEAEGRPLVSEPAPLTPSLGNSISLGLEVPSTR SSTGLTEEDIERPLSRSMPSLPAAAENNPWATVAPSSPPRINVKAATPSPHIPLGGLP DLPSDQAGEGEAPSGLGLEVDKGLVEGAVGGAEEKRVDND L199_000475 MGLSRSSRIITLLVIDSVFFLIELVTGYAVGSLALVADSFHMLN DVLSLVVALYTIKLATSPSSSANSYGWQRAEILGALINGVFLVALCVSIFLEAIGRIV SPPEISNPKIIVIVGSLGLLSNIVGLFLFHEHGHSHGHSHGAIALPDDDDENAEEERE DSVSELYQHPAETRAQIIETAQEFGYGQNNQLSSSLDSGLNNVLKSPPNHHHRALSNS RRSGRHGSISSRPGETRKGSASRIPPSAGQNDTLPPVGGSASTTSSATAVTESAPAAP TKSKLSVAHDHDHDHDHDHGNGNNKKPLASNASDAENGHSHDHGHGHGGHGHSHGSMN MRGVFLHVLGDALGNVGVIAAGLVIWFCQGRWTLYFDPGVSLLITCIIFSSALPLCKS ASYILLQGVPSHVSLEAVRKSIVDVEGVDSVHELHIWQLSESTVVASVHVMIVSGQDY MDVATRIRQRMHGHGIHSVTIQPEFYADEEALSANGEACLIRCPPDQCQGDTCCPPIS SKLDDDSPSHGDDHDHDHDHDHDHDHDH L199_000476 MAEDLQQEQSTTDFQSTQGEKKVLTRWMTLDVFTARSTSATDEA ERKRFDNSKNSTTATMNNGSTTTSTTQGRGQASDREEKKEDQTFEFNVTYY L199_000477 MSDSSRSGFKSLYNRIRHPRSYKSHDSSSGDRNSSQPKTVRTGA YIATRSDRSTGDRPRTSYGPMGVAATRDALAGTNATTHTVPCEEDADRRRREAALSPG LLAGAASVGEDQLSTTPAGTEDCTDKGDSYNHGDTHNAEATYGIGHYGININHPTTCS GVGVGSHHDFSLEGHHDYSGGGGGGVYSGGHSSHHGGGHDSGSHSGGGFDGGGGGF L199_000478 MVDTSDHSASSASLSGASSWDQQLHTSRSSSIASSSSRMNRGDE GLYSRFNTSPAGTPLPTPLDTGEKNDPLATSPNSKSTHCILENTASPTPRYEKPNPFE SAHRTKITKQGSMALSGDRDTTPTSWVEVRQSLSLSSVVGQPNSREMELAKHCFFQIM KAVETTPMSGQSQRKESGASSPAE L199_000479 MFRSRALHQISNIASSSASSSTSPSVTPTHHLITLIRSPIGLPA SSRKTLEALGLYRLRESALHPYGETTAGRILKVKELVLVANVTKAEGEVLRKRRRSEG NGLEPSGRVYGGGKELTESQI L199_000481 MQTTHTCCSPATPAITLPKPTAESTIESKSTTASLHHSGPPRNP NDFIPLKEQHLRHELKLFKGAIRAIKTDTWQSWIQCKNRHDLGHLAYESKERPAEALV RLKRSRRRLAKEMKCAKNQRLTKETCIAVHNSESITSALLEDSTAKSASGILTTTSDF AIAFSPDAGATTTPITTSASTTTLQPESATDTIDYLACSYSALVSPQKHLKWFFDGTC SWDEDKATIMSFELSPVNESVHLFTESELNKVLETWFSANNHTWYKAWGLKLDRMNRV VTGRTAVHDPWAVKNSPYVLSVNLQEDIPSARYQLTLRKVPKYLPFTNMDTIALFIGK TRYSINDLEKLVYNMVNEFRKREPAIGGCVDNFQLVRSGEWLLCDPTNPILASYLITV PILKGHFFDFAYVLSSSHQTRQPNAPNTGTNGKWMDNYPESEKERGKSEEKVEGGSSN QFAHTQVGWIESFEEWVATCIHGVIMALELRRYDKMFSFPEPLMDNAWVSAQVITI L199_000482 MSSLARPAAHAARRAPRRAQPDNTLFRNSTPPPHLLTLADLSPA QIKTLIQNALAMKYVSKHRSPKALISSLDKRTIAMIFSKRSTRTRVASETSVQALGGH PMFLGKDDIQLGVNETLEDTARVVGSMVDGIMARVGGHHEVETLAKYSPVPIINALSD LYHPTQILADIMALHEHYAPAPNPPEKAAEGEGTHTSILKYFQSTVDPLKTLKGKKVA WVGDSNNISNELLVTLPRLGMEFSLAAPKGYDKVDERVWARVIEAGTQSLVKLTNTPS EALVNADVVVTDTWISMGQEQEKVERLKAFEGYQITNKMVNDAGAKEDWRFLHCLPRK QEEVDDEVFYGPRSLVFPEAENRKWTIMACFE L199_000483 MPQGYVQEWASDHEAIRSETTNGIIRPFKLKNEADHNLYRYLLM GKGAREVPRVQVPEDIAWHIARILEGTDREVTLAGTFQISFEKTSAFLAELAFIGQYV PTWFRLYYQDDELEISSISQNLHRIFSLLTEATTNLRDEKQLRGVYAEIFHLVFYENE ETKSFDISSNVKTSFRIVNELHLWPLALRETDLQIGFAPVALRISKNHYSEPVNLSTA VYALSRDILVNSNDDLFGSWFPYVLVHLNTGTSDFNNTRLENDTSILLALKLYHALYS QAIQTDPVDCQLHVRETRYVFGIRVLADWVEVVMGFLTGGKVLVVPIFASPISIPSKY LQFVNILLNIKDERDIRKSIIENWLWCSSGRSSEELLLRLARYRSSASTALQGYFNSP GPSSRTPTESPEEIDKKKRINKWLEDIHDHRHSLERGYLLSL L199_000484 MPFRARKSSRTANSASRPPPRSLSPDQDDDEEEEEQARLDDLFS PDSPTLTPTDSGILLTKNEKDTLIVLHQLCAFEHVLMEFFAGLDGLKIAPVRGSKIFK FDRPEMFDNSDTGRQARRNDEIGGLEGIIERITHSQKVAKDNIIPEDYLDRIRDNFIA ALRNPFLHLRESKEVPGQVGLFVKPAEPPSRIDVLTRKGKTPNMDGIRFELFAFPRKI KKPEEHGFLDDLTFDYKHKKPGEKEGKNYVLMGLGMARVINHHCQNKSIEWLFGANAL KFESDYKIGRMSSKLVEIPRRTLKPGMEIFGYYGDEFARLDCICSCTWLHTSTEANHA AFPGPSTHQYRADTPIEYPASRADSMDLNNIHFEGSSRLSPARHCRTPSYSDWDRDHA LSENGKDSLKRQKKKRKKGTTQEEEDDDEVEYVTTMTPRESSSARQTRSSTQKKKKKP LQRVDSDTEEDDIPGTWGRTSAQRQEEADVFAIDDGETIEILGDNVTTNPTKMEIYDQ LTRLDTRLDKHMHLPETHEDRLITIVSELMDSREKISEDRKEIERCRVKQRILIDRLR RKDKGKGKHCARDRDASGISVVDLEDIIIDEEGVGSGNKASSSMCSSQ L199_000485 MSKSKLKAKSNPDHIDTLSSFHSLSSGVESLPHSSGIGSLPETL PSDSPPSASQEFATFAESLSVFKASARARNRAESKDLEFSADLALAHQICAFEQLFHQ ALAAFHGTKRPAMRSSFREKIDRSSVKGVKRELKGIKGIEKEDNIHYLVGLLEKITDS QRKAEDNMIDERLLTLIQDNFINALLNRQIELRTCRNLPDNQVGLFRRHTTTTKVTAK KRSKGRKDKSALQFGKMDMTGIEYLILTLPTSEIQDIKDAEEKGFHPGLCFKRLRHGK VTVMMNLGFGRSVNHACICNVDWDMPDNELYKLIDAADGGIATQEFEFVQTSSIRPGE EILAYYSEFFAKHFCTCRWGDKEDHDYSSSSSEHDSSPDYTDKKSNKKKRYTKKKSTT LSRMKAGPSDLTEKPLKRNSTENMSISENSDATIYGAESTIRASTECGYLEKDRAKGS PRTPEVNAYSDEISPPTFLPYRPKNLKSSHVPPTGLPEGKPKDMAHFHTSPQHVGDTP TSLPWGFTDADPEERIIGLSAATSNLAGDTQDKRYDELDRATSNLTSLPWTPVSTSRS KTPNPVTAYRNTMVPAPLGQQATKSSRSGGSRKDRARRI L199_000486 MATLVKEREVAHCQTNRGVLEAIKRSREVGVSDDELEGESSQET QLYGPSIRGPPPSKFAPYLPEDPALQVLHQLCAFEQVLQEVIAEKDGLKVSPIKASLR VELDFEEIIKPFLVKGDVRVASDHFNQCYQETADWSIWLNSTETQLKKKDNIVPEHWL KLIEKSFLSFLSIGSLHLQSSLHLKDLHFVVFSFPEEIDDIPEAGSEEGLTFEHEVDG REITLIGLGPARTINHSCEPNVYWELETETLDYLPDGSLPNIGFTCLPIDRVEEKFIN RGQQLTAFYSDYIAENLCSHTSAISNSDCKYPTYHQQGKRADSGSDSEYEPEDSDDET KPAFVRSKTESQMEVKRRSPRLPAYKKVAGDSARTTQVILPHKATRVTGKLISNDICS AGKPPAIQPSLPSMHPMKQLSSPVKRKLPSDEFTMANSDQQKPKASKAETTNLDSIHK QANEQSSKPAVQEDDSKKCDLTRSSLSFHQTSPPSTTQNSHLPESNSTKISPLRDAFD LFSNISEEQYPITSTSIPMSNATPLLQAFLNSQQIVINQQELFNAQLANPKVTEAMIR KTQDMLARYQDTQDRLCKMLWGERLN L199_000487 MTTDTTSLSPTAPTTRLNVAATQSDPELNKLDAVNGKLPNGKLP NGHDALDLADSAQHADKLEAKRLEHERQRHAQRARFEQQMQELEASQLAEERQLLNGN TPAGEAASAPTTPPGRLPHGTTASVPDNKEAPAPIGPPGREREGLNGAKSMPGSRRTS TYGGTFGMEKLSLSVMADAGRRDWTEDDDVDAEGAQSSVKYLQMGDDDPFPGIPKSDN KRLSTASAALDLAPLSQTPPRAFGSRPFETSLKTSEWPQFSAVPATANTTTARGMTSP LPGQGLMSDDGRDPILGSRKTSPTGMADSIASLPAMPSKSVPATPFGFNSISGAKRAP GPQENAGEGLSHAQRGFSNPDLARAFGKVGGGFSMNEAGRPYDAYNAFPPSGGFNPQT AYDPYGFDDDGYGSGALYPGGSIGLKNKRADQDREFNRFTGVRIEDIQGELLPLCKDQ HGCRYLQKKLEDGDPKHRDMIFNETYGHFPELMTDPFGNYLCQKLLEYSTEEQRSAII DSVANDLVGISLNMHGTRAVQKMVDFLAQPRQAKQIRTLIMALSLNVVALIKDLNGNH VIQKCLNKLIPEDNQFIYNAIAANLIEVATHRHGCCVLQRSIDHASPAQRMQLVTEII FNSLYLVQDPFGNYVIQYILDLNDARFSEPLIRTFIGNVCSLSVQKFSSNVVEKCVRV ADPEVRKGLVGECLNRSRLEKLLRDSYGNYVIQTILDYCDIGQRMLLVELIRPILPSI RNTPYGKRIQSKLAREDASFSPYGQGNGYGGNGGGGGGGRGYGRGGYHGSNRGHIGRP QLQHVNALTDIYGGGGPFMQYGGGHMHPGQAHFHGGERGGPGGPPPPQMGPTHTGMSY HAPGPDGQPWLHLRGPTGGPAPNWHLQDGPGGHPHPHGPHPGMQGQQPPSAETNGAEV ILPEEGLVGGAGVPAGNWQDQQGQPFVPYHNAPPMM L199_000488 MRSVQRGWFTDVVLHCRAHSNVWFSRPRNYGKGSRQCRVCAHQA GLIRGLDMCRQCFREKSKQIGFVKNN L199_000489 MSQPTTTTLTPSSSSSSSSSSSPSERKRKISSVTTPLGQESIEH TVQVLHQICAHEHVFHEFFAVLDRLEKPPVRATEGLYYDRKGLFVNPQTGTLAKREDD MEVLQGMLKKITNYQRVLPENLIPEYWLDKIEHNFLSALSNIQIHLRSVPELDHQAGL FAKPIPPILSHTSTKTRKRKIPNHASGKHKGDISVSGIEFILISFPSEIDDPVDLGFN DQLMFEEWHGEREITCIGLGMARVINHKCSENVCWRFPRDKMDFHTGLQDVGCLIGYF NRKKKLVVGEQLFAFCSKNFARNECRCQSRAYHPPGPDPLLTPPSSTRSNVSQVHTPS ITNLPTPTSLSSQLRCKESTKEGTTVGDPATKKLYADPDDNEDVDALRSISAPVAKRP RTVFSPESDLADENEDVFGQKISIPTEAEVVLEQPTHIVSLVEGSGIKGSSWDNPIEV DFDDKLSDGGASPDVRPDDGIVGDGRLIVTVDDEEVEDEIKDLDSAEEEEYGDELNGL ESIDEDEWQKIVKRKLPSLATHRSSDTVVSVNPMVSNIAIEEEEEIQIVKIVTKNPTL IENGNGIMVIERDTEDESTESIFRKIPAFVRPPPPPNNLTHLKVTGKEVEKCRREIRK VNYHWKRLETVVRGFNKDDERYKRDNMRWKR L199_000490 MSTPISTPSSGGPSTPLNRLHVHSSIASHLSTELSLLQTLLLRA RDQHRTQLFLRRMHEVLRIGKILLKYVRETSSVHTDESGWETRRSTGERLIYRMVKSL FTAQRFTSQIIELHHFLPLQASVLAIYSRLFTISMNIASGLGMDIDQVIQHGGQLNCQ KKKKLRIVNVDDERDRIGEVILSDTTKGLGEDMGIHGIELGEKIERSSMTPQGIGSSA PASRFQSPLPISSSKRSSASSTQVPCRAAPTIQSPSRILPARYELGEDDANISSQDPE TPKSGRISNDYESAKDGRADGILKKKKRRSHDMDFIFDSVSSPAQSPNMNDGGSLKDP NEPKKKKKIASTAVEAKLDKPKKKKKKQDAMDDIFGF L199_000491 MAVTMDGPSSNLRKRKSNGNLNSDNILNGKSTALLKINAMPTEH GQEMDKKLDEHQSYEFGGPVGVTAMMVGFPLLMYYLWICLWFYRGKFVYPTSVDDIRP FLNKMGQHIYEGAYPTKFAWVTYCGLTAIQLIFAQFMPGLTQNGLPVPSLGYKALPYQ CNALYSWYATLLLAYGLHKTGIYRLPWIIENYGPIMTVALIVSFSVSILIDVCGRLFH YGGKPMRLSGNVIYDHFMGITLNPRLGRIDLKMFAEVRVPWVLLFLISLSGVVKQYEE LGRVSYNMWHMLLATGLYINACAKGEHMIPQTWDMFHEKFGWMLIFWNMAGVPFTYCY PVIYMARAPPSTYEFPLWGNITMFVTLVTFYYIFDCSMAQKSNFKMHQQGEHNPRKAF PVLYGSDLKNPTYIQTKHGNKLLTGGLWRYARKINYTADWIQACTWGLTAGFNTPITM FYPIFFLAVLTHRCGRDFAKCSVKYGEDWDEYCKVVRWKFIPYIY L199_000492 MPRHPIAFSLPTPIPSLPVTPRPDPSPLQQTISNTLSSTDYDLV SLPLTNTAWQDRWERLCLRPVEEDEDLKPDQLAERDREREKVDLEADVWRRDGGLKRD EVNVSRLEESQAVITTAAEWLELDSPDEGIRFDSEIALRSEMAQALYLSLPVLIIPAP SLINRAFLPSYARAISNLLQMGGSSAFTQISIRIPISDPVELITQGPAPSLPQNTTTT PTGNKHKRISSLSTRPTSMHQAQLNQMINQANNPNSTSNPQNLRIASGASSTMSTKSS AAITGDPSSTWEMWDCIRTLCGYHPRLSVTLDLTNPLPPSVGALARWTAEPVKYIWLP AGSFIPNAKGYPVLSKACQAFLRGMSKQNPTYVLHGTTMQKHTAGGPNAYLQYVRHIT SQPPAPNGLPPSADEFTSGYADYLQAPLQPLMDDLGSATYDIFERDPVKYRQYEEAIT LALSDLPAGQTHVVTVVGAGRGPLVACTLRALSRADRQANVYAVEKNPNAFITLQERK AIEWGDQVEIFFGDMRNVDVPEKCDIMVSELLGSFGDNELSPECLDGAMRFLKPTGIS IPTSYTAHVAPISSSKLFHDVHQPSRPAGATETPYVVMMSQVNLISGDGGGVSGRCGE KVQQCWQFEHPRRDLILDSSGSPLTNTHNTRSSRHIFHIPHAATLHGLAGYFEAHLYS NVGLSIHPDNAHRVSPDMFSWFPLYFPLKEALYLPSGSELEVNLWRLCDSRGRKIWYE WSVESYLSVSQNIPTSAGLTPNGSRHVSSSGGLGGQPSPLMDAPFSPGFSSSNNASTH IGELNRIKIGQTSLHNPAGVHSWVGL L199_000493 MRSIAVLSLVLGVVSASPLKRWAYSKYFDLQGHRGGRGETIENT LPSFAWVLINGVTTLEMDLGLTKDGHLIVWHDENIDPTKCIDTGAVEEGDPIYPYVGK YIANLTLSQIKTLDCGSLRLKDFPLQEVYAGTKLSTLSEMFDFVSCATDESVLFNIET KVDGDYHNLTRSAEDFVKAIGEVYGQYNIWDRVTHQSFEWLALVLSKELYPQLRTSAL FDDSTIYKQLPNGSGGNLTTHGTGPSNWLAGLDIDTFPGDTIAERVVRAAASINADLI SPSVTAGASLAVDPAEEGWIGFVNKTMVDTAHSLGLQVKPWTANRKNLFEYLFDIGVD GIITDYPHELRRLLEHKGTYPLAPVGDVDRIMGCLAKHNQYTETKGGGKGY L199_000494 MPSSVHSLSDYDDADTALRHSLGLGTRRDRQDFVTFQDPDGGVM KIPRSEYLAMNKDVDIGMRGQESMESGATLRHEDEDITSSRSLESLARSRSLPSISST YPSGPLVEDGGMIAEDQVPEMEDDFNDNEDDDGLSLPDLSEDGSVIETPLHDMHDPME IAITLSQPSISISAPIDSHPKINSGTGTDAAFSAGKQTVEEFRITEGPPPWVLREFET GGGHGIAISPDGSTIVKKTHLQEINVYERIDCNDPPLRAIKDWVPNYEGCFTDHPSVL VTVPEMFSPFSKAGTSSSHLRPPMCRRPGLLRNNTSDIHSNSIMLENLKYGYKPSTLS EFDIKLGRNMIDPWASDNTPPKLKRMEDQVNDTTSKLDAVRLIWANTSIQDPITGEWD RVKTDKYYGKSLKRAEDDHEREGCDTLDDAFVRLFPSPRDTIVFKGDSSRSKSTQPVS VFSSDLERYRHDEMLHPGKNPRRFISTIDGTSTRTSPDLFDLQRMNREKDQVDLTIST MRQIRYRIKSLKEAVRQTSWRFVGSSLYVVHGEDEEETDEPGRSMVSFLAEDVNEGQI DSYLNLIGYYDYNSKNNEYYPNRNTQISLDDLPEIKFNYFSPFHDAEREPQVKLIDFA RTDYGEGPDTDVMDGLQTTIDLMSNRIDTLKGMKKDMQSQIQSTRDEIMNVSRGH L199_000495 MVKAIESHQEFKDLISGSQPVVVDYWATWCGPCKMISPHFAKLE EKYPGVKFVKVDVEEQEEIAKEAGIKAMPTFIAYKDGQPIETVTGAVPAKLNALLEKI SA L199_000496 MSRPLLASLRRPLLTRPAVPLRQTPIQPLRHASTTPSYPSAPRR FISSTLFVAGGVLLVAYYYDSRSLLHEHVVMPLVRLVLDAEQGHKIAVRLLSLDKWAR PRDMGVDDVELQTELFGQKITNPVGIAAGFDKDADAIDGLFDLGFGYVEVGSVTPEPQ PGNPKPRFFRLEEDSAAINRYGFNSLGHGHTLARLRARLVAFAQSHPSLFPSPLPLNP LPPTGIPRSLRPGQLLAVNLGKNKVSPAESNEDYIKGVKLLGPYADVIVINVSSPNTP GLRALQGKEVLKNLLSDVVHERNGLKNSDGLPKIAVKVASDLSEDEIADVAYAVRSSG VEGVIVSNTTVRRGELGLVSDKQDEIGGLSGKPLFPYALESIKTLRPLLPPSIPLIGC GGVSTGEDALKMARAGASLVQLYTSFGYRGVGTPRLIKDEITTQLHTGKSSWLGQVGK DYSNGQMGWDENRIKRESDEIKKEAENLGDLLRHISEKESMADLINRAEQALGRGKSE SVAEGPRDNLAGSTSTTSAGSIPDQGNDVAQGLIESGGANIQQGAEGQQQGRLIEDTP TQNQTPSSIQEALISTPESIDLTPRLVIVDHPPIPETRSDEREDEWVQSVRSGQRRLV L199_000497 MTTLHESIIPTKRVRRITVLISGSGSNLQALLDSALTPSLPNAQ ITHVISSRSNAYGLTRAKTHIPPIPTGVCALKTFQNRNPGSSREDYDCEIARQIIESK PDLVVLAGWMHILSDKFLRILNGEIPPPPPPALPPPKVDSLPSQTEAIPSLKDLSLDE KPTEDTTKTEKEEEQEKTSEDAKTKVEKKDKVQELPSPPHTQSFPIPIINLHPALPGA FDGANAIGRAYDAFQNGEIENTGVMVHRVVAEVDRGEPLIVRKVEIKKEDKLEDVEKR IHEVEHQIIVEGAKMVLEELDKEGRP L199_000498 MSIKDWTPSSWREKPIAQDVIYEDKAHLEKVLTKLRRLPPLVSP VEIDRLRSQLADVAAGKAFLLQGGDCAELFDDCSSDPIEHKLSLILLMSLIILHGSRL PVVRIARIAGQYAKPRSKPTEMVDFEVPDKGGSGSTKIEKREVLSFRGDNVNGYDKSD RNPDPERLLGAYFHSTATLNYIRTLLLSGFADLHKPLDWSFSHVRSPEIHKAFSAVIE SLQDSLDFMKVATGAVGGGERGGMETVDLHEALLLEYEEALTRSDQGSTSRSFSPPAS KSSTPAVSRSVSQVRVGTNSESAYPHSPVRPPKSPSLANSLANSFSDIRLENAPKKTE KWYNTSAHFIWIGDRTRQLDGAHVEYFRGIANPIGIKTGPSMKPEEIVRILDIVNPDR IPGKVTLIGRYGADKVDQFLPAHIDAVKATDHVVVWQCDAMHGNTKSSQADPSLKTRH FVDVITEITKSMAIHREKGTILGGVHLELTGEVNEEGYSVTECIGGSMELEDKDLSFN YRTHCDPRLNFEQGLDVAFLLADHLRSKRKGEQPKDILLSSLRGRSGQPQK L199_000499 MISLPPSINRLILLFILGTSLITYVNAAAAAPADKLQPRAPQPS RRMGAQKRAKKVEPLKKKDYSSFLCPGGSVACPIPTSDEITPESVNKLETSLNSLADW FKVGFECVELDSELNSCGGCLALGAGQDCSLIANARATGCESGTCQVYSCFDGYVVSP DRQTCVKKGTTTPATPVTAIGLESEDGGEQIVLG L199_000500 MTWEIKAGVMGKTSVMAAEYILSHFPRVKEDMTVEEYMKEGDRK REELFRKVEPMRGAAALVKGLYDAGVPIALATGSTYANFQYKTTHLPHIFSLFPPSCI LTGDSPEVKPGRGKPKPDVFLAAAHSLGRDVGTAEECTDAQRIERSKGIVFEDARPGV IAGVAAGMNVIWVPDPELLALDPEANFGAKQVLSHLEEFNPEEWGLPRLEGFNHCPPA SETISDL L199_000501 MAPQHKHLLNNPATLVVDSLKGLVNLNPEVKFDEGQRVIYTPPT KPRVALLSGGGSGHEPAHAGFVGKGLLDAAICGNIFASPNVAQVRRGVELVTREKGAL IVVMNYTGDALHFGLAAEQHRASGKPGDVRVLLVQDDVAVSREQGTIVGRRGLAGTIL VYKIASALSDQGADLDTVEDVAKYVTSRLGTIGVGLDHCHVPGTKVGESHLDDNQLEL GMGIHNEAGTHKLELPSIAQLVDQMLSKITNTNDPERSYVPFKNDGSDEVVLLVNNLG AISELEIGGITGEAVKWLQSKNIKTRRVLSGTYMTSLNMPGFSLTLLLLPGKSESSTY SSSQILEYLDAPASAPGWAWTSGKEPGVVGEKVDEVVQEKKGKEVDLAPTDSSEFLAA ITRSCKALIAAEPELTQQDQIAGDGDAGLTLEAGAKAILKVIESGKLAGKNVIEDIGV IAEVVEEDMGGTSGALYSIFFAGLGKALRDAANQGNKQTTPDVWSEAAKQALDTLYKY TRARPPSRTLVDPLEAFIASLPSKGLNGAAEDAHKAADKTKELVAKAGRGAYVNQEDL KKREVPDPGAWGIWRIVDGLRGFEA L199_000502 MSSPPSSDSDSVNLSSLSIHLPNGLGPSAFNLSPPPPCPIILNI SMFLRDRSILDTATGDSMSGLGVNYSAVSKSIYALVSCPRRVWNGPWELLWEVSTIPS ALPDVDRVKVEAVMPKALLHADSARYKATYSLDDKEVVVENRKCEIKDIKLECVIGLH PHEREEKQRLEVDIEVGDVDWANWGHKYFADQVYEFVSNSSYGTIESLIHSLGSHLFN LPILSTSPSSSVSITIRKPSAIPFAVPSITVHRTKSDYPLSTSSSSSSFSADKRIFIA VGSNIGDRVDNIHKAINELRNNGCQLKNTSRLYESEPMYVEDQDRFVNGVIEISATLS PLDLLRLLKRTEKSVGRTKTFTNGPRVIDLDLIFYGTEQVKIGDRGDEPDEDGVGWLE CPHRSLGEREFVLRPLADIAPNLVHPSLRQTIHQLLSRLPRTTPPPLQPIIPFSGPSR PLRLSTPSTPYIMSIFNATPDSFSDGDPARTDPSYALTAVEKLFEGDDHPDILDIGGM STRPGSDPCTEEEEINRVIPLIQAIRSSSNEHLRQVPISVDTYRAIVAKKAVEAGASL INDVRGGNESGMLKVMAESNVPVVLMHSRGDSKNMNSAEMTDYASYGGVVEGVKKELS DLVNKAIQSGVKRWNIILDPGLGFAKTHQDNLVLLKDLNKLLEGDLKGYPMLVGGSRK GFVGKTIHKAKPTERSFGDAALNAHCALSGVVDVLRVHCHAEARDTVKMSVAVRDV L199_000503 MLVVGLTGGIASGKSTVSKIFSEYHHIPIIDADLLAREVIEPGT SGFTTIVNHFGPDRILDANGILDRAALGEIIFNDPNERKWLNGVIHPLVRREMVKRTV KYWLKGEWAVIVDVPLLIEAGMWKWVGEIVVVYVNEKLQLSRLISRPLPNSPPLTETQ AKSRISSQMSLSEKINYSTYVLDNSGTIKDLEVQIDKLVNKWKVSQGFGIGIPVIDGW WYKLCWLVPPVGLTAGLMVLISRWMKYNFGGNKKGRRKGRGEVERNWKPEEIELRERN GRPGGRRRRGGSITDE L199_000504 MSDNNPNTNQNQNQNQASTTTSVAPSSSGSTNNNNTNNNANNSD GGNTPKDRHEPRGRKPNDKLPPSRAREVQRAFRLRRAEHLATLEERILHLEQENGSLR ALLNLPLADRGRIGSGPTGRGKSLKEGGVPMSERVRARKEARERERRALGLPTPTVES SENETNDEMSSRNMRDSETLSPRASLPPPPPIPSSSTSSSFPQHHIQQPLFRDTNTSP QPFNYQLPMPFNLPVSPDPQFADFTTGLNTSDLYKNGSSSTGGNDSTPNFGGMFSMFD TPPNTEQQQQQQHQQTNESSSSIQNNNNNNNNNIAPISPPITTPQPVQLDLLTRLKSC CHVSDSHVVNDPGLLVFATRLCQQYGCSFSGQHTDAHPRSDNDNLTLEDSWKALKNTL EPGGSDPDGENRINTGKMAAELVIRAVNSRSGNMVGNNSNWIMCRFREGLSIKKGMIA ALVQGLGGTLE L199_000505 MAGPHYDFLIKLLLIGDSGVGKSCLLLRFCDDAWTPSFITTIGI DFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEKSFNNIRT WHANIEQHASPGVNKILIGNKCDWDEKRVVTLEQGRALADEFGLRFLETSAKANEGVE EAFFTLARDIKTRLIDSQPQEAAPVSLGADRRGVDVNKQSNTSQGGCCS L199_000506 MNSSTRSTGFGGLGGSTSARPPANTTLSSLLAQANSLNEVDYDS ELPQIRFGIDDIERMSEAVAGRGKKGRNEKGEGFNLLSNLGVNTSQLTHNIAQLPNAA EPSTRPKRRRQPQQHRLEPLGDIGPSYGIGDGDIGAWGRNWHEMVILGGIEVQRQRTI NSFQKQFQQRILQNWELEKARVLQDELGVTDEEIAGLVGSSNGALSGSILGKSALGAS TRRFPMAQSQLGKSTSESREGGLVMHTKMVRYERIIGELNQRRLRKEPFELCQALEET VKGDSKHPLLPASYHILAHLTHEPSLRDSADYASTSAEPAYAPGEPVQERQYAAAYLG DQRSNHAALLRGRLVVGGRRFLERDFERHVEETIAKNPKEAALGGVPGIRNKIRAFVD VTLRSKESREAYRPETVNGSLLWAQLYYLVRCGYIDEALTLIAENQRHITRDDWTFPG AFKTALSSSERRLPKSQRDQLYNDFNAHIRNNHNVDQFKYALYKLIGRFELNRKSVKV ASTTEDWMWYQLSLVRESQKDSDSPQEQYDLIDLGKLVLKFGNDKFDSNGTKPLSWFN LLLFTAQFEKAIAYLYSKPQLKTDAVHFAIALAYYGLLRVPSKGEEADLLITDDSDVS CLNFPRLIKQYISPFFRLEPQTALQYAYLVALSSDSPNPAIGQKQKQLSLELVRDIVL SSRSWSKLLGSVRSDGTKEVGIIEKDLKLLKLVDEQDYLKQVVLNAAEQSSLDSSLID SIELYHLAGSYDKVVENVNRSLGHSLGQSSSVDVGSTNTENTIGLSGAFGGTNDIYTL ALKVHDVYENDFTKRTRVSKLHWDTLEVLLKLKLGLKQFNENRPDLALETFKSTQLLP LDADSSSISKYAQNFRDILDQPVISNLDEIIITTMKCLHLLSQNLKNSPYGDHSRLIQ LNLLKHQSQCLIQFASTLRLRLGSDVYRQLSSMSAFF L199_000507 MYRGRSFMPGRRALVLSTATVAVGSAYLLARPAYADSNPVPVYK KRPGPLWSPPSRAQMLEHLRTSGVYVHRTSDGAPEPGAVLKKDEAESEGEDVFDLLIV GGGATGAGTALDAASRGLKVACVERDDFASGTSSKSTKLVHGGVRYLQKAIFELDYEQ WKLVKEALKERRVFLETAPHLSSMLPILLPIYTWWQLPYYYAGCKLYDILAGKENMES AYWMGKGKAMEAFPMLKSDGLVGGVVYYDGQHNDSRMNISLVMTAVQHGAIMANHVEV TALHKRPDPSRGGEERIYAASVKDRMTGEEWKVRCRGVINATGPFSDGVRKLDEPTTK EIVAPSAGVHITLPNYYGPKTMGLLDPATSDGRVIFFLPWQGNVIAGTTDSPTTVSQN PVPAEKEIQWILDEVRNYLSPDVKVRRGDVLSAWSGIRPLVMDPAAKNTQSLVRNHMI NISKGGLLTIAGGKWTTYRAMAEETVDAAVKEYGLKPNGPSTTEHIKLVGGHAWSKTM YIKLIQQFGLETEVAKHLSESYGDRAWTVASMAATTGLSWPLHGVRFSPLYPYIEAEA RYAVRCEYALTAVDFIARRTRMSFLNVQVTLETLPRVIDIMGEELGWDRAKKETEFDN AVEFLKSMGLPEQATKIKLADVAKSHGNIGTLGLANSEDAKLYARAQFTPDEVSNLKR QFEEFDFDHDQHITKDDLIHAMTNMGYDASTELADSILREVDFGRKGFVDFQDYLDIA AGLKELSLESAFTHLAQLDSSRKIGEKAQIGPHADDASSQRDTRRKIPVERSGGGT L199_000508 MSSSSDTYNTSSTGDDHQSGNDQSTNTDTRSTNTSNNAPDFMSM LSNHTSSAEEFEWHRPDNGVQGAWN L199_000509 MPDDSNVTSTSATSDAPKARDFACFDYTTAQTPGNERQIDPSGS PSSGRSGDTTKEVSKDSSSTSEYWYYCEEPESM L199_000510 MAETRPRKALIIGAGPVGALTALSLHRRGWEVEVWESRDDPRGK DAAPSNLRSINLAISSRGLEALRSVDPSLAEQFQNEAIPMKGRMIHHVDGKQESQTYD PINGQCINSIGRPLLNQRLVESLPAQIKIRFQTKLSQVDLNNRIAFGSGAEKEKKDVV TGEEHDDGRIAGGNSEKGKTKSKEDERGTKFDLIVGCDGSWSKVRTSMMRMDRIDFSQ SFIPHAYIELHMPADPSKPGGYAIDKNHLHIWPRHSFMLIGLPNKDGSFTLTLFIPFS SLSTLNCREAASRFFIEHFPSAVQIVGEKKLLDDFMNNPRGNLVTINCTPSAWSSHAL LLGDASHSMVPFYGQGLNCGLEDVRVLNSVLEKHKISSATSLELGETDKDLELALKAY SVERQADLRAICELALQNYTEMRSHVLSPLHHIRRFLDSFLTRIIPSRSKELHLSLTD PFPTTKVRGWTSLYEMVTFRPDVPYSEALRKERQQKEIMRWTGYVSGLAGLGGVGLVG LKLARKWLDKK L199_000511 MSGQSSLAYPEMRELFERNDKWAEGVRKRDPGFFPKHYPGQRPE ILWIGCSDARVPETTIMGCQPGDIFVHRNIANLYSPQDDSLNAVMLIALLNFKVKHIV VTGHTNCVGCQTALNVSLLPTSKAVTPLQRYVEPLATLSRTLFVDKRPPSLDLLVEEN VLQQVKNLAECDVIKNDWKRRGTDGVRVHGWVYHLEDGTIRDLNCSIGPPGHTPGQRM VNNHF L199_000512 MNHPLPPRPNFIPSLGGPSKPSTILPTPNAAAAPIIKPTVPRVD PTKCSSCSSPQPKYTCPRCSKRSCSLECSKKHKAVDDCSGVRDPTSFVPLTAYGQGAW SDDYKWLEEGRRKVTQWGENVGYHEMVNATKKPSKHTHTEKRKDGKIVKKRNTKKDLL KRELLMGHNCHMEYMPMGMERRNLNQSSWNPRTRQLYITIHLTIPTCILDPPSSSQTK TIAHTRVLFSSPSPDRSPLPTLSSLLTPLPPTSSNITYVLPFQSTPSRPAPDHTKGQK LFYPPLDPLKPIAEALGGTSWVEFPIIELMEKTKWDEGLQQGEFVVVPLSQPPIALRS KDSGWGKRKVEDVDIQEDRAESSKKAKIDGKGLMALGDYGSDDEDDVDDDLDDQEEED DDVADDSTLEGDQDHEREEGDGEEPSMEVLQAVGAALVADLGGA L199_000513 MTSRARQSLSLRPLLPPHVIYAIPPSLTQSFPKPPPAIPFFRDP GHTVPTKWSLYRPLLRCTSSSDLSSTRREIRTRWRETKGLVSVPKVRSFLAEYYDLLT YITSDDISHKEEIRLLEDKLKAKHDKLDLDLKLAQEAKRLEDFQRRTRKSKMTGSFHR PTLFNPPLPRLKPQPISIGSMIHNRLRARERRIERRRLYAGLLTDMKLEVGFWKSVTP IENQDDWSKSKDPRSPGGWDGIIKNELKVMDDRFRNENTRAEMVFDEGLSERISRAKE KKGSWWKGVKEREKAQKGKET L199_000514 MAGGLPWSFYRGQWSALPPAPEGHYLKGKIVVVTGATSGLGLEA SKQFADASPEQLIFAVRSVEAGEKLLEQIQKTHPNLKGKVMYLDLMDLQSIKDFSEAI KVFGRVDILINNAGINPSFDKRPYQSTKDGYERVFQTNVLSPILTTLLLLPLLKKSID PKVIFTGSEVHHVAPSHLIESSIDNGQSILDAYNDEEKYFNPTRYFESKLLLQMLTRN LIKALPDITIINVNPGLAMTNLGREFNRKLSLSGIVGILWFVVNARTASKGARNLTSA TAWQGGSQDYWSGCVPAASENTFLYSGKGYTATRVFYDEMLKEVEKISPGCTANLQ L199_000515 MSTIQVPWSFYREQWTPYPPAPKGDYLKDKTVIITGATSGIGLQ AAKQLADASPTDLILAVRNVTAGEKLLKEIQSTHPDLQGKVIHLDLASLSSVKKFSEE IKVYDKIDLLINNAGVNPGFEEIPMQITDDGYERTFQVNVLAPFLLTCLLLPLLKRSS EPKVLFVGSDAHGFAEEDIIEGALASPSHDGRAIIGTFNDKEKYVTATVYIQSKLLLQ MLTRSLIKPLSSLNISVINVNPGLTITNLASEAKTKMSLQLISLIIWVLANARRAKIA ARNITSASAWKGGSQDYWSSCVPTPSENTWLYSYKGIRATEIFYKEMIQEVEKISPGC TADLK L199_000516 MIALQPIFRCSRAVRPTNVSRQGVLTLTRGFASSLRVSEELHKT PLYDFHVENKAKMVPFAGWSMPLSYGEVGQITAHKHVRSSAGLFDVSHMLQHTFTGPG SQEFLLSLCPSSLDKLKPFSSTLSVLLNEEGGIIDDTIITKHSDESFYVVTNAGRSKE DKEWIQKKLSEWKGNEVKWDTLEGWGLVALQGPKASDVIKQIFLKEGKFDLDSIKFGQ SAFVELDGVKCHVARGGYTGEDGFEISIPPANAVELTSKITKHPDVQLIGLGARDSLR LEAGMCLYGHDLDESVSPVEAALSWVIGKDRRAQDSQPSFPGRSRILSELSSGPSRRR VGFEITGSPAREGCKVFDSTGTTQLGVITSGIPSPTLGKNIAMGYIANGSHKKGTSVM VEVRKKLREAVVTPMPFVPTKYFK L199_000517 MESDPTLPLPVIPPDHTDEERCKLLGTTGLVVQALMGIFVILSL VVKRQLEKRKRSWRIWVYDVGKQLAGQAVVHGLNILISDVVASVAHNNPCSLYFLNVL IDTTIGVGIIYFSLKAYTWYFSKYMAMEGFISGQYGHPPNPVFWWKQLAPYIMSIITM KLLVLLPLTLPGISKSLIDWSHNLLDHLGPKAQVIFVMAIFPLVMNVLQFCLVDQVIK AGGKEDEDEDHNDRAGDYQRIRGHEDDTAYENGNPHGDVESGLTRQISVNSINRNRSK GSSPSVVIASSPLLTSNHTDYGSATPSPIGSPIKSKTILPSQTDSNSLWSKLINKVSD VSSSTRSSSTVFFDAQTDLESNPNSVQSSSTIGGISEGGKSRLYVRDERNRRGQRSAA PSPETMPYEASPSMSSIQSDFHSEEEQRSGVSSRTPSPPLTITKLEGISRHPPEELER EARWTLSPPESPTVTHGNTTMTDSNDSVSLKEVNRS L199_000518 MSLLRDSHAHHAPSHDPCKPRSSSSRNDLFTTSWQPELPPPKPL LAESATAAGPSSSNLNSIFDLGGIGGHANTLPSTTKKRGELGLKMDLEGISHSFAHNT TTNTGGVDEFGVSTKSQNKSSYPTLDKNDISPSPRTSSFFDTPIESITPPPAPRLVSS LNGMSSFAPSPLNLSRSSSAVRKVPAPLPLPSQDDHTNNATAGPSRQKQKQRSNDSFT SSTKSAIEDPLSPLHQSPQLPPSESGGLEGWKPPLPPSSDDIELRLIPNSTYLLGEGR YAKAYLASYRRKRKNKHGHGYGGGDGGSASMQVNEDGDGLVGGSWRLCAAKRLAPDRE SQTMGLREAFFLNRLTGPIKHSISADRNRNDYSRQIRPRQRAVSPLRGSHQPPSDGDE STSTQSQKRKRPCGSVYVVKLIAVKEDIEGFPTLPQNQTHARSTSDVLSGTGSGTDSK PIANTISVGDLRRQRSSTIITAHAPLPPDSTGTLPSYPSLPSLAQSVRYDQHQSAPSL SRLVLLLEHAPLGTLDRMLRTSPQLVGRKLWERWAREGAEALEWVHGKGVVHADVKPG NLLLTVDLHIRLSDFGSSLLIHPAHPPTDGLGLGTLPFSPPELVDPTQTFSFPVDIFA LGATLYQCLTGREPFRGIRTVEMMHHVRKGGLWAYEERERFQRVGNEDGVSTSGSPYP SAWRGYSNTSTTGAGYPSGSGTGNVTVGLGGGVRRAGSLRVPPSYSREHLTASVIVDG HGHGVTSGKPKLKRMTSAESIRASDEATNSESPSGVKLYANWVKSGPYTNSTSTSNMS TPGPGFDAVTRLLSDEDELDVTSPTYGISRNNSISLRKSQEDRSSLNRSTSLKSTSPT TTTSMTMNTSDLPKGMMTVQLPTPTSPSSDHLPPMDQINDLLVADDKRQQQVSSLVSL NEAYQDGSPSMLFLDGSERVSEEIRNVLKNMLNPFPENRMTALEVRMYWDELGLGLED DEDGEEEGEGDD L199_000519 MSTSLPRLPFHVLSAFSPVPGTGSQAALIIYPSNADPRWSDDKY LLQVAGDFNYTATVHVAPTGQRGEWEIRWFTPKSELALCGHGTLSASYILFKQHPDID TIRYINPIAGEFQSQRITSSDKAVQISLPSLPFDVIQSMGHGSPRSHPDAVRLIAALG VRQTEDVLDISEFKYGSRKSFIVLIRGDVGLRELGVDIRALVEIASGQIIVTQISPET DSELMIRSRMFAPGIGIQEDTITGSAHTYLTNYYLLSKATQFLPSKLRQDPTRLVIHA AQLSEREGGMKCILGQGGETVRLIGKVREFGNGYLVDDDGDD L199_000520 MTQIKPKSAAIPQPSTPSGQSTSTMTSSPPLSPSSSRPHASDDE LTSDLSESWMEVDERSSVGPSVLGDVVFSDTSSDSHGLEHETRSQWSASSDGGRDGDI EDGGAVILEPTRHDEMDSPSLTGYTDAEASTCKLDSSRETLHTSSDQIRLIYPDGASF TTSSSGTLSGGFTPSASISALTPMAQPDQGPRPRAGTSIVQPTISPIVALGRMSPRRS SSPVRRGVEDSWLKSSKLWTPPTDSPNISLEDRSKYHLLQSNDNIKETDEEDKAFQVI EDLEMPKLTIGNEEVGDETLTGKRIQSFLKNLDENLPEKSFPSEAAEAAERVRDDIVD DMEAQQVLAADNIEAIKTIAKKWSTRVSYFALASILSVALIRTFGSNMFVPFVPDYKD PATNIGTTSMPLETPKSSSFWDHLSFSSPAATFSASSASTPITAVQPDPRLIEQALST LSSIHDRLSSAASTKPTADTAYQKTDKDDKARSTDARSSTSCCSLSVRDHNVALTVPP AANPPDSRVSLARKFTQKLLRVNKTDGPDTNTTSTSSAPVVDCSCSLSTIVQSQILER IVKPAKAYALTTSRYIDAVLGSILHSTVRLLGSGLHTTNQALYQASRGANAVKNRIRD FFTSHSPASKEESLARASAMFDSLSEYVETRLDALSDSLDEQADIMHEKSMECIIKAK KGLDRLISDYKAYRGVEGVGHPKLKSTDVEKDGPLPFTHMDSSYQSTKGGGGCKKTRR GQARHERRMLKKELRLRGKKVNMSIPPMENPSRGKRFMDMIHHGAMALVL L199_000521 MLLKRLVDSLPAELAFLIPELDSAGIKTTESLIFSSPSAILSLA PILSNIQLEYLISTCVRLTAPDCISGDTYEEIEGSWKGFGIVSLDELFERWDGVGVIE LAGPRKVGKSLLALHASLRILASDEEAMCTWVDTEGSFSPERAKMILEEWHIDEPNLI LERIVVINAFKLEDVFEAISQLKYSLDNPDARETKVLVVDTIFTHFKDLLSATSAQGH ADLITLMEEIAEISYSQGMVSFIINSTASSYPTNPQSSFNKMDIKPALGASFTFTTDM TLLIQETGRVFGMIDAEEKERIRSKPGLRGLVEVIRSRIARTGAWTVFETDGIGMFDV TPPHEVDERTTRISAGLPTGPDRPKIGSLAQTLIP L199_000522 MSSSTPISNQNQPFKTDSLLPTSLPSATLGRRRHISQTQTNPAG ESENLTTEERLQKGLDDEHEKWNERIDKEVKGVVEGLKDLVGLANIGPNPSPLNSSTL PLHLPLRTSSLIRSAQNLRDIAHELKLLLVLGDEQGLVQRRDHEMDLVRREIQRKRGE VGKELGGLLGLPLDEQQEKGEQQDKMDDTATATATATATATGNDGQDVMMDEPENILR DQENTSDITIQPQQPFPEDTAQQQQHQQQQEEEHSSNPNINTNPNTNTESMQVDQANE EDDEDDFEEVS L199_000523 MATASTTVPLKMPAPAAAATASGSGLGTRKAGKENTRSSRRRLG STGPPVILGGGVGAIAKAAELGVQNLDPYTTLYNKPAIHQQPPQQQQQHLRSVSPAAH RPLYYPNNPAYPSPKSPLFSSPTSTLQSSSGGSTEKSGSGSSNSNRSRSPGPNPHSAV TVGSASSNSLSTTASTSSRTLKTPHSSKTEHNPIIASGSGILDSSSPSPAVSTAAQRA KSFQHQSPTPNRTQVPAMAQGPLPPTPLITDMNNFRGSTKPLNIPLHRGRTPPPLEVK ENRLSQNWVHIDDTDIDTKPKSTPVVEEKPVIPARSKTRLPTAKMVPVKKMSNDIAPS EGRPPLERNQTAPPLSPTPLPQKGGRKSLDHLRSISPSPASRQASLSTPPLSLLDNAS SGSSCSAFKKAEKPPMFSRRSEDLLRTSTEAAPTKKEIRAMQQRTLGVASTATPGLPA DMDRKPTGISLKKSSGALKALFQRKDSGKGKEKERSDIPPTRRRPSGDELRRPSTDEL RRPSMGSRPTPSPVQHRDSPESQSRASFSADRTLYPAPPPMLRAASTGTSPLLNVAGP SKPRNPAREDPAPSQTVSPLSAPSEQSPETPSADMIPSSSLPYLSAMANRVSLAPPAD ITPASKIEDLTSQPKRTESSTSIAKVSSSTLIEISPIKHSKSLHLLSLPDLDLDFDFG FEKFAGHPNLAGSPTTPRRSPRSPQSPYRKAKASPKASPARSYSTRSPRATPLPPKLQ RTASERRRSQSFDGPAGSVPMLDDFWKSSSDMGVALNPSYITPSVAKFFASASSSSAP MLSQGSLSPDKSLPPAPAAPEYAPGRSPSRSSSSRISSSDHVRTPSNASSTNETPSPS PPHTPPERNLDGLGFGDISPEGTVIAETSAAPVTIETKQEPVEERKSAPAVLSIAPDI PLPPAPEPILASPAMIESAKPEEKMELQKSRQRSMSLMSKSQIVNPDPSFNIRSLAME VERLLYSFRYPSTGITSADRATLLRNDLLNLMLEVDKRAYDPSEEQAYTMLRAACFEW ADALLFELRVEQPANERGACLEGLAAVVESACLSQQALENSPTHQAKFTQMMIKCMTF VMSKLGAKGVFHNTLLFSGRFLAFAFFRVPHVGEQLVTVLQPPKGALMRFTKNIMMGV PACPVKPDYPQHLLPLCFDNSRAYNARLAALSPEFTTEEERDAFLFQPGNWLRRWQSD DSELFPAFYRSYHRQLAVYLGPIVQYYEALNRPVPASELMRAPGYAHLATIFAKKCHS YILGSVNAVTTSSSSTNFEATETAGFRGSQKPPVLETSNRRLVETISTFANLRVMIPD FSSKKGRMIECDGTQLWTDIIDVWTKNLISKTSLYAPKGVFSLFDLLDGIVDPPFETT ASGIGFGNNHNGVVNIQQQPIYSLLDIPHLISVVRLILTEGEHALTLVKAIAFVFTHW EVLTARAEDRKELCLELLLQKDLFERLMLFWSQSVRSYILRLVVFRLGHIHTKKEDGS GHLVEIESVKLLQTRLDRIKRRHDELEPKPDRLDDETKEEQLVPTTPVSEYGFNGIPR SKSTITMVAESPKYMAPVNKAEKLLGLGLGIDSQSESHGKIQNQIEQGNDVTGGRGSS GKIGKATNWLKKSFGTKKKRKDSSSPSPPSNTVSPMLGESDASSSSPSASPNIGTGQF KPSPRIPEIHTKPPSAPSSPELSPTELTVAPSTARKGKPPTILTGSRASGGNTESKSP NTFSFEFELPTTSPRSDTFDPTPQPQPSSPSSAGRRTSQPPPPSPSSPGRTGPPASPH MSKSFSKRSSLLPPKTASALEGLIKEEDKEKLRSLVTCSSSLSAGTKEEDKGYDKRLH AYAIRMLAELEDAQKEYDEWWSDGGVGKMDGAPPRLTVAWPFHDGED L199_000524 MASEDEALGSVASFGLSSVLASAGIDLSSLGSFLGEGSGGSSRQ IAEIEAEDNDEDKYEDDISVDELPGEEDAEQRKRDEERRKKEEERWIRKGLEFTSAID KGKGKEKETVGKTKKEKELEQVMNIWPNFEKGKRLRMSEVFYETPQDKRLLALQKRKK RRLENGKEFSFTIDASSIPNLPASFLLPSLPQLEVASPDQPNYKAPIGSYFDKEWVKE ARARRKKEMTRPPVSLEQDNQNSQITPLDWQNDDLGYALELEDWEKGITMCSLDIPAL KPIEPLAPRNGILDSGDWLNDVIWDARRVSPDLIDSEEEEPVPTAPTKKATAEAGAVQ PAIAKLDPFNISNDHLYEHSREARYRIRQTFGAIEVFHSLPARHLQLPFYKTTFTKSE ARAWHRPALQFPTGVTFSFSKIKSNPSANVSSKKSKIVADPSERFKTTKDLTLAEKGP YVLLEFSEEYPPIMSNYGMGTTIVNYYRKKDDKDEHVPKLDFGQPSILNPGDAEPFML GYVDRGNVTQVIHNNLIRAPIFRHTPETTDFLVIRQTINGHSTFYLRSINHIFTVGQT VPNESEVHAPHARKNTNTSKMRLMIIAWLLIQKSKQKRFKMAKLLKYFPDQTELQMRQ RLKVKGNEFLAYARGPGPNQGYWMLNPDYSFPSERKEVLELCTPEAAALFEAMQVGAR HLHDAGYHKTAEGGQEDEDDSGLDIEQQLAVWSTTHNYKLAEAQKAWLVVHGEGDPTG RGEGFSFLRANMKNYFLRKGETEQGRRLEAEAKAGGAVVKISNAEQNRIYEEEKRKVW DLQWNSLSNPNPPEINPDEDEDPNRQHPFVSTPAGLGPRFNRADSRRAFSRGNSMANT PMYADSPREMSPAMSMDGESTYTGANPTAGKVLRIKRRVKGKEVIEVVREPAVIQAYL RRIEDKKLEYYMDNYDQLAPTGNEEDDEIMKAALRKKIEQIKLNQQRRLMRKKYASRT LDPTDVDGVDLEGGKRKCGACGQLGHTKANRNCPMFNASSVAPSPSMSTGGGTPSGFG GYTPIDTTTIDSVPTPSTSFKIRLGGGQR L199_000525 MAIPDGHSTNDSRDEIKVISSPLALPNGVVIPNRLVKAAMAEGI GLGGGPPREGHINLYRRWAEGGWGIIISGNVQIDPRQLASPHDLTLPSHSLTLQAYTK LASTVHSASSGPLLLMQISHPGLQSSSTINLSRWPWESAIAPCEDRPTVSEGPVGWLW SHVMWPTKSRRIVNTNEWMDIVNKFVDAAVLAERSGWDGVQLHSAHGYLLAEYLSPLT NPDPKPLPDVPGSIPLRLHLLYLILKGIHDNTEKRFIKAVKVNCSDFVQGGLDEEQAS EIIKTLVSWSLLDIIEISGGTYSSPAFATPESLKTSSRQSLFARFTTSLLPSLSPPPT GPAIILTGGLHDRQMIASSLNERACDLVGIGRPACLFPQLPKQIILNPKSPDGEARVG GYPIPGSDLMKIILGESNPKEGIKLVGAGISTLWHEWQMCRIGRRVEPDINMHWLRGA LVEEVWFEVLKGGPMGWWRYWRG L199_000526 MKPFQSNISIPEKGESKNEKQGTSTPITSPKKKTKVGYSPTGNG LNGEWTTEKREKFMDHIIALGYKAASLDEMAQELGLSKRQLINQLTTGRKNFRSIAVA AIKGE L199_000527 MKRSPPSYTSSDTDTDIDNSSSFSPSLDESQDIKPICSSPPSSA RSTPKKPRLNNNGKDIRPKSLSPKKPKIKTEPAANANGVWDGEKRALFIDEIIAVGYK NANLDELANKLGMSKRQLIDQLVPNKPNLRGKMVTMAKSM L199_000528 MFGRDSPARPSMREQIAAKRAEYQQSPAARRIATSNASASAASA GYGSPAPASRGGRDIGGTGEDGGALADKTVEGQIKKSIRSGKLDLCSLSLGTIPPEVY TTLLGIPEEDLTSPPAKEIPSSDIPKGSVLDPNSTPPKGLSRDEERSLVFGSKAAPKT KEWVEPEELTSFRISENRLRRIEREIGMFGALERLDLSRNQLKELPDSIADLLRLTSL DLSGNSFTSLPPAILVLPALQVLDISHNAIHTLSFANPIGPSEDGLAYGAGFFTTSFE RQAQLKMKRPILPVLRSFNLGFNKLTVAGLAELAKIKLQAMRVMNLENNLFQGVLDYE QSGLDDKSMPILASLVLCRNTNLRGVTGNLAESAKVDLSGCNIREGTPQPHSQASSSS NDPIAPNPQQTSDGSEGKGTSEKPIPNPDLTLVYRTLPAATFDSEPLPVDFDIYLPSK PSSKKGHALVIWFHGGGLLQGNKENLPPHFRRLPNYPFSSEGREEENVVVISPNYRLS PQTPILDILDDINQLLVYIRTKLNDRLVKEGKGENLIDTTRICLSGGSAGGYLALIAG LNVPKNCTDEEVGGYRGLQDKNGIKCLAPFYPITDLTDEFWSTETDPVPWKGTSVPHS DAKPHLNHKSPPICTALSGGPRSILYPYMLQHGLFPGLLFQTQKSIGYGLDAFRPTPL SLSIPHRNDLSKSKGLLEHVPIYFVYGTIDDKVQPMPITLKSFEGLKGEFTVEKIEGG DHAFDEDPEIECEEFREWLGKTLI L199_000529 MLELYLTPKQGNVGGRFFPHTGHLGVTPVVISGKVGTRLPEVCE PLGVKSITLGIRCTETAGNGVSQVLWEKKKVLLTAPDDEEYLEMGDWDSMFKTTIPVD AIDTARSTMCIPEYKVVWRMEVVIEHRPIPYVGTSIAKAFALNLHSHRSPAIRPMSPP SPYTLGSESYTSNITVSAQPGAFGPGDSFPVYVQVKPLDPYTTVKKASVYLERQMEIT NTRSVSPQSQHRLSSIFRSSHNPHQRLPEPQEIVLSRKDKLAEASGSNIVVDKSGTSW CQMEISLPQRHGKWDLGETHSTKLVSLSYTLKATVTLKSDKSRSSRSFSCSPVPIVIA STSTEDRAAAVKSIDSHQKKRHRSSRRGLYMHEGNVDVSDPSLGEPAPIYTSVKGIAT DVKPILLPSNHPAQSQNIQFIFPSPPPYASKPMAVASLLNPASSSTSPPASTSTLPTP PPTLHDIGPESTNLLRAFQSTGRRISTTTSEEDEVQPSRSKQKLRADREGNNRRAEFA TLPLPSLDALGSGLPYVPDDDRPRSRPRTAPIHSTFSMNIPPPLSGHLSIPSGTFGLG PRPMTSMARMGSDQSLSRTFEDRPGNEEGSFAFAFSGTNSPSSGKQ L199_000530 MPPHRNTPASTGSKSLTFQAAYTTKMSTGRRQEKFNCLTSGVDE ADLREATAELRGWFDHVVTTDSDANTLYHSHITYGSSQPIAAQSALQSILATAALNHN GDNAGCKYQFFTG L199_000531 MMGPDKPTIMIMTRVDEDKANGSRHRLYRFFEPDGTVLEPNHPI VGSIQARWYNTFLKHESSQNPLSLYYTSAYQRKFTDDRNTADKEFNRFMRGMAADLNG HSEFPFDLHVLDDEENKRFRETIKPASVLTSTSSSEAASTPTVGVERAEHDEISTEVS DRIQVGWWSAVASKDGDTLVWNDHALGEAGQGGGQQYNA L199_000532 MFRPRPVQQPAASVHSEAGPSTNITVEPNLADQTSNVTTPPLPG PSAEDQSFVSRIMSDNPYFSAGAGLMGIGVVLTVFRRGLTLGTTFAQRRMLVTLEIPS KDRAYPWFLEWMASQSASQAGKGKRPMGFRSHELAVETSYKQHENGSSEAVFNLVPGP GTHYFKYHGTWFQVKRERDAKLMDLHSGSPWETLTLTTLSAYRHLFSSLLTEARALAE ASTEGKTVVYTAWGVEWRPFGKPRSRREMGSVVLAEGVSEKIENDLRSFLGRGKWYAE RGIPYRRGYLLHGPPGSGKTSFIQALAGSLHYNICLLNLAERGLTDDKLNHLLGLVPE RSFILLEDVDSAFSRRVQTSEDGYKSSVTFSGLLNALDGVASSEERIIFMTTNHYERL DPALIRPGRVDIHELLDDAAGEQAKRLFVKFYGNSTTVNPDGEEKGRILREGETPLTD EEVEALGNEVKQIVEDERSQGKTISMASLQGLFIRTGAKESLGGIRELCGSKGQEGV L199_000533 MWFGSSSSSSSSSTPATPTVPLLPSPPPLNESSPSPSTSKPINA PLNIPAGNSPITPDAEPIKPDENLTGDTVADLKKALARIRPKEDFENIGKIPCARNSL LYGIAGGAGLGAVRFLGSRKPWVAANWAVGSFILISGFQWEMCNRARRKELAQMRAIT ERYPHRHISKLKQKGSDWVPPPSSTTSEGNPS L199_000534 MAIEPAPVQNRVVGGRKAWVTLITNPGYIAGLLTLHRTLISVSS YPLIVMTTSSLSQSSRETITSSGIEIIEVEHLSPSSAQHSGFDPSFSRFNDAWTKIRV FGLEQFERIILIDSDMIFLRGMDELFDLELPGDDWIAASPACVCNPFKLKHYPEDWIP SNCSLSLQNPHTTLSSPPIPSPDSKRTSHLLNSGLVIFKPSLSLLDELVHHLNTSPTI AQSKFADQDVITEVFKGRWKPLPWWCNALKTQRAVHGSMWRDEEVRLIHYILDKPWNH RPSSLPPHPTLPSTPITPSNFSQQQTQNTEKRRPLPPGLLDAVRSTPPQESLTNYDAV HAWWWIVYEDLLEEWKAESKVGWKEVDQYVTR L199_000535 MTPRSFLPQIVGLFYAHFDPTLGPVIQHQVPENLITSRATTYDP DTRSRSRSKSRSRSRAPLGPTPISSSSTSSTYPGSGRRASSSSSSSRRSTATLLNFGL ISEYVIPKKSLHGRLVTLLTTSNASSEEDKKEYRVMGFPNVMTGEGGRYKRNEYMWNL CFVFHSSSSLEAFEPVVRKCARILRSAERDSSYLSSSRPEHTPLPAVLEQLFEDLNSY SETSISLDGFNSLELKLFPFYPNPPECEDWHVPIALVNLNALKDDNWDITAARVSQYI DGINHVKKIAELADADEALTRETLKHMLYYQVVMMIDIFQYSNMYTLKPAISRRGSDE TIINECPPYVTRPGFPHPEWPVLLRLYSKLTPGVTVHQWIESNEVLSLGIDPRRFVSF GIIKGFLRRVHRWPKMIERTSSPLIPVPVDTRRRVGFDESARLGSSTTLGTRGDNRSH GGDSGLSRPGGGGAGESAFTLRSVGSNASLGVSPTSRHMYTPPSITGRSPNTGHGSNS RRNLGFSSSTSASTTKHHHQQQQQQLGRSHTTSTSVAESHPSTSSRRAVGGTSVSHST GTHGDRHGERGAGNSGIRFGLNARQREEAAAIRAAEEMEEELIAYLDGTHHADEIQVR FGMSWSKLEGILGLEEVKGGMGKKGITLVYK L199_000536 MSRLDSLTPSKSRSRASPSPSPSPGPGSPAKNTETTHHRMLKLV LSEVRKIIRTWDELVIMDGFKACKGVVDEGTEMDNILAVEEKPERPEIGGHLNSWYSH RQSLESTLKKLDNNLYKLSALTDQAEKIFFEAYKREGHDFVFVEPLWFTWTLEKFVNS LSPIISLHTAHLASLNSLSHIILDPNTSFDDAKLALEAWRDIATGGERWEGVREWEEL VEVELAGGRDEGDDDEDEVPIKGKKKGRK L199_000537 MSDSDLRPGQNLAHLLPPSWKTEVSRWYAEDTPSFDWAGFVVGE EEQEAILWGKSGGVLAGVPFFDEVFKQAECTVEWLLPEGSVIQPKTKTKVAIVRGKAR QLLLAERVGLNTLARCSGIASVSRRFRDLARAEGWKGVVAGTRKTTPGFRLVEKYGMM VGGVDPHRHDLSSMVMLKDNHIWATGSITQAIKSVRRVAGFSLLVNVECQNYEEANEA ISAGANIVMLDNLLGEELHGAAKRLKEEWKGKREFLIETSGGIVEGGLKARLGPDIDI LSTSAVHQSCPHVDFSLKIQPRKK L199_000538 MDDLIKLDQQDPLNWTRDEFEIPNIRACGGEGDGEAIYFCGNSL GLLNKKARQHMIEELDVWGTSSVTGHFNHPHKRPWKHVDEPLTPHLARLVGAKESEVA HSSTLTSNMHNLFTSFYRPTKQRWKIVIEKGSFPSDWYAVHSHPKLHEAVLSREQIDE AIIGLEPREGEDTLRTEDILRVIEENKDTISIVWLPLVQYYTGQLFDIASVSPKVHSI GALLGLDMAHGIGNVGCKLNEWDVDFAVWCTYKYLNSGPAGIGGFYIKDGLDDGGRRL AGWWGNDSATRFQMLPSFSPTPGAKGYQHSCTPVFSSIPLLATLQLIDKVGFTNMLEK SKNLTGTLEKLLRSSKYHNPPEGKVGFKILTPEYPYRGTQLSVSLLPENQGVMPRIFS RLLKDGLVGDERYPNVIRLSPVVLYNRFEEVGRAFEILERALKEEEQGARVGEEKDLD MVSKN L199_000539 MAISDTRKTLPPGIYCPTVTFFQPTTEQDLDVDLHTRHMNFLAK SGIAGVVVQGSTAEAVALDYEERKILISTAKQAFREAGNNGPIIAGTVGAQSSREALK LCKDAFEAGADFALVLPPSYYPAAMTVDAIGGFFEELASASPIPIIIYSYPGVCSGIQ MDTDLICRLAKHPNIVGVKHTDHDVGRIARETAFAKQNDFGSPFTILGGATDYLLGAL AVGGQGAITGMANVAPRVCAKAFELAKEGRQEEALKYAGAISQAEWGMGKGAILGTKF MTSWANSYPSDSILARKPLALCPESTKEHCRAVGAEIVAMERQLEKEGWVGEALRGAA TKKVNGNGVVEGNKNGIIDTVKSSVTA L199_000540 MADISKANNFISWLRSKGGHFHESAELKVDPETGLSPFSTFSIG PDERLVSCPFDLAITSELATQAICEIANVAEEQLVWPAESSRQGEKWNARMRIGAYLG LHWIYQEKFSEDSSFPPALQHLEYLESLPPPTSLTTPLYFSPAEVELLKGSTLSGGVK ARQEEWKAECEVVRKILKEDKLTWDRYLATSTYLSSRSFPSKLLHIPDQADSQTHEAK KEDGDEDKGSLPVLLPGLDLFNHSRGQPILWLSSLIPSTISSAQPIPSISLVSTQPTE KGVQLYNNYGPKSNEELLLGYGFVIPSNPDDTLTLKLGVSNIPLGKLDKLKSKGLDPD RRFDLRKDGEMDKNLLEILRIVLNENDHHDHEHEEIDEEDEHALHAQEEREMQLELDV LGMLGGMLDDKLEKLQENHEEKDGHDKVRAEIRKMCEVYKQGQIDILNTAMDKLSERI ERIEGLIDEGMGGCPCGC L199_000541 MSIPVQSTNKVSFTDFITRKCKEQPFVPIGCLATVGALLGATYH LRKGNRNKFNQFLRLRVYAQGATVIALMIYGGVAFTNDQQQANYIKNRIALGEIPADT YYPGRLDVPKPPKNASSSRFTIPENAPPKIETDFPTSLPESLSTAVDAPPSLSKEGES SAGPGGAYPLRKEERMKVSDFARRLKEAEQLHKEEEAAKRARS L199_000542 MHRENPYLTKKPDFARLASRFPDFAQYVTVSEEGFASIDFQDPS ALRSLTKCLLKEDWDLDVDIREDRLCPTLTNRLDYLLHVLDLEPYLPSSSSSKPLRVL DIGTGATAIYPILLHRLRPNAKITATEIDQVSHEHSLSVLSQNSIPTSSINVLHASSP QPIFFPMIDDDVQEWDLTICNPPFFGSEEEIREGQEGKELAAHAAPTAANNELITPGG EIAFVGRMIEESMKVGVRCRWYTSLIGKYASLSALIDLLRQNKIDNYLLKNIKQSKTT RWILGWSHSSVRIPDNIARPEEVIPNTSFSRLIPQPNTFTHKPQPAIPIEELRQKVVD VLQSISLQYEPTDGDEEGNKVVIEPMNNTWSRAVRRALARQAGQSDEKGEDEKGNESQ KEPSKPLFKARIRFIPPLTAKDYSSIALDWIEGEDRSLVESLWKFLLNKAELIGKKEH VDSGYGGRLGDGIDTWHRGRGRGRGRGRGGGPRRERNEEDSLNEGKRYGQRRRLA L199_000543 MSQDNNDWTSFADQEEFDASIHALWDQSGTQSSGNGKGYDFGDS ACSRVTIEDYEQLEKEKAERIAMNKLIAESDQYTFDEAYQENDSGSTN L199_000544 MKRSPSPSPSNESHTPTPTLRQDVQTEGKSPKTLKKIKIESTSS GPFSSSPSNSHWTPDKKEQLLEKIISIGLKACNVDEICQEFGLTKIQFKNATQAGKKG NLRDKACKGIRGD L199_000545 MSSSTTEQDMSKLKNIVIVGASSAGQQLANYLTPNLPSSHRIIL VDALDYAFWPIASLRAAVVPGWEKRITVPLTQESVFPKNSNHRLVVPNKVVELKENSI ILEKVFEGSNEIPFFKCILSTGAQQPSPMRPPAGSTKQAYHDTLIKTQGEIKQANKIV IIGGGAVGLEIAGEIRANYPDKSITIVHSSSHVLHPQASAPDPKGKAHSYSSPPTLPK LSITLEKILADSKIDLILNDKVDIPAEQTSPEDWSGSFGLQDGVKKVKLASGKVLEAD YVFVSIGNKPNVGLVQQTDEKALISGMVGVDEYLRVISENYESPLIRNYYAIGDCCST PGWKTYMGADYDAKGCSVNIINEIKGKALRKYVRPSLAAMMIPLGPEKGSGTLTFPVV GTWQVPGAMVRAAKGGNLLLDQLFYPRFKGEKKVTAGM L199_000546 MSSLQFHLLNAFVVGSNPHSGNQAAVVLFADPDDERSTDDKWMT AVARDFGFSETAYLVPTNEDEGEWGLRWFTPEVEVNLCGHATLASSKVLFSLYPNLSK IKLQTKYSGILTAVKVNEEDIQITLPGLSSKTLSTFGSSPANPQEAESLESAFGLSKG GILGYEEIDFSGNRCLIVQLNEDVNVQSVKVDIKALVEVTGCAVITQVDTSESLKTGQ LHINSRVFGPALGIDEDPVTGSSHAHLTGYYLLSPSTKYIPKQLLDTVTSPKELTVIG HQRSSRGGLLKCKLDEEYGSKVKVVGNAFEFGKGTLNL L199_000547 MSVTSPISASPGLSLLEWDEAAVQSYLSDLGLSKYEDVIYEHGI TGDVLSVMDHSALQDLGMTSLGHRLNLLRAVWELKKDQGIELGEDDWKPQDAEENDKV TQSNVEKLLDMIHEQQERLIQLEREQMRMLSAFEENGIPVPTQPHSADGEVRGIPGME GKSNLGRSGSLRWKDFKEKGSDGEEAEAGPSRSNRRASTVFPSSLASSTASNPNGQPV PHTSDTPTFQDSFTPTTVTSSYPFESPLATNQGKGEEKSHSRPNPIQPPPLTRLLSSG PVSSNSITSPPPNVSQSQSQQQHQQSLSAPRNTSQPTSGPSPTGNAPSGSVTNPPSDK VKSQANAEARSAAKSFRVTLEDPCWKVLPAALKKYKINDDWKLYALFICFDNTERCLS YDEKPLLLFQKLKESGHRPVFMLRHIKDIRSPIAVAQQKQAQKLGLPPNTNVNVLPKI KPSSDTSISPTKTSSLQPSGGSRSGGVGDMPNVNGNFPELPSPGLKDASFDASASAST SSRHPATNGLMNLQPGQNPGTMVDKDGNTVNVTYAVAIYPYIADRQDEFNVAVGSTYV ILSKAKGWYIVQKDPDGLGKLNDATQGWVPAGCLLELSQPISLASPTPSGEIPAYPGL SPLPPSAIISSSYAGNVLMDYEAKGDAELSLKEGDKVRVYKKYCHWIKSDTGERGWVP AWFVGKAATEPNTSTANTPSSATTPTPTGAVPGGEGKMMNNGDVEERLRDDTPTSTLG AGGGHPGEGNKI L199_000548 MSTSIIRTSLRAGQASILGPCRSRIAAQSLIGIRYNSSASSSKT SSPTPSQQLYTLNEIDKLTTSIAQSSPGPVHHPSPLVMRALPAPWLSSHSPDLASQGD ACSVDPHTSSAEPFTEEELLRPRHMSESFTSFDLPLASDAKLYERYVNTSGGFRMGKL LEHLDSLAGAVAYRHCLPTPKTAGSTDESQAFHESSSRAGLYLATASADRLDMFGRLN RENVRDLKFSGFVTWTGRSSLEVVVKMEGHRPSEPSGQFKTLMLGRFAMVCRDSKTNK ARPVPRLLVESEEEKVLWAIGEEHQKRRKTSAMNALDKVPPSSEEAEELHKLMLQVST KEEVDGEQVVPMHDTEIQTVQLMFPQDRNLHGKVFGGILMRLLCFTNAALFSQAPMRF LALDQITFRLPVPIGAVLRLSSKVVKTTKPHDGPDGEAKVHIMVRAEVEEVDTGVRRE TNTFFFTMAKEDDKPIGRTVIPLTYSEAMHYLEGKRRLEVGDEMRRLYQVGKLQ L199_000549 MELITQNISIISLTIQTQPTKAQSLKPYGQAIPFVLTGKDRYHF QPNQRDTKDELIGISFYSYSNEKRHASISIRIAPDRKLRRRGWERLKLSSEGKGFSAY RLYTNQGDGTTRDIVIIYEKPDTANFLSRISDDTHLGEITLPGTHESCALYGYPISQC QQPSTPIEQQLLDGVRFLDVRLRVVGDELLMYHGPRPQRSTLTALLTVLHQFLQTHPT ETLILSIKEETPPWHPHFSQILYKAFEPFLDKWFLEERIPTLGEVRGKGLLLTRFDRD KDGNGKWEQGMGIHPYTWPDSRKEGFEWNCHGTKIRTQDWYRVHTFLEIPEKFDAITT HLTPTLTPPQSPTFTLSFLTASYFPLSLPTIIAKGFGLPSWGLGVEGINSRMCKWLLE RMSNGERIRACLPIDFYRQCAGDEGLAELLVQMNLMGG L199_000550 MPSNQTIFPAWHFDHWRAVDDRVRGGASTSHLDQVHLDLSQSSN GDLEKGKNSVGARFWGHLDIDTLGGAGFASQSYRYGPSPLRLPSLSYSGLSIIYQPDP QTHYTEKTPRDYTLVLKTTPTANIPKHPKTPGPPREAQLTYEVSFSLPTTTVGKAEAK ANKEEKREFKWNEFQATYRGKPVPEGDERWVPIDPGLIYELSIMCRSDFGKQHGDFGV VVKSIEAIRKKESGWWSGLGWLWNWVVGLFSWGWSWSSRGGVKLEDDEEKLIA L199_000551 MSLAQYRPDISQAFQSKVDKWIISNCSLSDDMRSLGSIVVNENK RKIIKHPETGKWFQYGRRAGKKSYLSDTSLDIPPRNNIFRRADATSQNTSMSCPRLSA WGSNSERATPSIRQRYFATPTLSTNVPSRYQSTASTASEDIPNGPFLSFALNQDQGKV RLRIREEVGEESSAYIVENDHDLSREVDIHPSDVSPTTAWSTCSKWYETSKSYISSFF PSLTSSATEGRSCVSSAIEGGGSLYPTGNSLASQASEGFSSAVRSGKNRLANGLESFA RSLNSPGSEK L199_000552 MKFFITSLILTLSLLVPSITALEPGDVPVNVAVRRVDGELRHFY EEDEIEFYRKRDEIGDAELAKRQDPESDLYPVSNDGSGVKAFSGAGAA L199_000553 MQQYFSASTTPLGSPHYAHNDPWAHALICCDQDHGASSSNTMNN QLPPPQQHHSQILYETSLNDGPSAPPQARRCIECSAECPLEAYCCGGDYCCDGSHSHS CNQSEESICGDPKCEETMKEPCHEEHPFHPQGQCGDTHSHHPDPDHIHTPNQQDNIGD MKSLEEWADTKEGCHAIQQLLECCNQPDCNIPVCPTDNKAVHPPPPDPLAAIFGSMVA QPIEPSNINDSGPSSEMEGITHTCHWGNCHLIFKSMPDLLAHVAADHLSAWGSGTGAK STDPAPPTVPPPQMTNVIGATSNFMSTSTSTPPMQLANTGNMGLNSAFTTPQATETDQ LLSCLWDDCFPMADMPSSSVSGTFPQDATQIQTQQIPHTHVHQAHSHPHEHAHPTGEP FSPGTMLRHVLEEHLGVPGSIIGWPNDNNNGQNGNQTHLDKNHHHHHVDSHHLAHHHA AMHHHHSHSHNHSHSHSHPLPTPPSTVNTQLSTSPVSIPSSTSKITSGTATNPSGNPL ICLWPGCPVDHVFSDSASLMDHLSEVHIPKGKDSYICHWDNCGDGQGRVFKSRQKVLR HLQSHTGHKPFVCGVCDQAFSEAAPLSAHMRRHAQQKPFKCEYPGCGKTFAVSSSLTI HMRTHNGEKPYICPHCGRGFVEASNLTKHIRTHTGERPFACAHPNCGKKFSRPDQLKR HMLVHDRDKTNGNQPGQGHARRRSSVQTSLSDETGSSNVVNGQNAIMGRA L199_000554 MPGSTMSPDAKIQMAYDLRRSIRELRDRGLMVAAKWSSELLAAL PKEYRQAPHLPFSPPPQPHTLFPNSPPNRPRPSIGDFLPSPGPGSFNAEAGPSRGRTL HGIELEEEEDILEEDEFQLARGYFDLKEYDRVAWVLKDAKGSRSKFLKYYSMYLSADR KAQESLPHFLDTKEERLALYPALSPLLTDLKDEKDPYLLYLRGLCYMRLDRRPPAVKC LMDSVRMKPYNWSAWSQMAQLVYSADMFISMKEELPSSTMLTFFAISCMLDLHTATEL VMSMIKELLEIFPGSVHLKAQRAMVYYHMRDFETAEKEFDSVQKADPFRMEEVDIYSN MLYVMNKQAKLGKLAHEYAEIDRNRAEVCCLIGNYYSSRADHTKAITYFKRSLMLNRE YLPAWTLMGHEFVELKNSHAAIEAYRKAIDVNAKDYRAWYGLGQAYELLDMPMYAIEY YNQATSLRPYDCRMWTALATVYENLKRLPDAILAHTRALLGADRIQTPTILLKLASLH STLGQITQSVGYHRKILALGEKSGLGVVDLSQSYLSVAEYEMRDLLLDPDVDDERMVE DSPEQEKGDLALASQYLEKVSSSNAPQRDRAEELLRVLRIREARMAADL L199_000555 MASSGIKRPYDEESTSSRRHDRERERGRDRHDDDRRSKPRDWRD AFLDEDEPKRRRSRSRERERVKDYRRSDDHRDRRYSRDYDDRDRDRRRGGGLDYREKE RDHREREPSKGEYHKRHNHSDRNDHTTSRNDKTQLVKDDEKEEGELEPSPPRPSVPLP VAASPPRSSITLSPSRPTSRPPPAGPKASFQSLAISSQPRGLTPSRPPPPANRFFESE SSTSQAPKVEDAKPEEEEEPISVLEEEVDTEKILEERRRKREEIMAKFRANGGKPTIT TTPNIVQGELPGPGTGAESVTSAGTRTGYQTGYSVTGATPLLKQLGTHSVTGTLPGTS APTPIGDSPALASTPMGNDFDLTKRADSAGEAELPADTRSQGVGADMMVSAADYDPTQ DTMADQEKRKKDMEAMQVKPSDIASGVGEPIAVDPKPQADEEEWEEVEIEEEDDDDDE FDMFAEFGDEPKEKKKRKVTIRRPKNGGKANGNKVEYVKTKPASTIAAEVVDNVDDTE GYYRITPGEILDDGRYQVTISLGKGMFSGVVKAKVLKAVNQERRQDVVGKEVAIKVIR SQESMYVAGRKEAQIIKKLNDADPEDKKHIVRMERTFEHKGHLCIVTESMSMNLRDVI KRFGKDVGLNMRAVRAYAHQLFLALSLLRKCGIVHADIKPDNVLVSENKATLKVCDLG SAAEISEGEITPYLVSRFYRAPEIILGLPYDTSIDMWSIGCTLYELYTGKILFPGRSN NHMLLLQMELKGKLSHRMIKKANFGNLHFDESLNFISIEKDKITGQDVAKTLVISKAT KDLRARLLPPSSVQLKMKDEELKQIQNFVDLLDKCLQLDPSRRIAPRDALVHPFLTGA L199_000556 MSDLPSTIPKGSWIETIQDNLCIASQQVTSDFQRIYQSLTQSGQ QEWALINRSVRSVQPTIEGGQPYIEVTLDWKLANYYSKKEAENLTIIARRSLHFKGAA PTSTNIDGILSYNPPMVEESMVRPRVVRRGNL L199_000557 MDSRRSTLLTGADTPLTSSSSQGLKGDLASIDNIARYAWAEAKR KGSSWLASYTDTRQTYVLSENKVFKVQGTDKDADDTYQFTSIWRPLTKGDEVKDTDFK FKCDRAQLSGFEPLGPFPQRYFAEGHYGGEPITVQGQFDRFDEADVQFVGYNVVQGTE DLPTPSRA L199_000558 MSRTIRLSDGKSIPAIGWGNGTGGLFGNHDPAITSGVQALKAGI CHIDTAEIYKTEQATYEALKQAGVKREDVWITTKNLSPDIEVVRSNVQERINLLGSKP DLLLIHFPTVPQAGTTSQFWTILEDLVYDGTLEGVSLGVSNFRPQDLEAVLKVARIKP VVNQLEYHPYVLEHLQPVLDIGKKHNIITESYGLLTPILRHPTGGPLKPVLEKIAKRL SSETGKEVDTASVLTLWALQKNVIVVTSSRNPDNLKKICEIDSLPQLSKEELNEIEEA GRKVHFRHYKAHMSKDFPNPNLPEDI L199_000559 MSSTSRSTQTRQRRKVSCASCRQKKIKCNRDETSRPGSTSYNDT LRDVPRGMFNQLVCNAQGAHDANSAQMACDDAHGANMSSTPSSYLDEYLYPNQSRVPA SWHQAVHEATFSIDQPPPDPQNSNQNGLIGTDFSTFYQYTNDELHVSSTHCPNSAQPS ISNDPAIIQAYLQHVTRARRQFIEQCGLSEQGSSEP L199_000560 MHQEQAISFASSALKEIPVNACLTKKGNPGATKNTDRCFPAKQL EELVSLPGGLQRINDFVVSKLGQSCRLRGLDSQHLSVPQIPLPDPDQGIAPISREAYA TSASQMDTLAAIGDTEVGPINLPFIQVQLPLANDSDSGGPYDQYGSLAAHPNTLFNFG GDVGLPQIYNPPTHFENFGLENADENPRYAYNPNFQAENNENSEYFK L199_000561 MSSLQFSPDSTQYSPSSADQQSTAVDLEGKKGACVTCRKAKTKC EQTEGKDSCDRCTRLKRKNCSLSSGAQPFASVAEQVARYLEIHRRSKDFIAKSAVSSN NSFLSPTSVSRARSRSPSKVTKPRSKARTDAALGWGDRSSCGNCRRRKIKMHNIAREE CEYCSKDESKTCSFGMNRALRHEIKSRQALFDKRIYELDNRELSDLLSHDGGISKVNN ELVSVIGAQFSLVTSQTPTDSLPAPPLHPQTDNLPVSLAEEVGPIIAYLKTEEGRQLL SEYLEEIEHGTNNHGSERTVSTMEVPQVNVFAPPISDDWGFNAFDPTVGMPDDRGLES FLFSSLDEPFPTHVTGYPQDQYFPF L199_000562 MDVIEKSRNTHLESSNEDTSKGEAYGLEHAPELQSQAKKLINPL HGFTKEQVLADVEEFCKEKNLDDKVDVFKRGALIAQRPTEYEDIPELTEDDLHWLRKA SRSKWSHPKMLYFTVIVCAIGAATQGWDQTGSNGANLSFPAEFGIARPVGTAEGNSDE WKVGFVNAAPYIASAVCGCWLSDPLNHFFGRRGEIFITSLILIATPIASGFTHSWQAL AAVRLVLGVGMGAKASTVPMYAAELAPANIRGALVMGWQLWTAFGIFLGFAANAVVKD VGAIAWRLQLGSAFIPALPLAILIFFCPESPRWLMKKGRYAHAFAAMTRLRHTEVLAA RDLYYAHVQLMEENKIVQGKTYFSRFAELFTIPRVRRGTLAASTVMLAQQMCGINIIA FYSSTIFVESGYSASQALFASLGFGAVNFLFAFPAVFTIDTFGRRSLLLATFPNMAWT LLAAGMMFFIPATEENKVMRTGLIAFFIYLFAAFYSVGEGPVPFMYSAEVFPLPQREQ GMAWSVTVCLGFSSILSLTFPRMLRALTAQGAFGTYAAFNVIALILIFFFVPETKQLT LEELDAVFSVPTATFSKYQTGTVLPHWIRRWIFFRRGEECPPLVIADDYVNNA L199_000563 MDEEYQPYRGMWACFPCVVLWKWTKDRMARNVAEREPLYPSPNT ILTAPTKKQRPNFSNLHHSSHSSSFHGLFSSEPASPTKSTVNGYFSEGKRNASTSKLS EEGRERLGSISRAYGGRMQFLAQPPSIPSTPSLPSTPHLNGNATNGNGICNSRPRPLS ALTPMTTNQSQDNLPFRPSVPNLGRSSSEPRNLNDLGASGDFAPHQIPNFGNGSVTVG RGGSRGRKRSATTSVARLSSPLALNVSDANTITKERGRSPGPAILTRTQDQFDIIDDS NPIQDGEGDREEERYIPIGRSLSHPELIFTSNANTNAQGNEHEGADEVVVKRELGLRG LNSVRGGKRGRGRGGKRIRSD L199_000564 MSQNRHVGPTNLHRLFIQSMLSRRAIKEDVALEMYKRAVGACQA NDDTFRPLHETTIRGFRTFITDVSDILHDLGMEVVLGQEQTGKGKSWVVLRNTDSTEV ALQATDYTPLEIDYYRRLVKEIIESYPANSISNGQATTIVGELEGTMARHVAETLLDS LCSRGWLSKSKRGRYTLGVRATSELETYLKQQFEDYMQNCKHCKRVILDGVCCSKEGC DAHYHSYCYSAILKLPRPSCPECNSKFSEYEPSPIGEKAVSRAEDDFTGLRNKRKRPR GSTNRNGKGKSRAGGDGDEDVDDTDEDELEEEEGRDGEGGGSGFIEKAGPSGWRVDSS SRRRSVVSETQHLDDEAEAADHDEEEPPTNRRKGRR L199_000565 MVDATITKRLHVGGLTPAITTQHIKERFSSFGVVSEIEELGVDA LGQPRPFTFLTLSSTPVQLKKCLNILSGSFWRGTQLRLAEAKPKYTERYVIHTPTAEE KRKVLEKKRKRVESKRGEDVGKLSQDFRLVNSTIAKKKKFWLVDEDEKDGRMVRPLTI RPSHPIGKPLVDNKVSKTQEGKKRVGGPPGRLRRRVINPIAWGSIYIKGDQLLSITET QNEDEANIEKGEWEFEEIDDSVVDGEGLDEDGRMVVGIWKKRDMNGEIVEESLVRSKK RRVSIGEVDKSEYRSEDFGTLEGGEVDSPLFGNRDLPAKGSESPLFPSRQIDDVHTDE EERGDNETLEDRSAVERASSPLFPLRVPDQDEKQSTTSSSSSSSDTVDQLEHQPSSPL FPTRSIETPDDDNEAQQQPPSPLFPARNEASTAQQASSPLFPTRALKSRSPSPHRQRK PALTLPSQILASARAERTSALGVLGSLLGDVSPPTKKEKVVWEVYPESDEDDEVEVGR GRSKSAASEISSVAQDKIRDEVMVDEEPNMNLDIPVDGDSSSGPTSSSGSGSSSGDSE EGEGMDVDPPAGNGNEDEISSGSGSGSGSSSSGSGSGDDDEDESSESDTSSDSGSDSE EDSDSEDDSGDEDKSSIPTKPSGLKDMFAPTTSTFSTLDFASTSASAGFSLLANLGDE IELDEEMDIPLPPAQTDLPIGHEQEEDDLQPLPLNVSSGRGKIKFDPSSSDIPLFFTL PTEAGPSGERKGESRNLYNELHIGIPPITQPDYNQQEEEEEEEVQNIPLPGFSRQPGE NDESMKAKWTNEKLELTQGWKKRFREAKKSKRRKGGEEVE L199_000566 MSRRGLSMEEKKTKMLEIFHETAEFYSLKELEKIAPMVQSVKEV LDDIVSDGLVQMDKIGTGNYFWSLPSAAGATKQALLSKNQKELESLNAKIEEVNAGIE EAEKGREDTPERRKLLSTLSSLQETSTSLKSELSAFGAADPIKYEKKSQAIQVCKNAA VQWTDNTMTLLSYISGLGSDIERVKAQLGIDDEWEDVKT L199_000567 MHQTGNSIKLLTGNSHPRLAEAVAARLGIPLTPCHVSKFLSLET SVQIHSSVRDEDVFILQSPSPPDINDHLMELLIMISACKTASSKRITAVIPCYPYARQ DKKDKSRAPITAKLVANLLAVAGADHVITMDLHASQIQGFFDIPVDNLFSEPSMVQYI KQEVEGWRNAIIVSPDAGGAKRATALADHLNLDFALINRKRKRDMAASMTLPTVPPTP TGSDSGSTSEDNDSYFVEKMELLVGNVRGKVAILLDDMIDTGHTVRLAADVLRENGAK EVYALISHGLLSDTTMENLRDLPVKKLIVTNSIDQTDRIKATNDKIDIIDIAPVIAES IRRTHNGESISALFRPNELFI L199_000568 MAATHYAFRAQKTAGIADGPSWEVSSRIPTDTIAARCYAHSPDG EWFAYAEGSNVYVLPSSSTSSTSTITIKQPNVLALSFSPLSTNLFTFERPVKQDNGEM HRNVKAWDVKTGEEVNGWHSKNMDGWEPIITSDESHLIRPQASDISIFSPPLSSKPIV RVRVEGVKGLFLSSPTSLAQGVTSSKPIHPYQEPAVAIWIGEKKGAPASVSLYPLSSL LGKAVNAQNGDANGNGEAKTETRDLPNTMARKAFYKADKLQVKWNNAGTQALFLAQSD VDATGKSYYGETNLYLISLDGSFDGLVDLDKEGPIYDFTWSPNSREFVVCYGYMPARV QLFDMKAKPVHSFGSQHRNFLLYQPQGRLLLSAGFGNLAGGVDIWDVSTRNKVAEFKA SNSSHCEFSPCGQYILTATLSPRLRVDNGVKIWWCGGQLLHIQPVEELYQASFSPRLL KDIQPFPPVVPKAPEPNESVVKYGKKGDTVNGAESKPAGAYRPPGARGTVASDIYSRR DDDKPSGSGASSPTPMFRGGKPAGRYIPGAPIPGSVPKEAPAEDKKKRTRKRGKNENG ATNEEKEKVEKVTEELAKVEVKDKDNKEDGGDDSNAKKIRNLTKKLKAIEELKARLAN GEVLERTQVKKIESENQVRDEIASLGGSA L199_000569 MYDVIIGGDQRERDRAKKLKEEAAKGKKLSGSPQARREADAKAV AEKQAAKAKARAEAEARGEVASFDKKVEQRKVNAQQKK L199_000570 MMSTKHLLPDLTSLFAFRHLPTKVETPIDSTDSSDTFISSASSG DSSPSAVLSLNQSSGDHKWTSEDLESYFHRALEADLPSSPSPPLTISYEEALISVGWQ MDNPYILTGYRQALGSVRGCVYSIYGYLHNETFNILTHLIGSIMFIVILSIHIVLDLP LLSSSTPTTSNWRITKYDSEDIVVLAIYLVACTICLGMSASFHILNCHSAKLSHRAHR CDYAGIVVLGVGSILPVIHYAFHDEVFWQLIYSGIIIITGMISAVIVLSKKYRRRRIL RTSTFLFLGCSATIPIVHIIINEGYDHAKQTIAVDWIFKAGVTYILGAAIYATRYPER LYPGKFDIFFSSHQIFHSLVVVGTLCQYIALRKMISYKIGMAMGRGMNEGYA L199_000571 MAQIKRKLNASSKSKSKSKSKSTHSLGSSSSTKPQPRARLAPNE LKWKAVNTSSFSGIDGGGGMMMLEELDDVDIEWDEGEGGSRVARFVATEGKKKKGKGK EKAVEDVPEITEDFEEEQEQGDVADEEEEVTDEANEEEEEFPDFAALAQEDMNDEDDE DHEDDEDEGEGEEEPSFDDALLPEWKDITLHTALKKGFLAAGYVRPTDIQKRAIPAGL SGRDVVGVAETGSGKTLAYSLPILSYLLRKSLPASKKRKLSGLILCPTRELALQVVDH LNALLKHTISTSEDDEEEEGTSSKSKGPPRISVGSVVGGLSAQKQKRILDRGCDILVA TPGRLWDLIKADDELASGIRTLRFLVIDEADRMIENGHFAELENIVRLTQRSEAATQG PDDDDPVFAQMSTMLEGSKARDDMQTFVFSATLSKDLQRNLKRRHQSGGRRKGKRSST LEDLVDKLDFRDPKPEVIDLSPEGGVVSTLRESMIECVVSDKDLYLYYFLLRYPGRSL VFVGSIDGIRRLIPLFTLLQLPIFPLHSQLQQKQRLKNLDRFKSTKNGILIATDVAAR GLDIPQVDHVIHFNLPRTADAYIHRSGRTARAKNEGFALQLCSPEDKGVQRALMKSLG RTHELPELPIESGFLPSLRERIRIAREIEKAEHTQQKSIHDKNWLIETAELMDLDIDP DLLSDQDDQDADLPYTKKQKTKKGAKRVDVRALKDELRGLLSEKLLARGVSARYPTSG SKIIVDDLLRETGHATLLGAGTKKAYDEVQSSKGKRKLGQKRGGAAGGLLNKKKKI L199_000572 MSTSAEIPIDPSLRESSSATSPTKLAGTKRKTRGSGPSTTSAAG PRVTRRSTAHNEGNSIQSGEPARGEEENLNGYWGPGNPKRAKRSSPTKRSKPTTSSTP SRNQVENVAGPSGTGEGSGSRGGPSISVIGELAGLANRKSSSSGIFPYLPLYPVGLTP FRYPCLTPSEPNPPPEDPNDPNFKPFNPHESNTMTGTTGASTLPSQNQLIDPQLAGLS GSTHTTPQQQIQPVQQSQQQSQIHLDTNNNGNNNSNTMMDQNTAASADAAYESISALL SASQGVYPTLDSIDYHQSRGQGQGQS L199_000573 MSSPIAPPSFGEIFDTVQGTPQILSSPVQSAFPHFDPTSPFNTI RKTPNDRGLRRMRTEGFLISPMTSIHAQQSIHSLQSGSSFTIPDRTRTPTLGFRPVSV VPTPVRIANANWDGMDFTGLNAEGIGLTAFEEGIQFGSPSKIPQSQVSTPLMVAMADP TPVQSPTAPRSTKKTRNLSGLGTPSGAHRPTKRIRQATYDANTLPVSPSASRRRTAEP STSLTTSVPLSRAVSSSSIHLGHAQLYQQDRNVSASSAMTASTSFEVMSPTLQSFPEM YPPVPASASLPELMPSRSQLPSPSLPGGGSPICTPQQPAGLFYTSPEQDQGAFGPNEV QGEMTLMSQVTSVMPRSTSMMSIASFPSNQGYRQNTLTTIVETPMLAQEGMTFSAPSS SQLPTQPILPPQPQFHHMEYPPVPGMSMNYQPGLPTMTQPIDIEAWSAQTYSAQPQIF NFQSGPPPAPGPNMSHPHPNPHPPPGPQRHASASFLNYEMRPNEIPVFPSAPRSVSAP FVHTMANVPPPPPIPMAHSLSQGGLFGPLAVAGPSEPISDGQQQGWTQSTPVSPETPR KRQQYPPIGKRLKPGPKPKPKTPKKGKGSNSSPEEGAINPSLLAGPSELVPKVESSQS QPMISREPSPKPALVFGPDLTSVSLMQRTLSGTDLGSAPGAGAGNALLAQLPGQPQLV IQPPRSSFSNGSNGPEGSSNGNGPAGLPRAFLEKLYTTFLTLDGSVTGQPVKRFKCLI EGCERHFPRKSAIHSHIQTHLEDKPYVCNTDDCHAAFVRQHDLRRHMRIHSGTKPFPC PCGKGFARGDALMRHRQRGICSGSLVPRRDEL L199_000574 MFNSPSTRATPRKAASRASLARSRHQTPSTFAESASLSQAPTPS VRSSRLAVVKRGASPTSSAGDTVRTTRQDEGYEKDRVSWSRDERHLVSSLGPLPKEVA SLVKGSDLVANPIAGHVDSKSGFAMVASPSVCIAWNYTKRTHSAPTTYAFPSPPVSYS TTPTQPPTLAALYTGSASSEPGMILVSTTGEIRFWESMSSALANVERFQEIYVELGED DFIDRLWKIDGNNFLLTTASSFVFRLTISSSGGRLVPTLTNLTRPGGMFGRASPQIFI AREDKVGISSVASTSGDVYVMARRMLQKWAFGPDGQKFVQEYDLHETIGNWCFDNWSS GNVSLDLNDLVSFGSDELAVLISYVEQQTGTSEYPRLHNSHAIVMFSIQPRSQSLMIS RVVDVSYLAHSDPRMLDVPRLLVPPGSSMAFIRFAEVVLMVSLDEGSPYEEAITLRDS GRNAFIGASSIIPSSAKKGSSLPSIVAIPAFGGLMSVEALESSERSETFTQTSSATAR LKSKMEQAVFFGERSDNPLSFDLPPGFQGDLAEAAETVSAEIVSSNSPYMPGIFEIRP HLSDRLLRLKELMNFIRHNGFLSILPQSTRRRLSRDAEKIKGAIELWDYQNKHMEHMH TQSPQSLLSDSIFTYMRQADVVEEEDFVRLFFRTQVQNLDKLLEIVFATARAALDVVD RNELSSWVTEANRIFIIVERAAAQYREEELYTYEIDRERPAIEIWTAQESLTEALDFL YTTTEALIKERTRDLGSVIDEPPSDTAEPALRREQQLQSRLKGQMAILAAALCTNMED KCRATSRREIDEGADPQDGIRLREKWAEMKPRVIRPLVSIDRLSEAYELAENHTDYLT LVILCHEQANPDTARLQRYIERFGEEFAFVLYQWYIDQGQAYELLNQDEVYGVLVTRF FAENDYPELAWMHHLAFKRYDQAAGSLRDVMRDDNTRALNQQQLVGSIAKLAAIAIAD VRSKGISNDRKRLLIELDDELDLVNVQSGLISYFSPPTRRAAPKLDEQVTLLADRPAF KRIFFDLTHQLLDGLALDLEGLLDILTLKDNFERTGDAALALERLVGDMTLPEGRKQV ALLSIWRRVYIRDDWAAISNTTGRSEQAQRSKVRSTLAYQTIRAVNDMKDFPPNFILS PFTSTQPPLPAELAARFPTFSAEDIASLMEDHEKEIEILNRYLSENGLEERIREVAEL VKNDLEEEKTEEGDVDIVM L199_000575 MSDKPQSSAAAARPSWNKDEWEAKAKAKDEENAEYAKSAEAALL SGKAPPRRRLGDDMPKPTKNLEARKDDLDLNKNLNKTMLVQTTTSGKGPRGAGFYCEM CNRTFKDTLSYLDHVNGRGHLRMLGQTTQVERSTLTQVRAKIAALREATKSAVTAKNF DFQARLKAVREAESIEKEKRREDRKRKREKKREEEELQRMGIFRNNGDRDTEQGDKST GKEAKKRRKLENREDREVEAAIKEREDMASMMGFGGFGGTKKR L199_000576 MPPIRRDTNTGSTHRTTTNYTDLWKQHTPGVSLSGMPTTSLGVH PLSLANGTKSFNIKEYFPPSHIATKPYNDFQVQGFATDPSTGSTAMTLVKASDEALAI EGHPGATITLQDKDAIDTFYTVLDSMPSHGKSTDGIRFRYGDRSVEAFRGDNQGNTWS AIISDRELPKDRLIGRYTVKVPDDKDRSAFDQHFNEVASCAIAISPAMDMDLETEKEK DAEVVQKKMSSLSIDHFKSSVQKANQSINNWTRDTGYEHDLVGLHHFTLNQVATDTLR ETNVSINGFFELVWLPKLRDWNAFHQFEIANSIGTALPVDVNGHRRVTDFTAWTQSQD GRTSVLVKQRPHEENEGERISWFQIREK L199_000577 MGSNSTKPSSLPPLESTRIPFLHVFECQSSSGELLSSNSIRQDR RHRKVISDVHLKSKRDGIYEVEFRPRRLKPQDEIPHEDGETGLKNNTRIFQRQGGRDH EGLNDFAPYLTKLIETIPTEDLRSAELTWYDDRTGLIAELEWWNLNPRTKSKSSWKGT IKPFSALDNTVNLTPGDISIPYDIGNLDLDDPQEMIEFSQRSHRHQLDADRSLLFNSR LTSKNARGTETQGYEFGIKQESPIGLIFWVSIRERNYLGDII L199_000578 MSYVKGMTNDEFLMNISKNKNAMDVIKKCNELINVLPIHDEFEQ SWMTLTCSYVGGGKPWIRLRQLENNNETEPYSRLNGVEIVYND L199_000579 MSTFSPPPPTASPSRSLSLSLSAPTSIPSSRNNPISLRIYKTIG TSFDDQSSREALELASSFYSSSSSTTTDKGKGKADLSVSEQDEDLEGLPRRRTLRGQS AATARKWLKRDLESTLAGGSQKFLEAFAEVDQKLEVLREHMKEMQVRCDQVQSELDQA NSGTKYLLERADGLRSQRASAQLRSSLINLFLSKFTLSEAELAALGSREVAVGPSLFD ALDRVEKIRKDCEVLLGGEEGKMQAGLDIMRATSDQMESGYKKIHRWCQFEFRQFTRE TQLEVSPVMREGIKRLRDRPVLLKDALQGLTSTRSSSILQSFLDALTRGGPNGLPRPI ELHAHDPTRYVGDMLAWVHQATASEHEFLDGLFGVREKKRMVGAQRDSINPGEEEEMM VAQAMDKHLEGLGRPLKLRIQQTIKSQEGIIMAYKIANLLQFYLITMKKTIGEEALLC KTLQEIHDQAYIAFFENLDAQGRSLLRFLHPPDATLSPPLALRDFCLILRELLSVYST SLVDPSERESDSDLAKLLDKSIDPCVEMCERMAEMRRFTVGGGAAGGDWEKDLFMVNC LGYLQHTLEVYDFTSRRMAMLEENIRGHVESMTFEHHGKLLEQCGLAPVMRTIRTRPA DTPLSRLPTTSPKSLTTALSTFSNWLTTIDPSNSPRLALLSSARLADIIHRKALRKIY EAYGEVSERVLDPQEAYEFGETMLRRGREEVAIALGVNEDDEWDEDEEDTLRLGDDIT KLDMDNKVEEQMDENPSASVMRRDSGVGLGLNLDGQGNGNGEQKVQ L199_000580 MAPTTRSHQSTPVPESESTSTAFSPSPSLGGDIKQRPREGSRSR TREEVWDPKYAVDLAIDENVFLFVPNLIGYVRVLTAAASLVFMPYHPKWCTTLYFVSC MLDAFDGMAARALGQTSKFGAVLDMVTDRCATACLLTFLTSVYPNWSLLFMFLITLDF SSHYIHMYSSLVTGSSSHKTVTSDVSRILWYYYNDSRTLFFFCFANEVFFVCLYLNAF WLTPITSSFPIPTFLINTEYALIQQNPKLFGYIVYHLKNLTWPQVVGLLTFPICAGKQ IINVVQFWKASKILVGVDLAERQTARREKALKAHQQK L199_000581 MSVPLPTTNTFPAGVLPFEQRKFPKTICMFDVDGTLSLARQEAT PEMFATLKKLREYTAVAFVGGSDLSKILEQVRSLSLFDYGFAENGLVAYKLEQPLAAA SFIKHVGEDEYKKMVNWILRYLSDVDIPIKRGTFIEFRNGMINVSPIGRNASIQERIE FEKFDKEHGIRAEMVTRMEQEFVHLGLTFAIGGQISFDIFPQGWDKTYSLKHVEPEGF DTIHFFGDKTFKGGNDYEIFSDPRVTGHTVTSPEDTMRQLDELFLTVA L199_000582 MPPKRSTRSTKSTGKTTSTSVEPTTPNSASSLSQRITRSASTAH TQEVSPGPSTSISSNSGSPDTDEVESEMLHRESHTLRGRNLEDLNELEEKGLLMRREM SAESIEDHVNSPRRRNPPKGKEKEKDKDRYEKVELLDRDRYQDEEEGEGLTSPRDKKA FALLQGIPLGLTFGTLPFLLKSHLSYSQLAIFALSTWPYSLKLLWSPIVDAWFVKSWG RRKSWIVPVQGIVGLGMWWIGGRVEGWLNAETINIRFITGVFGSLILAAATQDIAVDG WALTLLSQPNLSYASTAQTIGIGIGNALSFTVFLAFNSVDFSNKYFRSTPLDYPLVSL GGYLRFWAVVFVLVTVLLAIYKTEDPPAEDDPDMDVKKVYKVMWSIVRLKNIQSFLLV HLVCKIGFMVNDSVTGLKLLEKGLSKEDLAIAVLLDFPAQMAVGWLAAKWSRPTSASA SSSKHPLSGKEDGSGGVLRTWLYAFWARLAMAAISTLVVKGFPNGKIGNGYFGLVIAT TLLSSLTSTVQFVGICAFHTQIADPLIGGTYMTLLNTVSNLGGTWPKPLILRSVDLLT IATCSVPINTTLEKSSLASTSSNECISEAGKHACSAAGGECVVIRDGYYIMSMVCVTL GAMILVGFVLPNVKRLSALPMSAWRVKIPN L199_000583 MTSKTKATPLTIVIKLGTSSIVSPEYPFLPHLQLLSSIVETVVS LRAQGHRVVLVSSGAIGVGLRRMDLRGRGKGLSQKQALAAIGQGRLIALWDNLFSQLD QPIAQILLTRMDISDRTRYLNAQNTFSELLQMGVVPIVNENDTVSVTEIKFGDNDTLS AISSAIVHADYLFLLTDVECLYTDNPRNNPDAKPVRVVRDIEKVKQQVSTATLGTSLG TGGMSTKLIAAELATAAGTTTVIMHSGNVKDIFHVIERGAGPSRDISETPALEEGPLC TRFLRRDRALKDRKWWIAHGLHSAGTITIDEGAYRAIQRKESGGRLLPAGVIKVEGPF ASHQAVRLVVRRKKRDPSSSSKGKTGDPSTIITNPVSRNSIDESTNSSPTPANTSKIP NDNSSYPVTLASPALKHLTNAQPDTPLIQPILSLSSSVASLDPLSKSGPSSPSPSSAI NAIAEKLNNVTLTQMQSQNRDTIAEEEEEGWEEVEIGKGLAQYNSVEIDRIKGIKSAH IENVLGYSESEHVVDSITFL L199_000584 MSLRTPGRARRGEEAHLAYNADPKTVGTRTNAPMPTGVARLSDG FEDPAAFFSSPTNTEYASGSGSRSIASVFSKGIGTPKTPAGRSDYTAHTPMTGDTSFG TLRRTRSRMSDIDPEERDKEDEEMLEDDLLNDEDDLQAATPKYFATESNPPSIALPSR SRLPVSSPAVDRTFDAIPSPRARSSLRKSNLSAHSGLRNGGRVSDMTGESPDRSGITN QDEDEDEESPRRGTRKSTRLSISPTVDKKNKRQSSRVPDSQPDELSYTSHSGSSRRKS TKSNGHIDREASISDNDGDMDIGGPSFDDDIGGGSGGGDDTMQDLGNDDNNDFDHDND IGNGGDIGDDIGGNLPESDGEEDDIGLEQDAIDQENEPEGDDDDDEQQSTPRVDKERK KKSVVKKRTAQKKSRTDENAPPARTRMRQGSVAPKPKRTRISQIGVSGDASDEDREDG YHGNFQCRRSSRTHFKPLDWWRGEKFEYRRGPGLPVIAEVITIPEETPQPLSARHKKT TKRANSTTPSTKKRSRSRQDSSSEHEVEEEAGWDDETEPTGLVKTFPEGMESHRKIAC PKALLNPESKANQNFSYQKVFGEGDFMAAGVVMIPVGKSKTTKPSKDNAYVFYVIQGA VQVQIYRTSFIMAPGGQFIVPRGNEYSIENISNKEVQLFFAQARKVKATEQELEAAGA STPRASSVGVPNGKAKKQKVVKQTRPGSEDEEEDDY L199_000585 MVANSASGNRNLSRSIRVTIVAADSLIKRDILRLPDPFAIVSVD SEQIHTTSVIKRTLNPYWNENFDITVKDSSIVAVQIFDQRKFKRKDQGFLGVINIKVS DVIDLELGGQEMLTKELKKGSDGQAVQGKLIVYLSTQTGGPANAAPAASTSNTMLNAP ANGSALSLNTAAAVNTAGSRPGSTLRAANATPEPESPSPAAAADSPNVAQPSAAVPQP ISTNATAAAASGAPTVNPTAQAASTGQTGTSTGPGNEFDSHSDQYGALPAGWERRIDH LGRQYYVDHNTRTTTWNRPSDNQLSNNASQATSTGEARARHNQRNLADDMLDVQQSNT GGASTPTNGGTGVAGAVASNNVTTAGQGPLPAGWEQRFTPEGRPYFVDHNTRTTTWVD PRRQQLLRVIAPGQGNLTVQPQTVSQLGPLPSGWEMRLTSTARVYFVDHNTKTTTWDD PRLPSSLDQNVPQYKRDFRRKLIYFRSQPALRSNTGQCHIKVSRDNIFEGSYTEIMRQ TPNDLKKRLMIKFEGEDGLDYGGLSREFFFLLSHEMFNPFYCLFEYSAHDNYTLQINP NSGVNPEHLNYFKFIGRVVGLGIFHRRFLDAYFIVSFYKMILKKKITLQDLESVDSGL HRGLTWMLENDITDVIEDTFSITEEHFGEVVTVDLKQDGRNIEVTEENKKDYVDLVTE YRISRRVSEQFDAFMSGFNELIPQELINVFDERELELLIGGMSEIDVDDWMKHTDYRG YNPSDEVVEWFWKIVRGWPAERKSRLLQFTTGTSRIPVNGFKDLQGSDGPRRFTIEKA GEPTQLPKSHTCFNRIDLPAYKTYEALEQKLTIAVEETLGFGQE L199_000586 MPRPDPSSLTLNHHKPLTTFAPYLTSLLQNVPTLQMRVANLSCY DHISKCKAKLTWRPLDEGGDGKSWKGVIQVDCTPGTLIDCRWTKHDQELINKERREWM VIPYDPGNWNYDDPDEIVRFSALRNQRQINEELSRLFENPSTTGTTGTSEVVGEKMNK QKTLDSTKIKEVNWRTNRPSEMSIDTIQTFGDTMEIPIGYNSMNRSDLTNNQLIGIDN KTEDEELTKVLFWSNRLINSLPFRKSCEEDEDEDNRAMFLSQFELKCQTWTKDDPHGY SFEVYIDQDQFPNGSASKNQNKAGPSDNPDWRSNRIWIRDYRKN L199_000587 MESSRSGDRDSPREYLSLFDMFEQSCPTDHDQISSLERGNQNHQ KAKLDVHIIPESDENCDTYRVQIYPSISHQDPAPTLPYSDPASISTTDESDLIMKRHK SLTAFAPYLSKSLRLIPSERIHCGSLSCFDHVTRCKAELRWYLPDSRNDSGCWKGMIK DDCKAITHFDCRWSKDNEADGDGDGDLPYDSGNLWNYDDPQDIIRISNLLQRQKVQSE LSKSFTSIPISHVSGGGTGNICCKQEVMDLPKFEGIDWDRKKPREMTIKTVQTFSGSE MNVPRWIKTIKECSMDDDDIDRYVENLTNRQFIANVNKGDEELTEVLQWSNRLINSLP FRQHDEKEGEEKYERVHRLSEFELMCKTRPTPGNRSYENGYYSFEVRINQDQLR L199_000588 MSGQKEGPKDPSKGKNNDNSSPAHHPENQGIASKPRTTEDLRAL IQNLDIGPTRDAISINTNLPPFTLSTTTNGSHAANNEGSPSPKRPRPFGTPFTPQKPA NSKGQSQLPSPDTAMKEKAKMPLPIGAEKKLGLIERTQPQPQYGYPATPGSPSSIWPQ YNSGWVETHKSVSPISPKMTMDYRPGYSYYEQYQDGVQVHEEQWDRYQPQRDRKECLY NIHHGQSNPASNLPRRTITFNPFADDTYATTSMNINSSSAKSGSSQPRNGQPISSVED YNFSDLISTPGFGGFGVTFGTSSYLPAYNGNHQQYQNPASTASNTRPNAAQLTQALSN AGKRSSAYGNKWYAQNDQGQAHQAVHRQRRPSMSHHTRYPSFEGDLVRANTGTLTHTA PTHQFTTPGPERRPNANDSVMVPRKIPWTVTQYTNTGTLPPNWGPGTGLDSGPPPPTP FAPGDWLCAQPYCGYHNFQKNPDCRACGHPRPWEMITHNTINSPPLGSVGDWRCDCGY INWRRRALCKSCYPDHPSNRDRSAVTLSNHPAGDLNTYQSSSTGRNNSKPSNEMNRSN DQVLTIFSSEVSLSAFLGSARPQPEHVLECLCSFLFDHPHHPKNLPNFQSINHSLSTS VRNSTTYTFSTFSFSCFAR L199_000589 MARPIRPAPAPPTGSTGSSTPIPRTSSRAHRPSATPSTPFTPSP ATPSDIGSSSKGRPEAKTHGLRSVGGASERTPCPFPAQWGGRDKCGILEEDEADEVLM SILGSIAYYDNRALSAEEIASTCFQQGWLRPPSAAIEPTTLINNSIRSYLKRCERTKR HCLLAKHQLAGSVVEQVLQPALHPNAFDDSVRPKGTVWYLFGGNGKSKWKNPFEGIEV PKIPPRKPVPKKIQPQKENKAVKVEEKKPGGVQKGKAKVQAPVKIRLVLNGPITGDEE TQSEAGSSSRSRSLSVGVPQDTIGLGLAQPISLPQSKSTKNKSRPRRPRDILDSSSES DTSESDMDFDMPGPSRLIRRTTSLRKVPPPLSLGSSPRVHGSSRLPQHSPFMDIFYPS PIIPTSPFQPHASPFPSHSLDNTTWTARPDRLYQFESSSSSSDDEMRETTWGMDSEIL VKAVDGDEEDRPSWSMDEDETKVKEATDALRVLFPLSSPDEEADLESRIKLNQLDNRP SSLFESPKVNLSADMNSQLKAVDAGGIPLNAWIANSSPSASPNLRTYKSLAPPVDVSP TQHLSKLRSSFDPEEMEVDEETPWLDESGELPVKAEDTFSDVDLNSTIGDAPTPEHDR HLHTALWAQEAAAIRIKQEPEDYPSPAATDPDDASALGYRGSRASSTPSSGSSELPPF EIEVDNGRMGVDEVILGPESVTIEELDGWLPASGKAEKTPHRGRASKNRNHPLRCSGN WGGIGVCSTLANIVKPPARNRSVRSNATARRRKSSPQPPIVDESEPLTPDTGIDIDAE VDDAIGTADLEQARKEADAREEQHRKACKEKVEQQKALMEAYRQTIRAEAMDHHQDPS PTPWSENNNHAPWGTSSTDSINIDTPGALSPMSLFGMSNLSLRTPTDGMCMAVDPKAL VSPPLQAGFGFPQMAVPQGPTRPPPHLPPGDIGMGMLDTALSEQEVKAIMAVNATSAP TPASATNVSKLAPPLHHAPASSVSPVLLPSRPVTPASAPASTVSTPSSNRHSHKIQPI APAPAVVPVKPTPIPIASASASNEKSTAMVALPTTVPAQIAPQSMTVANSVTTPSPVP SISSANNKGTPTPTANGVSLAPAASTTRTTTPSNEAAAPPSRVTPSPTSTNTSTSSSS MPPPATGNGNGKSGGKIATITKPLCPGVDACVVDNIPVYAHIFEGKPGQGRQVLLRRL DTDFVNANALLAALGVPENKFPEYFDHPISPVRVAARHVIPPSNPSAEYSQGVSGIWV HISEAREFARKAKLREGSLLASLLREDLFQLFATLAGLKPDHPTSETFGLPFVPRRPP VSTPAPATSGASNLSSVNSKSVPNLTALSTSAPGPCQNTTKPPGTASSGTPTTKGPLV RSAPPTPPEGCPGPKRRRATISSPLAKKPQPPINPLTPTTSGPAGIKTQPIAPAPSPG SVPAAGTRMSVAAQKRATRASISGSVPRPLAGK L199_000590 MIVADDSQQYRNYVQLEKLGEGTYATVYKGRSRTTSEVVALKEI HLDAEEGTPSTAIREISLMKELKHVNIVRLHDVIHTESKLVLIFEYCEQDLKKYMDTH GDRGALDLNTVKNFTHQLLNGIAFCHDNRVLHRDLKPQNLLINRRGELKIGDFGLARA FGVPVNTFSNEVVTLWYRAPDVLLGSRTYSTSIDIWSVGCIFAEMITGYPLFRGRDNA DQLVQIMKIVGTPSDATIAQIKLNSPEIQIKAPLAKHPKQPFHAIVPRAPRDALNLLE HLLQFEPSRRYDAHQAMAHPYFTAGPIAPPTLPATVQSSAASLALPPRVAARASQASA AVQAQQQAQAQAQAAQQQNAQIMAAQQQQQQQQQQQTQYNAMLAEQAARQGYYDQATA AQMQAQAQAAHAQQMQIAHAQAQAQAQAQAQAQAQAQQGRGMDPNGYYMNPEGRY L199_000591 MPPRKAREVKSAAPSPSPAPSAGRTRATRSKSSKAPSEVSLPET ETEVETNVAESAEEGNSTDAEAEVDGQREEAADVVEEQGEVDVENNKKLTMEERMAKM KELRMRMNQSTQQNRKDLIADHQKSKVTAKELARLEKQKKLAQTLRLKAEAEENGEDL ERKKNWEYSIEDNERWEKKLEEQKVKQDTHFHNAEDDAHKRYNRNIRSTKVDLALYER QKEAALGLAPGTLVSAGGSSNAVAGSSRSGALTAAEDLYRGSDTLAYGDHKPSEDALD RVAEKINKDIGKYGKRKNKKDDEDDEVTYINERNKVFNKKVARYFDKYTKEIRANFER GTAL L199_000592 MFPTGSLTVLGMLATSMLAVSAMKVQQLSDTKITFSDGTGRALS GGSGDIIKAPTSTSNSCTKEGIDFQDPAKFDYVIFGDDGDSVKLKLSCQAQCKALSDD QAEYTFIKDEPFLKGTHTEIGRVWCDKVLERDESIWKKVEDVHLPDSDKL L199_000593 MAKVASDIRQTFPKTDEVVVSYIAGLVDDEDEEVEDIVGMTRGM LDNIGEGSKDAKVLDDFMARLLAYLESQSSKRIRKSNVATKLDKSVHMRSQAMSATIA MSGKVDLESNTKGQASRVDLNKLAKAEAKLKAKIEKRSKRDLYQGSKLIEQLNKNKQS YEEMYMKVNPLDLSGAAKGKSKDIHLNNIDVSFASNRILAGATLTMAHGRRYGLIGRN GIGKSTLLRHLALREVPIPTHISVLYVEQEISGDNTTALESVLQADVWRHKYITEEKE LNEKLNQLEKVTPAEEEKEQIEREKEDILTRIGEVQKTLVDMEAETGPARAGLLLAGL GFSEEDQKKATNSFSGGWRMRLALARALFVKPDLLMLDEPSNMLDLNAIAWLEEYLQT WQSTLLVVSHDRAFLDHVATDIIHQHSQRLDYYKGNFSQFYATKIDRAKNQKKEYETQ LAYRQHLQAYIDRWRYNANRAAQAQSKIKILEKLPELEPPEQDDSESFKFPDPEKISP PLLQLDEATFGYTPEKIILKNVNIDVQMDSRIAVIGPNGAGKSTMIKLLTGDLQPITG RANHNSRCRISYFTQHFVNQLDMNVSPVAFLQSKFPGKIEQEYRSHLGSFGITGLTGL QKIDTLSGGQKARVAFAVLSMQKPHILLLDEPSNHLDIEGIDALIEAIKVFKGGVISI SHDERFITSTSNQLWVCADGKVTKFLGDVESYKKIVTEELQAKLRP L199_000594 MSWNQYPGGGHHQQGNGNGGYGYAPPPPQQGGYGYAPPPPQGGG YGGPPGGGWGGPPPPPQMGYAPPQQGYNNYNRPPPPQQHYSGGQGGWIPPTGAPPEHN YHQTGAGYMPPSGPPQGGAYNNPYGNNGNRAPVRPPTQTQHYGPQLHGQNGQNAQPYF QYSQCTGRRKALCIGINYIGTSQALAGCINDAHNVQKFLIERYNYKAEDIVMLTDDAR NSRQIPTRNNIIQACQWLVQGAQPNDALFFHYSGHGGQTKDLDGDEDDGYDEVIYPLD HKQAGHIVDDEREHHLLVRPLPAGCRLTAIFDSCHSGSCLDLPYIYSTEGTIKEPNLL AEAGQGLLGAGMSYLKGDTGGMLKGLMGIGKNLVNQNSDARKKTQETKTSPADVIMWS GCKDSQTSADTQEAGKATGAMSYAFIASLTKYPQQSYVQLLNTIRDELKGRYSQKPQL SASHPMDTNLLFIA L199_000595 MSGWDDKPQIIGFKQQRPTVAKGSALNSAQRSGLVVSSESKGAG QNHGPADHQRIAKLDRDDAPKPPEKVGVDVGKAVATARMAIKNKDGKSMTQKELATAV NAQPSAITDLEAGRAIPDQQLLAKLERKLNIKLRGAKATIGAPLHPPKKK L199_000596 MSPILRNIVALLPFSFTLDINDQSQSPEADMTVDAAFARYRLAK HYYGKDFFDGFDWKTFDDPTHGRVNYVSEDEARRRNLSYVSDHNTFVTKTDAHRRVAP GGRGRDSVRIESKDTFDNGIYILDVVHMPTGCGTWPAFWTSTTGHWPEGGEIDIIEGV NGKGTNQGTLHTTPGCTMPQNMDATGQLLKTDCAVHGNDNQGCGVKDKRPDSFGPAFN KDQGGWYAMQRSTSGIKMWFWPRDGQVPSDVKTGPASVDPSKWGKPFANFPSTHCNMR QHFGKHRIILNISLCGDWAGAVYNQQGCPGTCATHVDNDPAAFREAYWKIRGLRVYAD NARELDHEGDDSELGYEGENDYFVDDEVVYFDEY L199_000597 MSDEERGDDLFGDSDNEAGVSRQPSPVASGSRSPAPPAAQAQSP VKTESGDEGGDLFGDDDEEEERVKRGRTSTGTPASPRRSRSRSGSANPLEYEEEDLAE EVKNEEKWATLPIPQWNKMKATDDKVWHMKLPAYVNIESKPYEPDLYRETLNEEPIDG KTNPVAAKSKMIGVRNTIRWKWVTGGDGKPTRQSNARMLRWSDGSVSLQLGSDLFDVA PSHGASLARPHDPKPTPAKGLEEKVVNTVSSTTFLAVIAREETVLVTERSIAGQLSLL PTSMDSKTHLELVKHVGQQHVKHSRMKMLEETAKDEESLQQLLLKSAPNREFIKTDKD RAASSGKRRGGRGAGSGLGRTTSSNSLGGTKRRSRKSYYSDESDEGSEDDNEPRRVRD RSIGGGPGGDYDEDDGFVVADSDEDESGASSRKGKKSKKRKSSKRYSDEEDEDDVDED EDDLDEMEQAERRIEAKERERKRAKTSKSGGKTKKSRDYIDTDEDEDDEEEAGGEQDA EGEEEEMEMDIESEEDQ L199_000598 MASRLALKSLRTAALARPVPRVAAQSARFLATQPTPDEKAEQII NSVPSSSLFTKTGGILLGTGLTAAAVSSELYVANEETVLAVGFLVIFGAIASSIGAPY SGWANGHIERIKGILNSARSEHTKAVTDRISSVSQLQDVVPLTESLYAVAKETNVLEH ENFKLAQENAVKAELKSVLDSWVRYEQQQREAEQAALVKTVQANVESELAKPAFKKQL LEEALSQIEQLSKAKAI L199_000599 MSTTNNANTNAYAAGTASDTRHPVVPGTQADGSAGANAGQSLGD KVKGGWNGAGEAIQGNANSFMDNVGEQIAGRDPATRPESHSAGGQRPAGVAATGADEI QRGVAELKK L199_000600 MIPIELEPSPSIPLHSLEALQSDALLPICKACGTQYPSTISLKR DCVICEDPRQFVPSAGQEWTTLAEMGEGGRKHVMLKDEEDSRILMISCEPSFAINQTP TLIETAEGSYIWDCSAFISLPLIGHLSKLNKPLKAIAISHPHFFSTSLTWSRALKVPL YLCGDDKEWYQRLGDIRTDDQVVWWKGEKEMGRGIKLMQCGGHFPGSSILYWDRLSEP PPPKDNMPTKPTPVSGIIFTADTIMVQPTQKSFSFIWSVPNMIPLRPQSVLSIQDRLK NLSFAQATSSWPNRWIRQDAKKALDESVTTFLAAEGWRIDEGSEAHHLTLWKERLIVC RCELKD L199_000601 MLNDLTSYPALQGAFKGDLLLPSDDGYKQSLKRFAATAQKPAGL VAFLKDEGDIQAVLSFAKEENTEIAVKCGGHSVSGASSTSGGIVIDLSRYLNKVTVDV EQKIAHVGGGATWRDVDLATSPHGMASVAGTVSHTGIGGLILGGGYGYLTGEFGLVID NLLSARLVDSHGVVHECSESENQDLFWAIRGGGGNFGIVTSFSLRLHPQRSEVFMADL HFPGEKVVQFFEALGEWLTVQTEKDLLFVFFQDMGNGPVIMAHCFHNGPLEDGKKHYK AFYDLGPIVDTTEMIPYLSLNQAIDDDRPYGTGLYWHGTIFPSPIIHPEAGQKLWESY YDVCKKEPEFSKSTFTILEFHHPSKLMERSNGEMAFNSRGEQINMLIGIGWDGILGNQ PFEKAKKYAKGIADAASDKDEQEDGYANWESNTPTGYDRSIRAFGDQYPRLQALKARY DPNNMFNKWHPIEPKAE L199_000602 MSDSAKLILLGTHATSIHSVIFDPKARTLTRGISTNDLPAQPSW LIRHPKHPELIFSNGWVDNKLIIYRLKSSEGNLEKVAEANTGGEGPTHFAILPDGSEI VVAHYRSGSVTVLPLKDNGLFAASSPTQDRIYKGNYSPKKHWRQEAAHMHQVVVHNGE ILIPDLGSNKVYRFKWDSETKELNLLDEIEDGFQDGDGPRHLVVHPSGSHLYVLNEVA GALTVHTLPASGPSRLVKRYTMLPPNDDGRRRETGGAEIVLLPPTKPDGRMLLIASNR DSPNDEDTLALFSVSQTDGGDVIRTNEGWLGGVGKHLRAVEQDPSGRYVLVAARDTGR IVVFERSGDDGLQLSEVARLEGVESVVVPLWI L199_000603 MSVETFPPISVGLMGTGEYTTGITPSGQSKSDKKIGVVGVTMFD LRRRGKVSDIVMAGTNGGKFPEIKQHFQKNIGDVYKGLDLSFKGFPEGNLRNAEAYKE ALRALPKGSAVIIFTPDSTHFPIASEALNLGHHVLVTKPATQKLEDHQKLIELAEEKG LVCFVEHHKRFDPAYNDARARAQKLGDFNFYSSYMSQPKMQLETFKSWAGIDSDISYY LNSHHIDIHCWMVEGRYKPVKVTASATTGIATSMGCDPKTEDTITLLVDWENVETPSQ RGTAVYTASWAAPLKAGVHSEQRFHYMAAKGEVRVDQAHRGYSIVEDDIGKLDYNPFY VKYSPDENGYFDGQKGYGYVSLEKFIDAAQRVTAGKAKASDFDGKGLPTIKATILTTA IIHAGRVSLDEKRSVALVEEGGKLKLV L199_000604 MLQRNPDPDWWRQAIIYQIYPRSFCDSNGDGIGDLKGITSKVPY LASLGIDAVWLSPFYPSGLKDGGYDVSDYRDVDPRIGTLEDFDELVSALAEVDIKIMV DIVPNHSSDEHVWFQDALKAGKESKERERYIFRDGLGPNKDIPPTDWQCAFGGPSWSP SGCNDGQWYYHMFDSSQPDWNWDNPEVHEDFLTTLRFWADRGVSGFRIDVAHALVKDF NGDLPNWEEMNRIREKKLRNGNKHITHPYMDRDEVQEVYKEWRKVFEEYNPPLFAVAE CWVAPDRKPLYASSEGLGQAFSFDIMLCNYNAKEYRDCIVQSLKDSEEAGSSTTWVLS NHDELRHVTRYGLPDVPNAGYPEFDDAFNEYKRSRFTNPPVDVATGLRRARAATLMVL GLPGSTYVYQGEELGLPEVIEIPDDQRQDPHFHRTKGGCLGRDGCRVPLPWNSSAKNL GFGSGKLPHLPQPAWMKEYSADLQEHESDSTLNFYRKALDIRKELQCAEELEWVDNEG YDENVLHFSRPGGWEIIMNAGKKAVSLPKGRQIIVSSGEIKQGMLDGETTAWLLVE L199_000605 MADADLNVVQDDPWSYQDLFFTSENEDHEIFGATKRMSNSCPTR HGAIESNSKFVILAHTLKSTVQAIFGDDPVGNVTHDLRYSDELMSVVAELEEGKWQAD ITLDIPATISGQPFTLRIHASETLHKTELHWARSPTTGRTQMALTLDERNPYSLENRM MDHREAYIESYLESKRSEHPWFPILINTLQNGVDSAFYKTIDEDPIFGKAELSFDNGS LHAEVASDRPGIEGTRTWTGHIKYTLLGPTTFPARGRVTYEGMIEEYDD L199_000606 MSAQPQQPEAIILFARGTLALLDLWPALTIAVAEQWGGTESAAK KTWLASTLIDEFETRAPFLPIANAGDLPVVDPKSANDPPLDVDEVGDLLHQIMSDEFD ANLEDGSIDSVSSDLIRLWKDILGPNPLVLVEALERKAGEVKKMGVNAARGAGGAESQ SEGEDSDDYEDEDVEMDGQDAPQLVDNQSQQSRERQEPVVDDDGFTLVQKKGGRR L199_000607 MRPSSLLLAPILSLVSLAAARSATGDKVLVVLEPTLKKDDYSNF WKSLQDRGFELTFKEPKDRDAELVKYGELKYDHLVMFAPGAKTYSPTLSPKSILHAQF AGLNTLYVLSPDLTENNKEFLREYDLEFIDSSQTLIDPFNHPVDSSSSTVSLSPTSSL VQDNGEIVSPSTSSGGPIIYPSGTVHTTGDNPYLIDVLHASKYAYIGQDKLLSSDEAE VDKSLNAKGTKEPILNGRKATLVSALQTRDNVRVGFVGSTEMIKDIWWDKEVKTKDGK SIKTGNAAFISDFSKWIFQETGVVKIVESTHYRKGETEPRELYTKKDDITYSLTLAKH YTTSNGTSAWGPFEVDDIQFDFTMLDPHIRTALKQDKSAVVAQGTNYKARFVAPDRHG VFKFVVEYWRPGWSYLRSSQTASVVPLRHDEYPRFIVGAWPYYIAACSTSLTFLAFCA LWVSLGEGDKDRKGKKKAE L199_000608 MLSTVSTSKYILLSLPLINTALGATYTLSKSWQGNDFFDGFTWW EWDDPTKGRVNYVNQETAISRNLSYVNGGNFIMRADSTNVVDPSARGRDSVRIHSKDT YTDGILIMDVKHMPTGCGTWPAFWTCTRAGTWPAGGEVDIIEGIYGINQQYSNNLFTL HSDAGCKMPATNRGSGTPLLSDCTGGVGCGVRDKSSKSFGEEFNKNEGGTFVMRRSKT RGFSFWFWPHNSPQAPADITSGSQTIMESLWSTPIANFPADQCDIDRHFDDHEIIINL TFAGVWAGGDSQWAASGCAGTAGWTPDDYVNKNPQAFTDAYWEIRSLRWYTPVCAAGA KKRRLTEDQW L199_000609 MSSPPKTTSPPPVVSSTASQLDQDEPPPGPPPPANVATQPVHAD PKVVELHQMFPTVEISVIELILETSQGSTDRAIEQLLGMTDPEFKSDELGGTREDAQV DLDAQFARSLQMADEESYRQQQAEFRSRVNSGNNPPPPGGLPYQPRIRRGQRQQPQTQ PYSEQDLFYQNRDQVLDDRYGQQRDGNEGQNPPGMLAFEEKVEKFAEAGKQTLNSLFS RAKAKYSEFQAQQAQNRTNQGSSGSGYVPENLSPSGDRYTRPPGIGGNRGGEQNRNLA GGGGGGLWDSRSPSLRSESISSQSTFDAPPQSQAQAPLRQSSNRWQPPDAYDDPLPPA RTMSGNRIEITSGRSTNSGVGSPEKQIGKIDPVKLGILPKKRVDLLSTSPSSSTDAGT NVLTRKDDDDEDDPNPSLPNAPESLVSKIPPTPPAGDDRYRLEDSDDELEYTKNPFDE K L199_000610 MSPTNLSPDKITGFRNREGRTPPPAPSAAYARRAREIIEDEAGV SGLRPAVAITSEEEQVAAVDNGVASGYRRARAGTMPSNLQEAAQRYTGPSDEPTPTTA TSTASSSAATYTRTSALSPAYPQTTARPSLRHAASSAANLESSSASNRLRSGSLTLPG TGLGDAFGHGPFSNAWLANPGLTASSTPARSPLGHPLQANEKELSSYTSTTDSAVSYP TDDLNSTLDYLGLADGSDAMHLAPASMVELRNQAQRAIHNSGPASRLRASTVSNFARP FRPSVTNGNLFKGNNGYEGRNDDEEALARAIDNLGMYDQNGYPVSNSQLGNLYTSSGL YTSKESTRPRATTIGALDHPVRKPMTRGNSYLASIPQSPVHAEHLSSPYGYPNQINSK SRDSSRGPRLSISSHTSRTGTPDVDKGTSTPQVPTRSLWIGNLDVNATSDALLHVFAP YGAIESVRMLPEKTCAFVNFMEKTDAIRARDDVLNRMGGHVSALSGTAPVRIGFGKID SAPNGPSLNTAATTAAPPPPNLVFTAGGPAAAPVPLPGQPATVTTPAITVPPPTSTTV SADSLDTKDGDQSALPTRALWIGSIPGTTSPSTLLQIFSPFGPVESARVLMNKCCGFV NFERLDSAVSARNALNGRDILGSDVGAIRIGFARVPTKSPTLGGPEDDISSPGKLGDA LNTVQGAASVSTEQQMSAEGGGLENYRSQLILDLVKQGVHEQVLEKGLDHDGVVSDQQ MIMQVFSKESEEDGDVKAAADSRPPVTYYTAIPLVGDRPSRRPDNSRLKDIRKLLDAG QCTQEEVDSIVHELMEDCAELASDYIGNTIVQKLFERSQQPLRLAMLERIAPHLATIG IHKNGTWAAQKIIECAVTHEERTMIIDHLRPFAPPLMCDSLGNYVCAGTLKFGPPWND YVFDAMIDRLWDIAQNRFGARCMRTCLESNSTSLYQKKRISTGIILNSIPLATNPNGA LLLTWLVDSSNLPGRYGLLANRFVSHIAHLCTHKLASLTVLRVITQTTEPAAANNLLQ AIFTSNKDETLSEILGDANNGSQVIGKILAINTIPQEQKTQMIETVRRVLPNIKASNT PPYRLLLEAVGLPVPAGYINNNSSSPFGRSHHHHHQHQQQQVQIPQGWGMQPQQSFGQ AFYGGAGGANGYQQPPYGMNNLSPLLIPQNMPLGQSMRNPSSPNSTPNANKSPRTPMA RFSNGPMGMGMAGRMSPGSMMSPGSDPFNPFASPSIDLPGAQHASMRLGSALSQPPVT FGSQPDIGGLGILGQQGSDGGNGGYYGQNVGRPMYGQAAGYQ L199_000611 MFNNHGTTTSEKQPKALAPTSDHIDSPNLIRSKSKANIQLYDEL GINQETRQILQDNHAYIWKKDAKGNLLIKSLHSDPSSPRNWRNWKRYALVGLASLLNN LVCLCVSGYSTGVEQMQEELGFGSELGTLGLSLYILGFAFGPMFLAPLSEYWGRRPVY LVSWTIFTIFQIPLALAKNLATVLVCRFIQGFAGSTPLANTGGVVHDLFGIDEGGMAV AIYALSSADGPPLGNALSGYLAQEKGWRWLFWTYLIIFGAFIFIIFFFLPETRDTIIL SRKTRVLRQSTGLEMYGEHELVKKDPKHLYTVTIFRPFKFLFTEPITYLCAGINGFVF GMIFLSNEAFPLVFGSGNGGHGWTHSGTVNLTFLAYVLGSVMGFALTPIQNRKYLQAS SIKGFSDPESRWWSALWCTPFCPIGLMIAAWTSYSYLPWIAPLIGFTLFGFGFYVILA AILNYVVDGYGRYSASALGGVVFVRNIVGAIFPLFARQMFVGMGNQWALFLLAMLSIG MIPIPFYLYKQGKKVRQRSPYCATHFGEEE L199_000612 MEARNLDHRRNNFKGKSSFSAQELRRRREEQQVEIRRQKREESI AKRRNLQPISADDGIESDDETGVDVGSLADTLPGMLQAVYSDDQEAQLDATTKFRKLL SKEKNPPIDRVIQCGVVPRFVEFLSSNNTMIQFEAAWALTNIASGTSEHTQVVIQAGA VPYFIRLLSSSVLDVREQAVWALGNIAGDSPKCRDHVLGQGALQPLLVLLNENHKLSM LRNATWTLSNFCRGKNPQPEWELISPALTVLTKLIYSLDDEVLIDACWAISYLSDGTN DKIQAVIESGVCRRLVDLLMHPSTAVQTPALRSVGNIVTGDDLQTQVVISSGALPALL SLLSSPKEGIRKEACWTISNITAGSPMQIQAIIDANLVPPLVNILANADFKTKKEACW AISNATSGGLQEPNQIRYLVSQGCIKPMCDLLTSMDNKIIQVALDGLENILKVGDVDK EAQGPGGVNKYAQYIEEAGGMVNIHNLQHHENLEIYKKCFYIMDKFFPDEDEEEADAA APAVDASGQYAFQSDVAAPQGGFNFGQ L199_000613 MGESSRRDRSRSRSPSRKHRERDHKDKHRESRDRSPSSKHHHHH RSSTYHEDEDRHRKHKKDRRDRDETEEERKERKRLKKEKRKVDEKGLEVVDDDYDESM WVEKGIDGTEATSNIPTSDSLALQSNPSNPPPKVPLPPSTSSGSQTRERESWMLEPLD GTSATVPAPTRDIPRSAGSGGGMTEGYGEETVSNRNLGGGMDFFEGLGTVHERKDPKA DLPDPSKLVVDKRFELNTQLLEGKNIDDYETTEKKITPGGPGYQWRMMKLKRLYEQAE EQNREVEDVALERYGNLEDFQAALEERRILDEKEARRKSRRGQPGSDGFSTPGGGSSG FHTPDTTSRRFMFTNPSGGEEFGSSRPSSRSGFRRPQGQGEENDFQTPSGSGGGRIDD LRRKESGTNVNVTPGGRERTIGFTSQQPKLSTPIPSVFTPTSLTRSGSGYPFNPTSSA EGGSGAVENPDPTSSKPPLTTEQLNKLQARVIRAKLMDEPNAVSLEEEYERERTKSER AKENGGAGLWQGSTDPSGLQGQFGRTDDKGNQVEVQVLPTLDGRGRLYDVGLGASDEG ELRPGNRRKKVEKFETRDREGNVLRYNADDDTQSLGELVRQEKFGAGSSDQKNMDAEM AAAITRDAKFESDVDYMDDNVDKLARRKLKSDAMKRAFAINDYARTKKALDSCPFCYQ DDRNPLAAIVALGTRTYMCCTQFEELVPGHCLIVPIQHHLSMLEMDDDDWEEVRNFMK CLMRMHAQTNHGVIFFETVLSFKYQKHTYIEAIPVPYETFQDLPAYFRESIMASEGEW TQHKKIIDFSTRPGGFRRMMVPNLPYFMCQWDYKGEKGYGHVIEGVSDSGTGAGKAEG EDKEGDVGGAGGKEDEFPRYFAAEVIGNVLGLEPRKWRKPRKIDYNLNKDRARKLGEK FQPFNWTVGQGV L199_000614 MSEEHAPSQSADLSSTASSSWRRLSHPPLPTFRPNIPNLRAALL GYLGEVEVALRSKLGELPPAEEERLRASSSSPSISGEDDEHDYDYGEDDFGGEAIGYA SSSKLGNSSTTSTSGSGLRNRFETTNGAGGSRGLEFSHHLRLLNHLSTLREEALNYLP SLSVSVPSVAVPIIPSYPSREWLRSLPSRLSSIDPALVYRSSSVQEGENKDHLGAVES ARKRVLEVVHTFLPSEEWAGWEKLGWEEQEDDLAFHDNQLHPPLKSRVSTRGRAASLE RYPLGDEEEDEEPEYLFPNRTPASLQANRRRAVRSKSLGASSFPTKSTIQAIGRLSPV KKGKLQRSKTDPYGKATSVDGTDHEDIDVDTLLGKDVEGQEKEDEEDEEYILKYPEIT DTKLTALVAEHDDTMGPTVQESLRRSDDGKKLIRYEDLPALWRNNEHVHTGYRFIPLH LKTGPVPLIKSAFTMHNETVNIHTHFIPTILISLFIPYMILNPPLPNAHLLDTLILAL YLIAAISCLFSSASWHVLSGCASKRWFEWGACVDWLIAASFGTVVYNGFYCQPKLVLL YCTTNACCGALGSYLPFQKWFNERRNKHLRITFFLVLCVAMFAPMLQLFFQHGFSKAL SFVAPFGWSISTYLIGLWFYAMHYPECNWPGKFDRWGMSHQWWHAGIVLAIWLHYRAV FVAHGMKDEYSCMAPGAGRSVAQVVESWFIGM L199_000615 MDKRTSSPFSQALYAVEVLTDRIKSLGLRVSESDRDRAESQNEE DGYRLLSWRNSIHETTSPANIEVPDGVEIEEEENESPPPYDIDSPISPTSPGFPTSSP TSQKTRFRLDYLLITIILLAVGSTITLFIIFPFPTTIPAFVGLFTILLGWTLTTWFKV MYHLSNIFKSREKTSNRTKNFFNRFSTTKRTILATSTLFILFLSCLGFCPPKEQDVPI RPVQGEKYFVAANLHNSQDILPGWSSELIKMINYLGSDNVFVSIYESNSQDSTKSLLS SLNDTLLEMGVGRSIVTEQDDRHWWPYNTSPERIGYLANARNKALKPIQSSDPEIRLK GFNEFTKIIFLNDVYWTWESMVRLINTKIEGRDEYDQVCAMDFGTSGLYDTWASRDIC GTPLRPFWPYVKDETTIDRLRKEEPFEVSSCWNGAVVFKAGPFLYTPQEEVRVDEAEM IDLEQSHDGRHLAKRGWKMVDNPTYPNSVFSPSLTLPIQFRTSNISACDHSECFLIGY DLHRLYDTVDRPPRIYMNPAVKLAYERNWFVWHNTVLRIPVIQWWLENWSRGYPFYFV DWIWEKAGRRRDYCTWSALAVHLPERCPALPGATDKNWDQ L199_000616 MPPNFSSRNPNSIFTTILISLSTLSIYLITYPLHATPYRIAPPI FLIVSLGLNVAAYNLTLPTALISIGSVVFANLLLAIDYIHGECGYDVMIGGESWHKAR LGEIGWYRGCVQPTKAWWIMVIIGSIWLVIAAADLLKMRYTTINGQYLRLSTDAEEES QSTESGV L199_000617 MARITRERPYEDEANPTPRPLRKHSPGRGVSYSILTPPPTYEQV EKLDALPGPQSTSVIPPPSQTSILSNPDIDVTEARDNHLSQISCTDPSGHSPKRQFGK IGIIAGIVFFPWGLFW L199_000618 MYIIHERQCSHVEFQLLRLLTRTNTKEGYFNRLTSYHEHLGTKL PIVKTTKPAVLAIQSKSAIDNEVNQEALRLGFRVDSITFADVTMPLRLVLLGCVKNVG VRVMVKPLVALVCPQSDQYSVFAAIQELAQLVVSQHPKQVLVPYCPGRVIIDYGMDDI KEVLEEMWRMDKNKMTFIQKVS L199_000619 MEQVEAGLDDRKWRTGFLDLLKKAGVTGGFRKKLEEGLDLYSVG AVKRVGKCRWTVGLEEDEARVEPCQVMAIRDDEGNTKYPCSCSISSCEHMVAVEFFKL SRDRNDKDADEDMDIDDDMTNPLLELNTRLITPTTIRSVPVSDSHPLLTNPEEPHHQE HDTIGAVIEMAVHIDPARADHFEIQHRGGDPMRQDEEEDEDWIYFAGSMQQLIKSFRL DFTPFRRPSKQR L199_000620 MTSSAQTYTGSFQSPHSIKPSEPPPPPSLSSSTIQQFYPTPPPS PIIADTATLPTTSSTPPLQGSTPQLPNVSIPIPLLLDTGHHLPHASGLRLESPSSLLA TLGGNRVLALDPSSSVWDRMPYSASTATVSTPNAMEEDSVESLVGGQRPQPSMHGMHW ALRKQKSSEHISSIFVSPPTPERMASPTSEDPPNTSIQSSQPVESRSSASSSPIGSRE ASPTASPLLSGTKVLPALSSSNGTTIPLQVLPEVRSPPKKTIAMTADSLQLTAKRGRR PRLFGFTEMMDGHSASSSAASSAANSPDRTPPTHSKHFDFHHSNNASSSSNTSSPANF MPRRHPVRARSEAALMSTIHHIPPRRRENGLKLNFDGIVPLPQPQEIQSATIPSPYTS RLIRKKSGEILKSALKYGGPLLPNGTPIHARESSPSPRFESKSCPSTPSCPKYVHFDA QLERVKLFLHDQKPQVVSRDGSPTADTTSEGDEFPFPSTDEEREVKKVLTVSLPNFPT THPPDSELYLESLFLEDDRKALKGVVVCANIAFQKWVAVRFTFDWWQTTSEVTATYKE SIKGGKFDRFIFSIKLNDILAKIEEKTLFMAIRYNAEGREIWDSNGGQNYQVLFQKVA PQPAGLRAARNSISLQPGMGKAVGGRTSQWSVAGASNDDRLADLRAKLDRLTADEPDR VPVSPNSSRHFSFDFGRRGSTTNSSGSGSSRRGSENSPGGSPSRPFSPMDTKASDLPA AGPALAARYDFSTSLKTTARRGSTSPVGRPGELPDVKTGLLNYGTNGNGQNGQKANNN PHAATEFYSPRFSQATLNANTNDYFFSPTSTSASTMPMPAPVPDVKVQGPSPPPMEDR ESTPTPTTVNAQIYSGQSTYTVPTPKPQHPAVKAPSPKRPTASFSRHATYPTKFTIGD DSVQVSPNVSPPQLIKSPSTESTATDTPSESPRSPPDLSLAKWSPTAKSADSSDDANS LSSYSSFLEQFCWGGNSMTPERRSHSTSSLDNYFQPIEIESSGLSTPRALANTPQAKP NLNLVETPSSTTSSSYYSTYSNTPTTKEDLEHVERALGGAGGSGRVHVNGHMSPPVMA L199_000621 MPTSNDASDTDDWVKSLPTFECLYSKQSTTLLRPFSRFSISSLF RSSPTPSELPATTLLDPLILIASTLPLEPLPAQNHITKSLEGLKDFATQLKETIRSQN LDIEDEALVERIEKLLQAYLAGIYVISRVLWDNTSDYEAQNKENDDHKAALKQCIQGF FLLYPKVQKAGDIRKHILGSIFASTQTRYYQLSPLLQHPRQLISSLQSQPARPIAHSK LKDAVQSPIRSSFPDHIPESEILYVISLLDTFLQGMQEVEALSGPVVVAKTKREKEED KRRPSRVEMEVRVMKCDLLMSMTTKRIGEVKSRAMSKIRSDVKEEWEEAEHNEVDDGG QQIKDIKPTFSSEDDSEKPHETTGPSNNSRWEDLSEECLVECLDIAKKLIEEGRAEVL SDNIEAVDWLGQDGIIQPDKQEASRKSKSPSRDPTGENNDTDDDDESDLESESEISEG SAFGSTHPCPLRTIFRLHDRYEEQRLAVWLHLPSAKRGKMGWFMRGLEGRVGSSWDSF GLAAMVEYDSETLMNYGLGLDKLDKWVELASMRNAKKIKRKGRKTV L199_000622 MPHTISPNPSSQGLGISFSTLSPPPAEPQLPRYTGIVPKPSQSS PSRLPLANQLGPRPQPSPTRGAPAPAFQLRPTHTRQGSSSNKGFTSFSAIPPSPARPM GPRSVGVYSPKIGGPSTRSESEHSHNVTLESAIDPQYADEEEVESEAEEAGSQHGMED ETPKQSLRPLPTPTRSASIASHLTTASKQISEDMKRKFSKSSGGDTFGGGGSGKYLPL SGEDDLVHENELEQEQESNFGHGSDMAREPSSTNVEASPMDLPVPHLRRPSTSISNGS APSGSRSESMAASPSKLSSQSHQSSGSHSTYQTRQFPYPPTNNHPPRSATTYSDPTNG NNHAYHQYQAYSQEYLPPQDRQQMSRSKSQPQYLYGVPELDDMTDRPQPQAQHRKDPP MMVKRTSDISSGIHSKNAVLLSAENGGMMLAFSPTGDGTFRPGEMICGSGTGLLPSVQ SPASIENQHSSRASSEEDLRARPWSESSMSHENGLARKPTMLGNRGRSLSDGAQLLAR QGTLLHPSSSKQRSSAELNVLLGGPKSRRLSTNKLLPPPPTEEDDRANSWQKDNKVRL EASKKGKARVEVDVVLERECVVEGGEVRGRMEVKITGGKRGEGLRVGGGKVRVVGFEE LSATTRHIFYHQPHPLPVFDPDIQHNLSSSLFASGPDSDGYRLAAEGTHNIPFRMRLP LGGGAKGTYTSQNGKGPCVRYVVVGSVKIHIPSTGKRSIAHFYRSIVVLPYLNPSLVL SPSNEPVEGYTERGLGWNLTGEKGRVELRVSLGRRIWVSGQRLWCEVAIRNDSNKKIK TLNLALLQTVQVFNPQPLLDTSDLTTKLIKKRKSLPNCVGEGVPGTPDLDACQTTTQR RKISEEIIEADFISHGAGRVTGKGWWTGVDSGESGHWDLSLQVPPGMLSIRRTRLIEV QYTLRVTVNGSIYVDVPVQLINFLSIDPPPMPRDGPALLNPPVSNKQPVSNGQSRDLQ VIIGGTGGIYQQPGPSSSRPGAIRSSSEFSADSVEGAARASSTTLHIDALLQAGRLRA EAEAMGNLPPALSQTRSGIGKPRPLSLGSSYSISNRTDIHNLTQIGTKSNPSLKPKGA RVMSCNSSSHHNDSQSIFSGETHDDENDQDVNAIESPEDKMRVAAKRALARQRSLAVI HRDIDKEKRELYGGEEPLSPGREPDTPLQGEFRPCHTPSEEVYEMIGNTPEEDTTEEN QDPLPVESPKEDFQRRQLRVVNTDENNDHDNEDNEEEAVTHEDEDVYGGLENVEDTNQ ETEEAKEDGLGLELDVGNETVLANMIPEERQELEQVMSQQGHEDNVYDYGEDAEIEDD HEDDQEHLLSPARDVEQLPSSTSSQRLPFAFEPYQPGPERERESHIAATRSVYGSFAA GSAVSEQESEVGQVYEVVKRNISIKVPSKLIPLSSNSTEKEEHETSSSPTECDHDNQI HPSSAPLTKSSSISHSLLADARRASSSQFRKDSMSSINSSPGPTARRGSSILGQPQGN TSVMSRRESATMGTAGHGQPSPMRREGSSGTIATSPIAVARVLQKKNSFTYATPGSPL KIQTSSSVLSTSPEKESRIRSSPGMSPKSLSPVHRPMDMMKLPSMNSQLRNQILPCDS DTNSGSSADKRSPDLNGDSGDEGVFGLPGLAPSVASDSASSSEGHQLDSPISLNSLPQ PHIQSHSPNPTSPTKRLLPLVPGAYQPFSIDQDVYQPQQKEMLSNPDWRPDGYTRNSQ VLRVQTQVYHQSERQNLLPIQMEEEMAYSEENENIPSSPRSTSSCHSMLPSVKNKILQ LENRDEALRKFSVSGSVPSSVAPSRQTSIVSPELMMSSRHNSLVPMSSRQNSLIPNTV LSSRQGSMVNPISMPSRQNSLIPGMSTIKETTTTTTSPTVKRKSYTTALAPKPSPQRK ISNETYHSAVPASPESGVNTPIGYRYDQPSYQAQVQNIKGLGHTTYVNRRSYGSPTHK EGDYFNYRYRMNGQGHGRSDSINSNTRSATSSKVSPLNESQPVLDPFGLNHHNQTESL DEVEVKEKTVKTKVSGFWQMGDDNGNGEDSNSDLKVFTRHDRVSVSEGETSESEGLL L199_000623 MVVLKRKRDFADQTEPSAPVITRPKAGTKAFASGKAVKDALDTA SPQAFVSFRQQIVTPHSSLPLAINHPTVVILQHYLDTSPTCEEIFRAWQIGDQTKTEI QAQAAVELLSEIISILTPIPFFRSSVVGLINKLVSNTEPYSEYLNRLCQSGRRDDVYH GYLLTAAAVAVDPPTSTTVGPSTSGRLGLKVWGLLVEGGSVRGLGKQMGMRRRNKEGM VGYGESDPLDKPDIRHLILRTILPLLSTSTFQAHARSILPPLYSGLAADPPITIYRVL TALWNAISGPSIGLNRRTSLVLFHEKSLEHLWQLLSRQDVESSTGKTVSEIVEAFLEG ITTVPGQGICFADEGWYPRRTADGNAGATVGNNDTAVNGTNDDRSDSWKRGLHNRILG NVVRKVGNKAVDDDGVVGNWMTKVFEACPELIAGYWAHSALAVDPRLNARWVGTMAYI GRIISLPTPALNTFRQPVSAGADPSTAPFRSQPPATSVIIESILPAPLTKNHIMKGLQ HTDGLVQHVTAVTLARGLQKLSVVQALFSQIGKMIEDEPSSSEENAWRRGRRELEMEA RKRIPELSVIIAFAQRAATMAPSEPETEAELALASKSAMLTEIALRIFGLCNKTMPSM ASEVKFDVGRLLVSASSASAERRARREAREGSVISDSGSVASVGTMGTAGMGGGFGQA RGDVEGFEALSQLHVLQLLGEVRDWNWMNKAAGSQYTYLYHILLLYLSTRQSVTQLKT VSLLHHLLIPTLLYEHDPSELSIWLDALPRASDSASGPMLLAQQIHLLSFLDDCFRRA TKTPYRYIEDAFAVVPDHFQYSRPTEMISPVLMTIVEQLNAKIMGQLISTEAAGVVLV YIGRIILGLVGKQKDLKFLLAIVGKFDDLLEKAKEAGQARVGLPDVVQGMKDDLALVQ GAKRSKKSKDQDPGAHRLRDEEDWSARSFEQTCLILTVDHWGEVDTLTSLASTFIKSA DDATIIRRASFLLQLLPSKKGILSSLEAKSAALHLLDQCLASLANPPSLALLKASIFE NDFIKKLFLSKKGDDCRPPLNSLVAHLQTSISIDAGLGEVFVLELVGALKSDKKGSNM EHTLALLQPWIPFLGAEPAIKALKSIIKRSETVIPLLKTTIAPTIASIVVATKDPSYA VDHIKELVKLKSISPVVQLIREAAMDREKRKSLNALSIKKGIVRDLLAIKEDSAFELV TVLAQNSKTAAITILAVLSKESTQLEDERVLPIVDILLDAPSDTSDRKLDVVSLAIKV LVSSKVSSTQSESAIRIVTRLSQQKHEDVSQEIRKLKLTDYGLPLARLTETLAEHGSQ VFDSPIKHLLDLGLQYAVRVCSKVGELDTDEQAVLSRLDNAITTTEDIPPEVQLSEPV ITAIIQDRLDNEEAIRLAVTLARRIDMKASFIRQQLQALLASSAYNRCADSSCPASNR LNYIRLFHSLFAASTYVSCQPNFIEPLVPLYRGTLSKSDRLILNMFQLFEGYRKLSVS SVLRFWSASGIIGSGNRSFDALTSLDPQKVFATCQAYPLRRPLRGYGKANRQPEGGEG MYDPVFVMNLLTSTMSEGTVTGLDWVEILRSNILGLTICGLSSRDKEIRAVAGYVLAK AYHLMNKKPFYERNQLLYTLRLLRHSIETPSARLPTLTTLFFAHALRSLANPSHFLYP LSSRFLLQRHVFDPTDTPMLYSMLYASGDGWKRERGWIIRFLKDGVRSEADWRVIRRR KVWSLLATMFSSSLDPAFRRTVLQAMESMVTIPAAARSLVLRDGLIVWLSMQWTNITR RQIESSLKGTKAQTWEKDEKAMILNLVEKVCVNMIPTEIERVKEGKEIGNWVGHVEIF FKTVLDADVDAERLAVLSRILYRLSTIPRLKLTPAIIPLLVDQLKKLGSSSVAPKADI TACLFHIGLNIDPESLRYDVKVKEAIEEVGWKVERGDAGGELAEWVRKERRLSEWEK L199_000624 MSESYPRLKHEQLSLEYFDEGFKCRLNPSFEDIHGDGLARYTLR INFPENLDKIFCSDKSDFLYALTIERIVTDRQEILKDGSQKYKAPRIGYALPDPSSRM SPRENR L199_000625 MDSKLSSPSKGHRVIQFTNPRSIDNQTYYIDIDSNVDPDLKHPQ SYTDRVWQFSNTVGVRVQDYDQEWQQHLFRTFHWISQVNEDITQRQLERCGTLDFYRG KYHSQLTVRPSREDDDMDKFTLIINTEHDEGEDREDQTSRGRPLRRSWDGQGFTQARD SNTDLDHRYHQVITGVQNTDFPDGSHELQISYGHTQDHEPPRHEPSINDLLVQSIRNQ SPYWDQAFWKVEKALEYCVSPCPSLHSEGRGQLDICLEDGRSCLISTPLPAVSMPVNA DWVVSFYKGGPHSDSISTTKNEK L199_000626 MTYLTTHLPFPSSSTSLPPPRSQLIISDTLSSPGHFAVYHVVSS ALGKEKRKVIWVDFRGEGRSSWEAILKKIGTPLPPSTRPSFIHISPSSLPSSIKRPDK NTPSLFEINEKPTLRETYDGIIPHLTDGSLLILDGLSELDWIGFSPLEISKFVRAVFA KVKLSNSILISTIHADHLPLSSTAIPSKEAGQSELLDRLLRIGQGCWWRVSHLPSGRS GDVMGEISSHPLTNPIGLKDSQKFPYIPRSNPLQYRLEPNTVRVFPKGTGRGFL L199_000627 MSLRPTINLRSIGQNRLIRPTVVSRSITSIPRPKVQPTSVKQYR MASVLQATAKAAHSAVASLASAAPVKVGDAVPDVDIRINNLEEKINFSKLPGKNVLVL VPGAFSPTCSSQVPGYLEQYSTFQSKGVKDIYVVAVNDMFVVNAWKDKLAGDKNTVKF AADDTSKLASSLGLVLDGQAIFGGPRLKRGAIVIEDGKVVHVAVEPSPAEVTVSHADE VLKTL L199_000628 MEFTTSNITTNDNNVRSSSTTPADSGPDPGFIPFEWSFDNHSSG YSSTLRQQYISVEMTPTQTSTNTSYTIPFEFQAANEKEREDKNMRSSWTVFAPPTKKD GNPS L199_000629 MNRHHPGRYDAERSQIQRSIQSIENIYGSEVPTTGGYIKFQQDG LTTEIKAREGKESTWMMSMMTARGAPFSGKAFVPDLVRPLAILHDKYEILSIQASSGK SPSLQFGYGPRPPPLPSSSGNSIGAFIGGLHENTMNDPQWSKILNKAFKAQRDTLSSA TFDGLDGLTYVADGFEVKVSEANPDEREDCGIKYKVEFTPYESESQARGD L199_000630 MGGGAPAGGDFEALLTESQNAGWRGLFKNGRALSLALFASLGGV LYGYNQGVFGQVQVMYNFEQRYLATLTNTDTKGLLTSILELGAFVGSLMAGPLADRYS RKYSISGWCLIFMIGTAVQTGANDSVACIYVGRFIGGLGVGALSMLVPMFNAELAPPG IRGSLVALQQLAITFGILISYWIGYGTNYIGGTGAGQKEAAWRVPLGIQLVPAAVLLV GAIFLPFSPRWLMLKGREEECLTNLAMLRHAPPESPEVQYEFRALQAERLVEQEAAKE RYGANDVTFRVTLAEYKRLLTTKPLLHRLMLGAGAQALQQWTGINAIIYYAPTIFESI GLTGNTIGLLATGVVGIVNFVFTIPAVLFVDNFGRKPILAWGSANMAISHATVAAIFA VYGPDYANKKAGNAAVFMIYWYIANFAVTWGPLAWVVSAEVFPLDMRAKGMSISSAVN WIMNFTVAMVTPHMLSSITWKTYIVFMCFCIVGFLYAVFVLPELKGLSLEEVDRIFHD DTGAEDRARRERVAKQIGLDKVAQDIHHKEKAQPGPDMA L199_000631 MPQDTYDPPVIVKEAEIETVRRWLNARPDLLLAIAKRHTTNPLL EPLPTANASSLPPPTLSLLVPIDGLRIDKLRIKVSTKARNVELDIPLPFSRTDSQRGL GKGGFGKKLEDLGEDALRHFDIPKYPKIEYFEPPTSISVLPVYPLLFLIFLVAAPADH GVANLFRGLINRYLGRWMIPGAAWFAAACHIVIEPLILIPKLIQHQVPLLQSFFYLFV VFCTGYGGIDALNRAVIQERIRLIHSHSPDNKKSQ L199_000632 MPILHPQTMAKIEARTEPYTRRFNTFARIAVIYWLLRFVVLDSF RHVRARGIVGTANEIKNKVQGFIVRLMLSLPSSKAKLQLELGKAQSEIREKLVPSKYP DGVTLTTVRKLPEQGRSKDWLEGEWTNLKKLERGDVDAGRVSGAVYHGGEELNQVINE AMAKFVVSNPLHPDVFPGVRKMESEVVSMVLNLFNGPSGAGTTTSGGTESILMAVKTY RDWARDTKGITRPEMIIPSSAHAAFWKASQYFKIKLHVVPVNEETRRADVNAMKRAIN PNTIMLVGSAPNYPDGAIDPIPSLAAIARKYNIGLHVDCCLGSFILPFMEKAGFGSDI EPFDFRVKGVTSISCDTHKYAFCPKGSSVIMYHSPKLRKYQYYIMTDWEGGVYASPSM AGSRPGSILAGAWAVLNYMGEDGYTQSCREIITASRSLIQGLKERFADDLYILGDPKA CVVAFSSKTVNIYAVGDEMSKRGWHLSALGGKQGGLHMAFTRLSARKVDKLLDDLDEV VKHVKSSPNEQKGDLVALYGVGQTSVGPHVVGQIAETFMDTLYA L199_000633 MPELPEVERARKLIHETCKGYKIKSVDSVEDKIVYTGGDDHKAF AKEITGRSINGCERKGKTFWMILSGKGRFPVMHFGMTGMIQLKGQEPTWYRRKPRESS KVWPPRFHKFVLKLEPQPGSVGDEPVELAFLDGRRLGRLRLLPDPVTSHPPVSALGFD PVLNHPTFDEFQNLIAKKKGTVKGMIMDQSFSAGVGNWVADEVLYQARIHPSCPVNHL SPQNIKDLHYQIRAVPLKAVEVNADSRQFPEDWLFRWRWSKGKKQSKGKKKAEEIDEE GEEAEEIKPAGKDYLALPNGKPATITFIEVGGRTTAVVEELQKMPEGVEIKPKISKSG KGSQSKKRSKGNNSDDGSSGLTSENETETTTPVKVTTARQKSRSNKKIKTEDDGVVQD VKVEIDEKPSRKPRKSTSRIETDPQTSSLGKFTNGKPRKSKLTARSTKSRGKGSQMNS EVGDGSSDLSDLPSEPS L199_000634 MECLKKCWSGRSRSNKPPTAKHSLQSLIEHGSDPERINDSVAGP YSATTAALYRGDGPIDGTDTKWTLSLLPKDQISAEAERYSWTIRAFEKDIKNLEGVEE GLKGPITTSSKLIRTVDPHVQKEFPHQLHMGFSRGDSNIYTEIGNPSYGPVQFMPWGT IMTKTNNIAALTTENRFPFHADQYSRILTGYENVVPHTRRRRNSTSEVDPIRESSILE SLLQAEEADPRNAQPPMLKVTLAGYQTSDDTREHDTYDFRFNLADDVTEGNHPENSAI GDFSMSHFDKRHTDAETEDRFVRSFRTLLDTLKILSEDRCANVHVEYTTTDNFAVKVL GMPYQESGQQNYLVRMRDLETQYNPEEFQGTA L199_000635 MLCLEDSEATSWTVRAVEDDLDASHGKGGWSKDRVAMAISTVSK LIRTCAGQLDENERADKDDPRFNFVQNDMRVQVGRFSWNPIGYRPWGIILSRSNDNNL SDPTKKEEVNPFQQNQVSRVICGSERTIGYTMRDHSPIYWAKDSRNSKTSFLKEILNS EQAAIKNTDLSGLTLSSAEYEDGGRKVRSDDTYKFQFVPVGTMLQPNIRSFEKFDNRS TEKDDEDRFIRTFNTTMGKLSVLSADRCSDIDISYNTVDDLSVKIIDDMQKGHQRFTI TLQDQSNKQVIGGCGQVTSL L199_000636 MPRTILITGATGQQGGATLRALTESTRLSDGLSIRLLALTRNSS SQKANALLSIPGVQVVQGDLRDKSSISKIFESYAIDSVFGVQDIFQGNEVEQGTTLVD VAVTYGVKQFVYTSVDMSGLSPSPIPHFETKRQIEEHLKARSSQSQMVYTILRPSAFF GKFFKEVKNVSWEDPEQDVEVGTELTNALNTINVHPFEGTPEETRQLFPWVKDLPTWL DTEFHAGTMIGQLGNSSSH L199_000637 MSTTSEQYRYGESLARDAVTQGVFDQQHYATNDTNWSRPVTVSQ YNPSAYQNPDCKYVWTLVDENYNEYYGCLSGPPNPSAAHSWNPRVSRIFER L199_000638 MSQNSQAYTIGLAVAEKYRVDSGTLGTYMSNEGEVTLSRDNGGP LAWEFYGPSGTASGTFPEAHGDKSENKKKREVQDQDEGADSRTDTSSRVILGTRRKSL RVDSIESGHMDLS L199_000640 MRLPVRPISFRFSSVQPLIRSSPSTSTKPLLVLGIESSADDSSA SIVSSNRQILSLVTISQHSENSLYGGIHPLVAQSSHNKNIPLAIERCLRQSGKSISDI DAIAYTRGPGMRGCLTVGEMAAKGLAAGLGKRLVGVHHMQAHALTPLLTEPNPPKFPF LILLVSGGHTQLVLAESQDKFRILLDTLDSKIGDVFEKAARLIQLPTSPTKSPGAILE SYASSPFLPPYDQTPLPPLPIPLSTNDTRDKAAFSFAGILSSLQRRIPSDTVLSESDK KEYSRVFQVAAVRHLVFKLKQTIVGLSHGGIGGLGGLVISGGVASNLYLRQRLQEMLY EIQGEREDKTMMELYYPPISLCTDNAAMIAWTAILRIQNEKGFVSDPYDLPVRPKWSL EDLYDDVESKSKM L199_000641 MQTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTV MIGDDPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVKEKWFPEVH HHCPGVPCLIVGTQVDLREDSNHLEKLQRQKQRPITTEQGERLARELGAVKYVECSAL TQRGLKNVFDEAIVAALEPPVTKKNKKCVIL L199_000642 MADSTQPAPAASQAESSTAPQTEAGGNKKQPVVIICIGMAGSGK TTFMQRLNSHLHSRNTPPYILNLDPAVSHMPYSANIDIRDTVDYKEVMKQYNLGPNGG ILTALNLFTTKFDQVLGYVEKRAEDVDYILVDTPGQIEIFTWSASGAIITDAIASSLP TVVAYVVDTPRTTAPATFMSNMLYACSILYKTRLPFIIVFNKTDIQPHDFAIDWMKDF EAYQAALNDSGRNEHGESSYMNSLMSSMCLVLEEFYNNLRAVGVSAMTGEGMRGFFDA VEEARKEYESDYKPELDRLASERAAKTEADKKAQLERLMKDMNVSDSSAESSRRRGGA VGDNPFGPYPVNEREDRYYDEEGDEEVDEEEQDAIRRQEEEEEEAAYAEELGALDVDE PEIGGMPRSGPNGSRAAAWPGPV L199_000643 MSQTPINPEVLNRPLVCCGPSGTGKSTLLNKLFSDYPGQFGFSV SHTTRNPRAGEENGREYHFVSREEFMRRVENGEFLEWAEFGGNCYGTTFAALTALHPK RCILDIELQGVLQLRAKASQQTPPLNPVYLFLAPPTIAELKKRLSGRGTETDSSIRKR LDAAKKEIEYAQQGKHDIYIVNTDLKVAGDKLEKVAMGFEGWEGCGDKLPDFDVKELE L199_000644 MEDHTFDLEHDHLHAQVGHDDATQAVLASLRAAIIDPPHAAGNE VGGQVIVVEGEEELANANANAGLHQDDEDTMERYVHDQDEDEVVQDVNNQNQIQDTHT ESISQILVSIIEKGLQSLSQQIKNDQSILDELNSPNAIQNLAKNLKILNENNKRNVDL IKNLSEQLKSYSHNDGVDTGDGDDHQNLISAFTSISAEQSPFVLRSEYDALKSRYETL ASGKKERKSRSSNKQNHHQQENADPRLHSMKEVTQDGTGYASPGAEGRKKRSIKLEHL VHQKANRRLGVEYQVANFEAKGSRELPAPNSDAPKAEDSISGVDEFRPDFKAELSSPS VKPFIDQVVQDCIEAWQSGVLGNEPDIDIDRITNSVHIYWTRLGKRYDEQLHRERGEV HRDEISRRKQNTYRRQQSLLARRLAAFDSSPLNVCKLRALYRTLLTIDFAAPTQDSPD PKREYTEEEWNAYRKLACGSRAAEAHEVIDQFWLSSMARQLLIILDVYSADMNARARK KGRPKQPNPTFHLPSQLWDKSSLPAIRPKDASGLPITGTQGIVLFKFHVDEQVQKDNP EWAKGLYDNPPIPDEDSSLPSLPEVMSMGIYTSLKPQLKEAKEKSNPKIMNAEEVEEM NNRIMNPDDAIEIDQSILDSTTLQNENGTPPTTGGDYATLIALNKLNSLANSGSETAE NPSTSAFTTSQHNPLGGLSGIGENPIGPSPGSSMRARKLGKRSVSEVPGGAATPVPKR RRKTPQSQLMMEHSPLGLVDGNDFINDKDAPIELGQEEKNAEEDEGEEAVEGEVLGDK AFLDNL L199_000645 MDKIEANKTFGSQGVTAPTDVPPPTYQNTVAQEEKGTLHHSEVP VIDGRGSLNFADILKGSAANPLSTFEKKAALINAELDQFGFGRYQFCIWMLCGFGYFL DLAWAQGVGLAASAIYQEMGVPEGSQGVIFSCANAGLAVGALGFGLLVDVIGRKWAFN LTCLITSIFGLLLAAPKYNYAAICGIYFLASIGLGGNIPIDATIALEFVPQRKRMLVC LLSLWQPVGVVFASGIAYATAAKYRCASGLPSCHDVASGAACCSVSSNMGWRYNSIVL GGVTLVIFFLRFFVFSFHESPKFLLARGREAEAIEVLHKIAKFNKAPPPTLTVQHFAE IDASSSTYTNDSIAQNGNMTSIGSVIKRFFRNFRGLKGLFLNKLQFFIFCLLAVAYMG DYWSFNLAGSFLPLILLRNNVSNGQETVTDTYRQYVYIYLPGILGAVLALFSVQLPIV GRKWSLVFSAICQGLAMAMYTQVKTTAGYVGLNAFEYIMQTYFNAVLYASAPELFDTA YRGSASGMLSCLGRLAGIVAPFAGQKYLASNSSGILWLGAGGIWLSAFTMIFLPVEMR NRQMF L199_000647 MAEDVNASNIRLEDMPISLAQQASMPYLGMFKALMQQMHYATGY VQRFKTNNPVRWNEVAEAFYPSF L199_000648 MRTSRLRHANTYIAIRTLGLHKTPKFDSIYNNPSASITLVSSDE VYFRVDPYPLKTGSTVFRNMLSNPNLKPSPISLTSLPMSFEYCLASLTSANPPSQLRS RAGKGSWKWGINSIVKD L199_000649 MVKKDKKLWKYKKYSDRTKDTLLASSDHPKVLFCVDGYMPQSVR GYQITPLNHGEESIAFFLEQLYDPEPESPKTWVVAKHALNLCREYRSGIIEELIRGQL DSVVDQAPWEIFCLASRHDDMRLAKKALRAMDNDQQFRTISLDNLRLEEAAKPSLSYL LGLLKVMDPSNHDWPRIAQDFWPLL L199_000650 MCSKSRSVKEDLTLISSDNQEFKVHSYHCKAQSTVLRDMLESPG LNESAIPIDATGSDLRLFLNLMTRWEVLNPSDSGTWLRLLDICDKYDFYLVRRRLKQR LRGHSYKSPWDAFCIASHLDELDLAIKAIKRFGSLKGDRDIELGRMPIKMASQPTLPY LLGLLHGKNLVAQSDDPSWAAVSQIFHPAT L199_000651 MSTSPVSPRFVMTCTDEGAEVTLVSTDQIHFKVHSYLLKTHSSV LRDMLIDPGLKPTAISLEVPSGDLKLFLDLMHQSEPDMSNNWPQCKRVIDLCLLYDCK IVLERLRFRLKPLAHQAPWEIFCMASQCEDVSLARKALKSMGNDEEHRTLVLTSLSLK DATRPSLPFLLGLIVQLHQKCEIRMRYQIGLGTFQGVDWNTIGRAFEPIT L199_000652 MTIDNNTHTDPQTPTKALTGAAIFDDPDADITLFSTDGHETKVH SYLLRSESTVFRGILSDKNFFNDHSPLLFEAETPLLGVWNNVPACSSCATDMDCTRLY KVEERIRNRIADLVPQKPWEGFVLASQLDDVTLAKSALRSMNKQTHTQSFNLSLTFIK KEVAIQPTVPYLIGLLRAAGEPDQLSYADTRDWYKIADRFNPL L199_000653 MPPYSRMIILPRTSYFHPLRLGLKATLVANLLVLGHVLLTTSAN APIPIHLIPLLPKALSTLSALIFLTLLWITFTLIENCDIHTKTCPKEAEKGMVFGKTW VEGGCQVIVLAFWLGYWKDAEVIMESFSHHLSGEFVLLPLTFFSTILSLILLNLHLLT SIILYAKRQGYNSLNRELWCGKTGWWVGKVQLEQVVILDVEQGLGEKR L199_000654 MSKVQLYVYDLSRGLAKQMSLMLTGKQIDGIWHTSVVAWGREIF YGQGILTSKPGSTHHGPPLHIIDVGETHIDEETFNEYLQSLGEMYTPSKYHLIEFNCN HFTADVVGFLTGQEIPKWISGLPAEFLSTPFGQAMKPQIDAMFTQTTPAERPIPGPSP NQPGVNPQPTASPPSSSGTSTPALASALLQSVAARAHSQQTANGTTPANPTPPNPETS PLTLVSSVTHFHSILKQHPAVIVNFTNTPTCPPCRTIKPVYETLSSIHSSTYGIRGAR FVEVELSIGEGRQLAQEYGVHATPTFIFFKDGKKKDEMKGASKKELEGKVEGFLEDCF PTHIHRKMFLPSIESLPHNPITASNTPNYTALVGKLEGFVNGKGREDDLKLVKDRVIP TLENKSTLSDADLRNLVNDWIESTDRLLDTLKPEETFPVIDLWRVGLTNNRISALLAV NLRPSPSATPTLSNNPISTILSLTVDTLSSVGPTNTPKPFLLTVLRLITNLLALDQLD NLILSPEGHVEIQEKVISILVESLLHPDQSVRSSAAGIAVNAGAWRQRNKGDELADVD WEVELVSAIVEAIGREDDEDVSHRLLAALAMIIYLSPKYESDTKSLLEVLGAKDTIYK KSRGWKKKEVKKLGEEIAGKMC L199_000655 MPLTSSNSFPSLPSYTPSGSFQSLSDLPSPIMSPTSIMPPSTPK SFPTSTSTSTSTSHPTTLSKSTSNSSLTSPTKTPNSSSKNYHPYLIHSSASSLLTRTN SSPAQPPSSPSHHRSSRSMSSLNYILDNPNAPKDQTEDRRKSMESPSSQRIRPGVKRS GTLPEFLNRGGKGKVDKKEREIDLPMNPKLWTPSELSQYLGYTLRTGGPEGTGHILPA PLVEDIKSWVLRQRVSGRDFLKGSSDGWGNTTRPPPFLPLLQTIARRLRRHSLSGRIE SVPSNPDDSFGKGSILLEESEDEEQEDEMTGVKRMANAFDAKSSSSSVSDSGTSGDEE DNGMGKLKPQLTGESVTERWKAWEDKLDRNREIGRKVSDVSSMGSISEQEGYTSSTSA KSADHLPEEEEDDDEEQGGGTIKAPPPQAAALNFTEGLTPPPPYTSSFPDPDPIDNRA MPTLVECLTPERTRESEEGRFSVTPTPERPSGQGNAGLGIMTPSPSPDSTPCRSTRRD VGSSFSSSSPSKHMKNISNHPNINPYAALRRRSSSGPKYPSVRDLNMQSVKGDVPDEE GDVDGEEEEEGYLRPAQKSPAAANFAGLELEGSRWTTARRVTLRPSKVQSIFDETTST STSSSMNMDRHSHDQGEGDKRKMTDQMEVLMNRIKELEDKLENVTSTTDDKSTRKRKN RDVVNNDNHTRSILDLLGLGSSAGREDEDDKLPRTVRELPVYLFLVGFGVGAVMVRVL FGRSR L199_000656 MVAMEYEPDAAGQESYILCADCGTVISSANGAGLCVGCLRNTVD ITEGIPKEATLNFCRGCERFLSPPQTWVSAQPESRELLAICLKKIARPLMKVRLIDAS FIWTEPHSRRIKIKVTIQKEVLANTVLQQTFELLLVVHTGQCPQCTRLAAKNTWKASV QVRQKVTHKRTFLWLEQLILKHNAHKDTININEKRDGLDFFYSERNNAIKMVEFLAGV VPVRTKASEQLISSDTHSNTSNYKFTYSVEIVPVCKDDLVCLPKNQAKAWGNISPLTI CSRVGNTIHLLDPMTLQQTDVTAPVYWRQPFDSLATVTDLVEFIVLDVEPSGPVRGKY VLADAQVTRSSSSSGNNMDEDGMGDDGIYHTRTHLGGILQPGDTVLGYHLTNANFNND AFESFDQDRLPDVILVKKTYPNRRKKSKPRNWKLRSIAKEAEDVNENSNTVGRGALGR KGGVDQRNVERDYELFLRDLEEDKEMRAAINLYKADQQQQGDHDDEDGDVGMGETKKS GSGMKGGKRRTGNGNANSGSGMQVDEDMGGDDGEGTDADDEEEEDFPEIDINELLEHF EEMDMDDGEEVQ L199_000657 MSGQHSRQLSSDDTRQHIISSLFAKRDEDGTPEETLISYLKVYE EDPGDSHGGPKSRYLMLAVTKLGKVVIHKAKRNNNLSFSKGKTWHLEDVRILEVIGPT DFALTMTIRRYHWTTERSKDQSHFLNSIVKVYRTYTKGELPELINFTPPAQPQPTAPN YSGSDYIPTPPPPMDRQGSFSSPLGRSMELAPPNIMKQTRSGSSSSMASGASSHYHHS NDGRSRPSLEEERRPSQSGSAFSRSPLGESSVRRPSIPDQSNGRTPSPRNANVPSPSS SNVALGNGYPRKGSEDRLTPSGLRNASAASEYDGMPSTNSRPMTKASSEGLRPINEGD RTTVPTPNVPSGSGRSREQSAPASSTASGTGSNTPSTLRPTPQLQPESTSRQQPSQQM LTPTITTTEASPLIPSDPTFSSSSNDNIPAPEKKLTRRASFHPPPLDTTFSREVLLKS KTGILPGAIGLSVDDGQENDQAIMNSVEEMLEGFDWTAGLNNAGSLGERKKGGADAIE GRLLDELAALDSANIHAFLESDDRIAQVLGHIDEALLELDDIDLQITGYKMQLNAVSD DISFIESQNRGLQVQTSNQQALLNEIRQLLQIVEVPPEDIRTLTQESPSTPRGVQTLE RAAASLYKALQAGMDSANAEVAATIARMQEYRENSSQFCKRVADYLDVTFKYQSDTTL SEYRKTAKKTMTLAPHRSMGEYLMTYEGLILYVKEMDEERYQKLCSNYMSTASQLHQS EMKDLLMNFMGSLNSALGDSSNDASFSTAVGPTANIGKPSALMKSKTVIGLGGSGSNN QQKKDKKGGDDYSLRASDLYRQALTEMINQIVSEEDFISAFLHLTDTESTFADHMELD SYFRRQAARHASKGMSVGMMQLVRSMMDLVFGFVEGELRQWTEAAVEKAPVAIIGIIA VTERLAKEAEEENTSIFFTQLFDKQLARQRQMLDYFVNEQVRVIEASKITIKKRKGVA FFVKHFPVFIEKMEAQLDGSDDLPIRTKVNDIYEKITNTVFTSLQQLAKMDRADSQAA EDKGQLNYHVIMIENMHQLVEDLEKLNSPVLGVFLQRAKALYEENMGIYVKLLLRRSF GRFMDFFDGIDRLLVTTPASEVPLHSAYNRSSLKKILKDHGSLKDLRKSIETLSKRVD KHFILDDDESNQPGSNINSSSANVILVQIVWKEVTGGLVKEIQRSQGIMSKSYADSGL GLEFTVNDVEGVCKRMK L199_000658 MSSANNKILNVAVIGCGEVAQIAHIPNLIVASDMYKITALCDIS VQSLELCSKRFGIPDTFTSVTDLLASSIPIDLVFILTADQFHAEHIIQCANAGKHIMI EKPMAQTLREYDLVEEARVKNNVVIFVGYMRRYATALERLKEEIKGKEIKYVRVRDII GNNKYFTSQSGQYQQYFSDHPSASTAEMIKRIKANLEENLGDKAQEDKRNAHSWSLLH SLASHDLSAMRDVIGMPEKCLFASRSDNGDKSWWWNVVWGYKGFKCYYEMAIDEVAVF DAHIEVYTNESRVKIQYDTPYVKGLPIKLTIQKQLPSGDFSEQVIRPTYVDPYTLELP LIYDAIVNGKDYKTKPLDAKNDTILAKMVMDALID L199_000659 MSSTTAATSAEEIHSLYDTILILDFGSQYSHLITRRCRELNVYC EMLPCTQKINELSWKPAGVILSGSPYSVYAPDAPHVDPAVFELGVPILGICYGLQEIA RTHGGNVDAHTHREYGYAKIKVEKTGNKQQDALFEGIEMEEDGGLQVWMSHGDQLTSL PPDFSVVASTPTSPWTAIAHNSKPVYGVQFHPEVSHSPKGKEVIGAFVKNVCGIKGGW SMDSFIPKEIARIRQICGEKGQVIGAVSGGVDSTVAAKLMHEAIGDRFHAIMVDNGVL RKDEAAKVHKMLTVDLGVNLTVVDASELFLSRLKGVEDPEKKRKIIGNTFIEVFEEEA AKIEAAAEKEVEEKGGDAKGKIEWLLQGTLYPDVIESISFKGPSATIKTHHNVGGLLE DMKLKLIEPLRELFKDEVRALGRLLNIPAHLVGRHPFPGPGLAIRILGEVTREQIKIL QHADDIYIEEVRAAGLYDQISQAFVALLPVKAVGVAGDARTYDQVVALRAVSTEDFMT ADWFVFPPQVLKKISSRITNEVKGVNRVVYDITSKPPGT L199_000660 MHLSTAFLGLLPLISAIQLPHIPTPQEALNLADTFVQQHPSTSL GFDNDIRLESVGDEFTVLTHKKFPEHRVRIKSTSGWCDPDVRSYSGYLDVGYGKDLFF YFFESRSKPKEDPVVMWINGGPGCSSALGLFMELGPCSVSDDPKSVNDTKVNPYAWND KANVFFLDEPIGVGFSQSEHGQTVGTTEAAAIDVQAFISIFFETFKEFEGRAFHMAGE SYGGRYLPVFASAVVDGNRKLIKEGKTPINLQSVMIGNGVTDEFSTMESYFPFQCTLN GDLTEPVQNIASCVQMAENLPRCARMTQKNCVQSHDYTSCAIAMSYCQDVLESSFWSA GVNPYDVSMPCSPKELGDSLCYPITKKIQTYLDLPDVRETLGVDPHRGNWSSCDNDVG MRFSLSLDSTGQTWLYVAGLLERGIRVLNYVGMRDFICNHVANEMWMEKLQWTGAEEY QMAQWSDWTVDDEVAGFFKTYGNLSMLKIRGAGHMVPYDKPKEALTMLNSWLEAGKIS DD L199_000661 MNPRTLAAPLRSLRSSIPLRPPMATSAGRIIPLPSTRLGARFYS ESTDAKKEESFHAEEDKRITELENAKKESDKRAKELEEELKELKKEVQYARADYQTAI RRAEEERKKASEFAIASFARALLGTVDVLQKALRSVPQPIPVDNQHLKQLFDGVGLTE KALVQTFERHGMKKLDNLKGEVFDPNLHEAEFMIPKEVAPPKKDGTPHSPGEIMEVNS EGWMIGNRVLRPAKVGVVQPE L199_000662 MSESASTPVPPPAEGSTAPEGSPRPVPSSTELTPAPAESNTNTP SHEPVIDPELMDLPAPPHHNNNNNNSNSTDNNANGTQQEGEGESKEYDIHGTSSGMGI RESIASAPNPRPADFPPPDQLNENLSLDMDAIAQIAAENEDMPGSPTAKMMRAIAPPT AIMTNPEWPPPPPNASVNLFIGRALLSNGNDNWPLKPNEIVNWIRKHYPSEWDGDEGR CSAHRVRTYLARKGADMYYEKLNQGCINGWRIRANHLWRFDNGGFQGRGMKQEEAAAN AQKEQEALANAARKEAAAAALAAGHPNVKVSMSSPGITTLSQDALSTLHQQAASQPNK KPRLSATSTHAKTGGLTGQSRRRNTKKSSLPAQQPQQQQPQSDLGFSQPQQQDQSQHQ QQSYYQDGTQLYGVGQLDNSQIQPDQSTSTNVLDPSLNQQTGTGEGVDGNDVDINMVQ QAMQAAAGQMDDLDMGIQLPIEMQMHSNGHENDDVNDTRFNFEVVDVSPADGHGHGQY GGNGNYGYTG L199_000663 MFSSIFGDQNGTQRGPQVSKVKVSVVQKPKPTSTSTPTSSKSYS SNSTITGHLKVPSSSTSTSANKGRINGSSSPSKNGTSSPSPRPTLLKKVSSAIINSKS SSPRPAKTTSSPVPSRSPGQKRKANKIERVESESESESDNGGSDSESSEDALDPKPKL KRQKASPSGNSTPTSLLGRDDDLLGRKDDLLCLDQVDMRGEYNRGWVGFVECEEVLKG KMKGWASAGNGWGETSKGLEKYQAYFPQPGFENGDTPPSVELLYPAEGCKEKFILLTP TSAGEYNPISELRNALRMILEHYIPPSHTHIFGKLSDSLSDPLDTPSSMPSRLTSPFA GSLATPPPDSIPTETIGDALRKALAPNRRDGPGFLRAMERFNNAMSELQQEGSMKVYS KGKQMRKREWSQLVDFVHESAYSRVVGPYAYTLAHHPKHPIEVAEAISAKEDAYGELR HNFMSRVIEQTELGPNSVFVDLGSGVGNCVLQAAVQAGSRSYGFELLPVPAHCARLQL KEVQRRWAMWGLKGNLDVEVHEGDFRVHPMVSKRLREADVVLVNNEVFPSSLNNDLTN MFLDLKDGAKIVSLKPFVQEGFRMNENNCDSFGAIVKLSKHRYFPDWVTWKGDEGDYY IQTIDRSMRAKFEEELMSGRRSRR L199_000664 MSNSFPSLAHTPRAFPLPTISEDTLHYVLHLPPSYELTSTALLL TQYVESLLIQRWLWNKDTWELKVAENGDKLEGTMRVGDAVDDEWLVVWLLKMISEKWR DIIIGVRDTDGEFLLIEAANELPSWVSPENAENRLWLQGGHLHLIPLSIRSTSLNSKP RQIPDDEDMEKQFDPESYISEEDAIRAIRTGKYRVEEKMEQAVWDRISCYPGALKTHQ HRTKAYLPLPIAKALKKNPELIQKAVEGFYVRDPSQLRAAARMTHFPPSTSILTPITM TRAAYAQLQGQVFHPPRIFGPEWHVPDNPNNEGEKRWRDLGVKIATGFEIMYKEGGNK HRSGPNNQIEDGSLASDEGYKVFLDHLRKAGWFGDELEDSEKWKMREKEAKKGYQSIK SSDTASQRPSFAYLVDSAISSASDLDPGALKADPSYQEDSESWLEVSPDELDGMMMRA SGRTAIPDGSTDGKAELGEEHGQALQDLAKKVEDFVGGQGDVTGARFEDELSDEDMDD SDDDDSEEERKTLEAQKENRLKNLVAPLPDEEWGNKTQIRQEGPSMAKNDINPKIELR PSKDKVKKEVKFDHKDIFSASSSSSIRPPRFAKQEYDGVVSESSDEDDDEDELPPAGT IGRKIAQMKWSEGDHRAPKSATIEEITEEDEEKERQSQLKLDGDIDLDEEMQRRVWGD PDDGEDDDDDDEADDGMEVDVDMAEEQEEFLKFAKEALGINDDLWKGIIGDREARGAF VPQNESGSGSGSTSKFKSSDLSQPTSKGITKSKVGNRKKVQFDSNSDDSPQSTTQQGN SSLSSFDEVMKAMDEELSKNKPSASANKPKPRSKPKPKPKSKATTNEPKNPSSSNPLP PLPTEEDLENMEEDDLLAMDRELKAALKNAGISDDEDEDDEEMREGLGQLGEDDKREY EMMKHFLESYRSQSGGSGVVGNLFGRLGNDKKQ L199_000665 MSSPRDLYVRIIIKGGVWRNTEDEILKAAISKYGKNQWARISSL LVRKTPKQCKARWYEWLDPSIKKVEWSKTEDEKLLHLAKLMPTQWRTIAPIVGRTATQ CLERYQKLLDDAEAKDNEELGLGAGENVEARPAADVRGLKPGEIDTDPETRAARPDPI DMDDDEKEMLSEARARLANTQGKKAKRKARERQLEEARRLAFLQKKRELKAAGINLRP KTKKKGMDYNADIPFEKQPAPGFYDVAEENAKVYAAPVGQSLRALEGKRKQELEELEE KKKRQKGNDGKSNQTAQFVQAREAQIKKLKEQEQIIRRRKLNLPMPQVGEQELEDIVK IGQAGELARELVGGEGSGSKATEGLLGEYESLGQARMARTPRTGPQQDNVMAEARNLR NMINAQTPLLGDENTPFHGGDTGGTGFEGATPRHGVAPTPNPLATPARGGLLATPRTV GGVGATPSRTPRDNLSINDGESYYGETPRDEKRRIADARRALKAGFAALPKPENNFEL AETEEDEEEEDEAVPLSEEDAAERDRRLRAARELEERLELERRSTVVKQNLPRPVNVN TYKILEELNSVEADADSAMAAAFRMVNLEVAMLMKHDSIAHPLPGTSTPGGTASDYDM PEDDFVAAAKQAIHSELAGQLGLPGASDGQLKLVISSNFSEDQDQNTNDFSASWSSQD TQDNLIYSPTLRKYVEKSSLSEDELRECYLANIELTKDKVISEATKASKAEKKLAKKL GGYQMISSKHKTKISELMEEIQQSKRDYETFQMLRTIEESGTPARLEKIKEEVDILQR KERDLQARYAELVDERRERVSKIEQLEEDKMVLQAQAALDAQGGEVEVNGDDVEMNGN QDEMKWRM L199_000666 MKSLRRSLNNNNNNGSSSSSPAPSPPLPNQHHPQQFPLGRPSQK VAPPQKVIKALQSHRSTNPQELSYNKGDFWYVTGERNEWFEALNPLTGSRGLVPKADF EEFVKGGRHPSGQKSIDQGNQSRPYTPSQGHLSHTSDPRSPPGTNISPPLSAESAKSK PRQPVYAIVQYDFHAERPDELDAKKGEPIVVIAQSNHEWFVAKPIGRLGGPGLIPVTF VEIRDPATGKPVEMNPNMVPAVEEWKKATADYKAAAIPLGRFDIAPEQAVTNSPYAPA QTSSAAGSQSSLARTGSTSSVNQMSTANGRVSQPDPRPRAPSQPVYRPEQDPMFPPGE LTSLGVPSFHNESGNYWFRLHVNFVPDEPTAPGYTLTLYRTYEDFYDFQISLLDTFPY EAGRSTRPGEEDMTPPERILPYMPGPVDDEIDDELTEYRREELDAYVRALVDLRSRQA GYILRHELIRTFFAAKYGDYCEEIPRNDIIGELEERLNEVSISQQQPQQPQTNHQRGL SADTHNNNLRSQSAASRHSQHQSQDRYSPLPGGQHQQNNRSISSRGPSPLPPIDTQNT SHSRPPSNRQSASGGQTASSFGMSSVSAGPPVSANSAGGVGGGGGQPPYIKIKIYDRA TDDLIAIRVHPSVTHSELFEKVRARLGPTVNMLRYRSSMDGGAGSAGGNQGYRELRDD RELRDWLRTEDQKLVLYAEQ L199_000667 MSTRYILIIFSTITCLVHAQLTLPIISDPSFNLLSGEILVSQQW NSWGIKLGPISLGLGIDLSALGLKKREDYRRSRARSTIKARLLGLDLGLLNLGLNFGG PKSSQQTQTKSKALINLNLDADLSGLFTWGDADNVARGERGDTEWFTYISIGTPPQSL PILPDTGSSDLFVFGPSCSSCNLYNHTSFNSQQSSTYKNVSDDWVYYYADGSGALGYT STDIISFGQDHDQRVVKAEMDFAIATQVGGSDFKSSFRSGVMGLGLDSMSTIRSHNVF ENQGRTLFSRLVKTKQLSENVLSIRLQKGRQSQGVVYEEGQGQYTFGGIEENYVRGGR EGLAWMDVMSQNYWGITMEDILIGSNSILSQDRTTPRRAIIDTGTTLIITSDSASSMI HSNIYGSWQDPKSSIWYIPCSTSYPSTGNLFFTISGKKFGVPIEDLAWKRSNSFAGMC ISGVQGGMESFTVLGDMFIKNHYVVLSYGSEENGNKIQVGLGDRMDIPPIL L199_000668 MTYPHPQNRQGSLRRLPSAKEVASSGSFVSPLTRLEAEGRSARS RANSASASNNPTFTSGFGTSSYPMSNSYQSNPPQQPAPPVPTLEFGNLFRDSRYSVNS LSSVGSRLSTSSFDSYGTISENGSDHDDGYESPSTPKASTSKQGQQSYFEGQQQQRQQ QSRSPSPSKYQTGEEDGLQMRDFSNNRHRIPSSDSNSTLNASTSNSSHTTEASGSLTP KRSFSVTSRRVTSHHQPLPPDPPVTASYLPQQQQSFNLGPIPQRNRGNRDRSGSDAYT KEIQGIHKPEETEVNADWASVHGEQGSDWGDDESQFEWLDTEGAPEAVNGLENQKGSG GSPSKRLSRFKAAVTGVGVGSDGQKKLKKPLIIHRRAPPPPPNTAPAPAPTVTLSEPT SPIRKYTYIPNTAIATISHPTTVTPTTNPKAFHSHGEMQIPKRAGTIRSASSNSDRLP VVRNRMISDEHHQHQHQHHHGTHSNPDIFHPPQPPFSEHGHGKRPSPLMVPMKMDDGS SSQAGAAGDNASRQSHMSFQSVAYSFYDLDGDHSPSTTPKPTGSNEDLVFPHGKYVKV SASTLERQKERERTISESTVGSGDGGKTPEDFVHLGIEARGKGDLAKSAWYFMRAAEG GSAKGRMYWGLALRHGWGVSKDDRKAFIELKQACEDTLAEGGLDFHKSPGHVKLTPQQ KKLMQKELALGMFEVGNCFLEGVGVKKSPEVALAYLKFAAGMGDVASQEQLGFLLSKG SNGVKKDMKEAARWYRMAIANGSSNTFGLAWIWKDKYMN L199_000669 MAAVASRHQDPSGSSSASASASVSKQATVSRKRTNGSSSKDDAK MIGQWRIGRTIGKGSSGRVKIAKHSITGKYAAIKIVPKGLILNSRMSMSEAGARADKV LLGIEREIVIMKLIDHPNVLNLYDVWETSSELYLIMEYVPGGELFDYLVKRGRLPISE ALHYFQQIIHAVDYCHRFNICHRDLKPENLLLDKDKNIKVADFGMAAWEAGERMLETS CGSPHYASPEIVAGKAYHGSSSDIWSCGIILFALLTGRLPFDDDNIRSLLQKVKIGVF EMPDEIKDPARNLLSRMLEKDPERRITMPEILQHPFFVSRPPRPIPGRALVSPPSLDE VERPVNSPEEIDADIMGNLKTLWSGATDEEIITALMSKEKTWEKAIYHLLIKYRNRHL ENYNMDEDEDAEARARRQARKAHQGSPAKRKGGPPAPQVSNKPKLAVLGENESIENTP VVRPQAPTPKKALGQPHVTGSGDSPVVSKIINGKLTPAKSPVGPRPPMSNRNSSSETS TPMPGTPTPAIVLQGATPTKDMPPPDFIPSPPGSASNSNSSRPRSEVLSSLPETPQLP PINAPVVPNQTLQHFFNEVAAQLNTMNIRSSVASGSSTTSSAILGTDYQAYLAYAAGA TTTPTPGNVPSTVVEEEGAEQFADADDDETEADVASIHSTFTASIAGHGQTSPLVGLG LGGPPPPSHGGRPGLYPTNNANTNRWSYASSTGSSHRGTSNGSYGAPLESPQIYSPQN VWEAQLPHPQHPSHPMVSPQLPAVPVFQADRPAPLPPPRANRPAPPPVSRITVPQSQA STNTTESLLPRDTSYVIIDSSDMASDPSISSWSTKSSGFRAHRGSDGFGMLKKKVKNV TIDPVPFGRGGNDLLGPPSATPSFASGSSSINGISPKRSWFNNLFSFKAPSFTLLAQD NISSTRDRVRRILNEQQVRVALVEIDGVRGLKCRLDDVKDLSASNGVQRGIRFKVEFS RANQSAGQNYNTLVTLTLEKGAQSTFRMLFGDLKSQIETSNPPRPQSIMLRSPVQPTS ATIPSHPQPLLSAPSHPHPVRYTSSAPTTPIVPSSPRFGDHKSHLPPTPGSVQIPGSV QIPSSIRF L199_000670 MYPPPPPPPGRYGGTSSSSQRFKPASSGSESSSSRLTTTTVLDA RSHPIASSPLASTVFSHDYITGVSSSSTVPVEIGPTSDDIDWVFTPPVLVKHGMFAGR SLRFALAVAQEPVLGRRKTEKDRRPLGPAPIIRFRAMERKRRRRGRGSGSGSGSGSGS GTGSEEEVDPSSIEPSHLICAAELGPLTEEQDIQSITRSTSAQTFHPRPTSRTAAEYG SQIPAGTEYDHEGSDDQDVPMIDTMGERLEIMRSSPPTIPQGGSFAKERESNIRSGSG TPHQGEEEMVVDDPSSDDELDEDEDQPIPMMESEFPTKKSSSRRSSARSTPKAGGSAA FSQVVRNLYGNLHVAGVRVPAPEGGMGTWFLFTDLSVRHEGTYSLRFRCFDLTAIASD EGTPAPCLVEAQSQPFRVYSPRQVPPLPKPTELAEHFAKQGFKLNTRKNERTASSPPP PAIPPPPTFSDKPNKKPATVKTRERPGVKPLQESDSGRSTSGGQGGLAGGSSTGSSLT TMHDLSGESGSIVLSGSGGSGSIGLASGSAGSAGSILLNPIDEDK L199_000671 MLEIASPRPTRPPRRPPHSASDEINPDTRPNSGNCTSLTIINTT EDTKRDLEDISSEPLDLDMDSEILNAFLNLINSSHPYVHQLSFQQSSELLRQCDKLIC RSDICNAVKQRLYEWGITMPWELLVLASERDDVNMGRIALKSMDSSIFINEMSWYNFR ANPQEMVPLKSRPFWERMGRLSPSWQVELIKLAFEGPIKKKVGPDPDKTLYLGHTEPQ TVMLLRKDWDCDKDRFAQRFNP L199_000672 MRLSPNLIISLLPILCLTPASTARKAKRGCKASSSLTDAIGVVN LVAEPPITPTSSAITGLAVVQTSEVISLTDNVIESTDLPVSSTYNAVPSSTAAIMFNN TMTSDSAATTTIGVSSNDLVSPTVSPTTSGTTNGSAITGNKSGKAGVGWPVQEVDSAP VAQFFTSESAVSWWFNWNKNWNQGVMTSNGVSIDGEFVPMIFGPAYLDNDGTFQEGFT ELMGYNEPDLKSTTGVSVYLEPAQAAETWKTQIVKIRQQYPDIKIHLPVVASNKTWLL EFFKSICPDGSASDGWGSCQYKPDYVSAHLYTTDLEYFKGTLAGFQKDFGLPLVLSEF ACYKFGEGPHPSLEEVSTFMQDTMEWLDQQDWVVKYAWFGNARNSEYLFGVYETNRLM DISGVLTDLGKQYVNGGKPIS L199_000673 MSEFQTTEDQKYPGKAGISWPIQEKTSDPIAKFFQPGSKLTWHW NWSKHWKGPLVPETSPDLDIHAEFLPMVWSPELVHDGNSLQPGWKLLMGFNEPDHNDP AVARKISPRDALPAWIEMSKWITEPDQKLVSPAVAGNVDWLKEFFSLIPPHTKPSYLA VHVYTTTFDSFVKTIENYWNTFKLPIIVTEFAMQSFDPNVPGPQSQQQVHDFMGQTTK WLDETPYIFKHSWFLACRDSFHLHGVHEYNRLMDRNAELTPLGRQYVNGGHD L199_000674 MVSPSSFDHEQSTSPPPSIHTPLTAKSAHPQASSSTYPSPPTYS INPSPQRSSLPAPPPSDRQIASKGRSSHHQNGGNKLMKSLRKGKERERNHQTASTGDI EEDWTLEGIPPPSSYHGINGAGTRASSETTQTTESEPRSRREHHETLEGEKKEKKTKG RGIVKKTSRLFARDKDKEKERSPEYDHHHQQLSSTPSSLNPVPASRQTSYSSAHSGDS QHTTGTTNSSRNVNHFPTLTRPVSNQSRSRVARENQSHSRRGSHDSTASSWRGRSSRP GSSPHEFPPPPNDVGVPIPSRQGSNLSASVPGLSRNSLPQPGPASTSSAQPPSSRSPE NFPSRMSTWFSHLLPSGSNTTIQEGSSPPPIDSPPPLPPSPLRKPPSAAASFLNAARQ RAVDGVRHLLDTEAQPDKCQDTIWVMGVGHSGWRPSTPSRSPNSVNLPDLPDTSAGIE ERRGSGDSVNKPSPPAKNDSGALRPSAWSRKQKDQPYNSTGNISSTPPQSKGFSNLFT ASTLSLALPASMTSGSPSKEGGPSATDSPGKAKKDKKEKEVLKWPDQFYDDFKSVVWC TYRSQYAPILSLPNNLLTPSPEAYYSAFGPPADLSAEPSTSGLASSTAGGLSTRPSGT GWSWSRSEGGLTSDAGWGCMLRTGQSMLANALIHLHLGREWRLPPKPSFPPTTSSNLT ELSRYAEYVKILSWFLDDPSPLCPFSVHRMALIGKELGKEVGEWFGPSTAAGALKTLT NSFAPCGLAVSTATDSIVYKSEVYQASNLPSTGWDIKSNNTSSDTDTPTQRRSSASTG KSANVWGDKAVLILVGIRLGLDGVNPIYYESIKTLFTFPQSVGIAGGRPSSSYYFVGS QANSLFYLDPHFTRPAIPLEIPPAPTSISTSTSMSNLSKPEEEEPVVVDPSEGVPTTE EVKYKLDVVNVDDISSGDESSDSDVSSSPSARVRKAKRTSKQAKRLSAKGTTPPRPKS THPQSQNNDRPSEIPASLPPQEQVPSHHPLDELPVNAEIRWWVNAYPEQALRTFHCEK VKKMPLSGLDPSMLLGFLVRNESDWEDFVDRASKLPQKIFTVQDEPPSWDVDSDAGLE SVSEPDEPSLDDEEIEGVPISSHRQPISSPGVENEEVVLSRNTTAKAVDIINHSTKGM RIGDDEEEEEEDWTLGGTPLSQKPILIERLTPTTATSRQGSWPKPSKQEQEQESVPEE QILFPRKKEEPSSIKPSLRESNDNDDDNVDMTIKRKRPLLQEQRMRTESWVDPSPVRG EAPNGDSLL L199_000675 MYLLCRFVSFCHLNLHLAYLSTQLDVHESFYNLGSTKRRPTDHP NSDRPEKTHRPDKNPPDSLNSHSPSDPHRSPKWHPYHSDGDVILRANDGTLFGADSWR LAKASDVFKDMINILNPNHSDVLQPQDG L199_000676 MGPEGFTKVYFNPSMNDKGSKEETPLKYKPFWTRLHLLSPSWQN ALLSKIFSQPTFTPTTNATTASTTGTSGKNGLKDRIQRKPSGRSVEGQSGTYMMVIGS WDAVVSGFNPP L199_000677 METSSQVLDIFLNIVSVSEPTLQAISFQTASRLLKLLEKFECSV KMVNPVRERIDKAGSDDSWDLLVHASRRDDLN L199_000678 MTDNVGLDWTKDPEEVKMHPIHHHENGDKVTLASNEGMSFGVDV RCLTRASTMFQDMFDLSTSHPNDHPTTTKNSTNIFGSREPIDIDARSAVLEHFIDAII VSKPHTPPSDFDDTADLLTLCHQFDVLTDIVGPIRQRLLDLGQDQPWKLLRWAAHRND RPIGQAALQSMSWTTFLRGDVNSASSFWTSIQSLAPNWGLKILSTALSRPTKGTVEHA VLREFTSKKGRQYTKWVMEAQTENVFPLVEGDFGGLWDGFNEDDW L199_000679 MTARIFSWEVDSYGFVPVPDGDDGLSLIGKMRSLPDDWQADIWR RAISQPSFDIKSRKFTSEVTEAWECLAEDFDPKHEGPF L199_000680 MSDTFTQDDQRLRPVPLDRYPWTSLPISDSKEDIVKVSIIPTST LTAPVKEFSAFAKGDEREDSPCWSFLIEKGDQAILWDMGLREDPQNAPKPIVDGPLKE FIPHPGPGPVSRLKEHGFDFRKLKLVVFSHQHFDHTLPSPAPSILLGPGSLESMQPGF PKDVNSAWPASWLEKYHFVELPDKDTTGLWTSEVGSLPPTSTSGIQRKWEKVGCFERG VDWFGDGSLWFLDAPGHCPGHIMALCRVTARPVTYILLGGDASHHQALYLPVPTDQED LRSPIPVIEGKPQLALDPELATYTIGQLTRMSKEDNVMVILAHEGQVDGIVDKYPGDL SLWQARGWKAKKEEDVKRQADARKNTTHG L199_000681 MLTTTPSGSSKHTRSEDEDQIHWFHRFGDLQLRSTDKIIFTVFS ERLRAVSSVFKDMMDIGQQKSSTTGTKRKSPPDADVIDVGFESKTLEIFLDMINVPKP SPLTTDFVCSLRLHEFCDKFDITGNIKDMVKTRLLQCTSESYAWDLLIWSAERNDTDM ARKALARMTGRAFISPQFLDNKSNARISIDFWAAISKLPAIWQHDLLRLALQSDKPSE GRYSYLAGPHLAVTTDWSSLATRFKPSE L199_000682 MTGNCSSESTSPPRSEVKDIHHFHRYGDIQLISKHGTILMADSR RLSDFSVLMRNVVSRALRRETEIDMREWSSESISLLLDLINVAKPKEPEGELPVLFEL VSLFYNYGGTNSGGNLFILISSSLGRTAQAYNRQWAILIYADEDQGGFTFSSEEAKAI AKSALRYMTKEAFLAPAVLIDATSEFQQVGFWEAMERLSPTWQYQLFRSTLVGPHGEC DGSKVFTVTDAWDTVADAFDPADDF L199_000683 MAAVKPNTNWAEDDIEADELPQPTETTDPNTGITTIISWKLDEQ DRKVKVTRRIRRRVQTQVVSHSVAERKGWAKFGLDKGKPSGPDRKTTIIGENLHFRIA PVSKAEPEQADPVASKPTAGKAVVCRLCQGGHFTAKCPYKEQLAVIDNMNTEGLDEEE DAGGAGGLAPKGAGGAGGKYVPPGQRAGGGAGESMFRSRDDLPTLRITSLSVDAEEDD VRELFAKFGHIARVSVVRDRETRQSKGLAFVSFESKASAEEAIKKMNGRGYDSLILNV AWSQPRGDRA L199_000684 MRNDDTPIEYHSFYNDPRDEVIIPSSDNINFRASRHKLTRVSTF FKDILDIRPVDRSEAIPLDFSSEVVPTFLDAISTSTVDIPPLEKEKAKLLLNLCNFLM SDSIYSSVHQAVIASYKSKPFDLLEIASSVNDIKMAKDALGKVNECTISQLKESENGT YPNHVRSNDFQKFIKQLRPSYQLAILHTCHDQGMLFPQIDCENQVTIISQFAWPDLAR NFDPSAFE L199_000685 MPRTPKKSTIPFTSYKPQTPSHSPIPKCEIEEKSGFSASPSSNL PAKRPWTSDELMQLYDHVKKNGSKNWEGAVQGRTSNQCYKVWNQTLDPYLKNAIISKG KAGRGGL L199_000686 MIPQKRKTATVNYHVFYNLPEDSVAIRSNDNILFKTNRGRLVTA STFFSDMFDVCDSSTFTNNDDHPIDLEFSAKVITAYLDIISISGSHQLEVDYYLLKQV YELCSFTISTRALNTIRKMLVRSAGYKEVNEPWDLFDFASDFNDVKLAQMTFSLLTPS AVSEVLCGQHRVHTDINPKDERGNLLMWSKRLRPSWQIALFHTLIQSDRAVTTRMSIV RNCRSSRAVACPGNKEQVIFIQKDWRKMGDRFNPAEFE L199_000687 MPRIIDTAQRSKPYNRPTAPTAEAKLIVNTESPKKEKVKRDVST SRKWTSEELIQLFEYVSRHGTAMGKNGWENAVEGRTANQSYQTWLQSLSPFIKKSIAG KDGSTRK L199_000688 MPRQIINAIAPSSKPYNRPTTPDQDIKPKTEPTTPKTEISPSKN KTKRSRAATPSKKWTSEELLTLFNHVLKNGQKDWDRAVEGRTANQCCQTWTKTLLPYI RQSIESKGV L199_000689 MSDVSWISDLDEIAVDIDLEPHPVHNDPQAEIIIISSTDYVKFR ASRYHLLFEDLLDTARTVNISLKEIEEPIHLNFPSSTISLFLDLAGVCDPYVPDVSIT KARSLLDFVEYTMCDRLIDIARSTLMHAAKDEPFELLVIASERDDLELAIHALRNITT SAFEDEYKEGRSFRVGTVYNIDSIKKYLLRLTPSYHLAILHSIMYMGEIQSIDHDSLW LNSRPAILSTDNWSSIADTFNPLHFCKA L199_000690 MSIKSQTRPSKRKTDQSTDNTSVKKLKQHPFHHDSEHSITIISY DNIEFKASRSRLVQTSQFFRDLLDIPAPEHENQKPKAQKPIPLDFRSSIISAFLDLIS SSSYSIEGTRFALGKITSEQVHKLYGKKHSKYPTVKTGVRGQIARLPQTSSPGVFLAN DWTSIAESFDPPRFEGNSRDDSTSDNSDGPNTDEDEDEDEDDGS L199_000691 MVDETPEGPSAKKSRLHEFHCDPSDDVVIVSNDEVDFRASRYHF CRVRETPPCTKSSTDLLDISPPPSQKRDPLRSTSI L199_000692 MCVDYQSSFPSSSRLTLSSTPPTLHFDQSSINDGSISEQETILE SPSDSLFHGLPPLPHQRFVNVNNEQYRPPSNKKLGKRPVSPTLVTPPLSPTQLPLKKK NKMDMHMAKAGTIAAQSAFQTPLATYVAQMVVWLWYGDFAPSAQQPPSSPLSHSPIPN DPFEVTHSSSSRISSLMVHPSADFSKFVSRMLSVTSVSHSVTIIALLYVYRLKMKNKF FSTPGSEQRPFIAGLMLGNKYLDDNTYTNATWAELAGMSLPEINKMETEFLIGLNYQL GVPVEEYTRWKNLLDGFMTSRAPSSAIGRHIRQLSSGKAPNPATALTTPAIDPTPLSM YRARSASPRALPPSQTGNYVFPPGHEHARKRSAVEAYNHDPTSSAAIYESLRMPPRKA AFTQPLQSTQHLQPTLSAVRARPTPTQRNLGSSTIRSSSLSRQNGRMVSENHGYGRRG SVGHTCPTPLGHISTQVSPVAMDVPMFSAGPAEWDGGRALLAPYDCQPQPHLVPPEHL MFYSLAAEPHPGVDGAPRKAILRYQEPNQFPYPVQHTSYMSTSYPVHSASATPYAFDD VTMYDANNSPQAPYPSSAYQYPTPGTVPQIQGQGMYHHTAYPTQMGWSPTHVIPEPAQ FANAGPPGFTYFPNDIDITNNPSQWKFPPVALASASTVPTNHNQMIGLGLNTNQNSIG MNVDGQMVYTPQGVVYSTTTPVDGMINQWSSRSEWSSPLIPRYE L199_000693 MNSYSKVRTKADIREYSIKKHLADHYNYIAHGPPSHTHDPYTIP YIAVRSQNGGMGMGGMGMGMMNPMMGGMGGMGMMNPMMGGMGMGGMGMMDPMMMGGMG MGGMGMGGMGMMNPMMGGMGMMNPMMMGGMGSYGMLGGGLGSGAYGVGIPSPFPYTSS TWFSPWIQQLMYRTPWYT L199_000694 MPPKMPVIAPPSPSPPPPTANDAQDILIIQDIMDTLDQIPPELT RVYSDLNELGAVLYSTLLSLEKKLYTLINWIQDPDIKPEQRFELLQEIAEEAARYKLG GDDKIRVAGGACDGIMAHQKHLSNLLAASTLLNPSPASPYTQTLTLPFAQPVVNSRRL QRAANSPFRGSGKDAGPSNEGRIGDTPTKKKKGRVHQLGNKDDDETSSVGGDKEKKKP AKKRKPVNRAQSPTDSVASTSAFTGKPSEPRTARQLAAAASRARKAEQDDGSDDDSRD EHIPSSRKGGVGMHITQSSDSLMERKDGGLGLDMGSGSKSGNATPSNNNSGNGNRGGS KTKVLAGVKRSHDEQDDSDDALDEEYNQQPNSLSRVSSGLKSNTSGGGAKKKTSTNIS NGNIPNYDAPGIDDHDNIVVNDEVDSRTYCTCGQVSYGEMIGCDDDDCEIEWYHLACL NLDKTPEGNWICPRCVERRKRQPKGKKASKAKKSK L199_000695 MSVYPNSSIVQESEREYHPYHQYGDVLFVSNESLYFKTDGRRLA KERLMDQPKTIPWRALVIASHRDDRKLGAKALRSMERDTFLRDPDWRGKIWDLVLEDN TTNATVTRMVPRKWKNRRRIWHTDSHAEVTKERVSPFRDDWNDFANRFQQDDW L199_000696 MTIHSVLYGWQRKYLPQHTLTVRQRSIRPLSKPQLRRLIYFCLT LLVLYCLAWQIPSRYRKYARRQDEWVDYAFSSPRKAQVFMPIGIQRAYKNKDFCRSLW SAVVNGYRVTLYNWNVDAEDEFDTHKPKVTSLSSILSSSRLLQSLGIFPQDLVLLVDA IDVILQLSPSVLISRYSLIPEGQQGKPITAGSFNCYPNEANSSACLDIPSSPMPIDLF YSDRDILRDSQSRLPIHANSGLVLGSVPEMRSLFGKLNDTLTGGEYPYQPDQGVFNIH LSKGDLKVDNTLSMFWCAEHLTNSLTLLSSTDAKTVGNMISSWDKFQYPFTISPSDLP TSRLVAKDKRTSNIPVALHFNGIGAKPGYKEIWEKMWPSIGSEEHRTIWEEEKVRIVV DDKVEKKSVRDLCGSQLGYI L199_000697 MSIHPYHNLGDIQLLSVDGTILMADSWRLARSSTFFAGLFDLPP PTSTHTSYPKNLLSLVEPIEVEFFAEVIDLFLNLINVSKPSIPSSAFPQTLDLLELCD RYDVNENIQGLVRDRLLMQTEDRQWQLLIWSGKRNDIHMAREALRRMSPHSFIARTTY ESSREGVFVPLWYALGKLPQSWQLEILRLAFIPINLNKRPGVKSYQFEVTGDWDSVSQ KFTPK L199_000698 MTETIDQHPKYHPSFTDGDDLVIANDHTHFAAQCERLAGGSQVF KNMIDLPKPACSVNGDSTAHSEVRKKENVIDTGVNSNLAGYFLAFLGADIPLFPPTSF ADTCKLMRPCDQFEASDENVDLIRDRLISESKGNLWKLLGFASKMDDRKLGPMALRKM NLESFPHGREPENSWGSAAFVTRMSELSPAWQGKLYSLALKDEKVTVNRLTWVARVSK KGRDYTTRDWEPTQVPGLTFVHSWERICNGFASHKQLQYYNISSLSLKYVKVGLHVHG ST L199_000699 MMPVSFSKNRMHEHFCVGDIWFRSEDSILFGICQQRIAQKSTVI SDMLEPAPLQASPIDIELSTDLLEVLLD L199_000700 MSLAMLPSSSSEDTYEVLRLCYRYDFKKEYTDKVRQRISEAADG TEQLWDVLEVASSLDDRSLGKMVLSQFGRINFMTSYIDGIVRVNSAWKGKILESCVSP PEELKIQVYNLNGLPFGIRKSWGWLLKTGDWKRLFDDFDTDNW L199_000701 MFKKFNPKEDVSSSTSLKSSVQRNIRSSLLAQIPFLTQPAYRDP STVSTSAPTESEAAPAPAPEAEEESAGGKKGGKKGGKGKGKGGKGKDKEDKEAKEDKE DGEGEANLSVIDEIWPKKEALGLTKCHDRISIFTVQTVPLFFQHFDGPFVPTLKLLHK YPEMLPHVQIDRGAIKFLLAGANMMAPGLLSAGGKLPDGLPKDEIVAIHAEGKEHACG IGKLVASSEEIKKAGKGVAVEVICWIGDDLWKVDTIGL L199_000702 MTIQPIILPETIVIGVLALQGAFVEHIHYLQKLRPQGHTIKAIP IRTLSELEQCQALVIPGGESTVISSIASHTPGLLEALIEFARNPAKAVWGTCAGMILM ADVNGIGGGRKKMVKGWEGIGGMKVWRNLYGTQLESFEAPLTIPSLSNPSKPFNAIFI RAPAVHSLSPESQVEVVAQLPEQFLPPPPPSDSPLGEPNLEDLGKVWLKKGKKMVTSF HPELSGDVRVHEFWVEKCVLGR L199_000703 MPTRATKRARPSSHSPSPDPIGAEQVDDEPSASHLVSFLTGYAD HHSSASSSGDEGFDAPSDDDDDDDVDVDEEDDLDGTPTKRAKTGLIGTSTPTRKGTPK KRTGSKTPGGGNTPKKTPNKHKVDPLEILEKAEEEGIIRVSKSDKYFAYQSRTSRTSG NSYSSLVKPLSQKQYDTYTDSSSAAREKIRPPEQFEERYDQWEMELEEGFSLIFYGFG SKRNTINRFVEKRLSKRGEVVVVNGHFPQMGIREILNSIEDNLSVPQNIKLPSSVINN SSTIERSAHRISSYFLPPNSIPQAKKKDYPISKNDLYLIIHNLDSTSLRKPISLSILS LLASSPRIHLIASFDHLHTPLLFSPSLTNTPPHVYGEGSWTGEIPTERGFNWLYHNLT TYAPYTTELSYLKLSASTHLSLSSSANTSGISEEGALQILKSVPPMAARLLKLLLTKQ LSNLPPEPTHHIAYPPNQISPIFAVDNDILQSLAKEKFIAREEERYDALIGEYRDHGL VVEASLDTEGRTGRWVWVPLGKAAIERVLEMMKEVEV L199_000704 MPSSTPKKSSKKEDSEEYSPKKSQEKKKPVKKDEKPAGRDIRGF FGQGGAQKSSGSASTAGNGTGKKPITIDDSDEEAEVVEKKPATKANTSKHFASASSSK KPIVLDSSDSDTPAPASKAKPPTPKAKAPTPKAKPTSAKRKILVDSEEESEEEVEDVK PAKKRQSLGAGLSSRSNGKKSSYVDSDSGSESEDEKPKSKAKAKAPVKGKKEKSVSPM DVDESEDSDSDDFIDDDEDEKPKKGKGKAKPKASPAKKPTPAKKAPAPAPKAGKKGVD EEKEVKAEEKKPVNNNWRAAAAARAAGPKAPGSRDIPQGEPDSLAGLTFVFTGEMESL GREDAQELVKRLSGKVTTAPSGKTSFVVVGENAGASKLKTIKEKKIPTMTEDEFFEFI RSRKGGQLDEKQLKARQAEEKKIQQQALEMEKREKEEEKDRKRKERALEGTGIAAKKT TPASAQLWTTKYAPQNLREICGNKTVVERLGTWLKDWQKNYDSGFKKPGKDGTGVYRA ILISGPPGIGKTTSAHLMAKEAGYNPIELNASDARSKKLVENSTNIDNTSLDGFFQGK GIQSTSVADVRVDSRTCLIMDEVDGMSAGDRGGVGALNALIKKTRIPMILICNDRSLQ KMKPLQATTYNMTFRRPQPAEIRSRIMSILFKEKLKIPANVVDELVKGVNSDIRQVLN MLSTFKLSKDQMDFDEGKELVKVNEKNTIMTPFTITDKLTGPYAFSKTSKETLNDRME LYFHDFSFVPLFIQEHYLKTQPALTNTLSGAEQNLKHLELVSKAADSISDGDLIDRMI HGSEQHWSLLPFHAVQSTVKPASLIYGPGRSQGGPGGWGPAFPQWLGQNSKQGKLGRQ LTDIQIRMRLKVSGGRNEIREQYMPLLASKIVLPLVQRGTDAVEETIEYMDEYFLGKD DWDALVELGVDSMAESLISKKIPSATKSAFTRQYNKSDHPIAFHKGDMFAASKKKIAD MGPAPDNEDVFEEDEPVLDEPSDKGENDDSEDEVNDVSKDKLIKAVKPKGATAAKGKA KPKAKK L199_000705 MASNAIGLETSSLSLSLAITSASTFYPSLSTTSSTSMSSSTTVS SSTSSWLSTLDPLSPSRNPLASSALESLAKSLPTYLAVGGSVLGVLILIALGSWWYRR RKRRNRFGNDEEYEEGWKVVRNKREERDLEKGWDGPNCTEDGGDNNNKSATTTTHKDG NEEESSEIYTITHATLSKSSPNLSVHVDQYNISPASSPMSSPMSSRTGSHENLVQKRD EL L199_000706 MTSLVQFPTSINHHPTSPPDELLQTPSEESPRHPPQAGEEISSS IGVIRRTTIPFLARNRFSRFITSPSPSPSPKIGDVPFPRQPNTPSPAPSISLSHLEGH LDGKHTSSNESYALSNDPALMDFFKGSLEVTPLPQFEDNDSQSYFVGSDQTIETPQHA RQEQGTCQGCVSETEAGWSRSGVRGWSFVGKAWSDMIDEIRDDKAQRGGWGWLTI L199_000707 MPLQTRRPNLPSLTLPGTKSSLLMVEDVHTPHTPNPFTPLPFEQ GPMVMTPDTPSFDMKPLEIVLGEKQVDQEEWTEEEDGLLQSYLAHPPRALRTAYPPGT LPPPSALDEITTHLINSETRKSPSIDNDGFTFSASASAAGVNLSVNEDMTPTGIVPQS GMRWKHTWHSTRQRLFNLARKESMESIGGHRRQESDSIIPLPSQEERGDAVMKPQRPR LAVLCATGSGGQKMNRQQHSMDSLYGDEKPQTFQEALRLSSNLQVNAQGENEVLHSGS GLSSPLTFSFNLPTTVRKPFPFSAPSAYLPRPASLLQRGRSFTSEDFAREHSKATGNG DVDDFALDDIDSESRPESYSPTRIVRPEMHRSVSSPASTLHSSPPSSISGQSEEAETN GAVLLEVDMLEENPITPVNQTFSGLLQPGPLGSQCSTPIVSSTPMNPPPIVQPPTRGE APSITLTVDSPEHSTFPHRSALPRDRSTSPSEAFSNLSLGSLPLKLPFTGSSPMNTRS MSLNHNNGISLPGGGLKRSLRPIPLNRSLSDSGPGTSSGRPGPAFGSSLSMTCLSAST DRDRENKRQKAIAGMKFGGNGLSVVVPKRNGLLEELRSPFEIKKAF L199_000708 MSTQQHKFHPIYKFSSPQQVILVSAENLHFAVFRETLLSSQIFA TALNIPQPHADLSTSNNEFDEHYRDALAKAIYIEASEEVLEMFISILSSAQPIGPISN LEDTFALIELCEKYEISEGVIGVLKDRLQSLMDASPWKWLELASNLDDRQMGGKALEK MGKDCFLNGDRGGNPNVTLWDRLSNLNPTWVVPVMSMAFSLPGDAKISASSSIYHGKY QDKNVQALKIAEKWNERCRRFGRGEI L199_000709 MSSRGSFRGRGRGGGGSNTRFTGKKRSGRGGGVAYGIDRPAPKR EDDGTAAAEKFEEVKIQDEIDEKLGFWRFESSRADGEKKIGWLVNMHQTLIQSSTHSG GLAAVDFYFIQDDGGMFKATIPYEPYFYVTCRAGSETIVEEWLLKRFEGILIRVEREK KWDLSLPNHLLSAPPIFLKLFFHNTADLQSIRREILPLAEANSAKFTAVDAYADVVGA ENAMNGNGDDQEGKAWGAEDEGRKRRDKEPAECIIDIREHDINYYLRVAIDLDVRVGL WYTVTSHTGIISLERITSLVKRAEPVVMAYDIETTKQPLKFPDQQTDQIMMISYMIDG QGYLITNREIVAEDIEDFEYTPKEEYPGEFTIFNEPDEPAVIRRWFEHIRDSKPTVMV TYNGDSFDFPFVDVRAKIHGISMYDEIGFRPDIENEYKSRATMHMDCFRWVKRDSYLP QGSQGLKAVTKAKLGYNPTELDPELMTPYAIEQPHSLAQYSVSDAVATYYLYMKYVHP FIFSLCNIIPLNPDEVLRKGSGTLCETLLMVEAYQAHIIMPNRHEDPHGATYEGHLLA SETYVGGHVEALEAGVFRSDIPTHFKMEPSACQQLIDDLDAALQFSLVEEGNLKLEDV DNYDEVKDQIQSALELMRDNPNRMDKPLIYHLDVAAMYPNIMLSNRLQPDSMKDEAAC AVCDYNRPDKTCDRRLEWAWRGEYFPAKRDEVNMVRYALEQEMFPPKHPNGPRRRFID LPQGDQSALIHKRLGDYSRKVYKKTHETKIVTKTSIICQRENSFYIDTVRAFRDRRYE YKGLHKTWKKNLDKAFEEGGAVSAVDEAKKMIVLYDSLQLAHKCILNSFYGYVMRKGA RWYSMEMAGITCLTGASIIQMARQLVEQIGRPLELDTDGIWCMLPGVFPEDFRFKLKN GKTFGVSYPCTMLNHLVHAKFTNHQYHELVDKETGKYEVRKENSIFFELDGPYKAMIL PSSKEEDKLLKKRYAVFNPDGSLAELKGFEVKRRGELQLIKIFQSQIFDKFLLGSTTE ECYAAVAEVADQWLDILQSKASSLHDDELVDLIAENRSMSKTLAEYGTQKSTSISTAR RLAEFLGEQMVKDKGLSCRFIISAKPNGAPVTERAIPVAIFTAEEPVKRHFLKKWLKD NSLTDFDLRTILDWAYYTERLGSVIQKLITIPAALQKVANPVPRIRHPDWLFKRVAAK EDKFQQHKLTDMFAKMKTTAVANGDIEDMGKTKTGPKMAVVKKKKVVREKTPEPAPDP TEDYSGYIRVMKDQWRKQRIERARLRKQGTRQDGTVSSMLRTKSINLASRQWDIIQIA STNRPGEFRLWLAIDGTFQSVRLRIPREFYLNFKTLPVDGTFSDRYEATSVARVLPRG QAARHLFKLLVDEALFVEGESHFSSMINNPNVDGAYELQVPLVVRALLQFGTSCTLKK TSLGGLNRGLDRGFDLSELEKPGMSVIRHKYLDEGKGIKYHFLYHATFNSRHLIALFS PGSAVRVYIVDSSRTPERLPNPARWYTDRVDKASKGIFAYPETIEFITNYYKNELSAL RQLSKDLQSIRHGLNVITLCSPFEHSYYQVASPVFSEFPFITFKGNDEKPSLGWLVQT SRRMINQYLKLSGWIKDQIEIAAHYDVPVGNLGQDAPIFLADIEFARRLKQQDMVLWW SASSRPDLGGSEEDANLSEELVTPRMSTKGCYSSVVLEMEIADLAINAVLQSALVNEM EGSGAGSLAFDSASHNLDEYAKGTANTSVMLGDAVLSTQTFGVLKSMVRSWFLDKARA HVKGIYSTPADLVVDQFWRWISSSASNMFEPALQRFLHGLMRKTFLQLLAEFKRLGTS VVYADFNRIFLLTTKPDAGSAYAFAKYLVTAANSQELFRHLVIDVTQFWNYLAWMDIA NFGGVKVPPEIASSRDPPPSKFEISMDWNIQSFLPGILQPIFERNVAQFIYQLYTAKR TSYDERAPLKVIHNLNIDLPGENTSTINPAKEKEKSAGSRSITQILTRKLLADVAAVK KRQAMAHVDEEKAESLLFPLLPGSRISTSEGEKLNPTLELIKSITEVYSLASSEHLIE IQILRKNLLDLVGVKEFSQNAQFKNPFCDNLQINMIICKKCNSLRDIDLCRDPDRLPS FDVSTGEMLDPPRKNWVCHKCDSEYDKFQIEQPLIEMISKMITSYQTQDVICMKCSSS KSDNLAATCHCGGSFKSSLNKNEMKNKLKMIKSVAQYHDLALVGSYVEEVLSRW L199_000710 MPKPVTITYTLYPSITSNTGNNHHLQQIHNLAIEGIKRHLPLRN LHWKSSTRTSLRTIQEVNIQLCELSEVSSSLVGAGGAGGSSVLDSPLVNLCLVVCEDA DVYKNQTRSFIRDWLSLLAARRTPHAPLIVLINPPNPSGQSASTSGKSVWGKDKGVLG KLKTDFNVGKRDRCVQLNLPPPGTHDPAAWPELINKLKESLVSAFDSAIIEREEEVKR GESQRLNVGWNFCTWFLLKESLANSFESVNLPEDSLIIYEELEASFFQVLKEQNLNWY GLSKLGGTHPGDDSLPTLDTTSKPYRELIRNSQISIFDFRIYLFARQGQLLGKLGRIT EVAKRGQWFVASLTRRLREAEDSLAEHFIESWTYTACMDIVSKCDTWSRIDRPNGDYS GLVAYESARSELLDIARIQVERIGVSSGHLPPIYPFKPGSSPYGNLAEEESVLFESSS NGISDDEELQHTPITSTHPTSEERPVLSNEQLIDAQRDKDNCRSLYLGLTNKTIKAYQ SCGKVNSVIRLKADLAALALYTEQWVEAYDLSRELAKDCAEILTWDPISKFALEGALQ AHRELGREKDEDWENLALAYLRVCALVATTSLREEGEEEDDLAELGDAVIGLKESENE QEVEGHKAFMVRLISDEANHEEGDDQTSLKAEVTNVLPIPVQVDNSSFELTDTHGEVI TFSSDGQTLQPGSNIIKAFCSTSTQGLYTLQTATIVIGSISFIYSKYEEGSLLRVKRN AQVKLDEDPKVVVEVKAGMVEMKNVRVGLRSLIGEVTYLLHDAEYGGKDLSLNGTGLI EIGDISAEDVVITIPYAGIPQGEHAKAQIIIQYDTSSGPREWIDNQVVFMGLPLTVNV QDFFRPDCLLSHFTIASDGREYLRIASVKLTPPEEAGYEIQAGREDWKESVTITPNQP LSCLFKVKQKEKHDDGAVLRLKIRYRSLEEEVRLAIENAFAKLPSKTRDTLRVLTREW ANDRGKWLKPYLLGTSLAETLAEMLKSRQVEGLEEFLKAITSHEEQVWRMLEIPVDVP QHRLLTTIRMTPSNSSNQIYEGRAINVKLSLSTSFTWSGNHGKDDKRLVFDIQNNEDW LVVGKKKGYYLADPDTPEEQEIILIPMRSGKLFLPTVIIQLLSAPTNAPYQGQARSQM DNDDLLCETYFENAAEYITVLPAKKEMNCFIPVPINGEAWEGERV L199_000711 MVATTGLAIPTKTNGNLPSSSSHSFSKSPPSYMEYTSSAPFPPT SAKHDDNEDIPSHLYEKLPDHYLKVNGKGQKVPDYLKMILMSKVYSAPLNLKETPLTY AVNLSAKLGNEIWLKREDLHPVFSFKIRGAYNMMASLTEEEKKKGVVTCSAGNHAQGV ALSGHSLNIPATVVMPVSTPSIKWRNVQRLGAQVVLHGRDFDEAKAECSRLEKEGGLT FIPPFDDPYVVAGQGTIAMEICRQISDADKISGIFGAVGGGGMIAGISSYIKRVAAPS VAVYGVETVDGDAMDRSLKKGKRVLLDEVGPFADGTAVRIVGEEPFRVCKENLDGVVL VNNDEICAAIKDVFEETRSIPEPSGALALAGLKAHISRNNLVGANKRFVSVVSGGNMN FGRLRFVAERAEIGERREVLISIRVAEKPGSFLKFHSLLGDRAVTEFTYRYSSPSTGY IICSFILSCASSSATGPTPEARQKEINELFEKFKQEGIEATDLSEDEFSKSHVRHLVG GRSGVEDERLFRFEFPERPGALGNFLKGMKSDWNISMFHYRNHGADVGKVLIGIQVPK NSYDAFGEFLNDLGYVYVEETQNPAYTSLLRTQA L199_000712 MSTSASAAPSPKGVHYNTSLTAALLRGAWAESNPGHAPNKTELS WGELVRKWGKHTGGNTSLIHLLRDISLLYLSSTSHSTTSSSGYLTVSSTQAGSSVINE APGSSNSSNDSAGTANTGSHSSFVHIPHPHLRHRKKASLDIPDASSSSITGSGTSTIR PSDVGTSSKASYLSSGDLDGDETDDTRGWAEGNLWWNGVSPEGVEEVKEGTKSLEGLI TSGKLSSAETTSAKFILAYHLHALGSHEDVLKIYEGIDWSSENRFGAVQGDSAVLERI RARCLQGLSYELAQNPDYQKSIQSYLLTIPLLQSLSSYNLPTPTYLSNQTSPKATFDP QREVYRWISTALTRAAVLSARQPHSTTQDSQNTLRILRTYHAFSSSWPPSFRPIQRQR MLSLYLRALESSYPSPRQPPSESPLLYSTIVPSTTSARALWTKEVIEAIHQGRTLLQS TTSFPRAGSINRPVTEFSELAVSLYSKSAELGREVISVLWWSMTLTFQSQTILRHLTH LLADIGDSLDAKRVFELYVQLVLKARETQQPEVSLQLKRRPTNEDAASPEQIKKQLEG SNTSEEQKGQIGAESEIDSDKQFIETLLAGARLLARDLGEIEDAWRYVVLAGDVLNTT GGIEIGVTDELKARIEEAKGIVRMGLAVHTADTIERPTYQAQAINHLTAAIDLFPSSS GYYHLAHCQSEARSIDAATQSIRSSLEMDPTNVQAWHLLALLLTARRDWEGALKACEA GISVWEADEEISSSQEDLVGENEVESRDFAVQPPTPTNPSSTTGHGNGTAASSLINED GSFPHLSISPPKVTPLSKSARLEHVIRLRMTLNVIIEKIQGPELAMLKQQELFAFFSA RSGKNRKKLGYSTGFGKSNGMKSVASSSSLTALPGTEGEKVEDLGGSFVSVGVNDGGV VSVQPPTPMTEKPQPNAISLAPPISEKPPITVQSPSPSPSPTPTPQLSNAGALVIPEG EDGKSRRRSLSVRSKKHLHVPGQGQAQVQGTTQPISAPVSRPSSIRRLSSTSPTIQQQ GVRERSASAAQSLAPTAIHSHFRNYAPSSRTILPPPPPIQQPTMEEHGRTPAESRILS NLWLMSAATFRRWGKLEQCLVAIEEAEVLDPENPDVWVQLGMYHQVINKQNPSSSTTT NDNEGEGESKPTDNQAESSFVKSLLIRPDHSSAIIGLSKIYLESHQVDLAEILLNSLT QEKGWDLVQAWYLLGKVCESQGRNTRARECWEFALGLERSGPVREWNQVGRWL L199_000713 MSSRPTGQLSIQLSGLLEKMRSTDSDHRVMALIDLNKELSRVLS PIPGSSSDPRRTSSISYTDEGTELTLTDNVLKLLSDSNAEVKSSAVSCIALMVRKPRQ QVLTNIVNSLLDGVSSNDEERRDISCLALKSVVLEMPVDGQQAEANVEKIVSRVFALF KSDINPQLASELLQTLTDLFIRFSYILRSSEKIQNTSITSLSNILSSARPAIRKRAIP TVSALVSTNPGLFDNSLKKQIVDGLSQGPEAVRVWLGVVATLARGQSVHKIGALLAEG KVGETVLEQTENPEDTETVEAALVALEVLVLRCPTEIAPHIQTVVERALSLVKYDPNY VDLDDDDVDMDAEDDDEDEDDEFEEDAYSDDEDDSWKIRRSAAKLLLALIGTRNELLA DFYSHAAPVLISRFNEREESVRLEVLAAFEVLLKQTASARAAEVVSGGRNKRKRSEGM DEDYAPDDSVISYLRASLPQLSRAILKQISSKSVPTRQQCFLLLREISKALNGGLDDS ANAICAAATSAIRSVDSSTSASLAIAALSFLTAFFADHPARVYADHLGQLVPAIVRCM KDKLQRISFEAFNAAASLARAARPKGSTSPLPPSFIQPIQQIFDATTEILADNSTDAD VREKALDTLGYLLVHEGDSFTSSYSICLPLITSRLANENTASTAVLVIGKLAESPLLK GKEFESWLLSVLPEVVVALRRSKRSTTKNSEFACLSNILSRVGTGLPAQTAIDLVGEL LPFIETPTALQVVSLILSQQPNCRESVDSQLSPKIMQIIKTPSSNQHLVDALSTFFAA YVDGDQDCATRLVPALVENLGKSHHLPDATKGGTSTYTTTAKIIGTVVAHSQRNAAGV LALFQKVIKSSKATEPDVYLSLLCIGEIGRITDLSTIPDLFDKILGFFDNESEEVRSA AAFAAGNLAVGAPKVFLPAIIKHIETVTSEATRLLLLHSLKEVILHSSAAQLELLADL LWKPLFADDVSTKEGEIGDDGIRNVKAACIGKLTTTAPGKFLPQLQELLRSSPKNRAI VAAAVRYTFIDTSSSYDEIIAPIIVEFLSLMKDSNLIVRRLSLASLNSAIQHKPHLIV DKLYTLQPLLYQETYVKKELQREVQMGPFKVIEDDGLENRKTAYETMYTLLGTCFSKV DLPTFTERVMASLSDVNEVKVLGLMLLLRLGQMSPTSVIPRLDDVVGSMKVMMKDVEV KDDTVKQDLERKEEMQRSTLRTAVPLYKLSNPQQAPQFHSFVSGLLATDKWRDFKDYQ A L199_000714 MPVRIRLARHGYKKNPLYHIVAINSKRPREGKPLETLGIYDPIP RLRKGVVVPPQANVFGVEDAGLIKKEKEIKWNVDRINYWLGVGAEPTRSVVKLLERGG VLTTPHKWQHPWSPAPSSSTSPSQPQQTISQ L199_000715 MAPIHPEITYAERCNATEAEKNIIYFTINAPDIQGEPKLEIKPT QISFAAKAGDAAKGVPEKEYAFDLQLYDEIIPEETKKVVTSRAIVLVLRKKTPKSEYW PRLTKEKPNRNWVKTDFSKWVDEDEQEGAEDPTAGMEDQMAGMGGMGGMGGGMPGMGG MGGMDFSKMMEQMGGMGGMGGMGGMPDFGAGGDDEGDSDDDQVPEEEEAIEEISTAGA SGDKGKAKVSSLDDVE L199_000716 MGVTETDKSVIINHESGSSAEIYLYGATIVSWKSGGKERLFVSG KAALDGSKAIRGGIPICFPIFGPPPSSPPEYASLAQHGFARNQIWKFEKTVMDRSEGV SLRFTAPLPPTEFQHKYKLAYVVTLSEHQLSTDLHIVNEEESKEFTFQALLHTYLAIP DSSKIKISNVPQGTTYVDKILGGKKVESDGEDIVIDKPIDRVYHKVASQEVHVDDGFG GGYKVRFRGFEDCTIWNPTEETGKKIVDMEDKGWEKYICIEPGYAREFKSLAPGEEFI GQQVITAL L199_000717 MSRLDYHSILDPNTSVDVDVDAEFDNPTILNHHDSENQNVEDGV DVHSHPHGIAVGIMDDEHDDTPFVIMEEHAVDPDSELAQGTAQETAQGQEEIHDDIQI PILSEEIVAGPDEPKGSGRGHGHGPMSLKGMSEEEKKQRQRLQNKLAAERSRNKRKGD QMNLEKQVDSLRSENAQLRARLTQLSSSSKSPEFNIPTMISDPTPSQYSPIPTTSSTT TTVLNGTGIDYNYISKLTNELNQSKMTLLERKIKLLNIQNGTYSSPTPSSEQKDKDKE GELGEGPGIEFDPIKQSRKELINKYSKLQSIKAEEKSLNTLIDHIKNEIENLIKQRMV VEEKLNEKRNKGSGGNDITMGNGDQDQVADTQKQQQQQQSVENPSTSIGDHTILVEDS QQPQQQDQIAEEQNQNQNQEAEDQDQDQDQDQMNEDGNLDDIRGWIDAAVKDWDQVGV LFIPFGRLPLDHIAAANFVSLLMVL L199_000718 MSSDLADVGLIGLAVMGQNLILNMNDKGFKVCAYNRTTSKVDHF LANEAKGTNIIGAHSIQELCSKLQRPRRIILLVKAGQAVDDFIAQLEPYLEKGDIIID GGNSHYPDSIRRTHELEAKGLLFVGSGVSGGEEGARNGPSLMPGGSDAAWPHIKEIFQ KTAAQAQGEPCCDWVGETGSGHYVKMVHNGIEYGDMQLIAEAYDILKRGLDLDEAEIA DIFEKWNTGVLDSFLIEITKDILKFNDTDGVPMVRKILDKAGQKGTGKWTAIDALDNG MPVTLIGEAVFARCLSAVKDERVRASKIIAAPERQPFQGDKQQFIDDLEQALYASKII SYAQGFMLMREAAKVNNWHLNNAGIAAMWRGGCIIKSVFLSDITAAYRENPELENLLV SPFFLNALAKAQPGWRRVIAQSTLWGIPIPAFTTALSFFDGYRTETLPANLIQAQRDF FGAHTFRVVPGYGNDHLKEGEDVHVKWTATSGNVSSSTYNA L199_000719 MRTTSVSLIRAISPKSTICKRCHSTSTSSKIPTPARLRFAPSPT GHLHLGGLRTALFNHLLARKWKGKWILRIEDTDRTRFTEGAVDSLRGSLEWAGLDYDE GVGIGGKHGPYTQSERLDIYHHYTKELLSRNEAYECFCSPNELEAIKVSLKQQGMRHS YDGRCRHITDEERIRKKKAGERFVVRYKSSSESMDIPPDLIFGDNQPSAPTAGFDDFV LMKSDGWPTYHLASVVDDHLMEISHVLRGEEWLPSIPKHHSLYKAFGWTPPKFAHLPL LCNPDGTKLSKRKGDTFVEHYMKQGYEPSALLNFLALMGWDYHSALSSKTTLDPHIRN DGHSLYELFTMDQLIEAFDITHIAHRKAAVNQSKLDFLNKMTLRRMAGRLGNDGVMVN SGKISHDNGIQGEREGLIMRFQQGLKDEKVLKGCEWVEDVEYVEKVFDAELPRTTILT EMPMHSIFYFLPPTYTCHESQSMLKDLTPRLYCQYVSLFADTLQQSASSSSSTTLNED LVWDVIHRLLDQLGIDRKPKLLVPIRHALTERKKGPSIPELITILGLDETLSRLRRGV EYVRELDQSNKRKQDQVE L199_000720 MTTFYPVGDFDAKSFSNATLILPAVSLGNVPQLTSDLLITSLGL RRIGYVGLGDTVAPFSGIGDGGEIVTGGLEVYGNQGSELYVIQQRSPTLKTKKDEHVE LIRQFIESSSFGFVLILTSLDAANQDDAQLLTPHQRVLPPSSSSSSHKTLQTLENTLP PLQLNIDSDLPTTGSSNSSVYPPFLPAAGLTRRLLSTLKDSSIPHGAITAWCVEGDNS GDAMSLAQVVLRLLVIDDHVQLQQPKSWEGLFGTVNGWSGGAGQDSELYG L199_000721 MTTAGPSSFSRHSPHPHPAPSPYHQHQYRHHPYAPPAPPANGVT KNNNTPSSSTSRHYARSSPNGGELPPSPPRSRRDASETPSIGLGVAFGGSAGGKWWDE ELPPPPASLSSILDSFRKSGEGDRELLLSILGAKKAEEERLTALIQTRLTVLQARLSL HSAAAALPPQMTVPPPNGSPLPPPPSQLELDGSGPVPPHVERTPSLTSSRGAGSTSSG MPSPPLPQQTGYVQPPPPAVGLGEKEPSQTAGGRGYWQLPSLQSSLRAPSSNHIASRS PNSLSPGGLPLAPIREVRIDNSDRSRRNGGSLSPKSTGSEGKRSSSGLEMLLDAGRVV NESAERDQ L199_000722 MISPAVVEPEPEPDIEGFTPSSLHTHTNSQQPIVHSQQQINNVT PSGEEQKIHNDQDALTKSIGPNQDDGLLTNTPGGKSGGGGGGGILKQPGSRSSSFART ILKPRSNRGHSRMPSITITDTGNTNEKTQEQGQGQVRQSVDDDRTDFSPPARSSSAPN LGGKGRLAPLKSLSVSIPLPPKRGPPEGEVEHIKKTFIEPTWKESFRNTIKSQPFLVA MPVLLPISWALHLTHQDSVAVFVTSLIAIVPLAGGLGFATEELAHRVGEAWGGLLNAS FGNAVELLIAILALVKGDIDIVQASMVGSILSNVLLVLGMSYFAGGLRFHEQLYTVIG AQMHISLLGLSLLAIVLPAAYHVAYPNVRNVVTDTRSGLQPEGEELQNLLKMSRGLSF ILLAVYGMFLTFQLYTHAYLFRIPKEKVAHPLPGPAPHHEHVFPRPHWVSSIADSSSS SSSSSSSGSSIRSGRSGHSPFKRFRRFSVSSKKDRKQARDGAEADTEDNTVIGSAPTS HAGEKTLSPTVTRQSPTVTTASPVVTRSNTDEDYLQPPPINDDRDIEAASVSSSRNVI IDEDGTVHVQPKVKFWFALGMLTIVTGLAGFTAECLVDSIDGLTETGNVSREFVGLIL LPVIGNSVEHITAVTVSVKDKLNLSMSIAVGSSIQVSLCLLPILVLIGWAIGQPMLLF FDNFETITLVVSLLLVNFAIADGRTNYLEGFVMMMAYLSIALVCWFYDPAV L199_000723 MSFIRPVFPYPARIPSWFAGHMSRSLRELPTLLENIDLVIEARD SRLPLTSINSTFDQMLSRAKKGKGKEKEKLVVYTKRDLAERRYEEPLKKAFLQHAGQK VMFADTRSDQDVREVLRHAVRIARENQEIIPDLRVLVIGMPNVGKSSLLNALRRVGLR KGKAFRTGAEAGITRKLTGTVKIYDNPSVYVYDTPGVMVPYLGRGEDGAEKGLKLALT AGIKESLFEQDAMVDYLLWKMNKRLIAEKDDQNKHQTYLSLLPLPSDFPPTDDTTILL DALSERLGTLKKGGEKDHEAASGFMIRAFREGKLGEFTLDDLTMTSPKSTTEKIDNQK DTDSQNTITHTSDDGNVGINEIQKSTMKNENAKDHNNNDHDQLQETTTSTTSDLDYQV SQTVKQYLSLTSPSSSADSTSSSFSGISMNQQKKADKRLRLEERDNKLRAKGVNVPIR QWGNKKKKGLGLGRGEAGKMARSRR L199_000724 MSQPNTNAQASSSKHHKSKKDKSHKKDKSHKHASSNHQSTSKND KSPFEHRLSRMRLAIPPKFSLNMMEGVKEKLDGMIMRYVAQMGGVLLAHWDHEFVDDT SKIINECPSGVIEVEFHSILWAPKIGQKLYGTHSLSSPSHLSLLFNKTFNVSIPLQHI PTDLYEFEHTDETADADSDSEDEEEEGFILGMGNGVVEDVGRWKVKETGKSLGEGGKG IKFTVIGMQVTNQMLSLTGSLLSDPSNPPPAPEPTLNLPTRVSTSPSLSPEPTHRPAK QARLGPTNKARQPPVQEEEEVPDQTGWTPREIKAYRKQMEKKKKDARKARKEEGQVLE HVQMEAAGDLVHTQGEGELEENVDVGSKRKAGQGDSENKKRKK L199_000725 MKVYSLSLLSVTPTTPAQATLLGTSQDLSSFSFYQRSSVGEFMT FFTKTVAERTPANQPSSVEENNYKAHVFRTSGRQAGSPGMAAVMITDLEYPYRPAFSL LTKLLDEHTALLNNLPAASAAPSFGSASANAFGGNPSQAAAGGLPPAQKGKLEGTLAG YLSKYQDPKQADTIMKVQKELDETKIVLHKTIESVLERGEKLDNLVERSNALSAQSKM FYKTAKKQNSCCVVM L199_000726 MSELLPEDTWVNNFLPTPPRPLLSSLQRRLHTSTTHLNALAEVY KQRAQIEATYAESLSKLAKTAEQGGLSGKTGNEWEKASGEGRLWNSVISELSETSASH STLSAMIRTDFEQPLRDLPSKVIAWRRISEQDASLDKTLKDYEKVAGKLEKAQQKSKS SKADQLHQELNQLTSQLSSLSPMVYTTYQRLDEERLRTLKEVIVRWGTVKGDMATRDG QRAEGIIANLLSWETGEEVLSVGRKLGNVGGNGAAAAPRPSVPNSTVSTPQSNRRMST VTASSQAHDFSPRPTPRQNGSTTSFGKEQSSGGGLTGGFKSMLGRKKTLAVNRTRSGS NATSTRSGRDENTRDGGFDLMSDDVPRIQGSSSSAPPVDEEGFSVAPADRHRNLWEEP NDQVSTPVATPHNQTHFGTTFTSSPAASQENLSSSASSQHQTQPRLNLSLAPAPIQES EEERQAALQKMQQTLQMPPSQPSRRNTVARGRRDVRNTMFPGGLTEEQSLGLGGLSLG KVSEPEEQLSASPISATSPTQTTNGDRPGQVARQVSMSSVTSNNPFDSPSLSGSAMAQ PNLPVPSTEAGLRANLNETINVIIRNKEVSRIQITGEIHLSLRPTYQQPTTGPIHIRL TSFERLEKIAPNPAYLAQVPDKPGEYFLNSEVLASATANSKNPNNDKGTLLFKYVVHI QPGKEGSNAPLILDPAFLCKEGETRMILHYRLNDQGPIQQLSNVSFSALFGPGPSVSN VQAKPAGGVWSPSQRRMTWKLDEITSSQESKIIAKFVTEPGNGESLSPQNVQVTFNSE GSLVSGLGVEIVDGELEGRDTWRFEEIKKGVLSGKYLAEAHVNVNQ L199_000727 MNNDPPLSPSRELYSPIKYPLPPSPSPWRRALSLVAVPSIHRTT SAFGSRRDKDKEKRRQSLFLNGPGTSNHDGNLTDGEDVRIRKPTNTPIPGPPETVDPF PEDHSISYDRSTPQLQHKPTRSMSLPVSSGETDLSMINHYPNSSITSLDQSVDTSVPH AEFFSAYDETPTGISQSQSQSRLGSGSELESHSPSTNSIPSVVQESHTDQQQVHE L199_000728 MWQPTSNLDTPIIPVPQNTIEDGLTPTPTPSLMALTNEDPFLQV DTRLSQEPVPMSDLQTPLLSPDPRSGTNTRPKGVSIAPFLPLPPSPPTVFPFSRHFPP YLTFPHPRSPSLGVNPNSIHPPNSSDYLPHGRSVGSPIAFPPPPPRPISLSNVPAHNE PGNCPSSLANHTLASIPAPPTLTQARPVSGTEPAFGPTAQPESSAEMTSVPPVPSIPQ RLPTHISPSPPSLPNIPHVVWLPISPNSGIPPPIIPGFREGRLLSLPLPSPLPSHRPP VPPTSQSHVGDAAVPPTPQVQHPSEVRSSHPRHVVLPDGRELIAMTTPNGETAYVVDP LPAILRMLDRATAQGAGDAEGEEEIRSDDTIAAPAPVPPPTQQGAEEPLGPPPDVPTP FSTGTEPPCDLSQIERDLYELSFPPTPSNIPTITTDHILHQTNEGERREVRRPRVLSE GRSSMNSPRKGIPKFDWRRKFKIPSSASGSGRSEVDIPRPPSLRRDVISTLAVQGSAT TTDTTTSSASDRASNVTSIVGSPREQDIWWIGVGEKHHDPSGDLIIAERECRWRISME KYKRDSQLISHLSQCPTKGMTLTLQAPSLPCQASRPSSDSSGSAFDIISVFLNYLLTH DMKDLEDVENVDQFESLFILCDFFGCPAVYQNLLRYIDENGVNGIRPWQLFVVASKRN DTNLAKIAIQLAGGTRTRNGGHRDERFTINLHHLTSKDVKDVRSTWMLELFKKKYEKM LDGRFREVPWEIVAERFNPC L199_000729 MIAPPTSQSELHLLCVSAVVRDLIQAYNDASTSSKPIQQPNLTA LRQKYAGKYGLRAVPRLTDVLAAVPEEWKDRLRGWLKAKPVRTASGVAVVAVMCKPHR CPHVAMTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYDPYEQARGRVNQLK DLGHSVDKVEIIIMGGTFMSMPEDYRHKFAAGLHNALSGHVTDDIDEAVKFSEQSKIK CVGITIETRPDYCLKPHLSQMLRYGCTRLEIGVQSVYEDVARDTNRGHTVRAVSESFH MSKDAGYKIVAHMMPDLPNCGTERDIWQFQEFFENPAFRSDGLKLYPTLVIRGTGLYE LWRTGKYKNYPPNALVDIVARIMALVPPWTRVYRVQRDIPMPLVSSGVENGNLRELAL ARMKDFGAECRDVRYREVGLHEIHNRIRPQDLELLRRDYSANGGWETFLSYEDPAQDI LIGLLRLRKCSEDGTFRKELVGMEGGCSLVRELHVYGTAAPVHSRDPKKFQHQGIGTL LMEEAERIARDEHGSGRIAVISGVGTRDYYRRLGYFLDGPYMVKDLLY L199_000730 MQSSDPGHLFQTTSQLAQSANRERKLKASEKIGEPINVSSKVLD LVVRGQEGWTAESGFICRRLDLRTGKTIKIYKGHQGPVTSIALHEIRNSDDGNKWLAL FTGSWDKTIKIWNADSGELLHTLESHVDFIKSLLILPSTNHLTLLSTSSDRTIKLWDI SSLSSGTPRCIQIIKGHTRPVECSVYRLDIDVNGKPTGGVTVYTGDSLGLIKVWSWNG SERKLVLEDKEELKGHETSVNQLAITDEGLWSVSSDKTAIFHPFSSSAKPKPKITHPS YVRSILPIPEDFPLPQSLLLTGSEDEEIRIFNIDSLLDIGQSQTAKVKGIIQGHCGDV TVLRAWYRDEEGKKGWYVVSGGLDCTLRRWSVQDLLNPPVLNYEPEEEKEEIGLTEEE ERELAELMSDED L199_000731 MSSHSSSHSSLLQLNRPESLLPQLPTSNQVAQYQAQAQWLEQHE QTQQQLCRSKGSASDLSSQDANHLSTSSHSQTSSSSQCRSNNLQPVASSSSGLNYLNM EPVASPSIDYAVPTVSSPLSDSDCSDNRQICAELLATAQTGVMGEVMDRSKWQPDADS ALCTYPLCTANFAQPTYFFLGPRRHHCRMCGQLFCSTHSSQRGSLVSLDATGNRRIVK ERVCDLCCSKPNNNEAAIEFSSSQPRSTQHSRRNSTCTESDGHHSDLVTPYDEDGHSN GSNASNGLLSASGLLRAQSRLTLNTQDVEPELAPIQDWMDKSGVLSLYPLAVNPSHST KSKQSPLHPSAGPLFSPSISMRREAKEKQLERLTLRQRRMGNGSAVIGHDDFWLPGKW GYRREDFDPTFGMHVQDDDDQDQFEKYVGGVVEDGPIRFRTGVKRVITPLTTPNGGPI MSRN L199_000732 MSFNHSPPSTPAPISISIPPAVSVEAQLRGRDIRRLVRATFDQT WRDYYAWKPPATLPIINGEMVRDAEKVEDELVRRHRELGEELKRISEQDRMGIDDEDE NGNEKGKRKREKERFMGSQKSTLNSNYTTTSTSMMFTRPSSSSTSKSHISKNNNGKSS KSGKSIANTNTNTSKMTFMSKNVEPVQGSYTYTMQRDSDATSLKGLIQAPTTRIRKVT NQEEDEDKNDGYKVETIPPYYFCSYGHQNIYVEDEGAMPFIPVFRDDQDFNEEKWFDQ FGRRMAWVGAWRDPEVDIIQVEALSRIRTRSKNLITSEQIDQTRILPLEVTRIENLDL SRDLPPFPLDPSSSSSSSANSINPRKRKWNEPLKVKVENQDGIDMNDMGDLLCSRQGC TIYGCLRHSSLEDNHLTIHSISSNQHSLHPKYIPKKRAKLPEIDQDHKPCSDQCYSLS SPSELREQASNCQIWPETETVSIIEITSSDCKITSGDLCMLSLRSNGYTCKEVAIQIM ALAALDSTIPTPLSSEFDQDSITTQVSTIPNTRNQPPRFVSVNQAPALQECNHPGPCI SEVCPCFTAGWMCGRNCGCSPDCKRRNKGCNCHRIFPGNGNKSTKGACTTIDTCPCAR SGRECDPESCGLCGSEDEISAARDYLQKHGNGKATNGVQSVSMTEIERKTYCGNVNLQ KGVFPKLRVGISEVSGYGVIADEHISKGRVIGEYIGEVISKAEGGRRDQINDQIGRQY IFSLNGDSDIDAGNYGNITRFINSGKGQSKNVTAKTMIINDEARIAFFAIRNIRKNEE LRFDYGDDFDSNGISKKK L199_000733 MAIASSSASPARASLRRSASQRKIVNMTLDSDDDDEPQPVKSKQ VNGTKRKRIELSVTPSESAEASASEEDSHNDNDESDDEEYEVDYIVDSRYIKFRGKRT LEYLIHWTGYSVKDRSWTVASQFENDDPPVLQFYKKNPNKPGAEAVLGKASSSTKMEK ASSSTKIDASPSLRENVRNKQENQPQPQEKEKEKEKENGRSKPNPALGIKGFFKVLDP KPTSPSTSTPKDKGRNKDKENIIPTPEPTSSDVDTDTPSKTRPRSRPSKGVVTKRVTQ KNDTKEPPAKRRKAAPPTPTPADDDDEQQSSSDEDAGSDFVMGEEDGDKEDDGISDDD DGDFKSDAESEAAEEEEEEDEPEEREVKKSTSTARKVGGWGVKVKAPEKAPVNLKGYK TGVKPLGQKLDIRNAIKNMSEDLPPMNDIEVMFDHLVSRMPDIVQLVKRLNGRKLRVA TMCSGTESPLLALNMIAKAIKAQHDLTLSFDHVFSCEIEPFKQAYIERNFAPPVLFRD VTELGKKKAHTAYGSLVEVPGNVDILIAGTSCVDYSTLNNVQQDIDANGESGRTFRGM LQWVKKHQPPVVILENVCNAPWDKVVAYFAQIDYDAQYTRLDTKEFYIPHTRTRVYLF ATPCKKNDSSHLAEKWATTVKDLRRPWSSPFEAFLLHTDDPNIHRARLDLASAKEHKD GTQRKATDWGRCESRHHRARQDEALGILRPLTSWQEAGVCKGLDWTWNDWLLAQTERV LDLLEISTLRMAKEGTDSGFKACIWNVSQNVDRQAGSSKTALASCLTPNMIPWVTTRG GPVTGREALALQGIPVRELLLTSENEDQLADLAGNAMTTTVVGASMLAALKVAVDKVT AGQNAIADAAKIEEEAALNDDLVSKRIVEEDKLEKHDLDLAKVAKADLLEILDLAERS SRHCQCEGQSGTASPIEECTACGYRACKACGGRPDHHYAPCQNIRVEPTEFEKRFKEL LPMRVKIAGLSQSSLSTVREKAKELGKGTVDEKDWKLWTKAVIEGVEDAEFRFRYLKR QYIWTAVYEAPNATLDLFLEGQAPEWRLTIKAPATEPNNSRLRALLLHPVARLRFDIK GQDVLCGPWQLCVPSQQSFQIEVNGKGELVPTWQASLGLQAGLEKTRRWSQVEFVLPE EAEAALDRKLSGTYTLLPKCGQAMSSLHKKDSDPADKGLAALYFFLDPTRCGESEDDP YVFSTSTERLDFGTERAVIAVLEPKWRENSKPQQKVRLDVRGGWVVCKEAHLTAVGGS DIAVVQDQQSTDQIKRDAATFAIPPSATSVSVSLSNDGCTHASALLSCRVPLDPAHSE SMWRPNAWGEIDLLHQGNATFSNLAWITERLPPLDKLSKWTALADVDAAGTACERCAP RPPKIHWIKKAGKLNKNGGKTKATIIAFEDKLEAGRYEHALKNRPAPFVVQLRLDHDI GSFRIGLNIVSLAHRALSRLPVNEKKGQIKLSWRLTPGQVADVPESPRVFILPSNKRD PEHEQPKGFKLPLRVEQLRSLWWMKEQEAATGKAHTFVEEEISEAALPSLGWRAEGKA ERPVMIRGGVIADQVGYGKTIISLALIAESKHIPAPEPAPAGLIDLKATLIVVPGHLS KQWPSEITRFTGKMFNIIVIQNMKDLQQKSISELSKPDIIVMASEIFEADVYWERFEY LSSQPEEWLNDKQGGRFFSDRLETAMESLKDQVTILSEEGSESLQSKMKERQKKLKEE SEKKKEAHKTSEFGKRMKGKAYRDKYDDESSSKKKTSKSVEDIEKWEASEDELSEDED ELAAIPVPTFRNAKGTESFSSSSVQDNYTRLSCPVMHMFRFRRVIADEFTYLDKKSLA ALLRLSSCYKWVLSGTPPVSDFPAIRSIAAFMGIHLGIPDDAEGNAQAQKARAKEQTQ AEKFHAFREVHSQAWHRRRDDLAQEFLNIFVRQNIAEIDDIPTVEHIHHIKLPASEGA VYLELEHHLQALEMQARKETKFKNVTQGDRNARLEEALVDSKTAEEALLKRCCHFTLD LTDKKRDAKSAQEACEHITGARSQQLIGCEEDLYRSINEAVALHCWIRKKGSFGKSDP EKQHFQEWINDSSNTKKHQGDSEAAERLIKVLAKCGIKNGLIPSEPVNGKPAKIEKGG KVEDVKWQLREHSHLLRKLSKELVARVRSLRFFEVVRKLQRNGPEAKAVLEASECGHK PSTHPDKEMAILSCCGHVSCLECMTRSADQQRCVKGEDCHSAVRHTNIVKVKSLGIEG ELSSGRFGAKLQKLVDLIHSIPKDQRVLVFLQWEDLAGKVSEALSSGKISHVTLSGSV KARANTLDRFQQSDPEDPSEDRVLLLKVNDASAAGSNLTVANHVIFLGPLFTNSLLNY RAVETQAIGRIRRYGQNKKVHIHRLLALDTIDMTIFESRRNELMAKPDYEQVPIEEYK GKKLVPKKNKQRLSNVDDGDDVEVEIQVSPKKAKMMKKLNLENSQDEPIEID L199_000734 MSTSSLLHTALQNLHVSQQMHQQSHTPKPPSSPGSTYHELDSSR GGSPVPFSDGETDDEELVEVGKGTRPSTPTTGKGLQLGQRLPSSLGGKNTRDPLRTLP THIAVRIFIQLDVRALARCDRVCKRWHKSSTLNYVWFLQNRALVLPKLLLPDSPGGKT RKIDNEIEFFDPYDKTPRLSSLPKPPIPTSSQPVWTKIESKKDWRNTFKVTFKRTDPT AEPEIDHRRVDIASLHTSGYTTPTGGHAYKGLGSGNASKWQNDDSDGGTLSSSEKKAQ AREGYKALGGRKSKTKRKMGGGLGGKDKGGANDDGRFEAPW L199_000735 MASRFGQPSYSTSPPPTTASSVGYSQYGQSAFGGAPSRQPSTSS SGYQPQARVGNGSTSRSMTGGSASGGLRPPGGGGGGGSSSDRKAESREIARVHWKALK EFLATWIEKESPTSRASAREKLTRLTKLQFQELSTDVYDELMRRIAVDNGEPEGNVPF LPVREDFHPKRNQARQKLATLPKNRFKDLASDVFYELKRRYPEFEEDERRYDEPPPAP GPTRPPFSHQHSSSQSLRDRTNPTPPPGALNARLVSSGSQHQRQGSRGMSQGSHRSRP SRDQDTEILDEGRSRGPQANPLGTTSDVVVPNKSRLREEEIEVPYARDSQMMDQRRSR TSSRPASRSSAGRESRTSFNDNNDREREREKTPRQENELMSPASADDRVYYDRLSFSS NVTNKSKLVAAQNGWDEEREQKLRAEYEFRIAGLDRKVVNAERERDEARRAEASERER RLEWEEEVRGLKERAATHASSLRSLQHELDLAKDAVEAAKQRADQASRTAEEEIAQWR DRYDSLEDEYRRLEDDKANMQGNTGGPESRQAVAELKMELHTLVDELNALSMRNDELM SEREQDAMGIHKMEAKVEEYKRKYDAVRIELRNLKATSTMFVSKPLTDDHLPASADGN IADTSVSAFQTAIDGLLQAARSSQPTGVLPAMKAIVEAITEIGEDVKAFEAHPNLDVD VSRLESLKYESTNRLNALMQAARNHAMASGLSPVSLLDAAAGHLSTNVVEIIKLLKIR RSGQNREMSRRRSSMSIKDMVNRDQREKELKAVNGSWDREYGSQDRLSEQARSSPVQN PPVMVRRPSNDQASLRTGTPLEQRLNGSHPAPSSLSAGTDRPAALRINSFQSTSSMAR SDSFDLERKSSMMSDSNGNPAGQGQGQRPLVEPRSGSGFGMNPNTGGGRESMNSQQTQ SSAGPGGFAREQSVEEINEVEEPQQYHDDGVDDAREWEDLKPYLNTQSSALVNAIQNL LAAIRTNSSPSALNEHLSEVIAIASSIVAVSTNALPNSLRGEGDALLKDLVSNTNKLS EAQESASATSTTGNGGAGGFEKTVRQQIASASFGVAKSLKALMKLGGAE L199_000736 MKGFTFTFLASLLILSDPSIARPAVHEYNARGIEGRQVSTSLAA VPTDCTNERTGRSLIDGRQNGGGGRSGGGGGGGGGRNGGGNRGGNGGGNNGADGGNNN NNDDAAAAAAADAAAAADAANAANGANNNNDNNGGGDAAAAAAVSSASAASAAAAAAT DAADNNNNNNNGGGDRANTNANNGGNNGDNSAAQGDQDKNNAAAPVAANENGAPGPAV PVLTFNDGTRLNAAVIQDTEANPNATANQAASATSYNNFLDFCVGQTITNGLQNKAGS CNPVPIGQIPSTQNLISTTFVTPRNMETIQAGQTFTIGLQTTGMTMGSFVNAQKNYYA APQQIDGSGQIVGHAHVVLQPMKAIDDTSVLDPNTFTFFKGMDLGDVNGLSTIDVQGG VPAGAYRLCTIMSASNHQSVILPIAQRGAENTCSYFTAA L199_000737 MVLPLISPTSPGQPISPCTPLPPSRYVTKDYDDKTSSIAFEGNC VMVDSNTGTEEEMIEKSLNGHEKRGNDMTFTARSESGTTSVDPKNPGTNTKKERPGLN RRLSLSGLINLPLPSPPIKLRALARRLSNSSPPSQIVFSRFSTPASPDFTSTSPPIAK RTSATMPTVPPIVPPSPSCADCNPHIKYFWTGESDEQDEVHKVHEKEISNSSKIALSS SYSSSTLTALDEDTVYNSSIPTSSTLQNQPANTRRTPLMSRNSSFADDLTALSEVDES QHHDPSFITPNCEPITPTSPNPKSCSGIWLCSPPTVPFIENTCPTTPRLTSRAVTKTK TRPLVVRSMSTGRLASEFDEDGRVICLSGHSERVLRPSISPPPSCRSIRPTITTYQST PNTIPGLSRSKSYQTSRTSFKSKSNPSSPIDRPPSSSIPPLPIRSLSEFGKQSSTERL VNFPPVETPSLTRTISMGSWRKRNWQVGRSVRV L199_000742 MPATPLLEVEDLTVLRDNSSPLFSNLSFTLSPGEVRVLRGVSGS GKSTLLKCIAELNLYSKGTVRLHGKESKEWDIPEWRIKVAYVPQRPSLLPGTPLDFLS TIRSLSARRKTQQGSEGAELDPMDLAQDWGIDKPLWRRDWGTLSGGEAQRIALAVAVG IGGAEVLLLDEPTSALDADSSEKVEKSLLSMLPSLEGDSRQNGHIQRKGNGPKALIWI THSAEQADRVGTRSLDLSQH L199_000743 MIGDIVIISDTEEVSDEEIAFVGITQTQPNPRIGQSSSSRSAIV PIFIDSDPEETQDQEDDQDICAFLSSTITTSTSIAGPSSSRLLKKSKDVVTRVDRKGK RRAEEDLILDISESSALMDKFGRFENSILSKIDSLTDINTTSETPDTLIGDAPSLVEL EKEPLTKKKVKKRKSSTADDALTTAEKRQKNTEEDTGAETNAIAANPKLTKEEKEALK AKEKAEKQLQKDILKAAKEAEKSYQRKLAEVNRLRVSKNDTVREIHLYLSSDLSQPTS PIAGALPEITKRITDNYSEIKFLTEDKSSINGLIKFKRHLKARWDPEKKQFIPLDQPI WIWEGICVLVINAEEIVDKIAPTSTCESPDEEERLDELNVWVSDIKLTLGLTVNDQLI IMIKGLQKYHSKMKSLANKQFTAAARAGLSGATTANRNQGGRNGGRIGPDKEIIEMAL VRLQVKQRCFLVNVEKTEDIEDWVYNIAADVAIRPYKLISKSHLAFCPTDGIRKGSNP TETLELMLQEVQGITPSASMGIASEYPTFKELMEAFEKAERRGGVERAEGMLQDCEIK TLRNGTANGRKLNKALAKRVYNVFRGDDSLALA L199_000744 MDKASSSSQPPKVKSSKKQKKDKAPQAISPEEVLSDVDSDNIDQ DMNNDNDSNSGSSSSSGDSESEVDSEENLENTMPNKKSSEVANTAAEKRGKSLRKYEP PIGMTELRVSSAFTTSPFEWDNLANKPGVELWAIRVPKDLKPSRLSSLQLTLPSNTSG SITGSLKTKSHPYTLSTAGTNIHTKTKVDEQGRQPTSGPGAIDSMRMDVDQSTPREMK VEGGEEMDGLRLLVPKVKEGGKLFVAAKPIIRKLIITPSIEQPSTSTSSIIEPALPSF LSNPTTDAAITQEPANTQTKRSQPTHLLKFRNLAYGFTTPGPEHTVVKSMDVDGQGQD GTAVEPSSQVDPVDKVKDKKEKKEKEKKRKNENGDSPKKKSKKSKD L199_000745 MSPSSPSLSVADTDNTPSSTSSSRLSHQIHVASESEMDDQSSLS EDVLTPSVSSFNMEPKASPSPSPSSSPKKAEGDDTTPVKSKSTPGVKEKGSSKVKAAA AMFETKSSSPTFRSIPVNTITSPIKKLSPSPRRSPSTSPSPSPSPISENVLESPGSKL NSPFVNRSVSPSSLSINIPSPASANIQKFEEVSLSNDTPQAESQLDEIATTPTSLTRQ RSNPPVPMNISDTLPEPVTTPPANEQPLTQHSNNKTSFFGSSLNFGFPSSSTSSTEPE AGPSSSSQQPSRSGSTSSQGGTTGGWKSTMTSFLTSTRSNSSNNHTHNHAHTVEEDPK TPVRPTILERNPSEGTSSAFLLHRVDSSTASRDRRISAQLGGGQAIREGFERVKNEME SAAREMRRERESKALTESEHEDGSEEEEEEMVDWNFWGSVVQDFENVARERPKELSKA IQMGIPPVIRGAIWQLMSSSKSTPLEETYKALLKIPSTHEKAIKKDLNRTFPNHKYFQ DNAGIGQEGLFMVVKAYSLYDSEVGYTQGLAFIVAALLLNMPDEEAFCVLVRLMDSYN LRSHYTAEMRGLQLRLFQFDRLVEEILPLLHTHFVRQGVKSSIYAAQWFMTLFSYRFP LSLVYRVLDIVFAEGIEAIFRFSLALLKKSEDKLLELEFEQILNFLQSDLFEVYRIHG NSSPTGSESRSEEEEEWKANEFVRDAYEIRITPFTLDSYASEWDEQQKNQNRHALEID TLRNANRNLSAQVKQLESSLATMNQEHVELVRQLVMSKIEKEETENELVRYKMLYAEL AHAQQDALSTHSRLSGGSIQSQNTGSRPPS L199_000746 MANQTRPFPRDGRLISLILASKGIEDTDERVIHQLLDFAHRYTA DVLQSAQSLSDHASRSGPSSNKIEKEDVELAIQLRKRYEFFEAPPRDYLATLAHELNS QPLPILPESFDLIKLPPPHQRLGEVNFDIVPEPDLNLTENEEEDEDEDASGSEEEDQD QDQDQRSDGGDKPNGVAEEDGDEDEDMEEVGLTDETQQQQQQQREVDEDYDI L199_000747 MPPKSRSKQTTLFSHFDHNPSQAGPSRTRTTRNVKPLFDRASDS EGSEGLDHIKLNKDKKPKIEDLPMTQKRKLRKTVQDSESDFDDQDEDEEDFEVAEIST PKRKGRGGGKRKHRDEALDTDPDEEFISYPLQGYIHPNYRGTPSQKFQRRNDRLEAVE IARKKKREENLTDDEIEVFPSPPRRGTRKTRERSTIDYDESGKGKGKARDIEEEEEDD DIIPISPPTKRGRGRPPKSATSAKPPSSSSTKPLGRPRLNLKRTRSEVIVEISRMSQE ERRSYTPLSISQSSESKTSSNGKGQGKGKAGEVPESSSSRERGQSKLNMPIVEIRSRR ASPDHGSVSNDSLPPILEVELSDKDLDILTDNGKEDVSKRGSTRSKSPIKKSSPIKRP SPSKPSSASRNISPILSRRPSPSRSPSSDGPVAVVLPFRKLDKGKGKQKAISSSDIGS EEEIIKKKKSKKPAPLSLKSSNKHNKASVPAKKGRAKHKIVDPDDDDTGAATEEEENM VDDLQMDEPERFKSATRLRERPKETAAQRNIRKLKNRRLGIVESTTEDDSDSDESLPT PTDARNSGRYSDDDFIVADDSMAVQVQLPHEFSVDSAQTPEFKFKVVFHYLVMLVMRG KKAFPLSSESSDYFVPQLAHFRDRMTGYRQLRVRSQIWRSNFVKALERYPIFDVEELL YAEPGCDACHMGGRMSRFRVTLDGEPYNKETHQPLDSSSESESEESENSDVSEATKRN RKKSPNSLLMGRFCKQRAEVFHQMTHWEDELYHRIRGYYRDLLRAKYKPVTSDSEAST PESEQSSDEDQAEVKKRREDRKQRKIRTEARCEKLRKKGLPEKYKDVDAVTEWMDKMG YQNKDFRWIERLIETSGQLEHDKRKDE L199_000748 MLKSTFLLLSALLSLSVQALPADNATAYFSGLESSLINNGLSGL WNAIVVANHTDTGPDLINKLYSDDQFTIYAPNNAAWESSGLSQPPANGDLVSLLSYHI VQATLNSSTDIAPIRHHTIAFTELRSPTVDLPGDQTQVIVLETAVNATTGQDVNDGTV LVRGDNWNATSAGDQFVYSNLHIQPIDKILAVPSLLLETLSQSGLAIAANLGATSAIS AISDAGLNDTLSSECHGCTFFIPVNAAIDGATKFTGLGNDEKRNVLLNHVLNGSTVYS PDLNPGNAYITSAGMPLIYLAGDQGKKFVSVGQYRASILRSDIPVSNGVVHLIDTLMI ESQNNHERADSAVSSAASEAESRTTTTNVIGVGNTQAPSTTSAAQTSSAATASSTQAE SFALRSMRGPGDIESALKVSVMALVLGRLWI L199_000749 MVLASRSRSIPRLPRRAFFSVPAVAYPIINRLCSCGCSKFKPSI SSSHHQNRSYTSTTVATSHRNIPKVILRIWQSRRYTTEAEIDQTQDRWCTEIEERQAK LIESAKARVELYKKTIEQEMEVTDVQGQIGRAKIQRELGPLAQLWDKYMGLRKSIIDL QPELDDPDPTLRDLFLTEHTDLCAELDTLLTDELPKLLLPTPSTALLPCMISLNAGVG GLESALCTEDLARMYVRFAEKKGWKIEELSKVEGSGGKGGGGIRELTLKFDHSPYASQ DEEEIFGLMQWEKGVHRIQRIPVNETMGRIHTSTVAVVVLPIYPDTEESPLVDPKDVK IDVMRARGAGGQHVNRTESAVRLTHIPTGITVSMQDSRSQHQNRAWAWDILRARLSEK KHNEEVEARRASRRDQVKGADRSDKIRTYNFNQDRLTDHRFGFTITGLQNVLDGDGLE DVITMMKRDLQERRLEALLQGEEDIDY L199_000750 MITLTIVRHGESTDNLKAVWAGWADAPLSVHGMNQAKALGESFK TTRIHHIFASDLLRANWTAQQIHRNQPEPKCQMITSDLLREQHFGDAERKPFGEKGGW QRKPGRSFKFENGESLNDVRDRANEAIRRFIEPILSECHGQPPSSRHVVVVAHGIFNA EFLGALLARRRDHKPLEWGYKGMTNTGWTRAELGYIDEFSRTPTPANITTNPSGPISP SSSPASPPPLEEDDELLPGLTMRILCTDVTKHLEGVHRQKGGIGSTGYDQKQGDIRKF FGGGGA L199_000752 MSSSAAASQNAATPQSSVAATSTSAAASSAAQASTSASASASAS AAASSAAASASASASTSVAASSTSLSTSQSASGSSSSSATASTTALSADVISATGTNA TSSGSKSLSGGAIGGIVAGVIVGIIVVLVLAWIWKRKRKSRGEKVPPPPPMRHAQSTM SRSRQSTMIGGGVSHQRRPSTYSASMAPPRPSSTFASHSRSPSSHINYPITTMASMNH DASPPMPPSTSSTSSDSPPLSTGANTPAHPFPSPAAGHKPTLTPIDTSLSRGGSASSD DLRGDPRPRHVASIDRLRGEGNQSGNGSERASSPASSRHPSESGVDYSRGNSPHTSMV GLPSPGGPHGRNSYPSPRSSIGYPRPGSMASLGSSRYLHVGPAGRAPHQGRPIQLTMP TLLGARPDENGDFFGQTGRLNGGYQLGLDEMGRMRRISNRQYNEQDYQPSPIHTRAPS NTSLSNRSRQGSDEGNVPPIPEIGVGNSGPPSRNQTPVPRPPPASDVADPHMVLQRE L199_000753 MPVKREYCPDSDQLLSDADIKPSNTHTPTKNKKFKADVNTPTQS MAKKPKVQLNTPGRKMGSWSGEELKLLYSIVCPKKTGINWNEVASQIKGRDAKSCNNK WTRIQNKILQAIEDMGE L199_000754 MATVTPHLSDTDTTRCKSLDQLESSFKRSVEEYSQTVPSDQTDV PEHVKRQHLFGNGREGTIVSHGLCAKENPALVTEYLPQFSDRILPFEMYPGWKESRQQ DADRITRYECIISGLVADYPEYTSKDDRVEWYRDPEAPRLSDMEAAANIFKYVSEFPE ASDTMHTNIGFPGQWMMPFFQEPAHPEDEPVSRPQYSLTSHTIATCPYFVFPEKHTTE ITTHKDKVWGRPIPEGLLCAVDQSLREDLDSGIDTKAFTVKGKVNREQAGELFPHALE LNRMLFRAVQRTGRSLLSGELSLEELANRRLPDAETSFPIPDTRGGVSLEVETEGTGR ISIQHVWRFPPADTMHDKVGIPAESSSTEGASGSEAVPEIATSDAGVSKEFGDLNLDL A L199_000755 MSPPTSTTLLTSPNATRTLTLSDLGPSLRSKYEAYKASREDERE VIPADELYEQICGEGRKELIQSHRLWANPHPPMDPTICTVIPSEDYDPYQFQHHYTSS LVREQNYQRVTEGTADQEIGHHMGDQSVLLEGDPNAKRLSEVEATEKLFQYFGTFPDA SEETDGIGFKERFVRMRKDVAQDIKFRQQHGVRTEVHPASFLLSEIDMVFTPHIILPE NHSCISREKELIWFSKVSHHAIPYLNHFLKNSATLSDYEISKRGSDITSFCDHVNKEL LLAIQKTGRGISEEKFSLTTPMDEVTDLETTRSSNVSPPFLRLEPEEPLPHTQKAHSF DIRSDRKDKARVRVNLYWRDLPHAPDTGSTETTT L199_000756 MSASSLIVTLNSQKKGLKHLYWANQVGYLDYLSSTPTESRREEI PEKDLTKQILGYDRQGIIESRAICAAPFKSSSRGSYQYYAPCADYDTHRVL L199_000757 MYNGEYINFKRSRDIRPLSKIDTTQEIFEYYGSFEDAVNPDSAA FVGFPSYFTTVHYKEPANRGYHRVLPDGSMEINERSLYAHRVDIARSLDMTIPEPHTC DLPEKALVWYRPISDRVVSHLNSELSQSPSIRNFEYRRNASNYAVFNTHVNLEVLKAV QKCGRRMTQGEISVHRTPDPPSTSFYGTADIDDFEIHTIPGISFTIQNRDGDPVEINL SWLSARPCQETAKITQDRPDEQNFSSSSLPVGSCRPIVERSHTMQSDTLDSSSLIC L199_000758 MSSTKSDSMNKSATDGYIELSKLHTVFNTAKAALEQKDPRAKWC VSEDDLKKVLLGGGRSEIITKYNLCGGETNDSQPSYDFVYPDSPYHDDEHSQWAEHFA RACARASKSRKIAEGYIPDSLYYGPTKQQLIWKRPMNIEGRLSDIEAETAILKYLGSL PDADENMNCNIGFPDRFAIRYCEDAPVEDEFIYRISSVDVATTPHIVVPQEYTTDVAE VNIAYNRPISQATTRAINAHTDTDFSDFKVDANVDSIYKVYPEISRRLFRGAQAVGRA LSAGTISLIDPAKGQSTFPATKSTVAMNNTADGITFHLKTDQGTTFDLNHSWVSLPEA AQEGKEECSYSQGEFAKEDHDFALQKVKSVKL L199_000759 MDYQAKDSDITLISSDNLKFKVHGYMLKAHSVVLRDLLNDPQML LSPIPIDVSSRDLKRFLDLMYVAKPIIPFSWMETKTLLDLCDKYDCHLIHERIRTRLD PHAEQAPWETFCLASHFNDLELAKKSLVFMGRDSKRRWFSIRMISINDASQPTLPYLM GLLRTVDMVYRSQPPSPASREGPQWDLVASKFQLLSQ L199_000760 MVKRSASSEAGSPPKRRRRSSTTSLKEVSTLSHAAKEYGPQWND WPAPLAAMEEARGFIRDIVDNKRSVLIVPDKDADGLSAGTLLYRTLIHMKHPPSLISV HHLTKGNNVHSDYERNKMDSSRAERVVVLDQGSRPGRSLVPPLPDQKEKRVLIIDHHM SDEWPERSQVLTACRSSPIATAALLTYLLLRDLHEKIHEEEAWRAVVGVIGDLGTTVP KWGTAPWPSELGTVFKRLGSKAFSEAVSGVNAPRRTAEYNVPKAWDIKLNARSPSELA SNAFLKLCKLDVADETAKWARTPPRFAKDGRVAVCTVHTGFQIHPVIATRWSGTLGRK SSKLLMVMCANTGFHADGRVSFSCRIASNLRALPEGRQPNLIALLNEYADRIPGFNDR VGGDYARGHKEATGGIIPRAEYDLLLEEMGVPQPGSSIKSEPNVSASSPSPKKKSKSK VIDPKQAGGGLDKFFKVQTKPKVQ L199_000761 MNSNNTHQSQQPSGANTNFNMNSTHLSDGQSQMNYDSASDVTPG SPTGTFSTFSMTSTMNDRVFREDGGRRFQAQNDEQELERLECQHRVFKAVQAGVNYLA PVHGNLYDGASGLDIGCGTGVWTIEMALEFPKVEWIGTDLAPVQRDLDLPDNLHFAQN DITQGLPFPDDSFDFVHSRLLVMGVRNWKAIVDEILRVLKPGGMVVMIECDFPWGLPG VHEDQWAERAKGHCKFSDYLKMAVENRGYDPQAASRTISRLMRSSGKVRDVTQIESCL PLWGWSNDPHLRRAGEIMRADAEDIPNSVKIVIQDACEVPESIYQEIKRGYIADLGRP GAHTAVPIFHNYGWKI L199_000762 MSLRTIVPLRRLAARRAAIDRRSMASAARPATGPVSFALDEDQR GIQELAQSFTKDKIVPAAAEYDRSMAYPWPILKEAHSLGLLNTHIPEAYGGPELGLLE CALVSESLAYGCTGIQTAMEANGLAEAPLIVAASHETKMKFLGRMTEEPLMAAYCVTE PGAGSDVAGIKTKAEKKGDKWVLNGSKMCTNAGHANWFFVLAVTDPSASPSKGMTGFV VDADTQGIVLGKKEINMGQRCSDTRQVTFQDVVVPEENVLGKPGEGFKIAMKAFDITR PLVAAAAVGLAQRALEEATKYAQERKTMGQPIINHQGVAFMLADMAIGAEAARGLVWR AAWAKDCGERNTFYASMAKAFASKTAVENSNLGVQVFGGAGFNTEMPMEKLYRDAKIY ELCV L199_000763 MVEPTNKKRKIEPVNGHGHGQPALAKQESFSAVLEQLEAEEDAS GDSIETSAAWPRPAAPRLNTQTESIAFQQIELEEATDPKHGPTIRLFGVTQKGNSVLA HVYGFKPYFYVAAPQGFLNKDLEPLKDTINQMFASFGLTVTNCAIFNRRSLWAYRGDE TVPFIKITCADPKNISKVKDEGQIDFNGLFDTEVLTYESNIAYSLRFMIDTKVVGMNW VEVKGGKYELLEGKDKRSRCQYEVACDYKNLISHAPEGDWLKIAPLRVLSFDIECAGR KGIFPEAQIDPVIQIAAMVTRQGETKPFIRNVFTLNTCAHIVGSQVMEFKDERQLLLE WRKFVETVDPDMIIGYNIVNFDLPYLLDRAKALKVPDFAFLGRLLGVRSEVKETHFSS KAYGQRDSKAINIDGRLQLDILQVMQRDYKLRSYTLNAVCAQFLGEQKEDVHHSIITE LQNGTADSRRRLAVYCLKDAYLPQRLMDKLMCFVNYTEMARVTGVPFNYLLARGQQIK VISQLYRNAGEAGYIIPALKSEGTDEQYEGATVIEPTKGFYDVPIATLDFASLYPSIM MAHNLCYTTLLDKNTIDRLKLEEGKDYVHTPNNDYFATTNRRKGLLPTILENLLGARK RAKQDLKVEKDPFKRAVLDGRQLALKISANSVYGFTGATVGKLPCLAISSSVTAYGRQ MIEATKKEVESQYCIEKGYDHDAKVIYGDTDSVMVKFGCPDLPTAMRLGAEAADLVSS KFIRPIKLEFEKVYFPYLLISKKRYAGLYWTKPEKYDKMDTKGIETVRRDNCRLVSTV IETCLFKMLIDRDVKGAEEYVKQTISDLLQNKVDMSQLVITKALAKADYAAKQAHVEL AERMRKRDAGSAPSLGDRVAYVIIKGVKGAAAYEKSEDPLYVLEHNVPIDTRYYLENQ LSKPLMRIFEPILGEKANSLLAGDHTRTIQIATPTIGGLMKFAVKTVTCLGCKTPLRS NRDGAVCVNCRPKLPELYQKQVVQTSALQIDFARLWTQCQRCQGSLHQDVICTSADCP IFYRRTARQKEVAAAVTQLDRFEKEAFW L199_000764 MNTPQRSAEAGPSRSRPSTPRKNIHASGSTPSTPAQNNDEGDNP RTDLSAIASTPGGTGGGDGEGDEDGDEERMDIKLIQSFADKIQHLPSTSETDGITARS KIIIPRRGEKDFEPLSETVNLQEMMLQKSREALFNALVGVRGGNSKSIAHAIITPSNP YPRMLIIHGHLFDTIGMSIRYSTSTKDQEKGKSKGYSQTELLPEEALYLLERGTLQIW ISKDLTDEEKENGWGEWSEEEYGVKGAIEMSVMDGFGTFIGRDGLSWERYQAYAYLKR LGYTVQRTRQFIPDHFLFDPSPFSTLSKDSTVDPDELHPGMQPFHTWWLNIPKWITGL FRYIGRSVKNVVGRISRVGLFADKRLKGTLLEGWNGNSYKSIFQHLRIIPQGHSQPLP IRASPPSESLKSKYDILQHNPYLPFYHIWKPSAPFTKRGWDKGSEQGLKDYPPTYWAG VVDSRTTPIPTIQQLDEIFQMVPDEPKGPVKKVGPQYIKPPRPPRPTNNNNKKTNTSN MTNSYLQSFFELIGFGKKEDDKPPFVNIPAIRNGDRAFIVAVNDSGNTGWVRFGRSGF AEYAPV L199_000765 MVLLPRLTRLGAQNKLAFIHASRQNGRFYATVSNPQTVIEKIVQ KYAVDLPQGTKVRAGDYVMIKPEHVMTHDNTGPVISKFLSLSCSKLDNPRQPVFTLDH DVQNRSETNQNKYKKIEAFAKQHNVDFYPAGRGIGHQIIVEEGYAWPGKMVVASDSHS NHYGGVGCLGTAIVRTDAAGIWATGKFWWQIPRVVSVSLDGKLSPGVTGKDVIVALAG LFNNDEVLNAAIEFTGSGIEHLSIDERLTIANMTTEWGAVAGVFPIDSKLEEWYKGIF RKNELRRFLSQPSTSSMAPIPEPSDPVNESQPHPRLNPDRLQDAITNRPTADPGATYA ARLSLDLSTLVPYVSGPNSVKVATALPKLVEDKIKINKAYLVSCTNSRASDIASAAEV LRGKKIANGVEFYIAAASSRVQEDAESSGDWQTLIDAGAKTLPAGCGPCIGLGVGLLE KGEVGISATNRNYKGRMGSPEAIAYLASPAVVAASAAKGYICGPDSINWDNLPKFEKP KISIIEENSESTSAQEVDEASLEPLLEGFPEYFEGPLLFAPQDNLTTDGMYPGKYTYQ DDITPERQAEVVMENYDPTFATTAKELRGTLPTSESSSSTRPGAILLSGYNFGTGSSR EQAATAIKNAGIPLVICGSFGDIFKRNSINNGLILVESPTLIKDMTDRFAKDGVRGKG GKDGKLTVVPENWKIKVDTRRGKVTVTMGEEGEKVYNAAKVGRSVQELWVNGGLEGFI RASL L199_000766 MTNEPSFDYLPTFDATKFEPPSWAKTQFHQWGEKNGESSNSKSN TISKSDAEGSKPTSKEEFDDQISRDKDERDDDDDGDGDIWEDAKEDQIVEPDEAKFTT DELKELLDLATKLKNEGNDLYKSKPPKYDQAILSYIKALDHLPSIPNPSASDQEKEKN DEKKKEVGSGIEEVTDEEALKIQEEQEQDQSKNGISDEEQERLDVEDDIREMNKAVWG NLAACYIAIKDDKKAVEACTEALKIDPKYIKGLHRRATANERIGDLNALVAAKEDYTL LATLLPPKSPLLPTIKRSLFTLPDQIKMEEKKQMDEMMGKLKDLGNSLLGNFGLSTDN FKFEKQENGGWGMQFQR L199_000767 MSSAEIISPQKYGHPPPILLTLTILPISLCLIVNPLLPLILHSI PSSKYSLANPDGQPSFPALQASLGFALLAFVGVVWLVPKVSEAFIQKGLKGRDLLKKG GRISGPWIPESLGLPCASWYLALMMLFIPFPFSHLFKSQSPSGPESFPQRELTLYLSS LLSLLTATLLGFIDDLFDIRWRHKLPIPIVAAVPTLLVYYSVGGVTSVVLPKGFSGWL DTLGLGRWLEGNVADLGPIYYLYLILLPTFTTNSINILAGINGVEVLQALIIALSVLI NDLLFLPIWSPALLDLLGFENPQNGRVLDWAIGEVVDRHLMSCYFMLPLIGVSTGFLW HNWFPAKAFPGDTFCYFTGMAFSAVAIQGHFSKTLILFFLPQIFNFILSCPQLFGLVE CPRHRLPFYDEKTNLLNPSLVVFESPPPLKTTIVLEILSFLRLVKLEYHQPPNPKNST EKRYIKSSTNLTIINFLLVHFGPMKEDRLCMLVGFVQVVGSCIAFAIRYKVGSWFYGG DRR L199_000768 MSTRPAGSPEKAQDAKKQKTEDVQVKKKVCLIVHDGWGLSDNEK GNAIFHGDTTHMDAIRDKHNFVELEAHGLAVGLKEGLMGNSEVGHLNIGAGRIVWQDI VKIDQSIKKDEFQNQPAIVDAMNHAKSTSGRLHLLGLISDGGVHSHIQHLFALLRVAK KHEIPHVYIHFFGDGRDTAPKSATKYIGQLQDYIKEVGIGEISTVVGRYYAMDRDKRW DRVKIAIEGLVEGKGEKSSQEELIKTVEQGYENGTTDEFIKPIISGSEDSRIKKGDTL FMFNYRSDRMREITSVLGLPDKPMEVNVPEDLNITTMSKYNAEFPFNIAFPPQGMTNV LAEWLGKQGVKQCHIAETEKYAHVTFFFNGGVEKQFENESREMIPSPKVATYDKQPEM SVQGVADKVAEVVKSDKFEFVMCNFAPPDMVGHTGDYEAAVKAITATDAAVKTVYDAC EEAGYVLCITADHGNAEQMLDPNTGNPHTAHTTNHVPFIVTGDKGSLQVSGEQGALAD VAPTILAILGLPQPEEMSGRSLLAKQ L199_000769 MADDSVPLPFPNLKVPTWHYQINHVDHLKEEASTSFWKAVEEDE MAPYLQSISSDRTDLISSLEKKNKEKLEEFEKKLKDAEENQGDSEISETLREKAMYLT RIGDKERSISALENALEKTAGLGARIDLVLAMVRIGLFASDTHLVTTNITRANDLIDS GGDWDRRNRLKVYRAIHHLSIRDFKEAAELLIDSLSTFTATELMEYEEFVALTVLAAG VGCDRKGIKSKVLSSSEIKGVQSTIPELYTMVESLYKSNYSQFFLSLAEVEQKYLLVN PLLVKHSRYYIREMRIKAYSQLLESYKSLTLEKMSNLFGVSENYLDKDLNKFISNGRL NCKIDKVDGIIVTSKDMHDQNKSLVYDQVVKQGDILLSDIQKLHRVVG L199_000770 MSDSFKFGSFDYSCEHAALVVCPLLGSSQGTMATCYSRNVQLGS QIIFQPATCFVHIAALGMTAIMLFHVRSKYTAVGRKEIVTFFYMYMFVELLAIFLDSA IIPTAHAVYPWFTAVYAGAVGALYWCILINGFVGFQLYEDGTPISLWFLRLSSLAIWG ICFFVAIATFKGFASFSFEKPVGLFVTYLVFPAVCAVIYFVSQLLLVVRTLDDRWVIG DLVFMAGFYICGILLLLAFSVTICDKVNHYVDGVFFFSMAMLLTVMMIYKYWDSITKE DLEFSVGSKAAVWEVKDPLMAGGSEYYPEDDTQSSYRGAGGSLVGGMGGNNYYGNYPA QTYGQQGYSQQGYGGGYGGNQQYGGGHY L199_000771 MSKQTTKVGWIGLGAMGSGMASSLVSQGYQVKAYDVWKPSLEAV VSKGAEGYDTPAKAAEGVDVLGLMVVNAAQVEDVLFGSGKVADVLQQDAVIICFSTVP PSFLVTIAERLDALGKNIGLCDCPVSGGSTRASTGELAIMSSGTPSSIQRATPVLSAL TKPPVGALSVVGDKVGTASDYKLINQVFCAVQIASQGEVISLAKNWGLNVRLVYNVVR GASGDSFMFGHRVPWSLNHDGVPKSAMTIISKDIGIVMDESRLLNFPAPLCSVTEQVF TAGLGAGLAREDDGCISKLWERFGGKPIAEEGTVEEEELKAKELEITSSTNLKDKKVL VVGLGAIGLPIAQTLHTAGVKVVGYDVNMKNLDKFTKTGGNVTSDVLKASEDVGAVLF ITNTAKQIEGVLFGSDGKSGIASTWPNNSTVIICSTISPTEAANLQTLLDKLSKNIQI VDAPVSGGPNRASQGDLSIFASGPTEALERVHPILSTLSSSASSSDGNKKNLHYIPGG LGNGSKVKLINNLLASIHLAVAAEGMAFAKYKGMDTEKVFEVVRGGAAYSYMMVDRVP RMFNPPTSPHSATTTLVKDLTLVLNEAKKVNTPLFLGQAAMQQFSKAVSRGWGGEDDS CLGRLWEDMGVSLKL L199_000772 MAKRRATYLPSPAPASSQITNIPLPHATTPLSQSRFKRPKLTHT SHTDVVLEPFSYPESEDDQRIENDDDDDDDDEPDDILLTPREGIIPTVTSTTSRIISS KSTFISPSPSKKTFRLNPKTIIHPDEIDVFIPLNHPTSTSTDAIDLPLPPRIEIPYKS PTKVIPKKMMKNGRRVLNKEMTVDVLEEAMDFLYENFTSDRLSKKYKMSKSELRDQFN SYSSRPDGLIQMNIRKKVMDMFGMYLTFLLPSLNHLDGVGLDRSTQKERTGSDEGWEE MGRDDSLEDGRSLETRGVRDECDEQGREDTKEEAEYGNESGSEDQDEDVDEDVSMGDD L199_000773 MSEYLKSYLPALQSIIPQTSPAPSTLPEINSRAPPLPSTDIRLD DGNPTLVAFVRHCGCPFAEKEINLLSKEVTKNDQLRVIIVQHAEIDQVKSWFDEIGGP RLFPDSTRYILLPDPKREIYAQWGIGQLGWMGMLNSTVLDNLKQLKQSDGIDLRTTGK GSYRWQNSGGFAVDGKGVIKWRKVAEDSSDICDYSNASSTIL L199_000774 MRSTNFKALFTLLLPLAGLPLLTARPINENGHAHGLIARYTPET SIPETTEEASAPTSTTEVASVPSTSVESTSAVSSESPSSSTEVPSTTEAVPEESTTSE AATPTESPSSTEVASSREVPTSSETFAPSTSTNTLEETATETLAPSESTNSAPAPVES TSEAAPPASTAPPDEGDECEEEEDDSTPSPTTTDAATPTESENPEDSEECEDEDEGSS ATTSDAATPTQSEEGCVDENGESCPCSQVWATMSTSAPAAPTTEEASLPTTSAPSASP SEDCEEGASSIYESFESSLTASPTGSGSAIISTAPVTGETSEAVAPTTSVPETSAPVI SESASASTSEAAIPTTSTSETAEAPTTSCESSLAESSAPSSTEETSTAPVPSQTSIEG STPEDGGDYDSKKR L199_000775 MKASWLSILIAANYIKQARGVIPNAIDSANIEIRNIPDADLGQP FEPAATSSSNGSFPCYNVTETVSTSDPTVSQPTTVLLTTTMYSTAIVDAYSSDTTSTI FSTTTVPAPTFEYTSWETAPPITPEPSSNSSTSISSSSSPTSSSSEPPKEEDFFGVLT YELGQFVIMYDVTANETPYKSTSRDNKNPTNFPVTLIANKSNFSQRRFEIYKPVDNGS WGPDLKDSKCTKRIECDIEFQPDPGNQKNRPAFAVWDDEPWFKNIDPENKCQGSCKFV DCQEG L199_000776 MILKPQQVIDVLGLLLPLSVSALPATAPQSTLTQSLKQSDDDRP TSTTPESITTRNPPSSTSDGAPTEPATITSESPNWIGKLASLAAEAASSSANAASSNG TSTASAMSSAPTSSATPIWNKEKNGEYGAFIVELDPYTCAYNGKDTYCNDADPETLPI YSMNTYNKTVDMSWTFSAYDAGNASNDNDTRYWDNSDPIKVIKCDIGLTPGESSDESS VQDDGKDEDNN L199_000777 MAASYSPQEKQYYDQLFAIVDKDNTGILPGQDAYPFLTSSNLPT TSLGEVWALADPDNNGFLTKEGWYKAARAIGWLQKGGVTTVDDTLANKAGPLPTFDKG PPPPAIQPQLTGQRPQPPLSAHTTGSGLPTLTPTDRAKFTRLFANAGPSNGLVSGDKA RDMFLKSGLSYDKLGQIWNLADTQGRGSLDLTDFVIGMFLIQSCMANSSLNLPPTLPN GLYETASGGRAPPPKAPASPISRQHTGGAVPSPVRPQYTGGMGGGILQPQRTGQSATG VSTPPRASAPQKSFASPPTSSSFSTIPSTSSFGGPQRQMSGFAPAQQQQSWDVTPQAK ATSDQFFSQLDTQNKGVIEGDVAVPFMLQSQLDENTLAAIWDLADIRKEGKLTRDEFA VAMHLINTKLAGQDVPSSLPNSLVPPSLRETYGNGAQEVLSSQGASSATKDLFDVFGD DEPAPTPKATSPQPQPQAQAQPFSTSAFLPQPPPPPARRMTAQSTGQKTVSPAHTGQQ PAGGFGMAPFAPAARGGDLLGDDSAEDKPSAVPDHSAEFGNKQNQLANTTKNLSELEK THAELDSTAKSSAEQLEELEAKLSSARSRYQTETKAVADLRIKVGEQKERHRKLESEV ISAESDLSAMRSEKDELEQALLRDKEEIRGLQKMMKEVEEEKTGMKLVLEKLRKEARQ QKGMLSIAKKQLSTAEGGRDTVQEEIKDTEQEIEEDKTELERASSPPQHASPPTQPTA VFSPSIASTVPLPATPQALSPAPTGTSTRSNNPFERLAGARSAPSPPQPPAQTVSPPP QAEEPTSPSFGIAALAGVTAAVGAAAGVAVAGAETLYDAAKDAVSRESPEAKTESKDK EVDPFGATSNFDEQKTPVPSSTGEEADPFDAPSTKDSTDPFGAPSTPKAADQSGFDDF DSGFGDSFTATSTKPAEAPTAEFAGEAPNAGASKDFDSAFADFDKPDTTNELGLSDEQ NGLEEGIPSGIPKSAIPAELAARPEAERTFSTQAVAPESIPGTPATEVTSSGLGNSPA SVKAPLPPSGLAQEVRAEDAESSDDEEEGPEDLEGPRKGYGSQPKGDEFDDFEPQQET KVEQPPSTAEPALAPPILSIEEPTPTKVRRHAPPPPSQKSTPAPAATPRADEFDPFGA PVTSSTIAESHGNAPKTASFDEDEFDFSDLPPAQVDQSSSAPVQSNNQAPASSSAFDD DFANFDDEFENVPPHTQNNATVNVNNGGSDNSNGSNASTSKSYEMVSPQQRFDEWGYG SGSQPAPTTHGQGQGEQKGLSFDDAFGGDFEPSGSSSLPHDDAFASPSGPPPTQQEPK LQAPKMPERRPSTAQPDDIEDVKKLCAMGFSRGLVVEALAANGYDFQKALNVLLSA L199_000778 MTAATAQPPSTSTMSGKSVFSAPGWVKSFYSKFPLVVLEQEDEV DWKLRARQGEDHAVELWIHPPSPSSSSSHHPHSHYRSWTSSSPSSLRTQLLFLLRETS TDVPVSFRSWPNENSAPGGTLPTLHILHQDRLLATDEIRGWLESTYPLKGKGKGLQGL PSQETYDRAVSLSHLVLGNLLPAYLASLPTRPSNFHLMFPIPPRLSAGLTTPLPASLT GDSRDIDTDEVTRKGVDALDALAIILENTNTGWLFDARHPTSIDALVTSHLHVIYSLP PSTVLRSTIDSRPTLGEYVDRVLDYAEQRIKK L199_000779 MSKADGKVDGKMKLRRRSKLELERHRLKEKQKERGDGLLPCYKE FWRGALALGGNIGIKNFDIDIDTDIDIGSGQAEKCYRYAQGISNHGHRYERPRVSGSR DGQKKEAKRLLTSKPLLLSPSKKDGWTTSSKVSIGDISNTGSPDPNGSSAHYRRHTTT SISLRSPSGSSYTLETGSGSESSHSASFNAKDDEQSPIPYCRTTTPQLPQHPDDIDMP VAGPSSRQYLTRAIAQGINLQSISEGYRLP L199_000780 MSKGSILFIFTSADKVLDGKETGWYLPEAAHPYYVLSKDFNIEA ASTKGGAVPLDETSKKMFTDDESVKFLSDPKAQALVKNTKKISDVKADDYLAIFVVGG HGPLIDLAPSKEFAKLVEDFYASKKVVSAVCHGPAALIGAKKPNSSESIFNGIEATVF TNSEEAQTPYNDFVNTLPFSPEDKLKELGAKFVRTEDWGVKVVYDGGVLTGQNPASAG ALGEKLKEILLSA L199_000781 MQFSTLLSAILPFLLASTTAFAQDPTATSWPAAPEGCDINVAQK LYSSHSAQLALGASTSVVVGFPTEGAAKPTDQCEDDGVNPQKRIIENGHAAYIAPTGG AYGMSK L199_000782 MSRPTSSSSSQRPSGSGSTNMMGGESMGRVHTFSPTPSASTSHQ PIYYTHEQIVPDGYIQPYNSYGGEIQGQMITPYAGHQVAQGRIAIPMHGHPGNVMAIN GRGSNKTPMRSTPPPANGFDDLGPSPFLPEEETTPYLPAHFEAYGQMSASPSMGQMQL AQQNHLVSPTDQMNMARMAPPLHRNNTMPLLGHGHQHHQQYQQPQPLPVGRQRQTAQQ GRPAVIQRQQSMPGQIQRPTALSRHASLHGPSRSASPHIGGDIFDPVPPAANGSPSMR QQMHPLPPALDNMDISWDLSSYDTAFNPTGQGISPARALGPAPNYPQRFSPHREAHII TPQNNKLGYNNQIHSSAVSVASTATTSSSISSMSDISVRSAHHQIKQHDGDSSDDEHD SPTRGPSASRAMMTMHLEEKRNSGQMPRMIKQIPKSTATGGRTSAKFAKVAEDPGVEG VPPGPRPMERPSPSFACIIGQAILRCKAGGLSLEHIYRYVETAYPFFKNGDGAWRNSV RHNLSIHKMFETIPRTEMFPPGKGGIWIIHEDEKCHWPAEDKFIKNFPPSHPHHAVCR QTLHEKAKENEAMEKAAREGRVYVPKKGKKGRKLAAKDEDDDEGSTEMIRTSSMLTEF PMQRTESQQDLDLGGSSTPIAEAEDESTTPKVTFKLLEPPPLNKQESTESAELEDDEG EFLPIEAELPDPAPVDVTPVDPVRKRDEQMARNGMMMPPRFERKEKRRPLEVEDDNVF TSTKRVRVAEPLAPIHPIPQETSVSIKELDDSFITPERERPVSNNKNIMSSAFKTPAL IQTSSSPGSSPMPSTITRSTHHPSALQQTWTHDDMAENHSRESSPARPMLDAAFDFKP KAPPRARTLAQEDDYLPSKQAAHHHSPRAPPKTPVSRSSAATDKTPRLQHLRTPSISK TPMFFGGSPALPPPSASALLSTPMWEVGGVLDRLKDHLTGSPTHSTNSGSIRSPMPST DPTRYAMLLDSGGSPRKRRDVSL L199_000783 MSDDGSDGSDESSSRPSSSRSRSRSRSGSGRSSSSSGKSRRSAG SSRGSDGGSGPSSKKSKSRSIDASSQLTKAETYLKTITDESIQCTDFDTAVSAVRTLT DMSTFCEALKEKWMKQIKELRQKEDRTKSEHEKTVESLINPSTAPASDTVSTASTDLP SEGKTVGTASAESAKADEGMKSEGEKEESKVGEKKDDGGEKKEEAKKGEGGEKKEEEK K L199_000784 MPVKKELQIDLYHSETEGEDTKPMISPPETPKPKKSKSNTSSTP SSSNGSTTPSPTKKAKAKASPSAVDQDRLSAKGKFAIMIIEKGIEALKKDEVEVATGL TPNQQKELVRKDAKGALRKNLIVLAEKL L199_000785 MPGRFLLRRAQSTFHLVHQSNDSPKLKDKSELSQPTRSLSDSCL DGIPTIACTTGPTVLRSVINPSWKPKKERNVNHLRCNTLSERRRLVEVEEVIHEDLEI PFELDENLPTCSSFASPAHSLLTTPTFPGSPTVSDPLDFGSFDSECDHEGCIDPSLCS MSYGSSFNLNPASSSRSYMSKVQFANSPIYQYQEEEQCSWASSPILPSTLACGRKGSD DSTSSQNTDSTSHSDLVSDTQFERDHDGLSNILSGCGSLIDDHSDSFLQATSTSSPTF SLSSPSSPNQAHQRQYSSCSFLHDRAQQMKINQSSSRSSNGISPIHSPRSDENTIKSV GFKPSPPQQVQILLEQSRLSLSTSSSKGQRRLSMIRELE L199_000786 MPLTQRQPSPLPRPLTGESSSTQIDTLRTSSPAYLSPSTPTTPT HSKHRTPVPYVKLLPLLVQRCAEGLTYAIVFPYINQMILDMGVEEKSVGVWSAIAESA MMGTEAISAPFYGPLADKYGRRPVLISLMSLWGVFGVAFGFCKSVWSTIILRGALGLL AGCGVISRTMVGELCDKSNRIQGFAVFSPAITVGMTLAPVLGGFLANPSGRILPTSWR SFADYPYLLPSLITGLAAIASGVLAIILLPETLQRSKTASISHRDLEKSSNDGLKGLL RYEPFQNVLLLYGMNNAVMFSWEAIYPLFGFTSKNLGGLGLSTQALGLVLGFSAGLSI FMTIFVFPLLHGSLSESTCLRLCLISYPAAIIFFPILWAMSYPYSGDDLPISVWIVMS IQMIIRRIGDFATTQLDTLVLDSIPGPEHLASANAITFSIAAIGRASGPFVISYFFSL STRFASPFSPGRHLVWIIFVLICIPSLYFANRLLNESNTDNPTKVEGREEERYELISH NHHEQQVRGSSSIEVQGEDAVRYRVV L199_000787 MPFNDAVDTAEFKAWLVTTIEPLCDADPIVMSDYIIALLKHDAV MAEDEWKVFISRELEDFLEDNSRTFVDTLFQTLSNKSYLPAPAPVIAPTAPAIVSSYR PQVSAPTAEPEAGPSTIRPPQNGQPVVQHNDVEMSDASKAPQGNAQAGPRRRGKCFDY HEKGFCMRGTACPYEHSDDMILPSPDMMFGGQFPPQMMGGFQGGGGRGMGRGRGRGRG GMGGPHQQNGNGHLFGPGPNQGNPFPQQQMPFPFPFPFPPMPGQPNQFPRGHHSKDIP SEYMGVNRPPSTRDITTLVITDIPQPNLTVQAIRDYFQQFGEVTNVAIEGKSKRALIS FENNQQAFQAWKSDQAVFGSRHVKVLWHKPLPGKGSAGQDALEKSSELLANMKKLESG QGQEIQGNVKVKLSGPESRLKATLAELETTEKRAKKETLIAEQKVLFSKTQNASKEEK VQILGRLKEINKELDQINNPPPKEESMDVELTEKGKLDRELAKHGMETTEGKDQAELL KLSAQLSALRDKANTLGINSSARFSPYSRGGSRGRGYGPRGRGRGRGGPPRPMRLDNR SRTITISGQGISNEHGRKAVQEWYESQGGVTEHVDGGMRVTYPARDMAEKVLALGTKD ITGLSAGININWYTAPVGSHAPEVEMMAEDEPVRGERYDEE L199_000788 MRSTTTYPALLGLFGTLSVLGAPAPIPQSASASSATGSVAGGGG SSGFSYTCSGEKDWTDASGNAYKCQGDTVCKDGTPGGPCVWPEGYGAGSVALVQTDAG GSVPSAIPTLPSNSAPLGSSVQSSVAGSSPTATSVVAGAISSTIEESGASSVAGSATS TASASVPTSSTVSGNTTTSSNSTTSSSGSAPGGTRFVGYWENYSNLGGVEATQMDGIT HVILSFIDMSSWSASSASFAESSNGNFDATTADTLRGMSSGVKVTAALGGWGLDEPIK TAADGGEAAIKTFVQNAQSVVKLLNLDGLDLDWEFPTAAQQPAFVSMVKQLKAAIQEI KSDGILSVAIGSRTTQKSPTTGAMDVDAMTSDTFSELNDVVDMWNVMAYDFVNRYDTK TGHQSGGKVVEDTLKFYEGVGIDLQKINIGFLNTAKYFTLTETCFASEPIGCPMGGVD FFETGGKDNGKSGWLRYNAELDQGLGEYAAINAEMRSQSWANKPDDSQTAFQAEKAHA WYDEAKKVFWTWTTADDNKAICEEYKSKVGGMFVWSMNQDENGKAGGSHMQALAECVK G L199_000789 MSSSSKPPSSPVPPLEQDQEDQSEGIKVTSHDHPISHSHSRTSS QTQQTSRRRASTLIVHNHNSKGPKLFPIDPSNSSEDAKPPAYPIRPPKSSLRPRFPPI RKRSYSTVSGAGAEGEDRPRHNSELSIGGLEYLRGILSNFRQSLRNNLQEEEKHLEEE EKEKEEGSEIQEKEVRDTLEKRKRLSSITLSGFFNHQTQSRRRSRSRSLYERISNNTS KMSLSDQRSTQRLINEFMEDLTPRSPTGSFHSLSQPQRTTSPLPKHPLVDRVRRAGGH RRTLSSPNPIPRASTDGNSNESQSERGRTLSGGTFGKPLGTDGLISDIETLRSGRLIN DGTASAPISPALTTTSLTLPPVQLPFIMARRSNKPPEPTNQPRPPSSPKLFPTLPIPS PPPLSSPDNATPTPLMGSPPLTTPPPINTALLPLPPPTSPLPALPSPPHTPSRHSSIG EVSPDTHPFAAVVAMMEAGDSPTKRRSRNQMMKERQEVLDSPKSTKKDKGKERDEGER PFSSQGRKQTPRRGFIGPGGIIPRMPSRTSLAKLKISPSPKASKDNISQPNPVIVSIP PSPPDTAKPFPTPTASRPPKTVSVLADPITPTSTTYLRPAPATPLTPFWSKPRFAVSS SSPIVGRNQSPDPSTISRQGTPIPIYAPRSGADGLPPDPGSELVEIPRFKKKELNLGI VRRRGWGQRSAWLALWMVWLINGLLSLFFDVNVIYILVQCTTHPSFDTNSSKSWQFAT AAYGVLWAISTLVIWIGWEVGYEFWRRWRLPRPAVDPIYLSLPACLHLSLISFNHFTF LLHIRTSPLGTPYLRDIIPEACHALIQLLPGLLPLLPRAAIAVVVLISFWEPAADVQA PYGGAVDETSLRDSNFFRSESPGELTNYAKGILLTFTVWIALRLVVVIASGIGLWVHS GRPLGGLIGHRLSRKKKVSTGPPTTPRKPRSSLQPRDPSTTSSPQKSWVDQENEFDWA WRERTRSRIQDAFELCMIRRNNDGNGLGRLNSFLYQSEIPWGRMMDRTQPNTQWRSGS HSRIDEDGIESEIELAIRNQQGERGPEPKKKVKKPISTGEFIDGFVEGKDLPSVTTTP EKKPARPESTTLIDLHAHSHPQDKVHIHPSPSRANTAASSSATDLFFTPFEGNTPLTE KTRSVAEGIHKLPQISPSLGGSAPPPPSAYRPAPTVGGLSEFGVKEGERRGSPDSGSG EGDDESTGLLTNSNANSTTASPRNSIHSKNPSTRNRSQSTTSSKSGSNDHFTSSRSSS FKRRTRAYTTNGSASKGSLRRTRSSSITLLRESVANAANASGHLIRRARSGTVLSSES KYSRMDDERGSGEEGEEEEMLDPGKVTPRSGRGTGLGLGLPFAINETTAT L199_000790 MSGGMQNSQAGPSAFTNYASRFLSRKMGNQEVEGSQIFRAPSPP SPSHDPFLPSPSITSSHPHLAGGSRSSSPSPSDSVRHTPPFPGPGIEGIPDIDESGMG SSIGVGLLFSAPRDEEGSIPNPSSSLGSKGKKSNSKPTSSVPNPYAASSESSEEEEEE EELDLDEVANVRRSLINKPTFQQQQQQQKKPLSERARKGWLAHQSVFPPSSSSSSEDE DSDESDKQTESDSDSPRMTGNSRRKSSNSRSRSRDQNDPQGYMLSPSELYNVSTGLQD AYGEPSNLEEPLLGPDELDETRSGGQRRGRVPVRLQVYHGRFGHWEREGLRKYKDSGF LALWLTSLIGIIIGLGFVWGSTDPPPSAPGTPTRSAPSIVPLLPLLLILLIPTLVLPP AFLLLLRKTVRPVLLATAISIPFSLFLCGWWALGESFDTSGLTGIEQGERWWGTTGLR LGAVFLWLLAAWFGRLVWKRRKRLDRTASVVELSTNLLLTHPPLLLLTPLLLGVFAIT SIPFLTLLIRLGTIGYWRHPRENTWIFHIRPYAGWLIFLVTLVWVWTWGVIRGIGRVA VAGVVGEWFFHREDQTHPAPLEITTAAVHRATGTSLGSICLGAGIIAIVRTVGRGAAE LKRVTNPKSKILPTPLHFLTNLTPLFAVIAGVLDQLNGYALVYVGITGEAFWPSARRA VGLAGRRKGGRLLDYTLIKLLLTLSSTAMGLFTGTAGYLYMTHSLSNPAYAPLAGLLC GGLPFLAVRAGAAVLGDAADALFICYQIDRELGGDHCEKAKEAFAGELPRGEGAV L199_000791 MRGGGSNMQTQNSVSDDLPSLPARFGGVGLLSFVKVAPIAFAAS LDWSAEVLSSFIPAISPPAANYLSQRERCDVVFNQQQLHILAQLSPLDRGLLLESASP IGRRWLSLIPSSPAFVLNDFEVSSALTYRSLFKPQANQFCPGCHKPYFLGHFDVCQSQ RTVFTIRHNRIQWALVSSLRRIPGADVTPEPPIAGTSLRNDIRLRGSATCGLSNADID VTIHALSAAGSQGLDRAVPSDSTTTPSATLRAETEASLIQVLDMRAKIKRNKIAKLPT YSDAAIFHPFVLSAGGFAPPQTQALLDSWRKPMNPYAFQHLLSKISLAIVQARAQTMR AL L199_000792 MTSASAYSRWVRLFLLSLIQVSESSTIESYTHSTSPVDLPALSY STTVRWTHTNSMSDTTLPSTSTTGWSIDILDSSDISILTEVTTITSTAPTMLISETVW EKSEVSQPPASCSSSAESPKLSSTTTTEPPAQTSSQAEDENREKVDELIEKAKNGCNE YFGCFAIILGFDKVRLEVSQWEPITGPNYWSVKLDNSAQDYSWKSFKILIDGDGDQGL IDKAICDFSIRPADKDKFVALIATNSAPYFKLDGANEEYITFKGQSCHLT L199_000793 MRYLFAFLPLIGGALASPLPSPEDAFSQPSWGFEVVTTTVTGSV GTVIATRTQWMSDDNLTSVNQGTTAFVTSVVTSYSRHSESTWTTAPSSSSESSATRTS TTARPTSTTTSDERESSTKQEDKPSSTESKQPEATEFTPPANDMKNSAKVEQAARDVQ EAGVFALFESNAPIKLEGSEEEKDIKDHFKCTLKLHPAPAGRYAYLQALASNPWYNYK DSDCIMEIKCDDWERDKEDGCTAQPD L199_000794 MGSHNGQNHYPQLHFELSAMEAHSLEALPATTFAFGREMHNEDQ AYGVQLRDFLIDRGYRTSPVPYKFRKQVKHDKFGVFIHSMNNQAERKQLPKGWKAFSF TKAVEYVRKAEFQAAILHWQGLDNDGKLPYDQRKFVAMTTGERGMEMAIRAFGQDSRM VQNHFNNMGDHMTDASHEDIYMLSPDISSINRPENRILAYAIDRNKPDTDDDEEEEEW GGISPLNVGSPASKVEEEEVNEDSQAGEEEGDVHVEEHHQERPGVVKGEDLVYIEEQV EFFAEDDESEDDTDQSTHEGGSWADDEVDSEEDEDDWKFEDRTSQDDGPGAGHGIEEK QDEAEEEDPVEVDREEDEEEEDYYNGEEYYIDGEEDDNGEEKLYLNDQEDDWYREEQY MDDQGINNQGLLQVEEAILGWNEGVEVQHVHPGIPHARKRSPSPTDIKNKRDRSPVRK RMRIRSPSP L199_000795 MRFAVLSSIALLPGLLALPTNVNQLKIRDDQTDNIASAVTITET LSSDLQTIVNRIKADPLTSLSVYVDNSHTTTYNIPSELSKAFGTAISGIESLPDLLSS SDPTQELLDDYGPLVKAVTLSVFYTLIDLKLLSQDTIGISIPGYKEFTNDFDQQSFEY TKALNAQYTGLIDELATSVGEDTPLGLALQAIGGQTFYLIFDHINAS L199_000796 MPPKRPCPTSVELEIDQLIDDNLDIDIKPFFPKSNSEISEEDSL KDIKPNPVHTSTSSAARKRSKSNTQSSIKTPRSTPTKSTLSPVRSENPPNAASAKARL AEVIIESGLKGYDRNQVELETGLTKNQQIEMLKKGRGSLWKALYGFASTL L199_000797 MDRTRYHKHPLPPGPTSSQLDPDDDSLAAPPASQPEPPQEPPPT TLEKPEDCVNIHPTPHFSLLCTMMDRLRTEEASKRRDILSRFMDLWRIKVGNDLYPLI RLLLPDRDRERPVYNLKEAMLAKCYIEVLGLDRHSEAAMRLIKWKQPVDGKAESHSGD FARVCYYEIAARSTVEQGQLSIDAVNILLDQLAQGRLKQQEYVPILRKINQQCTAAEQ EWIIRIILKDLRISIKEKGVFGCFHPDAGDLFNVCSDLKRVCWTLYKPEKRLEKHQAN IELFRSFLPQLCYRSPSSSHEAIAKLIGGPTAEFIMEEKLDGERMQLHMRGSGAQWFY CSRKAKDYTYLYGAHIGEGSLTQHIAGAFQDGVRNIILDGEMLVWDPILEKYVAFGTL KTAAGDRVNDENAPRPCFKIFDILYLNDRCLTHKRLSERKRLMRSDRIFKNIDDYKGR LEYAEEERGKNGKDIRAMLERILESRGEGLVVKKADAIYQTNSRGADWIKVKPEYSDQ MGENLDLLVLGGWWGKGGRTGKISSLLCGLRVQQDDDGTGTKPEFSTFCSVGSGMSYE DYEWILNKHKNHWKNFDRTNPPSWLQLGQIGLDDKPDVYIEPENSFVVEVKASEIVPA AANYGCGYTLRFPRCRYIYFDKASRDHPTGDDSQDRDMWNCLSLDALLNKPKKRYADA EGGSLRKKRKVVTKKAIQLMTSTRGQKLSDDQVESNIFGGLTFYIPKGTAQHPKQDLE ALVHKHGGEFTQAQLSDMSALVISPDEKNPLVRAQIRKGVSVIKPQWIFESIERRRPL PMLEELLVFASEEAKDDRYFGKTLEEIDKMTLVRDRGGSVGLDGEEDEAEKVENDYDQ EDDMAQDEHEANKTVEQVKMEEEWGLRRSPSPDSSKPTSRATSRAASPDESDTEDERY NESKEEEENDSHESSPDAEEDLRLLPVRGVDIAEDAGMGEDSSVMNYDEDSIFNHLVF YIDTPDNATKNGLGPSTPPAQATERLQQAEKLLRENGGKIVKDINDRKLTHIIMDDED SGRYAEIVKKAALPKRKHIVLPSWVIECVDEETLMNEDGHKPK L199_000798 MQPRPIPSNPSQGDNSQMMVDNEMGSPSTGPSGGGVGGISPFLG PGLTFSKITENANQPLTPPAPIRFATTSNAFGLSPSTSTSNSNISISSTSSTNTIRDQ VGNGSGSGSGIPLGMRVDISPPSAGSITTRKLRRPSMLSLQQNFSFGSDASGGKADDE STPMGEVNPNSNELQMNMNTDMFQDPSSSKSATAPIHTPPFNTNTLQPTPRWAGHGGS FANALIRRTSSAPSIPFEDLKTSTPPITPTPQLPAEESNMMMMDMEEDAQGSTSSGAG AETSLRWQPSHLKSFSSSNLRKGKGKMEDQNQDQSHPSGLDLLSPTSIPIQPLPFTGK PLPNALLKTLASEERPLDQEIESEARLQKFLLSHPTKLPLTPRNNIKMNKGSRGRFPD QVGGDDDDNEDDILFSTGPNRRSTSWTTSLSRRSRNWMDRARFDDDTDTESDDDDDEE ILTNTSTNNNNHNTNKGKEEPVNTAFAAGMDMDRPGSSSSSSVNMWSGGGSISNDNSG KSTPPQVPIGMANPFPTPPSTNNNNSFPPNFNNSRSARLSFGQSQGMVPSPGYGLPSA FGGLGMGGNGIGTPLGSPTVERAELGASPSGSGTMGVGSPGLMLYRESQGGQATVRPG KRKAQAEDRFDPYKRPRGSSPSFMGSSPFPISPSRTNAIPIPQSPSHAPLYPSSLSSL SASHPARHAQHGGRPQHPYTRPMTSRSRAASPALSIGSTNGLSSSLGNKTFTGNGAVQ LQQQQQQPQQQLGGLGLLSLQNSRVDEEDEAQEMKRVDSEEKMEED L199_000799 MPFQPPTRPHSANPGMNPSIFPRTVSSSSVYKHDQKQQKSSSMS SFGKHSASAEEWEDAWDSSSDKEDDPVVGHAGGEQMTKSKTVPIPLNGSSSKNGRVEE GLVIGSWNSNSYHHVSPPSPIKPTTSGRPNLQTAKTFSEGTIPPIPGTSVPEGRHNAA GSVPRSKLPPGGAWEIVEQSEIREQDEEDTRLPEQVGKEAVKDDVEDILKDPLQLLSN LSLDTPQTPSSAEPSSFPFLTPGSSYPQTPSTASSVIHSPEQPASYSALPTTPRTNTI SSLKKEGGSSLGRQRSVRTERKREKFVRVLKGRNEAVELAELRKLAWNGVPEEVRGVV WMLLLNYLPLPVQPRLTTLNRKRKEYTQLVDQYFGRGLSSLDQQIWHQIEIDVPRTRP GVALWSCVQTQRSLERILYVWAIRHPASGYVQGINDLVTPFFQVFLGAYIDTDPELFD VTHLPPHVLQAIEADTFWCLTKLLDGIQDHYISQQPGIQRLVKRMGELVKRIDAPLAA HFEDQGVEFMQFAFRWMNCLLMREISVKCTIRMWDTYLAEGADAFSQFHLYVCSALLV KYSERLREMDFQEMIMFLQCLPTQSWTDHEIELLLSEAYVLKMVWQGAENHFANLPNG NQGQFGMLGR L199_000800 MARGPPKQAFRPPAPVQRATTSQQNASQSQGTTQKGKGKQVQNQ DQTIVTKEDSFDILKVTLEANIGIICYLRNLLPEEDFEYFYICGSNAPPAKSPNELYR LTAEEARAWNAKQQAESQSQSQSQGVSDEVSDVRTFSWRKIKDDQSRQGKAICQLLSG AEEAMRKGYLRSLMLIIFLDEEDPTNVIETYTFNFFYHESTGAPSMSVEHSVNRELDQ SLKGLALLDKNRMLGNPMTHLEVRRMVKVTAHSLVKVLHGMGELPKKRFVDIKLFYND SAPENYVAPSFADSSEEVLVLGTNDVNNPPSLLPFPEFQTGHHGLSVSAVSVTDSLPE IPRRKATGPEDYAARYAEDDLVKANVEERDVLWTAHDRIAYEPSAPNVYTACIDPSKA VPQDPSGALKQPIGRRQEDGTIAPIGSSQSGNGIERGRQKRRACEGARYIAEETLQMS QAMSELTPTRGSTRASSSVLSQANHGKSIEDSLFQQNSQSFTGNHSQVYLDSIDEIEG GHTDTQSPSLSTQRLAVALHQKVQLNKRKSSDDDDTDETQTQPRKPRALKSIVSTAMK DNGYEVKSTGKSRPKPKSQSKPKPKPKHKTTAKPKTKAAAKPRSRQASARTSVKAKKT SIGNGNNKIQSKDNDVIECYCGLNDEEGHMILCDGCDKWFHATCLGFIDGSSASQLTV NCITCEMRNDKKRHWPQEDIDKAVREMGAWALLRQIMQELRTRGQLGKDELPEIQRQF GCERKDVDLVLRKLENEGLVEMLAEDSDDSQSSNDWTWKWCKNANAVNRFVAYFQYGR GIEDELFAVRKWHKRPQIKTDASQSVPGSQNGGFLESQFQRSRVHNLNNINADSDKDA DDEEDIEMSNDDTSTIGPSKASSPYELPFFRSSRAVRVVDCSDVWTEREEDMDEDGAD DA L199_000801 MCGLTLTIHPLLPNTHDQSKPNTTSALLESFRSTNVQRGPDSQR THKHVVELKDGSRLELCLTATVLGLRGELTAQPMVGKRGVLGWNGQVFEGIDMSKDEN DTRKVFDRLEAGADIEEVLRGIEGPFAFVYLDLTTETLHYQLDPLSRRSLLIHPLEPS TENTDLFILSSSRSPPAGEQGIDMRALLGGEGGSISLKNVLLSKSNEEGSMNMTNAIV QRTTLDTPESSSSPWTRISPINTALPPPELPQQNPEIIAEVPEFIEQLISSVRRRVEN IPEPDKGNSRVAVLFSGGIDCTFLAYLLHLCLPPDDPIDLINVAFSPAPKPPNPYDQG KGKEPVSPSYDVPDRLSGRDAVAELREVCGDREWRFVEINVPYDEARTHRQNVIDLMY PSSTEMDQSLALPLYFASQGIGTLQESNEPYHVKAKVYISGLGADEQLGGYARHRHAF QREGWEGLISETQMDIARLPTRNLSRDDRMLSSHARDARYPYLSLSFISYLSSLPIHI KCDPRLREGQGDKILLRKAVESLGLKRASGRVKRAMQFGTRSSKLGGSGTGVKGPKAG ERQVE L199_000802 MSSVTSSIDWSSTPADTPQTGHTPIPTSLTHNSMSLVYANTLVR YGCPHPTHRWDPLRTRVSCVSSGTRVLKLNLKNGFSNILGTACGISSIRYGYSLRNYG GMGDSGTTNLTHPCTVLTGRSGDIAGQEIPNGFRQMCMDIVSVGSGMINLRGDFEKDQ FHGLETLNLNRNETKDELQMTLDWWNYYTTYLSAERDGIDLGPSMFPPRCDLTGRRTG LSSEDNVPVIESQQGSSDYKPIFPKVVGSDGKIGYDWASMVELFNPDADDQVQRQVQT RPSSTFTCSVRDDEPLPGNENNQRPVSLPQTPVTNCM L199_000803 MAFGDRGGRGGARGGGRGGFGGGRGGGGGGRGGFGGGGRGGGGG RGGPRGGGAARGGRGAPRGGAGRGGGRGGKPGMGKKGPGAVTLEPHKHAGVYIAKGKE HLLVTRNMTPGESVYGEKRVSIASTNAEGEEEKIEYRVWNPFRSKLAAGILGGLDNIF IKPGAKVLYLGAASGSSVSHVSDIVGPDGVVYAVEFSHRPGRELIGMAKKRTNVVPIV DDARHPQKYRMLVQMVDVIFADVAQPDQARIIALNAHHFLKNGGGIVISIKANCIDST APAAQVFASEVNNMRKEGIKPKEQLTLEPYERDHAIVVGVYERHSGN L199_000804 MASTSTLPPLSNSSQPPTPFQPQPDPSTSPSISNNPPPQPPPAM PTYASINSAPYSAYSQQQQQHVPHAPYTYQPPQPYYPPQPPRHSHSHNVPYNSSAPQQ YNRYPDPSQSYPHQHYQPLYHQHPYGSYPGMQMGGGGGPGPATMQNGYAGYPPETYQH IPYGVYPQQGQYSIPQESYQQQQYPNQPNQPNGNTEEPESHQPPIFPSHDPSINGHIP PSQHHQSFHPTYPPNHPYSYGVGVGYPGYQPHQQQPHVNYGGYSGYQDGYIPPNSVQG GQRSFSKSLNPSAAGFSFTPSSASGSRANSQPSSTPVPNGDTPSSAFDQSSQLPSAVP STIDQSSAATTVPNGHSHDLAPKAESQAEEPSVQNIKSGKDDTENGLRLTTKSETPVS SSAQSINPNISASASTTNATESTAATTVSSPVSAQTPKAPEGDLPTITSQSAEPQSSW TFVGEGLAGITSPNSGSASRRVSGPSMASAGSSTSGKKRNAALPSTSFDPLRLSSGKP DHISEKSNAYSASLRKFIPDTVKVKEFELKVDKKVSQSKKGRKEASKKKTTFAFGDAK KSSNPQASEEAKKIVFGEVDPSVLYIPTPPVKTDEPKPEAQVETSETKPAPPSSDIST PQPKIKHSSWAALVRGPSATSPSVTPSKAPSPARSTTSLPHAESEAGPSRLPSHEPSA EHVTSPAPVEKKKVPFNYAAAAAVGATMTPQEELAKLLSEGVKGKGRETAQATLPRGL INTGNMCFANTILQVLVYCSPFTELFEELGKRLKADLARKTPLLEAMIIFLREFSAPF PPPSAPLPNGSTASGTSTPKGKGKDPRREAFIPENVYDAMKENKRFDSMRRGHQEDAE EYLGFFLNTLHEELLYVLSRTQPSVSRNVAKAVPNGDSDHREITRPVSPGAGDESGWL EVGKKQKTHVVRATESKESAISRIFGGTIRSLLRTPGSKDSVTLEPYQPLQLDIQSSN VLSIEDALKHLTEPEIVPGVWSQTKKAQVDATKQILIETSPQVWILHLKRFVYDPKEY NVVKKDKGIAYSQELIVPPEIISPGRRGAGAIKYKLFGVVYHHGASASGGHYTVAVSR QDGGGWIHFDDEIVTNIPKEDVIVSKEEAESGKIGLIGGREKTAYLLFYQRVR L199_000805 MSKSATSSASHPRGRVYSLAEVSRHITRSSTLVTYAGKVYDLTA FLPDHPGGDDIILEYGGRDIGQVMSDETQHVHSKAAYEMMEEFRVGELGGGEKIVSED WVADENFHPDETDLLSDFNTNKFIDLSKPLLMQVWTAPWTKEYYLSQVHEPRHLKESA RMFGSDLLEPFTRTQWYVVPLVWWPIAAFLGVLSVGQFNDPSLSAKQLLQFPPNFSVL PTATLFSLSSWFTCFAVGVVIWTILEYLLHRFLFHLDYYLPDAPWAITLHFLLHGVHH YLPMDRLRLVMPPLLFFVLQTPFTRLAHLLFPKAIANGIISGSFAMYVMYDMGHYALH HTKLPAYLAEMKRYHLAHHYKNFELGFGVTSKVWDYVFGTMLVTNTK L199_000806 MSNPYQQSNGYNPAQLNPALFASLTNQNQQVQNPHPTLNLNQTP MQPQQGNINMNPAQAQQKSANDLNGALQNIMLPMFNRLQGQNVARMEQQRMGINPQQQ QQQQQPNQQQQQQFQGMAQQMNMAGLPGMSMNMNTNMMNHQQPQQPQQPQQQQMYGQQ PQQGYSIHSQQQGLALQPNPSQQQQPQQQPQQQQQQPQQQMQNLQGMNMGMLGDSEQG RRLMLSNMLSQATTGTHPPVHGQQQPPQQQQMQMRPPLQQQQQQQQQQQQPQPQASQQ AIQLQQAANLNNINPQIAELFRNRPDLAQAVMKKHGGNAQRAMEDMQRTVDMMTNAQQ QRAREAQAQLQQQQQQVQQQQPQQPLQQQPIGVGINGQMGIGMGFPGQMPNQQSQQPQ QQQQRPPSQQMQQQQPQPPQQQVPQQQQPRIVSDNGQFNMAQGQQGNQYANTLSQLEA LQQMKERQQRSGLKTPQMQQPGLPMASPQQPVPNVNASAPQAGLGVNLNQPYQMLQQN LPQPQVQPGQRQTPQMAQQQLVSQPQRPQPQPHQSQSAPTQSTPQMQTPNLASGSMAP PPANTSGGPSRTQYFEQIPLWPNDKLTNATSLIAKKLFESLQSGSSGANEQMSRYHLL LLVAEIKKRGLIIPQDALTVATAFMQAPNATQALMAMDPNALRQIAQGNIDRIIGAQE QTRSQMQAQNQQQQGQQAQQAQMPTQPQHTRQRSYQGQGQPSQQGSQNNPIELITPTM NQMVLPQQFSTPVQQNAAPQQQQAQQQQQFVPQQQQQWAPGAVQQTQPQPQAPAAPAP APPLMGLESMNLPEENFWHTLKQIHPTVNVQNPVIEGRALNLYKLFQIVLKNGGSAKI DPSRWTIVAGQSGLAPEPLQANGPQPLISTPNAAQQARACYAQVLQPLENLFMARIQQ SRQNVGQAQQQVQAQQQPMQQVQSQQQFQQPPVQQNPQQVKPSPQIHQGPLSNSQSQV PQLSEQQKRFLEAAKNAGPGTGTLEGLAQQVTQQSQPASTQQTPNVPAPDTAPQQAQS QSQGQSGNVKGNPLKIYEFIKTQETQVRAKLEKNPSIPSVDKEAYRNELAQLLPIIRE AEQRVPMFLMMMKEMGAPEMSAGPQIVSMYTSALYASTAAESDRYILNVSDLHRIRLG LGQLMARAQSMFRALTDKPGGNMLLKEMNTALNKAKLQFIAQNQAQAQSQSHAQSQSQ PQPHPQAPLTGNQNLAQQPVGSSPANIVEAIAARHKSLRVEDLKPPPAKRAKGAKGSP ATPSGTGQTPEAKTPAMSNVDSPGSVKKSGGKRKRQSSNAVSSATALQNVVSSNLKTP KDLMAEVKESMSKVSKPSLAPGASTTAAGTSATLNALGIDLTPNEIVNQVELDQNKTF FDNQTQLNLAAGILPYQSSSSSSTTIMSNQEENNLIAGLPLDTWQIFTQAYEAFQASQ LQANQVQSQVQPGNGSQNGIIPPTTIDNTILGVRLNGNGNGIVTGGGGKDDLFEQFID VTQLTEELPTPELFRINSNLLDGGGEESDNSPESIKTVASTNVPLVPIYTSSGSTSNQ NIEQPNGNDDKLNRNNNNNNHGIANFALGSDNIVNVGDDYLGGSSGMNMATGLMMGSE ESGMYNGGIWWGNVNVAGGGNEAY L199_000807 MPSSKSSIDHRDVYYRLGKSAGYRARSAYKLLHLDEEFDLFTGV QTAVDLCAAPGSWSQVLGQKLTDEGRKIVSVDLQPMARLPNITILQTDITLPSTIPLV LDALGGRKADLVVCDGAPDVTGVHDLDAYLHSQLLLAAITLSLTLLAPHSTLIFKIFL SPLDPQAALLASQLRCFFPGPSSSEEEYEEFDLSEKDEIDQDLKDGKMEVDETVSSNA GKDGYDLKGRRGGVWVRKPRSSRKGSGEAFIVCRNFDPFRVPLPSTFSDSALAELRQQ TSGTLTMESLASLGTSEAKSSNEWEMIKAYVGGGDLNPITAFPTPSKARPTAPPINLQ PSTSPSDHHEQLSTSPKALFAHPTPLDGPPEYFSPKASPEPTSPTRRIKAAPIFLSPE RLHLDTRRLAGPAARAAAMAYGSSDLPEGSSRQSSLDSLTPNPSPIHLNDPSRPWASS SHVPLSSHHSASAQLSVPAPQNRDRSSSNASVLSATSTRDDYLSATTPLISPSLSSSS KTDLFFSSSTKDSLPIPPRALPNAAKLGRGLPSGMSKNEDRSASVPTRRPSQTQREER TSAWAESSSGRGSIDLTSPFPSSQGYTPSNYSGLPIRDDLKFGFEEISTTSRLRSVSN PTSISIPGTPTSTNYSPATSRFLKSNQMNEPLATLPTLPDVNQYTTPTKNRMGHGRRD SQQLEREHSQAKEGVGCKPGDIVGPEHQDDQETEGEDKKSKRKGWKLISKLGEGAFSA VWSAIPLSDSDNAKDKSNSEGRIAALKLMDRQLSLTDSRTRISFLREVEVLRHISHPS IVSYLDSFTTPTHHVLVLEQLRGGELFDLMSSEENRKRMLLPSPTPSSGDENGWDRDG EGFIRRIFSELTRAVGWLHEVGVVHRDIKLENILFTINPFTLPPTSTDSIPLQLLPTN APLIKLTDFGLSRFISTSSPLLQTRCGSESFAAPEIIMGKPYDGRETDSWAMGVVLYG LVVGELPFDRDDDPSGSVIGQAEVKIRGGSRKRMMKIAKCEYTYPRDLSCTSQVKEVI DKLLVRDPKKRLKVNSKEIWELDWMNHTKPGGLPRPPGSSSSTSNEGMTRDGKIVKRK VLDGFLVEEDGIEEVARAEH L199_000808 MVNPVSTGKMAASPAETVQPAVLYKLIIFAVLMAVAPIGTYFTV LTYFTKGNTIASAIAAIIAANLVLIGYIVVAWLEGPPGPIVPPSPAGEVKKNR L199_000809 MASSEIRRIALADPHSSYPPRFVVGGQVSQNVSGDVKMYEWRRE KGEMGMVGLQTDVGQIRSMAWSPLPTHRNILATGLATGKTVLLSLSPSTLSLPLSSSL SSPASTIATLNVKYSRPVTSIAFSSLDSNYLATGYEKHRSEYSLLIWDIADAISASGI PPDGEKEWERPSIDNRLEITNLTAKINISSEPRHIQHYCPSENVNSLSFLTNSINQLL ASVSGKTIRLYDLRSPSPPSQSQNQTQASSPGAQGSTVIGSSSQWTTRAVNGLTPNPS TQKEELFASYEIILAGANTHSIVRLWDVRKPGYEILNFDVAGSIVGLEWKKGNNDGLL GVGTRDKGVGVWEIIEGKRVEDDKVVEEWVTLGGMRQIVKPRQNLHSFTFAPSEQGQG DVMFVLKDGTIGIGPIGTAPVFAGGPQGDVAICAPSLRVLNPDEISESSTPNQPDSPT TPLPPSRPEPEEIEPIHRANRFQLAPERVTQLIAERSRSSSPVPPPLLGSTTPGPDRT PFGSISGLREWYEGTKKRDLVDDNEQLIGGWDGWRRTLGSDVGVVMRRRAMEGYGLDD LLLNAAIATRHPGKERLAGIWEFIDHLTHVMSPSLSSYRGYNLTHHGVYPIWFGIPNE HNQPSSPSSIYSAATLTDTHPHYHPPLRQSSTSAAWTSLKSQSATPAHSRQTSSPMPG GGSTTPATTHRERKASDRRGDTELDREYIQAVESLNERRKEVGGIGRPGTVRAAVGGE KMELRKLILSVCGENGESAKDELDRLVTSGQRTKAAFRAYFAGDEAATISILMASEDQ HHRLLGSTIAGFMTQSASARGSEFFNSHWQGLVNRVDDPFVRTILSRIGGDDWESVLE EEGIPLLDRIGVGVQHLDDREFSIFLRGRLSRLTRSNSLHLLALTGLSGPGISLLSRY LARTGDIQTVSILSCLFPLNKLTKDERQIVKRWRECYRDMLDSWGMWGERCEFDVRSG ELQRALSGGSGGDEGVGVGSMGERCPVCNNLLSKETESRLHRKHAIRGNVNVGWPSER TTTCMYCSAALPRCVICLMHVDPQRPPPLTGGEEEHPEHITDTIDAAYVCCLTCRHGG HASHILPWFEGGLDGHGLPHSKCPVMGCECECASL L199_000810 MASRKKVLLKVIILGDSGVGKTSLMNQYVNKRFSNQYKATIGAD FLTRELVVDDRVVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNSSKSFEALDGW RDEFLVQASPHDPENFPFVVLGNKIDMEESKRMVSQKRAMTWCQAKGNIPYFETSAKE AINVEQAFQTIAKNALAQEAETELYNDYPDPIRIDSENSQNYGCNC L199_000811 MSSLVHGPPEWQNTIKERLMAKQVENEVYKDIVGQYRRLAKTAR ELKVRNRALAKSGGGPSSAEGSSALLSHLDAQLTSLRSELSTLYRTQAASQNKQLSMA DALRDRDEEVKGLRDELRELRDQRDNAYRKDRDWEERWRVRNKDMETLNDELLSLNLE LSSLSQQNKLLKVDNANLLQRWIDKMNLTAEEMNIEFEKEQNQNQNKAIKEDDDDGEG EGEVPISISVSGSMEDLKEDNTPTGNERDRDKGKSKSKLPDKVQTSSSSSSQTLKTPA LDKPKSTTSIRAKLAASTPTPSTGTSRTRNISSASTINVKEKEKKDFQ L199_000812 MPILEAPTPPRANGHMNGTSPSKRSPTQALYNGFTTSHSMQSIA SCSTTAHENEMNGRSDPGIDDERMNMDQEEDGDEGFSEASEEEDDYPNVHVEVRLYPD TRISDHSRIVYWVKEHILSDVPEIFLGSYLSGWDKHQELGAHVEKVWIEEGDQRTVDT KQADLNIHVYQPKRHEYIEDFNNELEDYDDEDTVTAGSMRSLPSSELDGLWQTLIYSD NLKSRLLNFCYSTTFFSDQGVDSNIIAWNRVILLHGPPGTGKTSLCRALAQKIAIRMR PNYPQAKLIEINSHSLFSKWFSESGKLVQKLFDTITKEVDDDRQFVVVMIDEVESLTA ARAAAMTGNEPSDSLRVVNALLTQLDRLRIRKNVLVMTTSNLLDAIDEAFLSRVDLSE LVPLPPAEAVYSILKGCIDEMMIRDMIKKRNLLDYRRASDFSGMWEIRKDKIQKYSGG LAALADRCYELEISGRTLRKLPVLAHTNKLSSTSGKDRRRTLGDWIKAMLDIVERQYR NGKSTLAKEGPIKDVNVHSHNHSHSHTKSLSIDKSQVGMKIAMEGGKDWR L199_000813 MSKDLASAPLEDDSDPTYTHFAHRAEFLELLGRFLNIEIAGESG VKEDEDEEGLVNSLGAILDHYLPLPGLLDPSLDEIVPPLMDLLGANLPTLVNDEENDI KKTVNPNRLARLGRLLNWIVKVRGWKAVVPHFPSSIPNLPMLVTLLSPLMSASTSTTP STPHHPIISSSESWELRAILLLWLALLLTVPFNLTALSSSSSAVPPSTMYGIDLPSSL ILFSTKISPLAQQVILLSIPLLSRPGLEGAYSALVLARLYSREDTVQGLPGFLEWASK EIQAGERELEAHFISSLLEFMALLPSLLKPNHLPALEGFMEDHLLPHLQGSRTAAGSG LIRKLAIKAKGRLWLAKIRKNGEDDSDLDLPDGLEEWLDDLMGGLSDKDTIVRYSSAK YLARLSSLLPLSFSSQIVEAVIDLFSGTEEEPVILTSFGTVIDPGGSSSTGGTMGFGG VETTRGEARWHGVCLALAEMARRGLIKEEEQIGSAVKWVIKALTFDLRRASHSIGANV RDAASYLLWSLSRACPPDMIEAHAEDMATSLICVALFDREVGVRRAASAAFQEGVGRL GLYPEGIDVLGKTDFYSVSVRRMAFTIAAPAVAIHKVYRDKMREHLHHITLRHWDTNM RIQGAQALHSILKLGDEGDVQDSLDREIKQLPSLDAVNVHGALLGLTQIAEPFDENDQ RRQTIFDALSTIRPASFVSSQAADIFSSVCSLLVVILNQSIISSPSTQSVLDKHLELS MKRRESEVHESVARVYGKLSELRSPEKDISKLISDLKSFRIASRQAASLALGHIKYPP TPSSLTDKAIKALLELLDKPEKIDIESRRAAVRSLGDIAIQRVDGGMIVTPTQLTSIL QNFVQGLGDYTTDQRGDVGSWVRVQSLTSIGNALSTLDPQLDLVSEETFDDLIGGIIK QGVEKLESVRGETARTLAKLREAGWEWEGMGGMKVGLRQLENDGFRYIDQKEWFSTSM PILRTEYRKQLIAGLVLTVGSQVITLSNAAFQPLLSYLTQHPSLIASVLGDLLELLEQ NYTSNRIFIPTLQTLWKLYENGLLEVLGENGYDNEEQLCNDILSAACKGISNIKSIER ITTCMRVVISCLSNALPANLKMKSVEHLSLFLGHKFPRIRAITSEELYLKLSSTYDEL PDELEEILTGIEWTSEECKDKLVEVIELMKSMMV L199_000814 MSVQPPDGPMQKNGESSTSRGIYLPTDPAPPRPCLTTDSAVAQW HTSPGFQAFWGWVKRRCERIKGKEILRGDYEGDNEGIKTLMDMLNRMMDWVEEVPIQP QSNQRFGNLAFRTYIKLVEERIPSFFTSPSIPYTLPAQILPLLLNSHAFGHPVRIDYG TGHELAFVMGLYTCVISGWIGGEGEKEEEEDELILRVFARYLDLTTLLQKTYRLEPAG SHGVWGLDDYCFLPYLFGSAQLLDGSLTPTQSLSLASTYQSSIEIKDFYTLSLYHLTL FKSGAAFSEHSPLLWSLSQMKDWVKIHGGLRKMFMAEVVGKKVVVQGLWIGGWLWGDD LPDSTQRDDVVSTGIGGIAGLEGSTKAPWAR L199_000815 MPQYILRISLEHKSFRIPSLLSISQVFNFPIRFISKDKYRGILI VELEKEQDVHHILERDTLVLSASELYAAGTTYSELHDQMRGNLKVLEPYEYASFKFTL EGANHRVVESRTTETVESFAYTDLKGKIQMKDPEVEFVIYEDYDFEIAHTSEARYKRD GKFRHVYFGRRVGFGKGRLLPTKHDVKARAYYGNTSMDSHMGFLMAGQALPAPGKIVY DPFVGTGSMLYASAHWGAYVMGSDIDGRQIRGKSKGKEVKPGILRAAAQYGTEDLFLD CLTYDVTKSPIRRGGWVDAIITDPPYGVRAGAKRLGRKEGGKPLRDEPYMFPDGTYSH QLPGYLPPSRPYELANLTLDLVQLARWLLVPGGRLVFFLPTVNEDYQEVDVPVVEGMK ELKVDEGSVQDFGKWGRRLITMEKTAQDDGPPPTFEDHEEFDARNQPEYLPGHHGFRD RYATGFSSRKRSENPSPVDTPPSLEPIVPVISGDKV L199_000816 MSKAPQMPSEVNILKTKAANKKGPGSLDVETVWPKPEFGPSTTI DNITKEGKTVTEFIVPAGSMFSLGAALPNHTVLKWGAYFPPGTMFPDGVLVPIHARMV SVQPLKTVVPPTAPSEPVCIIM L199_000817 MSLAPFKVLLFDCYGDWETGMLENLKSLLDQPSAPSRDRLFEVL GPIENRIQDEQPTMLYSELLAKVYIETAEKLNLKSDEGQAKAFGNSIPSWPAFPDSAD ALKKLSDAGLKLVILSNVDNKSFDGSKKKLEKGFAFDAIYTAEKIGSYKPSLRNFEYA IENIKKDFGLEKEDILIVANSKYHDVQPGHKKGIKSAWIDRERAFMGVSAYKDVIPDY QFQSMMEFANAIIKDKS L199_000818 MNTASQGAHWYTPSPHGYGRYYGGAEDRSASDRTNHIQVGKYSI PRSANSLSYYDSSSHGPLEANVYPLPHQLQYQQNGSGGFMYHQQRSELDQTFVPQAVR HQEEQEPIGEEHVTRYIDEMLDSFF L199_000819 MFHSTRLILSRPSAAIFGSTQGVKTSYGHNEEVYQITLQDGSNY ICTREGAPLLAHWGFMDQYHHFNPNSDGRQETFSLIPIQHKKNISDFVLPLKSDSLQD PWDVRALDEASRRFVGNIKHIQRGYIKQASEDFRKF L199_000820 MSRPTRMILENHQTAPFYANSNSQGVKTNYYPGDEVCQGLSVNG ETTIFSREGAPLLATYGFKEQCLQLKPFQVDDEYYRLLPLNSKFDCSKIIINPTDVSS SLDNLMDVRYLKADIWNHDDQRYRRALDTCIKLTTGTSHGYHESEEGQTSEYNRWHYG RSKDFVEDYANFLEENEREAGQIGQIGKVGREASANDDVQSTIDRIIETHGTAMPGLP DPSTRHGVQATVQMGGTQTYSMIGGASRSPQGNGHGYGYEHGSFGDTQGYSASNQSGN NPYHVTSAHGSLPEYNQCIRYQMQHQPGGSWLPHGSYSAQTVTPQMGEQGHHEDSMEE NHVTRSINEMLDRFS L199_000821 MTAIPIPLPFDKGREGERELPPHLNPFYNPSSSSSSSPAASTSS TPIPYPPSLHPSKLNGRQPLGGSEDNDNDIEDPSAGQDEEENIFRDMSWEEILEDLNA RFLINLPREEMSLVRVYWQAEQAHWFYEDYLRPLNPLLPSLSQRNFTRIIIESSPLYA SLMAQRGLSYDQVWEEYCSDKRMVPCCGGILINKDGDKCLMVRGYKSSAGWSFPRGKI NLEESEEACAIREVEEETGFDLTGLIHPTDKIKTHINAQEVTMFIVKGIDENTVFKTQ TRNEIGAIEWVRLADLPTWINKKGPKKTGGSGQKKFYNVTPFVNPLKHWLKGHGIDPY MKPKKKSQPIAPYRDLQPYQFESPSSQPASPVPSRGSSALDHLFARFIHKQEEELSAP NQQAIVGSDNKAGLERLFGNLNVLKEEEESVSHRHDGISDQERQKKEDNELARLLGGV GIAQTPAPEPPRPTASTQKQSNLLAVLNTRPSASNYYAHAQQAQPPSSPAKPHQAKLS SMISPKPAGIINNQIGSKPVSLPTPPRPPSSTEDAQRQAKARALLDMTIAGIGIDVPA NTAHQVDHVNPSHSDFLPPLPQPGSAGRSSNTSGGSDTGITPPGQGNMPPIHQPPPST YAHNQGYRPNTQPPIGGYGPPRPPAGPMNHPSGGYGGSPNRSSQPPPPPPYEAASHPQ VRSATLDYPPYGGTVNSAHDQRSGPPPSMNVNMYQSGVNPARPPGVINLQNSQSFRPN SNPLPGPGSYGANYGNGPLPPPHHLPPGGFVPSGGPPYANYPNHNQPYQPRPPPLGVG VNGPLNQLNQGGYQPPFPPQHSLPPQIPSAQYNNNQRGSPPKPQNLSNPNVFHPVPRP PQGQGAALLAMINNGNNQGR L199_000822 MLSTTIWTVMMSAIAVRQAVAQAGVTYVISSDGNTEYSCSDIEE PETYYQDKCGATSKSGIALTKVEVRNGLGSTRDDWTIYQFCYYGTGSDDYCSYTASAN NPSLTRYGQGLGTDCPEFSNIAYRTPGGPAVPAPSTGVAANNDGWTEPLYTCPTADGN LYRITIYKSPPGQTTANSLAYQCEFNDFGSCFYTTSGTLYSQGSTSCPLQVCTNHYDP TFTRRKRQDPAMEKRQVKAADLRRALATEAPVPKRSDRFTVKP L199_000823 MPRITPQVQSIISNQVTTRDDLIRLLSDLLHPLVEAQSEGGARV TLGHTGTHFDSVAAEMEGYARSLWGLAPLMASDPNHPLLKDLGKKWREGLEAGTDPSR EDEYWGDQTDMDQRFVEMAAIGISLAIAPDTFWNPLSPEAKKRVNAWLLRCNGRGFPT NNWRFFRVLVNLGLKSVDAEYDQKSIDDELEFMESFYGEHGFPSDCPQDPSNGAYDYY ATSFAIPFYSLLYAAITSRSPKLADPERAKKYRQRAKENIPNVINLFAPDGAGIPFGR SMTYRFAISSFFAAVAYDELELPQPYTWGMIKGIILRNIRWFTQKPQVFNRDGSLTIG WTYPSLFMSEDYNSPQSPYWALKSFFILALPESHPFWSSEEEPYHAELLEKPWTAVKP WMQVFTHSAGHTYLLNGGTNVAFPLRQKAEKYNKFAYSSSFGFSVPTGALMFAQHAPD SVLALSDDGGLKWKVPYKVEHLGISASGVLKAGWFPWPEVEITTWLVPPSTTSTPYHT RVHHISVSSSFNRNLRLADSGFAIHSHFGPEGTERRIPVLDDPKEGSAGRSSNEKSAV AVSRKGVSGVINLLSVHGGKGSVEDVDGNTNLISPRTVLPTILHEHQAGEREKWIATR VWALPFSESEGLKTKGWLKWWEDSQKGYGSVEELKKDLGIA L199_000824 MSKKDDVITTQQFETAPDGGYDDEVIKPDRDRHEVADDEARNYV NPDLVISEDESKRMRRRIHRRVLPLMCLAYITQAMDKGTLGPASIMGWIDDVGAKGNE YALTSTLLWCGIIVGEPIANQLVRRFPLGKLLGSAMIIWSGLLLGLTFSLSIPPVFAI RFLLGFFESLFGPVLLSITVQWYLKDEQPFVSSVWQSMLGGSQAIMSLFGYAFYHLKN KKGGLHGWQWMTLTVSLFSFFTAVMTFLFLPDSPTRARWLSEEEKTKYVERVRSNNQG IKHKEFKKAQIFEAISDPYTYLLFLLAFFNTLVVGGINTFNAILINQAFGFSVLDSQL LSIPLGACVVVTYILQAYLVTKTKQTLLVMICTVIPNIVGTIMLICIAPTNKTRGVLI FAFYLMQFFQSCNPSIFMMLSRNSAGQTKKSFTYATTYIAWAGGNAIASNLFQARWAK RYLPSLYIHLGLYGGFIITCLVTRILLVRRNKKKIAAQTNEDGTVVNFNARAFEDLTD LENPDFRYSI L199_000825 MSNLTEAVPELYDPALSVKVVEVAKKYDTDPNGPPPSRTPEYTV PGGPNSYHCSKNVTAWTQGFFPGTLWLLAERADLDPSSIDKHYSKEELIKLARRWQAD FKYQARPSPNHDQGFRFQLSYGKDYAMTGDEEAKKVLIDAAESLVDRYSPTAGCIRSW DSMTSVKDGYKYTKEKKDEHYLVIIDNMMNLDLLYEATKLTGDERYANVANHQAEKSL ISHVRKDYTTYHVANFDQKTGLPIELRTAQGYADDSVWSRGQAWAIYGYGQCALRTGR QDFIDTARKLTDVFISLLGPTGVPEWDFKAPKPCPYDASAATITAVGMIWLYKLLLPT DKDAAEAYLNRAIKLVQDTVRECLTPTATLKENGEVDFGKDGWETILQHSTIVGNPAS NRQIMDHGLVYADYYLVEFSNELLKIQQGK L199_000826 MSEQTTVSPCCITGHIHQGEPLGSFEILHGLRTYVSNPKPPAEG KQNVVVLISDIFGVDLINTKLVADEYAGNGWKVLLPDFLEGDAIDHNLLNAIVPNLRV QAEATVASKAVDSAKMAAAMGPWITKHREAVSKPIIENFFKALRADPSTGKIATIGHC WGGRYALVLAQDDSPARVDVAVATHPSFLVNDDVKPITNVPVAIFKGTADAMMTDEAL DEVEGILRGNLGEKLVVKKYPDAVHGFSVRGDMENGKEKAQKEEVTKDTTEFISKYFD L199_000827 MALSDFFTQDSGAGGSWADDMDFPSAPAARENTGPKKGDPGYLD SMPDRAARSSSNFPGAPPQREELPLPTVPPFTAFVGNLSYEPDLEEAVRDFFTDLSPS SVRILKDRDGKPKGYGYVEFPSQDNLKEALGRTGAQLQGRSIRVSVAEAPTNRRDAPP PSAAEESNQWRRATPLPARTDAPTPARRTSSFSPAEPGPDRDWSAARGARFTPAPPAP SNEFRRDSSGAGRVREPPVPTAADEVDQWRSSKPLVEAKVGSRDLPPHQRGGPASGQS SPGLADTENTWSRGTKLRTPAAEVPPARSSTQSPNEEKDWRSPRATPAASQPGSVDGE SPRQPHAPLERRRLQLAPRSVPATPSTASVESNSPSGKSSIFGSAKPVDSAAREAIAD AKLAQKEEERKKAREAEIAKKKEEDEKAKAFAEERLNSIKAAQEKAQAQVGGGKPQGQ QQQQQRYSARERENSGNKKPSHPHPNRKGSTEPKKDNDGFEAVTSRKGSANAPTAISA TQAPKRDATTRPAFSFAAAARAEGSFVEGSDDVEEAAKGVEEVKI L199_000829 MAASFVGRGMFPKLWILICKLWVYIVSIGCLIMPLAVWVMIFIQ NTKTFADTMDHYLGNEQRVYDRFDGDPNAGYVGNTNIPKHLGGPVFAAIFDVAMWIFI VIAMCADLFWKFPICKQFLESVWTDGLYEDRKFIDVEFFFLVANAFLKLFIVAATGAQ TYTGLKPNQDDPKIDTPLFCLYATLCLAVSIPFSLIALFYILHGMKMREYKDELKAAE EAAKAKK L199_000830 MSSEPTIVPSSAPNGSVPVSQGGTSTPLLGSRGGPAGSGGAGGS FGVKSGLAQMLKGGVIMDVMNVEQAKIAEEAGACAVMALERIPANIRRDGGVARMSDP GMIKEIMEAVSIPVMAKVRIGHFVEAQILQSVGVDYIDESEVLTMADDQHHIGKHSFK VPFVCGCKNLGEALRRISEGAAMIRTKGEAGTGDVVEAVKHQRAVMADIRKAASMSDE ELYAFAKELSAPYHLLKETARLKRLPVVSFAAGGVATPADAAMMMQLGCDGVFVGSGI FLSGDPAKRARAIVQAVTHYNNPAVLAEVSTDLGDAMVGISTSTEGENIKGGRMAGRG N L199_000831 MSESTYIQEINISSGTTHSRVVVDWQRLRDVDNWKVLSIVRYKP FWIQPQLHLALSSHPHMASHLLLLKSNDESSTLVVYPVSTLNVNHVLLVEDGQIKSNI NRVIPTSKEEKVWVVCYEAIGPIEERRSVRKVIDIARDLVGSTTRADEVKKKKDDLWD GLGICTWESFFDEDGKRIRPTKDLLLSLNPSDLPIKTFLIDDGWQDTLSSSTHRRLTS FKPYEDFGGTLKEVVAAIKGRGVEKVGVWLTLQGYWEGIHPSSSLIEKYNCVEYRKGK PNGGLEDEGEANGDTIWLPPPSEAKRFWDDWFGELKEAGIDFIKCDNQADSEMAIDPR GFHAQQSLWSTMLDSAQNHFGIQGIIMCMAQNERMLNGPGGLDFDRPKGDLVFRNSDD FNMNYSNTHPDHIHFNAYNTILTSHLSLIPDFDMFASSPSDLLPTYHALLRALGSGPI LLSDTPHNPSDTELISKLIARTKDGKNKVVRSPLPVQALSNRWFLDNLKGDADGPALV AGCQFDEGVGGLIGVWNVHDYSSCAIAKDEITWRDIEDLMDLEECDGGEYCLSTPLRL SKDGDTTGGIVTSSNRGYLDVRLERGGCELVCVSKLYSLKGYKLGLVGLKNKFACMSG LGDIKVDQEKNTLEFTSNYISEVITLIVIRDQLIRDQLIRDDKTGVNLYVDDVPAHCS REVALDDGKNGTSHLVDFGIPETVLSGRVSVIGQGDLWKLQVVVLT L199_000832 MNNPLLALQSQYAAVRDYMSPVLKESKFKEHGRITPEEFILAGD FLAYKFPVWQWEQGTIQRDFLPKEKQYLICRNVPSLRRAAALDYTDQDEDAEKLLSFM DETDEDKPKGDDDDWVATHIGRAPQAPPKDMDEIPDISDSPVLSGSNPQPPTSSLAGL SLDSKEKEKEKEGPLEVEEIPDIDDIPDMEMIDEGEGLEDDIVDEANVRIVHPSEADI QSTAKQNLLQVRTYDIAIAYDKRYSTPRLWLRGWDENKKPLTPAQIFQDVPSDHAFKT VTMEAFPHSGEQMASVHPCKHASVMKKFIDRMESKQEDYPAAVASSSGDSKKKWGISG MVRRVTGTGSGSGAKDDKKVSTSDGANEEDEVPRGVQVDMYNVIFLKLMSSILPSIEI DSTTSTAL L199_000833 MADEELPPSKLGTKSHWDEVYEREVRVFNDVGDEGEVWFGESSV TKMRKWAQRHLPPFPNQGPIRILECGSGNGTLLLSFLTSSSLDPNVPPAKQNFHLTGI DYCESARLLAQSVEKSRRENIQQEIEQALEDDEEELVENDIQCEWRTEDLLRKDFNGE QWDLVLDKGTYDALCLSSEGIEEENGRLPSQVYPEKVALLVKKGGYFLITSCNFTEEE IKARYTKEGLGFEFHSSVPHPSFSFGGKSGTTVCTVAFKKVI L199_000834 MSTSTSSPSSRLRLGPHSSPISASEVARNSIISSSAKGWSPLQI NKRDSINQSPLKPSLSPDPNAPRRSSSSFKHVSKNSLVSNSPFKSPTTVQGAQAAGLD LGKNTDQIIHERRTAARAFGELPSSAKGVGTTSKAAIGLGIGISPSKQRSTSRSASGS NSNGSAINGQRKVSIEKPKVAFPGIERRSSTERRVSASKENESPDVRASKRVPRSSMG FKDLAKGTLVTKSPFKRVPSGGGLSSTSSSKANESPSRTDNEIGPSPKSIPIEKDDVF SSPSPRRTSASKQQQQRRASPSASLGVGSRRSVSPTPSPPRSVHQPLLTTTTGSAGPS PLSRSLSIPSIEPTPTPTPHKSAMTPSRRLRGPRDLSAGMESPTRKTVTFQSVPDVKE FETMSAEPSMDGSFEVNNHDDEEDDGWVDEGINMSLKLSMARENSLDELLSDAQPIFE SSADASPVFTEENDGQMPEDSTTANFMDTLIEEGLFSPPTMDTPAFEDQAAFELPLEP VEDDSDRPFLATPSLGGSVHASPLLASGMFGETDSAGIPYGRTHHAERAAIAHSLSPL KPASPIEQPDIPRDQDHNMLLNANAAQPAVPIPQSPVHYPEYNDPFASASIPHAHQVG AIPDPFITIQTATNVLPIQHKEREEDGIPLGRTSHAERLQARRMLATQSLGLGMPRSP AISQGLTRQYSEEVHSGEELQGDQSEMVELIEAPVLAPPVARTASQESEEGTKRRLPK IPAPAPMPVNIPSPVTSPNKNEDPEKLTVAEKRTSKFDISGFSLPFIGSTSPFFNSAP ATFEKQPSIDEHSTSSNEPVRPLTPPPVIRDTAEDKQESPHRLPDMNFHFEEIQLQRT GSDELKRTATVKSNPVSPIKVSNELKKDSPIKEVVRPSLSGSSSLGKLPISGSGSSIK NDFGSPSSNKSQDSTTTRVRQRISREMIRETINQRIADGSLSRRPASSGDLSKSLPVS FAIPDMPIRQRRTSGVDKDLPPPPQNESPAMAPSSSQSEELAPRMTKAHTTDNAASNS SEMRPAMRPRSQTQSAHEVLKQNEKDGILNEPKSALDKLASSLPSPTESGTTLQREVS GSIAAEMPPPPGRPISILRNPYPNPNKQEGLLPPVDVGVSSSKERTNSPSPTDEGMKG RENAIIAKRKEKQQERNVSGVSTGSRRSRRSLSMGDVDKEAEQAKDFRRKNTRGNPRL TLGIDDDQQSILDAFREEITQMDRGYKVREKAVVRASYHDKVRHSKAGDIDTGKAWKQ LRRPSDMNEHAAEIRAMRARESTSGKASGTIFVKVLGVEGLQIPVPDQPTYFCITLDN GIDYIRTPYSVLGEGAKVNQEFSLVEHPNFEFSLSLDIRRDPHILKMLHQKSEIPASN PVIKRPQTPSSPAHKSGGFRSLFASPRKPKASKDPRSTTPQPVLPTPSSSNTDSAKPV DNIVKYLSSPSGSTIAKTHIAFKPIAKNCESRVLEIRYPMFAMFKAGSSGSNGQSRKQ LAKITLQIFRLPPIPGLKGEELPQCIDEALRGMRHHAWHEHEYHEGVLTQEGGDCNMP KRRLFKLIGGNLVAINEVTKKEVTKIDLRQAISVIDLNTQDNGTPKSRMTMRPRSSDE GLSVRPRSFMVEFKDGERITFAADNDKSKEVWMQTLEGLIGKIPSNPLWAELLATRQR ERAARRTASSTSLARDAKRQASSSGQGRPVSMPLGAPSKTR L199_000835 MSSRDNRSGSGRGHFTYDSLARNYELVLDYHVCPDQLSQLREIP TSNGSDNIKVCDLTRQEYMVFSRLTWSRNNPEPAPSTIKKVEDFQHSFDLQSDWGGEA SWTGKVDEAFKIGRRANEALKEFRTEVQKTHRGSLMETVTNNRKDFAKVNGQYTMATW FDIDSDSYLKRLPRVSGAHEISKECERLNNDHGAQTRSTNRVWTDWVVDDICHSSEDS NYSSFGVGENTPHNWPLIKLVKSEGPTGTIAESG L199_000836 MSSSATSTTTTLPSTKGETSSTGSISVRGVGPIDPDQKVTVILS IYSGEGGNLVLADWTVTDPLAQQTSTIIHRLQWGTAISLGRIVYDPSHGSSKYSAESA QEFLQELEPQLEMLDAAELRFDLISRRSLSFFQPDKAIWQALKDYTESFEAQLERRST FIREKYDRFKSKDDFKLRFVDREEWCTACPPQPDCITRTIPFKLDQGRYEMQMRTQWG DSVVGDVSQVKQPKPELDTVLVPVIFPTTHNEDETTDAGQGVSATKGTVEDGEGKAVV AKEME L199_000837 MSATITHVNTGLSTTLTLTDSTSRSRSVGSFVVKGVKRPLESKD DGNQKITLLYYLCTGRGSNLQCLSISGTSSESHPDASSPSIYQLDMSSTRVTPRMLYD RSRPYSSESMNAAKAFRDNIHPVYLNHLSRGAESEREKFMTSAIPDGLKVSTFLNHLS TPNATRNIQRWIQNHYDNEIPSSDKSLFDLEFARDRQDFITREPGLSEEFANRLQAPL DLTLYRRQIEQQWGQSTIGPWSEVSPRLLEEIPGKILVPVYCPSLDNENSADKQDDV L199_000838 MPSHHRYNYIFANTPSFSHPYLHKIVYNPAGLLAPPGIIPGLRN EPWMGTFKIPNLTPPNYKSHHTHDGIQQVLISPAVLMLHIFSTSTSGSRRRRNLIRQL NLMETVPEEYRHLIEIKFVMGNKIESAQEEEEIRKEMKVYGDVIRLKLLEGMENMNNG KSWEWLRYVGRQGGRKAWWVMKCDDDTLPILPNLIPTLLSLNPNEPEYVGSAFGRWTG YQYYFQGMMYGFSWGVVKTMAVADVPASTRNSQWDEDARMGSLMFSLPLSPTANLNST YCSPPPGPNIIYSLPPPVPDPCTGLMRYDMGSKIGQWQGRLIDDATSALAWHELKSDE AYVNAYAQAKEQIVKSGREYKWVVPDTFAPVAEV L199_000839 MGREIISLQAGQAGNQIGAQFWQKLCAEHGITPQGNLEEWAADG SQGDRKDVFFYQADDEHYIPRAILIDLEPRVINNILTSPFKGLYNPENIYVSKDGGGA GNNWAQGYSAGERLYDDLIEMIDREADGSDSLEGFMLLHSIAGGTGSGLGSYLLERLS DRFPKKLIQTYSVFPESSDVVVQPYNSLLAMKRLVNNADSVVVLDNAALTRIAADRLH IQDPSFVQTNQLVSTVMAASTTTLRYPSYMNNDLVGIISSLIPTPRCHFLMTSYTPFT GDEIDHAKSTRKTTTLDVMRRLLQPKNRMVSTISTKSSAYISCLNIISGDVDPTDVHK SLLRIRERQLANFIPWGPASIQVALTRRRGGPAGTSNRVSGVMMANHTSINSLFKRMI HQYDMLRKRNAFLEQYKKEEIFANGLDEFDDARRVVAELQEEYVAAESPDYIDYGGE L199_000840 MVNVRLGDIAPNFQTTVSENGQAKEIDFHEWLGGSWAILFSHPD DYTPVCTTELSAVALSYADFQSRGVKLIGLSANNADSHKGWIKDIDALSPNGPNLEFP IIADEDRKVAELYGMLDHLDATNVDKKGIPFTVRTVFIIDPEKKVRLTLAYPASTGRN FPEILRVIDSLQLGDKYKITTPANWKKGDDVIVHPSVQGEKVKELFGDDVKTVYPYLR FTADPSKKQTA L199_000841 MFSTEVKPISREVRFASIIDDRPTTPTPGDYLNFEEDVKSSIEV DLSSLLSQAQKHNDQEKSSVDTDSASVYDENRKRWELDVAVPATAFGGIIRFDDDTVD YRSTITKRRSSPLSLGLGVDGIPQPPKTAPLPFSKNTLNFQPFDEPLTPQHGQTSIRQ PSPSSTNHGGLHLHPPPTATATAARSDKPILDPVDNVQNVKNHLEGLSIHLSGLFDQV NQFDELKKEVKYWKDVCLGLEIGKKDLEIVLTQTQNQQIESKFTAILIDGDEYIFHEK YLQAGYEGGQEAVQVLLRTFRERNLFEKVIVQVFLNKSTLGATLIKNGIIQTWAKYDR FWQGFSSISGLITVCDLGSGGETAQNKIDEYSKLYIDNPQYYQIVVSASPKTCPRSSN SWQGCSSEKVFVLSLDVASHPSDHQTIDITGLFQTTELVPLTAKPEHTYTHSLDHVEE YHWSSFNPSESRSGRQGKIHVPPVPVLSSDEFSPKRKFKKTNKYNKAAAEQVRRLDPR PCHTHYLSESNARSFNSSRNDETDCHAFPHIGEWGCRDVDACSYGHGYHLSKAQLNEL ARMAKSVICPYMKDGRCRYDDEDCVYGHKCPNAGKCPFGDSCRFHVIPNGHGELD L199_000842 MVLGQSPNTVAVAVDTLLYKEDSRSCIERSTNTGLKVEQGNHVV GEEEKHVRWKAFSGHCKFKFPLKPPPALRDYLTTHLRRKGRSSGRDWPIGVLYLVEEV VRVETLPNKRR L199_000843 MPSLPTTHSRDSKNGTTAKSSKWRDPRFLKQLRGNSRYSSPNDV SQSSQTQSRSQKSPTRRESITITRTTSYAKDESNHGKQQVNGIGTIPPHGPSVSRRVS STDYGGQGQPMSRQVSNSGSSSPPGQGHGLHPYYSGVPLSRQASISSQPPPANGSGKG QSRPSISRQNSCSKHPNCQIVKSSGKYTLHVFSAPRSREPSIDRHSRTRSRAREYEDD SPPSRRSHDHRGRSSSRVSAIYSPPDRESEYGRGHEDRTSRRRGDSRAPDYRLPNDSY DSPPSHTPPSRPSIDYRRSSSQAPHTNANGYDRGFAKRFSIENFNPHTMDLIGFRRDG GGIETNIRERFDVRPKWVYGSTTDILRTSPNHYPIRTPDDNLVWRSKCPHTDGSNRPE DCKMLHTNLDPSSISITPISDTDHTNYSICLMSPHHPSIRGAELNHDTSSNTLEAKVR SVENIQQDMANRFIEWSNTPRGRQRLDLENVFMAGCDSWMKRRDRLERYRMELNGSHT TLHVSNGNTNNGLNGDRTITTNIVNPIVKGFKGLSTGLENVSNGLEQITNDIATRLTT ANAGANPGATMLDRLDRLERVTAGFENEVDKKFGDIKSLAIENMTGLLREKNCNSIQP TKLQNTLRENSSKVRFSLTDGKHLKDTLGNSLPSTESFTNATAPPPGAGSAVGRAAML ATRTEESSKFFKDHFKTIRQSITELIKWNNADRDKENVLSILGKDLNQIHEQMVDNQI AVDSLKEYVQSGQNSWIDKMDRELEDVQREEERLKVFRNILLS L199_000844 MIPLSPVLNSTSTSISFSPGPSPRPSISSLSGPPLTPIYEMDKI TSDLIVSTTEEVEDGNGVVIANDDRTKNVKNARANLPTLTVPPPSFSNYSFGGSSPSA SSSESSVGRTPSPLSSVEDVALPSEGFKFGTCPSTSFMGTPTAEQGPFEYPSSSSSTM SVSPPAGSPTLARRGSLALGMTHRRGSIIPPHSNNTSNSIALSPPPTRRSSTCSTITT LPAAGRRPSIVHSATVEVALPQTSGSTDESYQPTTSASSSRRPSVLMFPSKPLPAPIP PSLLARRGSLPVDKLFGIPLSSEQSNNGRIRASYSSGSATISTASLYLRRQSVVSESG FSNGSGTTVMNDEGNGYPNGIRRPSMRTRTPSADFTPQTASSARRGSVSFFPPPQPSQ LNSNSPVRSSSLSSRSSVSSQRSSISSSRIPINFSPRHPNYAYLSSRQSSTTSLTPST HSSSSTSASVPCSPVVGYNLRRNRTSTVNSNPGLSSSGEEDEHEDDNQLLPTPNPSKF SNTTPQAGIPTFVDPWSSSSSLDSKLSDMSIEEKSPSSGGSSSMVPLETPPLETVVER PPLESVDSGATERP L199_000845 MPQPLRPTDSFNSSTATIVPDKRRADSNNSRFYPQGVPQSRALV STSSLERHINSALRVNAGGDDGMIGLLHTQTQDMGGNGLSGLSFMREFIQLPPEDNPQ PQSSSSQTPSIPSLPQQTNFIPNTHTFEPVPSPHFAPPSSTFDPIPLPHANTFATLTS PVEPSPNGPSDYFRPSSVNSYHSHAASSVDASEYYSTTDMDTDDDDLASIHDVPPLAV KSNIGDLGMGNIHLENNNHKADILNWMNYQTHTQDQRGSISPNEIKTQSQVVSPSVIN HPPPARRVSSSASKTGRAVSNVAGPSKGRRSTTKRVIGTTDEEKIRLDKLEHRRDINR RSAQKHRLQRKKDMEILTQQLAERDARIHQLQRDLEVEKARNDQLRILMNNRLANSGG QGANSG L199_000846 MGLASKLAAAQAGGNANPYGGGAPAQQQSYGAPQQQQQQGQYGQ QPQHGQYGQQPQQQQYGAPQGPPPTPGQRPTSGQYAPPSGPPPGQSQYGQQGQGHGQY GQNQYAPPSGPPPGQYGQQQGQYGQQPQYGQQQGQYGQQQGLPSQQYGNQPPQYRPPQ GAPASGGAPVGGGPNAQAILQALQHCVQEQKIQAFYPPGTGQLEAIAQRVAQSGALPK IAQEWRMPLELASDLVKLSLFDVILYVDDSGSMAFEQGGERIDDLKLILSRVAYATSL FDDDGIQVRFMNNRLEGNGIKTEQDALNLVNQVKFSGLTPMGTSLDQKILQPLLLGPA RANALQKPILIIAITDGTPAGEPTDKVIQVIVNASRELQRTRYGPDAVSYQFAQVGDD MKAMKFLESLDNHPVVGGMIDVTSNFEAEQAEMMRKNNMDLSPEAWLIKLLMGGIDDS YDTQ L199_000847 MNHTSPPLPSLHSLSISPPPGSYTAANNNYRYNGVFGPPSQGST VSPDWATSPKRSSRSGIPQGWYDSNAPPSRESPNLNAFEAFRRDAPSPPTLSPPSSIT SVPTTASSHPYQTYQQPSYPPVDNFAPLAVTPSPPPVMSYGLNTAASAPNLAGYNAGG LPQYGSQSLASLGNNHSRTQANGWRNGYGMPSIPSSDEDVIPTAIVIKNIPFAVTRET LLGVMESLGAPLPYAFNYHHDNGVFRGLAFANFRAPDEAASVVAALNGYDVQGRKLRV EYKKVLQPGEKDKIEREKALKRMRSIQFDNTNINKAELSIPIPPQPISRPSNGYENNS PPQSATSATSDSLPLTLDMNDPAVLDIYSRVLVFKEDRMRDELAFSKNLTPTERRIVH LVAQKLGLSSSTRGEGDLKSVVVLREPPQPQHRPTLTTSSSATISTSSYLSPYSSTPT NELSPNLRIKKSMPDLRGFNGPVVARDPARSLNPQRSSGNLRTDAGGRDYVSMGAAHG RRTIGQGQASGSFNGLFGGVIPPVPPLPSGLGLHSKGHSISSFGNSNGASDPMGEMLS SQPLRNPRGPAGESRGFGGVGGFRPTSNASNRSNIMMGRRVSEEDEEVDTSSLGGGSG SVGSNSNSAVGSERQAAANANANAAQNNQNQGYMEGMRTRESLEL L199_000848 MSSGPIRAGTITGTSESGSAGTITVKDMGDIDPGQKVTLLVSMY LTRCANLSRMTMSGDLWKPDSTGTVQATVPLQDVSFNWGCRLAWDPSKEVSESSLKSA QAFLESKLLPPNMPSGLPR L199_000849 MEKPNDTGKRDFRPSLNRYATLPMYKICWLPPQARTAPLTYRRQ ALPSKDLDFKGYHGFKIRLSSLPTAEDDWHSSISVYIWALPDSLNPFHSDACGFWDTS EGHVGSVILHFSPRLSQLLSPPVSGRKEDISLWHTNGLKGLTKAFCSPMRVTRAILCP KSLTTFGATEDEKEDREEVCLKGLLKGLAIVALLITKRSMSRSQSLQIGLGYPFADRC KIMNGEYKAEDR L199_000850 MSTTQTRLTDSPTITVTAVGPHKPSDGGQNITMLYYVRPIVGAN LDKRSSIPTSNSPTMAAYPLRKSKGYLHGRATWDPSQGVSAHSLESGNKFSSIIHSHF DSEKIVGFPSPIVHGEYASGTSIASMLMNVHERCFTSRVSQIQDSIKQLHARLPDGEG DFEIAFADTREEYLKARPSITEGVPEALSTAMHERILKTDWKDCIVGDWSRIPHSTDG TVMVPVALTFARSWLPT L199_000851 MSTSQTINVTYDDFDPLVVYSDLGQWSTPNPQDNPTWYKASENE TGLPWHQATLHWTTEKGAELSLNFTTTQIWLYGALNVSSPSFTITLDGQTTTQTPASV SSGRALLYSATDLSPSAHSLTLTNQGDGLGLDSVVLGYDLGSDLQNQTIDDALDQIHY NGEWTRQGGDFFNGTNIYTEGPGNSFNFSFAGSALYIYGDSVQDHGDFSIYFNDSQTP YGTWNARTPCGGTSDYGKKCEKLGSLKAFIGNLPEGTHQVKLVNDGPEGDNATFFDFD YVEYTTPSTYPSFALNATCPNGVCGDSASSTNSSTSPSGVSSSSSGTSPSASSTGGAT SAALPTSGIGSMVLLGVLGMWTLRKLGFNRL L199_000852 MQSKIDDLKTEIDDQFEDLRRLSATSGSGVTIANQENKLYLSAQ KTLQQLEDMVNPLTWLKEDEERLAKEKRDLRTYY L199_000853 MPPRHRSRYDSEDDFRWTRTPRRSRNTSSSRYAEEDRSIYPPDP EPLNEPRSYSQYSRSSGESQRDTVEVEVDEDVQRGQRVYHQTGINPSQRTFVENSNRA QPTHNRTGHTDSTTRVYTQPDLAYRPKPGPSVEIPTFRSRRYPIDSTQYERRAPTPSL VELTGHQPGPPGNLPQYSYPAEFQSPSLATRPLDTGIQQSSFNPLFSSSIQSNPLSLH NKRDTELVMFQRDMGIAADPRDQTVIFSRSKFHYPDEDALLFTSPVHFPIVDERGNVG HYKVCEHVTDNGDPNQCKAFHTPVHTSTVSIGEIHPEDLHFYSAAIIPRNHRMFTDHP STSRMITVDHSVTYKQVANQYNSQQLAFARRYKDWINSDSGRLRLSQERYITDMKDNI RTRDEVLRERKRELEKKITSGDVMSTLQDGLK L199_000854 MPNPRTFFDFSVGDKPLGRVVFELFSDVVPKTVENFRSLCTGEK GISPSSNVPLHYKGCPVHRVIDGFMIQGGDFTKKNGSGGESIYGGPFEDERLEGEGTE VDKKGLLVMANRGPNTNGSQFFITLAPSPHLTGKHVVFGKVIFGMDHIETIGKVSTDD RDRPLSPVIISHCGELELKRAPPKPKARSPSVSSSVSRSASPDDRKRSRKSKSKSRRD TPSSDEGDSDESRDRHRSRRKKDRKSKSRKDKLSGRDKDRKPREETEEELDARLEREE KERLEKQRLERLELMKKQLEEERQRVKDEGGVVYKGRGAMRYLDPETTHRSMPRNFDS RAPDIRPRRGPPPHLADRIDRNRDGNRNRERDRDGRGEEINQRDRLDRDMDRWQHDRS QAQAVTERERAKFTRGRSRSRSRSPVRNRSISPRLKSQSPVRGGTRSRSRSIGARSDG SDMVLDLDD L199_000855 MSVDLSDPQIGLTRSKIQDPNDPTTWFLLHYATSLTSPISVPSL SQYITVLSSGPEPLLPSWQEHLTDTKEDVLHGYGEIAGKGLVLLFLRDSVGGVKRARA VVHSRSIASLFPDYTALITIAHPSQLTEELITERLALNQPSSLPSTVPKPKYTVPGSD HPNPLSPLAPGGPMPFLPSGGIAGSSRSVSTSATPHNEKNHINHPSPRKYGDLTSPVR QAHNGSPSNRRVISLNDPPTTSPSPGPPLNAPISLGSPVKVDAAPTQRGISSADYFGK EDGTGTGSNPGSPRSRKTSFGVRLKNTFSSNSNNHKSASSNHEEVSSSPPPSQQQQRQ NGNGSSTPVKDSPKESRFKNNSLVKAFHRRRSSTQTYTPPDSPNMNSNSNVDDQTIEY APPVPPKDKPPTPPTTTIEPPNPLQSEIPTPTSGVTIGHEQNLPLPAENHRSNSRKLL SPSPSAKQMLYDARQKSLEAENEIQQRFRRDQEDKLHSNGNGIVRDRDDDDEESVRLA YDQSDDEDDRPRSVSQTLEGESDKQNTPAQVGQAEKISHAAEEHAQRQALIRDEEEKA RLLALEVERAAAEEKERLEKEKVEVERKAEEDRRIEEERIRLERIRLEEEARKEEEER LKLDAEAEAERARVAAEEEKARIEAGKRAKLEAEERARIEAEQAAERAKLEAEEKARL EAEELAREQAEEAEIARIKQLEEEELARKQAEEQARLEKEEVERRRLEEELKRKRGIQ EGLEKGKREGEVMLRGWVTVQTYKSMTWRRRYFHLLPKEMQLYKAEGDAKPIQTIYIG PSSSVSEKYEESQVKDSFKVISDGPKGEEEFFLFTDSGEDKEIVLEGLRLCMI L199_000856 MTLLPQPQPEDTYETIDSETEVDEEINKLLSSSSSSSSSSELFN PFSKRSSPNIFIIKFKELLSLPYELFFAITGLMGIANYVRQIVQIIPSEPATLSLRRT GGAKHEVRVDRWIKDNVESLKGVFKPAWWAPNGHLQTFFTVLGDFTKVDKVHYVRTYL RLPDGGTIGIDTTPENHQSLFANTPTVVVCHGLTGGSHESYVRNVLSWVVRPKEQGGM GARATVVNFRGCAGVPVTSPQMYSAGTTMDLAIALHYLRHIYPSSPLFGIGFSLGASV LSRYLGEAEDSSLLSAGIILGCPWDLSLMSHKLDHDWLHSRVYSSALGQNVLKLFFKA YDANPPIFEADDSPVKEFMDELKVQKNNMGSHTRLRKVDDLMVCKIGGPRNIGAWPFN NAEEYYQWASPKRLISGVRVPLLAINAFDDPVVDCTALPLEELKSSTHVVTAITGSGG HLGWFDGPFPLWSTTKSKRRWVLKPVSEFLTAAARDLDVVGGDIDVIQEEGWEWVEDE KGHTIPGLHRRGWKVLKEGEEILGEGDEGETGVIQGL L199_000857 MSSADSPFKFPPVVDREDDHQMIDPSTLQLPSSTPGSSTTSCGT PEFSQDSNITPQPTTNLDHPAGASAFWTNADTKNATQPSTNLPMTPLWSYDHLNNPSS TCTTAPSIDNSSSSGGIDSTHTTPASASAKFQYPFSTTTAGIKSANPNMLFRNHDLVQ TPSTANTQWSGPTNPASAIDHAFMPPPPPNTSSSHGMHHTAHMSLTGLSPMLAGFTTS SPTFDQNLQSHISGTGAGVGSAAPSPIDPQLNRPGGPIRTFSASAAIPQKNRKRSNTL MTLASSTPSSSSSATPNSYPYPSPRFPNSLINTHPHLHVHPSSLSQPTRPNRQPSVPI MTGESTKRVFHPSPATAISPAIGVDLTHLPMDNDGYGTHRMGMDKNMGMPMGYNSMPI GMGMGMGMGMGMGMRSTPPMEAKPPRFKPTKEQLEILIKSYEENKNPDGPARETLAKR LGPDVRPKTLQIWFQNRRSKSRAKERDANMPKSLHTRGGSSSHEHRSSASTSRSKGGS NKGVDIDALRGLIHDDDPNLIILPITVLSIANWTRFLMPGTGISHPDLAASLRSTPSA QPSLYLYVVHQTYTFRIEIPISPTSISNLQSVQNPSLNTEAVAISFELSMNTAKYAAW NGDDSPTHQGVWNEVGDFTGGETNGGGRCELTGDKEVLLSAFLRVQQYLSSQIFPNNM GGTNPTRSSSGSSWKFPSMSASTSFSSTAGGGVQTPPLELPILGHLQSTTHHQHQRQR SFSQPDMKSPSSGASGDSSSLSEFEYTSKAMNFAQNQNQPQITSGQVPLPLTSATSTS TNPSTATTTFDHVDTFSNPNQPFSSTWNSPDINLSMNTGGAGGGSLSNWGFPSSADTN SLSSTTLNPSALSIGPGTMSTAGEGNFNQFATLNSGINNPLSHSQKNQYMGENQSEGS SEINLGTPPFEFEDKSQAKTVNLDDLGGGGKKGKDKFLGGLETGEL L199_000858 MSATNPKVESEKNLSSVEVTAVPQLNDNAHHVALEDVDEAAAFV AGFKGEITKEMSDRVRRKCDRHMLPLMMVLYFVQFTDKTTLGSSAILGIKTDNHLTQG QYNWLGTIFYLAYLVFEWPQAVALQKFPPGKWMACNILVWAVVLCCHAACSSFAGLFV CRMFLGICEGSITAGFLILTSMFYTHAEGTQRVGYWFLMNGTAQIFNGFVSFGVYHIN PEIIHPWKIYMIITGGITLIVGVCFWFFIPDNPMKARFLTHEEKIIAIERLRGESTGI ENKTWKKEQFIEALLDWKSWAFAIYAGSNNVANSLTNMTQLIINSFGFTVGQTALLGC VSGVIEILTIYSSVLVIKKFPNARAYVGACYSIPNIVSGALLIGLPWSAKAGLLVAMY LGGVGTPGFVLSLSWCAASTAGHTKKTTTNAMLLIGYCLGNLLSPQMWEAKYAPRYYI PWGIILGTYVINPIILLGIRYFLNKENKRRDKLFETGQAETEKFVDEQGREIDSTFLD ITDRKNLSFRYPL L199_000859 MPIAINNTPPPHPHLPIPLGDLSVWQRSTRDHPLLNAGKDEPLP ESADIVIIGSGLCGAVTAHALLTDADRPASVVVLEAREICSGASGRNAGHCRPDESRG FTAFSALHGKEEAKHILKSERDTFERVNEFIQKTGIDCEWTPRDTYDVSLSEDFRDYA SKALRDLLECGGKPEVEELGQDQAVKETRVKIAQGAVKWWAGTVNPAKLTLGIHSLNQ SLGGYSVFSHAPVHAVTQADDGESWNVETPRGKVKTKKVVYATNAYSDALLGELGGLI VPTRAQAIKLTPAPVGSEQFPRIEGSYSLRYLPQHFYSVCCRPDNSITLGTSRKWHGM SAATEASIHNTVDDSSYSTEVTQNAVEQFVKLFPEGGWTSDGIEEGKAKGYEYSWTGI IGMTPDSVPFIGSVPGRPGQYVGAGYNGHGMARIFICAPALAKHILTGEWDPIMPASF RITEERLTRLRKGLDKGKLSKDGGLPSQLDV L199_000860 MVFSSMLGFRAKGHPKDEIEVAAVVDPSAHIDPQTGAVIEKKTK KERLFVARLDAILLVYCCISQVIKYLDQQNISAAYVSGMKEELNLYGNEYNYFTTWFN VGYAIFLIPSQIMITRVRPSLWLPTLEAFWGVLTIGMYKVHSAKQVYILRAFIGALEA TCYPGAIMLLMSWYTPVELAFRIGFYHSCQTAKVTDPSSHPAGIWQLAGALQAAIYNN MDGIHGISGWRWMLIIDGILTLVVSLAGFCLIPDFPTKPNPMSFWLRPKHIILAVERT KKFKRADNKKFTLHSVKKALTGPLFYIFVILYVSSVISQQSYTYFNLWLKSLKNADGT ARWTVAQVNLLPLIGGAITIVTIWFWGFVSDYYQTRWIPVVVQACIGIIPGIIMSIWH VSDAAKYFSYFIVYLTLATAPPIWAWLSDLNPFDAEQRAFTLGFAIAFYYACGAWSGP LIWPAKTAPHYKYGWQVTIALWVLVIIMACSLRYIELKHIRPKNRRFAQEKEEAARAA EREAELQAEQDVDKKDVQVTRITSIRSRDSV L199_000861 MTSIPFNDQRWQPRGKATHAPTISEDGVEICFPTEAGTDWWRTP ERDSQDGLMYGFEQEIGKEGIEISVDVHVNPEVQVWLLTYISDQAAVLLRLGPTTWVK AGLEFENGKLWAGVVATAPYSDWSLLPPPSKTSRFTLSLKGQKLKVYLNEEMVREVNV FGDGEAKKGFIGVMGCSPKGGGAEVVFREFAVKEGVRD L199_000862 MVRASQSIGLNTRRAAESGNESDIVESWAITPPSALPALPGPLI PNYYPNHLKQDVQLKRIYKVSLVERHGGMHIETNSIFGGSHSRVKVHPEWKDQAIPAF NRARHDLHIPADLSSALPY L199_000863 MSTMTMTSTSGGGTGIPGGLASPSGSSQSHASKRLTNRMTNRYS VNAMYSLAAEQDVELEDELARAQKRLRDLKSRISSQSKKNFVLERDVRYLDSRIALLI QNRMAADEKREVAETLEEIDEESGLWPDERKMNQYANLFFLLQSEPRHVASLCRLVSL AEIDTLLQTVMFTLYGNQYEQREEHLLLTMFQSVLSAQFETTTEFGSLLRANTPVSRM MTTYTRRGPGQSYLKSVLADRINSLIEHKDLNLEINPLKVYEQMIQQIEEDTGTLPPS LPRGVPPEVAAANADVQAIIVPRLTMLMEIANTFLATIIESMDTVPYGIRWICKQIRS LTRRKYPDASDASICSLIGGFFFLRFINPAIVTPQAYMLVDGVPAKHPRRTLTLIAKM LQNLANKPSYAKEQYMMSLNPFVENNKVRMNQFLNALCEVGDFYESLELDQYMALSKK DLQISITLNELYNTHSLLMQHMEVLSPNEKHHLRILLDDLGAAPGQVPRKENRSIELP LYSRWETPIQDLSTALMSDSVTQNDINYMEAKSIFVQLLRSMPQLADKRPIDLPGLAE RAATSKDPVLVRRGIKVQALLSELESAGVVDVADNYKIIQDEVAAEMVHLGNAKEKVV LETRSLEAVYKTICDHNNYLRSQLEQYKAYLQNVRLTSSKEKGASSGGVGVVTVNGKE KKQIKNQVLGPYRFTHTQFEKEGIIMESNVPENRRVNIYFNITSPTPGTFIIALHFKG REKPILEMDLKIDDLLEKQKDQQAMLDLEYVQLNVPKVLALFNKLFSKRR L199_000864 MIDKETTPTANTKPDLAAKYRGACVEDLQLPPAFCLSEDAPISH ALEAAYEREFDQLPILNDRRRPIGYLYVPSLKQKFEAGTIKEDDPVYKCITHFPISSR SHPYTVIHPLTPLEDLEQFFYTSGTDFALVTDVERKWVLAVATKDDLETFVKRRG L199_000865 MAVSTPNTIPSIPRSPLSTLSARRRQALIVVLISALLARSVPLN ASSTLRRWKEDRAARRAEKKRRETETPLNTPALEKKLVDLYIPDPSGSRTLLVPHLGR ISKVRITPTSSELYASHLPSFPPLDPGEKLGVNKQFWKMLIAVLRVAFPSKTGKEAFL LVLHTFFLLSRTVLSVMVARLDGRIVRDLVSANGAGFMRGLGWWFALAIPSIYTNSMI RYLERKLALAFRTNLTRYIHDLYLNDNLNYYKFGLGLGVAVPSEQRKNGQSGGGSGGA NEAAAGTADQFITTDVARFCDSLAALYGNIGKPALDLLIFTSQLAASLGPMGTIGLFA NYGFTAWVLKKATPAFGRMAATEARLEGEYRAGLGRIGRDGEEVAFYNGGKREKGILL AAYEKLAKHVHTVFKARIPYGMTEDFVIKYFWSACGYCLMSLPILFPAATKALQATHS HAGQELHHEVAERTESYVSNRRLLLSLADAGGRLMYSGKDLAELSGYTSRVYALLSSL HSLNNNIYPENPRPANLEEDQPFYDLSNVHGRVIIGPKHLLIRGVPIVAPAGGAAGAE RGGEELIHSLDMRVEQGEHTLITGPNGVGKTSIARIVAQLWPTWSGLLERPIHGEGGI FFLPQRPYLSIGSLRDHPHTYAEMKSRGRTDAELMSILKHVHLEYLPSREGGWETRKE WKDVLSGGEKQRMGMARLFYHRPTYAILDECTSAVSSDVEGLMYEHAKSLGITLVTIS HRPSLLKYHNRHLRLGDPTSHHTSSTPLTRTPVASTTNLTGLTAPQTPSTPLAAHGWQ LTTLTSTSAEEKKELDHEIEEIERQLGEVEGWERRLEVIGKELRGEKVDGDSE L199_000866 MPSILSRFKRSPSTSSTTSEGELIADKLSGTEEDHQAQSHDRSI RNSISGSPGAGASGSLFVENFDNTSTSGGSPIKKHITPSPRNKPSPLTVPPSSNSKNH QPLGTPKLVLTEEGSNSPRSFSSSPVVTSPTSIHSRNRPNLGLGLSDTFSQDISEDDL ETPTAYDNSFTGISKMSLPVIAPPVDSSPRARSGSIISSNGGGGRSRTGSVLTKNKDM ISPPLSPNALQPVDSRQTSASKKSITSRKKKRTKSITSNSGIAAALAKGGLHLAHPGS GTALTPEESGTKKSKRGSLIHRRSPFLTTKRDDGDMDDDASNDEFDDDDDSDSDLDDD LPVTGFAVASNRRNADFHTLFPSIDEGDYLIEDYGCALSKDILLQGRLYVSENHLCFH ANILGWVTDVVVSFADIRTIEKKMTALVIPNAIQVSTGNAKYTFASLIARDSTYDVMM NIWRLCNPNAVMSSVSLANTNNIGSRPGSVVGDEDAPAAGGGASGGGATAKGHAPTQC ACGKEGKHYNETALETTFPSTPEKIYELMFNSAWFKSFLSDNQKLRDIECSEWRPISS SNSLLTRSTSYIKPLNGSIGPKQTKCHITDEHEHLDFDDYISLITTTKTPDVPSGGVF SVKTRTCLMWAGRNSTKVVVTTTVEWTGKSWVKGIIEKSAIEGQKTYHDDLETGMRQY IKENSSEFAVEGGAGDEEVEAASAEGQESKENQKETEASAYANENKRKRKEEDMGLLQ SGFDSLISGIKSIFSGIKGIGESIEDLLSDTPFKIQNLMGVLIVLLVISNIWTYYSID RSAIKERRYKKLGGPSGTNEGIQDIEDVVRRVLQGRREIGSPKEELNELIRLLDDVET RVNKLRGDVKNVKSNLDDVD L199_000867 MPRDLGGRAQDFREQWLAPSGGWLSELIMTLSDLTGIWDIIILS GVFVGSWIILRTSPMEISLILGFAFDLAIPLQYQNDEELSSRHEVHLQNYWRTFMIGC IIEGVSFFLLHPDLFTLIVCVKTTFMLVIWLSKDQEGLQIKPRRSSKSGRNELPNSRS RSRSHRSSPSESSGESESHSSRPSRRSSSSNTPSNIPPCKHIPDSYKHLTRAGYEDPA IVGMNLTMNQAGEDKAKKMFDGWTRERDPKNIARRNKEYAERAKKAGWKPTSSSNSNR QSGSNAASTSSQMPQSKYVPDAYNYLKRTGYDDPAIAGMILSLNQIGDKDKAEKQFKS WAREKDPKQIERRNIQYAARAKQAGWNGSSSQPQSQVGAGDSGSKIIDDGPSNMPISY HIPTSKAYQALKKAGYEEPAIGGMIIGMNGSEEKQALQNYKIWTEEKDPNQIKRRNQQ HGERGKQAGFPDKNKMNAASGGPPSERIKTKLGIPVGSVKKVENILNSKDFKKKITSE EKKKILDILKNPKSEKEIMQLINKLMSLGINIKQPEFQDGWGSSDGRGGYRDILIRDN DRNMLIRSMRKKGQFSKEEMMKWDKYLRQPFSRRELEETVIPFAKRIDAYIGEGYHGT GPHRFRDKWLQSIKPRIKERNKISTAVLDQAILYAINMKDPKETQSTVDRWIKQGMTK EEIQQFSKQVDWA L199_000868 MHRHGRRQFRSHHGHNQQQSAQAPIQVLSPAGDDEPLTITMLGA GQEVGRSCCVIEHRGKKVVCDAGLHPAHPGLGSLPFIDEVDWSTVDAILVTHFHVDHA AALPYIMEKTNFKDGNGKVYMTHATKAIYGLTMMDTVRINDQNPDVSGKLYDEADVQS SWQSTIAVDYHQDIVISGGLRFTPYHAGHVLGASMFMIEIAGLKILYTGDYSREEDRH LVVAEIPPIKPDVMICESTFGVHTLPDRKEKETQFTTLVSNIVRRGGRCLMPIPSFGN GQELALLLDEYWSEHPELQNVPVYFASGLFQRGMRVYKTYVHTMNSNIRSRFARRDNP FDFKFVKWLKDPKKLNEHKGPCVVMASAQFMSFGLSRELLEDWANDSKNGVIVTGYSI EGTMARTLLSEPDHIESLKGGTIPRRLTVKEISFGAHVDYAQNSKFIQEIGAQHIVLV HGEASQMGRLRAALRDTYATKGQEINIHTPRNCEPLVLTFRQERVVKAIGSLAANRPV HGTPLKGLLVSKDFSYTLLDPKDLKDFTGLSTSTLVQKQSIPIGVDWSVIRWHLEGMY GEVEEGTDEEGRPSFTIMNAIKVVQISEIVVEIQWSSNSSNDMIADSALAVLLGIDGS PATVKLTSTPHQHSHHDHSHETGDSMTNGHSYSDVSGNEEFDRIRMFLEAHFGEVSGP NVTVAEGEEDELLVMTVKIDEVMAKVDLISMRVESDSPDLKRRVETVLEMALTTLKPL SRSFMGSGVDLNLEDIAVTA L199_000869 MSDAINGNGNGAAENAGESSKAAGGIGIDPVTYNHSMNLTNWIF NVGYLHQDWADVHLTFFQSGLKAHRIVLARSPYLAHLMRNVVPGSTIHLNFVDENINQ ESVHIALQHLYNPSHNLINPTNARSILATSYIFGGMPELIHHSYELIKSSIGPQNIID LIQWVSQSGDIHSSSSANGFPKTVPNGNISSGDNWLEGESRYGEWTNRLKDDIVNYLL HQLPSSYPLSSITTQPEITSIFSQLPYELFKLILESKEFPFGSMQERFSYTKKIISSR KKHSPSGPGGMEESVVLAFKGSDQGMEIHISRKPKKSRHLWKVEG L199_000870 MSEDLAEWLSDSNEALSLQLVRDPEDEDVLHAQEKRAIEPFHPT FTYPIFGEHEKIFGYKGLDIQLKFASGSLRQYLSVTYDSKLNSPATPSDEIEGTLYKF IPPDYTKSEMDFSKLVEKDASEFKPLGEKIGSYVRPAATSSKSKGKGKGKVSNGEELK EDDENAVVFEMYKATWNTPGFREYHRRMQLFILLFIEGGSYVHEDEDSWEFITLYEKR KRPATDSDIWTYHFVGYVSVYPFWCYPDQVRLRLSQFVILPPYQNQGHGSKLYSTLFS QMLARPEVAELTVEDPAEAFEDLRDRNDLRFLVSKGILEDPNFSIGIGPSDESSRSER VKWESEIRKKYKIAQRQFDRLLEMLLLKELELKDEKELKKYRLHVKARLYRFNYEMLS QMTLEERKEALAKTYESVVEDYERILEMTFH L199_000871 MSMDGDLIALVNKLQDTFNAIGGDAVDLPQIVVVGSQSSGKSSV LETIVGRDFLPRGQGIVTRRPLVLQLIHTPDSSSSSTSGLSPSAHNNANSNSSSIRRS PRIGSTDDGNNGYLPNLEHTPTAGAGIMRPGGRQMNEYGNVTYAEFLHINRRFTDFEE IRKEIENETYRVAGQNKGVSKLPINLKIYGPGVLNLTLVDLPGLTKVPVGDQPTDIER QIKNLVLDYISKPNAVILAVSPANVDLANSDALKLARSVDPRGLRTLGVLTKLDLMDA GTNALDILTGRTYPLKLGFVGVVNRSQQDIMQDLPMDDARQKEEDFFKTHPVYRNIAH RCGTKYLAKTLNAVLMTHIREKLPDMKARLNTLMGQTQQELNAFGDATFLGEQHRGSL ILKLMTEFSKDFVSSIDGTSLEISTKELSGGARIYYIFNEVFGHALTTIDPAQNLSLT DIRTAIRNSTGPRPSLFVPEVAFDLLVKPQIKLLEPPSLRCVELVYEELMKICHNCTS PELQRFPRLLTQLIEVVSELLRERLGPTSDYVSSLISIQAAYINTNHPDFIAGSAAIA REGQQQSSQMARIPSQASSPDDEDESVSSDGAGSAPPNGAPLNNLHPRSASTSVPDIR RPSVAAKGNVASELSKSRRHERTASGSNTISPNAIPQPHMANTIGGGISPHGAGAKQS FLNYFLGGPNGLDEPRPSSAPVTERHHHQQSRISNNSSKQSQSQSKDLLPDLSTGRRP GNMRSGFGMDTSSTYDMKSLGKHLEANSPDHPLQLTAREEMETTLIRSLIASYFSITR QTIQDIVPKSIMHLLVNFSRDAIQQRLVTQLYKPDLFAELLFEDEALVSERTRIKALL DAYKEAFKVLSEVSLKST L199_000872 MMTIHRINRPWILFTLLFVSLLLLFAPFTNHGSGNRERLKGVIE SVRGKGKEAVVLEDSESHWDEADKMREWEFNRALQYEGTGSRIQAFIDKARSGQPFTV SVIGGSVSKGRGLTPPPDHPRHQSHERPRQGEEQAKNNANIDLTEVPSSAPPKQTQMG ATTLYSKENLHVMIFDWLNSTFPNPENRFVNGAQGGVGAGYFGWCFKEHIPEDSDLIL VEQGINDLLDMEVISFYEHLLRGLLELPNKPAVINVETFTTLFPSLLSSSAFHQGVLN FYDVPSIAIRDVILPRLLADPDKQMPRWFRTGDDVTLKDPKAKEYGGVAVDVMHISAR GHALAAGLVIRYLQDQIERSAPPSYFRKALSRFASSYIKKPPLRILDVPSTSLTGQFN PFQRDPMHVPVCRSENSPRLHGKVSSAEDDVSEGYGQGLQLADGSHGWTQWSWAEKRY LISREPGSIAIFDFIISPPSKEVEESKESLLLAESDPIEQSLIENGNEEFEVEAENVT ENESDSVEESEEEKGRGRFKPIALSSRPGGPFNLHADEHEQASGSNAKSKRRRSRRRK SSSSTSNKIIANVTSATTVESEILDSDKESRRFISSPNKRQNRGSGGSILIGYQRSAK LGLGSVWCWVDDDRIQGTQVDGWWKLDKRNMGMVKEIASGLQPGKHTLHCELLKETLD PSGGNEFRLFAVMHD L199_000873 MSSPRKRSKIYSSQSRQLTEPQLPLHIALAWIIDRVFPLNDDCQ PVPVYSHGFVADVLRILAREILEVGSQPATFVSLRDELDPLVRKETTEILKRNNKKRS RGQKVQEEFEDAEKYSNWMTAFGDKFKDVAEGCTFLDRLEKELRDRLNYADDDEPTEL PEPIERHSPLGIFSRNLLNTLRKLSFDETTHLSREIAKWCGLPNAVTSVHAGIWSLDR RSGMEDTLDKRIKAMQDYQSSNASGDYSNALASLRRFYDYQFPSAGRGQHQHALLNIA TFHYSTGGMESAQSAIDEAIRVARTAGDKACLQHCLSLAQRIKHETCSVAFTPSETIR IRQAPISTSRLPEGQTPMDQLWSVKSALDLGEPVHVAFRRIHSSLGKELSTEPSTTDE ERKISKVWRTGQKLDMAAWNTAQAGLWGMLGSSVLAEFHEDLALDDLSPWNDGRLTVI LSKAQRAVERAEYDSALAILLDISVLQGMSIQSYHRWSKVVWTVLERKAKMHGDDILL SHISSLQPPSGYSQRQGVGGPSREIGHPEAKYLNLTDEQAPSKGIMLIQEHIKDLFKK VEKLQLANAPSHMILPDILSAVQLTSELGIWRLYRFGVVVLCEVLLSMEGIGMASKAI REIETVWDQISGGDDIECIARGSMCLGKAKLDVALDGDSEESLDEAIQHIQHSIEVST KLESRSLILEGTSLLAMIYELRGQTQQRDELSQKYMMVKSGDEVELYKAKEQAVRVGE IVKMAGIRVAEGWK L199_000874 MSQHNHNHPHQPAPSGGTSSHKSHSHPRPISHSHHHDQRHAEES VDVEEAATRRRLKGKQKADSSHTPNTNLASVKQGTGTPDEPLSAMLDEALHTSISPPR SPASLPSSVDSTFDGKLSMIAEPPLAHHHAPVRPHAPPRLLSQLTRSTLPTSSLTFEY RNRQRKASVSIPREGESSTAWQRSSPTTAICDEPFPDLDPTTGLPINQSRHRRDSSSS DAPSLHLQRTITGLLNTPPRKSTESNSLIPSMPSLPNLNLSLPRVSLPSAPSLDFRRN LSTSGTQEDWSSWATGWWSGNKGRVDEMMSEEDRADTVEEEKEKLRKKYKSPQNPVVF CHGLLGFDYLGPANLPTLQISHWRGIREVLESNGTEVLIARVPATSSIKDRAKILEEV ISEKYPGREVNLVGHSMGGLDCRYLISELKPKAFRPISLTTISTPHRGSPFADYVIDN VIGRDRLPTMLSLIETLRLPHTGDGTAFSALGTHAMKEFNAQVLDREDVSYYSWGASV EPGLFDTFRWPHSVILAKEGPNDGLVSVHSAMWGEYRGTLVGVNHLDLVGWVNTVRYT LAGWTGKPIAFKPATFYLEVADYLAEQGF L199_000875 MSSGRIEDQDHHHRADDDIGDMIRYIPIRGGEGSAGRSQIQTND HNAIHGEYMIDPPRRSSRVSKPTQRSSEYAVHPVASPAQAESKKRKKNPSANIETSNT LPSTEIYHNVGEVSSPLSFPLEYSEHNLIIPKGRQLRKITTSTKVADSKPPRTSYSGW SCHKAPPGILSLLRFDRPPELLTLPSASAVEESYQEAQASQSGHPNGGVKSRLTRKRP VQREQAGLFVRDRVVVTAKKDGLKRKATENNQDEVQILPLPQTSFQPYPQIGKGIRSS RFCKILRILAGLQNSRLRRAEVLPQPRNRPPVWAESRQELCEALPYYRSFQSGLYMHK KVAYGYLLEAFPAPRDIWAHKGRVIISHGGGQCVRTLKLDGTPGPATLQADQSRSDAR VDTLLLAHERRIPIVLIAGKGYEGLPWQLDCAYVILGWYWISLTWVEAEWPPLGVSPP KERDYFYRVKIRFDWVESQGTPWWINSKNFTNIPDAADIAIPHSDTWKKWSRESSPLT PLPSEGGEISYKDIRNDVNLPKDGSCCNEENRTNDDLCTPKKMDVSSLLNPIGLPTPP HSSPKRSNHLKSSTPPSPCSSTTHRQFWPGDQFLSTTQPLLTFTEHSLKRSPFPAPTT CTSCHRPIVRIYQEGLICFQPECQAFFMLDSPIGSLPIPPGFSLSYDEDSLKPRGTPP EVLIPYSVVPQEPVRVVPETEEMEGEVGGRTLWRGWVCRKCGRANCRYRWEVWECRNC GNTLGLVDPSRIIVKKDLPHIPPSFLGDSQIDPLSGITSRIRSISEIGGVCIVYDLPY AGKVYHLIQPGCHLADELLQDYQKAANEGGWFQRRPLKGTTVKGQFLAQHFAVNYGAA YKYQVDTLSYPFEESPECVTRSLDLINDRVKMILDEELAFNEILSVMYREGQKMSWHD DGEAGLGPIVSSLSLGNQAIMSFRLKTPRVNPNQTYYNGMADRESKKISPTALSFTLS HGDIMIMQGSDIQKKYDHKVIPMGFRIASTARVIGMP L199_000876 MSKPVVRRTYGKAPPRVSSSSSSLFDDHPSSPPPLISNFRSSSP PSSSRLDTPTPSSPPTPAERPLGIRESSPLFFSADEEDEENENASLTPNLAEKESKNA EINGKRTIRPLPSKKVVQSSLKGFFVPQPQTKKTKALQPSTTVSSPSSSKKSVGSPSS VLGIKPSRSSSCSSKPKTRPLTQLHLTHLPLLHTCQGCGMSFMRGGEDESVHVAHHTR VLKGIVWDGLGKGKGKSREDKGWKVVKDDISFGEKEKGKGRVVMVDGSYGGSKLDEIL TTVDRVLSSPPLPRAILERCKIFLFVTSSPPPASSTKRQKLDNSISRNVVQRERVVGV VVAQGIKWAMRVLKDGEQLQGEGAEREQKVVVESGGFGNVTCDPAPLPTPLGIHRLYI SPSYRSNNLSYHLLNASCSNTVYGCTFDPITGDVAFSQPTQSGRAVMERWGKGGIRVF VDDESQL L199_000877 MLNRVALRSANSLPKRQIARTVLSTRTFATTSSIMAEQKFRQEK DTFGPLQVPAERYWGAQTQRSLMNFDIGGPTERMPPPLIKAFGVLKKAAAHVNQTYGL PADVAENISKAADEVISGKLIDEFPLVVFQTGSGTQTNMNVNEVISNRAIELMGGELG SKKPVHPNDHVNMSQSSNDTFPTAMHVAAVVEINSTLLPALRELHDALEEKKKSFDHI IKIGRTHLQDATPLTLGQEFSGYVAQVAKGIERVEGTIKNLSQLAQGGTAVGTGLNTK AGFDEKVAAEISKITGYQFVTAPNKFEALAAHDAIVEASGALNTVAVSLMKIANDIRY LGSGPRCGLGELELPENEPGSSIMPGKVNPTQCEALTMVAAQVMGNNTTISVAGSYGQ FELNVFKPVLIKNLLQSIRLLADGSRSFTKNCVVGIKANEEKIKKIMNESLMLATCLN SVLGYDDVAAIAKNAHKKGITLKESALESGKLTSEQFDAKVRPELMLGPDEV L199_000878 MDRQLKSPLLIESIGPSTKLSPEVTYQHLLNFLENSSFGSTSSG GLNAVSKIQLERLTDALGVSIGKIDMAEEEQREIQRRELKEQRKAERRKRRAEEEEKN NQNTLENMVEGLEGEGEGEMDNGAVQFGDEEKMDDRGEVEYGDVVDEDEDEPDNEDQN GNGNQDQDVDEKMEESD L199_000879 MPNSDNQDEEDTDTKLASLASLLEPLSFPFERLLESLNEADGDV GKAAEDLLLPGAGNIKNKRKAGNSLQSWLKKPKKDIADDKLDPRETDDDDVEATGAGP SNSIKSTIQDNIDLLSILRGRPPSSPTKNKALPQPALLLTSQSAIDTHDLPITLLESP LPPSLASALYLELMNESEKSWYNNEFYLAGKQVKSPHTVQMYKYPSSSNDEEEGKAEG ATWGKGITYWYSGTNMVDIADYPPLLRKAADLVERVVNESISKRKRYPLEWAGEWKAN CCGTNRYDGAKSSVGWHADQLTYLGPYTTIASLSLGTPRAFRLRQTNTVDPSFSTNAK PIRTYELKLGHNSLCLMNAGCQERYKHTVPPQKALDLFRPTFDKDERPIPLHQQRTYT SRINITFRVEYHTGRFYRDDFHPNPSTGPSGPREGTPMCKCGIPTLLRADQKAKARSR LLPTTSPTKPSPKQQRQDKDTDMNMIEDDMVYFWQCQSPSQTGDMKGCGFFRILDMGK EGRGPCVKDVV L199_000880 MTIYSLYIFDRHCECVYYQDWHRTRPVRAPPQTSFKPGVHRLPP PPSTTSSQPNGNVNRESIFSENAAAAAANRNSLVSNGTLTSQSNKLSLPKGLPFDEEA KLVYGVILSLRNMVKKLSGRDEAFTSYSTSSYKLHLFETPTNYKFILLSDPSSDSLRF VLRQLYVGPFLEYVIRNPLVKVDSREEGVDNDQFRAAVDRHMKGLSMFN L199_000881 MSSAEAERRRREALAALKEKKLNKPSVQPITVESSPESHVLVPP SSSPAPTPSTHPSKYFSNGNNIAQKLNYGSASLAGTGVIPSSGIGRRFDQTDSQTTLT GSPQDVLKALHSRHAPESRSSTAVGSTSAFSYDASTSRSTNNASLLDSPSSPQPLSRL KARYRDPDEPDSPIRSTNGNGSIIPSSPISNSSATSRQPSLVLAAQNQSKSREVEIQN MANRLSNQFRNVQPQLILSLLKKYPDNHDRAINQIHAMNDRPSSPLNTTSTPPRFEAF TPNKVKPPTMNQYRPTMVSRPSSSSSVIPPAPKVRVINPPKPKKNAKSTIYANRANNG KRRDPDESSSGEEGEGMFSDNDSEGSWSGDEGRKKKRRKGDPEVDAEGEALKAFNEVD ADMLTGTIACSAEQAAIIIKLRPYEDVDDARHKLTKARGVSFKLFEQYTEIMEGYVQI DACLNRCEAIANDVGNTLAVWRGASMAQDSVVGTPRSDGLNDVKVDVAKVSELLRKET DMKKRKILSSYIQTQPSTLSEGTILKDYQLLGVNWLNLLYSKKIGCILADEMGLGKTI QVISFIAHLKERGIKGPHMIFVPASTLENWTREFERFAPSVDVQTYYGSQAERASLRD DLKRRFRSGRLEVVLASYTQVASNDDLGFFRKKIEFETCVYDEGHKLKSCTTKAYSDL LSIKPNWRLLLTGTPLQNNLQELVSLLMFIHKDTFADAEPYLRAIFKSQGSANLLSQQ RTSRARTMLTPFVLRRRKALVLNLPPKIESVEHCEMTKVQAKLYNETMNRSRKVLQEL TDDALENEAALVEETEQKPNAKKATKAKPKKGQITPAASSSNILMDLRKAASHPLLFR RLYTDAKVKKLAKECLNTPSYCDSNLNYVIEDLEFMSDYEISNFCSSSIEDELRKYAL DPELFLEGGKIAALQKIIEKCKKEGKRMLLFSQFVMILDILEKALEHLGVKYTRLDGQ TKTDERQGLVDEFNDDPEITVFLLSTKAGGVGINLTAASVVVIYDQDFNPHNDRQAAD RAYRIGQEKEVEVIKLITKGSIDEDMLAIGMTKLQLDDAVGGEEITLDGADNSGQDDK TAKETRKSLLTTLRNKFVADDDVVKMEGIKEEDEVQITGSVKKARGKVVEVD L199_000882 MDQQLISLVNKLQDVFASIGVSNNIDLPQITVIGSQSSGKSSVL ENIVGRDFLPRGTGIVTRRPLVLQLINRPATSKPAQPNGDKADGEKAEDAMAKVQLNE NNPDEWGEFLHLPGQKFHDFHKIRDEIVRDTEKMTGKNAGISPNPINLRIFSPNVLTL TLVDLPGLTKVPVGDQPRDIEKQIRDMLMRFISKPNAIILAVTAANTDLANSDGLKLA REVDPEGTRTIGVLTKVDLMDQGTDVVDILAGRVIPLRLGYVPVVNRGQRDIDQSKSI ASALDNERKFFEGHPSYAGKAQYCGTPWLARKLNIILMHHIRNTLPDIKARISQQLAK YQAELTSLGGPMGETNPGSLVLSTITEFCSEFRSAIDGNTNDLSLNELSGGARISFVF HELYNNGVKSIDPFDQVKDGDIRTILYNSSGSTPSLFVGTTAFEVIVKQQIRRLEEPS LRCCALVYDELIRILGHLLSKTQTFKRYPELKDRFNLVVINFFKSCMQPTNKLVSDMV SMQACYVNTTHPDFIGGHRAMALVTDRMNANKPPEKPVDPKKLPPNALNNGKDLDADF KKDEPSFFNSFFSKDKQPKKKVATMEAPPPVIKPVASLSEREAMETDVIKLLIQSYYS VVKREMIDMVPKAITYNLVNFAKENLQRELLEHLYKPDVLEELLKESPDVVARRRECI KMVGALNSAEAIVAAV L199_000883 MSTITPSSYSLSPKGSTPQELQRDHVPVLTSTANTPNQTFDKPS CSAVSNGVNPGVKIDDDNPVGSLEQLLKCILTIIHGYSDLSHSSSLYLSSLDHYRLRL EKLLSVARRLQNDPSPAVDLNNDDDGDCDHRQDPEIDMPSRQDLMREIYKLEEEWWNS EVVVSWYGPRPRPRYTHRRSSSAHDCIQDIQARSSIQPTTSGQQNSVSPPSRRVIVSP SPNKGKMGMTLIDQQRARRHRISSRDLTALTEEEHELPDDYDVMEERTKAVNRGNAAT QAQISILGNVGWLRRDSSYVGLHDE L199_000884 MSNKLTHEEQEALVISEDPEHPANLISELCREFYKLGWVTGTGG GISIRQGEHVYLAPSGVQKERIRPEHIFVLPFAQSSVPKPGSKRDFLRIPSKKGLTES QCTPLFWNAFTMREAGACIHTHSQHAVMLTLLHPRDAQSFKISHQEMIKGVRIGGVGK TLSFFNTLEIPIIDNTAVEEDLTESMAAAMEKYPDAPAILVRRHGVYVWGNTWEQAKT QSECLDYLFEIAVKMLLAKLPLVGDN L199_000885 MLEKIKTMYNPTPGMPPEKIGPTVGQNIGKITLPSTELRFYDLG GQRDIRSIWPKYYDECHAVVFVVDACDQARLTENWEVFDEVLNSPRLLNLPLLLLANK QDSPTSLSVAEIRESFDAWQRARSNRETDQEDKPKDKGKGKAKEPADDQEGYHSQAGA NGQQPGGDRQWDDGGAKDERMASLDVMGVSALEGTGVRDAVDWLYIRVQNARKM L199_000886 MIPSPRRSVSQPAIQSNNASWTDVDDDDGWQDMPVVRSDSNPFG LDDEDVKKYHYKAPTRLDPSAGSSSNPSGNATGNATGQHMELEGDTFTGVADSWREKI VEDESDYTRLRLNEDEESEEVAMRTRYLFDEDKAMTPLSQMQATKNLLTEGQRIAYVG LCQLIAKRMLKDMGRGWEGHKLTSGKGKLGLRGKNKNELPVVESGNIWMLKIMARLYQ HMELTRDEQRMIESLAEHGVDPSDLVPALMTTHTVANPDFDPKAKEKADLEAIAEAEE AEAERDVEAEKQRLAGRSREEIDEEENPPPPYRPREESPLPRHTTNPFGEDEEEEDET RNPLASSSTVSTSKSPPPQSGKKSKPTPARLPSLDFDEDDGDITSMLSPPPSQSPRPP TSPSETPPPRDTNQKLRVEETDAEKTPRVKFAESPEIVNNIVENRNSEMKEEAESEGD KAPAPLPSLPGVSTSLSNTDEKVTLDIRWTVLCDLFLVLVADSVYDARSRAFLEHVSS ALGFEWLDLVRFENRVTDALEIQESTEKTTQDGIIEGRMKAAKTKRYALMGLAAVGGG LVIGLSAGLAAPLIGAGLGAALGTVGITGTGTFLAGAGGVAMITTGGVLTGANIAGQG MARRTREVRTFELKPLHNNKRVTCYITMGGFMASKFDDVRLPFSVLDPIIGDVYSILW EPEMMEEMGSAMKILTGEILTQVGQQVLSATIMTALMSALQWPIILTKLGYLIDNPWS NALDRARAAGLVLADTILNRHAGIRPISLIGFSLGARAIFYALIELARVKAYGLVQDV FIFGTTVTASRNTWLDVRSVVAGRFVNGYATNDWMLGYLFRATSGGLNTVAGLRPVET VAGLENVDVTDIITGHMSYRSCMPQLLAKVGFPVTAEYFDEPEDPNVDMSVQERIIIN GAEEEAKQNRRKILGIFPRGNKSGRSSGSGTSTPNTDKDKDKSTETLPTPGPGGGYEY EEDDDLPPREEADLGDIPGSADAKATSLETQQEREESKRVIREEEERKAKAAKEEEEA VKSISKTAGFDFKAISDALGKDIDVENLKQPEPSRPIAAQAMIPNEARAPLERSGSAP PPIVHDSSEDNTWTSSSNKMASTSTFSKIGNDDSDDGEGDITSNMARGLSLSDLPSWE RPQISTTLEDKSPSPSGQSGLFKSPVFSWNAWNSTSGNVPSANEILRDTPKPVRSAPP ARPHPKELMDMNPFMAGSNEGWGGTTSSTPIPGGSGLGKFDEDAEKERKRKEDEEEAE TNPW L199_000887 MRPSEFLFPTLFLPLISARPSTRPISIPTTQQKAIDDIPIGKTA LSNNDMLEIGRMAIAINSAYCPKGNKLQPHPPNSFIKQIRHSKRHHDSSQRPHMEESS IIVSSEDLEYVDDLYIAGNTKWYISHTPSTQTLTLALSSLSSTDDFLELLASSPQNNQ SLVPLQNLLFPFDRLSTFPVDEQPMIFHPYVDSIVSHGNSALSSLLDLIENPPLTVSS FHTDVIKEYVTSLAEPVPAVTHAPIKKVEIIGHGLGSVVGLMVSLALKLELELAFEQL SNEIDIKANLLGLPRIGNSHFSKLIDNHLTRNQPSLQVNRIISYHDTITHLPGRHLGL THLAKNELWIGPDPRAAYLCAVDSKDCSDGVKLSETSLLDHLGPYGGVLIDTHCKME L199_000888 MSRPQSFSPPSRARSTSQNPTTPTHAKKRKRGEKNRTVPQELYA GERRGSTSRLSPIVYRGQEREYDDARWQEEEYEHKELDVSRGISSGEGREGWTYHLEV IQQPQRARACGFGNKDRRPLSPPPIIRLWIQTASGANVDPNTVDPRFLILMVDLWSAD RQQERNVVMHPVSAGVSKLESPPVGQVDLPSVARPSSRPSTGTSRPMSGSSAGVSSWS SGSWRQPNYHSPVPPSHASPYPRESPRPHSSRDQPLQSSSNSRSPQSGWTSSHPPPPP PPFHRTQSYGNRQLEHEPDPPRPKTAPSPHHPLQQQQQQPSSTISPRGPTPSKSSVNL PPLASIAEERPSTSTGLTLPALQAHREQQLFRPGSSNSSLWGRPRTGQSITDASTAPT DYSFAGRPISSSSSSWYGSTELGGGKDRPSSSWSEMRPWSSGLNHSVTRPSSSAGSGI APPSPHSSQFAYSSKFPDQQWPPTPGSLTYPPNQPDVSWETDRMRYNIPLHPSSQPAA QYSRVLVGKVTAICHKLQDEQDKPGLYFFAADLGIRTEGTFTLRMVMTDIASMMDPEV VLGAKAPVLAETFSEPFTVYSAKRFPGVIPTTNLTKVFASQGVKLSVRETKKGSGGGD DAEEEEED L199_000889 MNSPPLSPITSLFLYYSSNSHKRKQPNTSASTNTLATLPSTPPL PPPLLSGTGTTFGTPYTPAQPGFQTQYSQDQQYTDPSGSGGGDYKSGGDPWAFNAFSS PPSPSTITSTSDSQTGGETFPPSDTGEGESSSSRSGSSRRQNLSLNISSLPTPFQNAS LQSPGSLASSGAPFTDSFTSSSHNVNFEQDYFHFADGSFSSTGEGSLAGQIPLVPQGQ HPTHRLPASQPSSPIRGVYALPFGNQVGRQRGATFSGGSFYPYDQNGSPVFTFSQNIP APQAIPPHLTFTNIQSPLHSPLAPSPVISASPVQLQTDNSSFFPVQQTQQQPQAQGLG VQEVLMEDVSTPTPAVQPPVLSRKSSLGQLQQQPFGSMTPEFSMEMVDKLSLLDKIVI SAQTAKDALLRGEQVDVSASLGDINHQLEIASELGVGPVPTPRDMNTPNSQSVSPVNF TTSPTVQTTSGMSQTFSNPIGQPTIPTQMHPPKNVPSQGLLPSLPVDGNVVNANRAVS ATPLTSGNSNLMAAATPTDFLNGNKAQAPPLVHSHSFPNGHQLPSQIQGTMTPSTPVV PSPSFIAAIGAQHAPIVSSPLATIPPSRPPSPPRYTIPTQPWTNDMMPTMDISTQMPS NQISLQQQPTVALERRASQSERADGLPISRNRSTSVHKQWAHPMMTASVPPSVWQSRQ GSPEDMDEDESEDEGPRKNKRRRSSVGGDGGPNADLLNGALISEDIRRQMDQIFEEFL NRVCSDLEICDSKGEKLHQVLMPKKMQRLDESTDYRPFKFRIQAFTNAFTEELQRRGI SEETMSVKKIKTYLWKQDLISRFNPDGKKAKSKGNHIWNVDAKKLPGGGWVFRPFKRR IIGQPNSFALVNQKYEWEPRIWDPQAASDTIKPSFHSPPGGLPSWLRWEDSTKLVGLP EQPTGPLPITVIAEFIDGSGNQTTLETTFTIQVVPHLLPLNETTAMYAQAGFIPFDFP DQPNGQPVAMINQQG L199_000890 MGNPTVNVAPLALIKRVWERIAPLQLAERSWDNVGPMIEAPYPN PNNRQVLLTIDLTPSVAAEALSLPSLALIISYHPPIFRGLKSMTLQDPLQSSLLKLSA KGISVFSPHTSLDATPNGINSWLIRPFLSISKSNSPITTSEQIEGFEGAGMGRIVNLS VPLDVRQVVKMVKDHLDLDHVQLATPEIERPINSIAVCAGSGASLFKGVKADLYLTGE MSHHEVLAAIHSGTSVILTNHTNTERPYLSQVLQPWLEKELNSEIDIHDEQPNGKWQV LVSKADRDPLRVV L199_000891 MARDRLGNVNRQYGRLSDSPTPQPTYPPTNGVIAPSRAPNQYAQ QGNNSLPGAQPGQYAQYGQHAGAQQAQAANPYGGAYGQQEQYAMGGVGGGPTAGADFW SELSTTNSLLGQLQDQIGQVRQAHQASLTSTDPNSRSYVSQLNDSAREKREECKSQIK KLYKLAKGDRAQKTQAEGVKTRFQSLLQEHQVIEKEFRKKVKDRAERQYRIVNPNATE EEVQQVVESDNPQIFSQALLNSNRYGDARNAYREVQERHAEIQKIEKTLTELAQMFNE MAMLVEQQDETIVNVETQAQGVDTDIKAGYDQTSKAVDHARKARRKKWICFWICVLII AILALVLGIYFGTKK L199_000892 MFSTAPFLTPLHYIIPVILFVPLIFVLLPKPKPIDPIPGIRPIT VQRITPRKSTISTCLLLLSFLAFGDIAILASDLVTERIRNGDQPINIPDYLKGGKLAG EVIYTLGQLIVYGLALAGVWWREKWQSKSLVTLATLALGLEIPNLVGLVLREVHTHGY DKIFTILSLVPSSSRLLVLPILIVTLLSPRVSYEPADERTGLLTESGVDGRVDPSSSE YGTFDDDSQDPTKTTTTTTGAPTTPVPGAGENTTNANDNPAAIAATVAAAKKIKITKQ LGVKKQDEKKEELSLREAWFKFRPLIPKLWPATSIKLQLFAAMTGLMIIIDRILTPLS PISMGLLIRALTERNQHDIWKWLGIYLALRLLNANGTLSAIQQAFWLPIVQYTDREMQ MLCFNHILDLSLAYHTKRNTGEVMRIIERGSAVNNLFRTVLFSVIPTFADIAIGFSVF QYLFGPIITFTVLLFMIPYMCFTYYSTKFRKYIRKEYIEKDVHQRGVVSDVLTNWESV KYFTGEPREVERFTNAVGDLQRVEWQWDMGFQAVYAIQSLLLTLGFASGAILLSWNIM RGIGDAALFVIFIQYYGAFTTPLNQLSSLYRSINTNITDSEKMLKLLAEQTEIKDLPD AKELIIKDGTIEFDDVTFSYDDKVTALKNVSFKLGKGESMALVGETGSGKSTILKLIY RFYEVTSGRILIDGQDISKVTQASLRNAIGIVPQDSVLWNDTIGANIAYGKPGASDEE VIAAAIRGRIHDKIMTFDEGYDTIVGERGKRLSGGEKQRVSLARMFLKNPAILVLDEA TSALDTETEREIQKSLAVLSKGKSSLSIAHRLSTIINSDKIAVMKEGQMIEIGTYQEL ITKKDGIFARMWKRQIYTEAELLDDEDLEKVANTLPTADDLRYAHAHPAEKSGTKKDK DDDDKPDGKAEQSTSDQPSSSTIAMAQTEEATSALIDLEDKAGDQSVEGFAVDVPPPI VDNPEYGSFADAVKSPSPEGSGAAIVTAAPEPPSKPHDTEEGKDVRESTPDEAEPTSA ATGPSSVVETQDPIAAAKPEGIEDESSETPKAAPETETTPDTTSTPVPSVPFPSSPSA SPKKEGSVSLSLNSPSRKSTEGSSSPVKAFPTESPKPGSVPFPSSASGKPANTKRWST MSASPSIASALSSTGDGAPMGSSPSKSDTSGGDKSDKRRKRLSSIKGFVRRISDQGLT RSPSGLRSPASEDLPPISGNTENDHEVNESTPLVGQGEQRERRVSTHGGKDDKKLSKK KKKHGKH L199_000893 MSGPASSQVEEKPASATPRNPKQIRSSSWLRNLSRWNLATLLLL YITSLHVIGLYIFTKGFLLTRLTIPHVSPSYTPSSPAPLPATHSKAVILVIDALRTDF ISPHYPSPKSPYHHGILTLPSELSASQPDHSLIFNSFSDPPTATMQRIKGITTGSLPT FIDISSNFASTAIEEDSLIKQLLNANRTIGFMGDDTWVNLFPDSFNLSHPYDSFNVED LHTVDEGVIENIFPYLQPANQSRWDVLIGHFLGVDHVGHRVGPNVETMKTKLAQMDQV LRQIVDLLDDDTLLVLLGDHGMDDKGNHGGDSELETSSAMWLYSKSKPLKGKPVEESI TNSWPYYTFPNSAVPLRHINQIDLVPTLSLLLGIPIPYNNLGTIIPECFSRDLSTLEA ATRANAEQIDRYLSAYGDGSLKSALQGSWSKARATLDTIDSSEGTAPEISDHASAGVG KVADWIRKGKAAAAEASTKPSDHQAQQDSINHHRHYSLLALRHLRALWAQFSLPLILI GSLILGLSVLTLIALYVGVRNNSTNWDVYARLALETSFTAAGIIGSIVGTLAGIYTAQ PSTAIKVFVIASVIVSEIVIIFPLLLKFSLPQTFSINRYIGPILLFAHAISFASNSFI MWEDRIVLFLLTTIPIIYLIKALSAPTADMRIKIIGLSLAYAIIVRLSSTITVCREEQ QPYCRVTFYSGNTPVSPRWVVLAVIPLALQLPRAIGITLSRSKSLAGSAPFFLGYLWR GILVLNSAHWVLEYLEYNSDSIGLTPSAISTAGFLKIWLARISIGINLGALPYIWLIS PLCITVEKKIDQSTGESQISVLGFANSYGSTYILFYIIPFTLVHLTNQPMGQLVLSAL LVGQLVYLELVDTRRDAIVLQQSFATANFNNSASQGPGGFDGIDNSTTIVRPSFTDLV PLVLSGFLGFYSTGHQAVLSSIQWKSAFIGFNTVTYPISPLLVIINTWGPFFLSAMAI PLLALWNISPIPSSSAGGQGAKQPILTHVLQLILGFLIYHTLITFSSAITSAWLRRHL MVWKVFAPRFMLSGVTLLIVDLGCWIALLVGVRVTSWKVKRTFGCESV L199_000894 MSGRAAGGPVAQPADPARQQGNNDKIWGVVRSVAMFVAVQAAMK YGMQYMGMGGNKSPSPPAPSPAGTTAGPASTPVAVPQGSQLATPAWDLGTPLSMLLYT STSPSGRDVDLDHPLVQWDGLTYGEWKDEREADLILDVPESVWAHNGSWYMDVVLVKG GGTPVGKGPGNVSAYRKQLTRFFPKRRIRKEKKLIGNKEEDEEDLEDPVEQSGPAPIV AHWSNNLTLTIVASGGEVNFGQLSPVAQPFYTIQSSLEDPTKKVYPPPIYPNDFWIMK ESLYVINETTKTLPLHVTYHAISAMKFNVFSALTASFEQAAQQQGGGGMEFDEIKRTL TETSPWLLITTAIVTVLHTLFEFLAFSSDVSHWRKKDKDLVGVSLNTILTNCFVQLVI LLYLHDSSEETSFMILFGQGIGLLIEAWKITKVTNIRIRPAPTSIFGYTVQFEDKREL SEDEKKTQEYDALAFRLVSYGAIPLLAGYTVYSPDKTLLRRTHRGWYSFIVTTLAQAI YMFGFVQLVPQLIINYKLKSVAHMPMKAMMYKTLSTVVDDFFAFCIRMPWLHRLACFR DDVVFLILLYQRWIYRVDYSRVNEYGQVNEGMVEDVGKPGEKEKETKKNK L199_000895 MAQSQPQQDDLLDTLLHSYSTASASGSVKGPGIGPEKKKEYGYK DFEAVLESTPIFMKETPKDGETNDVLEALRTLVFEGEGDEIATNFKNHGNELHLQKSY SEAVKAYTSGLDSNPKDLKLKITLLNNRAQSNLLLKNHKSVLKDVGTIIALYTTEKWD SDKPLIKAMYRVSNSLIALERWKEALDVITRAKKEIQDGKIVGEDISLWDGLEKKVVD GQKRDEDRIKRIRKEQVIKGLLERAVNQRGLINVKTPNPPDNSPPVHFDPSEYIEDQW EESISIDTPLIFPVFLLYPQYGQSDFITSFQENTSFLDQLNVMFPQSPSKVEVPPAEW DEKKEYYVDNLVIYVETSQKRLLKVGKELTLREIIRKAKRDQNQKDGVEKAGIVLKDG LMSFVVLPKGEVEKRWIEEFKKSRDGK L199_000896 MSAILPLTTSSTPSSHTSPAPKTPQPLQPIPLTASSRDGEDIQM ISREITPSSPSASASASASASASASSPRSALGSGSGSGSMTLVENSQNNPDEVIMEGN GDDVGNGKGVGVDVDRFLKKMEMEKKMQALQQRLELASIKATNGWKDMSIKEIETKLP PPTPQRNRQPSLLPASPIVASPSRTSTIPYEPPSPSRPWQLIDVLWQPLPPPSHGRYP PSPSSPKKRSRTDDHDAYTNSRLNGLGLPLSPHRQKHPNGSVHRRASSSIGSSSTSAG HKNGFASPSSPLRYKFDENPKSTGIPKKKRSYSHSNSNYINRKVVATTTSQDVDAAKA LTHMLSSGGLSDDGFGESSTGSSSALAAPFLLSSHSLPIPEAFKRDRSTSPTIPSASP LKLPTPKGGIGRTTSISINTKTPNSHTRHKIPDSGSSTGTPGTVGGGGTGTREEDKNA AELMMFLAHSPSPMKTVHSQEDDRPSLGMAARVLFADEDESPNDKEAGKGGASGAGSS NLVLADPILPEK L199_000897 MASIESYVDHLVQVILYDGRIIVGKLKGCDPRTNLILADCVERE YSLDQGVEMIPLGLYMIKGDNVALVAELDEEKDSTIDYTEIKAEPLGEIHH L199_000898 MATTHVIGSSNPGAHLTSSGSHSSSSSNIVGVHYRVGKKIGEGS FGVIFEGTNLLNSQTVAIKFEPRKSDAPQLRDEYRSYKILSGCLGIPQVYYFGQEGLH NILVIDLLGPSLEDLFDMCGRKFSVKTCCMTAKQMLSRVQTIHEKNLIYRDIKPDNFL IGRPGTKGANTIHVVDFGMAKQYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQS RRDDLEALGHVFFYFLRGGLPWQGLKAATNKQKYEKIGEKKQTTPIAELVEGYPNEFS IYLNYVRKLTFDETPDYDFLRGLFDLALQNIGDQDDGMYDWMLLNGGKGWEMNGRQSA AQAEVSRHNTRTRDREYRDRVDKLRNGSAGGQPSPLKQRKSGAGSGLPNASNQAIIGV SAPSPLPQSRRQSQQGNGNGNTGGGGGSAHPFASANALHPDRNDSYDPSNVAIPSQAG LQAISPMHVNSRPGNTAANSQQVGGEGDYGNGRADKGGNGFIKLLTCGCFR L199_000899 MTIPFFSPSTPFGPFQPLHEHAIANAKSISWDNWYYLFVIPLVP LYIQALLLRYEGTRYYRIAVGLVGITLLGRAVVGYRFTQPWFNALNNGIGIGVMHIIA RYLEFGFIDGPLVDRYFEAKGRHPLIGAMDVAINARWIGLGAIDLDHKGKVDPGHIHL NNGNGNGHISPSKDDTDVIDGGLEFSNTHQTIPNMEEGGRTKESWLLWPTVRRTRSQA VVRHGYLALRNYAIFDTALYLIRYFGQTTIGADHPIPNALYRFSHENRFIILPCLKGF VKQEHLIAPWYIVEIVTSISVAVGVWLGISSGYHLIGAILVGTGLWETESWEVDLFDS PLLADSLLDLWGRRWHQFFRHHFILYSTLILRLFHLPVNSGSTLFLSFILSGLMHSIG QFTMNPHPPLLPIFLMFPLSGLGCSLEIAFKRMTGKKVGGFYGRIWAWTAMLAIGRLG TKAWLESGVGGSYLTPPGVGEWLVKNLVEPYLIGKV L199_000900 MRVFQCAVMGSGGVGKSAITVRFINGSYLEWYDPTNRKQFSVDS QPCLLEILDTAGIDQYLTLNDMFIRESEGFVLVFSLCQRDTFEEIIRTYEAIRRIKLP EGNQIVPLVIVGNKSDLIDEREVDATEAEKLAMEWKCSYYETSARTSTNISPVFEDIV RQLRRNDLIRRQERERDPNHSKRKRMKSKKCIIL L199_000901 MLANAWTFTPEDALAYFGTNAETGLTEEQVKRNREAYGENSLPE SPPTSLFKLIIAQFKDQLVLILLGSAVVSFVLALFEDVSEPGGSWLTAFVEPSVILLI LIANATVGVVQETNAEKAIDALREYSPDEASVIRSGRLAKVPASELVPGDIISVHVGD RIPADCRILSFSSSSFRVDQAMLTGESMSVGKSEGVVKDQAAVKQDMVNMLFSGTTVV NGAAKAVVALTGSRTAIGAIHSSISKDDEEEEKTPLKRKLDDFGDQLAKVISVICILV WLVNIRHFNDPSHHGWLSGAIYYLKIAVALAVAAIPEGLAAVITACLALGTKKMAKRG AIVRNLPSVETLGCTNVICSDKTGTLTTNQMSVARFLTCDGAGFAEYQVGGTTFAPTG SITTLDGQQAEKTAVRTAPVNKLVEISAICNDAKIAYHAESDTYTNVGEPTEAALKVL VEKLASDSDSFNTGLANLGPQARATAVNDHYETRVKRVLTFEFTRDRKSMSVLAQSPS GTSLLVKGAPESVIERCSKVVLPSGVSELTSELRTKLAEKQLEYGHKGLRTLALAYVD ESDNDVSHYKTNSAEDYVKFERDMTFVGLVGMLDPPRPEVRDAIAKCRTAGIRTIVIT GDNKNTAETICREIGVFGKDEDLTGKSYTGKELDALSQEEKILAVQRASLFSRTEPTH KSQLVDLLQGLGLVVAMTGDGVNDAPALKKADIGIAMGSGTDVAKLAADMVLATDNFA TIEKAVEEGRAIYNNTKQFIRYLISSNIGEVVSIFLTVLLGMPEALIPVQLLWVNLIT DGLPATALGFNPPDHQIMRTPPRSSKEPLVGGWLFFRYMVIGTYVGAATVFGYAWWFM FYSAGPQISFHELTHFHQCSSAFPHLDCSMFTGLPSMRATTVSLSILVVIEMFNACNS LSENESLFVLPLWTNPYLVASIALSMALHFMILYVPFFRTMFRITALNREEWIAVLVI SAPVIVMDEVLKWISMVMNKDGSRKVKKD L199_000902 MASTTAKYIPPWQRAGYTPSTSSNDLPPPRPRWNNRPTPKDLYS QTDLLNIFNHPQDSTLTFFSYSLPPRPPRPEYDPTHTPESLPLPPSPPPPAPPHPLGY LVSYVVLFGNAHPAWNEEHELWTHTSADKLIEDYEGKRRNFDRPIPVFRSWRGHDHRG EFEFIGWWKMDSLEIVQPQSDELKRMMKEKEKSKGYGRNGRTASAWAESLSTQWIKLK FIKTTETLKEPKELGEKEGGKYLLSVGNLDEELKDLERSKESGD L199_000903 MLSGVALITGAGGCGIGAAISRAFASSGITKLVLTDINRKGLDQ TISSINSASDSSRCEILSIDGDISSTSFMDHLFNEVKSKYGRLDYAVNCAGISGNNKP SDQSEMSDFDKITGINYRALWYCSKKELEIMKSQEIPSKDGLEGVRRQRGSIVNIASQ LGVVGRPDAPIYCASKSAVMGLTRCDAIDASPYQIRVNAVCPGIIHTPMTDNALRPAL KEGEKDLQAPMDLTESINIAPMKRMGTPEEVADVVVFLSSEKASFVQGASWVVDGGYT IN L199_000904 MSPLPIDPTLDPTLHYIPRRAVAETLTPNDTQKITLIVAGCYVL AIGILPILEKIIYPFKLLTVGMHEMSHALAGILTCATVEKITLDPQEGGSTRMRGGIP AITLPAGYLGSSFIGAALIACGFDTNASKVACLILAFFWILTLWWVRSSWVAWATIAL MAGLIVITWLVAHSVALRFLILFIGVMSCLYAIWDIVDDTLARKVNTSDASEYANLIG CCGSRFWGAFWLIISCAFFAVGILVGIAAFKDDWDTQAARADDFLGGG L199_000905 MLPSLTRCSTSRSFQKSFFNPSFRPTFASSSRLTRPSSSSSIRL LSTTRPSFDTNTNIPSSPSQITRKDSNAPHPSVTSEIIHPQSPLSEPAPKSILDNLPR WASPAKPYLALTRIDKPIGTLLLFWPCTWSITMASTLLHLPITTPLFYISLFGLGALI MRGAGCTINDMWDAKMDAKVDRTKSRPLASGDVTQFQALSFLGLQLSAGLAVLTQLNW YSIVLGASSLSLVVLYPFMKRITYYPQVVFGMTFNWGIFLGWSAVAGVTDWTITAPMY LGGIAWGIAYDLIYAHQDKLDDVKAGVKSMALRFPDNSRTVISVLYTTFVSMLTLTGH LAGMGPLYYMISCGATAAHLAWQTITVNFDDRADCWRKFCSNGYITGGLVWLGIAAEY VQNVLLI L199_000906 MLIFSFFKTLTDQVITVELKNDLSITGTLKSVDQFLNIRLDGIS VEDPERHPHMLAVKNCFIRGSVVRYVRMAARSVDTTLLEDATRREAKEAKK L199_000907 MADLPKNGESSTSISTPKDGLITLYLVNGVATVWDAQVAATLHC IHNISGLRAGTLPGVSQQNGFLGLPLTLMKEETAYLVQQGIAHLVPLPSFPTLPTADD ISQHTAKRIERIRKLEQHARDLEEERQKLSSQAFEKGGEKARAKREARARAKAEKERE QKLREHDGLFGDDTTATTSIQESTIDIPPSVKLEDTVTTPATPSGSIPKDSPGHFLTI PSHPPIPSSIQSIQPITSIPHPLFPFPVTPRDTALLDVFTTLQKRGYRMGLGPRFGGE YLIYPGDYLRYHAHFTSQVIVRDEPIKPSELVAWGRLGTGTKKAGLLCCWTDDATNTR LREGQENEEEKEEEEGGVEFYSLEWANFG L199_000908 MSLDNYTKLEKIGEGTYGVVYKARDLSSGNLVALKKIRLEAEDE GVPSTSIREISLLKELSKDDNIVKLLDIVHSDAKLYLVFEFLDMDLKKYMDTIGDKDG LGPTMVKKFTYQLVKGLYYCHAHRILHRDLKPQNLLINKEGNLKIADFGLARAFGIPL RTYTHEVVTLWYRAPEVLLGSRHYSTAIDMWSVGCIFAEMAMRQPLFPGDSEIDEIFR IFRVLGTPDEDVWPGVRALPDYKPTFPQWNAVDLKSAVKGLDDNGLDLLAQSLIYDPA HRISAKRALQHPYFTSTYPA L199_000909 MSISYSCSHLDSPHAPLPPSYPSSSSSYHRLDRLYFCEECDAVR CDQCVAIEIASYFCPNCLFDVPSANVRADKNRCARSCFSCPTCESSLSIQASDQPSES GQPGAPYTLVCSGCKWSSKEVGWNFEKPTGIALQLQKMNTQSEIVQNELDSLKDHLES YMSLSTSNTTTSAPSSVRSIRNPSRQISHLTQMAQKALHRDVGGMVAYSARVKRPSTT KEGEKKKYGWDELAVYEAKENWRKDGMGSSPNQVDVMRELGESGADGVAELGKRWGKS WDAVKMTRDVLPQRIPLQTKLTKRCPHPNCRHLLIQPDTKSVRMKIKMVAANYLPLIE IGRRRRRLPSNDIAEMPEPEGMASEELERRRRERRRARGGILNKEEDESMESPLKSGE TYSFQLALTNPLYDPIQIRLTRPNSASSYSSQERCNVIIPTPHFTINALKDAWAYDEE YEDDGNNDFLMGGSEAGFSEEGTTTTTTGGAGGTIGKKSRLSILGGGSSTKKDRGRDR ENGVEKKSNTSKVNLDIEILPNARKGDTIKFDLEVRYTYRSDEMTTPTDTEGKAKGGK KEEYKNFTFWIRVDLGRVE L199_000910 MAVPSPTPASRKSGLTRSPAGNYLSSAINNNNNNGNLTSPLRDQ GQGQGNYMIRSSSAISSTSSINPNLSSYSSSPNTSTHPGLGRRRSTDASAGGLDYYFS SLSGIGGSGPLSSSSSSSLGIFPSSSPSSTNINNRTTLNPPSNNGISPVGSFERDSKN VGYLRNEEFVSPPGMGLSFSPSSMSSTDDRISRGIREAKSLGNLNFRAQSQTQKQTQT MDSPKDEKTPRQPSYPDPTPNPPLPTKALNMTYSPNDVSMSGIRMNDLSPGGWSETSK YSNTDDGAQSTSASPSYLSVRPPWKKAGEDGGSGQGQGSGDESSESNYSPVGFKIPGK FDFEDDSPQDTNDILDNQQPQQQNVWDIRSSIQSTSTYRPNTSHTGMGVEEDTSHRTP IAPVNDNNRPDIRPPPQLSLNQSYHTSSPSASVVGTPKRSPNSRSAGGSSHHTPTSST TRLGLGFDINQFSKSASPSRPSSSHPHGYDYDSTVPRSAPADRSEFGLNQEEGRELLR EDEDDEELSKKENRRSTLPVTTSTSTSSGAIVESMLPSPSRSRENLLSPEKYTGSRQN GTSTPTLSERRGSTPSPNRSPEPPPRSALRQLPNATNIDVLGQSPNPPPRRTSSPQSI IQTLPLITHTPNSPMPSPDRPTNSSVSVNLDKPQPKRPDRSPDRQHSPVFPSSATIND LTDMLGGAIDAIGLIDSRDTPPPTIGEPDKFDKDKKKNLTLRLEPAAEVSNDRGPMTP TSLPQRGTSLPGQSIPPSVPQQQSQSQPIQSNTAVANGRRSTQPELKQKASSIFSFAS TSKENIHVQSPTLTISVRPWPSAMLYGNIKSLKHSGDRAKGYAKAINELSRSESGLRE WCIASVNQVNRAPTKSSALSSLGVRANSVPSAIPLPYQLSPYDPTPHQRNVSAGSEFP MRADSYAAREISQRVLDPEDQPTSLPSNLPYPQLQQQQHYLSSTSGGGLKPSQSMQSV ASFASGKKGFFSAISKKGYKKESLSLGPPTGPISNIRGGGSSVNKKDIRGLPISGPRS NSPQKLPLTPSSDTGLTAPRVQNSISAPTGPRGPRGTYTPPPSGSGSSGLMDAPAAGR SSLDTGLARMNYGQSQSGRNSLDGTAAIAGAGYIPPPRSNLAGGSIAVKEEDLRQMSD ILPHVERNVLRGYLARYGESMTAIGAYLEDDKNGTVMRM L199_000911 MAQVASPLSLSPVPSARGAPRPWAQSHASGSQTGKNFDVIHSYK RAIEDDKVPHPIAAILALVELIEASTASTVTGLASELTIGRQALINTQPSLGVRAGCQ LWERFFALSMGGEDFPSYKRSLISQGRSFCAITAPQCREKIADLAVGFLRDDCVILTH SYSRTVIQTILRAHKQHKRIKVYVTEARPACLGMRTHQVLTANGIPCTVVLDSAVAYV MERVDMVLVGSEAVVESGGLVSSVGTYQVALVAKAMQKPFYALAESYKFLRHYPLSQT DLPIPSTSTTTAISPNEKHTSNIPLEFPTLIPNSHPPAIGAHNPLSRPTSATPQSRSP VVPGTPTEGSGANRVEMTKEMESINPMVDVTTPDLIDFIITDLGAPLSPTSVSQYLVA QFSS L199_000912 MSKSPITCHVLDASVGKPAPGVKVSLDVLSLTGQETAKEAPRTL ASGETNGDGRCSDLLNPDTKLPPGVYKMTFHSGEYFKSNNVDTFYPFVEITFSYTNPD QHYHIPLLISPFSYTTYRGS L199_000913 MSRVATSSLLRSTSIARSAIANPAKRILGVEAFTSPHPSGIAHL RRWNSTTSTSDQPPKQPGADQSQKPAENPNSSRLETGGKGGLKISWIFGGLAGLGALV TIYGLLEFYSTLQTWPKAVRIPLRAALKAKLRQDYMKSEKYFREALEVALTLGPSALE PEPLQKITGIYVELANVLELMGQRVTAFEELRNAVDLLGPKPIRQPGLEGSGEWIGQN YRLNDKDHIRAIGLYQKLGQISLDVSNSTRAPSYTPPTRSEYEISTPTGKKVENWNEA SEYYLSSALTAMLKLGLTKSTTPTIGVSNQDQQVVLGRDVDLPSGGISEDADQGGSVD KRGLGMTMESLSEVYAKKGQYDLAAQLLLQAVSLLLPPGSQATPPVRDRCQAAMLMTT ISSHALKQSSPQINAGKSIKISKSWSLRSLQLSEEALRDSESENYKDSPYEASIAICS RAKSVGLYNLGMLAEMEGDLPGALNLFQKSLSASRETGFKEGKREAAAAIRRVQSLGG L199_000914 MKNGLKSLSRFARDPDASEEIKYRVEWRISSTVFNNDPRFIYTK PVWDGSRSTKVINGRSIVLAARPDSVKVFNAHFRDMAFIDFSQSNTFKGSYLYCSLPY TDLIERVKGLGEGYTLNPWGYTVETRSLRDDTLSHSGASSWGYTVEARSLRNDTLSYS GESLINADYIDPRWIVPRDSIPETLKENGGH L199_000915 MKQVEVYQGLNNNYYTLDHGIKPLVSIKQYTWTEFFWFWVTLPK QVMSIGRWVRDEEHRDEWDPTYIIPTGPFQKHVVIVDRPKDSTR L199_000916 MEASRPVEQHPPQQDDIDQLPPPPEPFPLHQLVGTSSSSGVNGN GFLTRSEGSKQNARNYLSSVQQSCINALSSLLPDPSSTSTSNGTGLSESSSQLASALT DLLEVTYELDELLPPSTNPTPSASHTHTFPDNPQPDEDEPQENKIKNSFNALTNLLEG LQDARLQDDMEVPRQEESQLERKEGELHPAIKVVREELAWQRLESLSFAIVSLSKGGA AYSDSVEEREQDPQDPKLKQTNSSTSSIFGTDNGLPPSYEQFLNHQHRPVSQTDNSSL LPSYHDIHSDENLNPDQDQAGPSSPIKSKQLEEPSPSNGPTATREKMLQELDSLTFAI ERLSSIAPRLHDQRVELKLNLKSPRGVVDERSMSKEDKMKLERQKMKELEEIWDKIER AHGKRRIRVEDGQRADGDGWEKRTRDRFINRIVDQAEARRLEDQDSMMGSVDAELARA RDLRDRDHFLRDLMDQSGERRLDDQDATVPRVSDRRASLIETLIDYSLSGRLHDQDSL PPTPRHGLNGEKVEDPFELVTVEDFLMSRGPDRRRSLGGDALLRASSGSGEGSVEMGR SRSNSKLAGLVRRGSVHLGLKASYGFDVNNITYVAEHQENLRSVQITLHGIGVSSNLE LQVESTSSDHEEGIITSRKDPSMSTRITLPAPVEPGQSVIFTAQALYLEAKLIAQPLP PAAASLLPTYPLSAPELRDIQARALCCTSCDRELSTLPYDHWDTSYKDLPSEHWAEMV EVWMCHNDPSFTARLAERTKEGFWPQDGGVLVGGSYLLVGKERVKQGNIHVENGSDSE PWNVVFCHCGEILGKQRANDDKPGHGTVRFSKWAVSLLVENEEDDKVEYIRSPLSLFI ISDMLELSQAHASHRFIISDEETGDKKIYLWLFNPSVKMSYARPSDSSPLPSPLSKST TIEHNERNRISRRSSIASSIGGKQPSQPSNHNGLGAGANQKVLRASKIMYKVVEPFSE NDFESLPGFGPGGQVESLSYPSNVCERLIATLRESSAVYPIGRRSMGAFDAGFLERK L199_000917 MWIKRIDKRLVLSKVSQRRVRLYHDDATFGYRVPVKYQLPDYTQ EELDNRNANAPLLRYVESVRRHGHRAAQIDPLDLMDRDPVGALDASRYGLQKTQSYPL QGILHTPPSLRPTTPPSTAAPERTETGEGSNVSKSLEEIEKHLMEVYVDKIGFEYMHC PEKNERLWFSHHVETEASSFPEPFEAERKKQIWKLLMRSEELDRFLGKKFPNLKRYGC EGAESMLPALSTLFEVSAKSGISSIVLSLPHRGRLSLLCDPDLLDFSPTALFTKIRGK AEFDPSTAPGATGDVISHLSATRDIPFGRDGKVNVNVKMLQNPSHLEAVNPVALGVTR SKQMELLKSSPKECQLGDKAMCVQLHGDAAFAGQGVVSESLGLSGLPHFGSGGTVHII VNNNIGYTTPASLARSSVYSSDVAKMIGCPILHVNGDYPEAVARAVDIAFRYRQMFRK DVVIDLICYRRWGHNELDEPAYTQPKMYEKIRGRKSVPEIYEARLKEQGVLTEESASQ ARKAYNEHLEAQFSQMENYKPKSDMLEGKWKDYVWPAGSEADHHPDTGVNKGELTNIA KASVTLPESFNIHSRLKRHISSRLKSLENKVDFATAEAMAFGSLLKEGYDVRISGQDV GRGTFSQRHAMFVDQKTESCIIPLNEELGESAGKLELANSSLSEMAVLGFEVGLSWSN PKLLPIWEAQFGDFMNGAQSMIDTFIVGAQAKWLKQSGLVMMLPHGYDGAGPEHSSCK IERFLQLSNDSQTSNTHGDINLTFVNPSTPAQLFHLLRRQIKRNYRRPLIIASPKGLL RSPLAASALEDMTPGTTFQPILEGPTDPSAKRVILCSGKHYYTLLEALTKSDKLSSVN MIRVEELSPFPYKELEKVLSKDENKNKEIVWAQEEPSNQGAWSYLKPRLEAVLDNVGY KSQVRYAGRSSGATTAVAVGEWHKKEVEQIINDALE L199_000918 MSDLPFPVLPKTDEGKRICQVIRVKPERLEEYKKVHAAVWPEVL EALRKAHVVDYSIHFFEPHNLLIAHMRYIGNDFDNDMKGIAENEATKRWWKLTDGMQE SFVPGATGSESGPGWWTAAEEVFRMEG L199_000919 MTFSTTKISATGGSIISGLTLIAAAYLFVSLIKQGRGKLRVRLL IGMVVSDLILGVVALPPEILYLAGHGPPTGSSGCNALAFLLTTVLFSQHLWTLTIAFA TYLLLTHPLSSVTSAFERYSWLVAPVIWTVSIVHSAIWHGTVGWVNSGSLCYYGTKSN GIDRDLIQFIPRAIVFIVIIVLYSRLFRFLRRPDTIQLSTQFMAGTQPDVSHTNGDEE NHATGAHNVGGHGSKLFRPIFKLGRGSSGTKDPVNPEAPWEALEFVTVGGAYGGRLTN NTPIHTSTIDFTPTVPSGILLASRPVSPELISPGQEIKDPFVNSTNFNSRYPSTPSEA SGPSSDSKKSDTYTVVTPELSYQPEAPSTVDSTTNLVNSDPHPIPTIQRHHVLSPVLS QGSKADLGGEGEAEEDRLGVYDDSTLDGGLGPAGPIGRRRSSLVEFDDDLGQNGEDRR PSGQTLKEFFQEYQVGGLDDIQENRGRGSASGSKSGGIGQPMSASAYFNRQASLLMLY FPLAYMLVFSVSLVRLVYDMVHGQPTPVLSIMSSWLVLSVGLIDGAVYGIAELMVKAK VRRKMPEHMQT L199_000920 MSVVPKYIYKIIPHSSVDTRFTFPVPIPSSHQFLTELDQNDRYI HFSTAELIPGTLNLFFKEDPAVTLLRVEVARISAWKKVEWFLPDQTPRTGDMPYLAAH AWPVPLEGEYVESFKELFKQTPTGEKSALGGWDSALTNKDIKDWLV L199_000921 MVSNKHDFTDSEPEIEGDGDSVIEAGPSNPRKRQLSEELAESSN AGGYSRGGSVEFDELLGDDDGVEGDTKPVPPPKKAKKGGNPLKITLRPGINNLINPNP EPNSKPKYKAKSSTRHSDRSSLSPPPPITLKFGLKSAMAEKASYSSSEDDDPLPIPPP PSKKKKSASSTSTPSSSKGKTSAAAAASASAATGSAHKKSYDWLAPSVAGASHRGPPE RQGSISNGSSKITGWSPADEAIDGLLDDSPDTTTEKKPPKKSHKKKAADAPPGPGKAW RKGIKKSMTDSVKAEDGLSTPGGSPLIHAVTPISREASPDPLEIPPRLVVERPMNPTI ASAPREPSPPFVLADARELGFPVFPNPIHAPKIPLGAFPKVTQYFAPINGGDVGPFPR KEPVRSWTLGEKVIVGIGGGQLKLKSWTMGPPSELSRLVQADKEAKEIARLAKIKSNA NNANTNATTTPNNTSTTPVEGSGERPPLNSINSFDSSTPSLAPASGEKEKQKEDQKED TISEVGDVDELQLQSGQNTPPVPISTPTPTPKIKVKVGGGGSASKSKKGAAPRKSNLR QEILPTPLQSEGDDNGEDELAISVGGENEN L199_000922 MIRILPIILSSLLVAAHGDHSFDLDDLNDAGLSYAERHMHTEHH IDSFDLESFFKLHDLDMNGFWDVAEIEAVYGLHHHSVKDKIKQPELVDARTKVVVDKV LEKLDTNNDGKVSLAEFMAGGTEGLPSFEGYKDLGHHYDEESEYFLHHEELYHSTPET QTDESYTHPEDIEHFRHHAEIEDAEDERERKFEGLSDDADLSKDHVAHDPLDVHSHLP GDGPSDVPPQPESTLKPGDEVDPPPQQKIQRVDPLAQPQRILKANSEQVKKEEWDSAK QAAQGEKYEGHGRPRNSQERLKSGVPYKYKMRKGLRSDEF L199_000923 MIPPETQLLSLGITLIPFTTALSGLITDPTQVSNQPFDYVIVGG GLGGLVVANRLSENPDISVLVIEAGSDNRDDPRVYDPYQYSVAFNTELDWNWPSSQGR SIKGGKTLGGSTSINGLAQTRGQKAQYDSLSTFLGGDDAGGVWNWDGMLFGMLKSEGF SAPNDQQKEAGASSNPALHNTSGPLQVTYPDEIFHGPQQKYFQTVVSTNFSVSSSPDA DDGNANVVAFHPNTMSWQDSDHRSSSATAYYSPVSERSNLAILLQHLATKIQFDGNKA TGVEFGTSNGDRYTVNANKEVIVSAGAIQTPALLQLSGVGDPALLNSLGIDVVANVSG VGKNLQEQTMNSVGWTPVDGFDFQGRGPSDCMAYPDLLGLTSSSNNDIASTISANIGK YAQEAYDAGAVVSVEAANAIFAIQQDLMVNKYSGLVEAFFDSGFPNGGLGIDLWQILP FSRGTVKIKSTDPFEYPDLDPRYFAADVDLQIQIAGLRMARKIFQTAPLRSIVTEENA PGYDTVPEDGNGGSDEDWSKWIIDGFSSVHHPIATCSMMSQELGGVVGSDLKVYNTEN LRIVDASVLPIQFSAHLGATLYGLAENAADM L199_000924 MSSSARTTTARPSVSASPSSAGESQAVSLSQQLSSALSSAIASA SASASRVQSSAVISSTTSSAIASSIASSAVESSSASISESASESSAIASSSTSSIGTT SSPSASAAPSSAVPSSTTSSANAGAGSSTSQDQSQSTSVVYVTVTDQNGSTHVTSTGI QTGSATSGAKKSGGSNTGAIVGGVIGGIAGLAILAALLWFFCFKKRRNNDQAFDEKTF DPTRAARHSVNDPIDLISPSAPNISGAGAGAALGAGAAAGSTSPRVDPYPYSSSGGAE DYDPYAHAPPMQMPDARNYMQGSVGSGSGNAYNVYGSGLEGGYGVAAASAATPYGSPP QQQYQEGAAYGGNMSAAAMAKQREAANERYQNRISGGYAAGGAGPSGPSGSPMVSSPD PSETGRRTSANGSVYYQHTDGGGVPADGDEEEGLAEIPPK L199_000925 MSKLQRILQTKSSSSRHDRDESPSASQLISTSTATSSFGQDSSI RSNESLVFPDARTPNNTVIQQNETSLISTNPGNYDTYSWQDSGSRPDPTPLQYSQDGY HSNSIITPNSSINQSHASSTRFLLPKPHSNSTNSESIDSIPHSNRPIPGPLLSSSLIT TPSTESTSLTTPPNVKLPLDLSAAESRTSNGPASGGGKGQDDRDAARLRQLGYDAVLG RDYTFWSSLSISWLNIGALQGTIYAVSGCYAYGGPAMILVAWPTSGVFCFFLTLTLSE LASAYPVSGAMASWAWKLARGGIGGERGWAWLMGGFVMGGHVGNMLLVIWEIVNIIAG TMAISFQYQKKSWHMFLFFVAVLLIVGTVGSTAWGRSHRFWLGSGIFGFTMWAVLCIT LLATNATKHRSGDTFTTFYNTTGWTSKPYVYLLGWQFTTIASGADASAHMAEETQNPS RDVPNAMTGSVILTYILGYISIILLLLSIAPEDAATVRMHSFPFGFILTKAISESGAI TICCLMIVVLHLQVIAQLQASSRFVFALARDNAMPCSDWIRKTNDSKIPVFANWLVIA LCLPFACMTLGSQATLYSVLAVTACTMSYTGYIVPVGLYLLSKKNLLTEGRTTWSLGK ASKPIAVIGFLYGLTLIIAQTFPGSRPVTAATMSWSPVIIVGTILLCFVTWRSYGAKH YSGPIKAVTKWETGVEIDLSTTLGSSRSRPSHGTPLSPRHQQRSDIPLHTDGIPVCNN SETDSSLKLALEPHMPSVVHTVSIETGQSLNEHEHEHGEWTTFDDGHDHGQGQGPAQM ELGVGSEWTDSSLSDSSASGSEGTIRSGERTPTTSRV L199_000926 MPILTTMIPIGLIIRSVIFSLNIIPLLSITYLALLSLQWILNFE PPVYIPQGLSLSSYTALESVSWIHSYFTSEPNNTKSRLEISNEDRRGLFEELINDLRG KDRHGIDDWLRGWFYIPKLQSPNKETWSITNRFNPSRKIDVPRDESEVLMRYQEIKRG NVEELLCGIFFDSPLSEVLPDPIRNHTLISMMNRLELQRNHKFPSGHNNSLKPLCPRL DESLVKSEYRPLVFYVGMAIVHWIFELVIFCAGFKKVRQGCMTGWYLPNERKSGIIKS LIRRSDNKGNEEKQGQPQPQPQPQPQPIVFIPGLAGPFFLIRLFLNLLVLDQPILIID QPHLSSKLRLSMPFIWTDQMTIPSLPEISQDIISFLQGQTNYDRCPKAKEDVKEASMG MMIIAHSLGSALASSLIKNINTTTNSSTKINVDLLLLDPISILLSHSHLTRTIYLYSS KREKQEGNMDILIRYFIREKGMMRYLINEFNPFDSFFPIHSYSKNALSENKIDPKINI KLKVILSEKDHLLPVPEIESYLSRNQVDHEVLQGVQHGLWVVGRKGYNRVWECVQNMI QSNIEQDDNSNNVRCKVETKTSVQDTILEDKSRFTMMMSRTRSKSLLLISEILNSPSL HSSPLKMNMSRMRSRTISSMGYYQDSEQQSMSRQCSSIGMNKTLNLSRFKRGYK L199_000927 MSSHPPPQSPLQLLFMGTGTSTGLPLTPCLTRSNPYPEAFSDMV PLLQATSESDSPMAHIKGTWNPQGGFPSNIPCSCCRSAVDQDVPEGWKNKRGNTGVLV RKQNPNTGEYKNLLVDVGKTFREQAARFFPKWGVKTIDAVLLTHGHADAYFGLDDLRE WCIRQGRAIPVYLNQETYEKVCEAFPYMVDKTKASGGGDVPQLIWKIIKDDDQLEIEG IDVKVLAVHHGIYFHTIQPTSTPTTTSPSKRLEPEPLICLGFMFDSSIIYISDVSSIP EPTWQRMLHRRPRQRPISSSAKTILPTPVDTLITTPSNEELQTQDQLPILIIDALWPL RPHASHFSLKQALLAALRVKPKYTYLIGSTHPTTHFMWEEICLALRPEGENGKREHPD RVQSDWLVQRVIDRVYNERSQTETGIRERWNLENEDGIVRPAWDGLVLETTPNGEGEV ERLDLSTRGLVY L199_000928 MSSTSFIDATTASSSSPSQTTTATSNSNNSNNPGSFYKNLFYIL IGLLAAFGLVSFLSLMRARRRRHAIVREAERLGVIVPGVPGYIPLRDRRHMNWMKADG SNHPDWWEIEKANRLEQEQAQEGDQLMREGPGSDSAIVDHQNQNQGQGITDEFHPLAI IPPKPTEPTLQPVPFSTLPFFPNHLAYRPESLVPPPSKFLDCSSDPKILNELVNDRIE IVTIIKMPSATPTSDPPPRRPDEDEDDPESIIREWNGIELGITSATVSSSRVGWNG L199_000929 MFAVLALAALPLLSSVIAIDGCTRNATVVDGDTCDSISRKYGVS TYQLALVNDATIDENCDNLEPGQVICLGIEEHDCTKVYTVVADDTCDYIQQTYGISNE TLWSNNPQIDAECGNIYVGEVLCVDTDSYTYPTYNETLYNALAYTYLPFCDE L199_000930 MVPSRSRQIRKARYQARQDVAAEEQATKTISVQTQTVEIDAGGN LPTPSSILDDPTGGLGQLKLAMMWETTSEFDTEIGKMTEKCLIPADDPSQKFCETLLN GEILGGASGDGPSSSSFTSAVSGTSSAETVDQSATATATATEGGITSSASSSETSSSE TVLTSTDIPPTTLSEAPSSTTSSTVIEATDPASITAVALSSGSAESSIPTINFTVQPA TPSSSDAAVAAASEAADTVSIPGQQLQVLPIGLGVLGGLAGIAILVVSYVTYQRRKFK KQFRNRKLAEDAAPMGMGKNYGSA L199_000931 MLTRALTPATRVSRLSTTTTVTRRTLIPQPPPSIVAPRPLPTLH RPNSEDAQSNRSYMTKLLDELGSLRGKAKEGGGEATLKKWKDRGQGKLGVRERITALL DPSSPCVELSPLAAHEVYPDPLPGAGLVTGIGMVAGRKCMIIANDPTVKGGAYYPLTV KKHLRAQQIALENRLPCVYLVESGGAALPYQSEVFPDHDHFGRIFYNMARMSGLGIPQ ISVVHGISVAGGAYMPAMSDVVIIVKNQGRIFLAGPPLVKAATGEVVDEETLGGGDMH TSVSGVADYLATSDSHALSLAREAVQDLGPATTSYLRNLKNKPIKDPIYPTKELDEIV PSDPRQAYDPREIIARLVDGSEFREFKREYGKTIITGFAGIHGHTVGIIANAGVLLSP SALKATHFIELCSQRGIPLVFLVNVSGYMVGEKAERGGIAKDGAKMVRAVARAKVEKY TVVVGGSYGAGNYGMCGRAYSPRFLWMWPNSKICVMGPDQLSTVMHTVQGKRQDQGTD AAEKKRQELKEKIEKQSDVLFSTARIWDDGIIRPSDTRDLLGLGLELAHEERASRTGN RGNAHENRGEIGADGDSGDWGVFRM L199_000932 MPPPGKNGHREQKLVVVGGGGVGKSALTIRFVTSQFYDQGYNPT IEDSYKRQFVVDDEAVTLEILDTAGQEEFAAMADQWYTFGAGFLLVYSITDRPTFESL PNFHREILRVKDKDYVPCVVVSNKCDLSRLRAVGQLEGRELARSLSAPFIECSAADGV NVEVAFRELVKLVRKDQRRQMLLDQSLGILPPNEDLTMATQSNIHAHEKRRRKDDLDD SGGCCVTM L199_000933 MASMTASPIPSTSTPVLAHPRITLRPSSSTGSASARVTPTPSRQ DSEEDELQDDERPSPAPLASSTSQAQNQTPLLTSTAMKAPLPPPVSAIASGSGSTHSP SPRGGGYSGGGGKSRKSSCELCHHRKIKCDQQRPSCSSCIRKGHFCKYAEEAEQTHQS TLSSSSSSSSSIPKPPINQRAMSVTIVNASDPSIKYTMPVPAANPHMPALKVGYGQNN NNNHPEWKVADSGSAEKKKSAERRERIVSGFDSDSEDEIKEGSVKGKGNNKSKDLDGE LAALVEGETETKQEEIDELEDDMKEGSVETEDKDKEKKRGIVVDDDLSGELLELASAP PKKKKKPTPTPSSLTTTSQQGFSRPAPKPIPNRPSYGRSSLPAGVLPPTPATSTAALS FTNRVESTRFDPYSTPLQNLATSLPSPDMQNILFNTFFNDPFLNEGISLLQPQYLDDF KGLLERKNTRLQPGDATTLANAFAFLATALRILPDETSKLLLASQVYTSGSTTTPSQF PRSLSKLIACQPASMNDATPLDQRYLDLALVSAQIAEQSDPPSIMLVMLKLVLYRFCM LGHRRDKVVLAGMWLAQAVKVAQALGMGKEWEGLTQGERELRRRVMWSLYVADRHHSF ETSFPYTIMDAHQGIHLPSPMAETDLYKLRPDIRELPAHATELAPTACTALFIHTHLA RRITPILDSFATISAANTPHDLVLRFDASLDAFQEALPPYFRLFPLTETRFDSTHPYL VAHRIRLHSTLLTYRIGVHRTHLLTYLIPTTPSGIRQVIAQVCLASLRVQRSSKMLDP KITFRLFNPMVVFENASTLALIMYVEKALNSDMEGMGRYVNSNEWISMRSGLAEANEL LDNVQPGEGQTYARKATAVLRELMIKLDTPMSMKVIPSQQIPNDVEMSPLKITTPLDS HRPNHHHQSPNAPSMSVSPKSHVNVNDKHSTSMSMSPQKSTSSSSSSNGIQQKQGVMI TDIVTWLEELRKGGINLEVLLREPEWVGGWERIIIGM L199_000934 MKSAAILCAALAAAASVEAGVHRMKLEKIPLTPTSLLPDASHVR PSPEQEAEWLKHKHLGLSHEEFFNGQKPLMGLGGSGRKVKGEHHHHHNHHEMSDEDRE RFWAQMIHHEGNEKRTLKGGHGVPLSDYMNAQYFAPITIGTPPQSFKVVLDTGSSNLW VPGVSCSSIACFLHSKYDSSASSTYKKNGTDFAIRYGSGSLEGFVSQDTVAIGDLVIK HQDFAEATKEPGLAFAFGKFDGILGLGYDTISVNHIVPPFYNMLNQGLLDEPIFSFRL GSSDEDGGEAIFGGIDDSAFTGKLQYVPVRRKGYWETELEAIKFGKEHLDLENTGAAI DTGTSLIVMPSDVAELLNKEIGAQKSWNGQYTVDCNTVDSLPQLSFVFAGKSYTLDGK DYVLNAGGTCISSFTGMDIPAPMGPLWIVGDVFLRKYYTVYDLGRNAVGFASAK L199_000935 MSIASAPCRCCRPLLYRLSSGCSTRQFASTAWISAEQSEGGFKL PARDWSVKPSQQNRNDAGPKRNQGQNPRRNNRGPKESRESNTGGPSNRRSPLSAKGQG QGQREGSSKWGVAREGPRNGGGDLNLASGFGLKSAKGKDQTGNSSGSGIGDIINKTRK SDRTQRMATAFSSRNNRGSSAFGDLLGSGGQSKEKQQQQQQQQQQPQPQQNNEDQSSS STSSEENVLLGEEGEGEDVFGRRHSSNHHRKNRRDSGGSLLSRLSEEEEASLPSRPHH NPKRSHSPSQSNIISAQSQAMRKPKNLKPKIIEEEKQVYIPRTISVANLAKIFGVKLF NLQTRMSRLDMTEDQRRSDYLLNAEQACDIAIEYGFDPVVDDEASFDIYPDPDTADGK IHPLRPPVVTIMGHVDHGKTTLLDSLRHTSVAAGEAGGITQHIGAFSVPLSFLLPSSG TITNSSSPSTITFLDTPGHAAFTAMRARGASVTDIVVLVVAADDGVMPQTKEVLELVK SEGDKVGLVVAINKCDKPGVDFDKVKSALGAEGIHLEEDGGDVPSVKVSGLAKIGLDD LVETLSTLAEIRDLRARKEGKAEGYVLESRVDRGRGNVATVLVTRGILKTGSSIVAGQ TWCRVRQMQDDKGKPIKEALPGTPVSITGWKELPSAGDELLEAMKGEDEAKKAINNRK RDEERKRLMEDVEQINIKRKEERMRLEAEAAALEALESGETPADQDQVKVKEEEKKFL RLVIKADVSGTVEAVVGSLEHIGNKEAGVKVVHTGVGEVSESDITLAEASDATIIGFN VPASRSIQTSAKSLQVPLHLESVIYRLIDTVRSKVAGLLPPKIEYSVKGEATVQQIFE INIKRKQTIKIAGCRVNNGIINRLEGIRVLRGPNREVVYEGKIETLKHLKKEVGEVRK GMECGIQLEEFDEIREGDEIVGFTKVEVPREL L199_000936 MFMPSSPSSECSLLSSLEVLSISSGEPSRRSSALASPSRPNFDS ARRTSTDEQEYEHVFGISVSPSPSSVSMEASSSSNSLSVSECTALSKKSSSAKRRERK KKLIAGERERAALGIPSTRVFGSISTKENLPSPQPPLEVPPQTPKSKIKMGSCTDGHQ QTPIAGPSAPRPLSEKIPSENSDNVDNDNDNDEDKEDDETGPTRRKTRRGGKRVRRRL ENRGLAKEASEVDDELDATLELDSIADGDTTSPTSSARTSISGTPIKVTVSRVPEPEE EVDEVDGLSALESEFGTPPSKRFKGRGSVMSAEDAASSIDSFLSDPRNFMTIKANKLR LWQSLCIELGLVNLQGDELPDLPTVVCVPTPPRPRETTPEPPQPFRPVKHPLPESLTQ ARKLLKDHAHVNLVDYLEARKFCPPAYVGAYQGLLYPSTSAMKRYTRHQGKFAEKLVV RSEWLEPLMKDFGVRKFKGGM L199_000937 MTYPSIITQQGAAERNTQPIIDELAKLIDPSTKSQILELGSGSY IHLEAFARRWTNVQWWGTVRDEAEQGSASSRLSENNILLPNLNGPRILDIEIEDDWKA LGKAVFYGTAGPFVGFIMINLIHCCPLDAPENIFKHLSPINPSIETKLIDTKNGWLAA YGPWLNDDGSYKSDSDEKFDKEYIRSKSPLLGLRSIKSISDIASKWGFVEESRRDLPK GNVFVVWRVKP L199_000938 MNGSTEIEAGPSRSTSHPHSHIHLPTSSSGRRLSNSTPHHTTST STSQSRSSSNSHRRHSSSIPNGSTSTSTSTSTIPYSSTEPNEPPRKAKPHHLDIANYP TQQLLRLLAGLLQHIATSNDALRSDTDTDTDHQDQDHEDEEGGTETLKEENTHDQNHH PSSSRSKSRSRSHSRTSSTQPSYQSSSSPTTALFDTNPVPPPSADQNTSTSTNAEDDQ SEFPLFTASKSSLSHPSSLLAFHARHIPSISIEAYLLRILKYCPTTNEVFLGLLVYFD RMTRLGTPQGVGGSNVLYNHTNGKGEKKKNFAIDSYNVHRLVIAGVTVASKFFSDVFY TNSRYAKVGGLPPTELNSLELQFLLLNDFRLRISLEEMQKYGDRLLAYAMEQEEEQEE KDKLGVRMDRSNLDQDTDQQQDKDSSVGKVNGPSFSSESHETPDTNRDSSKKSLESNI PPREKEKEKDVRFSTNTIVDGNTSTSTQPQPQISAGSNTSTGSGIKDWVRGEEVMGRM ASPMRD L199_000939 MSSHSTTSTPPSPPHTPLPSSPNFKLTLPTPPSFSAHRSSSQSR NTSSTNLVDLPHQHDDTSRHSKRMSISSIHDPIVDNNEKKDRRVSFSIETNKPISRPS TTSTAPPSGGMKSPLYKIPQRQNPPQDKDKDGEEILNSPGILQTPPPTKRRPISFQGV SPGSTRISNTAALKGISIDQSLSSPKGAFSRSIWSAGVLPSSSSKSGWISPAGAAGPT STKSISSTKSPLPITTSTATTGELRKSPSGTGKQGSASEIAKARGLSIAIIKENGQGV LPVTPGLMTASSAGGLKSPIVTVGGLKSPDIKIIKHINVNGDIKNGLSSAKSTGVPDT AGSIGKKEIILCKFYHTPGLTCTSRPCRFVHNLSSVQNQLGSAYPQSATGALSGFRML SPVRPDPTSGTFAQAQMTPSTATDFPKKTVKVNEDGGMDLGDIMPGEKVLIEDENGEE VVGQVVFMSGGGKGAMGKSREKWKTVPCKDFAEGHCPYRDYCSFIHDEKLPSGLLNEE SKTEEDTEPEAQRVEVTELSSAQQHRLTHRKSASLSSSLTAWTKALPKAILVPSVKVD PQVLQKTEGHLSAFAPPYLKDPIAVDEIGDLIPAPQCIEAIKASATTPPVRAKEPLAI PMEPTITAPPKVTAWAKGPPPNLRKVASIKNLSLTPHPRSHGNGNGLTPTSASHLMPP VSAISMFGTESDPASPFDPVVQRRKSQELEDALKDLPKSNLSQRFEHDQGLLSLPNLP TSPSQGYYSAPAAGGNQGNSVLNSTTYPWGMPMSPLPGHGDPTVPLIPGGLGVIWTPT GWAVQDAAMKNALRSAEVKARYGEDTKRRTAKNYFRTKPCKFFAEGYCPHGAECTYMH VMVPSSPEQSSSSSGSESGASIGQAFSSPTSPYGTIQQPVHPKHQTLPCKFYNSSLGC NNGDRCNFLHTRVVPESVMMVERPRPWRTKPCRHYQLNRCTLGDACHFAHVLDPAWVN SGYHSQYQTNHPPQHLTEESLEKTLEEMRNGKNEIRGGDDDEDEDEDDVEIVTAVGDL TFSSTSYSPPSSVRV L199_000940 MPFSSRPSEPEDGELPEDNLPSSSTAPPPKLIRPAKPAKQWVNP LSKLNADSSSSSKLPHPPQQSATTSTSASGTSFRSRSPGKSFNAHGLPPRPLERSPRH KESDREERRKEKDKDRVYDDYEVPPSTSRSRYSPSPQHRRDREDRYRIEYDREREDRY RSDDRDRDRDRDRYRSDDRYSRGHGRHDYRQSEREEAGYSSAWGDYNKHRDTILKERS VRSQDHYDGTGTRSPARRRGDSPPQPQHRRSDKEEQHKRSHDITLVNPYSKKDKYENG TSSKLREQLNIGNGTTLPAEDNLENKDRSAPIRILNRPTHTQRSTNEESVPSVPTPPT PPTNDSPPPPPPPAEENGIPLPAASPPPPPPDSPPPPPEPPTSDAPLQAETATSPSKS QPLAAKAERTANPYAPKPMPHAPNRNLLDPPTRAATPTAKKELNPYDTHANSQRFRSL TAEEELKKLGKTFEGTTTLAAYDLGAKLGEGTFGVVTKGVEIATKRVIALKKLITHNP RDGVSVTTVREIKILKSLNHPSVVPILNMVVERKIPGDRSNRGEVFMVFPYMDHDLCG LLANQDFKMTHSMAKLLMRQILEGMDYIHSNNFIHRDIKTANILVDKHGQIKIADFGL ARTWTHDALMPPHLANEYTNMVVTRWYRAPELLLGDTHYGPAVDIWSLGCVLGEMYFR HPILSGDSDRDQLYQIFSRCGPLSQESFPGWDRLPGFPEAVGHPWERTPVDTTLFDSA PKWGMDQGGADLMMKLLKLDPKQRLTAYDALDHPWFWTAPLPADPNKTTINVESSHEM TTRQKQEPVASQAIAPARPPRQHQQIPLQSRPPPPYGGPPPGPRPQQGYQPGQQGFNN FGPPGQNQQGGFPPHGPLPMVHGQTGAGYKQQNGNPYGPPMGMGMGMGMPMQPQIGFN GPPPGQAQFGRSQTNGPYGQQRPPSQQGMGIPAAPFKLSGSGGGGGGGAPAAPFKLTG NNLRPPGRSMTQGNFPTNGNGAGNGMKRAPPSGGAEGWRDEKRRKHEGGLPY L199_000941 MFGMDLTKPSRVSVAAPKPRTATKSKTTTSSSTPSKVKATSSTS TPTTSSSTKNKDKDVKRTNSYSKDPKGFFANLQQNEREYNRVHDRVEYAHSKDKAKDN GNTTSISTPYKGKDKINGNGKSTRKELPSSSASSSSTTTVTKTKTKGGTRILPREQTP TVHSDEEDEMALGSTPPENMHDGYSDYRSSVSRSVSPGRITSTPTPNGKKKKVLVPAS SDGEMYNTPKPRMKKRSSEDEDENHVGTEKKKKRREDDDKGQTSKSEEEEYQRLDLEK EERKSKRESFGGTDFFKQLGKLRKKGDYEDPDITDEQIDLSKLLEDVAEEDEDLSEED RMYLAPYKSRTELCPYCSGPFPSKPSPNLLRQQEELHSLSTPKPTDSNPNARELSWQK HIEFCALHHAEISIIPLGLRAGYPESIDFANLDGRLESGWIRERLDEITKKPLTSQAF RTVIKQIDEVGKDTWAGVKWQSKPENLAAVKTGYYGDLGRIILIEHFLSMRKWGYYPW LKSDTPMYPDLIDPLSWTEFVTHILVPEASVLLIMDDHGHGHGHCHGEGYEESEKIRK ESVGYGTWKFREDDDDSKVVMDELMDRKDDKKKRLKRIRKAQLQEREGRSNKDEIKDE EDGADLMTPKPKSKLKSRLEKKGSIIEVEGSPDTTPIILKTQRDRFKHPNSSQTSTKY DTGTGKGTDEDKPMIGSSQSTDYDGDWNERDFLEAAKIVS L199_000942 MSINTDRDRGRQGIGTIPCPSPPVLPSTGMELGLGIRLDDVGAG PSRLSSSSQEMCKCEICIQPDSSRPRTASRSRQASARTTSTKTTISSFLPLFLLPFLP TSLAAPPPSRRLRRSPSPTSSTSTFIPTTTSSEVEISSSFNEHRNIQYLTSVSTPSVL PTYNEYVDETVLPYLLTRHEEGHWTRAEGGWSLYGRQVATATAAPIFADDDGEGTVDN SQPTVTAPSYAVESVLPNGWGVSSNRTSIYKVPLISIASVILACGIVGLIVFIVISRR KKHRKRKRAKERLRRKALAAAGIKEEDLNSAAGGANEVAFKEKLKELENQHAAKKRKN GQMGVAKNKVRVWNSRLGMRRRKNKGGQKEKEIDEDEDGAVRTTNEVDGTTGEGGEEE DKPIEGVEEIQPISISPTSTLDRDDEERQGSRSNRSSTDSTRDGDQAGVGTASRSRSQ RDTQDLSNDGRTPAARGSTDQAQSSIPHFPPAYRPASVRSLPRHRPDPSTSSSSAGPS NASDPPVVVSGTEKTQAPGYYPAPATEDGEIALAVVSRAEGKSRLVEPQSSAEDGAEE EHGERDEERIRHVATDDKRVLERLRMGGSAPPVNRPRFSDRDQDQDAGRGEGEGPSAP FVQVDEQGFEQLDESLLHVPSPSMDGSRSEKSGNGLLPAPPRLNARLSSRLNDIPSAS TIDIDTSHLLPSAPPALDQTNHARDHDIPSAPPMLGEDEDGVEEVSVPSAPTFVLDED EEAESTGSHSAPMESSTNPEQEEQEHGQLDDDRQEVGNRRDSEVDAEADSAATFSNAL PARSGSGAVFLPRYEP L199_000943 MSTIPTLTLNDGIKIPRIGYGLGTANYGSECASQVVSALKTGYN YIDCAQMYANSKSFGEGFEKFGGKREDVFVVQKCGKNGTESHPRKILEGLLKDMKTDY VDLYLLHSPLLTKPLSLSEAWKVMEELKEEGLARSIGVSNFREEDILDIEKTWKVAPS VNQIEYHPYNFHAPNVQRLLGVMKKHSIHVEAYGPLTSLTGAKGGPVDEVVQEIAKSK GIEESQVLLNWALQTTGGVVVTTSTNEQRQKLQLEAVTKDITLTEDEINRISEAGRKK FFRYRMTDVWDAAKP L199_000944 MTQKHLFSIFDVTKQVFYSTPLSIGIVNLKPLLPGHVLIIPKRV VPRLSDLNPPEITDLFLTVQKVGKGLERYYNAQALTISLQDGKSAGQSVPHVHVHILP RHPTDFNGENDRIYPLLEDNESHLKENLETSQIQKKSIMANGNINNQPKKTNANATGN ANGIGENWDIPSDEDRKPRAMAEMEDEARAFTEYFKSIEGTQ L199_000945 MKLLSSFLALLTLLPTILAVTISGQIKFGDFPTRNILPVGSKVS INNGERKVWIKDDGSFEVPHIDQGEHILEPLIPGYIFQSYLITIHPSTPPPPPSQPSS PSSEDPSTPSSPTIPTYSIHIQPFYPAKAPLPISSTSLAHPLAITPLAREDYFTPKGG MNILGMLKSPMVLMMLFSAVMLFALPKLTASMADMDPEMAKEMAETREKMKGFQNMDL AGSLSNMLVGSTEDSPNSGTNTPNKSGTAGAGGKKRRGR L199_000946 MPPLFTSTSWTTLVRKIAVAMVYAPKPAVAISKATASKRTVNHI QKVRQVIQSSFPSLSIPSHQLGHSYATIPIKSGPTSASRHFSSSNVAKRLGPVRQGLN AAGSRPRWVNGPSIQANVGLGKARTFVSTTYPSAQNAVNGKISMVFRAFASLIEDEDK NKLSNKGLPKASRYTPYSKNKLKPTTHGRRIRRSEVVKSIDSSFIEDLKHYFPLPSTR PSSEEIISLPLLPETLITEGQITILALPLSPSLEALLIPSQNGYDETEIGISILSKLT KGLISIHNAFSLHSSIRIIPLLNKLESLGVLDYHPPGLAGRVEGEVINDQDDQPDILR LIFYDRSIKDVRTILGESLRENEQGQWWVLYEDTDQLEEMELNKEESKEIMENWNSPI IHSTIPASQDQNEQLVFPTLDMSYQQQEGEGEVLFDISESILSTPSDSWPSTSSGTPL EVEDQSISMISSISTESLTESLLSRLSSSSDEDQRIWSVHPSDSDSDVESSSVFSQEM EEWNQVDQLLASPSGGDGEEEGEVMVSWSGSGEGFGFLAQPW L199_000947 MAFDVVQPNEVTAKGQSLVICHGLFGSKQNWRSLAKTFASKLGM PVYTLDLRNHGTSPHAEPHTYSAMSEDISHFLKKHGLHEGVNLMGHSMGGKAVMAFAL NEKLNKPLRSLISVDMSPAIGKISSEFAAYTESMMEIERAQVKTKHDADKILAKIEPS LPTRQFLLTNTRQTHGHDPHLVFRIPLQLLSKAITDIGQFPYTPPPPVSEHSPIWEGP TLFLKGSHSKYINRKNIPVAQAFFPKMKLKELETGHWVHAEKPHETVQLVKDFVESV L199_000948 MSDLPLNQDIQDVTNPFLPQPGYTNLYDNSVDMSNIDMDFPMVP SASVVGAGPVGQAGESGQGGWFPPIPNLTSLPVDKSKTDPKPAYYKLQFGDEVTGFSY YVRTLAVMIGRNTDRNGAVLPPPSITAPSVPINPPLDPNQPSPPLPAPSLVDVPSTSV PPIIEFPTPPIQQHTSFSVPPQSPPHPSSAPLSTEFLQDGFSAPPRAQSEGMIDHSIP PDLSFLQDEDYPAIDMGGFGVLEELAEVVKVEQNRAASAQRSVEPDSSSSRPQSQPPV KSEPIENIPPPSVEAAPMDDRTSQIGSVEPVNPPGIADSAHMNMDIDNPQITNNDFVQ LDMGLSQVKLEHLDDLAPPPPPPPPPAKSSAPVEHVDVDLGPLKSVSRNHAKIEYRAD LGHFCLEIFGRNGAWVDDRYFVKGSIVPLAQGSQIQIATRIFSFVLPPSPASSPTYTH YALDGVTPDINEDLPYPYNLPASEVGYQEFYGEPGPGPSSAASMAARAPPIFNAFAAA DGYGLGIEGVGEDSWLGWNSDDDDDNDSDDDSEEELDESGEEWEEEEYIPPEASAATS KSKSKAKSKSTKTVLVPGEDESELSSVVSESNEPNEGEDGKKKKTKKSTVTSGNKPKP IAPAPPTAVDTVPTDVPTTSGSTNGRKLSIVESVAAEDPTVDGKTASPKKFTKKQDKK GEEKDPENKEKQQAEEGDMQVDDGKKKKKKKPKPKSDEATATTKEEVVDGNAATNVEE GDAAKATPTKKTKKAKASENAAAVANGEEKPTVPGEKKKKKKKPKADAESKGADDKAA PAQSAASATTNPSGPPTLAAALPPAPTAPPPHVPPTMPTLAAAMGPVAPTAVPSVSNT APQQPAARPPGPSGVLPHVIPGQSQPMQPTQPLQPGQQAIRPMPGQPMPPRPPMQGPG VRPHPQHMQNPQHPNAQYVRPPIPGQPHPQHNQLPGQGQMPTQQLYPGGSGQPHPSQM ARPPPGYPMPPQNRSTPPTAPPSPQPLPPFYCTELNETPGQPGHIIVNVPIPPSGAGP RPPPGPLLGLDGKPFIGPPPLKPTQTFATIIHRALQCLPRGRGTLGEVCNWVAGEWEW FRLNVDSGWQNSIRHNLSLNKAFLKVPRIPEDDPESKGSVWIIDPEEGPLFEEKQRKD AMKSASKDKNADARREKERIRAEEKAKRQREAAIEAARNPQPQMIQRAIPVAPRPISR PISASQTPTPSAPPAPVSANAKGVLQPKAKIVVVMQPITSAMRAKSVISTTDANGNPL PFVCDGTTLVLDQATFGHLTTDILDKLTLLGAAGAVDVLSAWVINKNKQQATKAAQAK AGTGTGPTTTANKNGTTVNNGSIKPGVAAGTTGTGVVRPPQTTAKPGVSQPAKPTAAP VKPGTNNTNKALPGPAPPGTSLTKVIGMIAAVANAKGDVNTVGPNASALLRYIRVVGV DIDLRVAERIWATGVVPPLPQKKGAVNKPNSNGMTNGVNKPSNPTTSGTGTSSAPKTA GNTGNTTQVNTAKPPTSATAASTTTNPGSVVKPVTASTQAAPTPTPSVPKIGTVPAST PVPTVLKRKLQDDNTASAASPKPVASGMGNSASNPIVVGGGPSSGSMEQEAKKPRLET SGA L199_000949 MSPKTEQHSRLAVLGTVVFYMVVAISMTLLNKSVLSSTPMPVFL LFCQSAVAVVLLGLENMFGPYKTPRFESSTAKDLLPLVFVNVLGLIFNNACLQYVDAS FHQVARGLVLPFTIIVTIIVLHQYPSPLALIAALIVTFGFFSGVLFDPNHASSAASAA VAGKHTSSLGITFGAMSSVSSACHAVLIKRGLASVSNSPISLSYYNNILSTLALVPMF IISGELPSAIRLLSNGGASQFLWGAAITGLFGFLISLASFISIKVTSPVTHMISSATR GVLQTMLAVWIFGDVMSRGRIISIFLIISGSVLYVYAKTEDSKKSSKQNHDEVGVSLN PKSLERGDVLFENDVEKDDNEKQR L199_000950 MTTPSAPSQDGTAPNNVPSTVLPTTHSIPPKAPMQPTPPYSSFS KRQKHLIITLASISATFSGFASNIYFPAIPTIARSLHTTESNINLTVTSYMVFQAISP TFWGAVSDVSGRRLTLLCTFVVFLSACIGLALSNHFYQLIILRCLQSTGSASTIAIGS GMIGDITTREERGGYMGIFQTGLLAPLAIGPVLGGVFADTLGWRSIFWFLTIYSGVYL IILILFLPETLRSIVGNGSIQPYKKAKAPFERYVSNRLSSSEQPDSSVQSEKKKLKID FIAPIRILFEREVIFVLIFLSIHYATWQMALTIQSTLFSDIYGLGEIDIGLTSLANGA GCMLGTLTTGKLLDRDYKRIKQKHSSAEDDYPIEQARLRTVWLWSPLQWISVIVFAWT TDKHSHISVPIIASFVLAWSAMSIQSVITTFLVDIYPKSSASATAALNLARCLVGAIA TGTINPSIRSIGVGPSFMIWLGVMVGSLGLVGVQMKYGPGWRKARQEKEREREREKRV L199_000951 MAQVVDKIVNSTVGDAKKRQMDGFTLEQNDKTPLTSYFGTKIAE TDIALRAGARGPTVLEDFHNREKISHFDHERIPERVVHARGAAAFGEFKLHTPLTGLT TAKILTDTSKTTPAYVRFSTVGGSRGSADSVRDPRGFAARFYTDEGNWDLVGNNIPVF FIQDAIKFPDLIHAVKPEPHNEIPQAQTAHDNAWDFMGLHPQSTHMQQWAMSDRAIPR SFRHMQGFGVHTFRLLDAEGKSTFVKYHWTPHLGTHSLVWDEALKLAGQDPDFHRRDL WDAIEAGAYPKWELGVQLVKEEDEHKFDFDLLDSTKIIPEDLVPVKNIGTLTLNRNPV DYFAEVEQVAFCTQHIVPGMDFTSDPLLAGRNFSYQDTQISRLGINFGDIPVNRPVCP FMTNQRDGQNTMFSKTNRTAYHPNRFDALPTTEPAKGGFRSYHETVSGIKDRLHGPKF NEFVSQAQLFYNSMSEPEKKNIISAYQFELSKCYETQVQQTALNRINLIDHDLALAVA ESLKDVTVPDAVPNHGKKSAFLSQITGKTQTFTAEGRKVGVYLLPGYSYAQVEPIKIA LAAAGVMVKFVGPATGMVEAGNGQTQNAEFTLENSRSTYFDALIFIGGDSDDYTKKMK NGRLIHAAREAYMHLKAIAATGNAVGWLTDLALPGDLPADAKAKEEITQANGVLLAPS VGTGAQFSQQFLDSVAKHRVWDREVEHIAA L199_000953 MEAANEPEVTQLLQLLDVELIKEEVESLRPNYKVIKIHKKPNSM ENINEWKGQFNLQIKIDFDDGKSWMMRIRRKAGIRPYPDEPLRMNLKGDVATCEVLYK GGIAVPYSIIRPGDSKLHPKLMYCYQTFIEGSPWKDFAPSKPRDQPLSPSTQSHIESI AKWFIYMEGIKFDKIGSPTFNLESREEVVIGPLIERQPSMTFPPYFGGPFRTAKERYL STINGRLEALKARTLVDPSREVPIYLALLELKELMGDDLELGRDTGPFYIRHADDHWD HTRTKEGGNVTGIIDWEWAYTTTKAEAFASPMYFLPSEFYHEGSNDMFSPREYALIEA YQSLGRDDLADCVKNGRKYQRLIYFLKCMFTNVLELNAIRKAFLKIPDEVDDDMPKTE EEWVEKMLIKWQEDEGLRYLVENSVVEPVLPEQN L199_000954 MHLLPREQDKLILSNLGILAQRRLARGLTLNRSETIALIASQLH EFIRDGHHSVAELMDLGKKMLGRRHVMVGVAEGIHDIQVEGTFEDGSFLVTVHDPICS DDGDLNNALYGSFLPIPSSSSFPLPDPLPPNKHLAGSVICLKSKIPLNVNRRRFYLEV SNLGDRPIQVGSHYPFLEVNPYLQFDRLLSYGFRLDIPAGTAVRFEPGEKKTVGMVEL GGKKILYGGSGLGAGQFDEGNRETTVKEKVEKGGFRHKKQEEVKEAKVVEMDREVYAS MFGPTTGDKVQLADTDLWIEVEKDHTIYGDECKFGGGKVLRDGQGQASNRSDEEVLDL VITNALIVDWSGIYKADIGVKNGIIVGIGKAGNPDIMDNVTEGMIFGSNTEAIAGEKL IVTAGALDVHVHYICTQLWPEALASGITTLLGGGTGPADGTNATTCTPSKFYMEAMLH ATDTIPLNFGFTGKGNDAGVKGLKDVVEAGACGLKLHEDWGSTPECIDRALSVGDDYD VQVNIHTDTLNESGYVESTLAAIKGRTIHTYHTEGAGGGHAPDIIVVVEQENVLPSST NPTRPYAMNTLDEHLDMLMVCHHLDKSIPEDIAFADSRIRAETVAAEDVLQDNGAISM ISSDSQAMGRIGEVIARTWRTAAKMKDVRGPLQGDSEKNDNERVKRYIAKYTINPAIT HGMSHLIGSVEVGKLADLVIWKPENFGARPEMVLKGGVIAWAQMGDANASIPTVQPVF GRPMWGAQPEVAPRCSIVWVSQASIDTGTIEKYGIKKRAEGVKNCRKIGKKDMKLNNY KPKMSVDPETYEVLADGVLCDAPPATHLPLTKKHFVY L199_000955 MLPTLALFSVLTALTASVSAVPCVQFDSSWNLYAFGGSEDVKLG ANTTWSSPSTSTLSSSGRPPWTGTNSQCILSQTNNALYVVGSDSSDLSKIYVYNFASD SWSTQTTSGAPSDLGNSRSASVLDHDTNVIYTLTTSSGLYQLDMSSVTNSASSSALAW EAVENSSFSVDGYTVTATQAANHIFYFGVPNTAAGSANVFVVHYAYFQPDAQAFNGTA FPDTAGQAISIPTESDSVPYSMVFVPNDFSNTYIATHWTDLGDYTVTSDAPFATDLIN STQTIPAPTSQDKTASYAASPYDLVQVDSSGDIYYYATPVNADYTVSSSGSWQKLSYS VSGGSTSSTNSTSSAASSASASASGSQASGSATASKTASGSSSASASGSAASSSSSSS SSGAGKNVVASGDLLGLAAGVVALGVSMLI L199_000956 MSDPTAIAQQFTQFYYQQFDSDRNGLASLYRDTSMLTWESTQIQ GSQAITEKLVNLPFTKVQHKIVTIDAQPSSPSTASLIVLVTGQLLVDDGTNPLQFTQV FHLMPEGGSYFVFNDVFRL L199_000957 MTTHHPRQFPIILITGTPGTGKTLHSQLVQQEMSESEIPMKHLN IGDIVKEQGFHEGWDEEWKCWIVDEERLLDYLEGVVNPENGPNQTGFILDHHDPSLFP ERWVDLAVVLTCDNSVLHERLTARNYPPNKITENVTAEIMQTCLSETRESYDEEIIVE LQSMGQVDNEVEENVERIVEWIGQWRKDRLEGKHD L199_000958 MEDMYQPEVDQIVELLKPKIITAEVEHLRPGHKVKQVHVPDRWL QFAIGFYGSFNFHVKIEFENGDFWMMRIRRRKGRDYPDGPLIFNLESEVATNRILYQA GLKVPNVHLRPVDSKFHLKLIYCYQEFLAGALWRPWYYPDTCDLPLEEPSIRLLNGIV DWFLNMEKLKFEQVGSPCFGENENDIIIGPLISRHPVYSTPPYYQGPFKTAKDKWLAM IDNKISLILSRNYCTANRELQEYFMLKQARGFVKDCEEMENPGPFYMKHDDDRFDHIR AEEESGEFTGILDWEWAYTTNKEEAFAAPNGFVPAEYKKGKNDILSTREEALIDAYTS HGRPDLAECIINALERAFLGLPDDHTGQPASIEGWLEVTKEKYKDDEGSWKILNVPVV SRKLLEEPKNP L199_000959 MAFTNCDVPNCIYQALVLDSTCQMCYGSFCYQHCDNHQCHDGKN PDGSDKITGIEDWYQPEVSQVLHLLDVDLIKKEAETIRRGYTVHQIHIPKHWRQLIIN FRGSCNFHIKIDFEDDTSLILRIRRRAVHMYPDGPLELNLMSEVATNRALSNAGVAVP TAYPRPKDSKLHPRLLYCYQDWLPGDTWRPFMSTATCHLPLDATSVRHVNSIVEWFLS MEKCSFEKVGSLCFDDNDQQDIVIGPLIERHPAYTVPPYFRGPFNTPKERWIATIDNR IGLILSRNYCSSNRDVKHYLALKAARQLVQNCKQLDNSGPFYIKHDDDKFDHIKAQAD GQVTGILDWEWAYTTNKEEAFAAPNGFVSAEYIKGKNDQLSCREHAMIDAYISRGRPD LAEYVRKGRKYHRLVDLFRDNVININNINALERAFLDLPDDYVGQSQTIEDWVGLMKE KYKDDEGLKSLLGTD L199_000960 MAPHSALSTSTFYLSYSDWLEPSPFTVKKPDYAQCAIPGCQAYA LFGHPRCRICGGSYCYDHVEDISTHICYTDPPREYIYPYKSVVEEEIAEIIEVIDFDK VTEEVETLRPPHKCISIDKFESPYHVNPLNGSFNFHLLIEFEDGMNWMMRIRRKQSRS QPETAIEMCHRSEMATMKAMRDGGVKIPKCYERPKDSRVSSELIYFYQDFVEGGHTTL AFRMDGPVYGYKPIAKAFMLSYAAWMISFEKVTFDKVGSLALGENGLVVVGPHIERDR TASLEPPYFAGPFNTAKERWLSTIDWRMKSILDKKECPPSEELLRYLIMLEMKDLVSG CEELEKGPWYIKHYDLHGRQFKIDMDTGEMYALLDWEWASLSCKGEAFTPPEFFRLSP DRYENDLGDKEALLVDCYRELGRPDLADLSIGSRKYHWLQNIVLRSYDNLEALNGARN AFTSRLEGLDNQPQTLEEWKEIMLKRYREDKGVKLLVAKQREGGPPDVRNITESKKKK KFGVDKETERISVEVVETAL L199_000961 MSVATLRPRAVGLIVQRHIQVALASTSATPVASSSSSSTPRRRR LRNDVPTSGVDLVAPPDPQSNIRPIIYASKPSINPSTNSPYSASEFPTGSRDARLENM ELEWRLRRERVDLMNHRFWATTNLSFEALKAHRLSLLPPASDPPTADDERRKEDMLTQ FYADWQIANQERQIRWVKEWWREVWDGVKIQGKIYFLRALRRKRN L199_000962 MFAPSSANEALAGSRKRKRTGKEREERKRLAILAQQDAEKAETV AENPIIAESSVQAVQGASIGIDPENKGVDVEQATKRIPQALKSLHPTFKQAKTFETRR LIKKIKFLRTKPDTKAEVSDLEGQLKILHDIQLHPLAQSHLLVKLRKHPSFKASSLPR SILDLLTIQETTSTSTSSTAGLVAKVENRLCSAKNVAEAVKGVVSWIVGEEGAKLVHH AKKESKGISVLRGGKKRQNDSDDEGIDGDVIEGRQEMVVGSASENEGEESEDEGLAQQ DYAADEAGWESGSIGGESDKSDEEEEEDISDEDAIPILPSKKSKPTPAPPQLKEKPPK AEKIPKGDITSSMFLPSLAAGFTRGDEGDSDPDDDLDPNGVIGKNIKERKNRRGQRAR QAIWEKKYGKGAKHVVKAKADEEAYQAAKAKREADKRGRPDHMKGRDSGWGARSGQPS IPNGSASAPKSTVVPQQHQQPPREEKKNLHPSWEAARLRKQKMGVVPADAPKANKIVF D L199_000963 MTSQLASFKVPVIDNEPMKNYAAGSEERKGLQAAVEKMLNSAPY EVPCVINGKEVKTGDIQSQPMPHDHANPLCTYHAASSEDVNQAIEGALAARQSWEEIP WADKAAIFLKAADLIAGKYRYELMAATMLGQGKNAWQAEIDAAAELCDFLRFSVKYVE ELYQQQPPRNSQGVWNRVEFRPLEGFVLAVTPFNFTAIGGNLVGAPAIVGNVIVWKPS PMATYSSYIVHRIFLEAGMPPSVIQFVPGNAPEVVKQCIDHKAFAGLHFTGSTQIFRK LWKDISNNLDIYRGYPRIVGETGGKNFHLYHSSAEIKSGVHQAIRAAFEYSGQKCSAL SRCYVPSSLWNNGFKDQLVDETNKITVGPCTEWNHFTGPVIGRPAFDKITSIIEQAKK AGGEVIAGGEWDDSKGYFIKPTVIVTKDPKSISMTQEIFGPVLTVYVYEDSEYDNLPK LIEDTTEYALTGSIFAQERAALVSAAHKLRNAAGNFYINDKCTGAVVGQQPFGGARAS GTNDKSGSIAIFSRFVSMRSIKENFIAPQDHYYPSNFL L199_000964 MSFRTYEQGLKQATDQANKAIQIESSLSTLSPLISPLHTLQKAF PAYISAAELYSHLLASSLVPSNEVPSIRKKWRLVLERAEKIKNRIESLGGQVGKVEIG DEGEESAVLRRGSKINSIEVPLWTGEPSEGDFRDRFVDDRQPELAEEQLKHDPIWKEV PAEAWSCDKDGDRWVLKQGPVSDCSVVAAMGVGLEHDRHFGNSLNRVNLYPKSEDGRF RRSETGKHVLKLLLNGAWRKVVFDSLLPHTPDSKPLYTTCHPASFSDAERSTSIGVPW IPLALKGYFKAFGGYSLRGSNPAPDIYAYTGWIPERVSLKEGFQREKEWKRIYERWQR GEVMVSLGTGEKVTDSLVKLHAYGVVALRQEGVERLMDVFDPGATAFTMTWDQVCSEF EALHLNWKPNLMPVVATRHWSWPKPKSYSSDSTQPTVIANPQYRLKVTSNASDSQEIW ILLSQHITSKDRPLDDIALHVFEEYDYGGKPGRLIKPERADQTSPYANDMNILVRYTL RRPNSSILVIPARDRGNFQTGFSLNAFAAQGSTLTLERISHSMPFSQVISGSLNSRNA GGHPGYPSHILNPQYKVILKPPPRGGNIEGRITLQGDKERAWNVKLLWGKGEMVYDVS EDKVVADTGSYSYGMAYCDISSVNPGTYTLVVSSFEPNHTGLYTLSFESTAPVDMIII PREGAGMYSRIVNGAWTEVSAGGRPSLGGYDRNPRMEVILPTPGSILSRLYLPTPSST PINLTIFRRGTGGGLGEQISTTGPYADTLSGVSTGKVKLDQGLYVFVPSSYEPVKSKW VLKIWSDVAISVEPFVTS L199_000965 MFQGDRLQISEEVHDALATSKPIVALESAIITHGMPHPTNLNTA SSVETIIRSKGVVPATIALINGKIHVGLTESEMIQLSDPQSKISKGAVKVSRRDLGPV ISLKKTGGTTVAGTMYIAESLGIKIFVTGGIGGVHRGAESSMDISADLLELGRTPMAV FCAGAKSILDIPRTLEVLETQGVCVASYGDKQDFPAFYTPSSGCQSPWRVGDADAAAR LIYTQLSLPTKLSTLFGVPIPSQHAEAGAEVQKSVEQAVRESVELGIDKRGKEVTPWL LKRVGELTGGKALGLNVNLIENNARIGAEVAAKLSEMYQLESEKGDALRYLYSPPSSH DKSTITHPKEIGQTPETKLEERAEDTTIPTQSTKTAVIPTPSIKIHLPNPLVLVFGSA AIDLTSTSPRTLEPRTTTPGTVFVSPGGVGRNIAEAAQNLLPDHAVQLVSAFGTNPAF IPTSHHQHPQNNGIIMNGQSVGIQQDTESEEPDSFGKLLMLELTAAGLRTDGLIGRSG KSTAVCSLTLEKNGDLVAGVADMGIVEILSPVTIEETIRKRSPRMVVFDCNLTEEVVR TILKTCTQLNIPTFCDPTSLPKIPRLTSSLLSLLPASRTHPRPLTNISPNILELDHLY NLVGTLSERSEEIESRSWEYINSLNLGYEWRGKVDNWLSKPDRQWIKEQGIIPKMIRL LPFISSFWLKVSSKGLIHLELAPSPTRITRHSGDGIVYNIGSKTHQGKYLVLKHYLPP DIAQDEIVSTTGAGDTLVGGLVAGLVDGKENEDWVGKALEGVKKSLKSRRAVG L199_000966 MPQPPLDLNKYLSTPLPHLREQYPPGTGGTGPRAEFSGDLKGVQ EIENFDDEVTKYFKTLPSEPITPHPHYKYPKFVAALNTIPNPLKTPSDLQKALSSIPL LATSSVLTALDSDPEDFKFVYDAQALDRAGYESDGGVDGSGESKPLKPDYVTKPWTFK FNKSPLGSGEFLLTKGGSDDVKLVVRTINSSAFTSADWKEYIVTGPYKYNTKSKASWY WSRSYNAAKRLGCHYYVLTDWQRWTFGYFNEDRTHGWTSPILEYDAEKPSVLQALLFW TRSAIGAENGYKPTQKDVSSLPELFPANPARRISSSGNKPHQPRDERKPRKANESDIE ESDAEDAS L199_000967 MRKHALSTINRIRSTSKGHLVIPLILPKYIGHSSVPSIVFHPRS FTSTTSIRQDGLAASSTRNATGNVSLVTGASGVTLRPYQAHAIQACLEALSSGLTRIG VSSPTGSGKTTMFMHLIPLISSRIPNAPNSSDTIKEPSGRGKTLIVVGSVELANQSEK AAKRTLGKDWTVEVEQSKRVASGNADVTIATYQTLNNLDRLAKFNPKEFQLVIVDEAH HAAAHSYLRLLHYFNHNVQIPPSVLPISSTSPLYKMNVPIIGFSATFSRPDQLALSAV FEKIVFHRDISEMLEDGWLSPARSTTVHAKLDLESVEENDQGDYKTSSLASKLNTQEI RDLIVGTYLHKASDRRSTLIFCVDLNHVAELTDTFRQAGIDARSISSLSKPEIRKQTV LAFGRGEFPVLINCEVLTEGADIPQIDCIILARPTKSRNLLAQMVGRGLRLSPETGKQ DCHIIDIVDNVNKAGGMLVSPTLWGLSHEEKEEQERERGETQLSQQEEPSDHAQGDYK ITFIDQDNPFRLAGDSRPLVDKASNNAWVACGKGKYILEAMGNGYLAIDPSSPTSQAK YTITYRSAIPPELAGPRGSRSPFGRVKTVGYADELERALQTGDKYIERILGRDSYLQL SKYASWRRKPASEKAIRLLLKLKGADDPTSLLDDRGQNREVDLYGKKIDVGSLTAGEV SSWLCAARHGAKTAKAAEDRKIERALAKKVAKEEKARALRERNLPLPRSIPTL L199_000968 MAFYPCAIANCIFDTLVYENSCYACRQRFCFQHYRHPLHECSLG KGKGTIGLRHTLDEEYEPEVTQLLSCLDVDSIRTEVELLRPGHQVATVHLPVDWEDLA CSYMQGQSNFHIKIDFQDDVSWFLRIRRRLSRNYTDEPLKLDIASEVATIQALQSAGV AVPNAYARPSNSRVHPKVIYCYQSMIPGAAWAPFYHRNERSQPLSDPSINHVKSLAKW FINMEKAKFDKVGSITCQKDATGFAVGPSIDRYPYHYARPYFLGLFHTSADRWIATIE ARIQMILERRYGSHEFELWMYLALLEAKELVRGCEEMRRTGPFFIKHDDDEYDHIRAN EEGEVTGVIDWEWSYTTTKYEAFISPSGYLPDEFFQGTNDSLSTLETALMEAYISFER PDLADCVKNGRKYHRLNDMLKWMMFVPAHLDGLYRAFNEIPDATPTKTQTVQERVLEL VEKYKDNAGLKYLLENPLPRFPVEDVFVPAEIPGGRRRKKKKTLQVTTEDGKLPTVSN GELLSGTSHDEQPIDRE L199_000969 MVPPPTRSPVTGNPVPPYYIHSDTLNFRDVHGRSLLLRGVNLSG SAKNPNGQPSHIRQNFWESAEAGEGDFMNNPLNLEDGSADIHLARLRAWGYNMLRYVF TWESLEHKGPKQYDYEYMDYIIKVLRKCKEWGFRVFMDPHQDVWSRFTGGSGAPLWTL YACGIDPYGLMPTASAYIHCEWPNAENPKPEEFPAMIWGTNYTRLAGQTIWTLFFAGK TFAPKCIIDGKNIQDYLQDHFIDAVGTLAKKISEEASDLLDECVIGWDSVNEPGEGLI GHHDLSKIPDEQQLKKGPTPTPIEGMRLGEGQPQQVQIWNFGAMGPYRGGHELIDPKG RKLWLSKEDDEKRGGGKWGWTRGESWEMGKCIWAQHGVWDPTTGALLQPDYFVTSPED PAHEVEFVADFWSLHWLSYSSRIRIHHPESIHFIQAPVLKQPPKLPKSFLKDRACSSP HFYDGLTLMTKHWNWFNADAIGVIRKKYWSIVQAVRVGEQNIRNMVQGELGVLKQDTV DILGSYPTLIGEIGIPYDMDGKKAYGYVDGGRGEGDYSSQQRALDCSMNACDGPNCLN YTIWNYVPDHCHEWSDNWNGEDLSLWSKDDMPRETFHDESKSSPVINSSLSIPTSSST TLAVSRSTTPKVPFTLESISAGDIPPSLILDGSRALSAFCRPFPIKTVGVPDRIDFDI ATTTFKYVVRVKSNDIASDQIATEIYVPYVHYASSLGLETSDVDLGGDNSRLSSRNSS SLSLINGDDKDKRNGTSTVRSVNPSIRNKDDINLELDIDVKVTHGRYEINGQTLTWYY DVPSTSVEEKYEIQIKRNGGALRKDVGFVQQGSWFDVCPSGCVIA L199_000970 MPSCRITNNTAHPLNVCLKQVTALHFENSVQPGQTIKLKPGKVW FTLEALVDDGTKKSRYSILKSAATIALLSIAVGAVAATAGAALIPEAIALEGIAAASV AGGYLAKGLTVTKGALVTNSGTIAKISSMALPRAIDKLSAELGGLSALQREVASIIAS PTLSSDVRHRAATLLRSIHHAYKEDKAKSSGATEGPATGQSSRPDKSQSEKGYKKSKS KIANDPITPLSPNEARKLDEQIESEEIDDLVENRPISSGEVLRVHGIYMNKRREFEIR TGDNGRLILWDLKEKVVVT L199_000971 MFDKFTKDSGLMTVTELISPRVEITAQSLTHIPTAPSANDNTKN RSILDLTHYEPDEQTDLTQSDFRLVLEVGTDGSSDRVSRGRYTFKAVDGQPKIVYMTY RPQSGGDSMIGFAGHSPTPHSWGDHRFTIRWEGTALDDEDNPDHGSGGAGNGKGSGSR SSRQWRDHLPFGRS L199_000972 MSNTSATDVDKGADLTQTSFCLYIEPSQPGVRAAKLKRGIYEFS PTTYPGLNMIHITHRPLTGRGQDLTVPTNSRDPFNWGEQVFSVERESPAKLEGSPKLE SDIGSVASVEVGSDPGEGFELGNIRYQWRNLDAAVNPE L199_000973 MADNTSIAPSELTLMETNPQVEITITNTRMGTAWGPITLIGDRD RYEASDGCTVTSRMNDQGVHWVIINARREASGSGALTDGTVSLVGNGEQQLLDDKVQA TWSGIAVHSRPRRGRWTWLR L199_000974 MTPTVQDKEEQDYGACHTLSTPDGRHLTYDTYSYILSVLQAQHD IPTLINLQLCSKAHYTNVTPYLYRHLTLDENCIDDLLGQALRWNEGEGYQLFRSVRFG STLIPHDDIKDTLDLSADTDTFVHHSPPLRYKFNLSHVRKITLKYDIDEFYGLPTSLQ PLVTLLGHYRNFTGQYLFPSLQSIACVGTPDSPVELGEIWTLLSKLSPPTSVCLKHTE PFLYSNTTFASLNSFNGPMENISVHSAMPNWIPRSYSHPNCRINISYAESSCEEGCDR ERNHPGKSCRERNKEDIAKWLVAGPRSNEERWKGDWSVHTGNHYTLKDLHDIKRICVE QIEGLEVESVISRGKGWTGDSISNARLFVEGLRWVQGAEKCRCCNEYV L199_000975 MITSSSALSRLPTEILANISSILQRSHSYGSLSSFGCTSKYYNT ITTPYLYRHIEITETHLSRMLYSISSLDKQTSPDCAWPIDYPNYPTNLRYTHKITLKK CDYPKSIEETTEIWGFLNDVRSDIWSKSPFIELHTLVLDIQSKDKWAQSFIRSIKLLG QFVTRGIKHLYVMFEDTQESAIPVQDNSEWIKWIGPFSDHSTKVYIQHTQFSSFPLYH HQFNYETMNIQLPYMQDSLEEGILKAEFYRSIIRAARSNPADSRIVKSLKVDIPKAPS DYNNTKVKPFVSELNAWIDRSVQYDITDRTLAHRFLDDIQWVCGEDAVKEEEGCSICK GDSEVCY L199_000976 MSYNDIRCQLFDLLGSRYPTSCHVGSVPKSNGSGSEDSIGDEDE MEKRGETAPDGLVLADWQTYLSYVTTINDTPYTVTTIANLPLTYYGPSIPLGDGWTYG GLTSPTGTENIAPPMIETPASSTITSLSNQDSSPIISNSLPTASTSSSTSSRFSVQFT TLAASSSTPTSIPLTTSSSSTTFAPDTINHHSPMPTQSTSPTHTSSEDRNLLAPLLGA LIPLALAILVILIFLCVYHKNRHSRDSRFFGLFSGSKWASLPSNPPGGPMGNKGKSRE VGESADMVGVGAKSPNEKSALLPGWTAQHHRHNSQQDLTEVDDELRELAKRNDSLLQR LNLGLGWLKTTPTPSNSSSSSGGSGGRKASGNTLEKGQGKRIFSPATLASATAALATT GLGNKRNKRNTNLTASTEGYERVLEDDQLFFSVPQRNESSDYSRSRTGSATGSPSMPQ DLSPRQKRLFPAAQIRGMRSQTFSVGVPVTSGTDAQDLSMEIGGDSRRARWSEDGERI RFPAPPETAGVGLGLYDGGTFGRPPMIGQGDEGDRRESYISAETEYYSAPSHGSSPEI SSRGIPIPRDTEEYRHVSVSAFGSHPSTPTRPGRFSQHDSSQTHRGISPVRLVSPGVS PSKTTKPRPISGIGSTFHSIRNLFSSTPTPSPDVRDQGSSNKDKRRSYVGQSLVDDRL KKVNRSMGEFGEPLRQGALVAPALVSPRPSHSSEQASLHLSIPSQHHSHSSHTTSGSS SNDATEASHEPVVRGKRSKGMLIRASKIGELSRPQPLPIQVASGSSHVGGGIRKHHQR EWEENIDEFMGEGEPLPPLTPDWEGTRDKGMRWSGRWSTN L199_000977 MIFKEDKDCTQERYQIIQRYNSLQEQVLPILKTLVTMTQQVLMP NVKSLSVVKSTNWEYVGDIDMETLRHLCRPSNLCLKWINITPPAKEPPRPKIYYDDDS DSEDDYDYEEEGAYDEDEESEKVYKRDALLKNITKLASGDPNVVYHNIDLRSVQIHGE Y L199_000978 MAFKTIYTASGKRFPEELMQQISHEILESSDPSLLKVLQTISKD MYKSVTPVLYRHIRFSRKSFDRLFKTLKSIPKKEIPIFVQSPSTFPDPDLPSTLRIRQ NLSYIERISIDLTTFSMKESQYDKMLGLLIFLREWMDQFMLPNASSICYRLSSRHKPP EKVLRIIHYLCRPNNVCIRWDEYDPGDEDIDEDDTYVDGEKEHVGIRPMLPTGSSDER ITYHNIDVNLLQDINVSSKSTKLSLGKIVPCEKVSEN L199_000979 MSSSHVHVNRPRVASRGILPDELLLHISHYVIWNEDAATHGTLQ VVSKRMYQLVTPDIYRHIYLTGKGLSKLSRLFSHLPLDQFSILMTTPPFPCTEIGNHD TSEGRFTTPNPDLPLILRIRQNLSYIQRLTVDMDGLTRYRDEYNDILAYARLLENWGE QRLLPNVTGVCYELSPQHNPSEKILEILMNFCQPSNLCMKWNEFDQSDPYSVMDYFRG IEYADITPILTVALIVSQPKVVNYHRIDVNFLTSLEIAYPALEKVRISLGKVTPCITL CRYYDMINYRHRGRCERIYEEGAQHDLLSKMTNQYGSAEVVSDFSIVGGLTEDSMDAD DVRAFKKEYERQLKAEGNSGKVSRQNLKKAKNVKWYIGKQADQQPVCEACGDVDPEWV VRKPSELDWVRRPFEASPIGLEKYLRPGGCADREAG L199_000980 MFGAIIAGRLVQTNLQQIDETHFVFPLEQPYEINHLTVFLLGTI PFPEGYGASVHFAWPGKDYIPLGVLTNTKPSAIYRLRPHLPPNAPLNQPSPPAQLGIE IAPLAQLEHIAAQIANEGAGAGKVGELVKKVEVGKVAEKVVKNLFNYLHSFGGEIKLT PETPIPLSVFQQWYTNFTRKIENDKGAAFLDRED L199_000981 MTISSSSSLPTTRVGVIGAGASGLSQIQQLLQLWDQHKEVNGKS KLEVVGYESKNDVGGVWLTDDQPKPNIRTHLTSKDNNEETYSYPPKGTNPSPMYQGLR TNLPHDLMSFRGSPFPKDTPLFPKQELVEQYLQSYAEHYNLRKHIRFSTRVERVYLTP QQDQKGSSDRKRWTIESHNLHSDERKSEQFDYVVVSNGHYSDGWIPSIKGLSTFPGRI IHSKFFHRASDYIGKTVLVVGSFASGGDISRLLASENVNKFSPSGEPLNGFSKEDYLK VYVSTSGYTQYSATEGPWAEYIQHVPLISHLTPPDENRPKGVIHLEEDEQGGNRELDD VDVIIFATGYNFLFPFFKITDEPWNNTRLSEGNVKSEERQKGDGWEENGIKGQGVDGL DELLLFLKGDRTISFPTLSYQNVPFPLAQVQSRFTAYLWAGLLDLPEKITLPPNPSNP YSSSQQEIKDDKAADSFNTSSSSSQDKGSVDPPQPTAEPKKPRKVLQRIKHLVFGAPY EWTYSEFLMDFMTQSDQQHGVDTEDHWKKIEGWRRDRRADTSLRKRMLGY L199_000982 MRPPLRSTRSPRSPPASPNSESRPKPTLADVTIAPRRRLRDGSA SSRGRSSRGNSVVSSSSSRASSTTNRPAGSGTGSGRVLDNIIPSRSKSRGSRRMPNHI ERSGSLPSTVEVYSESDGGSFSPSNSNPISRESSLTPPPTIEPPTSTTRHRSYPSPPS GNQLSQRPDGNSQSILDVMDEYQLQPQNTLSLPNGNNPSNRSRHTPSASSSSSSSSSS SESSDGRRYTTEEKGKGRAIVPATTPIEVQSSSEESDDLQRDNSIQLIDSSPQKKRRR RSDSDVIVDLINPKPNDRSKDGRGEEEEEVDEDDTLAGGYTCPVCFCPPSQAVMTPCG HILCAQCLHSSLLAAIGRNPNPYPDPMLNRPAGRGRNHHSNRNPQRTVSMHGMNGGPT KWTKEILIDFWLYHLTQECEKSLKDAHIPQEEWEGIKAVQIPGADEVKVEQRLKGLWR VDESWVVEGECPVCRNPLPGGYGPYGTGIGGIIPLQARLSSTVTGPKRKR L199_000983 MSAFKKEFYDDKSIELLADLEERFLEVQKSHAALTSKALRESQD AQKAVNDAIQNGVVSLHNRDRLTYDGNKLLGGMMNNFLGLVYFGSNESAKLTEDQMNN VKTNLEIIQNKMQRKSERWRSFFGDTENPLAELEIGTRTLLESLKGDGGSRQKPAELT ACWAIKHTIDDLLSDLEVRRSMSEFLNESNAQQLEMGRTLRTITTTPLRNLNKLNSLE RAMEMMTDWKEDYARYLRASQALSNTLSGLYPKLSEEEAQKGRSFADEFRQGSKEFVE NLEHEHLMGIYGYRELPPELVNQYGDDEKTDEKARAAEDQVVTILRATRLDDLRSFDK KLKIGSPNDADTAKNADDTDDEDMMRRKTGEWSVVRVLPCREGTPAVVFSAEDFGKRA RRINYTLNIKDNPSRCISDMKNLIQEGTAVSQLATKQCNSFIRFNHSLKTVLPKKEYR SLDELQEAVSLLRTRSKRKPGLKLVYHELKDMYKQMSETVSSCKTEIIDNTERLISEA EAGVRCIEQSNLTEMDSDDPLVNLAKGAFSAKSKLDLNHLYRLKSMISGDVLDSEGKP LTHMSRKTRFGLQRTYDRYKKAMSKTPFKDVQSLSDLEFTLEEMGDWQEEDEAYFTSA LKELPVSEDEEEGAVTAESANDQPKQYTSWAAMLRNSTTRS L199_000984 MSSSARSSGVKNLMISSDTLSLILHPALQQMQEYAPSNHRWLVI ASKNGRLNLTESHIDDLTADHSRLIVNAGGSNDFDAKTADAETINTYTDTVLKLKEDF EQAVKPFESEAQDQTSSGEHLIIQQLEHLVQSKDSWDPRKGRPKFAYDRLVSSRLKGN RLISWHLTRNGIGEILNSNVRIFNDRLQEFDQGEDNDAGIRKSLMEASGSCEMVRSLL VQLKVKASEAELHQAEKDLEELYDIRNPHARSRIDAIYHSGFTCEDTDIGLEMLGGLR LRDVVSPNSEFLSSQMKSLQTQALDLSKMYLHNKFSEKKVEEITVPTANELLSPRTVE NMKTIVNRYKYKLDLEAGTDKELKLLKYVNTMVEKLLWEQSLWKSQIGSDPNFSEGFQ GSIPCERFEQIVNKLESERADKTKDKLYKHLRTLEDENTSIIKSAEMVASDTMKKIRK SIEGERDTIWAGLKTIPEGLDQVRSAMKTFAAKADLDLMEVNGTYSQETRERVRENFD GYFREYSKSSLAKIRFWTDFSDELPALLESADVPAEEPSETPKEASRQRSEEISSGPI DHPESADEQDLNRQSRIRPGVSWASIVSIGNGR L199_000985 MSSLAEIERMFNNRREQTDAKFGKLLERVTKACRSASKDFSDLD QDFNDEMVSQLSQRIEALSNPDDPNLRAKLESCLQDNLDYMLAAGKQYFTGYRLVDLK NAYPISSASYKEVQATLDSMRVDHKISVDRARRDRKAEFRNRQAKQESSVDEGSVLQQ SSLDEVIGWLNENRYEINLREDPPYAYALMQYVNDDIADMQWEHSEWGDTQVTQHGRN LVNTDQLERLTLPSERYDHMMSILKSSGVKDNKAKELWRSTKRIELDHIVLMKKAETI AKNAPRSMAREIQAQRARLINSLNEDESYTADTGAAKTTSKSRKPRLAGTAVKDTLAS GECDLATSTQKYQDSFSKYEETSFGEKTLWSEFEAIIPELVSAEKADEEKRESVCGDS RETEDISGKYYTALVPLKVATDSDRYLPGDALYPSTASGDGEAKTFPGE L199_000986 MLTKATAHIRPFIRPLQSSGAGPDHFTANPSLLHHLPYGGSGNS LVAQGPNPTQTAGSSGSAGRHGYGGNAGAGGGYTGHARAFLSLPQTASVDPSSTLSNS DDNQLQDQSSNKSSLLLKHRLSKRTRIVGPSDGVGREVRREIEGRAGGSKVTVMELEG SEELERSERLGLPSSSGSRRRTGLTRSPSAVEIWQVGIPQPRGRLGLRSLSTRSDIPK SLEAEDAQPLVSAIPTKATRVLGQPNRVLMDLAGRDLPGRRVGMVRRNSTAAVERVSL DQPPVELLLQQQKKEDRSAGKSDSGSSEVAIHDAILAARNSGEVALLERLVQHYRSPR TVSPFSQDQQAGDPALSQKYPLSEGYSIRTYNACLNALVGTRKSGQSIAEILEIYNEI LERDLIPNNVTYGFVIRALALRAVEVSEAVRLWEEQKSWGEWRALLLGPQTWDFDAAA EKDQLFKSYEAEGNLQSAHKLFRAATRVNSAAGFPLSVYGTLLDAISKESNPDIEGIL QIFSIAHRFRVPGTISLYKQIFRALGAAKDTEQLESAWQDFRKSSESGNAQRRWLDAN PPSKTIDPAMIPQRVDGIRHQVWQSAMSAFIAVGQSSKALAILEEMVQSATSASTEEI DFSRPPAATHRTLGQFIVDLAKAGEIDLALQWYDKFHSADYLEKLPPHRLTLEHNSGL VDALIKAGRIDDAKNALERLSSHLGEFNTAASKTTVGRRLWRIYSVLVVRATEASGQE REQILDEIKTFSKSGTIPLDFLVVNKHLGLLAKSGRWDDIPSVLEGCVIREPLRRNLQ SSFSETLVAMSKTEIPLTSLLALAQRMITLGLQIRSEVASAIVAKFIAGDTNEKLDLP TQDLFVLVESFAALPKAQVDEGDYDDALVSLLSVLEDANKADESVLQWKNNVAVGSVI KSIIHRFGIERSKHLLTPIFGESEIEQLITPVIESLTYSSSSSSPAFSDFTLPTSPSS ATTASSPGQPEYKLRIDKSLSTSIEKITHRNPPITPLDAYDLVKQGLTRNAVPFPNTI CQLIDHLSRSGDEPKVRELYSLCQVILNSVIRPEHQASNWHQVEDAMLIACCHLGHLE QAGMHRARIVEAGMAPSADAYATMIASSKDTTDDALVARELFDESQMMGVKPHLYLYN TIISKLSKARKAETALELFGHMKAQGIRPSSVTYGAVINACCRVGDAQSAETLFEEMA SQPNFKARVPPFNTMMQFYLQTQPNRSRVLHYYTLLQRAQVPPSAHTYKLLLDTYATL APIDLEAMENVFAQIQQDRNVKVQGTHWASLITAYGIHGGNLEKSKEIFESISSNGIR EAVVWEAMLNVLSQKGSVEDLESTREKMVKSGVQPTAYVYNALINGYSRSGDIQRARE VFDSMGDSISGVAAPNNHPTLLTSSGHVKPNTQTEIPTGVVYREPSTYESMIRAEIAH GDRERAKLVLDKMEERGYPLAVFLRGKNAYEEELNNLV L199_000987 MSDTEDQAKTPTQQSFFSDATLNQSHAGRSNLSLDLYTINEIGD SLNPRYTFIIDPVKDPHLEMTVNINGSSFDASSERWKEMDHEFYSRVNEDFYEDILNK QRKMNQKTLDNLRFPPTTTNPRTSRELVTNIQENVKTAKLLSDHPDELWQTTLRDILS NEDRKMRWADWMVKHVNRSAELAKEQMNDEYDDFDEYWDIRIQGQLKQPTKNRF L199_000988 MPRKASKGEGPKSPLSSCWSFDDLESIDEPPKTEIPSEPNISSS GYNTRFDVLIDPMTPSLQVIKSNLTSGSNREPSEFDQEFIAGVEGSFSRTIEATRPKL IYKYVKAIEKDNSKSSHHSLSQSQPISPTSSRRSSLSSAFSSILHLDPKAPSSILDFD TDEEDIWHSALEFFNERVTRGWRRMLCDIAQNKSQMWRDDLHSRLVRSGKGSYDISWN IKFRG L199_000989 MRVGQQALKTNYHLSDTGGDTRAPSSSMITRDSLPYTVFEAEAP PNSGPHTSTGSRLASNSKTSRKIGCTLTRINRAGEPCAKGSILKFDFQAPIYLEDIVG KSQGQAINLPKGYLACTDDLSEEDPKKKVFDAAKTLIDFLSNDDPSEPIGLVDQGYGT GISAVSKVRNPNTGIIGVIVREDGSTISSILREA L199_000990 MSEADLSTSSLSDISAPSSEGETIHVAVSDDHAQLPSAPITPVT TSSRRPRRSTVNPAPQYAESDQEDRGSQNGKRRRLDSDVATNDYYNLGHGSQGDKAAT TVDWGSLHTATSAAITNDETAGKSVIVTFKFRGERRDRFLKIVEKWGDDQGRTESEQQ PDGDVRDASQAETKSQGEVLRAAEIFINYMMDNDGKSSRLLAGGNDSSTASATTTIGH DGRVKSTIIRHDGKTFLVTEN L199_000991 MSVFIGTILGLAISNIAVTPVQAGSTPLVRTYQGSSFFDRWDYY GYYDNTTNGDVTYANQSVASSSQLTYSTSSNTAIIRVDNFTDVAYNYKRNSVKITSQD SYDVGSIWVLDAVHLPYGCSVWPAFWSYGKEATWPEEGEIDTIEGVNMGFSNQMALHT ESGCSITSTSSFSGTVNDTSCYYSDNDNSGCGVTDTNYKSYGAEFASAGGGVFITQLA ESGISIWFFSRDDIPDDVSSASDSIDTSNLGTPSAFYSNDGCDIDKFFGPQSLVFDIT LCGDWAGQSSILSSTGCPALTGSDTCYTTYVLNATNYDTAYFEINSLKVYSNTSSSSN SSSSSSSSSSSTSSAISMTPLSSVGQNTLLAGLVFGLIGVLAIM L199_000992 MFKFEFQLDEEEDGSFQVPLQTGAGPSTVTLPPPSTKDEGDQNC YHITLDELIKALPEEISYSPLPLPFLKSPILRRDLFDARFQLYNRQSEEDLSKEGQKE EDQGEEYVDAKTDLIPGLYEGGLKSWEGGVDLVGTLSSIGDEEGVGRWVEGGRVLEVG CGTALPTLYLLRSLLSTSSSLSTKTIFHVQDYNSLVLSLVTLPNLILATIPYLPPEVL HQPDDEEDVEEVVPDLENPGNLVLSPQLVEGFTKLLEERNIELKFTYGHWSGFAGDLQ KEGERGYGLVLTAETIYAEDSNPSLLSVLKNAIKRTQAQGGGENTIHEEEVRLEDSLD NLKVDDEWKNIALKEQGDGFILVAAKILYFGVGGGLTAFLNRVEDNEGWWKGVKDWTK GVGRKVVQVGW L199_000993 MPRARNASAGLGIEDELSFGSDEDLLAEVGEIPLPTTTNATSSP ALGGEPSTSHVSNGKPDKVRSSGMFKSLGGGKKDSDKRKRTATEETVKLAPPLPTSST HGGSTSVFKKDKSSNSKTLAKSSLVIDPSLVESVLDVTSTVPPTNTTASILSSKNKSR DSLSLSTVSSSHKSPSITSSSKHDLVSLTAVGGGKKKGFMSSLKGLGGGNAKNKKEDP FSVFGIANKTTNGPQRPDLASLRSRDSFGGRSDYSRQQSLDIASSSFSSPRTESSDHS MQKHTLAPLSMSPFQAHPPDLTPDSTVRSSISASSKRPSISSFARRASVMTSTSFATS EQAGEESPILSKAVLTKFPIVDEPHTAANGRERPDLVSRSTTSSLAIPTSPTLSSDIV SVLLPSFPSSLSALSSIQILQATVIRRTIPSSNNHNGSGMPDKEKSNSIRSLTSVLSS SNNGNSSYGNKPIWITQQLVLTSFKVGGSTPQSTPDPNEYLSPSRSSTVAHLHLFSVP GTSSSTGGSSQTFGRRPSLPSNAMNEEVELERRIISKDSTAGVWNHDENGRKFVMRLG FENETDQSQADNEWIVEMRNADQLQEWIRQIKSIAIVIRAEREGHGHAIRNAYSDAVR GDDLALELDLQRSSSPSVISPASRPGSGSIPSVLSGQRDSTISAISGQSAAMEKVPSA ARAESPDMLPPTPLVEDLNGRMGSLELGPEIGPARSKSLSRGQLPSPIYQYQQTPSAP SARSGSIVSNSGSAISRIGGSLHRRAKQGSWSSSASGGSGHFSRRNGSLPPAPPPPMA PPPTVPLPALPAESPLELDITVVPGSKERPSPEEEQDLGQDEGTLRSPGGIEFITPFQ SPDSTYDPKARMTDGEPATSAGPRLSLPSDEDEAILKLRQSTGRSTSLPDNGNAILAA KARKDRLLNAFRPIPLVPELETSPKIGVVSPSDSRTSSPLTIGSSSPQMGVPRPPTPP RRSTLTSTSTDVEDGDGIEFHTPTEAPIASFSQPHPNTQSTEGSILSTPSTINEHLQR PVLGDRESKKSYASSINSFTPSVESRSTTASSHRRRLREKKIAVDIMSEFSETPSAAF DVEGEEEIKEDRPRVIRFA L199_000994 MSSPFPIPNIASSPFPIPIHQGQGQSPASLLPHPTVQAPSSGPI FAPSPLSQLISSTINNSNGIAPPTGLTPGAIGMNNGISTTTPNFSMNMGITPNTSALL ASVGMGNTNSTQVHGQGQSQGQGNGLSKESLIMGLNELDNILSRIQDVQEEIKDIENR VFAGKRKGDEDRLIALHTEYNQSVQTLLSISSTNLTSSLPIIPSSDPGNQSNLTISDL AKWSEEKAGMEFSRRENLRAGSKAVVDILRASGR L199_000995 MVFLGLHPAVTSRLAGVIGFTLAYQTAFALYSVPNKTEKLYDIA GSTGFITSTLLSLYYPSIKSWFTKSPSIPLSSLQHHPRQLLISAMILLWAGRLGYHLG GRIVKHGSDSRFDDLKTKPGIFSGMWFGQALWITLVGLPAFIVNSVPASAHPALALKD FVGLGIWIAGLGFEVIADQQKSTWRKQKDEKKHEEKFISSGLWSLSRHPNYLGEVILQ TGPPLLLLTTQLPPSIKYLSFISPVFTYILLRYASGVPPLEESAEKKFGKDENWRKYT DNASMFVPLPFGLGKGKI L199_000996 MQVVILFPLLLLLTQSQLSLAQVVLGINVPSDALPSPTEITPQP TDSVTPTETTDGKTASATITEFVMPTPIQAGVKYMKAKEDKCGEWGCGRVMSSDQASC YPAVTSWGYQSMNCGYGHTRNSQGNCQAQGWYDTQWGCYETTIIQETTSVIQECYAST ETKTEQITMTETATMTETKAEVSTVTETVNQTITETFPMTLTATATELSTQIQATTEV QTMTETVVHNVTSTQMVTETQTSVQTMTVNHTLTETMTKTESVPVTMVETLFSTMVVP TTVVQTEVQTARVTDIVTKNETQTQTQTHLATQTEKVTETQIATETAIQTAIQTVKET QLQNVTATQTEKAIETQVQTVTDTAVSVVVSATTEVQVATATLQRNSTETLISTALAT ETATATATATITAAATLTEQATITALATATVTETVAAAVDASALSSCQMSCSSAFGWS YSTQAAYAETTQAYGGSYDQQSESSSDGGY L199_000997 MSNFAKQIPKAVKEIRLHFCQTSGHSAGVRQFVQSSYPSIKSSN PDLKFLIREASDISPRAFVRSERGAESQTQLADLSESQVSAELSKLVNSQTVGKPQ L199_000998 MPHKRAKRSVREAETAKKGTNLAPSSKSLNSAYDDTPKSASRII SGWKFQSEFRKSGKTNSEDTGEYSKPSNSNSNSSTTNGKGKGKAVDASEIPKILPNET LGEYNRRIENLLRSGVSKAIKDAASTKALEVAQANRDKKVRKRKAKLEKLIKDGKVPK EALEKYLKEVREKEEQRNDNHSKGKRKRDNEEDDELDEDGQGKQARPAKEFKEMEGPR RLNDIVQAPPQLPHLRKSGEKKTSTKEAYSAIGKNSDKIPLNAGQKRILEEERERVVR MYREMKAKKEEEKGKKV L199_000999 MDKEKLAKLQAQVRIGGKGTPRRKQVKKSVTASQGDDRKLQAAL KKLGVQPITGVEEVNMFKEDGNVLHFGAPRVHAALPSNTLAVYGPGQTKELTELVPGI LNQLGPDSLANLRRLAESYQSMTARQAAAAAAAGGAGAEGKAEGEGDDEIPDLVENFD EAEGDKEAKKETDLEELE L199_001000 MFAPRSTHLPRTYSVYHQPVTTKRNTNKENAGALPSKTPSRAGK PMMGGGLGAGMRMGLGVKTEVRDRNVLVQQQQHQGGGKGKGKESEDIEPKRLFGHGPS KSTTSIPPSKSLSSMPHIQLTKTPAPSRKKQQSFQALRTPAPSFQEPAPTPLPSATRQ RRRSRQSLSTISLTPIKASIEQSFVTPAPIPWDEELSLGSIEETANEMLQDVREENEL DDGSDGDPEYMPPPVQELPYTPAYDHPDLTSIFSTLSSLPPMWTVHDDVIIRDLPEFE FQEMTVGGLTLKDDEELEEEWLRPKSKPQLKPPQMTKPQYTSIRGVPPKSSLQPKITP RPSVTSRLNPTTKTKPPAPVPRPPPIRQKTTSSTGPRSAQARQASLHPAQKASQPVKP IKLSEEDQKLFESWEKEDFPSGDFELYLDMEMDDGNLISH L199_001001 MSTQHLYGTPAPQPSDQNQNTSTPSSSAPEKLHLTSHNQYKTPR LLRDNLHANPLIQFNSWLSAALDPKDGQPIVKEPEAMTLSTSLPNGIPSSRIVLLKTV DEKGFVFFTNYNSRKSQELSNNPYASLAFYWREVSRQVRVVGKVEKVSREESEEYFKS RPRGSQVGAWASPQSSIVQEGEVQQIVDEKTKEFGEAMEIDCPPHWGGWRVVPFEVEY WSGQPSRLHDRFRYTRPQDSQESQWEINRLAP L199_001002 MNLSNIRDHMLSQPPSFRKKKEYQLEELLGRGGFGKVVRATWTP REGEKKEVALKIISKKLVKDNEQAVMDEINVLKGLDHPNIVHVWDHFESRDKFYLTFE LAVGGELFDRISERGKFTEKDALECIRQICSAAQYLHSHQIVHRDLKPENILYKTKDP NSQIVIADFGIAKHLEDPEEMLQDAAGSFGYAAPEVLTGSGHGTKCDCWSIGVIAYTI LCGYSPFRETDKNALLREMTKGRVVFHERYWKKVTPTAKDFIKALLVVDPKKRISATE ALKHPWMTEGAAAENDLTDAVIPALNAKMKWKKAIRAVQATNRLRAGSRSNSLATSSS TSTTNSTSTEQQNLSASPTTLVPTVVTPLSMTEEEPMSDSHDYFTADEETHHEVQTPN SARDDAQHIKIVKMNDAGVEEDESANTGNKIEKRDYGNPSINLQKTEGLSVEQKETRP EASRKSSVENVVEGVKGLMNKLRL L199_001003 MPLSSSNIAIEDFDHVAGNGDTIISIPLEGLHSTGYNNTHTSSQ VITPDTIHLPGSNYRHVGPNEGLPSNSPSSSLTVHDSSREDEESNIGIMQGLTLTDTQ PFTNQEGAYTNTDSSSTDTAQNVEAGVDQNTITGTASEDEITSTSTYRPLIPYVRFTQ PSYLSNERYPTYNDNRTFGWTSAICVSVILGCAVAASFGSDYYFPTQTSTDGPGQGGL EGEGNEDYHVGKHGNDDCHSFEKDC L199_001004 MEDADWWRQAIVYQIYPRSFADLNGDGIGDLQGITSRVPYLKEL GVDAVWLSPFYPSALKDGGYDVADYRNVDPKIGTLKEFDEMSRALKQAGIRVIVDIVP NHSSDDHQWFKDALKAGKGSTERDRYIFRDGLGADESEPPTDWQSIFGGPSWTPSGTG DGQWYFHWYDSSQPDFNWENADVREDFLATLKFWGDRGVSGFRIDVAHGLAKDMSEPL LNWNELKKLTERKLQNGNGSLKHPLLDREEVHEIYKDWRKLFDTYDPPLMAVAEAWVA PDQKGLYASSDGLGQAFSFDMLLCNFNIQEYRECIDRSIAEAKRHKSSTTWVLSNHDV IRHATRFGLPDVPNSNLRVAKNALNPFLADSFKSTKLDIESGLRRARAAILMILALPG STYIYQGEELGLQEVVDIEPSQRQDPAFHRTKGEEIGRDGCRVPLPWSSSSPNFGFGS DKPAHLPQPPWMKDYAVDIQSTDPESTLNLYKDALKLRKQLLGPEDEFEWVENRDEQV LHFRRSEGWEVVVNVGKDEVALPTGEVLLSSNGKLEEGSKGKIPGETTVWLKNV L199_001005 MAKTSKAFKKFASSGKLKDQIANRRSKQQSKRKQDDRKAQRQKQ RGNANESDLEGEGEDDEDDEREARKVGDAGVGGKAGGVAKTVDELFGKGGLDIEAGDE SELEELNAEDEDEDEDSEGEDGEEDLLDEQAMKKAMKDLQKNDPEFFKYLKENDEELL DFGKSKGKAKQDDEDEEMESDDEDVEDEDMEEEEEERKKISVNGKMLRGWQEGMLKQH SIRSLRKTLLAFRAAAHMNEDDGDQGSGLDTKYSIDSAQVFNKLVVTALKYTPVVVAH HFPYKTLANGRIKLQQPKTPNQSLNRLILSHFSTLLHLIKSLPTTPSSLSSGSTDEDA GSLLLVAINESTKLLPWIMGARKHLRAYLKVLLELWGSASDQVRIAAFLAVRKLFVIG DDAVKDLCLRNIYRSLLPPLRNTTPHTLPSLNLMKNTASELYQLSPSLSYQHAFGFIR MLAVHLRNVIRSSTSGGSGGNQEAFKNVYNWQFVHCIDFWSQVLAGSASIQTQKDNSG LESPLKPLIFPLTQISLGVIRLLPSSRYFPLRFHILQSLMRIIQKTGVYIPLAPFLLE ILDSSEFKRSNPKKVTLKPLDFEYIIRAPAAYPKTRIFQEGLGEELVFLLGEYHSLIS LNIAFPEIVLPVLITLKRHIKKSQAGSPKVVSQLKTLIDKLESTKTWIEQKRRNVSFA PRDRAEIDRFLENEVVESSPIGNWIRLQKKIREKRRAEIEKSFRERQGAGDDDDSE L199_001006 MRLTTLVFSLTTPLVSLALPVSEYVLHARDAGQNVSSNTPVLSQ QAEFSGDVTQCTGYNVDNTTPSSSGGLLIYLTLTNKCSAYGNDISYLTVSVEYETSSR LHVHIYDNDIHQYQVPRYVLPRPDGTTSEDKSDLKFEYNDDPFEFWITRKEDNAILFD TRSKNIPTYTESIQIEGAYSNYTVLPSHPLVFEDQYLQLSSALPDGANIYGLGEVIAG TGYRRNESATVQTFWTRDVGDPVDENMYGVHPMYMEVRWDEERKKLMSHGVFLLNSNG MDVILRDGVIEYRAIGGTFDFYFFSGPSPNDVASQYAQAVGPPQVMPEWSFGFHLCRW GYTSVNDTRTTVTRMREAGIPLEVQWNDIDWMRAYREFQFDQNYAPDEYKAFVDELHS MNQHYIPIIDAAIGYLYNDSDVFDVYSRGHELDVWMKNPDGTEYVGAVWPGFTVFPDW FNPKMQQVWTEAFYNLSQVVDFDGIWLDMNEPSSFVDGSATNSTIPIENTTVVPPNYT PQAPPVDFPEGYWPNISGYSGNITVNGTLTYGQNGTAPKNTALRRSYTAEIQQAKRNG GSGPSTPDLPDYIPDIPYVDEPPYPIRNQAGRLSAKTVSPNATHYRGLQEYNVHNLWG TMEELAMHDTLLELKPGKRPFMVARSTFAGIGRKTAHWLGDNYSTFAYMKRAIQGVLQ FNLFGIPMVGPDTCGFNGNTDEELCNRWMQLSAFFPFYRNHNTKLALSQEPYVWDSVR DASIKAINARYSLLPYWQTLFAKASEGGTPPIVPLFHEFQNPSYLSIDSQFLIGPSIL VTPVLQPNESTVYGVFPNDNGVFWVDWWTHSKVDNSNSDNISISLPLGEIGVHVRSGS VLLVYDQPEYTVKETRDGGYGVLVALDGKGYAEGDAKVDDGDSYPVNELTCLSFVASD GKLCSTPQGNYHIDGQVNTITIVGVWNKPSKFSLNGQDVDGSQIEYDDQVGRAKVSDV GGDLNQGWTLTWE L199_001007 MVPRLAIYGFSSTLLASGVVYSALNTRPNFYAAAVALGRSSGAL MVLANFALFNTILFGIGLKKIFFGQLRAIEYEHLFERLWIFLTESLLALTIFRDDFSA PFAFMYCLLLFLKCFHWITADRVDYMDQIPPPGPPTLFHVRITSIILLLAIFDFALVS YSIDAILSEGVSAMVLFASEFTILNASILGTAARYAVGLVDLRRARGRADAPPWEEKS MWLFYVDLTVDFMKLLTYLSFFLVILLHYGLPLHILRDVYMTLRSFISRCGDLIRYRR ATRDMDALYPDATEEEMERGGDRTCIICREEMIPRAVAEREGNTGSGEGGGPNETPKK LACGHIFHFHCLRSWLERQQSCPTCRRDVLHTPASAPGRAAAQRNAAGGNPPPAQPGA VPQQPQQQDRNNIQQAYNEYFQLPRMGWDNPVPQPTGLPQAGSQENTARREDSIDERL QRGIWGGPIIPGRFFPVPLGAAPRFQQSSPKAGPSSPFNPPLPQSSSSRVTQPADQNP PPTISRRESHLSVPATPQMSGSVTPFSSNPPVVFSPTGTARPIPQVERENDDEEVEEV VEVDEVAVRRKAAEAALKRFGGSTFHSASTGKEKGKGKDKQVPAEAQVGSQNENINLD DWETLPTVHPRLIPSSSDLLGNQHQQYHSFDNINNDAAVGNLEERIQVLRKVDETIWG LVGELTRLQSSWQAEREGIDSLPDKREASGPGPVPVGRPNIEVPDEDEQE L199_001008 MSNRLDQQADAHDEGVAGSSRMIPILGPPIDQPVISPRRLSRDE ALGNGPSVRDRLSEGLEAGPRDRKSEQPVSSNKQDDLEVRSDKGNNGAPQQRFLVMAE KQSSTSHLPSANGISPRRSPTIDHVSRSNPETHELQPLPSNHDIAISQSNDANALLNL PPPLPFHLSVEGLKIGVPDKKVIPWIPSFFRQKRPAAIGEDKPKKKWILKDVGCECRS GEVLAILGGSGSGKTTLLNAIAHRLSGLPTESGQVAYYAANSQISTSQTAVRGQKLGK SEVKRRIGFVRQQDYLVECLTVRETLTYAAKLRLPTSLSDEAITHIVDQTIDELGLRD AADTVVGGPLRKGISGGEKRRLSIGCVLVTLPSVLILDEPTSGLDAFTSYLLLLTLSH LARRGRTVILSIHAPRSDAFDIFDRIALLSKGEIVYSGLRSDCLGWFGSLGEHVEKGV NPLDFLIDVSTIDNRTPEAEETSRSRVSTLVNAWKSRSPNHFENRLSKSSIDSSISEV RHSQFNEIVESTGIENGGSSLDARDEKRPGLWKQTVVLTARAHRNVYRNVPQLVGFLV QAIVLGVIIGVTYYRLPETPTGIQSLKNLSFQLIPGVFYLQQVFWIYKFCTDLIIFDR EREDVTPYIISDFISYLLPSILSPTIYVVLVYFISRLRTEDLAARLFTSIASTILVQF ATQGLSLVSASLLRSFSAASLVGNAFNLFMIMSSGFIVTHVPAYVAWIRWLSPYFYSF RIIATTQFKDRVFDCPQDSAANLNQCDGNNVLNGLNFNASINIGAWFGGLIGVTVAEY ALACFILWIYTAGGVKHASEIESHNRGKGTDVMESHMTRDKIDVAVKHLTLTWERKGR GAVKDKSKIILNDVSVNFPVGEISAILGPSGAGKSTLLQLIAGRSLNPGPLSRFFHSG SLLFAGEVASQASQSNVAFVEQDDDWHLPSLTVRETLTYAAILRLPDKMPRKQKIARA ETVLRMLGLKDCADLPVGGQLLKGISGGEKRRLSLAVQMINDPAVLVVDEPTSGLDAS IALSVMQVLRDIAATGRTVIATIHQPRSDIWKLADNVTLLAKGGVVAFNGKRSEAVEY FGSIGHPMPSELFNPADHLLDLVSVDPRPQGYETSLSRVRNLTSRWSSHANKENGEES EQGKTSQVLSRGGGTTSMRVALPVVLERHWKNLWRRKDVFFNRLVQTPLLGGMFILFF QRLNHGPSGAQDRIGITIESTSAIAFVGLLNAMAIFPADRNLYLHEAQSSARYSPATF VITYTLVELGFELAGGFGYAAIMNIGVGMQTSVRIYFEFAITIWAMVNMGESFAMIFG SWIQTEGLTVTVVSTILSMIGQVSGVISLSVPTWLAGLAWATCVKAATRIQIINESVG LVFNCSQDEITSGACVAQSGEQLLALFGWNDLNTARYMGIMVAIAVAWRIVAWLNLAG RVGGLR L199_001009 MTDATKDPAAPPLAAYALWLVPTVPEQSEKFQNLITDFASLEQP SPVFSPHITLIHPIPLSTRLRDIHAGVRDAIKATSSKHSLQTLTVDLNPAQKGDKYYQ SVLAPVNLPNEALSSLREAVEDVFALKNLPEYFPHLSLFYGGVSPKRRDEIAKIANEK IGELGKVEIGEIAIVSCVGTAEKWEVVGREKLS L199_001010 MSSEGSKSAETHTNDRSRAGVSSETSKASHRAPEDISKDAHDST RTSNKGAPQQTHPTVEPISKPHQAHTRSTDWDDDPFFRDRFHTRFPGPRDDIFFGEPR PFGHSGYRSHPSQMSYTPGAGGDKHGSMKPFGPGAVGQSTRPLTTGEKVMRAICCPCT TLCCCCTTCVGGGIVAGAGAYLWKRDEQ L199_001011 MTSKQDNTLQAAAEDTISPASAASDAQSTEATRKDTRTLDDYLK IQQRDMTAPNRARSTWNQMTYSAPGQGTDTDTGEANRSSLNRCAHCSGSTASGGWSCI KHVGCACHGAALIIGACGIFVQNS L199_001012 MSQADTNIKDADTKATIQNWRENTTDPSEWTDTVHPNQGTTQRP PTEAAGASVHQTQYKSHSRPEYRAASTSAPRQDKSRSHSRTTIMGVPRGLFCCALVCG SCVSAVTKAGMVAVGCCCVGPCCWLDHFCGCGICEDCNPLG L199_001013 MAEPLVFKGTLAGHSGWITAIATSSENPDMILTASRDKTIIVWQ LTRDDGSFGFPKKILHGHNHFVSDVVISSDGQFALSSSWDHTLRLWDLNTGLTTRKFV GHTGDVLSVSFSADNRQIVSASRDRTIKLWNTLGECKFNITEDGHSEWVSCVRFSPNP VIPVIVSAGWDKTVKVWELSKCKLKTNHYGHTGYINTLAVSPDGSLAASGGKDGITML WDLNDGKHLYSLDAGDVVNALVFSPNRYWLCAATSSSIKIFDLESKSIVDDLRPDFDG LSDKARKPECTSLAWSADGQTLFAGFSDNLVRVWVVVV L199_001014 MSNFQLPQGFKPASGGPSGGNNGPSPEEREAAEARARQAEEMKR TMIAAMLEPAARERLSRISLTRPQLAAQVEDLLVRMGQQGQIRGQVTDEALKGLLEQV SNPPPSKNTTPAVSAGGRTKSLGGGITIQRKRDDSDSDEYDL L199_001015 MSSPPPSTSTSSTPIPGAALSSTAKPKRNRKKPAAKSDEAPVTA EEAVSSPAAQPQDEVTATVPGVNGSGSKEKGPVEEVIAKRIRQLTKKLQRFRGYASQP HETLNADQKAAISSLPTLENIYKELEDLSKQVEPVELEQAGKLRELKEQARQEAEGLV AGKITEFQTSLSTPLSVFLRLHRLLHPARPSDHEHLTFARLELPTNLQDEVQATDVLR VGRMYDDLLAGGDRGVEVIAGLVKGSTGDDEENDHIHHLLALLAASDSLPAENAAPEE VNLEEAQPAPEVEEPASKAPSINGEVNGDANEEEITTGPASVNGTTGAGQGALNFLQE DELAEEEEFEIVPSLRPDQTSGFQPPQEPVTTIPPPPIDAEPASQHPVNSSAPAPFTA SGKFDWAADEDLDEATEAAHIRQAFALPPSGSQTPAQQPEPQGKVEETTVPTEDEEPA LALIQENELANAHVSESAVAVPTAVESDAVPAPPAVEPKDIPVQGKGQRGHGVGNGRG GRGGRNASGRNQVQKAPVKPTIDEDGFQVVGRQMPPTSNRGRGKVNGSGRGDSGRGRG QSGRGRGGAGHRGANRPNGEGTNQNQNQQGGNRPPRQQRQPSQAQGQVKTPAAA L199_001016 MNRPNRPPALHIDNRGNFEKPPLGMDIPELIVDPLPTPDISSAR SELENISVEDWTNTPNQFPSFSGLPHSPKDTISRQPSEEILHDIISSTVPRGNVYIAG LPGSPKRSSPNHSVYPTGPNKKEPDEIYQPSIPPSSSRILVTEDGQTFRESVHREFNM KQSRPNTASSSFEESSANEMSRLLDSPPDSLIVNKELYAYSPGANEYKRSGRVQSPAG SPTSTISTSSKEDYFGTMPARASSSRLSRPRSFRQSDDRSLYRLNHAADSTQSLAEVL AQADSPGQQREGRGLGLRIDTSGTDYRDSFASYTDTIPKSPDSPIGGSSKNSPVMRHM YSAAGRIGSIETLQEDNESEGDDQPRNRDMNPSGAGSGSGSMAYTAEGAQSSTADEKK RKAFGRFWRDKVKSSVRSKRMRSGTPSPALSPTTGQTLSPLEYGYEQKYVQVPYMKPE DIYNMSVDEKKEFRRRLTLSIKSQISLSGAGAGAGAEPEGIPAHDSSSFQSRMTRRRS TIEGDQQSLDEPRSGTLRRQYSTMSTRSTRLFE L199_001017 MPPRRSTGSTTAPKRERSTSSSSLSDIPATKPKPTSKAKGKSNG DDSKVSVKPKTALADDAKAGDDDPAEVEPPKKKARVAKAKVWPPPELSPDLHPPRNGY PIFKLPTTTSAKNGALPPSTKEDRPMLLGAHVSIAGGPAGALLRAGVAGANGLALFMK SQRQWKSNPFEPEAIERFRSLMKSKEEGGLGYPPESILVHGSYLINLGNPDEAKWNNS YECFKDDIYRCHQLGIKLYNWHPGSTVGACTKEESFALIAKAMNRVHKEVPEVITVIE NMANAGSNIVGTAFSELAAIIALVEDKSRVRVCLDTCHLFAAGYDIRTPEAYADTMKR FDEEVGYGYLGGMHLNDSKADLAGNKDLHENIGLGKIGLTGFRCIMRDPLMSGIPLVL ETPAPEKALEVGDLAIWMREIKLLYEIQGIDDEQWEVKKDEIEARWRKERDSINPPKD KGPGPKAKAKSAPKGKKKAKKDESDEDDE L199_001018 MSTGRNYSTHGRTDHRDDPSRSRDTFIDPAAQDLRFRVSFSPSS STVNLHGGHASRTTLNEEHQWTGEDQECESSSCPEDDEQDSSPCHSEYSDEENSHLSQ SLAKRDQSLPHQPKPRNFTFSRNQSSSSDISHRPATSKAIARGDDSPTLPPNNPPILP VSISSLELALMSRSAGSTKYCRRARVRPIPQCSERGSSGTQQGSVPARSSKIYRHQRA DASDGELTEFSDGE L199_001019 MPASVASQSSLFSRGDIFANLPPGTYHTSDDTSDDDVMALDEAP EHLRKITNKDAPIVVDSSSDEEDPPTPTRAGPSTRKRRNQDSDDEQLVTVSQGRNSQR SPAKRRKSTTVPRSQRTPAAIQIDLPSSSPVAGPSTPRSYLAEDHLNHVLEILPDIDS EWALGHINQEMVLRKDDNPANRVVEIALEMEGGYPKLKETKKGKGKGKSPVVAGQREG YRNPIYRSDERIGIGYYQKGVSQLEEDFPLIPAHYVRNVFHTMQTLYVPAYFRLLEHS KLPAKPYVELKRGRNTGKGKYKAKQVERDDLTEEDQVQDVGSEEFEKEVKWLRRTLAT EQSERDAAEARRVAEEEALAGGAGIECGCCFCDILPRDMIQCAEGHLFCRECASKHAE TKLGEQSTSILCMDQSDCTSAFPESELTRCLSEKSLQLYHRLKQAKELEQAEIEGLES CPSCPYATIIDNPDEKLFRCMNEECGQVTCRGCRKKEHIPKTCAEVEADLKLNNRHTV EDAMSEASIRRCPTCLKPYIKDSGCNKISCGKCGTLSCYVCQKVITGYEHFDQVPRAS GQPRQSNKCILWDQNERQHDDQAVRAARDQAAARVLAAAQENGVDLNADDINVALPDA PLAMAAGAGPVPMARYVPPVGVAQRWIPAARGGNEAADQRFRNAWARMHDVAPRVNRL LELPRRPGPLYLPPFDNLPVVPNAGPHFNLNNVLPRIDQGLIDRAIAPLPHRRAPNRP ILPMDEPDIFNGDDWDDIDEDDQVDEESNSDSEEDEEAAADLQDERRIRNELRAREER GQINTERQEERRRGAEERAERARRRRRR L199_001020 MFLTRSEYDRGVNTFSPEGRLFQVEYAMEAIKLGSTTVGITTPE GVVLAVEKRVPSPLLESSSIEKIMEIDSHIGTAMSGLTADARTMVEHARVTSQMHNFT YDEKIGVESCTQAVCDLALRFGESVEDDDALMSRPFGVALLIAGIDEKGPQLYHTDPS GTFVRYDAKAIGSGSDAAQQSLQDAFHKQMTLMEAHSLALKVLKQVMEEKLDENNVQL AQVTKSKGFEILGENELKTVIETLAA L199_001021 MPSLDPSDLASLFSQPSSSTSLTSYLQSLSSASPLPEPEIKSYP DTIYHNYYPLGLSLAFHPAKGLDSIDIYNPSPHPQPKRANQKPAPVYSSPPEIILHFP TDSMSLPPKKEGEKPLSIPRQTTFKLLPNSTGRDLVSHLGEPTRKGSGGWTGLWLEWS SVELKGKEGEVKVGIMVELRDPGANELLTEEGRKKGMGGVWERASRWEWSNIKFFKVD Q L199_001022 MTLTSGDQSLQSSSIKSETPLSPVSPAAKSSNKFDIDSIIQTLG NAKQQLINLQTSSSASPTTTKNSRGREVKPLPLPKIDIPKRQKDGLAEKGIKVDDKYN DPKTDLILVSSDGTGFMVQSIYVRAASKILNDKCLALVSSLSPDSTIDFDDPSIERAT TIRFLLDFIHGDIPEPKHELLGVFRRAILLAQKYECKLVLSAMKTLAKTYHENGEIPF TVFVLGANLGDVQLCSNAIMDGDESPKRGTDNPPEWYDHDGDSDIDEGDLNEDDGEDG FEDDDDSTMDLTTWHTQDIQHVPAKYLAGLLRASRLRNQPGKDWYDVAHRFEELMSPI QKVDPSTKQKEKDMKHKGVVAREKIKDIKVKPVADGDTKKKIDAKELKSKK L199_001023 MATVDSLEGPNGKIENVKPLSSSSTAHRLASDHTQSPSEDAEKL DDRYNDPSADLKIVSSDVVVFKVRSIYLRAASKIFDDKITSVATTSHMTLKLEDQSIE RATALRSLLDFLHGRIGHLEYGNLGIFRRTILLAKKYDCQLVLTAMRSFARTLTEKSY SSYRFVLGSNLDDIQLCSRAISTAECEVWGKDDEGRDCPVEWYDYPLGELYSNDQHGT RYGECSLDPSTWDIEEIRQVPTRYLAGLLRATRVVYRKGGTWKAAAARFRKVMEPFDT DSEDNAVDEDGDEGMSS L199_001024 MELPSTVTSDLEFDPTYSDQGAEFTLVSSDSVAFKVHKYYLQAH STVFRDMISNCDLDSHLNEENHNRLEFTDPQIEHSTTLTIFLNLVYNRPLNLSRDSLG PFRNLIGFAKKYDCRMVLPTMKSLARQFLDQYISPHYVFIVAAELDDIDLASDCIIKG TMAESERESEGEVNLGISRGAGVLEVTSWPIWELHRVPISDLVGLMRTGRMCMVTARG GDGVQASQDFKKIMKACQGK L199_001025 MDQIYSDSDADLTLISSDNVAFRVYAFHLGTSPVLMDMIKVLPR CKSDIPFSDSQMEDSHTIRYLLNILHNNHSELDWNTLGFFRNTISFVKKYEMILVQSF LSVQLRRYLENGGAERYCFILAAELDDISIAAAIIEKGGRQIFVNNGDKYSSGWFDQG QIVERQRLIESGKWGFVDGCAIMNPTAWPLWELRRVPFEYVNSLGRTYQRFPFGHKTS DVQAAAQYFKQLMEDLKV L199_001026 MRIPQFLLSALTLTLALGGASGASIDKRDDFELRQLTDDNFRSE TARGVWLVEHFSPKCSHCRAFAPTWTQMAKDKQHLERLSGFHMAQVNCLAQGDLCNAN GIKFYPQLMLYVDGEAKPHYTGDRSYGDLERFINEKVAEYTQNHSVAGKDEQQDGSTG GRPNPDGQVIEIDRKQFEEYKEQGPVMVEFYAPWCGHCKKLRPTYEKLAEAMKGKLNI VAVDCEQHKGFCKNAGIQGYPTIRMYHHGTRTDHNGARSLDKLKAFAEKAVQVTTLQS IKFEEFDSIVKSDEAFFLYLQNYDTTVADVKSVKSALEPLLGAVPAYTSSDPQFYQQL SVANPPPTSVLFAFSSYSSRPVGSLSFPASEDSLKRFIQLHRFPTLVELTGSNYNAII NSDTHAMVVLGALHKGDEGQKEKEKLAEVAKAWKRGGRPFSQPVWFVWVDGEKWSGWL KQQYSIKKSQLPSAVVIDTHQNEYYDTTIEGTEITFDGASIFSVLEGVYQHFLRPKRI ESTFEWGSRSAAATLINFGQMSVDHPLLALVLLVGAVGLFVGLLQKCNGRDMKDNGTP VGGPRLD L199_001027 MTPAERLRQHQRSLQKAQRELDREKGKLEAQEKKTMADIKRNAK AGNMNACKILAKDLVRTRRYIQKFTQMRVQLQAVSLRMQTLRSNEQMATAMKGATRAM GQMNRSLNLPQIQKIMNDFEKESSTMDMKEEMMSDAVDDAMEDEDEGEGEEAESDKIL KEVLDEIGMNMNESLASAPTANPLANEPLQSSRVPVAEGLASMPSGGADTPKASGGGG GGGGGMSADEADLQRRLDALRRD L199_001028 MATISHTSPYYQRPSPYIRTSSQTPLTPSALSYTSYTTPSPQIP NFPTASTSNTPMKRPLPPDAPGHYPPPPERKFSTDSSHHQDSTGGQKKKRISLSCAQC AKRKQKCNREFPCNHCVARKVPELCVPYNPQANNNNSSGPDPHTAQRLDSIEAVLSVV VRHTGGIAQYDAIRDWISSSTFQKHLASAPSTPSSPHHFLSHSGSAGPSGSRPTPNGF EGNQAELERGASSDEDGLAKVGKGWLGELEGGLPETMNINDKVKMKLDIHGTPAENLQ RLITDCGVSPHKVAELVQELPPKHFADRIVDWFFDKLNFVRYPIDERSFRASYEDLYN RSTAVDPSNVRALPLVFIVLALAVRQAPDEWAGDEETRKLSSLRMYWSSRRSILIATA VQSESVELVITRLLSAMYLVLIHDRRLTECWSQLGASLRTAQAIGLHRDGTKLGLDPF QTEYRRRLWSYLYHADKLYSLVLGRPPSISDSYTDTQPPSNIDLTEYNPALGLPPPRP FNEPTPALFLILRKRLAGIIGKVVHHFQKLNEPAQYSDVERLQQDLDAFVDQLPPHFR MHDPDKSLDQVHFWLPVHRLMLLTEVLVTTIILHRPWLLRKLSSDRYAASRTACFEAA KLDFHIRQEFQRDVPDFRFHAITGQFKMFNSAMIAGISAIIDPRGADADQMRRILTTF LEQNPWHEVASKDATTRKEVQIIQTLSRRAAQIFEDSFGPGELNTHDKDSVALLLALR QSNDSSTHANAYPRGVQPREDAPTPGGPGNNQFSRPMAPPRTWGNIAGGGVQFAPVHH GGITQSPASTGSHEDDHSQKLLDHWINANTSMAVGSINGAVPAIDPIGGMGYLPIPSM STPTAAPGGLNNMSLGPGPGLAPTPGSMPGYNNQFVEDTPSSTVGFIGPPGEFGYPNQ FGLMGGVGDVVMGNAPLPGGIPIEPGAENSDEYWNTLIDGILGTTSNVQPNSAP L199_001029 MTSPSGEKKHAEPDPRDRPSLLQPPATIVSPPSPTDAKDFHPPT FSFSPQPAAATNSDTEDVIEYDSPEEEDEEEGPNTTLPTPAAKPKNRPRPSYQSLSPK PAAQPQISTQMSESPMKESTLQLPESPDPSASSSRPPSPSSPRYRPKGLHHRRTSSTH RVRETTDGTQTSTEDGTRMINQYKIGRSLGKGAYAKVELGVDVGTGQEYAIKEFSKSR LHYQALQEKHRQTSRGRIRRAQGPSGLPRETAIRRAGEEQMPEQEGNQPWGGTKTIEE DPLGLIRREIAVMKKLDHPNIIHLYEAISVPTADALFLVLEYLPGGTLMQVNVGEDDS NAKAPFELSQTREYFRQLCLGLEYLHANGVIHRDVKPDNVLLSANKELVKLCDFGVSE MFTAADDDRIKKSGGSPAFLSPESFTAHQQDLHGKAVDIWALGELRLKFYIADVIGVT LYCMLTGKLPFNVPTPMELFTAVREKDPNIPEDWESPLKDLVRRMLDKDPKKRIAMAD IREHSWVTEHGQEPMIETDTNLFDIGKRVEEPTQEELKNAIGSLRGIFTVIRAVQKMR RLQLHRRSQSGQGPPSPGSTNVSLASGSMDSYVSAELGTSATSVSDENEEARYRDIAG ETVMSPRSMSRASLASTERQTSNKLEKLTRLDTNTDKKTKSGDEREEADEKDKAGRKE KHKGKGESEEHGDNDREEDGADGEDEDEQVVMVESPISSDDEVRKTPVRGEHQ L199_001030 MADKKIASLQAQLQSSSIAFQKIEAELAGVIEARQRLDSQLSEN ELVLKEFNQLKSHNTVYKLVGPSLVPQDQNEAKVNVEKRLDFIKSEIKRVEAQLKESE EKAAKKKDEIMGLQQQFQALQGPNGPQQAVQA L199_001031 MARQDLNGPPKRAAPISASAFAFSFSTPKNKKPKVNHTNTITPL SSIPNPTQTPSNVKKEWSTPRPPTFTARQVSHTSIKALNVEQDEHQLLNGIPKPFETP LRGASTYKPLTAFTTPLTHQPKLGDPGPSSSSKVLRPLHERIGDVTPVKGKEKESEFE SKPRFALHETLLSGKTAGDILIKKKLTLKDEDEGLGVSPRGKRIAKWSGNGPPPPSVN LANLLSSSNASLHLFYTSMQHLLYPSQRGNTSLVRSRQTTSDNTNLTPLQHIENSASI RLKIVDPVQGPTHHSTMFWCEPIKWHNGSIPTRHIPVIFQPLPHECPKLGVDPRLLAM KMKDEAGKQWQAGVWAWAEVDLPLGVEKFRKGGDDQEGNDKEGEEDETMKPLSALIVS RYLIVEQPVV L199_001032 MFPAYGTMMSPYYAGGLGVPYMMGGYGGMGYGLGAGMYGMGMGM GYPYGMIATNPYAYSQYVSTYRP L199_001033 MSVTPDNLASRLAGLNTSESVTNGHSPSAPGSPSSENPRRGSVL DNGTNLGSALGRKSSVGGGARPGFGMTNAETERRGSAGSAGRISRRGSNIVMTPSGAQ AVYHTRTNDDVELPHAEKKTIADHLRKYESLLTLTPQRMRMIVHSFEETLDNGLQKDG QVVPMIPTFVFGWPSGKEVGEYLALDLGGTNLRVCLVTLQGQGKFQVTQTKYRLTEEQ KQEEGQKLLDFCAECLDSFIRDTLGRTEADGILPLGFTFSYPCSQDRIDHGVLIRWTK GFGAPNIEGRDVAAMFMDSLKRFNVAAELTALINDTTGTLIASNYVDPHTKIAVIFGT GCNAAYMENAGSIPKIDSIGLPKEQGMAINCEWGAFDSFEHQHLPRTKYDIIIDESSN KPGEQSFEKMIAGLYLGEIFRLIICELIDAGDLFLGQNTYKLEKAYAFDTAFLSLMES DITDELLTIIGVFTHFFGVETTLEERQFFKKLAVLIGTRSARLSACGIAAIVSKKGYL DEGCAVGADGSLYNKYPNFANRVHAALVDIFGEKGKNIVTHHAEDGSGVGSAIIAAMT KERKDRGFFVEY L199_001034 MGVITPIPNSKLPLTPPTTGMKALLHSITPSSLLSPTPNAIKPN AKAEDTDNWRNLPLILYHGSRQLSTGDAATETSSSQSIGQGGQKKEEALFFEKSVTPP REDTLMETVDTETDDEVVFVGNTVSVATTSRNASHAFTTSKLTHHHGELAFQHHQQVT LSGRSSRKRSEPEEEEEEEVSFIGRSYKTPRLEVRQHSTTGSREDTPLQTMPADGDSC SKCGSTCNDNSKYTRGKGTGRKVLTNKIRGNITALLMNPDQSLYDQLRSDPRVQDWKD IAQLVGAKREDYDRVRHAARWLQLHLPDTVAKGKL L199_001035 MQDQQVDQQQLSESSRSSLSSKSMFHSRAVIEAGDLVIVYMSRD NLTSIIITPGEEIHNKFGRYAHVDLIGQKFGTKILYLPDISYITMRLGVRVGGKVIEA GTGSGSMTHSLSRTVGSTGQVFSFEYHQPRYEKALEEFKSHGLENVRLQHRNVCKDGF NDASGVEAVFLDLPAPWEAIPHAMKTLRPDIITKICCFSPCLEQVLKTVSTLRSEGFS DIFTQEVLIRTHELVKESDPDHLASVSSIVDSLKAHEKRKVERRAVQMKTAREKARRQ KENRDGVSSSEHVEPGQKRKLEEDKAEGTPSADIDNEEKQQERIKEYSAWVEPHTQLK NVVLTKPTPEMKGHTSYLTFASLYPESIRNAIAAQESSKSAVVTPRLAELVAEKLAGR AGSQETEYGSDGLDEVMGTLTEEEMIALAGK L199_001036 MSSDLRMLLHALVNPTSNEGYVRDQQTLSELFKEPEFFVALQAL AADKSLQQQERLMASVITARELKTKWRSKAKPEVRERLFSFIEEGDISIARPQLGLLV AIARIEYPKTWQNLPQLLLEPLMMCLAHLDNLSSSSSSTSTILLNTLWTINALVKEWR TVKVSHGALVMQKFEDVFTDPVGRVILARLCQWQWSRSKGLQTQEAHHRINHLVHHSV HHAPIIQAHRLRLVTQPNSEKLIKSLTKHLRAIGKWWRVMIGLDPKGFCKIPGVTTGV GWWWGEVGGVVAGSDGAVANDVFNPHFILSAFHLLVDKLLPLTSTDLEALEDEPEEWL VGESFDEEAWAFEFRPCAERVLIALNNACRNVPREHKTSADRIAAPASDLPSILRREA VYCALGRLSRSVATYGGVDFNGLLTGIASWIGNGQPFADEDAAKLPIVWQMLLHLLSE RSEATDKAVQLSATIAIKECVDSKNSATLDGKRYVNDAIGVVIERVGDQILPYLPKLA QSVPGLWHSAGGLEGEWLFKASLVVLTTKLVSAAKATSGDLMELVIPLIEESLQPPAK DFFEDDGLILWQTALWNAASPYQPTKETGLIRLIPGLLSMLGENMDLLPKLLPLLDSY LLLDASGITQAYGEAITSTFAKALTTSKPNAEAVSRILVTVSLLIRTAPLAQLAPLLL HSGIFQHITTALEDDKASGLILAAYLEILSRIAILDPRIFLQLVAESARMQNRDGQKL LDEVLDALWRNFDYVDRFDGEFMNIFLDVLGEVQVAQDDPNSIETGLRPWQDEHSSQW SDIQYTPEGKRRVTLEDSDPAYSVPLKGYIVQILHQAHAVGLGPFWDKADEGTKRSLE KFLS L199_001037 MPNSATRPTRKDSVHLPPHPDRPFSPSPPRENRHSASRPPSLLF GAPPVGTVASSSNLNVEGLPSTPPRVSSIIPRSPSHDNVKNSPFFDRDSPARRRYESE SSPSTSQAAQGRPISIQSSLQRRDSESSTTSSASPPLGATSILSAIASSTPPRAQGTP SKSPVIYQGHVRISSDITNIRTPTLDAPDSPKSYQSGFSSSSSNCDSNTSFSKSLPRL HQPTVVRRTSGRGLAFLPPPAQALSHSTAIHHHPRSPPTSANHDGERTASVASLQHIQ HHAHMLNLGQPIGRPTHKNQDDKLISMKGSDDRPANHPTSYSEIPLPPSSLEETQILN EVEEDSPIEQEEEIVDPEPFHPLLTSDVSDADTLSSMTSDLHIADLSIPSQEDITRSV DDPFPVATPDIAERVLHDSTTSSDNGAENEPVQEMNNDKGLPTDENIPILHEVVSTQF SPADLDSPTSTPPQPDDQASKSIGADPPLPLVPYKVREGSQTYNTDVPSVPDRTRQIS LYASMNHPANPLPQLTAYRVELALSWGDAQILRWIPGRKYIPDWNLKVVGGNLVIDVR AMIKTTVSHIPIFWRFASWL L199_001038 MSRPNPNQNVSFRDDVHPSRRGQREDQPPRPPAKNLPSKNSLTT TVSVSSSGYNADALGYDNDGYVDAGAQNMQGFSASNGADMRRKKSLVRPERERIDPGH RLWHYREHATEDQVDVQPSSTGNQPYNRGANLRRGKSLLARDTDETDHQSGLNLFKRG ATIRRRASQATPRQPPTGAQSNRAGAGSNRESEEKLGCLGNFAPGPKDAWMVYCYLLT CLVPGFVLRNVFGKRTPEAQRAWREKMGIVGIVASLMAIVGFVTFGFTQTVCGNQALR IAGGKADNASLIINGYDYDLGNWKHPAAGTTFNGSTSPLYMDQYMAGGKDVSFMFQNV NRHCLGVITPASGTGIQYSGDQMAWYFPCNIRDQNGTSAANLTGYTDATNCHTSNKAK TEFEAMVPTAEIYYTWDRVQDESRNLAVYRSAVIDMNLLQWLATSQVSYPSFFDTIKE RNETFAGKDITAFVQRNGLEQYAQCLTDVIQVGFVDSISIGCVASDIVLYVSLVFILG AVMIKFGMAVVFGWFLSWRLGNFEGESYQQRMKRAAEIENWTDDIYKAAPGYLRPNAA NRSGPGGNSRKTVFLPTTSRFSKAEPMLVSSSRPSTTYGGLGESRRQAASVYGGKLAP GMQTTPPGSPMLRNSRSSTSLPFRDDSHQSLSDQSMNNNPTNCPFPLGNVVPQPAPDF EPFRFPLVHSICLVTAYSESIEGLRTTLDSLATTDYPNSHKLILVICDGMVRGSGSKQ YTPDIVLGMMKELVVPAEEVEAHSYVAIADGHKRHNMAKVYSGFYDYDSETVEPSKQQ RVPMVLVAKTGNPLEANDAKPGNRGKRDSQIVLMSFLQKVMFDERMTTFEYEFFNSIW RCTGIPPDRYETVLCVDADTKVFPDSLTRMNACMVNDHEIMGLCGETKIANKSETWVT MIQGDRGYWVPILANPDICEHYSENVVDTLHKKNLLLLGEDRYLSTLMLKTFPKRKMI FCPQAVCKTIVPDTFRILLSQRRRWINSTVHNLFELMLVRDLCGTFCFSMQFVVFMDL IGTLVLPAAISFTLYIIVIAIIPESVTGMPRPTVSLILLAFILGLPGVLIVITSRKVA YVGWMMMYLISLPIWNLVLPGYAYWHMDDFSWGETRKVVGETKEVSHGDKEGTFDSSH IVMKRWVEFERERRWRNGTESRDSQYYDVVQRASSPKGYSVVSTSETSYSGFGGTAEG NPLFRQSQSFQSMSQMIPNAEGSTASMSQLALPPARGASLGRDHSSGSAESSGSNTLE RANSDDPSYGHGYQAYPNESYQDDAEQPILPSNYLPHASSPDTASFSNVVYAEPERTR RPSQRGVSLVDTGPVRQVAPHDPVRRVSRHQRRSSSRNQLVSPISSTGGHGNLPPGAA PPSY L199_001039 MSTDKELYKLELLSLVSRVSQELFNHTKLQDKKLAEFVIASKTP EAFQTKLGEIGADFPEWFVKNLDRLIVTMHPKYKRKAAKAKAAAANGKSGSKPAILDE QKALQSRKFPGLSMPDQDWTSADKYLETRQTKEPSEEKLPESLTVDDTLAQLSEVASR RNRPSADDWMDGEPSSKRPRHMDNGRDNGYGGRVSEQPRGRPGLDERPVLYKIYNGSV SNVRDFGAFVSLEGVQGRTEGLIHVSAITSGRVTSASEFLKRGQRVKVKVMSIAGTKI GLSMRDVDQNTGADLSPHLRVKTAQEIAEEERRAATKNLTGSNSTPLIHVDERKGSSA KRLSSPERFEIKQLIASGVVDAADYPDLDEDLNPSASNPEIEEDIDIEVNEVEPTFLS GQTKVTLELSPVKIIKAPDGSMNRAALAGASLAKERRDLKRLEANEEADSESREINQP WLDPMANQNERQFASDIKGNLLGQKAAQLPAWKAANKVVSYGKITSLSIQEQRKSLPI YKLRDQLVQANQILVVVGDTGSGKTTQMAQYLAEEGFLEHGRLGCTQPRKVAAVSVAK RVSEEVGCRLGAEVGYTIRFEDMTSPETKIKYMTDGMLLRELLVDPDCSKYSVLMLDE AHERTIATDVLFGLLKKACKRRPDLKLICTSATLDAAKFATYFWGCPIFTIPGRTFPV ETLYTKEPEPDYLEASLITILQIHLMEPAGDILLFLTGQEEIDTACEVLYERVKALGP QVPELIILPVYAALPSEMQSRIFEPPPPGARKVVIATNIAETSITIDGIYYVIDPGFA KQNAYDPKLGMDSLIVTPISQAQARQRSGRAGRTGPGKCYRLYTEIAYRNEMLPNPIP EIQRTNLASTILTLKAMGINDLINFDFMDPPPAATMLTALEQLYALGALDDEGLLTRI GRKMADFPLDPPLSKMLIKSVDYGCSEEALTIVAMLQAGGQVYYRPKDKQAQADAKKA KFHQPEGDLLTLLAVYNGWKASKFSNPWCFENFIQTRAMKTAQDVRKQLIGIMDRYKH DLVSCGTNYNRVRMAICSGFFRNAAKKDPTEGYKTLVEGTPVSIHPSSALFQRPPEWC VYYELVLTAKEYMHQVTVIEPKWLSEVAPTFFRVADQNKISKRKASEKIEPLFDRFAT NKDDWRLSKQKRATRSSQTFG L199_001040 MRISASTTLIAASVFGPAAASSIDSRNNNGATVTPIRIIQGGYN SSYAVLEFNPFVKPNTLKVAARYNTSDGNLKAWLSRHPINSNIVIGCNDNAVPNAPGY LTSYSLDSKTGEMKYIDSVDTGGYPVPDYSVAAAHCAFFPSGKTAGVANCYTPVQGQI GVAGNDNTSQATSHPHMIATHPFLPVFYLPDLGEDKIHVYKIGANDSLSNLTSYQQPL GSGPRHLTFTSSGQYIQPIYPNNATFSLNISAAEVHVSNDGRFLYASNRNLTAAALID SGDASDTIAVWSIGTNGTITRLQSAMAYGARQIRAMELSPAGMTASFGGEDYVVAGGL RTDNTFVFKRDKLNGTLELVAEVGETYMPSTYLWLG L199_001041 MLLSTESRCLRKLITGRGGSRHTPAQSVLGAIQGIEVAGPSISK NTIIGITDAILIVLYLIQPLGISKISMVFAPIISVWFAFNAVFGIYNLVKYDATVFKA FYPYYAFDYLIRHKEEGWRHLGGVLLAFTGVEALFADLGAFSRKAIQLSWLGYVFPCL VLGYVGQAAFISAHPEAYSNPFFNAAPPGTLYPALVIAILAAIVASQAIITASFQLLA QVMKFSYFPQLKVVHTSKIYYGQLYVPLANWLLMVGTVLVASIYNNTTSLGNAYGVCV MFVTFFDTLMVTLVSIFVWRFNPFLVAFPWMIFTLLDATFLSSALTKVPDGAWFTLTL AAALACVFLLWRFGKERQWLAEAKDRFPTSHFIARSSDGHLRLTDAYSGVPLSTIQGL GIFFDKAGETTPIVFSQFVTKLTSIPEAIVFFHLRPLDRPTISPEDRYTVSRLGIPNC YRLVVRYGFNDEVVTPDLATIIFKQIRSFLIKQTTNNINAVPSPNLTSGKEDQHIKDE IMRLDRALAHKVLFFTGKGQMKVRQNGNWLRKLLLWAFLWIRDNTRNRIASLQLPVEE IVEVGFLKEI L199_001042 MAQDQEADIDNKDVVLAEPGSHPFPDADPSKIDAGYNLTGEAGV DHDALRIIKLPQSKWVRRYRGVVFQMIVLALLAFSGPSMSNAISGLGGGGLATPYTAN AASATQYSVSTVIAMLGGPLVGILGIPVCCLIGLYGVTSAFLYEKCLLYHWSAISLAL NINKSGGGGVSVETYLAFLGMECLGVPFAFLLSPTRKVIRDDGYGVPVLPKKSWKKEL KLLWEHHKQPRTLLLIPIFILTYFGDGVWGTYMSLHFSVRGRALSTLVNSLGAVVVNL AFSRILDTKRFGPRRKANLAFWTFTIPALAMLIWVMINLHWFGTKPASLRLDYGSTPG RFVSAWFPHFIYATMSWASQTLVYWVLGQFASDMTTNARTGGVFRSWETVGQAVSYGI NAHSGNKYVPFGIYFGLYVISMPLFWIVLQTLPTETKRPNIQDENGQIVEMPEPTK L199_001043 MSSNIKPEYSTPMFDYRSYEVKAENVDELVARITKALVELEDKE GIHKYTIEDGRVIDSTSWNFWEWQQAIGLYGLFNYYNLKISQDPSSPEGAKILKILKD WYTARAEEGGTTKNINSMAVLLTLASLMEVEYERGGIFTPKEKKLYEGWIDEWAEWAY HDCPRTEQGIFQHITFRLENKNQVWDDTLMMTVLPLAKIGKLLNRPQYIEEAKYQFIQ HAQYLMDATTGLWNHGFEFDGQGGGHEWGHIAWARGNCWITIAIPIFLDIIELSPSDS VYRVLKSVLQRQLDALVKLQDDETGLWRTLPLDETSYVETSASAGFVAGIFIGVRTGL LEEAKYLPTAVSGLKACIAQVKPNGEVQNVSKGTPISDDPDFYKDMIKMTVGFGQALP IMALGEWLRYEKAKSKKA L199_001044 MSHLNQPSTIAQDEKDIEINHVEAVNDARHALDDKYLSHAEDDA ARILRENGPVEYTIDEDKKVLRKLDIWVCIPMFIVYTLVHLDKSALSYAAVFDLKTEA HLVGKQYSWLGSVIYLMQLVVQPISAYALVKLPIAWWVVGNVLCWGISLCAMAACHNF AGLLATRALLGAFEATISPSFIAMTQLFWRRREQTYRNTAWLMSSSVAGIIGPILTYA IGHVKSGIQPWQGIFLFLGCITIALCPLIFWMMPNDIASAKFLTQKEKVIAVERLRDN NTGTKTSKWKWEQFRETLLDPKTWGWGLMLTCMAIPSSGIGTFGTLITKGFGFNSFDT ILFQIPPNVLTLIFLLAGTWTMNKIRLRFPVVAFFVLFPIAGAASLLYVPRTKPHALL GSYYVIMLYTPLQPLVYSWANMNSAGTTKQRTLGAILFIFQCAGNVAGPQVYLEDEAP IYRTGLYTDMSCWALLFILICSMAVYLKYLNRRQRKKRERMGRMVDIQDMSIMTLEEA AAYKRELAAAGEGHDINAHAFDDLTDLQNPEFHYIL L199_001045 MVYWVFGYGSLIFKPPPHAVEQRSGYVKGVVRRFAQSSIDHRGT PDAPGRVVTVIEAREWHKLEGVTIPQGSMLPEDYVWGMAYRIDPRYEEEVKAYMEHRE KNGYTCHSVPVYATTPDGEEEIIAVDSAEIWIGKLDNPAFVGHESIDELAKTIFQRHG PSGPNKEYLYKLAESVRHLYPHVRDDYLFGLEAAVRALEVKN L199_001046 MSSSLEGERQPLLNNGKDEIQQDWRHLSVARKRVIVATALLTGF LSALDLTIVATCIATISSELHSADQEAWIGTAYLWSSVTFTPLYGRLSDLIGRRAAYV QAVFIFTLGTLGCGVAPTFSFLIISRFIAGMGGGGIGTVSSVLMADIFTPAEQGFYQG LEFAVWGAGTGLGGPIGGALTQIFGWRAAFYAQVPIAAIALIAIPIAIPSNEKGHYDL KSLRLIDFGGAVALLVSIGSLLQLLSRAGGSQPIYRDPFSLAMAILAPVFFALFVYVE LRVAERPVLPLSLLKRRTPLCVGIIAGVIAVVNFNMTYHLPMVFEIVYQQPLAVAGAH LLPNSIAMSVSAPIMGYICQKTLHFKWLTVLNCAGPVISMALFVSLRESSSWAAEWLS VVPMGVGFSGLLTLTLVGMLNSVEIDEIATATGFVFVWRSLGQVFGVGLSSAVFQGSL ASQLNERFESPEVIDKLRHVFHAIDDLPEAWQRETARKGFGIALRNTFIFGLAGASLV FATSLFIPDERLKGPEEGPIFAVPGTDTHADLAEEER L199_001047 MPIAVQEQNPVETIKAALTKVSVTETNESFDLRSYSHFDATPSI GTEFRAYSPDGKPTLSIRDILGNTEREKALGRLVSERGVVFFRDAVISPDEQTKLVES LGRLGGKPSTSKLHVHPLTMGGSELGDEISMISNRYIFDNHFKRDDFTVLKRKFHADL WHSDITFEPVPSDYATLQIRKLPKVGGDTLWASAYEAYDRLSPVYKSLLEGLTATHVG QGFIDIAKKQNTTLREPRGAPENVGQHLSTIHPVVRTNPVTGWKGLFVNRGFTKRINE LTQHESDNLLNFLFEHISANHDLQVRFRWEENSLAIWDNRSTFHSATEDLDDVERVGT RSVSLGEKPYLDPKSTGRREALLKAQIQ L199_001048 MNFAYTNQARRSSKTKIPSSGKYVSYRTKGNPRPIVGLLDPSST TVTPLRFPDNSPVRSIHHLIEEWDKVHETLIPGTPVENVVDVVILAPLRGRDVICVGK NYKEHAEEFHSSGYDKSDTKAQPDFPVIFTKRASSIIGNGSHIYPHPNITNSVDYEGE LAIIIGRGGIGIKKEDAWAHVWGATIVNDVTARDRQRDHKQFYIGKSLDTFCPMGPWA VHASNLDFKNMHLTTKLNCNVVQSQNTSELIFDIPTLIETISMGTTLQPGDVIATGTP AGVCLSTGVFLKDGDVVEIEITGIGKLRNKVVDRGRAPDCAPVRQS L199_001049 MATAARFLSSARLARPSFAASVARQSRGYASAAGAGGPNYGLIF SLAGVTGLGAYAYLQYNPAAKAEISAKAHELEAKAEQKIKGVQSEVDAADQGTGSYAA LIKDSWTPFTLTKVAPYNHNSAIYTFSFGEDGKDKVSGAEVASALLVRSPQGEGEIKD DKGKPVIRPYTPVSSNEQKGSIDLLIKEYKDGKLTPFISSLQPGQQLLFKGPILKFKY EPNSFDRGLAVAGGSGITPMYQLVTHSLNIPDDKTKWTLVFSNVTENDILLRKEWDEL AKQHPDRLEVKYVLDKGPWGWKGETGFVTPAMISKLFPRSSDSNEKIRAFVCGPPPQV KSLAGPKDGPRQGELQGAFKELGYTADEVFKF L199_001050 MSPSSSKKDTKVVVVGGAGTIGSSTALHLIRNGYTPSNITVLDT YEIPSAQSAGNDLNKIMGIRLRNPADIQLSMEARDMWLNDEVFKPYFHNTGRLDCGHT PEEIESLKEAYETSINAGVGLEKTTEWLDSEEEILKKMPLLDREQIKGWKAVWSSDGG WLAAAKAINSIAEVLKKEGVKFGFGGAGSFKAPLFAEDGTTCVGVETVDGTRYHADKV VLAAGAWSPALVDLKDQCVSKAWVYAHMQLTPEEAAEFKDTPVIYNGDLGFFFEPNEY GIIKVCDEFPGFTRFKQHQPYGASSPKKVSVPRSHAKHPTDTIPLESEASIGRAVDAF LPRFKNKKRFNQALCWCTDTADANLLICEHPKWKNFILATGDSGHSFKLLPNIGKHVV ELIEGTLSKELKDSWKWRPGSGDALKSRRAAAARDLADLPGWNHDGETDSITASIDQL KLSKEETAKTGGGPVVEI L199_001051 MAELDAAPPNTRPGGNDDNLRIKEVGNSSHNAHNAEEFDIVAYQ NEVPLWKRIHQHSLTQMLLMSVQAFCGPAMADAIAGLGGGGLATPQTSNIATSINYAC LAITCLFGGPIVNRLGTKWALVLGAMSFPIRGASYYCNSKFGVQWFLIFGAFITGTGS GCWYVAESGTIMSIAPSGARGKYLALWIVARNLGQLIGGAINLSKNHKAGATGGVTPD TYIAFLIIESLAFPFAWLISPLHNVVRSDGTKVRVSEKLSTKAEFKLIKITMTSKLIL LSALWAVWSFFYSGTWSTYLGTYFSTRSRALSSLISPFFCIIGCFGLGFILDMKNVSQ RRRAQLGLFTVLILNMAVYIWTIIMQVKFNKHHPGKIDWVDKLYPSAFLPYFFVQTTG PLSQSYMYWLLSSFATDAQANVRNGAAFRCLEAVGQAISYGMNTQIKTSPLIGFCVTF GLMAAAMGPMILLVNSTPNEIPADALDKEEHEKEVQQLQQKDLAEKEEDVNYTVNRV L199_001052 MLNTTHPPILPPEILILIFQNLQEAGSMKTLSSFRQVSKSSYSL ASPILVSSSEVPVSHLINLYNHHRSFDTYETEAIETDLSFSSSGHVKRKLYDLSLIKN LTLVKYPMQGRLANITAPSTCIRTETDERTSNNLFKVTHTKLPLLPRLTELTFTSFIL QDIALHLSSKSCGGNGDSLPETVSFLVNLDHSANQLRTIRFRYPSRILDQRDMDLTGR LAESIRSLIALFPSIEEIYIENVHLQPLLLPAPRKGLNKVVVEFSRHAKCPDMGGVVF QQRKEQLREALVDLTHHTGAISDVLEEILESETTKYEFRDAIGTIPQWDGAMNTETQA KELEDMLKRSTEEEHTTKSAHWRINGLFDLVERVS L199_001053 MSRWGGGTGDYYLYVPPHPALHTELDLDIMPIAIPLDTSSIHPL PLKKVIPFPPRISKDPLPVDIIRRISSNLAQDGYLGSVARIHSCSKEVYTAITPILYE SLQIKKGSSDHLLSIVPLQVPAVNGVQHDPLVDDTFFTSSKSTTRRLAAFQHVRHLII HSLPSDILSNQFATSVESLSSSAFPKLYSVQFLSTATDEIRSWSPPTYDRPRNPPFLE TLVTTCQPSQLCISFPIVLSENWESHRDLTVSGQYQLISRIAKLHQDGLWKESLKVFN VHNIVHQVLPSLPNVINNYHFASHITGSIARPIIHPPGVQSVYIPGIQWSYRAWQIGK LIKNLFPSGTTNAPEIIQNTRWNFINVRGHILTKMFRDDDDESGVGHDEVMDLIRNAV RVGLPQDLPLREGFDKELVNDVLDAVAYADQGGCEVCHSSPRSVPQVSDIRDIS L199_001054 MSEEHSELHSSLSEIITGVESNVASASSSASSSSLNDDITPFPS PVLSATSLAGLSLSSDGELEPEVDDSKIVTEEDKQKALELKAEANKAFGAKDFNKSID LYTQAIALNPKDSTFWNNRAMSKAKMEEHGAAIADATKAIELNPNYSKAYFRRGQSYL AILRPTDAVPDFKKAISVDPTNKAAREQLQLTVKLIRKIEFEKAISVGETETASSKCL SLISSGACTLDLNTAKPDFPLPIIPNDPNGRYKPTEEFVKGMIESFKNGGKVPKRIAW EIILGCRSVIEKESTLVEISIPEGVTCDIIGDTHGQFFDVHNLLLMTTHPSESHYMIF NGDLVDRGSWSVEVALTVFAYKWLYPDYVFINRGNHETNDMNKVYGFEGECKAKLGEM TFKLFADVFTSLPLAVLVSATQSPASPKSEGSQPAILSEGKRRFFVCHGGPPVSKDGV TLDEVRKIDRFGRQPGQEGIMCEMLWTDPQEPNGRGPSKRGVGLGFGPDVTKRWCELN KITAVIRSHEVRADGYAIEHDGLCITVFSCPNYCDSTGNKAAYIRMQSNGSLSYHQFD AVPHPDVKPMAYSSGFNLGGF L199_001055 MQPNQWQQQQFSYPSFQQPQPTGAPQGQGVFGGNNVNPSFLSTQ PTGYPGQQQQLQPMQTGYQPPLPQRTGMMGGMGQGNTANNYSFLNQPPPSKSSFRSNN LTPQMTGYPGGGASGLMPQQTGYQPSGLMSQPTGASGLLSQPTGLMSQPTGMGMGMGR LQPQATGLPHDPRLQQMMQSFMPSNISQPFAPSGLPQFNQPQSQQPLTQSFQSLLQNP SVNTPKVPWTLSRQEKKDYDQIFRAWDTKGDGFISGEMAREVFGQSGLDQDSLMKIWN LSDKDNRGKLNLPEFHVAMGLIYRALNGNTIPDVLPEELVPASMRDIDTTVNFMKDLL KHEASSRSNASSPGYGGNSPAPTGASKDALMYKHSDERPSTYKPSSRHLDRKSVRYAG EDPDAELKDIRRKLENTSTFLEKSAEKSIEDEELEEETEALHYRVKRIQEDIEYTAKG RRTVEKDEERRKLERELLYLMHEKLPELERKQERRLEEKAMEERAGVRRRDERNQTHG RYDHRDRDRDRDDHDRYRGTFDRDRSRERDRYDDRDRYDRDRRDSRDRDYDRYDRDRR GSYERPRSPPSTRSPPPAPPPASVAAATSAPPPPPAPAQATAPSTKNMTPEERKAYIR EQAQKRINDRLRALGVESAPAEETVDTSVQDRLEKEKKEAEEKSKQAEAEQAVRDEAR RKRLAEAGGPAHEEEKPQTSAPPAPPSPAAPLKSAMKKPAAPLPRSKVAPPPPTSRHP STPAAPAPPPSAPKIVTPPEEDPEEVELRQREEAAAKARADRRARLEQLQREEEEERK QEEALLAARQNRSKAPSPAVPTPATESAPPPPPAPPAPPAPAPPSETSYNPFRKSGAA PGATPSPAAPAGGFNPFFKPPAAASSGTASPAVKSPEPAASAPPPPPPPAPPAPPAQP AKTAFRSPPSEPEWEDITEKEADSDDSSDDETFASRVGRQGLAQALFGNILGSGGSSS PTTSRPGSTTPAAPAAPPAPKAPSAALSNLGGGDPGQGRGALLSAIQGGARLKKTQTV DKSGPPGIGKVIGDSAPPSHINEQPRVVSPPPAPHPQKQDDEDDFKSSRNANRQSVDW YAGLAADSTHPAAQHAEESTLATTKEEEEPISNGGYEQVKETGGEADDLDEFDLSKTL RVRSLYEFAGTRDVDLSFKEDVVLEAHPAKDASSAWWYGTLVKEGSKGWFPKDYVEEL HVTRAKALFDYPAGEEDQLPFMEGDVLEIVDRSDQDWWKTEKAGVIFLVPANYLEIQG SESEAKAPTDPILDITRPPQESIIKDTDEVEQLPPRPTLSSAIHPRPTSMLSVTSSFG RSPSLMSDDDDGSSSSGDSVLSWWSSDEEGSDVDEAESEDEEKEAERKRREEERQKIL SAAGLQIKREPPPIPGPGSKVGRVASRRRPPPGVPGKKRRKAPAIPRPSPGQPSKSLP AIPTSESPITSPTVTTTSKEPQDAYARYEAFLAQSQRPANLRVDSSASGRARSQSLIQ KVTTGQSITPQLTGPNASASTTTSGNVPQPQSPTPSTTLSLSGSTSGGKISGFFNKLM TNANQPHHAKHPSISGPIISEMISRPDTPSSTNANNNGGGTPSEFGKTWGSLVEPSVL GTMSDRERKRQEAIFEFIATEAGYGRDLQLIVEVFYASLIPLLDEKSLETIFANIEDI LLFNTSFLSSLEDRQKSCRLYIDWIGDILEEHLANADVYTTYCVNQPAAIKLLQTLRE SNPDLASHLASLREKNPTVRGLDLSHFLLSPMQRITRYPLLIKQIIHYTDILDPSGER NSDLPRVENALRMVEHIVSQINESVREAEGEERLKALSENLWIGGEGRLDLTAPTALM GPRRLLKEGKVSKSKSGRRLTMILCNDIIVLLDGQDLYRMPLPLHEVQIRHGRDDTSF ILKIDARRGGDTIALRGVNARDVKEWIQLITKARSGALYARGGRK L199_001056 MFSSALIALSAVSLAQATAVLPRAASITEFSTSPVEFVYPSPRS GYLANNASAYPCGGSPLGERTSYPLSGGKVSLDVDTLASNVNLLYSNNSNPSTFHEFS TFANSILDVSDGGWCGNGPNFEEVGLAAGSNATLLVIYQLYGNKTYFYHCADISLVAV NSYTAPSDLTCSNSSAVLETASGEDSMVLKGSNFSAAQQGADGQTVSIDLAAATASPA ASASESGSAASAATSAASSAAASGSASSAAGITAKVGSMGLGAAVLGGLALLL L199_001057 MSNHSFGSPPPFGDANFAAMFGVPAAPAQDPPMLNYRGHALHHT FDLRSRMKISREKPMIGTFFAAFPHPALARMVGQAGYDYVLLDWEHTPFSRTAVVVRV PVLDHQYAAWDAGASGIIFPHISTVAQAQQAVEACRFPPIGKRSGPPNAMQFGYNDGA PNGGSVFEIWGKAAIILQIEDEEGAENADALAALEEVDGLMVGPGDLALSLGLSFGNM AQDERWLSSVGNILTAAQKHNKASLMPGMTSHQIGANLRNGVTMLCASNDSMIMAVGL RKELVSAHEQLDAWKKEKQTASK L199_001058 MMRNIVLLGLLSTLSLWSGEVVASTPTETQLAPRTFQSMGMTCF SECPATRGTATFSQKGYYQDRYSDYHFYTCVYSDNSNCWYNEDGSLNNDHTNGVNTTP CPAQAPSSGCGTPNNPTRAFKRSTIRKRTAAEKRLAARQYNPKKVRMRSEKFA L199_001059 MTKTRVLDSLGVQAAGLLCSYTIYGYLQEKIMSGTYGDHRFRST VILVAFNRIAGILVAIYLQSHVLKNSIKPRHPLYAYASVSLSNFLSTLCQYEALNYVN FTTQTLGKCSKMVPVLLLGVLVYGKRYRWRNYAAVLLATIGTSLYMFTTNIKSSETKF STARGISLLVGYLLFDALASTTEAKLFQKGHERSRQKDRSSRDLKGGSSKDEMVYDQM LWTNICSLVISGLVIMTDKKQSLQGFLQIALSPSGPRLLFDIALLSLSASMGLIFLLT IIATFGALTCSTLMTSRQFLSIVLNGIAFRNGKNVGSLGWIGIGYVASGVQLELSNKK KIQEEKNSPPLSPTKQGKTNTASIETIAQYLFLPIIGGIIAHLLAVTLIPNQVSSTTN SLELFPTSSSFFYGNQTYSRSDIQKLSISTSCPEPPKRSFYPLKIPRTALASFPRSGN TFTRELVEHSTGYYTVDVFCKSPHWYRSHQKYFEEACTHPENNFLVKTHAPEFTSYGD DNSEWSPQVEEFERFVQVVRNPLDSLWSFWHFLRNDLNHTSRSDGIDVLGLHHLSELD LMANAWVQHTDYWMNLDKPRVMIRYEDFRGPDQIQHLARVLKTLLPPGELPSIERLVC ADDDRKVAYQSRKAKAFYSWDHYEPEVRDHILDVVKGPWCRLGFEEMLREQRGIKGVK CG L199_001060 MASDDNHTDGSNYGYTPSPAWCLAFIVLFSVSAAVHSYQAFRYK YWIIYPTLVLGALVEVLGWSGRYWSSQNVTLLTPFLMQISTLIMAPVFFSAYDYVVLG MAINRLGPQYSLLRPKYYFATFITADIISLVLQAIGGGKASSSAAEGAPTQAATNIMV AGIIFQLVSMGIFIGLGVDFLLRATSEKPYAFRLRQIENNAVKKVGVSMNVNKTEDDL EAQKQNQHNEHEHIRAWWILLIGVGISSTMILIRGIYRSIELTQGWTGHLMTTEMYQN ILDALMMLIAVGIYNFIHPGYLLPRKKSWRGYH L199_001061 MPARQSIQSQSPVARPLKVASVHQPLTVIESAFNSTSPHFTSLR RTTRSTSTSNGESSKRSILDIGKYQYVPSTSPRKRAKVEIKVEDVEDTINSSTPKSNA RSIVKVETIDSKPSISPKTPKSTKKPLPQLSLTKPHPPPPRWEEQYRLIEEMRKNIVA PVDTMGCERPRTIVDKDPKTLRFHILISLMLSSQTKDPVTSAAVTTLHETLPGGLTAQ SLADASTELIQQCINKVGFWRRKADYIKDAAIHLLEKEDGDVPKTLEGLCELKGVGPK MAFLALQCAWDINAGIGVDVHVHRITNRLKWHKPPTTTPEGTRLNLQSWLPPQLHKPI NPMLVGFGQIICLPVGPRCDVCLLGQRKLCPSRITNVKSEGRKEVVFIKNEGQEAKIE IGYESQIPPVDAEAGLIRIKDEPSPPPSSFSVVGLRDGVKMERDQDLETIVQEPGMKK VDEVLEILDRVDGAKDVDGEPLGT L199_001062 MASLQAQPHASSFTTPPKKLNSCDACRSRKIRCDRIIPNEPSHV IDPTIPPPCRQCGNLGIECTTTWRPKRRGPPSEYLKKRLAATNGSINSIRSPSMSIEN LTSSPSSSHSHPHVIHANGHTHNQEDLDRTPKAIPHNTLSPISPQSIYVNYQEHQSQF GSLDNVIPRDVAGYIFSLFFDYVHPLTPCLHRPTFLMEVETRRDEKDPVFLALVLSVL ASAMVQIPKALLPPINNIPAREMADRCYQVSRLVSLNAYDPPTIEMVITRFLDAVYHL ISGRLGAQAASLGEAVQLGTVLGIHRESSYVGLDPISTEVRRRMFGLLFTSDKSAACL RNRPIFLATEECDTMMPREIDDDYITRTEYLEFPPHATSTIAGFKIIGDAIVLRRTVR REIPLTPETILAYLRRIETISDDLKAVLKDIPSALRLNETPAAFDIPETNQEWGQDIL AQLDVYFTQAYQTRSIAKESFLVLKGNVYVTHALARYVLLKCRDEIVEQANPDGGVTV SVTARMVSIFTNRQDKYEAIVLDLLKALHSIPIQNLAVNGPSLVNKVRYVAVALLDAL DARNPVSPEGAYLLDFLGILSEIEQTL L199_001063 MPPRGEGSTSLLRKRSGGKNKKYGPTAQPSPPSPGVALMDAPLE GDFEDGLDPRNPAALGLDKTFSGDPEADDYLHNPDVDEWGAMDDRGNVFTVRGAANVG CLVILMIGIIALFAGYPIADFYTQGSLDSKGGYNLGGINATGQIPSIRGFASMIDEDT PSDVYTRTGYDGEEYTLVFSDEFNKDGRTFFPGDDPFFTAPDIHYWATGDFEWYEPSA ATTRDGNLVLRMSQQSIHDLNFKSAMLQSWNQLCFQYSFYLEARISLPGNTRYGGFWP GLWLFGNLGRPGYGASTDGTWPYTYDSCDIGTLPNQTNVEGTGPEAALTSNNGQPISY LPGQRMSACTCPGEDHAGPDVSVGRGAPEIDVLEAQVDLHVGQGVLSQSFQVAPFDEG YQYKNTTDVAHQYDTDLTSFNTYTGGVYQEAVSSLTFVGTDIYQGTSREFGVQGVEMF TNPNDRSSGHITWVANGEKSWTMYPGAVGASKSMQIGPRILPEEPMALVINFGMSNNF QAVDFVNLDFPAEYLIDYIRIYQRPEGRIGCDPDDHPTADYIEKHIEAYTNPNMTIWS DTGFAMPKNRLKDQC L199_001064 MTKSAVIDPETILKQLTSEEKIALLSGDDMWHTVPVPRLGVPRV RCSDGPNGVRGTAWTNGAPASCFPSATGLGASMDVDLAHRIGEALGEECRARGVHCLL GPTTNCQRHPCGGRGFESFSEDPYLCGHVALAWVQGVQSKKVMTSESVDYLANEQEYL RRSNNSVIDERTMHEIYLEPFRIQNKARPSVFMSSYNRVNGLHVAEHPFLLRKILRND FGFKGMIMSDWSGTYSSSEAVKASLDLEMPGPALMRGSSLERDIIGGKLVPADIDECV LRVLHYVREAQQSGIDFEKEEDTINTPEVRALLREAADSAIVLLKNEHNVLPISGSDK RKIAVIGPNARTASYAGGGSANLAPTYLVTPLHAIAKHAKSIGVEVEYTIGSDSSRWT PLLTPYISHPEKGKAAGPGVQCDFYDQNPWENAVKPLFSKFNNSAFSYFIDGIPKEVP VRGYVSLKTVFTPDESGIKIIDNSTDQKEGLLFFNTGAEERTGEVEVEAGKSYDIEVR FSNFKQLNAMSPYTGRRGGIRIGGKKKRDPQAEIEKAVKLATESDVAIVCIGTNSEWE SEAYDREDMKLPPGTDDLVRAILAAKPEAIIVNQSGMPVEFPWLDSAPTVIQAFFGGN ECGTAIADAIFGTINPSGKLPVTWAKVVEDFPSHEDFGHPIDTVYSEGINVGYRYFDR KDHPKSTFPFGHGLSYTTFEFSDLTVKPEAFGVKATFTITNTGDLAGAEVAQLYVHDL APVVERPEHELAGLKKVFLQPGESKQVTLNLDHKAFSFYSVQEKSWIGRRGDYEIRVG TSSTKIHLSKPVHLARSFKWIGLQEPQLYEPNWL L199_001065 MAGTTKFSDLPNNTNAKWWKDHGMRISFVHIITLYCAVYSLGYD GSLLNGLQALTEWNKDFGAPTGTKLGLIAASYYLPKIPLTFVIAWMVDRYGRKIGLYV GAVFMFAGALLGGFCHSVSQLVGSRILLGVGTAAAQVTAAALVPELAHPRIRHYAGGF LNTTYYIGSIFSAWLTFAMVYYPGTSSWSWRVPTLVQGFGPLLLGVGAYFVPQSPRWL VKKGRVEEAHKILATYHANGKMDDELVLLEMREIKASVELEKVSEEASWLAWFQTKGN LRRFFVIIILGTATQWVGNGVVQYYLVPVLKTVGVTRPAQTTGVNGGLAIWNWFISMS GASLVERFGRRPLFLTSIIGMFASFVMILGLAGGYNSNHHSATGVAMIPFIFIFMGFY SLALTPLPMLYVPEICPLALRAKAAALLLLAQNCAQSFNQFANPVALSAISWKYYAVY VGVDLVYIALFWYMIRETKGLTTEEAAVVYDPDHVQEANMEAERRMHEEALKIAHSEH HDKKAIEEEEFKV L199_001066 MSSPSDIIARPSPAREGEDTDQYDLMVAVRNTEKRMKLAEGFVT LLQQDKGKSYIGLPFSCGYGFNIRLGYDVCLGPDCQLIDVCPIKIGNRTMLGGSVEES SFGPGVKIGNGVTIGAGSVVTKDTEDRVVAVGNPARPIKKILEDGTTVLIPKA L199_001067 MDIRNTLAFIAAAGAWKMSTSASSSTSTSNSSSTNEQPTQCPVT GHKTANGSGCPFSNGLPLPDPGHRCDPSSVEETKIPDVPPLTQKQREIIKSTAPILAE HGVTITTHFYKNMIRAHPELRDVFSESSQKLGHQPRALASAVYAYACNIDDLTPLLPV VDRIAYKHTSLHIVPEQYGIVGKHLIQAIVDILGDAVTPEIGDAWYNGYWNLAKIFIN REKEFYTTAVESGGWEGWRQFKVSKKVKESDEITSFYLIPVENSDNKPLPKYHPGQYL AVSLYIPALGHKQARQYSLSDKSNGQYFRISVKREDGVGIPTKENPQVPDHPGWISNL LHAKLHEGSLIDVASPYGDFFYEPSPTNPNAPLVLLSAGVGQTALLSILNSQLDNSNK PITYATVARNEKVHAFGDHIRDVAKEHSNVKYRVFYSSPSTIAVQGKDYDVRGRMDLG QIKDDLHLDDKTAEYYLCGPENFMVGKFEELKSLGVDEKRIHVELFAAGDLPPSAK L199_001068 MALLHPSLVALLAVCLMCCQISAFRVPISDTDSLREVCSGMYGG KEAYIQVTFDPTSSGQVALIVYEWKDVPYLGIETPESAGGGEERPKTYICTTSAIRSG LCQPSELGGFITSLPEGTGQDSTSIFTTPLKFSSSSFPSPTTDEDEDDISDEFPTTTS PTHAIASSTATVGADEKEAEAEAEAEAEEEAEELAEAIGDGWRRQSGDEILDGVAGTV GASSDDDLGVGDGTGFEETPTTGSSGSSGSASVPVYSAPITYSVPKTGYYCVGIVPVT LVNARSEIPIESRQTPSHAEYSGLVLFRNTFEGELPAVEYPKINFYMALSLVYFVLGC GWAYLCVQHLRELLPMQYYISGTIVFLVIEMLAQFAYYRYINKHGGGTTSIVFLFVIA ILNAARNSLSFFLLLIVSMGLSVVTQSLGSVMTRVRILTALHFIFGVMYSVGTVEVEL DSASLITVMLLIFPLALTLTAFLMWIIVSLNGTIMHLQARKQRYKLQMFQRLWRILVI SVIAVAAFFVVSSMSLSNRLDEDYAPNNWKYRWILLDGSLATIYLCAFAAIAWLWRPT RDNIQFSMSQELAQDEADADAEDYEIDSLEAGRGMGHRPLAQHDEDDDEHSGLVESRD GNVVFAMGEDSDEETENHQHRKKDGYRDSDDENDEGEDEDKKGKHD L199_001069 MSRYTEGSNPMPKQWDDFLWTFGSRGYDSLVKAERRILSNPYSR EFESPQSLINSDAFPSYHVHDQIAPASTFKGLAYLVNYARSERKLREHDMSNDTASKD REKKSVIQPPTKIGEAKCLPTVLYFGKLGKGVLRALTAQASIHPYADNLKDQLSVSNY MNQSSYPLSQYTQYLENYTLREISQYISSFAENQRKIQEPYRDILISMKDEISMEEHR ELFLQRFYKSTEGPRTLTRMQESVEKHPKDDMFRSYNSQGLRDRFGTYLTEDKEAAEH GMKYIFQLASKKREDQLISAIEKLARPDEDVGIQMESKKDEARRMEDFVSNFGTKQGM GKFGANFLTRLKTYIESPEFNPDDFTDKDSVAKLLKKDKWSYMQRIALHKPNLHPSVI DWAHWLGRTRMESVDQDQSAVLSGGEAVPSSSAATSQAGHKRTRQSATPPEDKRSTQK QNFGLSDFTPATSSTSIAGLQSLGDVDDEALERHRLMLFGIFAEDMDYLDEYEEETPR AGVIDSDLARFWRGDDEDCFHVDVDEDLEKITARSLFPLHH L199_001070 MAGQTMSEDELRKLRASIGSRKTSLACLQARSYRRGLNKIKAEP DAEAFSFLENVKNYLSTQKGKNPMKSIGRTMSKRCAGCSANKNTLGQRLSLDSLADWR PTTQNSERAALISGQASDSEAESEVRDGEVDYFDENDMRKHRLTLFGLSLAGREDQEV EIQASRNPHMQGRGRASKHPEENDTSEEAANSDDTFFLNTNVTDSVRPRAKLFLFRGL PVTPFTRDQMTDSVLKQN L199_001071 MSLQRKLRYAVLGIGRMGSRHAQNIAYRTPRAELVSICDPRPTS LTWAEKHLPPNIRVFQDSKTLFSEGGDEIDAVLIASETGSHASLAIEAMRTGKHVLLE KPISIDLETSKTVVEETKKFPALKVMVGFSRRFDESYRAVKEMIDQGKLGEPHLIKSA TNDQHDPSGFFVSYASASGGIFIDCGIHDIDLARWYLSPEGIRQVKRVFALGHNIQHP ELAKYDDVDNGVGVVEFENGKILVIHVNRTMKHGHDCFTEVFGKEGKVVVNGNPQLDR VEIRDTHGVRTESTPTYYERFREAFVNEVNEFTDVVLDNKPLPVSCEDALEATKIATA LTHSFKSGQPVYFSSDGEPILV L199_001072 MLLDDMGLTHHLMARANNHLSRISPYGYIPEKSAGIAYIVVFAV LALIHIGLGLKYRYWLVFITLIPGTILEVIGWSGRLWSAYNVWSITPFLMQIASLIIG PAFYSAWAYTILGYCITQLGHVYSLLSPKMYLAVFITADVISLVIQAIGGGRAAVAAQ NGTDTHKATKIMLAGILFQLGTMAIFVALASDFIIRVIFRKPYSHLKRKHHTISSTNE ESKSSGGNTSQPRQPPADDLTGAVRTDEVKKGEKLLAGVAFASAMIFVRGIYRSIELA QGWSGYLITHEPYFIYLDGLPMVLCLAAFAVAHPGWLLPRRRGWMRA L199_001073 MRRGVSTALNFIQQSKKRLAAHRLGNLLKRNPPGALGWAGKRRV QHSRIFDLQQAWCARFGKLTDNLRENPLRQHASVLPGMTPITPQTSSNPEGWVLK L199_001074 MSNQAEAIQDLQAAPVPIVGMPATNLSEKEKHNPDATALTTVGP ADEGLFGADHPTEEELSTLRKVPATMPWVGIAMCLIEFAERASYYGSKGPFNNFINNP LPDGGNGAGAVAKGAAGLNQSAGALGLGSKTTSALTNLFTFLAYVIPIWGGIVADTKW GRFKAICVGTAVGAIAHIILVVPAIPSVITHPNGALGSFIVSIIILAFAAGFIKPSLG PLLCDQIPNRHPVIKTLKSGERVIIDPGVTVERWLLIFYACINIGGFFAVATSYAERL VGFWLAYLLPGIVYMLMPIVLVLAGRKLYKAPPQGSVVVEAWRVVKLMMSSGGWKKMF SGKDEFWNRAKPSHIAQSEGKVGSKVTWDDRFVDEVRQSLNACAVFLIIPIYVLADGG LGNQMNDMSVAMTLNGVPNDLISNWNPLAIIVFSPILTFLIYPWLARIGFPLKPMTRM FIGFMLGALTCVLSAIIQWRIYKTSPCGYYATTCDEVSPVSLWWQVPLYTFPAIGELF VFVTSYEIAYTRSPARMKGLVYSISLFNQAIASALSLALSDVIVDPNLIWPWVALAIA CFLSAFLFPTYFKHLDDPVDDFADADRQAGIVHQPVLLSRESAQQRTENEKDIIA L199_001075 MGPVPKWLWVLVILNIILWSAARRKSSKDTTTIVWSKSTQIDPF KHTYSYQGNNLVNTTALFDRQTLDPIIKSLLRTSFAHNSYESKVIPFYRKKTGSFKSE DVTLITWITSDRLGRLVRLAEKRKAPISVSYYIPLRDKLATRDLALLDKLFKTHPALS QNVDVHLVTSSEILQPNTWRTVAHTFSQTDWVMLWDADFEACTDYQDGLEEFRRNVKD KRWLGRLDHGMAALIIPSYEWTDPSYARGRDLCPKDKRELSTLYHDLTLDAFETHNPI LSHATEYNRAVDAGFTDYYEVTEFEFGYEVYAIFRKDANVWYDQRLVGYGFDRGAFTA QMYLSGMDLYVLPGQYAVHEEHPSYTESMTRDSSGMTVSWMTFQLDLCHSIFQRLATR GELHGQLGERSISHCADLDLPEMKNDLDRLLMQSRNMGAKRM L199_001076 MTDQLMSKEDLSAWRSKLIDKRTTLGRQLSTRLDRIERQIVIQP RAEQFSSAGNISDYLRKQNGTDPVPIAKWWRDNYENDDERSRDVIQGSFDYLSKYAEY CRSNDQVSKELNSHIWHSETMRLLGSREKFVASFGAAGHRFMNQVESAIVLKPNDRSF RKVDHLTQNPSYSEMYESFRSRNPELALEGAKYLIDFADRERRSRDSARLWAKLSKWK KPRMDDKPAVDRDSNANEVDFGINSIPENLGHAGEELFQQLTADVSINPFSDQFRDQD TLRGFLSRPVPEEMSYVQEVDPNVTAQIQGYISALARGQRDIQGQMIRGLSMMSEQGT GQHPTDSLGLTSPQIAFGQGIAGLRSGEMSSKSRGTEPVPEIIPELEREPPVHDQEEH VKSFEEEFGSNHTGMGRYGREYLERLQKYMESDKFQANKFTDKDTVIGNFFSLAKWSN YYKVVKDKKPEFTGQLVDYTFELAQKRIQRDQARNDTLLADLQSSSKEQDEDNFSADL LKWSKFQADYRDTKTGLGDKGAEQLRVLRNYIIKSGSDRVKYTTEEGVRSILTQPRWH AYPKLEKTDPERAKQLLKFGSWFSRQLISGREAKSLTLSVKDIEMMEARLANQPDSRG GTSSDRKRQRETTPSSESASSPGHRVTERKTPDRDSQSGFSESQSVADGLHRFDEEQM RIHRLTLFGLHPSLESEDDPAVEGSSAKEQETASEEDPDPDDYHPLDRQRERIARNML FSDVPQTPFFDD L199_001077 MPPKLSDDIVLLIGQQLQSLNYHRTLSNLSLSCKSNHQLLTPLV YRHVILTDHSLPKLFTRIIDIPNGEKHAFMQPIKEEDDEEDGTPSSSLTPTRRLRVQL GMVFKMTVDTNDEQFPYEILTTIAKCLVFYFDDMLFPRTSRLVFTARHGTSGRIGLLS SDKDPYHLLRNFLPVACRPKYICCSFNSTTPACLNDDLVPYFTIMDEDGEVVNIHQAR ALCIPPRLFKSRISYSKAECPRGEDCTLQGHPEGCEKAEMRYRLTEILVGSAILTHTA STNSLEDSSTGAEDRRSDQNVTIVERTDQLGFRYHQMYKEMIEDVKKSTNTNSPFSPA IMQFLHSVAVNGGVMTGFGPNGPVVTPIGGFTNNGGNGNSNVGGSVNNNSGNNNNGNT TASSTSNGNTHANTASHSSTPNALASTHQNPSFIPGGAGLSLGLPNFTSMLNGNNNNG NNANTSNDNGTYSGVNTAGSQQNMANGNPAIPVNMSSMFNAFTSLPSNSSGPANGTGT ASNSAGGNTGHRSQPGVPNISFAPVPTEMTPQDSAKLPSKANIRFMVGEAAERQPVCE ACGGELLYVVRWM L199_001078 MSGLKAHFNENPVTPPVERVGDPIDHFGGTYEPPKEEHNVPAEP IPFSRPSTPTPAHDQLAFVGLGEMGKRMASNLAKHLASEGQPPLMVYNRKEDGTQKFL KYATEHEVPESSYKVVTDLEEIGRTADLVVTSLAGDEAVEAVYAQLFQGQETQKGTGD GIKPGGRGRTTIFVDTSTIYPTTAGKLEDLARSAPHRVFLSCPVFGVPRAAETADLIL AISGDYFAKKHAAHALVPAIGRKVMDLGSNVERAMSFKLVGNALELGFIELLAECFTL CDQSGVGSDKLVELIKDQHKSPALIRYADRITKNRFNSEGGFNLGGGITDARNIRQLA ESHNVPMPTMDVAQQHMLSARAHGGDTMDWTALVGGQRIAAGLKPFAGRVRLEKYEE L199_001079 MSAPTRAAPPPPPVANGDEDQGASANERLLAAAKHDNEEMFANA LSEVTDVNYVDGLGNTALHYAIIHASTSILEPLLEHETCDVDIRNRLQGDTPLHIAVR NRWEDHEGLRLFLVGHLLEAGADTKIKNRYNQKPIDLLPPPSNDPESDDEKIRAAIRR TEAEEMIADKDDIVEDDDDIVDPNDVASDSD L199_001080 MYKNSSSKSTFSTTIILCLTILLLILVSQLAWIERKIDNLSEIS CSCLNNSSNNFSRQPTTPLPFDRKTGTHIATGLRSVFQNFKIVVSNSKDKILKNDKDK SQTESEPIVISARHELIFNEDQSSLINKSPKPVRNLYISTFLSIHHNPNNLSPAIASL PKDIKWVTLHKTLDLSLTLKTPEVGRQLLSNLHPVDRYGMKHQFELFNLAAQFDDVYT ASRVIASIRGYDSDWASWNSTFWRLRGWDWDEKAMKGLPSNWIWAYMKAHQETAKPIV KLGTVDREREIYWLAVSARFMSNLTPVS L199_001081 MPGSYTSKEGVSVPYAAGFDGGQGDFVVISSDKKAFCVESSKLA EASKVFRYMLEVGDSKQQKELKLQNSSEALHIFLSALVDRDVNLQYVEWPRIKEAGEL FLRFEACHHGPELLKSWIRAGNHRVDNFEMFVLASKFDDVATGFW L199_001082 MSHKPSTHPSTIMICIAFLLSLLYIPYLVWVYFKINGFSKLVLD GPPEIVPTIGHPLRFVDQSPVQPSTTMTVLDDKIMEDDYEFPQASFEVPGPAQVTHQS DGDEGQAARTQTAMMTYSNAARRLYNLAHDSPDTFKLPNKIKWDTFKGSLNLSIGVQT PETGQLLLRSLSPIDTYGLHHSFELMRYAAYLDDVFAGCRIMGNMGRYDENQVKEASS FWNTKFYNKDTMLALPEKWAWDYANALHACVYANSCQRKFWRCVSGAFMESSAPVSHK IT L199_001083 MQPVHLVILIHGLYGSSLNLAAVKEELQSLSSQQIPSSPASSRS TERSLETVVYLPEGIKGARTWDGIDVCAYRIVEEIDREIERLEDQGKDVVGFSVMGYS LGGLISRYVIGVLHSRQPSFFSRHKPISFSTAATPHLGVLRYGTLTNTVVHTVGRQLF SRSGRQIYCLDKEPERAGRGLLELMADPDHVFIQALKIFPKVMIIANGCEDQTVPYPT ASISSSDPFSDSSILDIEVDDDHIVRSWKRIELPDPDDLPVNHSMDVDDDGEEVQIHI KVMKSPVNRIPTEWKGRRRQPLPPPFMVFPWPINYLLFLIFPLLLPFVLVYLAGAITL HNFHSRKRIQTHRNLLDRQPLLVSSSSSLANAIENATTELRDTLSDSVRPSTSIDGIS TPPLSEPVEHSKTAPLLLTPAQKVMIRNLNEAIPHAERVIAWFPWAYNSHAMLICRDT KRFPWQEDGRGVVRRWTNFAYQAGIEQLGEDRKDNDSTSMTVHD L199_001084 MMNTMRPASRLVTPRVLPRRAIQIPKRPFSSGSATGEARSRARF LQIGSAVAASMAIGYALTSPIRLEEAADDPAPSRDAAVGRALIPFSEVNKHNTPEDCW VVIDGKVYDLTEFAQIHPGGAGHIHRVAGRDASAIFNPIHPPGTIENGLDEEAFMGLV DPMTLPQTVNKVASGEKEERKIELAEIIGLPDFDEAARRILTGKAWAYMSSGATDQYT LDLNRRAFNSILFRPRIMIDVDIADTRTTMLGQETSLPIFISPAGMAKLAHPEGECLL AKGAGQCDIIQMISTNASAPLPDIINSRTSPTQPFFMQLYVDRNRDKSEALIRKINDL GLKAIFVTVDAAAPGKREADERSRAEVEVASGISGGKISSDNKGGGIGRSVGGFIDPK LNWNDIAWLRKHTKLPIGLKGVQTVEDAMKAAELGVDAIYLSNHGGRALDGSPPAMYT LLEINKICPELLKKCEVYLDGGCRRGTDVVKALCLGAKGVGMGRPFLYSLTYGEEGVV HAIEIMRDEIQTTLRLLGVNRLDQLGPHLLNTRALDNLVFDRPVFGPGEKPL L199_001085 MSRESVLLFGLGGIGGIYACILQLSGKCDVHVVARSNYAAVKEK GFKLISPKFGNHDGLKFAGVWKSTEEAAASGTKFSYVLCANKALLDAKPSLSDHLRPI ITPSLTSIVLLQNGVGAEEPLHQSFPDSTIISAVVWTGGKALPTSDGVEQFNREGLTI GVDYRENGAKKEEEDAKLEKLVGWLKAGKGDCTVTEDIQSERWVKVIWNCCWNSLTTA TRLKTGPFFDSSSQALPLCYTVMREVEAVAKAKGLKIEDGTVDKLIKQCTDVAYPGLP SSMMADNFAGRPMEVEVILGTPVREGQRLGVPVPTLTAIYTIVKALDYGNQNPEAAKA L199_001086 MALSFILRGEDQQTPMIAPDSNAPSPAVLSLSGSPRIHNNNPNI EGQTFSSLDPPSPDSNDKSRRYSSGGPDPEKIDHALKKERFNMDHPLYHDLAPEDSYK DGVYWADLPGSERRSWVHTQNHTEVMREVRHVWSMFKKDPLSPLSVYTKKYVMGGFGL FTEGYTLFSIGNLGALYKAVWPLCWKTHEVCDSNWVAAVDYLQIIGIILGQILVGIEG DWIGRKAGLVQDALVMTIGLVMLTASWGTSLEGWVICYGFSQFFYGIGVGGEYPMTST TAMESKSVAGSQKDDKLHRGRNVVLAFLMQGWGQLFNQGILIILLLIFHHSANPPYST VSAQWTFRVSFGIMAVMTLWLAYFRFYKKKYSSAALKKSKKNSRVNQSGYDLHSLKLV STHFAGRLIGTTMGWLFNDFLFYGNKLFASTFINIISPSSVGNVVTTWNWNLVNIGVS LVGYYLAALFIDHKFYGRKRMQIIGFLGDGVLFLIAAIWYKELSTPQHIRGFQTIYYL SSFFQQFGPNCTTFLLAAEVFPVSVRATAHGLSAASGKVGALLPAVIYNYVDTRTRFW IVWPFGIAGVIVTLLFIPDTTGLDLREQDRYWAFVREGRANEYHGIAVHPRHLSVWER YVLKRHLQYDAEKDRHQRVKELKMLWEQKKKAAIEEQEHEHEHEEEGELSHRAFHHFN TIHEKQTIPSSSSTIAE L199_001087 MSNLYQNTTYVLQTTYNSIHHHFSTGIQIIRRNVRPFLILQAGY WSLALMGVAITYRYPEIQQKVLDSTNKDLNRYQVGRWVKEAYDNGHVLKAAGWTFLIN LTVGSFGCITLPSIMMPTSGILMAGVRAMTWGLIFSPIAGKKDALTLPHFITMLIEGQ PYILAALGDWFLIRRFLGQFGWEKVDGEGQGQIRLSDDDKDKDRKGKRTRTTWSWIPI SWPGYGQGLKDVLSLYGPIAGTLAIAAIWEGYEVIHFKKGFW L199_001088 MSGLALPTASSSSSSAINKASEPLRDDERLDGEGEVLNRVPNKA ISSVPEITSVQGLVPTLQNIVATVNLECRLDLKTIALHARNAEYNPKRFAAVVMRIRD PKTTALIFASGKMVVTGAKSEDDSRLASRKYARIIQKLGFDAKFAEFKIQNIVGSCDV KFPIRLEGLAFSHGAFSSYEPELFPGLIYRMMKPKVVLLIFVSGKIVLTGAKVREEIY MAFNQIYSVLVEFRKEA L199_001089 MPPKFDPSEVKIIYLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKATSDWKGLRVTCQLTIQNRQATVSVVPSASSLVIKALKEPPRDRKKEKNIKHSG NVPLDQIYDIARKMAHKSFAKSLTGGVLEILGTAQSVGCTVDGKPPHDIIDAIHDGEI VVPDE L199_001090 MGAYKYLAELYTKKQSDVLQFVSRVRCWEYRQLAVIHRASRPSR PDKARRLGYKAKQGYLIYRVRVRKGNRKKPVPKGATYGKPVRQGVNHLKYQRGLRSTA EERVGRRCGNLRVLNSYWVNQDGVYKYYEVILVDPSHKAIRRDARINWIVNPVHKHRE SRGLTAEGKKNRGLGKGSKHNHQPGRSTWKKHNTLSLRRYR L199_001091 MTFGKRSILALRRGISLSSRPSTSPIVRSSRFIRYNSSSSIPPQ APLPRSTNLSTAWYALSLALFAGAGYLIGNVGVLPPSTSLVDSSFAIAHQLANQPKYG SHKDYQDAIKELTDYFKAKGKEDKVSTDDDDLRSHGISDWSYHPAKKPTVVVWVECTE EVQHVVKIAQKYKVPITPFSGGTSLEGHFSSPFGGISLDVSYMDKILRVSESDGDVVV QPGVKWEDLNAYLAKEGVPLFFPLDPGPGATIGGMVGTGCSGTNAVRYGTAKAEWFLN LTVVLPSGEVIKTRQRARKSSAGWDTTKLFVGAEGTLGIVTEATLRLAPLLPTKCAVV NFPGVEEAVRAATEVVNAGFPVQCVEYLDSRTIDAINKGGMVKKAYPTADTLFFKFQG SDSAMSEVSKNVLSVVKKHGGKNFEFSKNDEEARSLWEGRKAALWSVLALKDGAKVWT TDVCVPISRLPRLVRETAEDFEKRGITACHFGHVGDGNVHSLALFTNDEELAVVEHAV HDMVERAIRLDGTCTGEHGVGVGKIGYLPLELGDGTVNLMETVKRTLDPHNLLNPGKI YPNIKPQHQ L199_001092 MKGLTANEVTFDPSNRPDNHSLELAREYEQSLQRDMERSLVNVS QVYPKHLDPSNPEHFIELTKEGEKSWAETCKSYIETYGSGSDSRFRNTYKSVFDCKER SQFSDSGRIGWMRYEREVERMKESRSEEQRP L199_001093 MNDTSPNAVASSSRHTLPSIPLPDRPSPSTRPSSRSLRRRLNNR TIYFLLALLFPVLSAANPLPRSKQRHRSTLSIPPCDEPQLDQVTSHFNQSIHLSSAGH WVLSHLSRRDEQPILAIANFDAISETWKLPEGYVELRQHFHAGFIVLSYAIAFVGSLC TLELLIRRTTNSGWRNQLLLASAGFCFGAVSTFAMHFVFNNALSLHHPMMDEKSYPAL YLSYDPGFTILSLVVSCLAMTTAFFVMGTQLRDWLVWPGQRKDKLDGRRGSPSKIEAA DDYGKWKKSHKKVLRRGTLGVGALFAHASHAAKWSLMDLEGLESGGRRNSKGKGEGKH TNWKDEAQGMYTPVEGETERMFGDDDALQELDFRLGKDAVRQELERRAGASTPTSTRA SQQGSIVTSHHSITPLPVIYAPSSRRSSVASSQPIPLPSPDVFTPGFNFPPKIEPEYT SSTNLISPPPGGIGRTPSPTFGETEPIYQPERRRASLPANVMVTHRDRPSWGGPSTTL ARIQSLPEGDVEPSSSGSVGSIISSNGEKKSHKSASPPTITARLSSYTGKSENTVDRN SRRKVRLVARSTRLTRLGMFLGFDVVTPTEIFKIFVTGTIAGFGVVGMHYIGQASITG LPYIAYHPAYVVGSVIIACGAVIIALYIMFIMLRPKLKHTWFSKICVALILAIAVTCM HFCGMMGTTYAWPVSRGISKHNKLTGTNVVITGIVAALAFSACIACAVFFLLHSLNIR RERARRRRVVVAAVLLDDRDRVLVNSIDGMLPMGDIASLTGGDLPDTKKSYIQSVSSD STVLGMDLTTGHDAFVSALKLSWTWKNPSLASASATATSTTTDARSQANESILQDTFA DIRRGSMLTTNTTTGTTGSRPPVSVTKFLERFTISSCQLAVRLLGQTDGISRLGVLYD QILTTGWVKLNNSNDTVSKGQLIFLVRRVASAAERADLEARHFIFADSQAVAIALHKT LSVPFDHTMPLLDDMRTFCDSTLQSRLQPGKLYAGVAVVQATPFDGLRILLEKDTRSQ LPMREVCALGVPNNDENELSGTVEEIGEALALLEGMTILSIMTRNMTPDTNGLLSKRL TALMVELERAIVPMLDGILTSEDMSHILPRLTLHPMLIPLTPGDSKRTISSYIPPYAI IFYANYDAAVNTFTDKWLPFSLFRAQNACVMGPKIAAAAKMDQLYTAHTSSNDTPLPG GRRPSKVQFDFPDKDKEKEKNQQPPNSAIQQEPPEGMFNDFTFPPKSGEHSPSRPSHS ILGHGAGHGHGHARKSSLARSSRYNSISGESTSPSTYGYGINAGHQDDFKSSSAGVAV WEADWLLHLLRMKLRAEA L199_001094 MPRKQAVNQAIYLDEAEGFILEHALFSPPRTWPPLPPEPQEVRL ANSRKKMDWEEEVRAHPDEPIDIPIPTRKRSITHKERALDVDEDEDEDEDEDEDEDGD PNKERCVICLMELKYRTIVGMCGHEFCFECIGVWANQSRRCPLCSADMAPFLLHDLDA STPTKFYLPPLPSRKFPSASLPGPSRARLPEIIRRDRIDERDIEPEESDELDMQVERR REIYRYGLYVKHIGSNPHTKYRPTPTPRQFSEDPSLIQRATAFLRRELRVWQTFIDVE FLTTYIISLLKTIDIRSDPAIRLLADYLDSPSTTNENRPPHTTVAEHFSHELYSFLRS PFKELRKWDEVIQYDPIPTSSPRSQSSQSPSPVQSYHSVYLSPRSLRSGSPSSSPDRR GRNWNRRDVFVPSISPDAKRWDENDTWLDPEYAAWLEEEKRRDEDRRERKRMKRSNRR ESQLQLESKPTRAWGEGEARKERVELLPEPPEPPLRMDVDGKEEEEMKPTVGLTIKGA ARSTSMSMITTAPSEPAARRRLIEKLNKERANGPSQPVVEGGTTRKMTLEEMKERLLR LKSGSADRSSSSTASTYDKTARLKEKLAKLKAEGLVSQLVPSAEEDQDQDHSTQMETE MDDNTLFPIDQNTYNHENNDDQYEKSYDNDHMSHVRRRNRMSESKRREIRIKTRLETE RIIYVKNTNESKAQELRRKILENKAKREKEQMESNYGGIKDDKILSSMDVEERKKEVR RRLMRLKMLGAETEQERRARVLKERLMEKKRKSSLLNGVVDVGVGL L199_001095 MTDQPVSSSSASSSSSSSSSFASSSSSSTSPSSSEPEPHYPLLP KPPHVDPTMRVNPLEPVGLQQPLSLREPPGPDIIPPSRPTLNEFRLSEGPQVRSAKLK ALWNSLPHLPDIPSSHGDDSPTPTQRMKLPGQDTLTALSPERADRLRKLYQEELVKAC NDKRPEARLWGGADDLTEPEIKNLKGKGIAWQDFRRFLWDKEKELWDIFQDLDKNGDG RLDATEMRAALSRSGVDITPATTSDLVQFLASHPAENGVSTRETRNTSSPEPGDMYIT FAEFRDFLIMLPRKATPFEIYKFYQVKKRTSDGRGAARVDKEGDISVSFPKAPNSSQQ STAAGFFHPPQPHHDEEYADTPGTEPEEEEIVEERSEAWRFLLAGGIAGAVSRTVTAP FDRLKIYLITTHDFSAFNKDAHLNHPFRAGIKAVTNLWGAVNRIYIDGKGLKAFWVGN GLNVTKIFPESAIKFVSYEQSKKFLAVYWDKVSDPADLSSSSRFIAGGFGGITSQFAI YGLETLKTRIQSEVGPNEGWRQVRDTARSMWRSGGIRSYYRGLTLGLVGVFPYSAIDM GTYETLKTAYCRSTGKDEPEVFAVLSFGALSGSIGAASVYPINLLRTRLQASGSSGHP QQYKGFLDVAQQTLKNEGWRGMYKGLLPSILKVGPAVGVSWIVYEDCKRRLGV L199_001096 MIASLASPAGIQLPPELYLNIIQLLLSQDLRKTVAALQRCSKAH YTFVSPYLYELFTLNAEAVRGMIALLRDSMDPLQERMLNINLEEPIGFDDSEAVRYLK LLSNVKRLFVPISRVLLLHDEEIMKSLCRRAGLSSVVSLPLFQHVDYLSLHDEGDVPY RYGLRMRKDAIEELIDFIVQHCNPKHLCLNLDIKGPMDGSHRQIPKLYSSMTRLEEID IHRFCHYVHMPPLGSRMNTTEARESCGGYQDDIFFALSDGLKVVKVDPRDQAVREAIK PIKMIAKNKEHLTEDDINRFHEQLLYHALEERGQRRSWTNTENIDPNQEALARKDVEE RKKYVEWVVREDCKSEENCRVCSSHVTDSTCLVPI L199_001097 MDAHCGTTFLNTSSDHRLPSDVFDHILSILEVRGQSASLAKVAQ CSSSMCQRVIPYLYRSFTLDDKSVNWILKSISQDQPSGQVRHQHIFSTVRSMVVRPIT QPISTEATRILKTTKPSGIFPNLQHLSIIGPPLSSSQSFDDILTLLAQTCSPRKITFE LTESACGYYAFRGFIGSPKIKKTLSRLIIHNMHTSYLRRPSPIEITVSFRDHLCTPRD QYGRIKNGKRCSWDCDERFAEVILHYLQSYPGHSEFPPLRIVERIKTDLVQMEPEGAV EEKEEDEDEDEESATESEQSENEYEDMSTTDTDNLRTPRSNQLTMIASKLDKMINREA AVWKYNPDQDEKVRLANIEKWQKRVVFVDEVDGRKLKGFGDEQENKRC L199_001098 MSSSTSSTPNKSTSSSPTITPLHDPKHQAKVIAPSKPLGTANAN IVPGQMTELSKAGAGLGQKALLAKRFAKANKPTVSPTDQLQSPCTAKLAGAKQRHFAK GKPASLAQSFTAIRDSAGAAPSTSASKNTKIDF L199_001099 MSGDCCKLPPVQAEYTPKGSYSTINGLKTYAVGPEDAKVTVLSV YDIFGYSPQILQGADLIASQGYRVLMPDFCDGKYATADMFAPGGEEKRTQFFSGFPGA ITSQLEPVAKYVQQLKSSSSSSKVAAIGYCWGYKVVVEAQSQAGVQAIIGAHPSFAAK EDGDKIDVPTLLLPSGGEDMEVMTQIQKIVESKLPGKISMKHYPDMPHGWMAARGDLS GGKATEDFADGYKLTVKFLKDTF L199_001100 MNDNHQISPSDDTWARDESRIQHLTVNEKEILADTHPNSDESSS TRIEGSHHTHPSSPPLAPDTLPASTPIPFPPLHPTTTSASSKPYSSFSRSTKILIVAI GGIAGIFSPISSNIFVPAIPTLSVAFGKSESAISQAVTVYLIFQAITPSFLGSLSDSY GRRPIYICTLVVYLASNIGLALCPTGAYWLLLVLRALQSTGGSAVISIGYGCVTDVAE PRERGKYAAAFQVGAMLGPALGPFIGAVLTDGLGWRSIFWFLAIATAVVLVPLILFLP ETLRSLVGDGSIPPPALNTSPIILLQQRRMKKELMEDEEKVERPPRKKYQPLSAFMIL LTPEIILIFLFVSLLYLEFYSILTVYSTALKDTYKLTELQIGLCYLPSGLGTICSAQL NGRQIDYWFRKEEKRVGGDYRKKPQEFDIEYTRIRCLAPFATLFLCAVTSLGWCLQVK APLAATLVVNFFMGLGTGTIGTATVYGQDLKPGKGGAVSASLNLVRCIFGAIGVAAIQ SMYKSLGAGWTFVLLSGIVILGIPMPILVVKRGKIWRDKRNERKKMKEEEKKAGDRV L199_001101 MPTRKTTPAGTSSKSKKAAGLAQPTLTFQSARPSSNTKTNAKSK STKLQRSEPSLSEIEVEVQKEPLSSRDVSPVLVEDGLKEKEKAKRRLNVKAKEWKGIV GDAKIQMGGLEPIHAGPDTHNDIHHVLRVFDLTSSYGPCVGITRLQRWERAKKWGLNP PEEIRDILTTKEGEDDVRYRENVLYGWV L199_001102 MDPSLLQSSSPQGSTSASGPNQTSPQFSNDSTSPTSAQTQQGDV KPSILSTSPTSTNHVSNANVQSTSSTSSSNPTLSTPKSVTLKSTDGPASTSTSTATAI QPYHPSQPSDIIDSEITDGSESAKRPRLRLAHACDRCRRRKIRCDTQHPCTPCQQSNN QCTFETPSRRTVKTKSSSNNSSKEPKDSSASSSIGGGIKRPHSPLQPTLASLTSGSGG PGGQTNLEARLAALESMLRDVPPNVHNAFLSTLDARLGSGTGVGLKEGGEGVGVSVAV EALAGASNPHLNNILGTNTSTNTTSNNNTTIGGDLSWNFNTSTLSPDWTPPSSSTNNN SSNTGALSNWLAGIGAGPSLTKRREEVGMDELAKRMEGMSFFYEDEIGQAKWQGATSG FPLLHLLTAANAQQDNIEENRQGSHPDVQVSPANPNLSTSPANAPPVPRRMSSASTAL GSQVRSSSVPAKGRSSSMGLGGRGRTSSPGVGKKEKFFPDRTPRPHQTLNPEASWKVI TGVIPPDLMDTLVRCYLSTSHLLWPFLHVPSFLADYANPQQWGEPGFTCFIVAVCTLS SRHVDDPRVRANPNDPSTAGKQYFELFKRLRDLPSADRPTLYSIQAAFLAAIYAFGLG NLSKAFALQAESITLCLDGGLHRSVDGYDHFDAVEKETRKRTFWSIYSWDKQSAALFG RPPIIHLRDCDVTEPMIVDDENLTAEGVKDESSGSDPKSRMCAFVAAIRLHVILEGVI DSATRPSSFPTSPFLAKAAATIARRSPQNESLQDELGLLEEWTRILPKYWHYDSETAA SRDPIRITQAERLHCLEHLVKMIIYRHRFSGFVAMPASTQEERARHLDLCRKAMQCAL TIIADHVHISQRGMMTYYGVHVIHQLAQAGRTLVAVILNCRDADFRPIIAPSIEGLRS CVGLLRRFSGRYLCGLRSADIIDEFCRICNIPVNSPRVPDSTTRPSPAWLRPVPKRIS LSQNGFGDSPGAMMDFNASSTSLPGGLSSQPDNNTSNNFANDLDALFNTSAYFDMSAI EAGTTTTSSTSTQNQTYNNNNNGSGNDFSSSHLNIGGLGEPSAPFDRFETLTPTPMNG NLMLSGDPSTSANQNQNQNQSSAYDQSQNTGTATGSGPYDNSGNGNNNHNISFDYGLN GMGFGGEALAGEGMDSVSDGLKGSNGGGGLSAATILSLMEEGSFDYGSIFTDQAPLPM DVEHGL L199_001103 MTTHLTSYSNPNQNDLPHTPQQDPQTQMFDDEIPSSPPKMMDNQ PNGNGLSTGSPTQNKNKNDLNKAKRRYSISLFKWTQELWENTRKDIERRSSTSSSESS DSISNNENEKNDLSSHQVNVSAIH L199_001104 MSVISTPITDLFGIKHPILLAGMNVAAGPELAAAVSNAGGLGVI GGLGYTPKHLRGIIKELKSSLDKPDLPFGVDLLIPSTAPTARKTNYDYTKGKLDELID VIIEEKAKLFVCAVGVPPKDVVERLHKAGILVMNMVGAPKHVTKALDVGVDLICAQGG EGGGHTGTTATSILIPACVDLCKGRTSPLTGQPVHVIAAGGIYDGRGLAASLMLGAQG VWVGTRFVASTEAAAPKKHKDLILSADHGDAGTTLIYTGRPLRVRQTDYVKSWNERQD EIIALTKQGKIPHDLEMEKHPEKSIQARSWLMGDVSALIKDVKPAKEIIDDMVNGAKQ HIERGYGFVNGNGNGNAVRARL L199_001105 MSAPDPTQPVASSSSTSPTAPPPPEQQQAHGPLPPPPSRSTNPA ERSLPTTSEEIRQLILQTNSLQYVHEFLVPTLQDVADGKAGPQYVKGVKLKDKHKMEH SESLLTCGEGEEVYNLPDESIVRMAAGLSYIVSARLEVFNNTASLYNDQLLNFAIRLC SLGDAQQFYLIPKRVAQLSWGILRLSQHLKKVPLSVPAIAALVQKSSAPGYFSPIYAA YLEACLISRQFQAGLLVSDQVFLHVRSAGATYLDVLTYYHHAGLISAALKDYNKAKQY FAIAVSLPTTTTSAIQLSCAKRAILCELLDTGKRTSFPRYTASTVTRAIEKHAGVYND LAREYEASRWDEVRGIAGKAEFANDCNIGLIEQVLKSVTKRRILQLKEIYSRLTINDL VIKIGQSSKETVETITAILGEMITSGQINATVAPGSTPANSIVIFIDESNTTNNPTSS GTNNDNSNSNLAKVNYLASQLEFELVEMSRNLGISKEYLKKQANLLESGAGKGKSGGL SGGGGRTDDFDTLMAAEELAGVGSGMGMGVRGVGGNYGDMGF L199_001106 MWGTRTRSRTRNDTRSASADHVEGEKLTWKRCRDLIWRHLHFVG PGLVSSVAYIDPGNWATDLEAGATYGYKLLFIVLLAGLAAVVLQLLSVRLGAITSTSL PQQTRLLFIRLQAKYPKYRIPLKICLYTLYALAEIAIIGTDLAELLGSAIALHLLFPK LPLFAGVLITAVDVMIVLVFFRSNSGRQGMLFFEIVIVSLVLAVFVSFMILLKLTNPV WKDVFLGLVPSKTLVKPGALYIGVGIIGATVMPHALFLGSSLASVDRLNMLPIEPSPT KKPLSVQMPSLNPFRRRPLPTKNDSDLPTIQSQVEVNSTPPVGSSSRQIQDTPTPSIV GGEGKDLPTPIEYDIKDQDEIDYIKRMKEYEVRVRKFDRIKWVDVHLLHSTIDTALSL LGFALTINSSILTLAGAAFYYGDNDASADDADLFGAFALIKSYIGHAAAIIFALALLC AGQSASITATLAGQVVSEGFINWKTSPLIRRLCTRLIGVIPAAIVASAVGPSGLNTML VASQVLLSIVLPTVIFPLVYLCSREEIMTVQGPESDDDTDVNQGIRLENLNNDQITNT SNEQEGGDGNEHGHSTTRTQIQIQDNIEEATSARRSKSYVSPKWVTILGYGLFGVVVL ANAYVIVQLCLGNG L199_001107 MSLVLPLPQHHPQPVAHYSMVRSRSSTPRPTTPLSAPPTPVTPT HLLPAAMERARSSEAVLGINPRRPPPTIATEEREGRKREVKDTILKGEWEISSSSSSP TNSDGGDNKMDIDMDSRDQEENESDPHTVMLGETIHSPEQEVAVHLPTPPEEGRPFVW GMPKWGYEEDRTPVRDGVRLISADELSQLVERHSMIDTPSSVMFPWLHGISDDGQKGR DMAAFFGHSPPFEPPPYRGLCLLFCPPHPLDEPAHPKSQRPKPQRTDTDRTTQQPSYT MPPPRERSETMSTSSESYHSTGTTEGTSPSIGELSPQFDKPIIEEEAIYHDHDDEEHG NEMDVDVDVAMHPCDSKRISPVAHAKGIDEPHHPLPCLGTDKIGNALLEETPSEHDIS SSEEEGFTDEDEEDQGPTCILFNALHVTDCFDLPKHSHSHPSKPREQQKKAKFRNARL PNQINLRNLNIQQIKYSTVSDIVLYSKNGVGQGILEVAEQIAKAQQDLWEIRMQEFYQ HVTGRNEGEGSTEPVKYGVWVVVEPFSKIEKLHPHLVNIDSKGNACAEAFQTDLFERE AKESRAMTRGSEVVEGFWVGNDCDVPGGADDGAGASVPFDLCVRASECAEMPSTAHLA TAYQHLVDIDRRRLPQEVNHNPAPSWIASPATIALRNLLSPGPSMPNTPISENKSNSS AADDRPQPPPQGTTMDHEYVSLECSGSCRTITGQTRNLNYMTDRVVELVYFLRKLIEG KTTPPDSPGKKRKVLVHCQDGYTESSILVLSYIMSSLSCSLPEAFLHLQITAKRSFFL YPSDRPLLKKIDQRLTNDRRSKALKIVSNTTAQEGKIGSPIRSPPPSTGATGSPRWKS WSIGLPFGTGSSSASISAPPTPTSASSASIKEKVKSSSIDPLTPIYSSSPTISTTVEV AKDLLDVSQIHIEAEKRERHKVWFEDRRFDGFPSRILPFLYLGNLEHAGNAAMLHALG ITHVVSVGESLINPNEMMEPHHGIGEGNTLAQAAREGKISVLDLTDVRDDGNDPLRPV IARACAWIEAARREGGVILVHCRVGVSRSASIVIAYMMQFERMGLMDAYMMCRARRLN VLIQPNLRFFHELFGWEVELARQEDELLQKKIQEVKSMGVRDEEAIKLIIENGDSFLY HPETDAEGERRRGRRRVMYSWPSFCRDLYCLNRRFLCN L199_001108 MSETVSEQLRVTATASSSRCAYITVRMEASDWARRSEVEFIAED GTFYIRPPSLIRLPQFLERTPTGVSVGRFPPKTYHLSLGEEFPGPFISMSDTASNGGL TFWLGRNENDPATVRVRGIYDPQIPTWEEGDLTSNVRRVDVGCYTFSIVGDDQQEGRV GDHGQTEISGQTDRSGGSIKNKMRNLLSPRHRGSS L199_001109 MSTSSNVSVEITTNDSTVPRGQYLHENGRLQSQPCNDITGYSPF RGETINLGPWDSRNFQGQHFLTNMSDDTHKEVSITGGSTSGSVRLTSDAPSGNRGYLT FRLRA L199_001110 MSCDSNTIKISVKPSYLAKHKGTQFDQNGFFVMNRDTRCNASKG PYSLSRFVRKTVELGPGESYGGLYPHTYRLSRREDSSDQTVNVTVIVEPWAKGVATGT LLPSDIRLTLKHYTFSVGSPEPTLRVRINKSVVSDQHFVDENGYLKRTSDFEGVTHFR DIDIPLEQGDRHHIGGYTAVMVTSKDDPQVCICPPGWTEEEPTVLTPAKPWVDKAHLT FTLLDD L199_001111 MGIRFDANGNFLNVWDQGQPDKDRNLSGASGRARLSNFVEKTID LGPGESFGGEDIYSTYWLGRGVCPTSSIVKVTARDQPHSEGIMEGTLSPSTCPSLTFG HYVFSVTSEKSTSTVDSEPPDEAAVRRELLTELNEATNGSTRA L199_001112 MGPSNNKSLAFRRSLTISPDDLPRTKQSLKSKISSMSLLSITST LKPTSSVDEGHKIKASTETINSIERTLTPMPPPASFSTVGPTHDVLGRLVFVPSLPPP ERVEWAKYFPGGYNSALQIPLKGKKMMYAIQAIGGLAILFYGYDQGVMSGVVINTQFK ELMGVNSSPQSQRDSAAIGGIVAIYYLGSMVGGLVGGYLGDKIGRVKTVIFGCLIGII GATLQTSTMSFTWMCLARIVSGFGTGHLNAIIPVWSSEVADHNARGSILAFEFFLNIG GLAFAYWLEFVLKYTSHRSQSFIWRFPLAFQLVFLLILIGLFSFFPESPRWLAKVGRE DEARQVLAVLRTENGDLDDDNVNQEMYSIMEVVDVERKMGSSNNYTAMIFGSFKDKDK GETLHLPRRTWLVIGLQIWQELTGIGVVTVYAPTVFQSASYTAYKADWLSGVNNIFYM FSVLVAVFTLDRVGRRVTLYWGAIIMAICLALCTVGARYAIRTEGKEQAAWGAVVAAF TFIYTSTFGASWLTVPWLYPTEIFPLFVRAKGGAVSVVGWSIGNGVVTEITPFLFNAI GEWTFLLFSLLNVLAIPWIYFLYPETAGRSLEQMDILFAQESIFVHRQQKMLESLEKA NPEVYHSMETGKAQAAQHVEIKQEKVVVIDSVPGEERH L199_001113 MSEEIGEQIIVDLPPRPAPPQRSTPTKQPQQTPPTLQAEAGPST RRRTRTSDVSMNGGTVPKVEVQSVVKEPIRYIQPDHFYPTTNNPNQAAIARNLPNIGD GLLSPEDDPQAMRGIPVFKPTMEEFQDFEAYATATTAWGQYSGIVKIIPPEEWTKSLP PIPKNVLADQKIKTPIQQNFLGSSGLFRIANLPKNKNRPLSIKEWFNKSNEKKHTGIG PRDIGKTLNRDSKEAIEWRARRNEELKKEKEEKRKKLAQRKARKAEAAAAEVHAQEQD KADAHKEDGDVEINEKDIDHDPSTTVPPLDPSSSNSAQSSPEPTNPTTPKAESSDLED QVEPWYRSFNPSEDWLPKDTKPEDYTSEACDALERHLWKNLSLGEPSWYGADMEGSLF VDHKTPWNVAHLPNLLNRWDLRHLPGVNSPYLYFGMWGASFAWHVEDMDLFSINYIHF GAPKYWYAVPQLQAEKFERVLQGYFPEESRHCDQYLRHKAFAVSPHRLANDGVRVNML AHHQGEFVITYPRGYHAGFNLGFNCAESVNFALDSWVELGRRAKACQCVTHSVHIDVD EMIAKEEKRLNGEQELLDAIAEERQNKKPRKRAATEQSGTTPRKRVKRGTEFEIADGE GDQSLEVGVQDEEEANHETKIPVMRKKRPRSVIDLSPNRPKLKPAIVQENPIYPCLFC PSLDKAGLLLVLDPTEHIKKIWKPRKEEIKVHHSCALAMPGIGIEDREVNGKLVTCVV GLENIESARWSLKCAACGDKRLAKSGAKIQCTKGKCPRAYHVSCAKSHEDIALNIWEV ETPILPLEGEEPLPPGQPIPSEKDIKVDLLCPQHNPDMKAQLEAKKADNFMRKVMALP TGSKVKIKLRGGASLELELVDIREETQEILVKDDAGQTGIYPWTSIDFRPAQVKTENE YARVHTHTRKTSEHPSTTSTPVAATALPPPPIARPQQTPSQPARTASPSSQALRANRH PGTYHSNPVIHSAPLRVEQMLNPQSSTPSRVILCEAPQAAYHPSIQIHPPRASHSLHQ VHPVHPPAPYMSDYYGSTSSSIHHPAPTMYHHQQPYTPVLPYNPRDSYDCQLPAYDRG YQNVPNYPLLPDTRRSSLTDYPPPLPISNGYHMPSSRVYAVPPLQLAHSTNHAATAQA GNGSYLPALSHGGPSLRTGSTQQGHQQSSNGPSSNGVGKIDLGLQRMQSLMNRLQPLG VPAIHLAGTNGKGSVSAILESCLMASGMNVGRYNSPHLIEPRDAIRINGHPPSRQAYN DAIRTIESINMQFSLQATTFEIATAAAYHILNSMQPPLDVMIIECGMGGARDATNVIS DEIKLIAGLTSVGLDHTSFLGNTIHDIALEKSQIVPHGGILVVSPQPDLDAINAAQKV SASKRARVIQASKSIEIPRNVPPVSLRPFVRPSPRLIRTEYPFIDGRKRGIETELQLG GEHQLDNLSLAISIVHTLRTDQRSLGIQPKLAGLSDQVIQFGVKRTDWEGRCSWLNYR GRTPLLVDGAHNQDSSTSLRKYIDSLEFEKHNQVKKRYIISLSASPGKSPESVLKPLL EIEEDVEVILMEFSTPIEGMPWVKPVPLEQLYEVTKKMVGGRGQVRFGGKGLEGLRSV LDGLVSSEQGEGEDERLNVVCGSLYGVADVYRLMG L199_001114 MSSFGNNYRVHTYGESHCKSVGCIVDGVPPGLKLTEEDIQVQLS RRRPGQSDITTARSEFDTVHLQSGTEHGVTLGTPIGLLVQNKDQRPHDYAETDLYPRP SHADYTYLAKYGLKASSGGGRASARETIGRVAAGAIAEKYLKEAFGLEIVAFVASVGK VALPFAEEEDEVLGKEYMDLVKSVKREEVDKEITRCPHKATSQKMEETIRAAKAKDDS LGGSITCVIRNTPAGLGEPAFDKLEAVLAHAMLSIPSTKSFEIGSGLRGTTFPGSIHN DPFIEGVDERTGEKTLRTSTNWSGGIQGGISNGEDIYFRIGFKPPATIAQEQPTARYD GSAGVLAAKGRHDPCVVPRAVPIVETMAAIVIMDMVLQQNARKSAASLLPALTHLPPT MVLPGKSTVQAVVNGKDVGEVQNQKIGEE L199_001115 MPRPGQAQARRKRALEEMESEGSTSYSQAQHDGPSKVNFDNDVI DQEQVEDEDLEDEGMLDNPRSQCLPVGVLPENFNGEPIDGTQYLAMANRDNKNLPFVK TVINPYKSDLIGPSLPSSISTSKKTGSSSRHPALPKESWQELFPIHYQGYRQHIQSQL SSSSSSTSSYPLDYPPIPPASRRSDWYAYINGYQSNPKKGKGKGKQKAKPALTEEEMM NAAMGEGMDVDEEAAVEEEVVEDVVIGKTSRGNEKVVGAPREPLLGVLRKLNSSQALL ILSHFAHWLSESIEQSPPPLPGAPDFLLTQPGISTPSPSSRHPQNSNHLSSNYFNWIF SLLLITDTQLSSEEISILRDLARASMKVAGHRYIIGVVGKHINEGWVLGDRLNNQRRT VDANGQDRTEFPGEKTEIGDSVDQILARCWLTIHAVAVGWGQKDLLFELDNLFT L199_001116 MSSPTASVKSFDDFFRVGTPSPTMEASSVAATPAPSSTIGDVDH LSLNDGTEETGFETGMGTSNALPKHARPILLTTGYLSKGFTERSEGFKAELAIANKTF FPTPSDTDNSLDTKTRIYMEVFNKVLTSNITGHIKINPRVSGEIQLRDCPRITDMSEA QYLKSVSEEAQQLYTAIFPPDSEGKADGTFDVETLPIGDFLNHEPKTNPDELRYKPYD KVRYKEEIDR L199_001117 MTFIPSNDFSQAPSPVSTATRGSDESSSQNDTDGKNASFANSTS TKNEEDVLDKAGTSHSTQHSAFLHSTNRLKFSGYGETANKTRITLLITSCMSCPWTGK PIHGENLAWAMTDVWTFKDSPPPDVRMMDHLRKYTKEFSCEIESALAGQWSVKQGKDP VIYKRVTDTIESLCISGAYKIAQGLKSTHFPPEVASTLNSEIEVKVLDPESFMAFDPP VTKGWFKQQPYDKDEYKRFLASIEKPSLQNSQEDTSAYVKREPDLL L199_001118 MSSPNDSSTADLATDATPSSIEAPSSNSNNSPAASDLKKNSMSR GSDTVPVRFLLTRYLTEPYRGHADTSYTNAHHWRLVAGSPSKESLKNWDTFSRILNGN MKRHLKPTICINGEVRPEQYPEVTDQIEITEREKLFREARAISSDFFPAEGSGLSGLT ATFELECVSIEEYLSRSPEITVDRLNPPPYDKVNYKQEMSARKGRSWFLL L199_001119 MSFPNLYTIKYIKGDNYERAFDLSEGRVLNLCGDTWTVSDEGEG AKLHFITEDNTSSDSRVIKPGQTFVAPRYEAIVVAVRPEGSEDACTSTPKFDNTYKSS DNRFNMTIDPHDTSAPKSYRMSGRRQITFGDVSILTDNLGVKATAHEELGGGRKLKTH YTIMPGETKEILGKKYTVTTEDRNLSWVVSERKRSGPAATILEVTVDDPDFDSRMKIP LKMPWYMNVGDSEKHENAIFTAKEGHLEVLYTRETQGILRPATTRIYPSETWSNSSYT VSVKEIDESEVAQKRARGDDEDRPGEESECSEA L199_001120 MLFQSLISLSLLLFTSATPTPLFDKRDESIVKIMTTSYTRISHV NDLKNVALNGLESVFDFTRNSPPGSQESETSDKQEFNWDVFENGSNFGKQLYHLKCCV EVSKDFRGTAKYNLNLGPPHVTLISGTLDAQTDIEIICPKADAQCSLPEGDKGCDDIP GWDGKGE L199_001121 MADPFAANPQYASPSGYQHPLPHQQARPQQPYRASTGPGQIMPS TDEFGSYSPGHAPLPGPSQSTSAAAAARNRQSMPMPLPVPEPIASTSHAYASSHSHTA QSQGQQQSRAANRRSHNPTTTLGNASPPKAEYLTDEYVLHPSVYAYKQAHPRRPMIGF GPYVLLQTLGEGEFGKVKLGVHTDYGVEVAIKLIRRGSLDDEVRASKVEREIDVLKTL KHPNIVRMFDVIDTEKYIGIVLEYAGGGELFEHILANRYLKEKDAQKLFAQLISGVDY LHRKHIVHRDLKLENLLLDKHRNIIITDFGFANRFDHAQDDLMATSCGSPCYAAPELV VSEGLYVGSAVDIWSCGVILYAMLSGYLPYDDDPQNPDGDNINLLYKYIMNTKLNFPD HMSPLAKSLLQIMLVPLPEHRCTISQIMEHPWLAAYRDMFVRSVEEHEYVFQEAMYRK SQQAKRELSERKRIQAEAKEAKAMMQRSQSNVPGSSVTASMLDYQRRREQRHHSALPT TSTMPEYLSNAGHRTPPLEARHISPAPLPAPTHTQLLPEASMVVSPASMPTPTIPSLS TPSPSGSPPKGVEANPAVHTPTESVAVESVMAVENESLATPLVTPPIETDSKSRPPMS SNKNRHTIQVEYDGEASYERMQEAMQAKQKGKEVEEAEVKSEHLAPVMVNVRHGGTSD VEMESGSSDNEHARPETSESVQEETPEVTPVLTPSVTASPIPEVKEEVPTVPSTPSRK TTTAAGPPSPSTPRASTAAKPETSIATPRAERAAPPTPKASLVAERKRHDSMPPALST SSVPPPKNPDLKPSGLPKPPKRERYRKGMSLDKFGLAKLLGQASHTNDENRNPPPSAS ASAVALQSGHSKRASISLSRPGTADPEKKSRRKTLQLMVNRSNSRDDRSPQTPITPAT PLTARDMNPQAPVSKPTSPPVVIERDGIQPSTSPRPDQSSPSIVTVDAFAAQQASSPP HKTASSKAAKKVMDWFRRKSLAKDTLVHLKSAGVKSDSQSSFVRVSPARPRVAERMNG STANLAMSSVSSIGHTQEGPVVTVSEEPNEDEAQPESATLPKSVTPTEPARIPLGEAV NKTNVQSTSDLLSPTRARVPTPERSKSHRVSPSQSQSGHGTSSLSKPVLTTRPRAGSE DIKMRVHTGLVDQSALSSKPPKEVMAEVLKVLQEMGMDIKRENEFRLRCTRVRRRKAG ATTALGSVMSVGSGMSPFTLMGTASTSKTDSRGLPLPMSPSSGGLSSGLKGMLLRRGS SYSSQPHLPRSDSEIFSSPSIGNTPVLPSSDKLPSHEPLYGEHSVDSGDEVKFVIELC RIKNLPGLYLLNIKRLRGSVWSFKFIYQTVLERTSTLTH L199_001122 MATEEEDLPPTTGTNLLDPLPTSIPLTPSELLALPSSELTPTII ESTFKPLSDHEALTLSSQLISSGKNDDSSLLRFIVQLGQERGSSEYTRLQHELGEDLV DEDNIRTILEQENGRREIVEGWTNLEEINRRLDTWDIIAPKPQIQEKQDQKVENDNDE KDEMELDDPWGETEEKEVIPPQTPSALLDDPWEMEHTSNTSEIPKTSKLIVVESFNPH EPTLVPISITLPSFLTQPIPLSALELASTAALSALKTVCQRHYDQVYPFRFAIIEAIP GWVSPTELEAQGFLPSLGEDEQEKWLSSSSTTTPTLFSTLSKLYLPLSLTLVSPSIPS RLKPLSSSELTQWYINHIISLDSLGILDNQLAYVQHGASLGVNGLDEIGEDLSLLSRL VYDSNLSASQHSQWTLANWRKSSPNQILQGYLSNSSPESIVGDIRKLILPYLYVLESR LERTGKPDSGLVERLLYDCILDLPNLELALPIFEYSKATLPSPERIIKNDLDTARIAL SLLYSTEEKSRGVWEVMSGIFECLPVWELEGTDPLEDQELTITTLESIAMFLTPTTVT QSSGELPSSKDLYLFFHPLPFSSLSRTLDILDVHLESGEILSKWGVYTGLKFLLQSSK NHKDQLELAEKLVRKQQYGNLNEDSWRKLWDDMTRLAGGDDDESSPGGSLKGALGMLS RRERARVYMGGVLSSGNFDVARKVIKRLQQSHSIDDQMIETVVLETSKEFYLKAENGN LHTGEMKLAYDCLSESPQTSKILSEKSFIEATSRLSTFPSLTLTPLEIRHTTDPLTLI QQVLESSKDSYKYPELILDLSNKLGSTDEVDQGLIKVMIGKAAYGNDDYSKTKECIED VIVAVRRMNKNKRGHERNKSSISSSSPTSRLAPRSGEAIETSRDNQLKLKDDLWKLSY LLSTTTDYSDIPSKIQLISYALELCPPLSIPEILGSYRVLEDGRIKLDKAMKRRRQTG ISTESTHHRDAKDHDDAGIDARGEGVEERVLGSRTAAKAAKLALDIGGKFRSYSPNLG NQSPVLGQLPFHLSRSTSRSRSPAPGAVATPRMVSGPGINDEVGSETGSDTASIRSHG TGHGTRELFENLGVGVGGGMDEAERVRQGARRVLVRGVGWLLGAEEGEITG L199_001123 MTLLDTKEAQSPMDSGYNDNVSSPSDSREPHLIFDNFDIDIVIK VLNATIFSPFFVIFLPITFLSQTHSGHPAFILSCIWTGIICFIGILNHIDRVYRSGGT WLFAPEKLKWDEQIVLITGGGSGIGALLAETLAMRNVSVVVLTKDPPKFETDNENICT YVCDVSDYKSVEAVAEKVREEVGDPTIIVNNAGVVKGKLLLDLTEGDVIDTFGSNTLA HFWILKAFLPSLLRQDHGHIITMSSVMGVVGAAQMTDYCASKAALISLNQSLRFEFDN RYKTPNIRTTLLLPSFISTTSLFSKTVLPSSSLFNFFYPPLQSHQIVKVIIDNLDSRE SKIIRLPFYTNLARVINDSVGVVPSWTRDLIQRIAGADHAMKEYGPKPDAAQRLAKER QSRPKAD L199_001124 MEEYHPPSSSSSSSSSSSSSSSSSQSTTTLAAPQPPQRVMKRRR RRDSSHTQFDNSTMPQYLRQQKPSLSSTFLILSCILTIFLPLISAAPPCVRFADYDSI NQMFIDGGPGTKVFLCPSKLYRLSGTIVFTAADQELATYGYPTGSERAILRVEGKQTS TVIQGDCRRCARVGVRSLIIDGNRKKLGRIKNMDDAAGLVILGGNEGQSVKNCWIKNP RGFTALHIREGDKLQCTGAMIDKNEIGPVGEEYDPDLDGEDPEISPLGRPLADGLSIA CRDSFVRDNTFYDNTDASIVIYCSPGTLVLANHITARSLSSMAGILLVDQTPFDGDYS GVVVKQNIIDAASRSIRVGIGIGSTVWSDDTETILKGGAILNNGLKGRYMGYGIAAAG LEGFKVKGNWDEAQHQGQKSARCFDEPVNPDPMPFLYNLETIKESEFQDGFKDHDFQY VVCIDGLYDKSNPPKHDLPPLPHDLDNEESKKDIVPPQKIDKANTEKKDTATSDDGAN EEEEEDRSPDIAEPSKDGFSTGSEVMDDILTHSQQRMLEAIDHLHRRVDILASNVDKS DKDKNRKKSKVVGDSLDPAISTHLEKLQRRVEHLETSQKNLLESAIAMRSSIQSWDQE MATIGEWQYDILLDVRHKLDLHSSHSDAESGYVRPLGDEAEEAGMMNEINSPRVDHTH PSINRDNIRDLSNKQRLSQTDRKNGWNGSLGWWLKVILLQGIIGIGLWLLRGWWKGRR VHGKIL L199_001125 MSTSTIPRLAQQLVSVVVPELGDDRVGTSSLTSRIVREIRADVQ GGARKEWEDVRHAIQGLSRTAKVRIQEDLAEALEENLKALERCREKGKGSWEGDEQMK ISNLPQYVHLLLNLSDKPTLQTLEFAYSYLQRSTPSGPTADQILYKEIMDCEPFDPGE IWDEEVLSGWTDSENEYDGDYLFENSDSEGSPEEDYVKTPSSAAIRAQRRRDDENRRK RLEEDRREEALEVVRGLKNGYWNRPGMIHVIKKGSYGWRDLVTQSSTASLAAKAVQNR PMTDKAITSTQLQREILFALSGRPGVVFQFSDKGICSVIPNHPQVNHLSSGSLGDVLV AFQKYANQAASIRRFILETLQPNQICSTNRSNQTYNKPKGPNKTQQAFAGVCHTILSD FDLWLSELECSFIQGIHKASTSFQVDGSSSASTPSSLLLALDRRYSVILDSLASFIPH SNNSTILLNLILTTINTFGHSAFNEQFSTLYDIFIHTAKPVWEMLRVWIQHGMPIPSS FTEEAYTTSIDEGTERALEDEFFIKKDRDVSWADEDFYECGYVVDEHGWPEWIGEELG EMILGAGKARGLLQSLLGGMGTVEDWQDLGELLRSDLPSPDEGRSKRPEGVNVVEKIS GYLMPICQLLQFHLRRVLDEECGLEDHLDAIEGVMYHGGHDVLDDWSKVLFDKVSANA KWTDFQTLNSTFRDIVEEKQAGWMNPAAIRIRTIRSSGALVGPRALEILRVNYEVPFP LSQIFSSTSIELRAEVFTFLLQLRMARYLLIQTKEHDRELIAKRSYDGEKDIRAMLMM RQKLSWFIDTVYIWLTDRIIEVQNTDFRRKLSEMTSLKSMISLELQHTRKMRNYAFLH PSTSEIYENIQNIFDLTHILSECYTSYMVQTPSKYSPLEAFVTRRRPRNRRKKKIHDI SSDEDDEGEVVIEASISFVELSFGDRMNKMDRDLDDLVRQIREGIDMLAMGSEGEEDG WSMLAFALEEWK L199_001126 MFTLTSTSILFSILSTLVQAAPLPMPAVKQSEQLASRFYNHPGT DTIFSHQTPCWQDGLQGILSDDNICVLAALDISQLPNGRYVHATPYRTGYVQQGQPCT LNGQPGFWQDAICVLANLDIDLQKKDFIPFGYQRGQECWLDGRRGYFTQDGLCDLIDL NVIADVTDGSARLPTAVPFANANDPCWVNGKQGFWQNNLCVLADLNIAKRYATSNGLV SDVLETLTGYEDCYDCAPGLPTRHAVLPTGDIVDADAIVDVDHLSRRNGLLHNAPDGN ELDGATRTITQLLDHSITKKRGLDLLRGGGDDRTKYVNTYYPNGYVQPEYVPVIDNTL AEIDAQVNIDDEPYYGTNYPHYLNGNQNGPLGLGLKRDILGNGSNNLNVLSSLGSVLD KPHHQPTGGPGYYRTTNGQVIPFGETSDILAEVNAAVNIDGLENDGYAPYTYTKDGRR IYGEFIPSYAGHHGLLNKKNLGGLSGVDGLGLNKDGSFPEGLDIVPQVGDLLGAGKGD NNNILKGTNGLNHIL L199_001127 MSDDPILAKEVGAVLKSRAASQEKPSDSDLLPVYRYLVPTSTST SSEQKETHWFCDKAKSALHRESATYLIFLFAFQRQGTSKAWVDRLEEILLGCEGCARA FGGARRTLGSKYLSKWPPHVRINFFAAVDRWQSALILNHVGDATKTAYGSSSSSIPTL YTLDRPVIQLLLGEPSLLNDHNDTGISSLVDEAVSSTSTSSSITSMGLSPLLIKLLSS PNEGRKKWALSQLPPASRRPVSFSDWCSLGIGYEIQELYNGKVEIDEGDRWKMVEALL RDRVLHDQTIEKGLLGGQLEEDPKGRKGRGLMSALSTLLGSDVPYFPELLSCFSTLLE ISPNRHIWSFDPSPELPHTLFSEIRSNPAFQVLLERHYSDVPDTVDLTVNDAFTRIDK GKGKKKESNGPIDWTSDFLVSLVDAEKTSATMKDEQKPGGFSEALAITMNFAFQEMQH TRLSGGLRAAAASAGCEALMKVYQALSTDDVALAIVLNSTIDLHSTFITTVALRHKNH PLPVWSHARSSACNLIATCFISDGESAIRSVLAMATASHTEKKRLQKKKRSKGDVPPA TRIERLPHASIKKELWSMAYEALSPTDVSGAAIILKSIAPFAHLEVIDRQNSWKYEGL EEVLKKEEWVDAIRSVNTTIRGSRESFPRAIESLAMQANPDIVKSLWAQEGVPRAVTI LLLSPDDEVHTPIITLIQQSFEDVDDRADCFRALLQQYPDMAMDGLTEFLRTFIQTAS ITPESCSLAKWLVRCFHDVLEALCTGSGSSEALLQTTDFLSSFAEGKSMPKRIEELWH LMTTSLALIFKRTLDWAPLFENEVMIDWMRDALIFGRQMTYHIRAFEGAVLGQSGSWK LEEGSSSPVKVTSVGKKMTRQLEMILTDLILWLRLTDVETLFQTHQLIKTILGRIARS STDLSRNPSLEKTLQEIDKFCRKASRSYTSRLTDDRLSELSDLLAPFNLADTDEIQFV KQVSAGTATPEPEASKPKAKPVMRNAFEEMMKASGKTPPAKEKPKSVAPAPKSSDVDD FDDDFLSNLSATDLDIIEKRAKISAKDGKSTISRGSAPPKLSTSARPQIPSNKLHVNL THKPVPVPKTATSFTSKFMREMKMQHNQSVSERKRTEIGGVVPRLPAASALGTGLGAY TGSRPKVVEPVDSGSSASESSEDEKGAMTNLVAKQRPLPQIKPVEKRSIKIIGSDTNE ILRRNEERRARAHATKMRLKPDLNPLYRYVLSWNPDHSGSIAPHGPKYANELSNMRNV PTTFGSAKQYEQVMLPLYLQELWSQCNKEGSNTTGLPVPVEVSSRQYEDDFIEIDLTV VGPGGDFFVNETDVVTLRQPGNPNAIFAKVQAYKRKPKEIAIKIKILASMDQKELSGR SKWQLKKHLSLSTAVREFAALKGFPFYDSSLLQDALAGRTAPMPKLALDDIEDAMKSY DVNEPQARAILGASHVKGFALIQGPPGTGKTKTISGLVGKWMSERRTPMSIDGRPPPK LKLLVCAPSNAAIDEVCKRLILGVPGSEGSRLNPTIVRIGIDASVNIAVKDVSLDSLV EARVNVETVGKDGGSEYSRIQGELDSVKQQIKEKQEQLRLVQSHDEKRKIVETEYQAL VTRRTQLGQASSRAKDAARDATRHLDGARRAAKEQILNEADIICATLSGAGQDTLSAH TFETVIIDEAAQAIEMSCLIPLKYGCKRCIMVGDPNQLPPTTFSVEADRYHYNESLFV RMTKHDSSQVSLLSIQYRMHPYISELPSKVFYNGQLKDGPDMAKKTAAIWHQRNVFGP YRFFNVNGNEIKAGTSTKNTEEALAAVDLYRRLNSDFGMKIDLTMRIGVISMYKEQLW ELKRKFTETFGSQILETIDFNTVDGFQGQEKDIIILSCVRSGPNIRTIGFLKDARRMN VALTRAKSSLFVFGNGPTLERSDERWKIIIGDARERGFYINYTSSTFGPEALDPPPAK KKKKDRLSDGKSRSVSTIPPSPDSLLPPKALAAEMNVIKRKASMDGVKEEKKRKVSDS PEFESGNVPPSKQPIPTAPRSVPVPVPLSKPGITNGHGVSSDSKAMSTGPRPRHNVAN GMPTAPSRPPQPTGPPPPPPPQRPPEDVLFIKKKKKPNRPGNTGPSTGTNVRAAMNER YGGRP L199_001128 MKVTLGLLSALAYIGHTSAAPDPGLAAWHLDNLYPLATERLDPV VNPNGVASHLHRIVGGSAFGANYNYDDYNGASCSTAAVQADKSNYWMPQLFWRENSTY VPLKAGTRFYYFLHRNKPDEPVRAFPPGLRMLTGNLNAKSYAETGLPTGAINFICLKN HFSTPNGDTAGPDFNFDIDCPQGLVTTVRFPTCWDGVNLYKADGSHMKYTDNLQFGVC PVSHPVRIPGIMLEYTWQTYAYRPGVPLRNKLIWANGDTTGFGLHADFVNGWDTDVLE KALNDPDCLSGDMTMTACPTLAMYMNLGTAQNCQPSRGVLESYEDFKPIGALPGCNLP WSSGSKPACNPSVPNPSIPNGLKGTDGSLTYSGPSYLTNTSSPPNTWTRQGCIGGSTS LVNSFQYSDAAMTQAKCQSTCAEWGSQYAGLLSGQYCVCGTDLDPAAYHYADSNCDSK CGGDSTKTCGGNGKLELFRNPSATTVNHPGKQSLAAIEFGRTCMCGNSWQNGGGIPYP QNQCNTPCKGSTQQFCGGPQITISTFNLTLPGGSSPPVSSSTSASSASAKVTSSSASS GPSGAVTVTKTVTVTGTCSAPAGRRRNHRDTKIFGDA L199_001129 MPLPFFLQPLLLVAYYQERLAQYSARWLQRSNEQLFGPLEQLVA AVVILGLAMQAPPPTWTPPVLPPIIMNSTNLPRPAPGSGSSSETPSSPISTRNVLLDR IYDHLPGWLQISPSNIALVLLAANVGMILMGDDLRRRLKESWDLFWSPWQDRREAGST SNPEVRFESPESSSRDTSKKAEPEKAREAKAPVADQSRSASADKTKGLDGPTPDPKVV KNDERPKEESGLTESEKARKAKELQSDKDRPIVEPDAKDKGKSRMEGERPGESNSASG STPESSDRTDRKIKGPADKTRPRTLGDHESKDKAKDSSRSDTDNVTKNTSSSSRSTSG SGTDTEDDPLMSGDYRPLKSVLKKSKKKPKQSKNIHHNFFMTMRGYRPSLIPFPHGFH PKPQDPRNTPWWDNVPRNADHIMAVPRVPKEPENKDEDEGKREKRMGKEKEKGEDKNK DTDEESKSKSASDDKKGGNASMSEKEKEKEKEKERAKAKAEVEAKAKAAAQKEGELKA RPRAASGSSSLPDHAARVPADPMIQKATYLSQGILSILLYYLSPQLGFLLLTFFVWQY INSHNDMILSLRGSNLTSSSSSSSSSSRIPNSISATSGAPLPDHGRGSTESSQKPSNR AEKIAELEKLIKKLRSLPEMSLEHKEKLQRAMVMRKQLIDEDSPGSSKAHFEGDSNTT PKKKDNEAVGRGKEKGEVDIDVDELEKKAKEMDDYVMKYRSIENPNEEQRSKLGKAEE RRKALWKQVRILKGNEPASMMPSTPRNEKEDASSRSRKSREVDDLEEKARKLDEYVIK YRNIEKPTYEQTAELAKVDERRKEIWSQVRKLKGNEPTSLMPKSIEEKQQELRRKIKE IEAYIMKYKRVKDPSDEFQEEVIRAESKRRALKKELSNLVEKANVGSSGLTVDEKESL ARPET L199_001130 MSYSYPNARYPYRNESPYGQSSSRKGPVDYSNGESSSFRYGYGR DDYRNDYGRSYGGDDRYSGERNHPYEDRYTNHGYGDGYGYDRRIDYNYNTRPYENPYP PQDQYGPTNNGRPSSYIPPHQRGFSSPIQPSFPPYDRPPSPQSIRQYSTHAHSQPRTP FSQDKTVTPPPVNLPPREYLDLLRPDPAEPLKSERIIPKLLVLDLNGALVFRNRSSSA DGRNSHPRPYLQSFLEYLFLSDPNSKRGKRGWEVFVWSSAQPHNVRGMVESAFGPRFI EGIWEEEAANTKSAREQEGEGRLLGVWARDKMGLTNNDYSRKVQTTKDLRKVLDHLRH LSADNNRTSWDFDEKRTVLLDDSPLKAIYQPFNQLVIPEFGKDEYQNSKLAAFSSGPQ EGSLDQTLLAVVGILNELKYVSNVPFWIKSGGLLDPNGLSPSVSFNSKEDKEIQLAHL PTHDSFTHWFSNKEIFDGWVEKGKNALREKGIDIKHGISLDHNFISQSQKTKESRIHS PVKLRTKLNRGYHTNGGIDDDEFSPPPPSPSQMNPLDVARYIDNLICSTSNLTVEQKD SLVMAREIISKLHSQHPQAQADGQDTLNGNRIVNREGMNGNEDILYRPVAPASPPPLI PGNQTIDRDVVNDPNLLPKDFRISKGNESRRRRQNDYRSEFSKAKILNPKLTNKEFRK MFKAIRSDNAAVQEDLEEVDESGSENDKEEIDADKERDEDEDVRVISNVELINNYQKN LSSSSRHHNPDQIEINDGLSELSYGLGSRSRDGSKKKLRSDSWSGE L199_001131 MFAQILSRRALHTSRPLLSAQPGLEATLRNALKASMKAKDKPAA ACLKSILADVTNATKSGANPNEPINDEGVMGVLKKGISQRTQASESYSPTSPSPHPEN YSSLLNEISLLKSFLPEAPSNEILQQSIDKIITGLTDELKASRGVAGQVLNKLWEELG DKKAGVDKKVVGKMVQEALKKLQI L199_001132 MSTSQPTFPPNSRRHIPYLSFHKTLIRQICRPQQDDLVILAKGL GLRRIVCALLKTYDRKEDLVLVVGTTPADEAGIGDELGIMGVRDPGFRVVGYEMNVKE REEMYRHGGLFSVTSKILVNDFLKGTIPAKLITGLVILHAERVSHGSQEEFAVRLYRR ENQSGFCKAFSDEPEMFAHGISPLKDMLVNLNMNSVMIWPRFNEDVKQALASRRADVV EMYQPMTDLMRQCQDSITECMEAMLVELKRDHSLNLDLEDINVRNAQFKNFDTIVRSK LKPVWHKVGAKTKIHVAALTELRNLHTWLLEYDSATFASYINTLQRQHFQAEKLATGP ARHVHDWFNAKSASKLVEASQARVSHKAPIMDNEHHDDSSSREEVREGPQGVDEGVDE FRDEEEALRAAESMATTNGTADDENEDEIMEVFATQTQTVPQTQNDDEDEAMVEIGTN GDGDGEDSLREATGDAPPVFRPVLVGLEEGLSRSVKKRLRKGHEAVLEEQPKWSLLAK VLKEIEDTIARVTESHADSPGTNIVLIMCSSDRTCLQLRQYLTTMQKTDPAFGPKAGK KMMETLFLSNWQHEKNGERLSDPNKMKGDEGDEVRVKRGEMEEKRLEDANQRRGANGN RGRGVPAYKRRRVRGGAAAAMAAPRLADMERDHKETMMKAQSAFAGGDEGMEDQQMQW ALAESSRGAGLSSSTPAPDPSLALLARSGVVEEQDLDPTTSSLTFSYTSSTSNRFPDS SGYDFGLMPENFEDEYGLLAPEDTVIIRPYGGEDDDILLQELRPRFVVMYEPNLAFIR RLEVYKNSNPGLALRVYQMIYTNSFEEDRFLSTITREAEAFKKLIDDRQTMVIPIYNN NPRAPMRDNVTRSKTTYSSRNAGGGEPVEDARIIVDIREMGALLPSLIDAAGIKVVPT TLTVGDYILSPKMCVERKALPDLEASFANGRLHTQCESMTAHYEICILLIEFEEDKFG LRTREDARRETAGRSSEKDDSWRDTFYLQSKLVLLTLHFPKLRIIWSSSPHESVRILS DLKLNHDEPDEMIATMKGTTSEGGVTESFRSGIENTGSVEMLRSIPGVSGRNLKLVMS KIESIRDLVRMNKREMKGLLGDENGEKCWEFVNHDSRYRRY L199_001133 MLFSIPIIISILSLGSLAIAAPAPSELSQRGDGSAEIVLGLPVG SRMVDGDDTSVGVMGGTGKDFILRWPKETLDKIGDNEHTEKAVWWDVFESPEKSVLKW HIKCRARISSNFANSYRFDLLTTAPWKDSKGDVSSDAAAFGCSLGESECYSDCENFPR IKYVSLQISNISNTALATLPPRGAKSVSS L199_001134 MSTAFLGNTVLWGDPIYGEPRLLQLAANDNATDAVEAGLKVMLE PHRGVFLGPVMIGFIADFVLLGVMLAQLIKWGSYAVEDRWFIKIIVAWCTLFGAISSI FNLAYIHHVFVNNFGIYTTFTSADWSSWLAIIASSTSAGVQVFYCDRAYKLSGKNKIL GGIISAFILTSIIGGIGSKITFGSTTTQTSDATKATILIYLYTAGAMAADFLITTSIM WSLSRSKSGFVQTDQIVNKLLAVSAETQLPPTLMAISFLIIFAYKTTKAAAHPDEIII DVTSNLTGFFMMTMPKTYVVGFLAVLNSRMSLRAVMTSKDASSQQRKANTYQLKKRTA EGNVKVTTETYVQAERYDPATQAIARQEGELDKTPHTSQTGLTFADALKESRV L199_001135 MFNTPPLPPSLSPIPPPTSSWTFTQGFILGQASFLIIVLLFVRY VVFSPSEEIDAEGWKRRRAEKAKKALLSTTSVPPPPPAQLLQKTNYEMATHAAESTDW VNVLLAQMLQGYRNDLLSANGEEGARQRIEKWLNPTGKNLSWLDPIEVTSLSLGKAYP LLSNARIRPADGQGRIRSEIDVDYLDSISLSLSTAVLVNFPRPRFAVLPVALGVELVS IGGTLSVQLHEPVDERQHIHVSLLPDFHLNLKTTSLLGSRAKLQDIPKLEQLILSRLR SVIQDRFVYPAHISLALPRILSPSVSPTPLLNDLGESAVNAMSEAMSQGISRMVNDMM GPGASPSMDNTTVDVDEDEDEGLYEPLDEEAISRARELDREEGLDGNIDTTPSVGIGG RGEKRIPIPANLVSSTTTSASKIEQPIPRRPPYLSTQTSRPNSPSVTSYRQQVPEPIP TASTATPSVNDSQNQNQFRFRGQFASNPPTPGADGLGTMQGHGAGRRMGVFNSRAVG L199_001136 MSSNNVLQQSKVQPTASAPTQPHPPSFLQKKGLLGGAGRGGAAS IVSPTDNVLSPCSAKLSGAKQRHFQKGKPMLLASQLSQIASSNSNSSVKSDAKIDF L199_001137 MSTKQKFTDYLLEKYAYDFKLATQHEFLKQSGEGSINSEVLKEW LKQDYLFAYEGGIKYTAALLSKITLSPSSSAIRPFPASDLVPILSWSATNLLRETDWF LSVASQHGLEVFDDKPRERDMNKYGLLGEYSPVTRGYIDYLQVIGALGSIEEGMMVLW ASEKIYNSAWIYAKTFSHLNSSSDETQKALIKFCDNWTTPEFTEFVKRCEDAMNKFDI ELGSEVAERCEKAFKTILWYEQRFWPSVETK L199_001138 MPQQVKDIKKFLEIARRKDATLARIKKTSIKPPTGAALKTKAAK KAPTHVTKFKIRCSRYLYTLVLDDAEKAEKLKQSLPPGLKVEDITNKAPKKK L199_001139 MEDLRVDQLGQTASSPEDSWIPTPKAAKGKSKPASAPYGTKKAV KKDQNPLFEKRSKTFGIGGDIPPKRDLTRFVKWPEYVRLQRQKVILNQRLKVPPAIAQ FSQTLDKNTATQLFQLLNKYKPESKQEKKARLLSEAESKAKEGDKSTTKDSKKPVFAK YGLNHVIALVEAKKAKLVVIADDVDPIELVVFLPALCRKMGVPYVIVKGKARLGLITG KKTSSSVAITDVRSEDQQALANLVSAAKANYLDKAEEIRRHWGGGIRGNKSVAKLRKR AKALGQDAKKIDVSL L199_001140 MADASSSTAPAPEAAPVQPEGTYSLEQLKEHNTRESLWMLLHDK VYDITAFMDEHPGGDEVLIEEAGRDATEAFEDVGHSDEAREMLPKMLLGDFYGEKKSK SKKSLSSTGGSTSSSGFPIWVVPVALLAAFLAWRVFLA L199_001141 MQKTKGDTFDLRAEEDAARRLNVGKSINVSSSISGLNSRAKRTD LIGKVALKEKVDAIAKDHGVTIDPEVSLYLLSTIENRIKSLFSSAIKAQQHRTQFSHL YFPPLTKPSHTDSNNDNTNAGGSGKGGRAMWSSRITSDPSQVLDLVNKSYREEEQEFR KSRMNRLAKEAELQKIRDRASSLSLNPDDGVGGSGPSTPISKPSPSSYSTPVAGGSGG GTPMFGAIRESTTKSGSSSSKKGKINPRDVSAEVQHKMANATAMRSVGMGKKYGWMTG NVPSISSPLAGGGNTSKKRKLEKEKDREKEKSKLSSNVTTNSPIDGGSNSNKQTPEPS TPNTNTSDIEKEKERPNKRSKPTIRQPTRRLILVEKEETEGGDVEDKKVEDDKVLTLL DLVFAMEHNGLDGKGIGKEDEILQKVWARKGGPWGEDGWDGRK L199_001142 MNPLLAASNATPGGAGVDDDKLSDYVPNSRDLSVSRAPSAVASD EHHINTAPQTPEPEQEQDSEDELEDEQFDEDDLMNGSSRAAKKAAQSSARKAANAERK AQVAEIGKKRGAMEQAKFADSIKRFQYLLGQTELFQHFIDLKKQREPEFAKMLDEQMA NQSGKGKKKANDHRHRKSEKEEDEELLKDEDAEDEAYVFEESPPYVKGGKMRDYQVQG LNWMASLHHNGINGILADEMGLGKTLQTISFLGYLKFHQNIPGPHLIVVPKSTLDNWY REVNKWVPGFNTLVLQGTKEERADLINKRILTQDFNVLITSYEMCLREKSTLRKFSWE YIIIDEAHRIKNVDSLLSQIIRTFTSRGRLLITGTPLQNNLQELWALLNFILPDVFSS SEDFDAWFKTKDDSDSDAVVKQLHKVLRPFLLRRVKADVEHSLLPKKEINLYVGMTEM QRKWYRMLLEKDIDAVNGASGKKEGKTRLLNIVMQLRKCCNHPYLFDGAEPGPPFTTD EHLVDNAGKMVILDKLLKNMKAKGSRVLIFSQMSRVLDILEDYCQFRGHQYCRIDGNT PHEDRISAIDDYNAPGSEKFVFLLTTRAGGLGINLVTADIVVLFDSDWNPQADLQAMD RAHRIGQTKQVYVFRFITQDAVEERILERATQKLKLDQMVIQEGRSQQAQKVGQNKDE LLDMIQHGAEKIINNSASMLIDDDIDEIIKRGEEKTAELNSKYAGLDLDALNNFKSES MVNTWEGEDYANKRGGKNLIWIEPAKRERKNNYSIDQYYRDQLNTSAPKSDKPKISRP PKQVNINDFQFYPPRLVELQNKEFDAHRKSLNYVVPSREPEEGETAEQVEAERAEEQD RINNAEPLTEEESAEKDTLVGEGFPDWQRRHYQAFVRGVERFGRDALDKVALEISDHT EDAVREYAAVFFERYKELKDWEKVMERIRIGEDKIREQQDRIDALHRKVKATKFPLQE LKITYGQNKGKTYSDEEDRFLLVRMHHHGIERDDCYELIKRDIGEWPLFRFDWFFKSR TPDELKRRAHTLLLCVMKEEEKEDDKKPAIKGGKKRPIDELKSGPGSRDTTPSSVGGQ KNKKKKV L199_001143 MSNLLKFSIAPAVEATEAPPIPRAQAWGREYLNSPTYGDLLDLS QGVPKNAPHPSVLEALAGSSSDPLSARYGPILGELALRKAYAEEIRVQYQLPSSSSIS TSQSTKDTAKLVPGSTSNQDGSTQSPEAEVSKGKGTVNFDDISITTGCNMAFLVLIMA LCPSGNSSVMVPLPSYFNQMMVFSLQGVKPIYIPGDSTNGFKPDIQAARNYLSTVNEE GKPKMIVLVSPNNPTGAVYRHEELKEWYDLAKKNGMALVLDETYRDFVEDDQGGRGVP HRLFEEEDWRETLVCLGSFSKGYRIPGHRLGSIIASPALLKHITTICDCMQICAPRPP QIALSPLLPALRSDLEESSKALSHRRNLFVDVVTKVQGWKVTSIGGYFAYVSFPKEYI HASANVGLKRKRLGSEDIALILARRLGVVTLPGSFFMPDLKDDEIWEKDVLKGGEGLR EDIWLRFAVANVGDEVILKLGPRLEEMNKLMGIGELSQTE L199_001144 MEDIIPTIIIIVAIYFLVRWITGSKSGNNTQGGIRGVTPSMVDT IHGAFPHVPLPNIIYSLSRTRSAQATSEEILERGALPTPPPNFQIPASLLPTTPHPST TTATTTTPSSNNGKSQNTKNSSLIDRYNLSSRIPTPSHKGKEKELPDEVDSGASTPIS ISETEGKKHWEVTREKREMGLRERKEKMILEARRRMLEKQAQAQAEAQNTKPTTA L199_001145 MLFKSTIFISLLSLPSTFAAPAPAPADEVLGPQDATCDLRIPEK TKLILGGGKPDIPGPGSYNWYWSKEEFEGIGPKGVTPDRDFKWAVDEGDIAYTFNCWI RASNGFRGWETIELGTNPRTVQYLNGHTADALAIACPTAKCDRGDCGTMETWDA L199_001146 MLYNTLLTLLAVTSSFQLSTANPINPRGEGITVKFHMKENTAFG YSDEPDQTLWGSRDETWAKVAWTDEEAESIPEDEYAYKNFTLKFLKTATTVSQYDLKC QARINSEYEGGKRYTVKWDFPKKMKDDSGNDDKLAIACPDLDCHIDNCDDKATWDF L199_001147 MTLTDYPTFSESPFFFDRRSASIPEQEDGLVGPHSAPRVAYYHP KNVGNYHYGERHPMRPHRMELTNQLVLGYKLHEKMTMHAPRKATEQELLEFHDSDYVD FLKRVTPKNAQNLTKDWTKFNVGDDCPIFYDLFSFCQQYAGASLAAARKLSSDSADIA INWSGGLHHAKKGEASGFCYVNDIVLGILELLRYQPRVLYIDIDIHHGDGVQEAFYLS NRVLTVSFHKYSADFFPGTGNLSEIGSDLGKYFSLNVPLQDGIDDESYISLFKSVMEP TITTFRPSSIVLQCGADSLGCDRLGTFNLSIAAHGECVRFIKSFNLPLLVLGGGGYRQ SSVARCWAYETGVCLGLNLPNDLPETNYTEFFGPDYQLHPPLTGKIQNLNTRQSLERI RMTIREKLRYLGGAPSVQMQEIPNDLMGFLASEEKDENELIEEEPETRKEGKLNNGDL LEREVNGLGNGENVGGLPLGGMRRKTSVRI L199_001148 MDQPVASSSRRSSIIHQEEEDHTTRLTLENASQAQIIRAHQRDS STIYQLIELVSEITRNTAGTRWLAQKQSVIDILVKGLYLSLTFGRGYSTLGEEYTDIL PFHLRRGRLPSKKRRIITILLLLLPSMIFSPMTTNYLRTTTSNEEQTQQTRLEKVKRG LISLIDSPLGRIIPEIHMILFLFRGKFFELARRLTGLSYVSTLPPKPIERRAPSYEPL GLLMLLPFLYRLSTQLHSSISSSSSSEELSKPQGHLAIPTPYKDDILPLSPPLTPPLT ARQTILLESHNTAKYDEANTYLTPDALELPERQCTLCLESRGTGEGSGGTTAVTECGH VFCWGCLGGLEKLECPLCRQSLRMERLVAAYNL L199_001149 MTTSTPQRYPTSLSQANPHPNSNNSGPSRTRPVIRSYPAPSIDS SIGSPPSSSSSSVPLNEYSSLADLLQQAGYKETRVFTPEAEKIPRRKGIKKTFNEQDE DEINNLYGTYGFTRPGVNTPMGLGLGMERSQSEEERMIRHQHITEHGLPMKSSSSILR SLAIQDQISSSLPKGQQTPTGTGENAGSWWNGWVKPKISPVDSPSGASDTSASVEVGL GLAKNGEGVRKVKSTIGIRDRDRRAGTDSPPQEQRPPPPDARQRVISSPTRFMGNANT NAVGYISPRDNDVFTSTSTSPPPPQMVDEDEYGYSPLPEDYEAQCTEDEVLYSMGLND YTSIYSLGSSSNSNATSLRDTASIISNDSFRLANETSSTIREDRVVREINEFQMYHAY DNEIGRRILNDTVDVDRYLEFDSPDSENIELPNHDDEETRIGMDQGVPRIPLPITPQS ASSSSSYAWTEEHEQQRQPPVEVKKPLKYGDRATKLRIAHSTPALRQTALSSNSTSAV PLPEGWLGSIKSALLGKSAEPPIPPYQNEVSKPRGPIKISEAKPALPTLITTSPVICD SHCNEAVDLPPVPATTRPKLVHHTTSISNLAMSLMSKPSLAKLRSAMLGQGQAQETIP KEDEDNLVLSPRLNWDEQGKQFAGWSPYKSRSSDSPSQSQGLFDAGRGDIDYSKSFFY KPITPPKSSSTPTTTVTPSTPPSNTTADKGSLNKKRSIKSLKAALLLPVAPSQPPVPP IPDHLSHLATPRKLRNTTGPPILAIQSPGAWVPRELVLEGEEWDAREGDWGRGRGRAS GVGGGGGKVRRRKSKKIVRD L199_001150 MSTLANADLSSDSENDGDYVPTSPKRKNKSQKGSKRVKRAKLDG EESDSATSSSSSTDDEDQDQDDKVNGKVDGKVDEIEERKRKAREEFERMKAELSTFTN DTKGKESGQEELVEIKRARRFAGEIIYETVKLKKDDPETIAFFSKQDQNRTTSESKEG TTNTSENQSDIPKDDHSSSIPTQGQQKQSPITTSAPAPAPRPKGPPIRRKPRQSLEAM SAALDKGKKMTTLEKSQMDWRSHTTSTTGLSDELALNRKNGGGYLDKKDFLDRVGERR SDTFDSKR L199_001151 MPSRQNLLIISIVSIILLYILISKSSSTASTPIPNDPSRHETPK GKPAFKQRLVAVGDLHGDISNAQKVLRMAHLIDDQANWVGGEDVLVQTGDIVDRGAHA LDIYRLMQKLRGQAEGQGGRVVSILGNHEVMNAIGDWRYVTQDDIKVFGGTKRRQEAL AKDGWLGAEWLANYSITASVPLSPHPSSPKLSFTHGSLRPSFPNLLPYPDAINDLGRS LLTKALTPPLNPPYPPNPYSGLPKDHTREEAELYAEGGPLWWRGLAEREEGQVCKWAE ELKEKLGVRRIIGGHTPNFEHIVSRCNGSIIIIDTGISSAYGGVLSALEIVYTLTPVK EAKEGHRQDPFILASTIDQDEDKRQGERVIEDEQVDAKASVRGGLKGRYIEREEVHAI YEKRRKWIAVEEREVVL L199_001152 MSDSPKLNLPVFEIFKDKRIVLASSSPRRKAILARVGFHPDIVP STFKEDLSHELFNYDLTKYPIATCTEKAKEVFLRLTHDENEKQFKKPDLVISADTVVI FPPSSESHQGHVGRILEKPGTKEEQLKILNEMNGKQCEIVTGISILVPAKNLQGVYKI HSTSASTLVQFANNSKDFIQAYVDSEEGLDRSGGFATQDMGGVLVESIHGDYDNVAGF PSSHFWRWIHQLYDQAIFDKAWN L199_001153 MGKAPSKSSLTRSSPVGPKALPLPIFQKLKDKRVVLASASPRRK EIFAAADFHPEIVPSTFAEDLPHSRFQGRLADYPIATGAEKAMEVYERLVKENEYDPP DLVISADTVVIFPPEKDTVEGGQYHGETSEVLEKPINQHEQIRSLSSMSGRQCEVITG VSIVYPTVEAPGFKVQSISASTLVQFYDNSKETIQAYVDSEEGIDRAGGFAIQGLGGV LIEKIDGDYNNCVGFPSSAFWRWISELDSEGVFDEAWKE L199_001154 MGASSSKAARRLPTSTTSSNISRATAQGVKPSTPPYGGHPPPGS PKPPPDGQEPDPLMEAPTYLEQGLAGETRTPSEQDGKVQPGGRGVNVGADADTKGMAR GGMGRVEFSGAKDDAITKDAMDPQFMNNLSRLGQVRIQDAGEFVPTQAQRTLLSRSSH PEYTTPLTPFSAPPTNHLTVPLLVSLLDKLKSLSPTQDVSAVYKEYGVEKSVMDDVRR FVNSVSVAEEDEVRVEEGEEVREMKAIWV L199_001155 MTSQVQENTPTSDWETVRSTMTMSEACAWESLDVESVIDGVCHP VSTTSPQPPLGLEVSPPRTIRVTASPLPSPPSTPPFTPFAVFSTEEMNRVPLPSFSMP LRSDPPPPPQPCPPEIPREDSMPVSVGVRQSVEGGDRTVGGSGTGGEGSASSTKEEKK RKSGDLSSSGDESSGPVTPKKRVTYLPTPSPSPTKRKRGRPPKPVAPPPPLFSGASVV PTPQTFWEVIEAQSWDEIDRITRKGWKVPQRGPAREVWSRKEDE L199_001156 MAQRQGRRSLGLAPLPGLPSKVDNGLTEAQKFEDFRRRHSKQNK DIILDNVSRKSMIKGLQDDIAALHTELLEVRQANLILQAKIKRVQKDANKNILGGNGS QVFEALNQLISAFPALEQLRDNLSTTTALQEDEDGSQRKGKGGMGNGWVVENTYATRP AEVARQNHGLWSLVEASENGSESEREQQDSRKSKVKQKARHTGVGSIANSRSPRRSTQ SPIVTYVEINSPSPSSSRKSVSPSPRKAKQHIVGEKKQRRRRESGLITIPPKSPSPSP SPNPLMHEDVGEASEWEEGKAIELSPSDTNLNEDVSMPQDILNLAPSATGSGSGLREV ELMDTIREVSSSDSGSGSSSSRQRPSTGLPVPSEELGNVEQEGGIGRGRRSRSSVNYK EPSLSKKMRKPDGISTEEVLLSTNKPPSRKSLIPNPSSILPPPSTSSLRPSTPRRKPS SSSSTSTSESPLSPVPASFEVSQSRNTLGLAKRNDMRRESTLPNPANQKIPIEGENKD EENDVDDLVDLQLESELGLGYQDDLDNVQLRTEKLILNSPSKLKPSSVSTKKNGRKDI TPPNLPNSADNNLPLPKRTSSLSSTSRSTSFRPTSVTIASKDFSGLGLGRPIMPSFNT GSNIAGRRNITPMPTSHARLSVDSSRRKTEQEIQILAEGDNVNIPTTTSSTTTRKVSG GDTLSKRGTMSTTRRRISAAV L199_001157 MSTLFNLKGNQSRTFKPKKVPEGTKQWQLKQYAQQTLGSGNLRT AVQLPEGEDLQEWIAVHVVDFFNHVNMLYGTISEFCTPTECPIMNAGPKYEYFWEDGE NYKKPTHLSAPAYVEALMTWTQSILDDEKHFPQQIGKRFPPTFMNTAKTILRRLFRVY AHIYHSHFDQVCALGIEAHLNTNYRHFLLFVDEFQLLSEKDLIPLEEFNKTILDETGR R L199_001158 MGTSTYVAIAFLPLIILLAPYYIEPYQLWDPIALNDQADGQRQT KWCNMGWWEDTDSFPSAANALGQKLMNFAKEAGYAGGGNVLDIGHGAGESLLLHLNSS APPRHLHGLTSLSADTKYAQNLLDIYTPERAISTSVQLYTAPAQFNPTKDLNHPLNGM KGFMGEQKRALAYSDEDELLEETDLDLTQGQGQGQLTLSEDAPPPYDLIYILDSIYHY PPSLIPFLQTLKPVLRKDKGIVVYTDILPPRKGLSRWKSWFVSYILSVPLPNLTDRSA SLEGYKEMLEKEGWKDVVIEDWSEGVWKGFSNNLIGRGGRWEKVGRAVERVEKEGWRF VAVRAKKV L199_001159 MDMSSHPLLSSMDEPPPFPSAYSQLRRLDRSVVCQICKEPFQAP VSIGCGHSFCSSCIRSSLNVLKKCPSCNEPASEGQIRRNRALEEITDSWEESRPIVYD LAKPSPQPQISKKRPAQEPNSKPSYSIGSKRIKPNSSREASVSRSRSPTKSRRSNESD HEEGQNGQAEDEQDDEVQELTENDEAPCPICQATLPISSIPLHIEKGCPPPKSKLNGN GSGRGNQKADWKKVFSGQSIGSKNKDKEVEMKRITKPNYALATPAELRSILSDYSLPT TGDKATLISRVQEWIILFNSNLDTSHPSSLSALRAKLSDMENSKKRDKERGKDEMINQ LGSKDGLQKYAKDKKSEFEKLRKEIIERDRKRKEQEDGKGGGKDNAIEVE L199_001160 MTGTISLFAAVFFFILYVVVWSLCLLGWRTARIRYAHPTLPSRI SSLSPSSAPGVTIIRPLCGLDQNLYNTLESCMKLDYPKFEVIFALQDENDEALPVVRM IMEKYPEVNARIIIDGTRIGVNPKVNNLLRPFQEASYDLLWVIDSTISVTPGTLGRAV EAFLSNHDVRKPPMSGEVGLVHQVPIALCYQKTWGSLIEQAYLNTTHAKMYLAINAVA VDSCVVGKSNLYSRSSISQLTTPSPSLRQLPNPPTGLAGYSPFLAEDNMIALGLWHQL NLKHAMTPDVALDFLGALSVKDYIDRRVRWLRVRKKMTPIIATLLEPFTESLVAGLYG SWAIQRLLGANIPALFLVHLFIWLMVDLSTRRSLETNVRSLKPPSSQGVFLLAWLARE CLALPIWLLAMTSDVVVWRGRRYKIVSSGEAIRLDDDR L199_001161 MLRLLRSPQLLRSSLYKTPIQNVHRRANHTSGVTQSSTATRTSN PNTSSNSDWINAFFMGLTMGPALYWGMYIYSSRNERIQKEKWDEEKWKKDVLRELKTL QAKMNDKTIGGIGFGGTLGERQHKHNLQ L199_001162 MTDAALHGDKLNEERAFIKRYTEGLSSHKVEYPADFSTPLQDRP RKVAVVGVEVAEPPSAEGMDVDTPSQDTVTITIKCLKPSLTLPITANLTDTVTDLKSQ IAKSAATAPSADSQRLLLKGKALTDTKLLKEYDIKDGAILHLMVKVATTKELTSDEAT FTAPSSTSPAPPALTITTSIDGIEPGTSMPLTNIDSAAPPLGPQPQVSSASFHQTIAD PQFWQKIHALCVSEFPLEDEADAAWETFLVSMKGKLSAGEAAKIRDVVGVTGMGGQMA T L199_001163 MAEPPQKRFRYATYNEQIKNISVDVGKKRGLGWEKEEFDEDTEQ SHTPLTSELDRLSLLDLTTPYQDLQRSLIPLSSTLPITLYNLPIIQQTFSDYYSKLQE GQEYTHSGLDSALYLHQALYETCLGEALPHVPDTTKDLLRVGALRALDPKLVERTYST LSLILRSIASSLLKSDESSQTILRATWKNVRPYLRPRENKRYVRKCVADAWVGVIRKA RSEGLQRLMDVLLEEETGGMEAVWSHSLKGTNSQLHSRAIAIYDILLDRLIANPTEQQ LATVNMVSTALVHHCSTSNIKPVIESVISRCQPSPSTAGSSSSPIINLLQSSMVLRVL STFLLTRKGKRFPESLLKPLMQRLQSLLPHLAAEASLDQDYIPDEERKTRDTWRKALV ACVVGCLQAGQLQHWLSPGVGLIENLWRQLDDRECFAFANALISLKWPGIEQFLLSHI AKKSLPSLSNDPLSTLVLLNNLASAGYLSGGLSNVQGGRWRQAFISALVALSKQIKEK GLEDVNDRRILGQILRLIPALPSDADQFVPHVTGLIQSTLSTVKGKEVAQIRVGWAED GAWNDSHLLGSLLRAAQDLLDSPQVTADAELRALLVDEGALADVIEKLYWNGEVLTAC SSLIERWSGDLLWFRLPEDHILDLFFPNLLSADSELRLSTVHTLASISSKSPPANAED HVPSPSGIWSLCAAVESSEMTLKNVRERTTSIARLARGILSLPGSTLKGSSKLLNGVI TFLVSQLKVNFRPIYAETITALSSIAEKQGEIIWEIVWEELQKTDAAEGSSMLDLGVV KPSWAEKRFEDSESGRVEQDEDEAEFHCHNLEKSRTTLNKVWVQATQEDKLDQQEVSV QISHDRLDVLNYEAQLLSVLTAVPFIAEKHSRAVVPVFFGVARQADENDDQVKSTHLS TKQRQQRTASYLELLAKFVNPKAAYRSEELHTLYLNILAKGEPKLQGLALKCLMTYKS PKLLPYQESLETLLEDSKFRDELARLRLSATDQDYGVQSQEKSALAKTRIVFIDPSHR AEALPVIIRLLYGIITSRRGRSSSAQGQTARKQAVLNTLSGCTEDELKTLIDLMLEPF GEELENINVAGRQQIGFLTLLTDLIRYLGPQIIPHWPRLVKITIALVANAQKKLSQAN VDAEEEGEGDNAEEVEILQQEFDKGLAPLRNIRSMGLKRLVQFFRSSVQFDFSPYLPT IFDSIISPRLDRLEVENTQAPSGTLELIATIASLPNIARSLVEQDDRTLPKAFSCMTA VKVKPAVILKVFDIIDSLLLEDTPGLTEDVLLPNIRVLLDNVIGLVVRLKASANEDIT RRLLAILSRLSAIVSDGQQAQELASLLAPMLRQRQTTEKAKTNILSTLQRLYAISPDF ADPSSKYFTQNYELISNLFQTLFFPSSRRALFDVLQTFAKVDKSLEKAITITGELNAY SARRLDEPDFDRRLAAYAQINEGPDEELPQTTKAWLPILRSSLFYLHEPEELSIRTSA SAVLQRYISVIGQAESGPYVEALQHVIMPGIRKTLRSKQELVRNEAIHIVAHAVKTCS GVPELAELQPLLAEGDEEASFFTNITHIQVHRRARALHRLRNFAAENKIQESTLSTIF LPILEHIVAGSTDVTDHHLINEAVQTIGGLAGELRWSRYYGLIGRFMKLGSVKAQQQK IYIRVVSAIIDNFHFSLGTPAANGDAMEVDGEAAEAGNKDDEAEENEDEEAEQEKQID VGTSPERITEVILNRLLPSLSKFVATKDETESNIRIPLALAVVKLAHALPRASSADEV LRVITTVSQILRSKEQDTRDIARDTICKIAVYLGPEWLVRVIKELETALQRGPQKHVL AVTTHAILVMATTQAADRFSDLDEAVELAVSVSAEVIWGESGKDVASEGFKTKMREVR GATSRGFDTFQLLSQLVSPTKMSIILSPLREVMHSSQAVKQMQQVDEALRRISLGLNS NPRLAPEDILSLCYSLISGNSSYLKPKKKAVKPADTPDSFRVQMKRDNKGNADFYPQN AHKFVVFGLDLFVTAFRRGKFDFDDVSILSRLGPMVNAIGNTLYSPASNVLTLALKAS AAVLRCPVPQVEPALPIFVTNIFEIIKHAGGTAESEVAQTALKTLAVILRDCKSSEVT EAQLKYLLEVISPDLEEPDRQSAIFTILRSIITRKFVVPEIYDLMERVSSIMVTSQST QVQDLCRGAVMAFLLDYPQGKGRLKNQMTFFAKNMEYSFEAGRISVMEILNNIFLKFS DDLIEEYADMFFVALVVVLANDDSEKCRQMAGELLKSLWKRLEEDRRSKMVSVLKSWV GKREDNTVLASAALGVFGLLVETGQGEGLVEELIGVVQPIIEESAEALLEAEASEENV VLDHGLPHQALSSTAKAILQSTSSSSSLPWQAIISQLLFPHSWVRFDAARLLSNYFTS SGSAYDILGEEALLDIARKGCLVLNGSKGGEGEFVFVDGKLSDEVVKVLYNIAKHWAA TQESDEELPTADDVQADAEDEEAEGETERRNPLSWLMSRMSFLARHLLVNRPATHSFQ AHEKWSAPILSILRFFAGVYEALSAKQGKTYLMHILSPIYRILDEGGDLAKNEDGQIE ELRQLSMQIREFVQSKAGTSAFSTVWEKLRRSTINRREGRREERVKLAITDPVKFAER KSKKVIRGKEGKKRKMNVFNEGKKKSSNFRKRRD L199_001164 MSSLTPLRPLSSALRQSYRGYASVLNTPTEVAQELPLRLQAIKL YKELHRLGRDYPDPKYDFNKRLRRAFEKNAKVTDPEQLKKQLELGEHIKKEVLALISL KKFRHLRRTYHANEGPR L199_001165 MSLLGSSSESCEITPVSSRSATPDTSNANVNPLNPQGLKPCCAC PETKSKRDDCFLKSAPGEGDVNCREFIEAHKACMRGYGFKV L199_001166 MSPPSLLSSPFPPDTPVDRPLPQSENALDDREPKHVEWEKVLWR RQPFPDDYVPPDFLSELDDLPPRPIPRLIPLFFAALPISLHLSVIALFLAVFHALLEG NVSPEDVGWKCVILGLAGWAIHKYGWGIHRSQPQEVSIIPAPTPLRTLILPPLLLSLL SPVLGTLTSATTSDSIWPLAGGLGFVHVLLADFRTGEDLRVRRRREKTKRMNKAHKRR GSVGMIEVEEGEEKSLTSSLSLTSALSASVVLASRLPSTSHVFSLVLLAVLLFAGWPP IAKGVREAGKLLSLILTISMATLSISLFPLRDDSSPSHIIGGHEIVPTTPTLILLISL CLVNIIGPMMLIYAWRWKTRRGGGWDVAVVKLRKGRSGPVS L199_001167 MIEGNGFISAEAGPSRPHYDEYRPLTNGIREDHPEGEIGNEGDE DDLEENQREDEATTLEDILTSSSQRPPLPAKASSTLLSRPQLSSRQSSKLKALHPLAS LDFPSSIHTSPSEVFDLSSTPGLKGTTYSSLPPSPRGEVDFEFTVPPPLPPQKKGLGI NELGSVLDKLTIPSSAHLAADEDEGEEEFWPARSRRPTITENTYTLNDAQEDGIRISS PEPEEYNHHLHNSDNEFKGLNEEGKIEISGIWDLLKDEMGAEEWDGWVVDGKWERIAN FLAVPLAVEKTTTFGALLCLDGFLYNFTVLPIRSAFAVTRIIKNAYKRTEWWPVPLAH QHSILRMLLLLIPTIILLGATDSSKMYHSVRGQDTIKLYVIFNALEIADRLCCAFGQD VLDTLFARETLSPSIRKRGKGRKRQQARPVFFFALSLGYVLVHTLIFFYMLVSLNVAI NSYDYTLLSLLISNQFVEIKGSVFKKFEKENLFQIMCADIVERFQLSLMLSVIALRNL IEMAGSEIAFLPKSFIRGKSLLDSILSPVLFVIISEMIVDWLKHAFITKFNHVRASVY ERFTDVLAKDVLLAGSSGSGRSKVRGRNHQVLLDQSPLVARRLGFASIPLACLVLRVA AQAIGMLSTSSHQDESLNDLTAGDWVWTVLKWTSWTGVGLCAWGCLVFLKVILGLALL SFSATRQEGMDAREAEDAVNDFGRSAVGESKEETEYNKQTARYLSHPNDDLPAYPSPG SIHTATTPFSMPKSVLVNNAKRMDGGNMSDPEKVISNSDKEAKDKDKEKGGGKKGKKW KLEEVERWTMVKRIW L199_001168 MAGGHGGFEPVKLDPAIERWSSMRENVYQHFKFTRRATRQVFTW GFMVPALIATIAVTFDNKYDWAGKQKGSSLLKGTPAKPTPSEE L199_001169 MSYRPRSRSRSPEYRPRRYSPSRPLSPMRDDIPPPRRYADDRGP YLPPRISPPPPPRRYDDPPTRRGGGYDDYPPPARRYDDDYSRSRYEEDRYVPPPRQPR GYDDRDRDWDRRGSGMMADREESRIPPPRREYNNGGRDEPQWERGRDVEELAAQGDDY PNSDYPQQPKRGGAKGPSEPSRDIIFLGLDPELTEKDFSGYLRSEHNAVLESVKIVKD KFTGVSKCFGFAQFKTLDGAEEFININYPAVLMPALYSHSNPRKVKIDFSATLPSSEG HGGAGQPYVHQPTYVRPAHDGMKDIGVFGAGKRVLLLRGLDGSTTSNDIVSRVSQEIA RMMGKIGKEIAAESTIVRVVMIVDRNVRSSWGYAFVELATAELAAALLPFLLAPQHQP NGFVINYVPVAPSFANPAAFVPTPAGPLGGEFILRSSRNGGIASDTIEQPEGKWCAYW HQAGGAVETLTRGAPVIDEDGLIQLTPDHRSFLGHLAGVPPQVPQSAPAETAPTSMAP INIAGGVQPIKIGGKGKKKEEMAGIIPISGKNLLGGDEEEDLVGKDSVLLSRSKGVYI IPPTSSSRKIAKNINKWNTKQSELAAPEPTVDPNAPPRGISDVNSTLGVRRPIGSSLG GEQSASPTGSGSGSGSAPGPSKSPQAANSSDDFDYTDVSTLATTGKVACLLCQRQFKT EDVLKKHVAQSDLHKTNLADANVREAGMKRKTALASSSSTMGEGSQQPKYRDRAAERR EAFNQPSVPIPEESPSWQLEQAKKRKFAEGPKPPSPAPPPPPPGMEPGKDESNVGNQL LAKMGWKTGTGLGKDNEGRVDPILVQQFENRAGLGASKGVEAGRWSGPGGFQQRALDM AKQRYDSSSSNDNK L199_001170 MSGSASTSQDAESSVAPPTKHQYTSLASLLRKSPKPDTTSQDEQ QLPGRRTGNAGWGLTVEDINRERRGSNASRSRSRTRIKKKDDDKSNKGRLSTWKMMAL TISMGGSQIAWTVELGYGTPYLLSLGLSEQLTSLVWLAGPISGLIAQPLIGAISDSSN SKYRRRYWIVTATILLVLSGLGLAFTEPVAKAIVDLFGGGQGDWDPKSVKLVKNTAIA IAVFSFYCLDFALNALQASLRNLVLDVTPGEQLASANAWHGRFNHVGNIVGFTMGFLN LANVPVIRLVGGGQFRKVCIVALILLTITVWITCWTTEETEKESIFGERRSRIRDVIG TIYEAILHLPKPVRRVCIVQIAAFMGWFPYLFYSTTYVAEVMTHEIGHEPDVDTATRA GSLALLIYSFVAIIAGTLLPYLAARDRRLLKPVSEKIRDGELQADDSEDEDDIEMERI REMVREWKADAARQGRPLKLPTMPFMLRNIWTAGLLLFGILMGSTFFIKKVWQATVMI ALVGICWAIACWVPFAIIMEFLKEMSDSPPPAASRSGRSAVPTSAGPAPGYRPIHSRA ASTPVGPWRSVPTSPSRRERDPDERTPLTRSYSTADIENAGESMEYTGSGPVAGGTIM GIHNLAIVFPQFIIAVVASVIFKLADQEPDIIPSSGGAEGGGGKNGVAWVLRFGGLMA LVGALISRKVPPTKTEKAMRRRLAEMREESAE L199_001171 MSRNPQPPSSRYKISSPQPYVANELRGAYISDNGPYPSFSQAQQ PSQPQQQQAFSPPDGYGHLGPPQHRQNGGPSRPAPSAGSGGGPPASPARPARSRMREA PQPPSIPNPSYSQAPTPAPAPAPIPSRRHDLRPIQTGGLDTRRPSAGSNGGYDMAASP ISPISPHVANQQNTTSFSDPFAADRSQRADLRAQTSAAAQQASQPFQGGPGTDKLRNV VGAFMSASKQRSVDEPSQPPSTRRPNRSEARDKARMKEEDQWEVGLGESDLDQVLKKI KKDWPFVLESDFSPSTLALSLLSSQQHQQTSSSLPSHPNLSSFLRLHESLSSALQAAV QAHFQSFAASLPQHATFIATLSKAQEQVKKSKEALREARDGFAGKGKSELSGIRARER MVRDMLKILDTIDHLKQIPDQLESLIGDKRFLQAALILVRSLKTINKPELAEIGALSD LRAYFVSQETTLTEILVEELHNHIYLKTFYSDSRWKSYVPGQQTLPIIGPQNDDLPPL PPSTDLTLVTSPTINGDVIPLAGPGPSSRFSRYLNHLSAKPSHDPLLDYMDSDLNSAP DVPSISHQRSGSNTIGQAGSHGSLSSLLGPSHGGTDSSNPEADSYAYMETLLEALAAM GKLGSALDMIAQRVPGEIHALVETTLDEVEERSEARREEVDSSLRPSSFLGPSPDSLS TSRPDSSLKRRSLFSPGETHRIEVSLGVTGPPQHAAILRDLFWTLYSKLAAVLEGHRV IYEVARWISSRRDFKDSSLSNASTSLTIPVLEVWRPVQNEIRTLLRTYLTDESQGSTL DRHPIPTINEVLRDGKWGRDRQKQMFKFADTDSRAVQNEIKPIDDQLQQALKVSVPGL VSMQVAGESTLTVLTDADDRYSSAGKHRTLIPPNAFNVTTLFQPTISLIQRATFIVPP GFEDATGAFSTVLEDFVVKVFLPQLDERVTASFQQAVSGYDAYQVDRSIKQDVVIPPL KSSVRVMVLIHSLCMMLQTTPFHRENYSRLIVGVIVQYYQQCSSRFKELASLPATIEN PSAPLALPAIWAQREDMIKILTELRAVPESDRAGLTSVEQKEIKLELDLIGDQGLVEK NLINSMRKLEALGNLSQSLRWFIDSLLDLQSVAEEPLSPDSEQTASDFMSASAPVPVP LANGDTPRLPLTRAMAQRYEAIIQTYEQLAEMVLNTIRLEIRCRVICNLGASTRKGDF RLESEALEPDPDVLDLNGSLMEIEEIAERTILGDDHSFIFRGLGQLVDQVFISSSKNI KMVNPAGVRKIKRNILALQQTLRGIAPAAKEGQLGKALEFWELYDKGPKEMLEELKTS KPLFSFDDYNTMLNLQCKADQDDAPSSELNTYLIDLHALSMSIEGWEIGES L199_001172 MIDSFNQTITDNTTLSQNQNQNQESDSSISLIEIILSEVPFLAL GFLGLTIITFYSTFNRSNKRILLLEISSLTACFASLLNLIGMFYNQDQVAFFDFQDIQ EDSLRRFILGMLGVKQVLLAVSTGTMLLYFHMSLEKMRKSQTPFPNNTIESGVGGGIR NEKRPKSFTEMIQERNRTYCDAMDGRDSQCILGKLARLSIVLTIFTVFVLDLTWRIGF LFDLSQASLYRRINIAGFSLQLILYLIYSSCPIHHLLQTSPSFRKNVLRQYGGLIGGI SLGVVVVIGSLASLGFSERVVGRLFQGVQLYVLITSQLILDFTPIVNNQSNAGSITHD QNVKDQYNSQEAFEVLSIPDASTFRVTPPPSISTPSPHPIQSGGKRVSFIPTFTSRRP FSGSHDHGLPRNIDRRSRTSVSSRIKTWVLSGHPSHSLPLYEREVEGRFLTVPSIQRS SSSTNQEGTYKTQQNTFGRGPTPPPKSRNRSSGSMEPARRSSQTIFNTLTRFSQGQFD GIQDLIGIRRTTPSPGARKLVLGSESGDVEGLPSSGDQSRGSGGSGLLDMPLNFPRPP SRSTSLPGAGVRDPLDFESMNVDDLPLPNRLFVKEQGVDMKNKGHGRMRSDMTHINVT SFIEGGSSRIDSMSSTHPSNRNHYENISSLIQPSNWMRKAYQSELSQVPSATLTLSSE APTEIYLPIPPSKALSPTTSRSGSGTASEETTPTYSIHDVREAKISRVLMRSNSQPIL STNSSSSSIPITFENDTLPPRRRRSDNSDSNLPMPESPTLPSSANSFGNSNGQVKMVR AATTGQEWYKKKYGVI L199_001173 MSITRLVRSGPRQVSRISSTALRPVPITTPKYPSSQNTFRKTHT LLSEPSVILSRQYASAATAIRPPEPIPIPIEDEIISRPVQGAGDIVPVLQDIQNLLEN EIGGDDVWSKRIRNSIEDLSVERRGRIAVIGDSLAAPRDVVSALLQDPLADTEASRRA LLSRHEGANIDVFQIGHGPNPHREPESLSLSASWLQVTGYDVVEVNTKKAEETISTLL STDSLLLVLDPIRLIDTPQISSILPLALSRGSVHLVINGHLPPNTSQTAIEAKVREQL SKIKVDPLEELTFDPTSIPVSFVKAEKALNALDALAAGLQDHGPSTSASKTRAFEVFQ KQFLESHIGPLQSSLLQSLKSVSEPQISTSRQVAGLALSHVENTISTDRDIVKDALHT VSELRRGAQQGASKAKHLSVASRGIEGGLVEGSVEYDMNKVKNQLEERFNGRLSWLGL IGRTRVDDVQFELTNHLNNHFATDLEKQIIFESGRLSHLQIQLDQLSDHTIRQLSHSQ SHSTSTTHPFTSPLLLNHLSTLSLSIPPLTPTSLTSPISTRREQLLTQSIPRLHSSAQ RALLTTYATSLLGVSLSWMSYVPPISLTSAPTAVGLGILSVVASLALGQRLWGKAQKK FWRDWKRITGMMKGDLETRFDTALRTQVLAKPLVAAEGLEKLIQKREKRLDDLQDKIN GLSKRI L199_001174 MTDQGYISSGSGSGRVSGGEGGDRNSIVLKVGMVGDSQIGKTSL MVKYVEGSFDEDYIQTLGVNFMEKAITIRNTEITFSIWDLGGQREFVSMLPLVSNDAV AILFMFDLTRKATLNSVKEWYRQARGFNKTAIPVLIGTKYDQFASFPREEQEEITKQA KRFSKAMHAPLIFCSTSHSINVQKIFKIVLAKAFDLKCVIPEIDEVGEPILLYVDV L199_001175 MVSPPSSIGSPELLCSPSSPTISFTGIRDTIPPVPDPKAASAFA ATSPRNCTSSPYAGLGHQRSHSETAHLLRPKAFSPSPSTLHPFTEMVYPHSHPHQGYQ HNRPSSPLGVGSSHFPVGGSHSHTHVNPQGHSSNRYPPITAAFGSQANFSASSTPAQS HFPHQTVAPHSPPYDHAGPSNLRRYASQVHRSHVSHRQRAPSGGHDANLRCSPDCTAA EAPYNLLSTVIGRASVSDIRRITSKPVKRKAPNDEDIEMEEDTPTTNPNGIVNDLSAR PNIQTRRSRTRTSSHLAPSSPFFSDSFAKPLMFDRKLLQSTPPLPPVDHLRDPPLEGD FFANYHKSGLGAHMTSNAVLLHGVEPELEFDLPRSSSAPAIPLLAQRDRGLSDASAAS AISTDVLGAHSTITTQFLMDEKPHESTLPSYVSSPQTISTANHSHGLPPTTSRFSTFS GARRLDLGEKLGSLGQIVGDANELTSYLGEDLAAALKQRLQPEQSEMVKEIKRKEEVL SEAEWSFDVPLAKALGDVVRGWVKGVEDKEHFQVAEYGCHKETPNAVLAETVRTLTLR SVGPGRPKKVLTVTHQCSPDFDTRSLQANLSSHPQSYRKIKAIPSPLILTSYSFAGFA EPSLPPNSVDVALCTNELSKLHGTIQPKPLYLFTSQAEEREQRSEKDLSGWLKLRAKE VRPGGILACSFAVRTAPSPEHHNNRRNDRPGNSSGQEGFVNPPRGSPGNYSMSLPTSP RTSIDEHVPNQMNITPMTEINNSPFVPGPPLPSPPMTNGKTRKYRPDIWQAMSHALSP AIQRLVSLGEIKTQVAPLLVDVPYWPRTLESIQNTLSKTYNEWEPLIDHSSEAAVGAS EEMKRSFSDDSDELRPNEYSPEEKKEWEDSGIKIFRLTHPAWIDYRRGKIDRSGYAKR IATYCRSVYEGHLKKVLREKGRMDISQCETTVQELFKVLVEKCELGALDALEIDVGII VLRRK L199_001176 MPAYPASTNVKRILATVPVNERKLQFEFGAWFSSMVEASLVEPP YDTEYKHDLAVMTWGAPDLGRIQVRRGIENVLYIDTEFHRDISRWETEASDWQGESDG LYQNFGAKAPDGMVFIKTFASITHTSSSTSDNYTCSRCRPEYAVRTSLERKYGAPGEK AYLVPSLIIALKSSDTPIEKVICEGLSYLSACHECCGTYLGLLIRGHTYCLVYAISST LFAVKGSTSMTKDQDIGEVMTVREYLNHFRSLPDILGTYPAPNLEGFKDIWRYIVRAI DLLVSCPLGKPVPPVDRPTGPIIENLRQLSSRDVDIVAITDRIAQLAVIRLFPIPCLT TKTMRTFLLPSGFLESDFPSELGEEYPDGPEDEWIDEEEREKQEEEDMLYLAQKAVLR KRNVRIVPLSSALFEPLIEEMKADH L199_001177 MSTSYPSNDHTPSGMSTSLRRKGSVTRSRSGMTPSVASKRGSAF LNASSTALEFGSISPKLEGDLMNETAGLKKVVEGLNKTNTSLRNRITDLETLIEHNTG PEMERLNKELATLEDLFAKSQKDNEAQYAESERQKAYVKELENLLSTSLGMDWQESHN VYPPAPTTTLVTASTPLPPPKPSHPLRHSVSFSNKRSSSKLHKRASSVMDLGLMSLQA VKEDDMGVDDTPTGALRKLSGPTSTSIKMKENQEKDKEKDRYILARTLEAEFSTSTTF QRSLKSSSTSRSQSHSDLHTMDIDVTHHQSNKPEASRSDPTSILPNVDINQLNKVLHL LSSLDPSTITDSLPRPQGQSQDEQTSFHSANSPQDMNMFRSMRRMLESHQKALTDRET RLNYIIQMAKEKEERYATTT L199_001178 MGNDQSRTLGGDDAKAAEDRPPNYYELLQVDEEATADEIKRSYR KLALVHHPDKNPHRIEEATKLFADMQQAYEILSDPNERAFYDNHRNVPVAATDDDIFD HVRSGDKATNDPKSKLNKRRHGDPGVRLEQLMRFFDPKLARKVDDTNEGFYSVYRTLF ALLASDETLHTPPDSPPLVYPSFGDSTTSYAPSQGMTRAQKDSQIWVRDFYLVWTEFV TEKKFEWVAKWDLERAESRDIRRLMEKENKKVRDDYRKEYNETIRQLVLFIQHRDPRY KAHQSKLAREKASAKASKANSGTSTPSGAASGIDPEAARRRHEERMRSAAEYEEQSWQ KLDGRRSDEEDDEEEENTEEPETGDGTGVRLDDGMGGEFFECVACSKTFASEASWANH ERSKKHKQAVWRLKKEMMAEARAMGESREVSDEEDEEEDGEDTFEDAENGEVMEESEN HEAKDAEINALEEELVGLALEESEDVDYPSKKSKKKAKKARNVPTPQHLLDPEPESLP TTPRPDDTPENDDDDQSDVPDSEKTPELSKKEKRRAKEARKKEEEEARKVALKEARKA AKKGRGSVPAPAQKGKGKAKDDGFTMPKQKGKPNKGKGKVEQVDEFSQEKIDKVVEGI KGKREKMIEKWGDDWTSLITKLQDILDRNSAPDGIGVLCLGIGKPFSDRTALIQLALL LELADSLGCPTSSIEVFDPVSDEGDKRILLAFGIDHLEENLMGKHALSPTKAYLLYLP HAPKQLYESLLQANFGPSLGDKPGRILLGNDLAEYIPGFVRANGEEKKENGASEEGEF VKAKKKRRGKGDGHREIKDGVLQRLVPNMSILHFNSALPETNLPGFARAFLSLAFQWI DSDNVDKVDWEKELPEVDWADGEVIG L199_001180 MPHQDDPGPSTSHHIHTQAPQIHITTTPDLVQPSTVPSHLSPTD EQTRGRSSGRKGDRDRQSSSGHVKHASFALAGEDGEENDDANSNSNLGTPRPFTSRLD TISSDSVKEKVEDGLDKRSWMSKVILLSRLRARKIVGHSWFKWVGPKLTWKDMRPVAR GSFSSWVGLVLLLIVPVERAIGQAAFFSLIVAFMLPPSQPVVQQFEVYLNLFFFNGLA WAWVALAVFIAGKTRQTTDPSKIAAAEAKYAYLRDSNPTTYRERILYDGTYLQAKPAV VCAIFLAVGTGAALWWKLRTQPSPATFPLVLSCVLIDIGLTTAVFYPYNLYTSGLLFF LPMAIQAGLGCIATLFIFPESVGHSFQSKFPGILNPLASAMKSIESLFQEAKTIPSDL NGDAGLLTTNEARREREFAEKLEDWAERSKDIRQQLLQSLAGLPPLRAQQRYLNVDFS YSRLSGEDLRTLFDHLALVQARSGGMAFFFDVIVTNARHTHLDSSAWSVYKVNQSRPG SRAASIRNENVADDSRRGSLADDSLVGGDGTVTPPIDHDDSTDNLAHERSSYFSGKKL HFASFIRKSSSAHGLNRDKGSHVSLLDHLRKIQQPVGVYESTRYMDIEKAFASDTEYV LEQLNILARGCLPVIRACEAALSTSTSWILNVNRDRRVIPKLHKKRQDQISANGSSEK RSPEAINKLIQVTNDLQAALDEFCVIRVEVIKPYRHLFDPNHPADEGIHGSDKTNFRG LFQNFVAQYHLIEFTEALLNLLRQMQELDKSRQRRRFWYPRMSNLLAHLRHTHKEKHL TDGDDGHDNDAAFSRGDEDEDQFLGEAKKRNPEYKPFENPYLNVISRLATITDILGSR SFMYAIKAAILGALTSLPNFIASSASFYYFNRGIWVTIILGGLFSKLFSGDTTVAWLG RVVASFWGCLFGMVAWYIGSGSGKGNAYGLAAIGAVTFPIAIFFRVHFPGQVLTAVMT PVTFGLSYFNGSIGPLTYAQWGWDVAWRRFLCVLIGITAAWIFSYIPPVYSAKRAIRH SYAQTINAAGSIFCDVLSHANDHHHRLREDDEIRQKLITWRSKLNKLGARHNFASNEY SLRGQWPEERYKALLDTLQDLFSLLSQLNHVLTQLDRPWRKALLDRTRLSDPTFLGDV LAVLSMCSTALRAGTALPQITPSPLVARFRMGKTKGLDLPHDPTDQSGDLPSLVTVDV LESDNYLRYALGITTTFSLISRLDRIVVICKTLLGENFHISGLHLEQNHRV L199_001182 MSLALPTHNDPFTTPGTSPSSSNDGHSQSPEAGPSTFVAPPLPP RPIPAGRRKPVPSYPNNGSLAEPVPRAVAVAAAPPLPPRPGSGRYSLTPDQPTYQDEN IIDRSQLPPPPPPAYNEKYGPYPPRKKEKWWYPASTKGRRWWWGGLVGFILAIAIVIA VCAAVFSKKHSSSEDEDQNSSGLTGSSGSSGTKSSSTNDGGHPLSIANGGVDIGDPGD IAKFGKKSTDHFVMTTNHSIAVTRLDPIVNPNAPASHLHRIHGSSYFTANLTSATQMQ ELADCTTASVQDDKSAYWVAQIYYQWPNGSLSSIPLDRTSLYYFQKAPTGVPIYPFPD NYNIVAGDPMRRSVNTSDPTSSAFWWQCYRGSKGDSKNLGFPSTDCGGGLVSAIQFPS CWDGVYADDADYSSHVAYPEDGTNGYTCPSAFPKKFITLQFETVFATYKFPFNGAGNI TWVMSNGDTSGYGIHADFMNGWKPDVLQGVLDDCRYMNATAQVSAPDDPGNCPHLNKT LSLDAAYSCKFQSQIVDEDVGELNPIKYLPGCNGIWSGNTSKPACPSDHVEGGYLELT DPTVWFNNEPYRS L199_001183 MQHQYNAPPPQPGPSQYAPYHRPVPSQPLPNPHEQQYQPGPSQP YSPVNHNPHDAGYHPNGHDISSPASSIYTHPSQQHMYQNPPPQATSSHTYAPYHPPVP TVEAVPPPTPKVAYEAPVFQTFQERKRAKEAALRAQAGMSSSSSSNPTTSPPPPPSMY SQPPPPSQPIHSHRSPSPIAHPPPSTMAMMGQQSPSGGPPPAPPVRSRSPAPPVINTT DPQSTSRALPHSQSRPLPSPRALPSFPLETPISTSSHSGTSSPRTPFRNDMPPPPIPV PSPIQANLERSDTVSSVKSLDRTGFSSSPVKRSLPKPPVGVNSSKSLDRGIPSSIGMG MGDGFRKNMSRKQPSVVEEGSERTLVDGMAGISIDQNRTPSPTPILPAVPTIRTPSTS PSPNPPTIIIPGSSSDNRAPAKFTPLPTINLPDSDTSSIATTDDEIDPQDPSQVTPKA KGKNNGPSSPGIQFSGLPMILVSSSDTADEPPQGGEISFTVPTINFGNDAPTISVPSI LTGPPASTASRPQQHRIQPDGSAFLCSGCGNAIIGRIVNAMNQRWHPQCFMCAECGEL LEHVSSYEWEGKAYCHLDFHDKFAHRCHHCQTPIVDPRFVTLNDPVLGQRYYHELHFF CSECGDPFLDPSKSSAPGTEKIRGGNNDGEEEEEDNETSAFVIQKGHPYCEKCHLRLH KPKCKACNLPIPDLAINAMGAKWHKECFVCAQCHNEFANNLFFPKDGKAFCTSCYETI IASE L199_001184 MASSSYTDAIMLFGDSLTQAATDGSLTQRMTEYYMRRCDIVNRG YGGYNSDWAIPVFEQVFATKEAREKGYAQHVKLITIWLGANDATLPDTPQYVPLDRYK SNLAQLIHFIKDPSSDYYSPETKIILINAPPIIESAWVEARVEKWKSFGSEGPKPEQN RDRKVTKQYADAALEVAKEQGVEGVDLWTAIVQAAGGEGADQLAPYFYDGLHLTSEGY AILFKVLSDLIVSKFPELNPETTPMRMPHWADVDLANPREAFEKVKKGRLAGEL L199_001185 MVAEAEPQQPVASTSYIPPTKYILSKAHLAAFQRSQSHQDIIDF IEELNDGIVGKKLSEAGQGSERTKPIIGILESVLEIAKSTPPVDNKLSRFGNPAFKTF YDKVGDVSSELHSRIVGLPAEAIPEVEVYFKESWGNRQRVDYGSGMEFNFLCWMLSLT KLGVFTKDDYPFLVLGVFWRYIEVMRYLQSTYWLEPAGSHGVWGLDDYHFLPFLWGSG QLKDHKYLRPKAIHDPEILEAFSKDYMYLSCISFINSIKTASLRWHSPMLDDISAVKT WSKVNEGMQKMYKAEVLGKLPVMQHALFGSLLPFPTPEQDPELKRALEEEEGDLPQPD SHGHIHVKGETGWSMDCCGIPVPSAFAAAQDGATPHGGTPTFTARSGIKPIPFD L199_001186 MSSANYEPLPTDSSSPTYPPLPGPPYRQRHQKVQITPILILKYV LGVCGSLVIFHYVIIGAFPNSSYTSYTTNGRNHQYDQAYASAAATAQDVLNRLDPSAG QPGTFFRDSFPLRTMLAFWDLAEKEVKARGVDTCNGQLSRELVDAYHSSQLAYCVPPG QSLDTFTPDPIRNDTHSHSPHWNPEEGVEGTTIFCSPVHRSGFSKWWPYPAAPCVSKN LRVIPESERRFRAAGCDITDEGVKLNVEMGRERFLGSDTEKINGEVDEAKCKERIERT LLIIGRQDQWNPFHVAEDLITTLVSVFIGVQTAPALIDSRVQLVFVEGYGMDSNHFTP LWDRIGAWAPRRLSLDPWIEGTCLTNAIHSVGAGASLLSAMGVGNSYSCASTITWAAS HYYRHLFGLLPPSLSLPANLLESHHASDRPRRPINVMWLSRAKLDEYAQKHNDWSNWR DVRHITNEPELIKKFRIELENMCEGSLKSGEFGSTGCVYEDTQDIPETWSLTSPETIS DEDPLPIRFAMIDPTVHALETQIHFVGHSTILVSSHGGALGLSLFLPPGDGTVIELQV ENVAGNYHFEHMAKEMGHNYEVLNIRREVDVDQVWESLRRWIWKVSQSG L199_001187 MPFTTSVAGPSRIPFQAVSKTCRRTIARRHASTAAGEERQPPRK VSSNIFFADWIKSEGSQYREPVKGQKAKWLGDKVPYSSNPTFRPPPPLSDYTQNQVYA ELRRGRKVAELAEKHNISKARVEAIRKLKDIEEEFKRRSIPLQTAFQQGMEPLLGVQT PINPSTKEHDAARARQIDLAHDSHPSTSAERLEEQRWDSGVGQEGSFGSRTRENSSKG VERTAWEFRDEERDLEDRRVLEQKEEELKKDPAHHGVVHEVLQREVMTATLFPTPVTE QAAGKKEKDATKAKELQVKRAQVEGVTIGDIHFVDTSSTKEFGSDSRGAKLREKRHRR KEAKKVKSTQ L199_001188 MTTRSLGPLPANLCAHLQNTSRGFQAKTSVPFSTSSLAISSILL RSGLISNVSLGSPAGPDPSAFQSLPIPARKLWIGLKHRNGQPVLRRMGLVSKPSFRVV VSRDELGRLLVGKRARNVAGVGMGEILIVRTEEDAKAGRTGTNRYLEGWEAWRAGLGG EVICRVG L199_001189 MKRRKLSPELYQVSKTPPLPSRSPHPLPNSALLYHFALSAHRAS HQHLQQAFVHPSISADPSSGIPIAPVYNRSPAQPFIHDPYAAAKALDLQLVALDFLRT GLAYPDLSERERVAFGLEFGIVGLKVYTACIGNSTGKGKDSERNRGVDLSRLIGDIQD VIGHSYYISQRQTSFMRLRHQLELLHIRVAFIQGKQNLGKKMVQQALNTNRDHTSHRY ALHLLYLEFVEQTGSAEFLAVCDELMAEAYAKRHFQIVRLAALTKARFIFVYRRWELA AQALSAFSSVIELTEDLSKPIHLAGQGNDRIWEASFIIHYLILRALWEGRIGNDLNAK NCLKHIYALMDGTAESGLFNHLRANGGLNIPGGEPIQVQVTPPNILYMLTYLTTVVSR RDFTGSNQTCKTILHSNALRLHENAVRAEDMWDTGFSHLHGIAQTQIQQKEVMIIRGE MMIEHATALMFRSEFEASYKETAAKYYNACKRLINQGSEFGLIADIGLMGSQHRLTKL PAHPENRDKVHALAEKCKGSTSAMFNGAGYLLASLIDENVVNSKKQLSNAYEISVRSN NNILRLLIFAFTTSTHHYGGRERMYRQLETGKELAKLMGGKDRPDGVGQVILGLWFAY RLKEYYRQEGDQERAGQARDSIKAHLDRLTEIKTQGLSLERTLLPLPLKA L199_001190 MFDHGFDPGYVFRHPVFLVTFIIAIPAWIIAFASQAAAEAKYSS ADGRTPVVNTLWFNIWIQLAVIIHLL L199_001191 MVDLVWIIYLTSEEESFFYNLLNSGGTGGLSGPDRRMRSAPIAR RDSVSGFGNGGGEMGIGGGMNNMSRGISSHSIGGRGGGIGGGYPVGGGSGGYAPAATE GTPQKASSARNDYASPGGEEPEYKHRAKAMYAYSASPDDPNEVSFAKGDILEVLDNTG KWFQVRTPAGATGIAPSNYLTLL L199_001192 MDMNIDGEQVDILGVSNFGQGDQASQDHDQDQLVDKESIPFTGE QDPPPTLLDLLQSSSPTIDFSNPSAVQYVDQLLSLSLHELLRQPQLISAESSTVESDL TNLCFREYPTFISVHKCSSAVSSAFDDFSDSLGKLIGAIPSLEDECKTFTSTTSSIQN IRGKATLVQEHQDKLLDLLELPQLMETCVRNGYYQEAMELLNHCHSLSNKYPKVALVQ DVNREVEGILQLMLAQLLALLREPVKLPALVKTVSFLRRLDAMDENGLGLVFISSRYH NFRAQLLSIDRDKGEPVRYLRKYIDLFREHVYDIIAQFTAIFLETSPSAIAGIHITSF ANQAINDLVDLVTAYIPRISTDSASMSSILVQLSYCAMSFSRVGLDFAPLISAPFSST VLATFSQALATASNDFSSMLRDSAKAVLPPAQILITAEHIPHIVSSSSSPPPLPSVDS VSHYPPIATLVNAYLTAFNSLRLLAPLELHSQIISIHTSSLLTSTSVLLQYVTQATSF SDELPLSPVKSRPGHGRTPSAPRADLLRRNSEVQMTPEARAAKRREAKRVCVASADLW CRMVVPFLVDKLNDGVFTDLSSQEIPKDLANKLHELAGWVKANSEGLEKEPVVNGNGN SVEPKTPPPRTLPLVSSPVTFNSPFSSSSKLPAIPHLATPTPKNTPPPQAPHSDGIDA VFDSPQPSGPTGNATHTSVPEPANLRESDTHTVENMEAQLEAMNIGLTGEEAAVAVEV KHALHHQEPPTHIHVNRKISEEKVKVEEDEVKVKDDEVKVEEDEVKVKEDEVGAEEVP ATQKPIDAVIHEDRKESPPQAVVNESAPGPVVDELHEATGSSHQKENSMIPDTTETST QSLEVQDTGSITQTETVPQAVIDESLPGPAVDAVEVTDIDPLPASAASGNVTVTLATE DDRAPEEANSVPEDVSDPQPPATGLPKSTVDVKPPVEEDVSAPITNGTSSDQQQMTNK GDATELDDTVDLPAETTPQPENIESTPTSADASRAPSPDATANNTSAPAPSTSGGNSK KKKKKKGKK L199_001193 MRRLSPSTLRQRVLPPISQRVFSVSPTTIRTLKTSTSSYLPRST SSRRTFVASSTNHAPEEPYEPADQVHEEETPDEPSIPHSDTEDLFQHTTSVINPSKPS SALPMELGPALTHLSTAFLSGNQHLAEDIRLSQPFTHVVSFPDSSGRPRTEPAEPTQE LDESALEAVLALASPFEGGEAYVTDAVKRLANELNADIVRFDLVTGLGLDGLSSPLGS RGIEPPSLPQSLNPLYLPAPQPLVTAKKEMIDPEDQDDQGGMPGMFASVPIAVVGGGG GMMPSGLGGLPGMGGMEEDLPQGQINDEWVHFFSKIINAGQSQPGKKRIIALESTIAM SKTFPIWWASFVEAVKQRRKGSITPSKLSRKGQTAHIEEPSLLYPTSIVLQCTPSLTL PHTAAAFASAARDEDGSLREEDEHHEEMEEEEADRNMDPAQAALAAIEEKFKSMGISV HSHVEVVKPRNDAKLWWGSEESDVSGRKEGDKGRLSLMLAKGIPAILPSFGSKATENG QKPTNPLRRFLMNRFGAPPNQPANSANSLVWKAYPVIPQHRNFQAEKEARVHQRRVWT AALIQRAVHQLGGVLINPLEVLSTSEITGRPLTRKTESSGAGKGWGNTVISWNDAMHI ASIALGTAIRSGNLERGAVKITWQNIIQARKAMGEEKKIASEQIKRHLPSGAVKIDSK MKADIENAPQSVVDPVVEQIKKSKSLSQHEKRLLPCIVDPTKLASTSFIDVHLPEKTV DGIRSMVSLPLLFPEAFRGGVLKDHATTGALLFGPPGTGKTLLARAVAAESGARMLAI QPSDVNDMYVGEGEKLVKAVFNLARRLSPCVVFLDEVDALFGARISRGSAGSMSHNLL LTEFMQEMDGLSSAIANKDKRVVVIGATNRPFDLDDAVLRRLPRRLLVDLPSVEGRQA ILEILLRGETLADDVDLQKLAKETDGFSGSDLKHLCVSAALAAVKDTVQVPWSKKSAT DPNASIPSPVHSSAGTGGGAQSEVLVFAPSEGGGGGRKKAQVKREKTAAPLAGPSRLV LNTTASVQPVPEDAEKGLSSVEGEGEGDQQIAQGDVDELLSANKAGYEPSSDDSIIAE EGEGESAESDLPEPVVRVLSWKHFKIALEEIRPSSSEEGSLPELRKWSEQFGEGGTRK GKKSGFGKGFGFGEEKTGDRESGYGKVKQDD L199_001194 MFVQTLVALFALLPLALSSPAASTASISSVDLKSLSSIQRSNFP DIASKIPQNCPVGNISVPLNGIAGLSLPSGQTVSNIAVGRGIKNYTCTSGIYASAGAL ANLFDVSCLYTLTSGFIDPNTISGLLPKMAFSALSFPDAGKLPIAIHHEFVATPGSST PGAISPEFSTATDKVILSKVASANAPSDPTTNVPWLQLAALDGQGTLSRSVFRLNTFK GQPPTSCTTEGEQLSVQYASMYFFTK L199_001195 MTDNALPPRCPCCHQQQHPLYCAACLREGIALHNEALKNIQAQI DSIIANAAILLNGSQNSTSSRNIIRGQNAWRTVRAEMDEKEQRCAELRRAIRNRESKI ETIREAVNVFGLKKKSIGEWTIAGLTLPSPDALRLSLITSYLSITLPFTPTPPPPFES RHIGRPTMKANTPFVGTTKWRDKNVLWMSSTASIASKLKSRSSLSASKVFAQPNISGI IAKSMNKHRQFLTSFALFSFSVAYMAWSQGVQGIGIPDGQDYRDDSDEDNPSRTASIN PDSILISATSILELIAAMSSSHDLGRRTHEPGTSHVLRHLGFGLDVAKVVQSVLSSEE NRWGVKPTEGSGEDLSEGWDLLDGE L199_001196 MVWRTTLVTMSTCFLLGTTFTHWIADHNVLWRSPVTPDALVTSI KYYSYISNAPNGMGWFYIAVGIVALLSAGGRAVKGYQGQGGEVLFDGGSIVLWAAIAY TQVAEVYPTIKRIPSPLPASLIEDKSYPELVTAVRDLATNNIMTAVMLTGIMLLQAGR YYSKAPAGDLPPSVDVSAASTPGRASSPESDPTPVIKRSGTPFRELTAEESAELTGR L199_001197 MSARTASVERKTSETEISCTIDLDHVPGVTTQTIDVSTGIGFLD HMFTALAKHGGMSLTLKCKGDLHIDDHHTAEDCALALGAAFKKALGERKGIKRYGFAY APLDESLSRAVIDISSRPYFVCNLPFTREKIGDLSTEMVSHLLQSFAFEAGVTLHVDS IRGENNHHIAESAFKALALAIRMAITRTGGDDVPSTKGVLAL L199_001198 MLSRRILTSGLTAGTRSVHSSAARPASEAINRYSKTITEPKSQG ASQAMLYATDGVNNDEDLKKAMVGVASVWYEGNPCNGHILGLGQRVKKSLGEAGLIGY QFGTPAVSDGISMGTSGKSVCGGHWLDGAVVIPGCDKNMPGTLIALGRLNRPGLMVYG GTIKPGHCNGETLDIVSAFQSYGRFLSEGQTESAEKTRYDTVRNACPGSGACGGMYTA NTIASCAEALGMTVPGSSSSPAEYPEKLAECDSIGGVMRNLLENNILPRQIMTREAFE NAMVSG L199_001199 MALGGSTNVVLHLIAIAHSVGLNLTIDDFQKVSDRVPLLADLKP SGKYVMEDIHTIGGIPSVIHFLIKHGYMTGDGLTVTGKTLGENCDRWVEKHGSKWEGQ KILRPVDDPIKSTGHLRILRGNLAPGGAVSKITGKEGLRFTGKCRAFDVEEDFVKAVE SGSIKKGEKTVVVLRYLGPKGGPGMPEMLKPTSLIMGAGLGYDVACLTDGRFSGGSHG FVVGHVVPEAQVGGPIALVQDGDIIDIDAVANTLNVNVSDEEMARRKAAWTAPPLKVN HGTLYKYAKLVTDASHGCGEW L199_001200 MPMLADPSQRYLPFNPVPFPNRTWPDKVNKKAPIWLSTDLRDGN QTMTNQQKLRFFRHLIQIGFKEIEVSYPAASDTDFQFCRDLQNNGEVPDDVWIQVLTP ARSDLIKRTFEAVAGLKHVIIHMYNATSCLFREVVFNNDRAETIKLASDHTRLVRELA EQYAASHGTSFRFEYSPETFSQTETPYAVEVCEAVKKTWLAGEKSVWADGRKEERIIF NLPATVEVATPNCFADQVEIFCNTISEREKCIISLHTHNDRGELFAKTDIADILGCAV AAAELGVLAGADRIEGTVLGNGERTGNVDLVTLGLNCYSQGIPPNLDFSDMFSVIDTV TECTGLPVHPRHPYAGELVFTAFSGSHQDAIKKGFEAQTRREKAGDKVWSMPYLPIDP ADVGCTYEAVIRVNSQSGKGGIAYIVKSALALDLPRRMQIAFYKVVQDRSETTGKEMT SKDITTAFRQTYHLGGSIYDGRLVLKSFVTVDIRSATPSVVGSPDRSRAHSRVASLQN AVVEASPDRSLDSNLPSASKRLTAKVLIDGTLREVSGEGNGPLSSFLDALQGDLGIAL SIREYTEHAVGAGSDVKAATYVELIPPNVDAKDKTKGGFWGVGVDADITASGLKAVIS AANGYLGQSPIQVPENA L199_001201 MFYRTSSREVKRLDSMLRSLLYSHFSESLSGIATIRAYGETDRF VKENAYYMDLEDRAYLLSATNQRWLSIRLDFLGACLVFAVAIMSAKGGGGLTPSQIAL CLTYLTSITQVLGMVTRQSAEVENNMNAVERVLWYADTTSVPQEAAHGIHETTPADSW PQAGAIDFENVVMSYRPGLPPVLKGLSLCVRAGEKIGIIGRTGAGKTSITMALFRLVE MSSGSIKIDGVDISKLGLNVLRSRIAIIPQEPILFSGTIRTNLDPFNLYDDAVLYDAL SRSCVIGDKTSGQQQSLHLDSVIEEEGQNLSVGQRSLVSLARALVKNSKIVVLDEATA AVDLETDSKIQQTIHREFQGKTLLCIAHRLRTIISWDRILVMNAGQIERAVQA L199_001202 MSLQGKDPDETKEKAYGYKLRDLSGSEVQQFPGLKRDHWWQLWR PRNSPPPPKATLEEADEIPLATASILSKLTFQWVTPIMIKGYQRPLQATDLWKVTDHT ALPLSTRFLEALELRQTAAQEWNERLSTIDPSMYLRAIWFLKAVSTISLPGDLSKFGS VNTFKLRRAAIECEWRCRSGRRHGSVAWALNDVMTGFWIGGIFKVASDVSQLMIPLLV KALINFSKEGMAIGLFFLTVMQSVCQHQFFFRSMAIGVLARATLISAIYKKAMCLSVA GRAKHPNGKLLTYLSSDISRIDYCAQSPIQLIITLILLIIQIGPSALVGFSLFVVLAP LQTWFMKISFKVRKKSMRWTDSRSRLLRELLSSMEIIKVFTYEIPFLKRLSEFRRKEM VGVRKILVIRAANQALAFSVPALASVLAFVTYAATHDSLDPALIFTSLAFFNLLRQPL MFLPRALSSLTDAQNAVERLTENGHAIDPTSEMAILVDNATFSWSVDAQANDAKSFKI PNLVMKIARGKIVAIVGSVGSGKSSLLQGVSCGKQDQADTDQLIGEMSILSGSVTFGG RLSYCQQNAWIQNASVRENILFGQSWDESRYWQAVKDANLMTDLEMFADGDLTEADID SGINLSGGQKQRVNIARAIYHNAEVVLFDDPLSAVDPHVGKALFENAILPLKALGKTV VLVTHAIHFLPKVDHIYFMSGGSIAEQGTYEELSQSKGSAAKLFSEFGGSQMEDTEEQ SDERLEVAEAANDDRPTGPENSKLTSKAVGTGKLEGRLMIVYAGYLHAGRSRYTLPLT LLSATIMQGSQVIATVWLTWWQEGRYNQPWNVYQGVYAALGMSQAIFTFAMGAIMGIL ASLASQNLHSSALANVFYSPKSMFDTQPLGRILGVFGKDIDTIDNQLPDSLRMMALTL ATLIGSVIIITVFLHYFIVIIFFVGVGYW L199_001203 MIRSPDYLQAILHCSWFRMSLLKQSGVVGAPIMSDPEIAAIASK NGVSPAIILISHHVSKGTVVLPKSVSEERMTENRKVIKISAEDLEKLDALVANGKAKR INTPLWGWNLGFDDWYGPKN L199_001204 MTVINGEYIPVASGGRSIQYVGSSVTNGAIPYTKRDGTIPRRWI LNALTSNTPGMVITGAWRRPGDRAAEYNTIKYRTDLTQLLEKGKFSAVFSPAGVAFGS KHGEALFTNMPNIEKAKLKVEEYRTAPYNRGRDPYGVKVVLGVTVYVGETDEAAQAKL DDFKQYSSKLGAEVLFSNRVDQDLSVYPEDADLREVGTAPIKGILRNLQSTHSEHNDW TRAGLADLLGVRGLRYPPIVGSPTTVADHLQRIITEADVDDFNIA L199_001205 MAASYKPRKGDKSGATSDSQSQSTLIRRWGIRAVLLALIVGLYQ WANTINDRFYILTPAELNETVQHSLKLAADLNTDPKTNASLIISTLVNQLADRHPEMS WATDIGNKRDWVFNNAGGAMGSMYILHASITEYVIIFGSAVGTEGHSGRHTADDYFHI LTGQQSAYEAGDLTREIYNPGDVHHMKRGVVKQYAAAPETWALEYARGWIPLMLPFGF ADTFFSTLDLITLYHTVRVTGKEMIKNLLIGKI L199_001206 MDSTLEDIARDTYLKKGFGISFGPYIVGFGLDLFLLGFIIHQIY TYANTSKGDRLWSKLVVYWCLILSMAATGYLFSWMFRLFVYNYGTYTNFYLIDSFSWF VLFDILTTTVVQFVYLERAWRLNNKSYLLGLPTLLIMAASVASGLLMKITSSRLNTVE GESRYWIAIYVWPQLSTVMAADLALTVSIVHGLRKAKTGWQHTNKIITRVMRMSAEIQ LPATLVSTVFVVVISVQSICSIEVICQLIQPKVHVFGLLAMLNSRSSLRNQMSSLQGL SYQDKNSFRLRRTDDTASSGAYANSETALVKSAAEDTERGERG L199_001207 MSLPPASTPSGDLSNPPPPPPPRPTSPRSPRPTITTTGTAPLQI PNLPPELLQPQPPPRPNITSMLFLTAFFFFMSGNNHPIGSGIEIGPDGELRTRMSELE YAKIIRDEWVGVINSNETMKGNYSEPSIPSLLPSSLIPPEYTYNPSHHQFFTNITGFF RSSTLHPISFDPQSHTSASESSDPYWRHLSSVPDLNSTGQWNSTLSEELRGEWEWNKT VKWEMNLKERNISSVSPEIEDEYKANATDWEKYEDWTWIKGSLTLSTSNKSPSPNNGE HQNDVQSGSSDRSIGYDFFGLHYLPNGTYNLYGLPEGMRIDIRKLPYLWSIQHQIVIK EIILRELEKEVRNLDGNLMIGDLRDDDISDQTTCPLLIHLTLPPLPNGITKEEIDFYR HEVQNPTGIKSSIPRPPSYWEVGNGLGGVIVADQCGWAMGITDGHGIDIDLFWERSIN YAGYATISQLIVLLLLVRQMERTRTPSSLSKVSVYTIVIMSITDSWVFSAHVVVGIMS DNKASLPMLVPGFLCLCTAVVFGPRYAVLLHRIQAPERGSTAPVTTTSTNQPTAANAT EDRATLSGVTVVDGNGTARRISFISSIKAFFTEHPLMRWLAILGFLFCFLQFAFLPSV IPFFLFGLYSFWLPQIWRNARRGSTRALDAWFVLGTTAGRLALPLYTFAYADNVFFIE KTNWIWGIVWWQLAQVAMLFAQERFGPSFFLPKSLAPPESYNYHPLIPSPSADPEAAA AFHPLLSSEKTCSICMEEVDLSHNHASAHVGTGGAALGNKRKNYALAPCGHLFHTDCL SQWMAVKTICPLCKRSLPPL L199_001208 MASSTAVSITPTPRDDLLKSLEKHNSTFTTLLSLIPAQYYIAPD PEVADSKWMKNKKRKTGEEIKEHKKKVKQDKLDPSNQKTLDQLQSTSTSDPLNGEVDE NTAESAASTSASASTNLQPLPPSTSISELRAKLQNKLDSFKKQRGVNFEDEAGSRNAL EEERRRRRGELRDNRREKRKEERKREGEKGKVAKTQLIVPQLPREDPTSSLSFPSVSL PSSSSSKPKNKLGFKQLSNPTQALENLEKHKLHLSSLSEDKRKEIEERERWAKAEERA SGKKIVDNETILKKAVKRKEKAKSKSSLAWADRKKELEKSAATAAKKRNDNIAKRVDD KRNKRLGIKDKGTGSKKGKSRPGFEGKKGKGGGKK L199_001209 MAQAKAIHPSPSPSTIPDRLPSLSPRPSAGSIRSTRSRSRSSLS VSPTRGGSPALRTSSKNESKENQTPINTSKMNGNPSLPSSSKVDGSGDTEIRMRKNPP RSARPSSLVDNINHLNTLPTIPDPPTEDSDNTSPVDDNTQKDLPSNTNTHVPPPPAPS TQTGTGDRPVVTRKRRSSSVKRKPSPGVTPTKAVDWEIPRKTLHSSIGFLTLFLNYLN PPTLKPLITVLTSCLISVTVTDFFRLQFPAFAEIWENYLGFLMRESERNKINGVVWYL IGVITVLSLYPRDVAVVAILTLSWSDTTASTIGRLWGKYTKPLPTHVPGIKALKFAPR KSLAGFLAASVTGFLIGITFWWSGSKGRWIVLDVEDWGHGYWGLWVTAAVVGLGGAVV EALDLGVDDNLTLPILSGAVVWAWLAATNFLLK L199_001210 MAATLPTLPPQQPQAESSTSAGTSSAASAEVFKRLHPAQYLSRF LAKGYRSDGRRIRDWRDVSINVGSISTSNGSSLVRMGDTTMVCGIKAEIAEPTAQSPN DGYVVPNVDLPALCSPNLKPGPPGDEAQTISNWLNDLIVSSNTIPTSSLVISPGKAVW ALYIDVVCINYDGNAFDAAVLAVMAGLRNVKLPKARYNEEKNQTICSRNEKYPLQLGR IPLSCSFGIFDSTYLLPDPTSYETPLLPTTLTIALDEHNQACLIRQEGLGGTKGKSGE RVLGEGWSMAEERVRVLRGILEVSGI L199_001211 MVHPIPLTPRCMILYGVILLSGISIWFMRDEQGGFSSLDSLSIP SSLSNPFSSARCPSSCRPDPFSQRGLLTYPSIERYNETRWIPLPPFSSDHKIKLSEVD YTGSIDPEVEGRLAEQLTARLNREEPIENEEEWEWLKGKLVVFLGESVDLVQDDRNNV EQLCGEIHGKAKSWGGHVGGSCHVERIDFTIVWWFSYGLVDDESLDLWRKLEARPVTF ENRIKDLFLPAMRSDGFDKTPDLMVVSSLFWDEGFIRDYPQLYPPNPPLPANHRDRPG FLLGQIQWHQSRLSDLFTYLRDIYDKPDLPLMFRTRHIRSNMNYGGGLKIIQIDQGAR DVCEAMGVKIFRWGDLLEGVSDYYDKDQHFPLGPNTYLFGDMTFFYLRKALTPGCWIC KE L199_001212 MSLRPHTYLTPTISPPTFAQPFPSRPVSPNIYLAEEKHLSQLST YKRDPGKAAAAIRLASLQNVWAKADERRRSEDELEDGDIQCKTRRRRWNTIGGGVASI SKTRRWFIYGFGIFFICLILIRPLFKHDEEESHHTTHLIPGNSMKSLSIKRPAISRSP IPRAPLPPALLARTAQEHDVKDGLLKVNPKSTVHPIHQLIRNARETWDKKVAKQSRTL LEATREYQRRYGRRPPKGFDKWWEYVVENDVPLPDEYDQIHHDLLPFRALSPKDLNNR IQQTSKSTDTYTLRIKRGSIRTNVFYSADIQGAGERLEQQTELLRPIAKYLPDMQVVW SVHDTPRSFIGWDHRRELIEHVEEDEWFDEDDEIDLTLSDWSAACPPRSAIRSFNSQS FNPTWIPDTSLTSKSFISLHSQSMDLCSHPDIIPIHGALAGKIPKVNELMPIFTLSKT KLHSDVLGVPVEQWLEDESLLEIPFEEKENNRLLWRGSNTGTVHSVETPWRTSHRTRL IYLTNYQDEDENENLKVNYIPPPKGIRSKVEMQKVIKQDKLDGWNQRSMDLGFTGGPI QCNVDDGTCDDLMEEFSWTEQMTHDEALNYKYVIDVDGNAWSARFKRLLASGSLILKA TIMPEWWTDRIQPWVHYVPIQMDYSDLYDVMAFFQGLPSTPGEQALARDIANAGKLWS ATHWRKEDMVAYMFRLYLEWGRLVADRRSTMDFVYEERMERRRE L199_001213 MRIVFTGGSGKAGKHAIPYLLSQGHQVLNLDLVPLDNPDVYTLK TDLTDSGQVFNAFTSHFNMSEYSLPHPPPIPDAVVHFAAYARNLLVPDNEMFASNVKQ TYNVIEAACKLGIKKVIIASSETVYGVCFTQGESDYHSFPLEEDTYDCDPEDSYATSK LCGERIARSFARRFKGTDIYAFRIGNVIEPHEYALNFPKYLADPPCRKRNAWSYIDAR DLGKLCHCAFMKNGLGFQVFNATNNTITLREKTKDFLERERPNVKITRELEDYEAPLT NMKARKLLGFEEEHNWRDYVKEK L199_001214 MAPKSKSALKRKLADLSSDALMSDSKRPAIAKSPTIHVIKTSTS TADVDVEQLLWEASGLVETPSTHHQATIIPKYPTAWLRAEDVPKDYYQAITEYSHWPP LLFNFTNSSGVRQPSYFARFAFATPITFVYGNPLDTALANLKSKLVGQPTPPSLIQAF NASAQGHDLYTTQSYELSHEGGLDSRMYAEFERPALTLLREKSWKEAATSRGVDNVLS RVGVEGDCNESNLDLQITTQRYCETESVASPTSSDSGRSDTVWVPNAAIEWKTRQAFS HVRGLVAMVKAKEVKIGSGLNGDIYHDRRLVTGMARISVQIWEDMSRLKYGCAIISDG EQFVVFKHTAPGIVTISDVYGYHPTNFDNLGLSMRPWRSAIVEKIEQQRKEYNQPPTN HQTPQSPASAVTKAHVWGDSGTKKQEMILLPRARPCGTGLFGAWIALALEDITNGRTM EEETEGLYVEEPEGEE L199_001215 MQLSTLLVAAAACVSTANAHVALWDKGMFGLNWPYQADDPQNHN YNNNQPVNPLRQADSLTTAQWFGHGLLGYPPKAGDFMVLPSGGTYNGEVSCNRAQTSL GNPSDTSAKYQYACKPDGGQYSGVGALHVMNTYNGTVDNSLFGGTALAIAYTSDPSSL SPNDMTVISVNQNSVWEREISYQIPAGLPPCPDGGCICTWNWIHQGGKGEGYPYEIYN VAYRCQVTGQTNSANTVQRGAVPNKCDNNPSKCVKGPKTPMYLWQADGNNLPNLDTPP NYRDNWGFADGAQNDIFQASSNPAGASDTYVSTTNLPDGWSAVGCMIDTDNPRALPGV STTDANNNTIENCVAKCNDQGYVFAGVEYGKECWCSNTANLNPAPATDCSMTCSGDIY STCGASYRINVYRSADAPATGQPTPLPDNLIPDGWSSVGCVVDDQSNRALNGGAFTST NNTVQNCVRNCASRGYVYAGVEYGQECWCGDANSRLVSASSGCDVACTGDKLYVCGGS DRLNVYALIPNITSTSAFASATVSTSISNSSTATSTSIIATTTNSSVIPTSSAAAVTN TSSSSILTTTTSTAPASSSSLSVAVSTSSAPASSSASSSSISSTSVAVSSSSASSSTS SSSILASTASSANSSSRPTLASSSSSAPATSVSSSTTVLASTTSTASMASSTVISSSS STQPTTSSATSTSTTSTSSVRPSSSTTSSTSSTSTTSIKPSSSSTTTSSTTTSSTTTS AAAATTSLPAGWISRGCYADSSSKRVLNGNIFESKPDMTYASCIAICVRKGYTMAGVE YGTQCFCGTALVSPSPAANSECNRPCSGDSKAMCGGYNRISILQNSQVSSRRAMIGER DHLHIKAGRRRIEGDH L199_001216 MSPRQPIRRSSRKRSPPIDPKTEPPVSPPSKIRKIKAESPTFKT PIKVKQETSPKTLRDRKLKAHAKDSLDGPFPSYIRPTPSECSLAHEILTSMHGPRVRP KVVVASKDRAGCGDSPSVLDALVRTILSQNTSDKNSTRAKINMDKVYGGSDHWEEIVA GGQEKLQEAIKSGGLSQVKSKVILQILGQAKEKYGDYSLDHLHKASTEDAMEELLGFD GVGPKTASCVLLFCLQREDFAVDTHVQRITGLLGWHPKNSSREQTYHHLNKRIPDEHK YGLHILFVTHGKVCDECKAGGKVAGKCALRKAFREQVVKGEAEVEEPVRQEVKQEIEQ EVKQETG L199_001217 MSSAYTFLVLGATGGTGKHFVSIALREGHKVRVLVRSPEKLGDQ ADKVEVWKGSIVDDLETIDTDKLAEGVDFVASMLGDKEAQRYSKINLAFMEKLVPSMK KQGVKRFLYQAGGFSRPYGGSLSPVLWIMRNTIARGFNGQHLDNEAVMEYLATQANDL DWIVHRAGIYSDGPSKGVLERSQSKISIAPFVDCADYSYRLLIDPSRDAVHTSDFSCY KS L199_001218 MMLRSSHRVYTSYAAAAVAVYLLLGPLGLGQIPFFPDSFASSGW GGSSGNTRDNGIRSTSPETDKLLNFKMSKIVNFAPGYTVVENLYWHNYSYVFVTDQPW HVPKHEYIANKHVDTRIPLQGHEEVKVYGIRLPPVSPEVKEENQIGHSISLDEAIALF GKAEELQSPMIINNDDNYYHWIGETFLGAWRTWSNYAWRTGLTLPNIKVVAFTKQYNK AEAPPGSNGGSWWEDTPGANRWFTTKFFPGVTYETRPVWEERAASHEYYLIPLALIAD RRGGHNGPSNAWKPWGDALRLPVSSDWLVNLRDRVLSDYTGPINLRKGKKPHVMYLER QGSGRELVPEDHEALVNAVQKLQDDGLADVTIKGFSSSIPFADQVAEISTVDILISVH GNGLTHTLWMNPGGSVFELQPAECTVTDYSPLAIAAGVQHYLVHETSFCIPEECPGRG CPGPRAINRDDIRVTAHVVTDQVRRIIRRMTKA L199_001219 MTTTSRPAPPPLIHSGYNDLVSLVASTPSRLGVNIASNPQSSRY SSPPQVFRGNYARLPDPLPRADSYVRLIQEEVTTRPVISSGGGRGGRARGYARGGGRV GAGNGREEWKVSELKKRKIDKKMIGYPTDFRHIFHASTYEEATELLLRWSIEGVGDKL GDPAWAYPIKELVKARAREQQARAVAAVVEATARTRELANADVEDLQSPGTLRVVNGL PSSIYSTTNTLRTTNKLRPTIQTRTSGNTNNTRSNGTGQTSISPLLTGGSTPRVIANF QGYFDDASSAGHKVIRTRTSPLINPIPFASPDLAKGVTKPLQIKKKSVSALATPFEST PTTKNEEAIIEDSPPLPSIEEKPSSPPTPNLKKDSRPKAQEIFTQLPFRIVKPSLETL ERSMSIALYFEQYYHSLLKTSPIKLNGYSAPIRNDKEGEGKPIHPGNYVLNRARRLAN LESTFALPENRFMSEDEKAARRDELLKEENRILRERRKKVDVKGFELGRVIGHGAFGV VRIAREKESGRLVAMKQLRKADMLRKSQEGHVRAEKDLLAAAASRQHSPNVLGGDSDS QRPSWIVQLYYAFQDTDHLYLVLEFMGGGDLLNLLVERDTFPEEMTRFYIAEMVLALE ETHSLGFIHRDIKPDNFLFTKTGHVRISDFGLATDLHWAHDTSYYEHQRLAILRKHGI DLEYPSVKTKRMKKEDVEKIMGKEWLDKGQNILTWREGKRRALAYSVCGTNSYMAPEV IRGQGYGFSCDWWSLGIIVYESLYGYPPFVSSSRHVTRQKILNWKTTLKFPPKPRLSS DCLDLMTSLLCEPEDRLGTTPLEKSSILTSSNKGSTLNGRHANLAKGLGNDGAQMIKA HKWFKGIDWDNLHKQTPPYHPDLYAEDDTRHFDEDIPDEPLAPANGAAANATKDPLLR DKTHGAHLLEIRKNLAFKGWTFKSPSLVGSRYGHLSEISRMKDISEDSIRDHDPHGGW DDNRAMTNSGMTTGTVRNRALSF L199_001220 MTSSTTVNGGGEPSTKRPRLADNGAGSEDGQPTCKMAYLGPPGT YGQMAAAAFQSCYDVPIELVPCPSISAIWETSSTFHVFPLENTIHGGVTETLDCVLSN LYSGGSSVQTDEEKQGRRIIADLALPISHCLVVKKGVKKEDIRWIRSHEQALGQSSKF IKTHFPSAKLKTYPSTAGAAVSLINDLNEDEGEGAALCSKAAAKLYEDKLDVLFQSTQ GISNNFTRFILLSNPTHQVPIPTTSITSPRPTEFYVLPSASDIIPFFQSSQIRNMHSR PASIPSTPISDEDESQRKDGVWTYREDRFPTLYFVEVDVDGMGFQGKDSQISKKGKEK GWYIGKAGWRVTDDQINAL L199_001221 MDPIITFSNDNASSHSYHHYHHNQVLPLLPPETINPKLLLPSSS SSSSSSSQRRPLYSINQNTLLQSHPQPQPQVQSQPINLSSFDIATQDKIASNPFHPLN QPQKEYNSIWLSEDWNSLPFSTTSTPSQYTSDTTRYSNITPVNPDPSSSFATTTSIPL HYTQNTFPSLESLANQVEYDLFVNNSSTTIVRPFVPFSEVNNQLNYPLPSIGYGQPRP RPHLQPNDFPSSSYSNQPFVPPTSSFGEYPAHGMDMAQPPWDISMTGRSQTFPFNQMI PLAYTHRGYTISSERDQQQGITVGMGHQGLPYHLGPAIDHPSSSVDQDRPTSWDISVL PQSIVPIENSHNENHEEVAEEETELDSDDEFDNHQRDLLKHQDIPVGVESEGMGINSG PIDIELSSSSTSSSISDSHSNDKTTVLAFGEIASSEVASPHSKSVPGYQPYVPKSTHS PILLSTNSSSEYEYVQEGEEGEEEEQVSRNGRKKVKKNKKDKKNFKGKGKGKVGCKKR SSNTSSSASSYKATSSSSVSVSGGIGRRLGSGGAKVPTGAFLFWMMKMLAFDVYPEYV IMKNQVAYIPDTEAFAKHGQMTPFFVCATKLIRGVVYPTVVYSIFSKTNQWTSFQRNL NNYIKDWPFERRAVLNTGLSSQTIEIPTIDELCLAWRKHRLNEETLQQERNELEQWVK KNKPTRTTRRIKAPLEIEFKRKSNKFDKLQGGGHNKGTEKRVRDQKKKKAKTQVMIEA DEEGRGGEDEEIDELYSEEESKPSEIQGRSQKQNDNQPLRASSSSSSSSTGPYWRTSD TNTQNDRSVTRINSSERIHLRLRLDGSTFSVTPEKPKDNRKRKFGSLSDEGDDEESQE LNCPKELYTPSPTFTDSSITPQTASNFDPALGQPSSKENVRDNCQLPTPVSMPKPVRS EKSPEYMNVPSSPIENEGHSQGSSNASISSGDLVENDVFGPIIQVGKSKGKGKERE L199_001222 MLEPRLSIEQPRLEPSPATRTPRSALHDVVTREYTIHLHKRVHD LSFKKKAPKAIKSIVEFAQKSMGVNDVRISPGLNQAVWARGIRSPPKRIRVRLERKRN DDEGAKEKLYVLASVVEGVTSFKGLQTVVVEGDE L199_001223 MYPPRSPDRGRDIDRDREWNSRSRQGWSNQHTQPTSLPPAQGGW GNNYINNNPYNSGPSSSRRSRSPEGDRNRQNSTGGRSGYRPVDTYTPQSESHEPGQIL SPNMNQQPVSFAFPPCDPRAPPTGPSNRGAPLQPQPYRPGGSAVRKVSADRPNDGGRS PLAGGPSASGSGSGAASRDGLMPSSGSVMGALDNFSKTMHSALIVTSQHALARKHLDR LMTFEHRNPNSVALEEAERRVVKAQKVVDEVMVGLQNSFTELIKRTLGTIGTSSEAIS KMELDGLRERMGKIEEQTLISRATGQPLLNQSQNQPPPPTSSLPPPPPPPSEEPHRPR TPSAPPPPLPEINESSEDQPATLTREEKKRRVGEVFNSIVDRLDALEDMIKGFDSRID DVETNLLSVENETDEKEIKKDKKKRFATWEDVESRRDPKINKSKKRKQREGEEGEVAG PSTSNSQDDEDIDMDGATSNDHLVGDLQEDVVRLSNEVKVLQTRLSILSGPAIPTPSI NNTSTALASVMNRLNSGDNAPTSNVPAAGTASLDGMLKTLNSERQQMIADGNANILSS GPNSLDDLKVEVGELSKQIKVLQTQSSTPKLDGKASSIMNGDQQSNNTDLSRTIGTLE NTINKLTSDVKVLLDDKESRLGIFEKISSGVNSLADNIQRCKNDYTILNDTQRASAAT ILLHTENVKIIQNDIKQLKTQSQTQSGNTSAYTDTAKDREIKELKEAMKVLQDGLKEM RQGREEWTREVMKACLDAVREENESRKDDYAKIARREIQNTVREFMTKRASSTAGVSS ASSSQPSNRSISEPAQDAINISSAVQPTSISPQPNFTVSPVQSQHQPNVSYPTIAIYD GNTQAPQGNSNPLASRINNTTATAVDGLSRPTLSDRITTDQPSTQGLQARFTGGGADG MDIDGN L199_001224 MLSRSYKAIVIGAGPGGLAVMKSLLDVGLSKICWIDKSFKGGRL NELYREISSNTKVGIYLDAVESSATCRRIIDSTSKSNVMAELEQIDRDETCQLSLAGD MIEMLEEGITKNEGVEKIKGEVEEVQLKGSTWFVGFHNHPSPLTTSRLFLCTGSQPMT PSFHLPYNSNLKILDLDRCMVKSTLPALFPKDKKSIVGVIGNSHSGVLVCRNLFEIHQ EKQRELKILNFARSKIRYAIYKDDGGIVFDNAGLKGDTAEWSKEHMDNPTEDVQEVLE QIDISSNEEEVYQDRLKECTHLIYAIGYQPNPYPKMVIDGKEINQDELIFDQDTSEFR IDGKKVNGLYGLGIAHPEKSQDPEGHVENNVGLAKFFKFAEKNQELWKEG L199_001225 MTTMMSDITNLPPLPPSPSPAFSLSSPPTKSTSKSITLSNIKKM PSSVRIFQEQHINEFAHLHLNDQEKLSREERDNMLLAERQKLEEARRIGRLKRQTMAH HLERDKENADADLRIGWRPLSLLARRQPQTKPAQLVVQQDTHLHEQQQQQQQQRQRRF SNRMEIRTPTDIDTPDLSSPIPSDVNSPDLALITPNQLGLALGCPSPPLPPIPNKSKA RPSLPHDISSVDSHGDNSTYSWASSFSGETVELRTAAHYVPSISEESAGATSAELGPE EVLDSPEKVKRRRKRIVAIAHTVRQLEGVGSRDVEDPNFYHQLVKAWSERPGAQQPRE PIWSPPTKPALAPPIPPRPIDNASAFDPYLSPPAWLAPPVLSPASVPYQGASPVPSSD LEHRTPDLDDNRHSKSEENHSNESYASSNPFRYSYASSLHDLALEQGVQHGTKLMSEK AWLRSPLFDQGTWFDANTPSAPLPVGTFQMAPRVPSPGMGEGEDDSPSKSPENGGCTI NTQSQPQRTLRRHDANIGRIKERQKLGTPIALAGLSTSAPTPAPTNWGLGFLGNWLKD ELADADVDESPKNDKEEVDFQYGEEGMVGSQRSMNVNTRNKISSFLAEGDVLNAQPIN INDRMTLREEEQQEQQESRKIEMNNQYQDQSMESIPLTINDIRTNLVLPPPLPTPEIE EISVQTGLPKSQINPPPPKECLIVAKEYRYLNPCRRQSYSTPSDILYPHPHLHHEPPL ISNENEKENERPRHQLQDGIGDLEVQVEPETEVEVKPSDHQLTEEEEAEEGSWDLSEI SLSQSQFRTPPLKIHRRLGSLTPQDRVHERHSMSPARMTTTPQLHELPPLPLSPISLT GTGVMTSTPPRTPKSHLRPVLPPLPPTPKYRRPTPPLLNNPTRGTRNIEGGSDRVGVG CLPLPLPLPLPPLLAQQTVPHQNYDRYCYPVSTEGGIGIDLVSQSNNNTNSIMMVEHP SYPPVNDTSLTDPNQSSTSSELAGLDVSSRQATTTTTDLEKALPSKPSSSPSRTPLML FISGFVLPILWFVGGWPILKPSPEPSSSTEVETTEGEGRGRFKWLYHPDPMVRKCRYA AVISTPLIIIAGIVAVVVVVTIL L199_001226 MAQRVTLRKRQPYNTTSNRRRVVKTPGGKLVVHHLKKLASAPKC GDCGLALPGIPVLRPRQYATLSKRQKTVNRAYGGSVCAPCVKSRITRAFLIEEATIVK RVLKAKAAATKK L199_001227 MFSFASSTPSPSIPLPQHRGPIRLGSPVSSSSSGRKRRIMSMQC NRLTAFLLLGFAITLFLTHTVIEQTTGQSSIQHISKLSKYLPSKTVNRWADLYVAEAD FPETTYMSGVAGFNYFHNLYFANGTFLIVTSEPSSLPSYGINGILSGLQDPTDKWHNH WAAEEDRIMIVSPTEAKERMLLGKAAIRKSGVSMMFADIREGSQSSFLNHYYHFIGEM FLGLWRVVTAAGEIELPSRLIYKAQSPDWRDHAGITTWFQQAVLPEAEIEEATIYEDR RKSGVTFLFDKIAIADRWAAHRAGQEVKFWNKANADLPSLPVPLTWMDPLRDQIKRLA LAEGYEMKRKNSKVPIVLYINRQLTTRRLIDEDEEELVDEMEKLADEGVIEFYNEYME KLPRVEQFCLAMKSDVMFAVHGNGLSHQLWMKPGSAVMEIMPVTGFARDYAILGEMMN HEYYAIHYNETFPAEKWRKENGYGVDQGPDFHSSRITIDGKFMAGMVRKLVSTRINLV EPPLPWK L199_001228 MSRPRSRFLPTRRSLPFLILSTIFVLYKSIPSDISSRAPRLSSY LSSEDVFYPHPNAHAQYDSPISTRSAGDHGTFVETSAYDLPKLIKSKSTTKRQRSKRF EITEEGQGSQVIGEEETGDEVSGISDLRIAVLEHAGFHEEVVGAVLKTLTDIGANFTL YRDKFRWGYAEVLSSGMNYTTPPTMYSDGTFADAVSNGEIDVTIHISCDHEFWNWPRN VPAYEAMKNNQNMEVICMLHELENLSEKERNSWEVAAKQNRLTYLTLSKHVKNYLKNE VLKWSHSLKQLHWGKVDVEEFVPIFPVDASKLPDSEDVSVSQFFPKRAERIPSRLAIL GNIQPWRRTYNPILSDLHAAIEADPAAWGYLPLSSEPNSTYISANDDSRPPVTLHFIG SLAPTAELNIPDSMRDMVFIHSGLEYISFYRLLGSMDLVLPAFMGWTYLEKKLSSAIP AGVVSRVPVLGSELLLNAYQFLRDPSIVLHAPGLREIEAILLLRKGIDPYTNQPKSHH ASSGTGVTKPLLPGKITYNIQTGEKKQLPLGMKKLNDDIPTKSIGSSSEEDWNEYHNR LYRANKEMMLELLEGLSRRIGDRKIKSMVVDVPVQEDKVETVVQ L199_001229 MVYICAQEMGLVGTPAFELRNVEWSEILNPNEKFNYDKSPFKRI PWLEDTENGVQLFESRAIVKYMAMKTNSRLIPKYDDPVQVANFEVACSLELGDFTTYT KMLLYELVHGPMAHQKPTDEILAKSYREALDRTFQGYERILSKQKYLAGDELTVVDLF HIPSAHWLAMVGGIPPLGGGTLPFTKSDGTAAALPIPKVADGTWPLSHSATADTDGTL PFPHLREWWKNLLELDSVKKVNGQFIEIMQRMAPPQPQREEQEQAK L199_001230 MDYQDQNTHHHTQAIRVPRSPILAQQQHDNSNTSSSLAPSISLT TGGTTRSRRYPSPIPSPSASPPLPPFQPDSPVRQGPNRFPLSLLPSRIMRVSRNNAKT YLNLSIAFNILLLIAIFLPTEHAHGIIGDKAWNKIEKYGLLRNQWPSSSDGGSGLGLD PVSTRSCSMCSVSPEFCEEFGEDNLMKALGYTGTNNRLRRALAKMRSGQPFTVGVIGG SVSKGHGLDAPDGDNPHTPRNLNRRVFDHLDELFPASGGIKTGSSGKNENKNSFINGA QGGMGTDYFSLCFNEHIPEDVDLVLIELAINDEVLIRNMNTYELLVRGLYDLPNKPAV LNLQVFALMFQYVANGGDLHNGVAQYYDVPTVSFRNPVLPQVLQNTTLVRHLFHNRVK KTEWTDPLEEIDLRHLSWQGHELMGKLASAYIDTQLCEMDKIESRLGDLEQLNYETLY PIEPLPRAPLMQKYNPTSNLPKLSPQCYSANAVKHPLKPSQQNGWRHWNWKEKHYLIA DEPGSKVSFPISTTLGQVQLHYLRSYQYNLGSAKCWIDNETDKAMRLDGYWKEPYNIG RAATIRDDLKPGDHVLHCELLKETADPTGGKEFRIISVMR L199_001231 MSSEAPIALLSVYDKTGLLPFAKGLKELGFRLLGSGGTAKLIRE NGMEIEDVSSITKAPEMLGGRVKTLHPAVHGGILSRDIPSDLADLSANSISPITLVVC NLYPFVLQTSKPDCTLAGAIEEIDIGGVTLLRAAAKNHGRVSIISSPSDYQTILDEIK SNGKVSEETRRGLALKAFEDTKSYDEAISDYFRKVYATPGVEDGMKATAGVGYQRLQL RYGANPHQKPAQAFVEKGEMPIKTLSGSPGYINLLDALNSWALVKELAAALNLPAAAS FKHVSPAGAAVGLPLDERAAKVFGVDDLKELSPLACAYARARGADRMSSFGDWVALSH TVDVPTAKIISREVSDGVIAPGYEPAALEILSKKKGGKYCVLQMDPNYEPAEIETRQV YGVSLQQRRNDCKIDESLFQNIVTKNKNLPKSAVIDLIVATLALKYTQSNSVCYALNG TVIGLGAGQQSRIHCTRLAGDKADNWWLRHHPRVLDLPFKKGTKRADKANAIDLYVTG QAYESEGGERQQWESLFETTPEPLTKDEKKQHLAQLNGVACSSDAFFPFPDNVHRAKR SGATYLCAPSGSIMDAECIKAADENELLKMYTEFAFALG L199_001232 MPPAESSNKSGKRKKPLSCAECRRLKLKCELVFPCNHCVKRGLA SICPEGELVNGSRRTKILASTEDLHKRIAALEEALKVATSSRHPLLEDSLYANRKEVK NRSPPPQSTTSTSHASPDTLPSVSHLTLGDDPHTSRYYGAASSVYFSKHYLPPSSQAH SSSPAGSSTQYDFASPSDFTDLFPPYSQIPRLDMKQIISNFLPPPEIAVPVAEIYYQT FGWFTNIVQRHVWDEYLFPHIYRDPTAIEPGPVKPQWLALSLLILATGALMDLSRPPY NDLARNCFNGARACLLLDSSHSMTYVQCIFLYGLYLMNGGTDTSGGDTFWPLLRMGMA ICEAIGLHRDGSHWNLHTALERRIVFWEIHGMDVLQSVALGRGQCINDSSIDVEIPLS NQSDLNGFHAKTYELTKIWSKINERQVRVKPWIYNEVYEIDQLIIKFQDDLPYHLSPV VPPSPDDLTNPARHKEAFQRNMLLLFINEARLTLHRGWFIRTLKESPIEPLSSPLKQS YLSCLEACRAIVSLVRNMIVLQGQLIHRRWHFFFHLFGACVCLAAAVIRAPTSSLART VLAELENGVALFKMTEREEFVTVERLRDKAVRAIQRSGSTTPIHEGENEDLDLLGAGR TLTRTTPNVHAHGGGDSDGHGHGRAANAVLPLQETPLIDQVGLDTSGNGLDMDFNTMS TILDEQSLNAVNLEWNDFDMNAFLQEIGVL L199_001233 MSTSTTNIKTEWSKGLGGLGAVYSTCIPTMKNYLEDTKHWTREE YDKRAYDHWISKNPGSTREPSESEWKAYTDKYYEDMVKRANEGPTVNAIYHFCREAFD QCEIDTNDVLATCPTSYSDSTKVFTTKNDKEAYDIYLISQDHKEKERLDHRISSDYLI KKYALHADFTQPIDEDLAEAITFLKSS L199_001234 MSSSVYELPEPNERKCLEVLQGLIKIKSYSKTDGELEATSYMAK LMKDVGLDADVKEFDEGKRQNALGIWRGTGGGKSLLFNGHVDTNPVTEGWTVDPWGGV VKDGMIYGIGVSNMKAGCAAYLCAVQTLFESGWKPKGDVVLTHVVGELQGGPGTVALI DQGYCNVDYFVNCEPSDLKAITMHAESHIFRIELTGVTRHMSKREAGTDAILAATDLI PRLDKLTFGNAKNDDVKACNRCHVGVVRAGLGREMAVWRPPQVADFAVIRGAARFGPG QTSNDVETALEIECMETQRKFPQLKYEIFNERSDSMPSFEVSKQAHIVKRLNEAYEKV RPGEKQPTGALAPQCFYGSDAGHLYVKLGLEGIVCGPGGKYNTMPDERVEVLDYLDCI KMFIRLIMDICG L199_001235 MEYKDDKEQITSQPVNTFAAEGKVDDGYEIYAKNVDIQGDRTPE EQAIWEAKSKRIATKFDIYLLAMMCFLVGVNYIDKAALAWAVLFDLKKDLGLVGNQYS WVSSMFYFGYLAGQIPAFYCTARLPLAKVISVTCILWGVLMLGTMGCRNFSDMMAIRF LLGFFEAPLVPSLVSYTALFYTRKENAVRTLIWGAMQGTFYLIFTLVAYGLGHIKNDG LKQWAWIYLVLGLLSIALGAGWFFLPDTPIKARFLTEEEKVIALERVAENMAGTKGSA LQLHQVVDAIKDPMYYLSLLYVFFAMVPNGLSSFNTLVISSFGFNQFNTLLAGLPSSI VSAGSLLAWGIAARKYGGLRTLGMVLPLIPAIAGIAAVYATTHGNHPKWGRAVAYWLI NSYAVTWPFFQAHIGVNFAGHTKRSFIYGSVLVMFSAANIVGPFIFPSGSSNYSKALA IILVFFCVQALLAVTIRVYMIFDNRRRDKKFGKVDRRMETEGALEGLSDKTDRENTAF RYVY L199_001236 MDFAITDRTSSSDPSSSQGYSQNTAHPLISRIDKSKRLNRLRSS NTDLDFAGSEANLTLLEHRQNPRKMRYAPNATHTSMMSADQQQTDQPGGTGETSQNPT EENVQAQVNDGHESDEKMGHSTSNESLSRWSMKTRYHGDGADVESDNTNIVDDTGTAS SQHQSITDRDRDRERERQRRELPTDRNDELKIWAMRTMTEGIRENHGLDACPEDCIYN NGKGFDVVHEL L199_001237 MTQQPYLNGNSHTLKRVVVTGGLGYIGSHVVVSLLLTGQYQPIV IDNCHNSYPEALNRCAEIARDEMGSEAPQPILHNVDLRDHEAVEDVFSQYDDKGGIWA VIHLAALKAVGESGEFPLRYYKTNVAGTISLFESMERHGVNNLVFSSSATVYGTPEII PIPETSPLLPASCYGRTKAVVEEIIQDLCRVQKKDGQSSLRAVSVRYFNPAGAHPSGK LGEEPRGKPGNLLPLLAQMAIGREKSQLKIFGTDFPTPDGTCVRDYLHIMDLAHGHVL ALDALAVPTTQKNIFSNCDAENGSFRSFNLGKGKGMSVLNMIEAMRKATGYDYQYEIV GRRRGDVPDLTADPTLAEKELGFVAKKGLEEMCRDLWNFQTKNPHGFESAQKQNGTI L199_001238 MSFLHRIWIGLFLLLLRDVRAGYLSVNMTTATQCGTSTVKWSGD SGPYHLLLTPTEFKEHGYNVWIESIPDGQNSYDLPIRQPEGIQYMLTVWGASGIQYAA TTDVLTVQPSSNQNSSCFLSDDAILNLYTFSFNLTSNSGSYPPQCSNISLTWPTSLES NVTSDWVSDNSTTSTSSVQSISPRNTHPSLLPARGLNSNLEMEVFDERDASSSEHGGN TTHPPTMFGIIPLGNSFSIPITYNKNSKYAKYLPESSLSDNPTTYTSQGVTHLNWTVD LAKGTRFILVAGIGSQEEWASGGSSSMFTVGQGSTGCVGSEQNGGGAPSVTASNSDPT STSGQDNNPPPSSSSSVTRTVVASVLSVIGTLIIVGVIFMCRRARNRRRLNATAFISG NKKNKNQNQNNPDQFATLASGSGSETPLDLIASRSDASGLGSGNPPRLSPLVLPDGRP TSTGGNGNHSATNTPISPLNPFDDRNFKIPFLPNSNSTMTDTYSSPVSPIRGGSANDM IRHPSQDALLPLVGFDQSRNDNSPVGNGTYQSTQGLTSSGTGTGTYLTSISRNGRGPL QLHEHERGLEDEEDTGDLKRDTIAYLDSADGPGTGPCDPSGNRNGAQSVASAPSGVGR RRQPRPPRQQTEEREMEFRIHRDAGRVRVNPDENVDVMELPPRYDEVNWEEERERERQ TR L199_001239 MLSSSPAVPLSSISFGDGHGRRLSLVTASGQELFTARDGLPSPE HLTPITGASTPNNLSEPPSQPLSRRPSVGNEEFHDEPQDVPLGAEPQYEKYKELDSTS LRKVPSRGGIWHEVEEPRAPNVSQPALALRSRSSSPPVDKTDVEQWHAAHRRPTVPSN LSFTPATPNAPSPAPSLPAGQQDAHLTADSHRAPQVSKTAPSSPHVSPNATRHPKHHA GPLHDLRRFLNHHIGHHDHKSKHLPSGENGSIAAQAIHSHMNETPGPSVPGSPTHGGV ATPAMQRRGSGFNGLAAPGAAPSGTTTGQSTPATTRHDNNHDKHGAHTSHLMGFMRHH HRDNEGEKSHSSLASFFGHHNDKHKEKKSKKESKTPTESRATSAAPSRVNTASFQMTE AEHAPTGGASLPPSRGISPPDTPDISTPKNASEYPGVPHPVVALTHPSLHEATHAHLS KKYGKWGKVLGSGAGGTVRLIKANSKNGGSTYAVKEFRPRRQGETEKEYQRKVTAEFC VGVTLRHINVIETVDIVNDHGHFYEVMEYAPYDLFSVVMSGKMSRPEIYCVFRQIIDG VNYLHSMGLAHRDLKLDNCVMTHDNIVKLIDFGTATVFHYPGKHQIPASGVVGSDPYL APEVLNKESYDPRLTDVWSVAIIFMCMILRRFPWKIPDYKTDMSYRLYVNTHPELCTK PPVPTPAPSVANGHEGLSNKVGGTHGLLNDGSSTLPFRSTSMVSNASTAVETKASPDS PQKPGRAESCATATSSSSDEGGVGGGIQQLNLRDGDEEKKDRSDLNFPRRSDSVVSVP ASRYATSSALPTNAQPKRQGTHPVPSSPAMGMDKLSVPDNEGFKGGRNRAVSSPASPP TTPGVNEPHRNPFGAAGQGMSTATDNHNNSNVRAEQQAKRERAASISSTRTFQSGGAE SIFRLLPRESRSAIMRMLAVEPAIRCTLSDLLVGRGKDDMMCSCGSPECSGSILPPPK EITGLSNDELDDGDEWVKNIECCSHQHHLQGKPAGHSHIKVVPEEKPKKKLFH L199_001240 MSSSPFPQLLKRAHISTYDPLITRIYTSTPSSKSQHSDWGLKFP VPIKKGPRYIKFNSLDAGPGVNCDWRSGEREARFVQAWGDGTVRWQNEDEVQALPFLT KAQTAAFSRNAQSDDYLTEQIPSSESAEDQLWMKDVESMSEKEFELYLEKIRKGRKEF LDQKLNDISTSIKETLVLPEDNTLIHLSYTGKTPSNSTLDYQAALTTSELRDVRSNKL NSKPHRTNGLIYSAKPTSSNEYLDTSSKKGRVLNKVSRYDNTQNTSRSLIGGGGNNLP WIVSLGGLTGKTITNNNRFTDSNFGGNAYMNNSIDQTDYTRSDTSAGVGKFRVTRAEM GSNPPSVLALKDSKYTNNGTKLTGRWRQSTANQPSPLDTFRFDIDLSITDIESEVDGA AAGAGVGQPGSREWVGNESKLNKLTMGTWQDELNLGGPRRERQKGEALDKLKKREREA TKETMSRLHRLLNRHKVEAEVKETADGQ L199_001241 MVSTTLTPTTAQPSSSSSSSPLKVKSKSLSAVPVTVTSPTSKVS NFLDNSSDEDDEDEDTIVRSKLRINGDKTKKRKFDNITNGHNTSSPKKSGAEGGSSAE IRRKEAERLFQKRKELPFYQGRREILEEIMNNDTTIILGETGCGKSTQLPQLLRSHPI SESHYPTKKGPKIVITQPRRLPAIALANRVAAEMGCVVGEEVGYSVRFEEMVSRETGV RYCTEGVLMRELANPDPSSSNPNEQNLNLLLKYDIIIIDEAHERTLNTDFLCGALKKV QRIRKDLVAKQDAKGKGGAATGEIRELKLVIMSATLDPGKFQRFFQTGRDALLVKGRM YDVLTQHTTNPVDDFIEAAARQVMNIHCNPNHDGDVLVFMPGSDEIENCVELLRRAGK QLPEGHKQIQVLPLYAALPPTAQAKIFSTPPKNTRRVIVATNIAETSMTIPGVSFVVD TGYKKEKEYIFRRSGSIEQLKRKEISQAAAWQRTGRAGRERDGHCYRLFSKELFEKMP EFDAPEIQRCNLSSAVLQLIAMGQNPFEFEYIDNPGRDNIAAAFQELAGLGALSGPTE ITELGREMLKYPLDPPHARILIASFENGCANEIIDILSIVNAGGTVFVDRPNEREEAS NARAKFVHRDGDHMTALKVFRDFLEIKNSSSGPRLRNNKGGQSVVGWCKDNFVNHKTL NQAIKIRDQLRELSTRYGKDWKVSTTSTNGDDSSPILRSLLMGLFMNSAVIQADGSYR QTAGSLTVKIHPSSVLMSKKVPAILYDELTVTSSFYARNVSSFEQHWLTELPIFAKSA KRVAVPVGKGQL L199_001242 MPDAIDKSVPDEHRIHSVGAWKSQDKRHHHKFLNDTVEYVEQNP KPLHPSLKEFKEIVEGSTRLSMLFQLMFEQVPNNKEYLKDPTGQDSQVRDFDHLLKLM NHVISHAPRWTDPGHKAGLVGVPVQALLDWPMGTSAGFCVFQDPLVNEQLKKILDVWG SYLTSPESADVLGKGKTDWFGPTGLPSLEEVANKAGGTDLKFHELFQCDPKADHYGYT SWDNFFTRQFHWENRPVASPDDQSVVVNSCESKMYKVARDVKARDKFWVKGQPYSVLD ILNFDKDYSEEFVGGTIYQAFLSALSYHRWHSPVSGKIKKIVQVDGTYYSEPLFVDFT QDQKADMTGETTSQEYLSCTATRAIIFIEADNPRIGLMAFVGIGMTEVSTCDTTVKEG QHVEKGEELGMFHFGGSTHCQLFRKGVKLSGFPEESDHNVPVRSRLCVVE L199_001243 MSQTDTYYSTSSASFISKRKKRVSTVTDKSGDTERSSRRGLFGL KSKSRDSASSSSSMGLSDTNVSGSVHSRDFATPQPVSDDYMSTVDDKPAEPIRSSDGY IIIDRPRQSPSPNSFGSTADTVFDAHPDIAEAEDELERKRVQAYLAKEAEITHLRMMT SEIRGKNTVSEYTEECDYNDTGKSDYTQKNQERSEQARKILKQTMNFSF L199_001244 MVNITDITPPRSRSASVSTTSSDVALPSFESLAPPRPPTTKAEE ETRVVVEGLGSDVRLKVNAGPGCGGIAWPAGEVLSRYIAYRHGIDPSHLRNKKILELG SGTGLVGIVAGMLEPSSEVWVTDQSMLLDLMEVNAELNIPASHTKRNVHTAELNWGEE LPDSISIKDLDMILAADCVYFEPAFPLLIKTLCDLAPIDKDIEILFCWKKRRKADKRF FAMLKKHFHQFTVEDDKPGSKEVYGREGVSLIRLVRRK L199_001245 MTAIRSSTNYSASSPTIPPPPPSPGRQRTISNLNLRSPKIQSSP ILLHPLPPTSSLNKESVYASELELDKQIRQVKKERQLQEALQEQLFSAERLKVKEEQG TWSSIQQDNLKGRTDGRGRGDSWGHSKGFTHPPQAYELYKAIDDHNIDFIMRIRDHDF NLLLQKNGNEFPILYATRLGERWRDVVILLVGALSRYVNHLDPEDFEKKETLRTLKAL RANLKLAIDHTLLHLPPGHSPMLLSSYLQVLIMSEGDSFLYKSIHEISLLLRSSTSAS AVAGKNVKPVKEAENIIRKFCTKELRGVKGGIGDVEEYIANATLDLVIMSAWSLVNAG QPLPTHTFARDLRTYSTFMEHLQDDTNLSSINKLNPRIRKILYTLRDLAGDSKKSVRG RLKDVQIALDGE L199_001246 MLNGYRLARSAVRPLKGVSAQQKRNLSIHEYQSVQLLNSYGIPT PKALPAFSAEEAEQVAKSFGKDELVIKAQVLAGGRGKGHFDSGFQGGVQMVDSPAQAK DYASKMLGHKLITKQTGAAGRICNAVMLAERMPPQKEYYAAVLNDRARGAPVLVTSSQ GGMNIEDVAHDTPEAIITTTLDFDNGISQEKALDLAKQLGFKDSAQKNAAETFQNLYK IFKEKDATQIEINPLAELSDGQVLCMDAKFGFDDNADFRQKDVFKLRDTTQEDAQEVE AAEYGLNFIKLDGDIGCLVNGAGLAMATMDVLNLHGGSPANFLDVGGGATADAVKKAF ELLLTSKNVKSIFVNIFGGIMRCDVIAEGIIKATKELELSIPLVVRLQGTKEAEAKKM INESGLKIFPFDGLDEAAAKAVEAAKSGI L199_001247 MLRTRSNPNPQQPETPHSKSLEPDTPMSHYTSRIHSEDDPVHPS NLNSDSEYLGGGSGEGSGTGTPAAHAGSASLEGVNSSSTGTEFNSTTNQQRRMSAGSG GKGSGISEKPGGGIQSRRSKNGLTLNLSSLMGKRSKGNKFGLSQKGWMAVTGFVGFIL LLKLLFSGSSEDPHHHVIDQSHLVPRDYLNNSLIDPAPFEFCPVFGPGDAIAARRGQL ELLKSRLHTGTNARVQRVLQKAMSGSSITLSVLGGSVSACTGAGDDPVNEKCYPHKFF DWWNTVFPHPANELTNGATKKTDSAYYAYCNSHHLPDKTDLVILEFDAADPNDPEWLQ HFELLVRSILVRPEMPAVIILGHFSPQVQAQNGFAGPELLHNVVAQFYDVPHISAKGV LYEQYLEMPEQARSTFYADPNHANHNGHDLIADVLISYIMSQICSGWSAINGHAFDVP NLGTEGDNSASGPSLLGGVGLRKGMPGQDPGDGDSAGSSLAERYQGLRVPQMRLNDRP HDVQQFREIEPFCVAASDLINPLPPSLFYGSGWHTYHPPKGAVYEDRHYWYAEQPTAR LRVPLKLGAGDVGIYFLQSPPDKPLGTVKCWVDDNVGGAKELAGTAEVEDVIATLVMI DRGVSRGSHFVECQLQGEAGGTSPPFKILGM L199_001248 MASESESKETERVSSADGDPDKKPFNSFYEGTIKALEGIPATPK DSVGDFCARIINRYLEETRDWTDDTFKAKLIDT L199_001249 MSDFLTPIPASNPYYDLGTLTRPITTTSPDAQEWFDRGLRWAYG FNHAAAAWCFEQALLHDKYCPAAYWGLAYSLGPNYNKPWEVFDEADIKRTVEKCHSAI EEGLKLEHGTEVERALLEAISTRFPVDRDSRTHNEWNINYANTMVQLYNRFPNDIDIA ALTADSLMNLTPWALWDISTGTPASNSRTLEVQAILNKALTTPAGYNHTGILHLYIHY IEMSPTPELGLVPGDRLRKLSPEGGHLTHMPSHIDVLVGDYRRAIASNWDAVVADEKY RKESGDLGLYTFYRVHDYHTIIYASMHCGQYSQAIKAVDGMEDSLSYDVLKVESPPMA DWLEYFLTVRPHILVRFGEWQEILSLKFPQDKIVYCTLIATIHYARTVALASIGKINE AEEEQILYRQAVQKVPRSRMIYPNTCHDVLAVGDPMLDGEIEYRKGNYEKAFEYLREA VRRYDNIVYAEPWGWMQPVRHALAALLLEQDRVEEATVVYEEDLGISGTLPRACQHPN NVWALYGYHQCLKRLGRNQEAVIWEKQLAIALAVADVPIKTSCFCAGASEREINGNNL SAKSCCDGKLTKQAF L199_001250 MSPTAVHEVPTPTIQSLKAQAQGGKLEIPPWTKPPLTKEKLPWA QLETIDLNLLDSPDPAVREKLIQAAKKALTVDGFLFVTGTGVSKEILERNLAIAQYAI NGIPYEEKLPYAAKLEEGSYRGYKLRGIWKRDGGVADNIEHYNLESTSFENPQDAHPS KLLPLIPEIESFARHTYFYVVYRILKLVSLALELPEDYLWELHEHKGTLGHACQRFMG YFPRDEKDEEATSGIWSKGHTDYNSISLLYSQPISALQILTPENEWRWVKHVEGAVVV NTADALEFLTGGVFKATRHRVIRPPPDQADIIRYILIHFARVRRDLELNPIWESPLVK SHGKNAFQDRIDNGGKAPTQDEWLRERIRRTGHELYDDNKKSKNGRVEEEVLGRKVEY YV L199_001251 MSLNSKSDRDDSLVQSSKQPWYYYLWDSFGKPPAERRLLVRLDL SLLVFSTLGLIMRYIDQTNLSTAFVSGMK L199_001252 MFGLEYNYCNTAWSVGYVIGQIPGNILLNRVSPHYIVFALEFGW SIMTLCTTWVKNWHQLCFIRFMVGLFESAYYPGLLFLIGSWYTKDELGKRSNIFQAAT AAGTLISGVMQAGVYRTLNGKHSMPGWRWIFTIDAAISIPIAISAFFLIPDLPWNIKP NWIFKQHDIDLARDRLGAAGRHGPRKGGLGKKALWNVVSTWHIWLFTGVYSCYIFSQN PQQSMSFWLKYSTNPKYSVEQINYYPSGIWSTQIVSALGFAWISDTFLKGKRWPPLLL VALWHCIDCALLAGLPVYSDHRAERWVLYYLSGVVNCTPGLLYAWCSEIIGESSEKRG IVMGTFNSVAFSFNAWLPLLLFKQTEQPTVHKGNIAASVATAFQFIGLLGILYLSTRD QKWGIAAEVNEGELIRSNEVIEDVDSKERSV L199_001253 MQSNQAGRAKVVLERVTPETLTQYAPRLAAMTTSHILNHNRSIN FLHPFTSHQATELFLKTSSYLLLDGPGRVIMWVAKLPSEESKEALESVDMDGNLTDNA EIVGTVQLAFHTSPNGVHRSEVRKLIVDDRYERRGIARTLMDELQRYAKEEGSKLCLL DTEAGYAEHFYTKLGWKLSGYIPNYAMTPDGLEKRDAALMYLEL L199_001254 MTTNIHQEKDLSGIAHDPSISGENKADAYLSTAYDVEVGVNAVE GDEATDKHYTIIPEGVDPALVRDEKVHRGLAQRHIQMIALAGAIGTGLFLGSGKSISR AGPVGTLIGYGAVGTLVMSVMGCLAELSALAPISGAFVSQADLFFDPALGFAIGWCTF YGSVVSVPAEWSAVAVVMTYWTDLSPAIWIAICIFVTFMTNLFLIRIYGEVELICAVL KIALILGLILFGLIYDLGGIPGSDRIGFRYWKDGGAFGQGYYYTGTAGGKFAGFWLTL INAVYAFSGVESLAIAAAETKNPRRNVPKAAKHVFIRVFVFYMVTLFIVGLIVPYNDP NLLHSTGTAASSPFVIAAQRAGVKVLPSIINAVIITSAWSSGNHGMLVGSRSLYALAL DGKAPKIFTRVSRFGIPYLAVIAQGSFQALAFMSVSSGASTVFSWLTNINSSSTLCIW MVIGFLSLRVRQGMKAQDIQSHKLPWSAPLQPFLSYYTLGGSFLVLITGGFYSFLPGN WDVSDFFSSYFSVIFMIVFYFGYKLWKRTKIIPLIEVPVGQLIAIADANPEDPPTPTK GFWKWFGKFWWD L199_001255 MPTTSSPTSSTSTAETTLPTPEQSYASRRSSINSPYIYSYSMSE DQENINGDLFSPDGLRSNGNETPKGKGKASAAPALAVDPTLSHSNAISSPTPFSRRRS NTGNRAPPPPPIFLRKATGMGDPDEDEIVPIPGSASTTGSSQPGSAKGLNISGMLMPS LTSPTEMGHGGPSIEEEEDALGELLASLRPRLNFNASAENAPSSPAWQSIEATPSSAG LNPSSSWGRRTSLEVPSPLGTRINERKFEPTPQHGIHARNLSLFFPQPGNPIPQRPNG SPLLMESPVQPTESLIPSAGGERNVFGGTGNWSFGQAAAPQDENGLQTPEGVKRSKRR GHHHKHSLSHNFFSFLDPTQTNPTLATSPSPKPPTTPGPEATPAPVPMPSLGVSALSA ASPTLSPLPPSKSDPRKQFLLSFAILEFLIGAGLWVEGQMSGWRSLAGVGYLVVFDAL GVAVEMVARQQGQGWNSIRRPYGPSRYISLLYFTQSLFLVFSAVYIAKEAIEQVILGS GAHDHSLGGHGHGESVMEGDERDFPHFLLGCAALASTFGGAALGNHGKLVDAVGSLFL TSSYLSLPFVSKFSSFLANPFSLTIAGVSAGIGLSTLLVPPSALHSLDSFISLILTIL TCALSYPPTVAFAHVLLQTAPSPSQTQMISLRKALKEIKEDRRVLGLGTMRCWTITAG KGSYEDRYPPSPSHSRPGSLASSPKLESSSKFFSPPSTPSRQTSFDDHLHYPSPYGVP SSSNGRKEDDGAPLVVTLAVHVHEDSSDKEILELTKIAYLKLENAISSNAIGGMSISR RDGEVSVQIKRGWEGLEDG L199_001256 MPIPFYRPLPANPGICGSQTLPEQKANILSRIVFHWVTPIMRAG YSRPLEAEDLWMLTSGLECKNIADQLQGHLNKQSDSRETLRHTSENEKLSANGKVKSS NVRDSMALIDTLDGYSIQNPFVKLEPTYPTNSRFGLQTSNDNYYNNDPDISSGSAHQL GNSKSGQGSLLKAMYMTVRWQWWKAVICKGCAAGLQITAPLVTRLLIDQLTLSHDNQQ NPSAESPRSVGYMIGLAFVLFVMVQSASLFSYQALQGGSVIGFMMRAALIDLIGRKSM RLSSSSKIEFTSGKLTTMVSADASFLDFSAPMTLDLVVQAIQIAIGLGLLFWTLGYSA LVGLAILALAGPLQAYMFTRMIHTRQSQLQYVDSRVRLLSETITSIRSVKLFAYVQFF SNKVNEMRKNELIYLRKNGFNRATMNATMAVIPTLAAVLTFVTYGLTGHELNAAIIFS GLQYFNVLKTPISFLPMCFTAVSDALVGTRRIGALLRSEEIPPKLNINHRSKYALDVR GDFHFESLPESNDKSQSRLEPGKAENNDKDPERSTIKSIESFALRNIDLKIPQGALVC IVGRVGTGKSALLSSLIGDMRQLDGHIVFGGSVSYVPQQAWIHAGSIRDNITFSATSK EIDFGRLGDVIDACALRSDIDAMNHGDLTNVGEKGLLLSGGQRQRLSLARAAYSHSDI VLLDDPLSAVDANVSHHILKECILGELMRDRTRLIVTHQMDILPHADLVLVMDRDSEG DGKIVQQGTYSDLKMQEGPFRSFISEYSSEPSPATPTHRPARSKTTSTTIKESKKDKS SGVDTMEEDRQIGSIPWSVYITYFKSMGTILWPFTFGSMLLLTQAASVGNSIWLGWWS GDKFEGLGQGGYMGIYGALGVAIGFFTWGASYTMFVAGLKASYRLFEQAWAHVMRAPV RWHDQTPSGRIINRLSKDIEMLDDRMAFSWETLLVNALAAVGTFGLILYTYPWLGIAF IPLCLFYWLCGGYYRQTSREVKRIDSITRSQIYSSFGEQLAGLSVIRAFGKQDTFEER MQKAINVEGRAYILTLVIQRWLGVRLDLSSNLLILLIAIFGILFRNSVDPASFGVVFS YALAAAQLFSNLVSLYAQVELEMNNAERILHYTTLPSEPPPYTSQDPPTGTWPTEGEI IFKNVSLRYSENGPWVLKKLNFHIEAGEKVGVIGRTGAGKSSLVGAIMRMNDIKGEIR VDGLDLKQIGIDSVRERIGIIPQDAFLFEGTIRQNLDPLSIHSDHYLNSLLSLIHSDP LLPSSQSTKDKFKLDSQVNSEGTNLSAGEKQLLALIRALARNTKILLLDEATSSVDPE TDALIQRIIQNHMNGVTLISIAHKLQTVAYYDRILVLDQGRVVEYDTALGLYDIRDSI FRQLCDRVQLGRTDLLRLRHDALYALQASRDDKSLFNHYSIAEAWVQNGGVRVSEYRS L199_001257 MSTAAKRRLIRDFKRLASDPPIGISGSPNPDNIMIWNAVIFGPP DTPFEDGSFRLTLTFSDSYPNKPPTVRFVSKMFHPNIYANGELCLDILQNRWSPTYDV AAILTSVQSLLNDPNPASPANVDAASLFKENLKEYERRVKQTVEQSWLDNPDEIEVDE PSTSTTAQAVTA L199_001258 MPRVSSSKSNSKRRSSRLATQSQSQSQSEFDNSPSWLDPQSQSQ SQSQSQQIQIDNSFLSISTASLPPKYSIKLALPVDTKLFSNEEKEYRVKGEEFLHDGW RRLDQPEGKLMSLRRKAKRIVSLPGHQASLLGNNQQKQKEDAEDQIFWYNAGMKFPCV CSLTKYNHSYTYTTKPPQSTELQALNITDCEGPVIAEDPKDLPGWYVGTDPIDLEPSL DHIKEFKITWNTAHPPFSAEYASQMSNPETVYEGSPTNVDLEKENNRLRKGKFKAIEV EPLLPLFLNGEGDKMNQTRHDVLLPPIVTANRDIDEEKIWEEELKRRKAYWRKMIRDD DAFGRMWGIIPYPCTYPSRYLPPPITRKKIKIPPYNLPRTYHSLSHPFHPNLPEYIQS EGSRRVYWLIPIHGPIYIPNLNHPLTENIYPGQVVPTKGELVDQIPDAAKDKDKSKKI FWTSSSLLSLIQQFLHPLYMDDQRPFGVLGYTFSGAKPDPFLEIPYHSSTNTDDFETR KKHNDNNVGFIDKHKMVKPECGDHLRIYCNLRYSLELRTWLHNVKIPIPNSNNNNDEK EKGEEEEKESKSVRIFYKTRLTLVGDKGEVLMVA L199_001259 MSRTPPPEDPHEEEDQPRRGGRARKKVEKFEATSAPTTKKGKRK QTQEDVDEDENMEDPDSDLTEEEDEEGDADPAPKKGKKRKSVGAAGSAKKTKAVNGSG KKRGRKSTGGAGVGANGEDGVKNESPLFNALLSPDIALQPLIDEWVETYQQAAGDEVS EQVSIHELITLFIRCCGINLDIEQAEATDEDGITDAVERIQDESVRVAAAAYPLISRG KQFNAFRKNLDYFLYNLIESLSLTPILFQEATEESDHVNVLLSLILNWLNCMSTSPLR PIRHTSTYMLLKINSSMCDVASSVGKDLSLKQRQKEAEIKKGGTSNAAQKRLKELEEK VTEVQKRKERLHDMFQEIFDVMFVHRVRDADPHIRSDCVRELGVWTKKYPDYYVSTSY LTYFTKGCNDPFAHARLETVKALSNLYSKESFMNNARTVTLRLVPRLIEMALRDVDLH ARVTAIHVIALIDKTGILADEDESHRDKVARLVYDQDPRIRKAVGGFIVNLWEENKEN LKTEWSGARAAKKKRANKISEDDMATNLDWKSLATLLIDTSNSLDGLIEPIASSSSQQ PILPISITTPLTRANAAVESICSEYELWRDWQSLVDYLLLDHSTADQDMWLLTEDEET FMLQLLIALIQREDQDEEEEDRSKKLMQILPRLFAKHQTDVGRIGGILSVLSYINLGL YLDLRQTKAYETLWDDVTKQFLHHTDPSVLESAIKAVNHLTTNAPMATSNTTKLNELQ ESLFASLRDEIGSDDVALLSLEEEGIAKLEAILLRILLLEKSRDITEVMEDEEGQSSG WDIICAFAERGRLGYKEEAKMIDYAVQIVLYHMTWVFRRFTEEDAQDDDKIAALSMKR DKAVEIFQELTLGDNTNTVDTVRRQAFIAYLTLHLLFLYKGSKDNLATPAAKSCPLTI EDETQHRLGGAFLAAVEKCVSDREAHTDTDINAEGESVREDLSFLQLTSTLVAAIRKG VLEVEHAKEPLAHVGRLGQTYDAIVKKLVDALRDEGIYNKESETVQHVAGSALQQSFN LFLDSDSQEPTAPLTLARMIASAFTIHGNHFTVLQQLHPSDVCDFHLEALDYISRRIS NYVKQEIAAKNREQKSRLQIKRFSAITFFKVLIQLLSPITPTDALKIKAHLDDAISSV GVEVTVHKGWEPYRAYEKKLISIASKDPNVKVAANKKVQQAQQAEEDTEREVDEEEEE DQEDNVTPTKKGRNRSNTLINGNGNGNNNRSSPLSPPPESEQAPTTPSSKSRKRAREA SPMNVDDEELNLSPPPQPADESMQLELGEENVDNDSDHELTLEGIGPSQNERERSASA EPSLKRRRTVVRY L199_001260 MKWSDNLRNWDRYKIYRYVILLGLSLSGDGWSYEASVIASIIQM PAWITHLGYDSTIGIPDKKNQWIGPVYSLGQLIGGLIAAFFLDNLGRKPTMVIGAVLM ELSTALLVWSGSFAIVIAARVIEGVSIGFLLLGYQIYAVEIAAKEDRGFVSSFSLMTG NFFGLLAAGLVYGVSYSTSNTGWRAALGITFIPATLLLLVLPWVPESPRYLFEKGKDQ ECRRVLSKLHGGHVDEGVVVLSGAAEREYEAMRAAISWDQAHGQDKWSALWKTKAARY RSFVAFSSQCWWAWNGQSIFTYYYTKIFSTAGITDPHLQFGISGIQNATWCVGGIVGG YLLDVWGRRTNYLIGIGQAAIMLIIQGAITIGIFDKGIENKAAGAGFVTVYLIQWFLW VTFFSPVVNMLPAEIYSAGLRARGYAIANVFSMGMGFATQYSALPMYRHMHGWVWIFF AGCMIFAWLVIYFTYPETKGMTLEEVEIVFGTGAGQRVKAALGKASPADPRTPVHFKP NEAVEDESDKGGSEGSPKQSTRDVVV L199_001261 MNPSQTTLSSEFATGPGSSPSVASMNDTVTTETVQSDSETEMFE LNAKTPTNSSEVLRPLTSATGSEVNITQHVTASTANSDQPGHTSSYFSEPVNFTFKND AQEANYLSFTHYMASQYPNSNISEVNASLGDKIRSTWLSSRREDQQGDYPTRSQWMGI RVGKMGELRDKLKIGSLDDKYLLTLGCDLSYLELQDPLGLEGAMSEDGDQSFRPVTRK DHLLDSLLTKEMRVTVDDAGRMRRDSMNRLKAMVEEQMRDLK L199_001262 MVTRYTYTAPSKVLTSPENTETSQNSKATAGAEAARTAPSHSSI DPPEERLLKVYEASQAARDYFRSNANNPNLHTKDRTASLVGLDILESRNSLEELTPEQ YKEHIQTFYDGSGMGQKGIYPTTAQYEDYMEGLWREFYFRTKKTNDMSKDGNFRTFIS YTAGLEEDDPYVTNQDKLAEDEPYVGLTRRQLLRDTLLTRRITLFEQDLQTKKDWLID RYQERWEDIVYLRKNRLPGHFGKSAQLV L199_001263 MSLEGTTLGSPSIAGSTMNSTIQEPPTTNDEGAPETRCDSRKDQ ILQTIDQACNQLQRLIDHPAPTLNQYSLAASKLAIDLLRETQEASGTIDYDRFIKDGW MSSHMQVYHRTVHPTRLQWIKKRKTDWSEASRNSAQQSLELELGIPLPTAVETGLDLI GKSIASESQQLPPDKNDPYQMTFLSDLVWDYVSKRLVDDPTYFEDTQHRYTAYQTEFE REMRSQEQSSQSAKPKKQSWYRRDGHLGVPYLFRIKLC L199_001264 MKVAVIGSGLAGLTTAYLLRKEGVEVHLIEKSSKLGFHSQSVEI PLSSSSNGPGKSKKEKWIVDVPMRGFQGGYYPLLLSLYHHLQIPLETHNYKFSFSSSQ STYFIHSGSSGFSIPSLPSQAYQNIFNLVRDIATFVGVAICYSLLVILSFVAYHDLLP SCLCNPGSKKTENEMTLKEFTSILSAFLTQPFTIPIIEYEVWTPLGELFESFFISKLV IPLFSSVGTMTTKDCLQLPMKVILEYIHLTLGTDHYQLSKGYTASTIAELLAKPVREQ GEGYVRLSTEIMGLEYDGGVSIKMKNTTAQRQGQQEGEEEERITADKVVLATPASVAK ILLEGLESSLNDNRQIKEGRRVGQMRAALGKVNYRETIVVTHRDTSILPSSKDRRDLN FFLPDTSFSSRPSPETHPIPYLIPTENAIYTQATQIILPPKRLRRRGRTDAMVLQTTN PAVVIDPSKVLSVSKLERALPLKQPNKILPQLRSSSLDSCVYIVGSYAYPGIPLLEGC VGSAKMTVESLLADAYERRTGFVRPILPAGKLDWEVGKGGRFARTWRWRWYESTWK L199_001265 MHGRTHTNHTKPTQSSSPSLPSSSHIHKHSHDDHHDHEHDHDHD HDHHHGIFHTHAHDHSEGAEQIMQAISSGKLDRGTKITLLGLGSNVALTLSKGAAGIW MNSASLLAEAGHSLSDLLGDFVTLLTWRISRRPATDSFPWGYSKFETFGTLSVSVILV GGALGIGLHSYHLLLQTLLPYLETFPPGTFFNSLGHWLPSSVPSPLLEMFHSHGPSTL PHEHGAADAALSAHSHSHDHAGHAHGSEGGAILNPHAAWFALGSVVIKEWLYRVTAKV AAEEHSPVLKANALHHRADALTSLVALTSILGSSFGGYHFLDPLGGLAVSFFILQQGL TLSKVAFLELLDAGVDASTKQKYFGIVNDLVDGQELLEVRNVRGVKSGGQTLLDLTIT VPPSMTVRDSHAVEQKVREAIMRARREVREVKIHVHGKEDGELTNADPLDRKGGADGN GNGPKSDFGREGC L199_001266 MSDGPNALNLGAPIGTTGASAAPATTTTTTTIPHNINTASPDGH HGDHAGDLSSSGNTLAEEETGEKHLDGIDAEKADSHHHHNRPHLPHGHSSHHKSKRTA HDSSEDVVADLEPHHVSIHRGKEEFHALERKYSSISQRSQGAELHRPATRRSIASAFS NRPERQISHFSAADAEKAKADEEEFDLAEVLRSRRESYDQAGIKRKDVGVVWEDLEVI GAGGMRINIRNFSSAIIEQFMMPVINLLGVFGYNPFAPKPKPILFKNSGILKPGEMCL VLGRPGSGCSTFLKSITNQRDGYLEVNGNVEYAGVPWKEMRKRYAGEVVYNQEDDDHL PTLTVAQTIRFALSLKTPKKKVPGVSNAQFKEDMLNLLLSMLNIKHTANTIVGNAFVR GVSGGERKRVSIAEMFCSGATVCSWDNSTRGLDASTALDYAKSLRMLTDIMGQTTFVS LYQAGEGIYEQFDKVLVLNDSHVVYFGPAKEARQYMMGLGYRDLPRQTSADYLSGCTD PNERRFAEGRSEDDVPSTPEAMEKAYLESEICARMAREREEYKAFVHQNDGITEEFKQ AVTEQKHKGVGKKSPYTVPFMSQALTIAKRQLMLKFQDKFGIYTGYSTSIIIALIVGS VYFRLPETASGAFTRGGLLFLGLLFNALTSFSELPSQMMGRSVLYRQNEYRFYRPAAF ALAAVGADVPYSASNIFIFSIILYFMGGLYSSAGAFFMFFLFVFTTFMVMSAFFRTLG VATTDYNTAARLASVLISFMVTYTGYMIPVQQMKRWLFWIFYLNPLSYGYEAIFANEF SRINLTCDTNYIIPHNIPTAGITGYPDEVGPNQLCSLTGSTPGSGAVSGSAYMNAGYS YSKSHIWRNYGILIGFFVFFMFLQMFFIEYLQQGAKHFSINVYKKEDKDLKEKNGRLA ERKEAFNAGKLEQDLSGLKMRPEPFTWEALNYTVPVPGGQRQLLKDIYGYVKPGSLTA LMGASGAGKTTLLDVLAARKNIGVISGDILMNGRPIGKDFQRGCAYAEQQDTHEWTTT VREALQYSAYLRQPQDVPKAEKDAYCEDIIELLELQDLADAMIGFPGYGLSVEARKRV TIGVELAAKPDLLLFLDEPTSGLDGQSAYNIVRFLKKLCAAGQKILCTIHQPNALLFQ SFDRLLLLQRGGECVYFGDIGPDSKVLIDYLERNGAQVPHDANPAEFMLEAIGAGSRK RIGGDWGEKWRNSPEFQTVKEEIQQLKADALAKPVEEHGKHTEYATSFMFQLKTMLNR TNVALWRNADYQWTRLFAHIAIGLVVTLTFLQLDNSLQSLQYRVFACFFATILPALIL AQIEPQYIMSRMTFNREASSKMYSSTIFALTQLLAEMPYSLICSVAFYLLLYYGVGFP HASSRAGYFFAMILITEVYAVTLGQAVAALSPSILVAALFNPFLLVLFSLFCGVTAPP ATLPYFWRSWMYQLDPFTRLISGLVSAVLQDVEVVCKEKEYNVFSPPAGQTCAEYAGA YADAVGGYINNLDATSDCQFCQYRVGQSFFAPLEIEYSNRGRDLGIFICYVVFNIIVL LLAARFLKWQRR L199_001267 MFSRFIFLFAFLASAVFVQAQDDAWHLDYIYVLANEEIDPIVSP NQQSSHMHKIIGGSRMSAFYNSDYYTAAACSSLRQQADKSNYWMPNLYVIDTDTNKFV PVPILARFYYFLSRNSQYQNVSPFPKGLRILTGDPNNKSPTNVVTFTCQINQDFSGSL IGTSFNFNRDCPYGMKTELYFPPCWDGLNLYKSDGSHMSWPDNGVRSGSCPWSHPVRL PAIQLEYTWSTSNYNPGQALAGKLAWANGDTTGYGVHGDFVEGWDQALLNKALNANGT CVGVYHSMQMGECPVLAPTMDDAAAKACKPERGELQESFGNLDNVPIPRLPGCNPLWG ATGDKPGCSPAIAGLDVSKMTGTDGPYVASAADNKVFQYPTTPGWHNIACLKEITALT GGVSYTDSSMTVESCQQSCLAAGYQFAGTGQVGAWNCVCGNAIRPSASVYPGMCSTPC PGNSAQQCGGSYIFNIWYAANGTTQNTTTLADGTQYVGCYNNPGTASAGLLGASTYNF QSSSMTTETCIAACHNLNTNWALTTSAKWCYCGNDWNFGTGSLVPSSYCTVACTGNST EKCGDYYRSSVYNITAASIEKSSVYHPPGWQGCYQDLSGRLALTNNYWSGTITPQSCI NGCSELGYSYAGVESGKTCYCGNSPQITQRLPVSQCSTACTGNSTAVCGGTNAMDLYT TASANVTAATVKASKPAGYLGCFQDSGSNIAFQNYYSYTVNPMSVEICKQSCMELGYS YAGVENGNQCRCGNNVPTTTQYVSSLQCNKVCSGNSSETCGAGGYLEAYTLDNSTMSA VMPGISAADYITCYENSNRGLTGYTYYDSGMTIEKCRTTCSEFGYGLASVYLSKYCGC GNSWTGATQKYPSSSCQYYKCGGNSTEYCGGQTQAAVYNTSEVTVTINKPDGWVSCWT DSGSARTLQDYSYTANPLSAKACRVACANQGYQYAGTEAGNQCFCGNTVANGAKAPTS ACNTQCAGNANETCGASGYMDLYNATGAVANNGIRGYLGCFTDDSTFNGNSYVSDWMS VDSCNQWCYARGSAYAGIRNGNQCKCSSVAPTLVTTSASCNNPCSGNSTQNCGTATTI AAYELANTGIKSADFVVTSNSTGYVGCFREGSTRMLPSYYFSSSSMTNDLCISNCKAL GYAFAGSEYASQCYCAAKLDPTSGGYRVAESACNQACAGKVGICGSGNILSVYSTLNA TTSTATVEGLKGCYSQGTFGQSPALSYSGGYMTASLCRRTCRNGGFSIAGTTNGNTCV CGNSATYGAAAAPASCNAPCQGNTTETCGATFSSAWSIYDTTGAGSNTPAGFPANYVG CVNDGSPRVLPNYSITNGGMSSDACRKICVAAGYNNYGTEAGTQCYCGTTKPVIALLP DSQCTTTCPGAPTEACGAGGKMSLYSIAGASSNTTASVSASASASASASISASKSASA SVSSVAASSSSSRAASSVTIASSSSSSKSASSAAASSSSSSVSKAASSSSSSSVSKAA SSSSSSSVSKAASSSAAAAASSSTSKPASSSASSSKASIPGGGAIATGGTVTVTSTST ATSAITSAATKPVTSSVTSSSAAPASSSKSVAAASSSSSKAPASSAVTATSTTVIHSS STTSSSAAIPTGTSLGCWTGGNTVFSSAVMTGHDNLTPSMCQIWCNANYYTYSGLSGG NTCGCSNSLDGLTSTASSSCSTKCSGDSNQVCGGTINSTYSVFRAQAATKPSNAKRFD SGSASMRRRNERRIVHSPNKNEGTMKRRGKGVFANW L199_001268 MFKRLTFLASALLPLALSAPVEPGSFQVEKRSTNLQCVQYQYGG PFTSTGFNGFIHLYSNITQYDPDTQTTSFNESRLGSSEDGTIEPCGDCRTTELFGFEI CQTRDRKAFNGLENSANFFYGHLTYYNVGLFQCLTATSTGSDNGYEGSALTLKDCEYD YENAAASGQYFEMSISDQGYWYAHLVDDNTVYGPTPELNSNGSLVLYDVIGANRTFTF FGFKAQQF L199_001269 MPPPPIIPSNRRRKSGPQPIAPAPATHSALLSRHLIQQQSSPSA SISSLSVDQDQEDDEYVPKTVPSTSRKSASGVIGGKRKQPSTSNGQSQNGKPTKQSRE ALRKANHSLIERRRREKINAALGELRRMVPGLGEDGGKAGEFKLEVLERTVAHMKDLK QHIVHLESMITQHGFDIPSMNNTSKPDDGDEDDQDRPRDDGEEFGVKDTFIGSSRIEY IRDNQNDRQSTSHQHHHQYEQHTQHRSHTNSNANSHSQTMQFPNTRPHSQPYLRSQVN PPYPSPRPDNEKLNDEDPNETEPEANLPPPLTKASRQAPPIPRHASPSVTSLLSQTAK HSPTSPPPVSRPPPPPQSNNPIFLPFPAPSPTSPFLNSHPSTSTSASTSTSSSGLHGS GSGSYLSDPSPFLAPISGMSLFGGALNLDLPSPADSLSHRAMRYSPPTLTLPPTNTRE KLKDMPPEEAANLLLAFSSPDTLRPQVHGIPVGEEKRERRMTLDNDDFKLDSSNSPPQ ATTRIPNPQPATGVHKVKSDYQDTDDYTQSGLKGKSAMDILKMTMSESESRT L199_001270 MSIRNTTPSPSGTSTPNRPHPLVPYYPPADTATYRDLLLFEERL KSNAEMLRKRRKRYQVFLYTFIGAWGFMLYQLFVVPPKSNLRIRALQASLAVVSVTLV LFFASGMYEEKIKYAQSYITHSNKALRPLNMHLNMRRPKSSFLTYIPFLRNSSQSTST VPPTSSVTLNQPSKPFLGGKGQPVTSSASPTSSRKVSNSTNVMATIPPSSNPRGELIF SSRVDKSFREGYERYRAAFEKRREEKQKEESRLNLNSTNWFLWTTSTSTSASKNHGSG GNRSRRTPSPNPLGLGKTPPLSRRQSPSPGPGQMQALNPHKVESALRNSMLPPNSSSN LSSEDKEGRQRSESYSFVLDRNNDRSRNDTQMAR L199_001271 MSSVSTSTQPACLRCRSRKTKCLGRTADQPCQSCLSANAKCEVV PHQRGRKVGTKLSDSVRARLKRKRIKVSEEEVNNNSKDRSKVKGNAFKVSLDLKTTSS PSSSSIRSHQHQHRQERGFSMEKNDDELRYQVRPYLPPTPTSSIRITSHPTPYQSQNT KYNYTDIGPSIRRTSSISKIFSEEKRDTAKNGSNTQGFSLWREDPITCGYIDEVTAKE LFQLFMDKISPNVYIFDPQLHTYHYVQRTSSFLFCVILAIAAKFSPKINSFIHKKCLA LAKDQILRVFADDIRSEQTVQALFVLTEFKEAEDENAFLLLGMLSRNISRMAVDLDLS SPRPDYDERHNRDRQRIWLALYAADKRFNYCGQTAKPSMMPEDHLIRSSENFIYSPIC IAVDYRLASNVALRRVLAVSIEAIDKDNESGPGNYGLDLRSVYHTFEKDSDAWVKVQT QRDPEITIHANLTALHAKVIIAHRWVQRSFRYSNPAGDSTVEENQERERREALAACIN GSLGILATMCVLNDDSLRYAPDSKHLYFAYASFFLYKVFDTKIASTILDPHSLSYIFG LFQKAADKLERLTISPTHTIAFHSAFLRRLNRFNMEGFSTETQSSAQDGIYDDDNKLE DVQTISREIDHGQALPDLDILMADTQDIHSTNNESLAISALQPALDPSLTFSLDPLPL PDLGNWLLESTDESLGANIDWNDWWPFDDGLSTWNLDNAPTDMNTNVGILAPSGGGNG NAGTLGNEDNDWQGIIQTL L199_001272 MGNVLSPKYTYEECTADLGELGQLKGTLIDGRVKRFANVPYALP PTGEYRFRRPRPLPKGYSYSPDGQPRNAVEFGLPCPQPTYTSLPVSELAEDDGSAKAF PYDEDCLKMNIWMPSGPPPPGGYSVYAYLHGGWLQIGDPSIDPKMDPTELITTGGLNC IFISLGYRVSAFGFLACKELKDESLDGSVGNYGLWDQRVALEWIHDHIAALGGNPKRV VLGGRSAGAYSTHAQLVHECLQAQPTEGGLFQRAILISNVSPSYLKIRRFYYISDPEF AQAIPCLPKTVEETQTQFEQLLSALDIPLSLSGPERLSRLRAVPAEALTRKIMTIEQF TFRTVQDGVFFPTSKTWLYDGKLAEEFKKRDMAAIIGEVQDEETLYRDGFRVTDEISL YKAVNQYYSTTVVDQMIDLYLNQKIKEPYQHPDPNPDIDSYTKVLGDIISDGQVRAPS RSFARQLRDAGVPLDSVHRYIIGWVPEFVMQFAPPKFGVTHAMDRPIHNFSIFHGPKP HEEALMREWIKDYANFVNWRPVNFGTDLWTQVKVLSPKDNGSIRIEDDVKWDYLEKVS NVIEGRGKTSA L199_001273 MSYNVPEVPTDELKQEIPMDESKIHEVDHAVAEIDPAVEKRVLW KIDRVIMPLAILVYFCQYLDKRGLAFAAIFGLKKDLNLKGQDYSWASSIFYFGTLASQ LISIKILHMFSIKIYVGVTVVLWGGVMMAQAAPQKAADLLAVRFLLGLMEGSAYPAFV LMISFWYRKAEHPSRFAMLAGADIFAQGLGGLFLYGLSSIKGHIHGWRIAMLIAGAMS ICIGLAFAYLIPADMKGAWFLTAEEKQIAHDRVAREHASAHERHFQWDQFWETMKDPK FYLVFSWAFLLCACTVLNFGTLILNGLGYSSFITTVLQLPACAMQATCLILATLSCRK FPNHRGYIQAVCAVVPLVGSILLQVLPFENKWPLAGSLWLTTCNYHILSVNLSIISSN IKGHTRKTLFSTVYYLGYATGCIVGPQLFRDEQAPLYKTAMRAISSMYGVYIAFMLLF MVLCKMENSRRDRLAAEGNEEAVPRPAANYDNKTDKQDLSFRYVL L199_001274 MSRRRASSPDLIYPSRRVMRFCVLSIFIIAGVWLFTLKADLSPE SLGNSQFLKRAALSNEGVVKEHPIDEYVKSFPKVRSWKETGVPTSTGREGENGLVIED DDIMEIEMGMGEAKERVPFDWPRVRKLFVFGDSFSTRDKDYRKDGVDWGHFQELHGKA TDMKWPDYLYAVFHDFHILQYWNLAKVGATIHHSVLPPGLESYGTFETQINDFEDLFT PLPGPRQVDWHSNDTLFIVVFGINDNGRLNRDDLHEGGGLDIPATTKALVDSLISQSR RLHSLGARNFLFMTLPPLHLSPKYKLPSQVGYDIHERVELSVTHFNDNLRSSVKQFED DVGDSNVMLFDLNKFWKILLDYPELFGITDVSRFRMTIDGRLPNFGRMGLAYHDNQHV SWSSAELIARSVNGLLMRSSASIQFSNASQTEYTA L199_001275 MNPNKSPDPLYPDLEVTKELEKQGDNATAFGDKSPGVRRIEIIA SCFTTWHRWVLFISVFLVAYSYGLDGTVRYTYQATALSELGTSSQISTVTVVRSVVAA AAQPAYAKISDYFGRISILFISVVFYAIGTIVQATSHNLSAFSGGAVLYQFGYTGVML LVEVLIADVTSLRSRLFFSYIPAMPFIINAWVSGNVASSVLEATTWGWGIGMWAIIFP AMAIPLLGSLVEAEWRANRKGLLDEIPSPLKTLAQPSLWVEIFWQVDIIGLILLAATF ALILVPFTLAGGTSNIWKAAHIIAPLVVGFVVALPAFIIWETKIAKHPAVPFRLFKDT RMVLAPICIAMLLNTAWYTQGDYLYYTLTLAFDRDVTSATRVQNIYSFCSVVVGVALG LVIRRVRRLKWFIVAGTLLFVLAFGLLIRYRGGFSAHDFAGLVGAEVVLGIAGGLFPY PTQVMIQSAVQHERTATVTSLYLASYSIGSALGNTIASAIWNNSLPKHLLNAFTRYGI ADASTMAASVFASPLALVAEYPPGTPEREAASEAYREVQRYLTITGICISSLLVFASL CLRNPRLGDQQSLPDAEGFQVPVLTKEKTQHDHSPTRTAVENDLVSHGNVPDGYDPGS MEADRNRSTKIMANKV L199_001276 MEDRPARSERQTRFDTTPGNATSRGWSASQSTVRPSPSPNIHGK GSRSRSRSKARSSSKSRTRTHTRAKSTSPSPPPSQVRIQLAEDYRSRLPLIASRFIGY RPPGAVPPYEPLPIPPFMWLQHISLKYEIWLFSFVGSFVGILLVEAICSTNTVFREVY GSPVIVGSFGAACILLFGVIESPLAQPRNHILGNLIGAIIGIALTKLFTLTGKDEYMD SLESNGRFNGRSFVNGGLAVAVTMLITSMLGMVHPPAGATALAAATDPSVINLSWNYI PIVLCSALLLIGWALLINNLGRRRYPVYWWNPQRYFVKPDPELKEGDQDPQKGRSSMM RVRSRESEELALKTLEEGRLRQLEDGGRSPEALLVDRMQGHGGHLNNIVHASDGARGS DDGERRWRSVREPLGKIMSRHDRELAEGAEGDR L199_001277 MPKHLPTFKHLLHSSQQTQKTDTKSEKSVNDLLSSSRNSRPRDV PPHLNGTSTSERVWAPSPIASTSRSSGIGGLVEVENGGVHPAEILRRNNIAHRQASRS VAGPAPPPSWRPSQSSSSTTDIAPSHAHATKSSQPITTWQLKSSTSLLRNSPTTQSNT LVEHCLRTVLRYLEDDQPILYSPEEGNPDEPEESYTLGRILREQTPYLSLHLKSSLLH TASLLPSTSQHRLSDKSILAISSDPPPDPPSLTFGDGILESDKQNQNDGNDWDSPSIS EPTMAHLSLTLHASPQSILPRIPNLSSITSLNLGYSTLPSDLDRLVSVLPTGLRELSL VGIRLGGKGFSEDGLRRGFGTLGRKLIVLKILDLSFPRIELTPKILEGLLIPGKTKLP SLRVLGLRGLKEYGGSLDESVVDGITECGNGGTDYGEGVGKMKKEVVSLFRNSGRSKY VELIW L199_001278 MSTYDTTSRRPSGYPQRTNEAKYKHLGSTHGRLDQFIGGHYADY NLSSLLFAHRLDDSKHVKLEVWSAPNRSKPSFEEAKRQNYRIAHKGEEFGPSWSNHWF RITIHIPSEWSAYERAQLEFDCSGEAMVYTVEGDPIHGLTGGFGGDRRVEFIIPPEMR DAGVGHLYIEASCNGMFGINDMDPPDSNRFYRLNSADLVVPNMEAWRLMWDFNAIHQV YNTLPDDSPLSKHSLYVANEIMNVFKRGSLESVGKCRKLAEEILGKEWEKQVGKESED AEKQKGTLWGIGHCHIDTAWLWPFSVTQQKSARSWSTQCDLIDRYPEHRFSATQAQQF KWTEELYPTLFARIKSKVSEGSFQPLGATWVEMDTNMPSGEALVRQFLYGQRYYESRF GFRAKTFVLPDTFGYSSQLPQISRQAGAPNFFTQKLSWNSINNFPHTTFNWVGLDGSQ VLTHMTPVDNYNSQCDIGDIRRGMTGHKNLDVSLPAQSLLLFGNGDGGGGPTPPMLEK LRRARAIGKRSDAGGQLPLVKMGGSFDEFYDSVRKETENGTRLPYWRGELYFELHRGT YTSHASIKKGNRKSEILMREAEYAATIASLIDPDYDYPKKQLDAAWEDLLLCQFHDVL PGSGIAMIYEDAEKKYASIQSSIHAVINEAYSIIYHSSFPISAEEPTKIAGPIFAVNN IPDYPRQEVMSAPISAHTQIESKSAQISKDGQTGYMLVATKNDENGHGDLMMANPRGL YANPRVQVTKEKDDLFIMANSVLSMKIENGRITSIYDKALDKELIPHGQTGGLVIMED HPNYWEDVDEFHLEKQTHLKFESVHIKEEGPLRAIVGASLQIGQSRIEVNISMDAIPA SLRADARSMIRFDAVIDWREKHQFLKFELPLDIYSDFATYDTQFGTVARPTHRNTSWD AAKFEVCGHKFADLSEYGYGVAILNDCKYGYATQGNIMRLSLLRAPTQPDADCDMGTH SFSFAIYPHKGTFIESDVAKVAYAFNTPMRLRYSKDPVDIALEPLALQCPFKLQNASN VMLETIKRGEDDDFSSHRTDGKKTIVLRLYEHLGGHARAVLKVTGLNVLKAESVNILE DHFETLKIHSHSEEHNLTSTEEADEEESCGDKKKRPPKDDGSSAIKLSFRGYEIKTVR LTIGDSHYKDKDGKRERRESECSWVKI L199_001279 MSIDKPIPIRSETSITETPTSSTSTLAGEEGGENFNTLMSMSKG KECDLPDVPPRDYGAGQKGVDVEAGLTRSPSIQIIRSPSQQVNTDKNQNQNESENENE NEKQIIETQEEEEIEMIDGRPKDIYDRFTKSQKNRILFIVSYSAIIAPMTSSIFLPSI PQMSEQLHTSAEVINYTVAIFILVIGIAPVFWSPYAGFYGRRPVYLASMPIMVVSSIG VALSKNVGGVTAARVIQGIGSSCFLAVGAGSIGDIFRPTERSRGMSAFYMVTLLGPAL SPAIAGIFTEYTPAGWRSCQYFLAGCGALSIFLVFFFLPETSHPPLPHDTLRQQKGKK FIWYFCNPLTSLGLLRWPNILVATFISSCGMIDTYCVLVPLSSVFKERYHINNLAIAG CLYLANGAGNILGSKIVGPWADAIVVKYIAKRGYRRPEDRLKSALFGIGVIMPLSNLA YGWLLQYGAGGIAAPLVMVFLNGLALMFGFAPLNTYLVDAMQTRSAEVIAVNNCIRYI FAAAASACILPIANAIGWGWTMTICAILTWVAALALYLLYKYGDRWREKANMKYGITS READEERVESGKDEESAVREDQRGQSVIERKDTPTASAEEIGTDTVQTQNQEEKVGEI VARPNKSSGPRSGIRRTRTNTSDLPNVQQVLKRTVSLSGQSVHGGG L199_001280 MPDPFGSFSWICSHTVLPQCNLLFSQIWNADPPSLTNLFPDSSE FFTNYNVTGESAREDPVVQVARSDAGVGVGSNCEIARVGHRGSPGDIALIVLSALSLL LAISLSVHASKRKAAVGHIELRFFLFLYGVHSALQIITMSSLLEQGSTALSILSSIHV ALIASLFWILLGNAIIATQVVEDGTAAAIAPLSILAILFFIPTLYISLDTSLGWTNVF EISGDDVTYLKNIALFILTLLWPAIAVVIYTVLMLYITLNTLRETKPALLYLGAFILF AVGQVVFFLVSQPLCDASNGKVNSSFLSTLMEAGSVGLIYLAWKSITEDDWGEDVYGA YH L199_001281 MSSASSITYTFTLFSLLSLLPSLVLAAPEDGVTYQVSSNSFSNK CLATQGDWNGAKVVLKDCDEDDTTWKWTGSHLQNTATNRCIDIPDGGWWNGNKPQVWD CYSYNTNQQYTVSGNNIKWNDWFCLDITDGKSDDGTLIQLWQCFDGNTNQQWTFTEVE EVEDDSDDEDCSSSSVTVTATATAVATATATSSDTATATASDTATATSTSMIGGGLWA GSPSANASATASSTWGTASATETDSWWSSVNNTAAVTATATATSSSWSASANNTTSAT SSASTSVSSGSVSSGYLQTSGSKIVDSDGNEVVLRGTNIGGWLVWEDWMCGITDSTNP DRFPLNTLETRFGTDKANTLWEAWINNWLTSDDFDNIANIGFNVIRLPFGFRNLYDAS GNWRDDAFTHLDWAVAQAKSRGIYVILDFHIWAGQQASYSAISENTDDGQSQRDAAGE IWKKVATHYLGESIIAAFDVINEPTGSYGNNLQQDLYDAVRSVDANRIIIHESISTDP STYGWTNVVYSFHEYLMMGSDYDSNVQQYAESVQAYVDKWTGYGIPSMLGEFMADGET LSYILNQANKYNLSWLSWAHSTVNMGRWGLWNHQAFYVDVSSDSYDTILSSWSNMPSK QQSTIIYDQFKAGATGSTSVSQRKRDVPPTKRLTARHGGRSRRSNLPGAHGIQGISF L199_001282 MLPKSIFRPSTLKGLSRSQTRYLSARASKILSSLDLPTDTNTTI PGCFDGTSWKGSGEELISRCPATGEILGRVRGASIEETHSAIQASLEASKIVRRMPAP KRGEVVRQIREALSSKVDELGDLVSLEMGKIKSEGRGEVVEFIDICDYATGLSRSMKG NVLPSERPEHVIYENPNPLGVVGILSAFNFPVAVYGWNMTIALVTGNSTIWKPAPSTP LASIAVTKLIQPVLEKNGLPAAVAALVCGGVDVGKAVVGSTDIPLISFTGSEKVGKEV GKAVQDRFGKTILELGGNNAVIVDEDADLGLALQGVLFAAVGTAGQRCTSTRRLLLHK SISSEFLSRLLKIYDSTHPTNQLLVGDPLEPSTLIGPLHNQAAVEKYEKTLAGITERG GEILTLRSGRIEGGEFGVEDKGNWVWPVVARPSKDDECWNEEVFAPILYVREFETIEE AIEINNSVPQGLSSSLFTSNVQSMGKWLSPDGSDCGIVNVNVGTSGAEIGAGFGGNKS TGWGRESGGDAWKQYVRWSAATVNYSSKMPLAQGVNFGIQA L199_001283 MLKSRSKLLFLTCCEAGQANIQLSVINKLQSLHGEDLDIYLCSF ESLMKRCPGNVTFLTIKGKGMIQHFLDRYDDSEVDNGRKGGLLKHLFTPPGFFGAIKL ALSMTNILHAEEPSDYVYYAQQCEQIISDLDPDFIVCDAVFEPGRDAIMKLGRKCILL SPNTFKDLATAQQGKDVFKWPCPGTGYPYPLPWYLRTLNTLAFIFFAKWVLKYDGRHR LFNKARNQSGFTGNLPMFQNKSSLQTNFLCVSNEKVEIPGKIPRWLKCCGPILLPFKP LESIDMDLYKWVMKRPTVLIVLGTHYKTSREFANNMLISIRILLDKRKDVQVLWKLQK YGHFDINDGQDQVDRLKIVDWLKPDPLAILKTGNVICFVNHGGSNSYHEGLATGTPQI LMPAWIDCYDFAGRLSYFNNGVWGNAKAAPGISQPEFTRALLRVVGATPAAPEAQKMR ARCKELADIVAENGTREGSTIAAESIWEELQLELTKKK L199_001284 MPEEIVLPAPADFHVHVRQGKMCELVTPQVAKGGVRTAYVMPNL VPPLTSTDAVLAYKAELERLDPSIQWLMTLYLHPDVTPEEIRKAAKAGISGVKSYPRG VTTNSNSGIEDYGVYYPVFKAMEEEGMVLNLHGEVPSDPEKNISILNAEVHFLSHLKK LAYDFPNLRIVLEHATTSNAVDTVLSLPANVACSITAHHLYLTIDEVAPQPHHFCKPL AKEPKDRKALQEVIKCGNPKFFLGSDSAPHPLSSKIPNLTQDGHSVSACAAGVYTSPI LIPLVATLLESFGALDQLQNFVCDNGRTFYKIPAQKGQELRLVRTPPEEGKGIVKGTL KGDDGIEVLPFWTGKKLEWEIVN L199_001285 MDENEFNRFWRDSYQNGANLNQLGQSDEANNEGWQAYTFDSPAI HPKGLGTIYHKSRSTLEIPTTRQMNDSGSGSCIGTIKDYPTGRCSSNHLGAATNSQLS DVGTMSAFDSPGHNQAEWDTLGSSQGEVASKDENDPNPKNRKDITRYISSAINQTKRL RAQIARRTGETPSSNVGVGDYAGRVHTEYSTMLRDTKRKTAYLEELMKQSGHDDPFVS FARTGYKPYDWKADSVALSTMRDRIGKMKTINSSLDKDIELQETRRRTQNTSDRSSQY QGDSARDRLQSHHDNLLAHLADRETSLRTLRSAD L199_001286 MTAPSNDSLPKPPEPLAQAIRLIVALASLSATSHPPFLTPFANA EQYKTISSKWLTSVCKLLSFDQGRLPPTISPEDVKASANDQRDEWTEDEVTRIAGLLV EASLAAENIGAQKGKEEKEGTLKYSPISRYLSYRTLQLLGLPSKELVPRAENNLSNTL FHALKAAAEKENQEKVEKARQAQSQGWGGALGRHLATGAGVVAGGVLLGVTGGLAAPA IAAVLAPLGVGSILSASAAPVVLGTLFGVGGGGLAGKRVRERWRGVEEFSFIEIGDGH RSTKEELDDLKEFKARKDQKKLDQKDQNNNTEIAGEKGEELQGEVSEKQAQDLVEQGR LDIEERLLKLSLESGTRQSISVESGSGTASIPSPTNSPRASLDQGKEEKDLTETKKPP SLTATIVVPGLLTVSRTEGITAWRAICSSATASNKLISAPNIASEDEVVSSTVSQLSG LKDGRDVYLLRFESAAMLQTGRDVDLWVTSKLKGLVKKEIIKRTVLSAYFAAVSLPLS VYSMATMTLDNTWMHAVDRAKKAGRLLGEVIEKRVQGERPVVLIGSSVGALTVLHALL YLASLPSPTPGKGSVPTYVESAYMISLPSAPTAEEWQKVRSVTGRRVVNAYSDADLVL AGVVRLHEVVSRAAVMSNGIRVAGLGPVEQPGIEDIDVSTVLRGHMEIQAKMPEILKI IDIDA L199_001287 MSAGTTAPNAQDGLSPVQGNGTNDFSRSPTFVSDEDVETSPRTL DANATLTDLTAANGINKEKALSTDGVDDEHLPAQSTMGKVESDMEKAGTAHPHHHKNA LASLNPKRKGVLLLCFCLSMFIDAAGVSATFLMTAPIAEDLGVKTGDQAWILGTYSLA FAATLLFAGRLADLYPPHRVYTIGFIGIAIFYLIISFMENQYAFFVLRAFSGLLAVLT IPSSINMIIQMYPDPAEQAKKLALFGMAGALANTIALVLAGIFLLANWRWYFRFITII IAPFAVLAWFLMPRTEAVAEDLPGAAKLKRMDLGGVLILIACLVLFILGFTQAAVDGW DAPIFYVPLVVSVILLGVFLVWERFMPRGYSLLPHDMWRYPNIFPLILQASAIFMWFA CAQLRIATYFQEALHNSAILTAVKLLPMGITALFVGTSTQALPWLITKPRFVQPVASA LCFAGSMLFAFSKGGSGKDYWRFMFPGQIIGTAGGMIVFIGMNTSIIQAFPLEFAGVG GSFANIIFQVGGVIGISVQAGLINTGNGTIEDWTGSKNSYFFTGAYILFTGVVFVAWY RQSKMPKYEGPVVAA L199_001288 MLFVSHKLGIKRKLPILLLTLTLLSILSLTTAQQALISSLTSTA TPPKLKVEVNTQAVSQTHLPKVERQFTQDQHTYRSIQQPSPTYFLTNPAHPVPTETTT QILYLTIPPAPPGPSPFLHPLAIILILCSILGFISTLIYLPFATFAMSSSSSSAKPSS SSSKPSSSSSSSSSRPSSSSSGSGSSSSSSSSSKPSSAPQPLPTISANAWMSFFLFCC LVLIILQGPLGLTGGNILPSFSTLPSFGYGYGYGVMPGCAGMVSTYVPWCQQQPVPQT VQQPSQIQVQQVVQNTPGSYGVGPAPMAAPQYQMGGQGGFASPNGGGGWSWYSNAGSV GGQVVRQQPGYYPAQQRTVQYQRPGLQIQIDNARGGNAAVPQHVAPQYYYNTCPTYQY QNPYQYRLPYGYTTTTTNRCTPWQPWKCHYLPPIFAYAHSLPAPSIPNGNGMDIHIGG GANVNIQSQHVGSGAVIQPRPVQSIPALPVTPPPQPVLPPPSAMASISNLENAFYPLL VGAIALLVVFDYAS L199_001289 MDAGLAHLVSWSESTKGNVPPPLTGPSVTISPLPAPHPPTVFLF GGKSVKTRRLTSEMWAMNLKTRLWERVDAGEGPGPRYFHSMDVWEDKLVCFGGMSDSD PMSVHNDIWFFDCPSRRWLPQPNPSSSVIGLGISSPSHHTPQDPSLIPSARYAHLSAV SRGKLVISGGQHSDNSWIYEINVYDLKKKVWESKTAQPESAGHHSKGAYRSVATSSKK RVVQPQPTSELKSSSHSYSIDEEGEGGDVWCYSNYDFAKVRREFDVLSPDNLSPPVPS SKQVPPPSFNLRDDSDAMRGQHQPPGLRFPTGGIVGNHFILCGLYLASSSAAFSIWAL NLDNMVWRHLEPNVLDTGSWNRAVIWPEMAKILVFGNSELDLAADYSRRAVNLDHIAV ISLEAFGIYQPPNLEIPAKIQQAGLTMLDEKLASNFEVICDDGRRIKCSRQILSERWP WFAEQERALADKAAGVLAAAPAVDINDTLLGSFTPARLAPTNLTIPEPFPVCVALVQY FYTLTLSTALQNRAPVLSALLFLAKQYNIDRLNRLVVHALHERLEPSIAVGIYEIATL AGEQCLQVRALNMIHMAKNAAARSQRSNQGPASSQASDSGSSIGQPNVTPSGTYHPSS DASNPSTAPRGGPSDDTPHRRARADSLTIPMDVIFALPLDPPMPGEPEQDIATKDDQI NALLVALDVNSVASRKPSLTPSEGSVSLRPPPDYPVPPPPRRNPLRLPMPVNTATLRV SPGPSTPDFSHHSAGFHRPSSPTNSDVTSNFPSTPADSMREAWILPPRDFSSNMMDSR SSSGSGLPPLPEDHLPTHQWNDAFSMRRNQSMKSKNRDALVDPLADGKRLNAATLEAA GLLPPATPPPQSMSAQQARAMDNSNSHHSVASYYFEAPASPSKSALSRATSSGSINSA HHKNRHFSMMTDSSASTGQSVREIRLNTRDTTTIDFSDTTSLIRTNTTGTGGISTYDG ASISSGSTGTSSKKAAKAELKAIRAAEKDAKKAEAQARFEALRAQQAKKMAISRAEAQ RQADIRAKEKEEKEKQAAASTKEKPKSKWGKIGKGFTDAVLFPAGGSNSTMI L199_001290 MSRSLPSIPLSSLPFSELQTLASYNEDMESRSPKEWFDRAKHEA DLAILAERSGKKEEMFLAYTRSCQAYTYVKMHSKTRDLKKTDPHWSERVKDFRETYDV FLVKAKEIKDQLKKRDVETNGASPSGSNTTTSPRPGPSRSPSGPDIRGGGSIADRMKA LGGHGMDVGNSNKRFSKDLNSPNNVTINRPSPITSHYSLTPTAPNQPNGTGASAGGNL GSLRTTKPLSNRERSGSGASLHSLTPSGSGSVSTKPPALKEDKPINSHQTGSSTRSRR STNPIEGDRPLPTAPTSTTQSPAITTHTPQQPNYSTLPPAGPSKPPLPAIPSSLTSRN LSAHVEVNEADTSSGTMKDFEKAFPSLSEFGKQNSDKSGLPNGSAKFTQHNPIIEEGG ESPEISLPDVPSFPTLPSAPSNRPGLPLPPPPPRPDSINPLEQDHQPADEKALSPPMP DAGAGLKRPASTPNVATLPGDSDLPNGIVRDSAPNGVTRDTAPTAGLKDPKIPNLSFP VAVPTPPPPRQRDSLPQPPQPNGLPHSQEFKKPKFPYSNSVTPDELRSYFLNPAVDIL LLDVRSEEEYKRGYVGKEYEPRGAKVTVVWMDPTVLMRDGINSQKLEDSLSLSPPAQQ KAFANRNQYDLLVVYDANSTNWPPKDKATPTSRLWDSVYEYEFTKKLDRTPVLLVGGY EAWREFIKMRAAKHQQAYNAAHGHGHSQSVQVNGQGRPYNPKPNGYTPSTPRLDKVTS PSSSVDLTKRANRDMPIYQSAHYAKNITDSFGYAPQSMTGEPSSYITGQPSRSYAPSP VSHTRSPSNYSSSATPITAPPQASIHPGPGARRRSDYLDQHGQAYSGSAGVSSPRPAI DYPQAHALASVPQPPPAAVSPMDRYDTRPAVVRSGSIRGLDLVAREGDEVRYWNDVVL GLTGLKNLGNTCYMNSTLQCLSATYPFTSYFLDGSYKKSINIYNPLGTKGNLANAFAE LLKALWKEDYTFLSPVTFRKNIITFASQFSGTDQHDSQEFLSFVLDGLHEDLNRVKHK PPPVEMTPEREAQLETLPPEIASEKEWQIYRMRNDSFIVDLFQGQYRNRLECLTCHKT STTYDAFMYMSLPVPAGKSKVVVQELIDEFVKAEVMEKEDAWNCPRCKVPRRASKTLT ISRLPPVLLIQLKRFTTQNGVFWDKSETPVIFPVKSLDLTRYVPRRQQTGREDLDDPR CQVGPFKYDLYGVSNHMGTLSSGHYTAYVKSSKGWMYCEDSKVSKAHEKDVVSRPAYI LFYKRVRA L199_001291 MPASYPVPTQMVQQLWGKFVAFLMRSYPMKDVPLNIRPGMIFAT AIWIIILGILGMAPLPTLPLNDKALHFFGLGFATFLLYFVLEVPDGPGKRIWYIRRAP ILFTLITAFLVGGVISEFIQSLLPWKEFQFGDIIANLLGSTLFLYLAHLAHQRNQRKL ELSSLYQPLTEQNSSTYRDAQGRRHQFNVPSATDADEDQPPREVYSGGVNRQRQGSNV WDDESDLDRSSQDTARGGGMFQLGEEDDVTPTHKGNGKK L199_001292 MTTIGAPSTLVAGPGFSIGSLRFSLDLSCPLNLPSKPLAAGSDW PYQIAGPSRPAVEASEEYINRRYYETLYLSETLSPLSGFTADLFRLPKLFTSGELIRP LLRSLPQIECRHRKIILPLLSSSKSTEDLSEVERNVATFALELRLGSRQALDEGIIPT PKKITDELEKRETLMQIILLLTYITHIPDPHPVQGKKRKRSKHSSRAGPDTDSPTSVN PSEDPKTALELLMDRLSVWQAVSELGLNLSTSDEMNKVNGKGKSKMDENGIANMLGRF WKSVMLPYFLPKQPDLCSLFHRKVFGHPLPPKLLPDPSTTTKKPRKPKLTRPLPNSKD SLIPPPPSMRYERPRSVSNEGRSLSRVSSRAGSRAPSDNGSFRDSPPRESNVGMKRSL SRTSTGNESLQTLGMGMGMGMRRSRSRSQSIDPHPIVGRSDSLSRSLSTGIAAASSMS NKKTGLVRNQSTNRDLFKGREVGLIRRTMSSRKLDREESQSQRFGLLGRKTSSGIQTQ AQRRNSTEESQTQPNTLILATPSKPRPFFKPSQSQFQYWIPPTPIQEEQPAPRPSYIA ETPIAPSRIAHRDTLPLGGIPDDHQEDSDDPLGELWELTDEEDEDDVRQRKGRGMEMV PETPMK L199_001293 MSDKLTRVAIVSDDKCKPKKCRQECKRSCPVVKMGKLCIEVNPS DKKAFISEELCIGCGICVKKCPFEAIQILNLPTNLESHVTHRYNANAFKLHRLPTPRP GQVLGLVGTNGIGKSTALKVLSGKLKPNLGRYDDPPEWQEILKYFRGSELQNFFTKVL EDDIKAVTKPQYVDQIPRSIKIPNMTVGKMFDKQSELPNRSQLEEDLELKHLQDREVG QLSGGELQRFAIAIAGVRKADIYMFDEPSSYLDIRQRIAAAKVIRGLVTPTNYVIVVE HDLATLDYLSDFICVLYGVPGTYGVVTMPYSVREGINIFLDGMIPTENLRFRDESLTF KIAETVDETLAPKMKSYHYPNMTKTLGNFKLHVETGSFSDSEIIVLLGENGMGKTTLV QLLGGKMDPDDVKDKIELRVSMKPQTISPKFPGSVRMLLLKRIKAMFMHPQFNSDVIK PMNLEPIMDQDVQTLSGGELQRVAICLVLGVPADVLLIDEPSAYLDSEQRILASKVIK KFVMSSKRTAFIVEHDFIMATYLADRVILFEGTPGKESTANKPEGLLTGMNKFLKSLD ITFRRDPTNFRPRINKMDSLKDKEQKSAGSYFFVDSD L199_001294 MSFSLPSSPKIKSRFTSRTTQNAHQQHQQVAPPITPLPSSHPLI AELTSLRQQLAQYQKAAHQASIHLQGVRLELALSKEKEERWMREKEVLEKEVEVLRSN PLPPTPLPTSNALTELSLAHRRLSSKLDLTETELSSTKSELSKTQLELQRVKQEREGD RAIINELRRVEEDREEELEWEKGERKKMEEMKKLCDLALEEYQTLVHSLDPTAVPPST PSKTTSSIFLAPSLQRLSLDGDNPTSRSNDLDTPPLTATSSTPLTSSVPVSPGETISN LLIGQKGVQQLFQDFTSFLISKDKHILSLENKLEELEHSFKVLNEQLDAESQKRVEAE NERDKALRDDESASKVVERYMTFTQKTHQTLHLHLNNLRKRSNATSSTLRNQLGNPKH ELTVEKEKNQKLNEKFDDLLESFLRESTGRRREISLRLKLIANQEKMQSEFEKWLNKV DKIRNDIEGVVVEPDILEGLLDEVIDIISSSTNKPEKGESGNNDKVRSWRGLGGLINK STKTKSTSEIERNHEQESLARILLAEELVTTLVADLQDETEKRMELERQRVDWLAKEA VEGVKADEGDDGHVVFDLDDPEHEHIDIDEQNIPRDDQTRDPQEQKNDIVADKNEIHR IADQRPQELDPEQMIRTAIQPTPTNAEPPTPISPVPEPSPLTTQLGTMFEPITSRYVP LQKTLHDLTYSLNGLRNSLPTLDQPASSATSPKSNRKSHFLHLSKLPPLPSSGDPILT SILDGLHEVIEDARVDVEIALADHERVYRGFEALLNVGKTKKNQSKENIMNEIKEYIS AKDEGKDEGYKRLKVRIENIESDLTEIKRVIHSTQLDGMDLTDQENGGKRNDVWGTIE LKTINIPTTSNRSTFFGISPISSPLPTPSSFGPSSPLFEGLPNPNSPLDGHPLAIGRR TSNMFSTVGNVSRSFSSSVIGAPRRVSDLATGLYRPGSSGNQRKHDQEEEHSLVGKVD EEDDVE L199_001295 MSSQQHHEKDHEHEHEQDEGQFGEEDIVEVVEDEGDEPMDDDDD NEQYDGEIIIGGPGPGEEDMVMDEEGEIREDNSWGANALHASQQSIFTVALHPSFPNP PLAVSGGEDDAGFLYCPIPSSSGSSSFNADNFPPTKLTGHSDSVVSTGWSFDGEMVAT GGMDGKVRVWRRVKGKGNQENQQATVEEWKNWEFLTSLETGSEITWLQWHPKGNVLAA GCEDATVWMWNLPSGNTLTVLSSHTMSSTSGLFPPPAGRQLLTASLDSSLILWDPRTS APVWKSSMFTAANSPELDPSEHGITSLAVSPNGQIAAVGSASGKVKLVNLTNGSTLNT LVGHAEGESIEALVFVDLLGGAAGGGKGVVCVSGATDGKGLVWDVHTGRVRAELKHDE PITSIAAHPAPFLHQVTTASADSTLKTWDIRTGALLATHKGHVGVVNGVAVAPVEGGQ AIVSAGDEGVSLVWKL L199_001296 MSDNPTLIPPEDYFGYSDHPHPLSDYQDTNQPLRYSASSSEVND TLINPTSVSSSSGIYDPTATSYQPDDFLPPWSKYDHANMGNVFIGGPDEIKSSSSRRM YRLSPGSETTRGLSGGRFSGGPDSFAFGADKPGLKERNRLSAQRYRDRKVQAVNDSKE LNFQLMEENRQLRLDLDSMTSKMNSLLDQMLIQTTEINTLKARLSRHENVSTTEAPWL PEQRFAVPGM L199_001297 MGYPDHLEDQIDAFWRDYPSEGNLQHTIWDYSYSFDEDACQADS EGLEDRVIGSESDYSYHNLSFDSHNHDLGADTAWMWNEASCAVDSQSILLSDPLLNYD NFNGAHEESSCFANPIPDLTGEQSLATSSQQFPPTSGTAHDASISHIEEISKSNSSFL VVRNDTNKHSHTVLTRNTNAGSSRVNRSGGVTKPKKGRNDPWMLEDKIDNARSTYATL VGERQEARSLCNSLMGISNVSTTDQPPPPGASKQSLDPDDTKLAGKSLLTALTNRNSQ IRSEIKMIKLQIDIRNKSQ L199_001298 MNRTALLIIDVQYDFLPPSGSLAVPNGQDVLPVINDLLDENKYD WPVIVASQDYHPKGHISFASTHPPHKPFSPLLVQDARGTTYQQTIWPDHCIQGTRGSE IEGSIKEKLDQWGRKVRLARKGTHLKLEAYSAFDGDAYPGEQKLPSEGPLSTFLRKQG IEKVVIVGLATDFCVLQTALSGLSASFDTLILGPAVRGISPEDTDKALVTIRNLGGKV IDQDDIDSETGLNSWFDS L199_001299 MTSSPNSIAIPPPPPLDPPEEPTNTLALLLPNQALFSPPILALL KDHYGLFGDIVHWAPVKGFGRVIVVFATNEGAERAKKEGDWLKLDLTSSPSAEPGAGT GGDEQAGQQQQPKQVEKEEMTQDKREDGYFTPKDTNKRRSKRRSDILKSNELILRLHY LPPTPLNPDPASFHLAPPSLPHNFLISPPGSPPEGWEQIAEEGPNTSILPEDLQRALE ALALNGLGGRQKGGKEVILDEGGVRVEVEDTTKQPDADEGVDERWEMDVEERLDNSTS HDIWNSPSQSNFATTIGGPSGLGGLGGLSGSGTPMGKIKIAPTARPPM L199_001300 MPAQLQSKRAWLLLAASVTLHIFLLANTRIIGDRTATTPSKRLL RRWDVGVESDLPAESLFELPYAEWYDTLPPAYRPFYILFLLLVLAFLFSFIGISASDF FCPNLATIASYLGLNESTAGVTFLAFGNGSPDVFSTFSAMKNETVGLAIGELLGAASF ITSIVVGSIAFIKPFHVPRHAFLRDVLFFTSAVLLLVIVLRDGHLSFYEAGSMVVLYI AYVGVVVGGNWWARRRRKRKSQSSNENISHHHQTAIKSPLLDGSVRSSRRSSTYSSPH LSPVPQPIDVDLDDAEDSEMTLNQTPGEITPRPHLGRTRSHTSASYLNSHSHPHLHHH DSIDTPRANFSLLGAIEFRDVVNSLRKESNSRLNSPGRDRSPAELEQTDYFGPVSAVG HRRSSSYGFNAAFASTSSSQQLPRRSNSIKGRRRASTHTSPTGMGGNRSVSTPARSSS AAVAEEQDESHTPSSTEPNPWEDQQGKPPPPPSPLTEDRLTVPKPSRPKVIIPSSQQR VHLSKPSVPSISIVDPSGNADSLPIPEESPPVVTPNPPLEFFKKRESRFRVRRRSKFI LRVLFPSLQSFKHKSWIGMILAITSVPAILALTLTLPVVDDGNNHQEEDGGIALPISE DEALIDAELGYRVAQQEQDGYSPTDTGRASGDDMDEESDRLLNPDIGGELHHLVDHGF SPLHSPLGRISHGSLRGFNSSSHDYGAIALQPDDEELNEGQEEDAEEQNKEEELYERM EEERGLEFNKWLTAVQCVFGPAFCVFVTFNEQSYFLWVLLASIIIGSIAACLTIYYAT DGSSYTWRLIRCFCGFTCSMVWIAAIADVVVGVLNTVGEILGLSDAIIGLTIFAVGNS LADLVANVTVAQFAPAMAYAACFGGPMLNLLLGVGGSGTYHILFNGGSSRQPVIVHFS PTLWVSAGGLILILITTAIVVPLNNYLIDRRWASCLIVAYVVLMCVNVGVEIKTGRA L199_001301 MSTPARKKVLLMGKSGSGKTSMRSVIFSNYTARDTRRLGATIDV EQSAVRFLGGLVLNLWDCGGQNAFVDNYLSSQKDTIFSNVAVLIYVFDITSNEWDGDL RYFEDILFALRENSPEAGVWVLINKMDLIDKEDPQRKKFKERKADLMRVDQSVREELK DETIKEVLRCFPTSIWDESLYKAWSSIIHTLIPNISLITSHLTYLRDLCLGVEAVLFE ADTFLVIAKSGSPLDCDPSDLDAVEIDNGARELDQQRFEKISEIVKGFKKTCSRNHEQ YHGFEAKFNDCTIVLEPLTKNTYIMIVSVDPRVETGALLYNVHQSSAHMVEFATKHTL EVQKCGICRNNKDLKYDVQGDDDDSIPAWDDPLP L199_001302 MNTGRTGVDTPAAAPARRRDDPSGSRGNTPAGGVRAKPRKKPKP SSSPTPRVGPIPAPYLALAPTPISSLSASTIDQLAATAATTTSNLILPSSYVRSSQER RNKSTPNLNTAGEGEGEAGPSSRPANSRQQQQKYVTSLLSPPTIIPGGTSSTPRIQST QPQAPPNTRRRSGERAREGISTRRSQERLRELVIGNPSLTSLGQLGQILESGHLPEET NEESDLARAQQDVQAQAQRRRRRIVRGDTAETSGVRRRLTVSSREEGRALGLARGASM RRTNVWDDIPEAGEPPPPFPFPTPSTARLPPAFGATPGTANPPQSLPDERPRSPPPSF EMAIGLSTAPSPAIPSSSSTPSTPRAEAQRPILTVSTSIPQVNDPDSADPTEESPSST HYASAPSSPTHTVIGFEDIRASERTKREERDDRKAWNDDLLAGYTLEERVRREMERRK GREVIKHNGEDEVSSERSEETEGVEVSVQDEFPLAPESSGPTGTEDIHDADRPSSRLD ENLEYKPETSIPRAGEETPVITNDHGPSSEHTQLMKDETNVSDEKLNNPSAVSPSTSD NDKVPNVPARSSDAASISTSGVSFDTQSAIQQSEEGSSSKMETAAQSVSPEKTVEDSL KVQAPPVQAIIAEHAAPTTTPLLPASQEGVQDQSNTIKTPKRRKPTRSSTVETESSPN AFSSLNVKRLSVPEFSPFRPQLDRALSHEPLFSKHGWNGSPELESSAHLGTTLGNDQA SENTSERPIDQAVRNALPAKSSLPPSREAALKRRNLESKSPKPDVPPSASMSLSKPKT KVKIVEQKPISGPLINFDTPSPSPPGSVISTSQTDQRERPTSSDISALAASSAELLTL LELQDQIFEPNDAEPIAESSAQGAARMARKPPPPPPKRKINPGVTRRVPPPLPKGQAQ SPPAKNNLEETSSPSIESGRPAIAPRRAPPPIPPRLNLITKQPPPLPPRVNPNRDKPS FPPPMSPSAESDDTIKASSPAIAPRLSLTGQSPGHVKPKGPRPRPPPPRARQTSWFKG FSPFTSRPSEPNLEQLNPATVDIVSDIPSSIRPNNDRARSDFPHLISPVEAEAKQEER ERVIERSSSAMNLGASESSPSNTNSVRQPESPVQPQAPLPLSDVGQSSGEAEEVRREW TDLDLLVSRIQDLPSDQTGVYEGYTQISQFLGPSKSQAATPAALANLLPGLINVDSRR TTPQGKVKLKLSLLGLRVSKCGICLSQFKAGEKGVMLPMCSHVGHESCARRWFRERGS CWVCRMVLPEE L199_001303 MSVLRTTSTARLIALRAPAPMFVRNYSPDTRAEGATASSTSFKE REQASETKYIKEHEAAKLKAAQEKLAQAQAEVDKQQKIVDGQK L199_001304 MSQPSPEGRKRPRPSDADERDVKRLKNVDMDGDGSMKDGMGQEW VSEQMSRMEKLYKEVLIQAALVFQHQSFCKRLGLNHQKVPTHMMDRLETTWRTYEGIR RHVEWSMAQSGENPPTSPNKPSSTLEAITRLASNAIPPKTMELPTPINLSIVGDYIPV LSTISPDKPEEVVIDQSQIQAPVLDKSAEDTSAVKREESVPVQANQNGEDTITTKEIK DDQPQLPSENQVLPISQTGLIQPPPPIETQPQPQTQVDGGLDYSSLGLDELTALINGN SFDTTTASQPLPIPDSITNNDDQIQQNGNEIFASLGLDTGVPPSNGQHQQDESQGQQQ PLQPMEIDFTSALNGVTTTGGLDGEADFSALAGLFANEQPPTLPENQIGNGDDNVNAG ISGLVKEDSALNNSLEGLEGLVESNNEVNQSTSVVNAEIQKEQTSENQVQNQNNEQIS NEQPNTENPTQVAVSGGGPADGQDESKINETQMPPPVDNPPDQSQLSAQPTDQTQVQP QSQPSNPEQPQPQPQPESQSQPQPEAQPQSESLSQPQISFDQQFFQPDFSLPDTSTAN ADINQGFNVDGGGGEFGEIDMSDFNFTDAGLEGMGMGGDEFERLMAEFG L199_001305 MSGNNAEYREAFALFDKKGTGQVPKESLGELLRSLGQNPTQAEV QGLEQGLQGGSTFGYEEFLNVLNRPDGWKPAGTADEFIKGFQVFDKSGNGFIGAGELR YVLTQLGEKMSDEEVDELLKGFPVQDGQINYHSFVRSILAQ L199_001306 MTTAPVNGLNGLEAKFNSGMKLNPERPAYVPPHMRNRGPPAPQF NNGPAPAGPGYHQSPTGLPTPATTPPQSRVSYAPPAARGGAFPPAGAPRSEDGGWGAP RRGPAEPRSFGGGAPGFGSWKNGEHVLGARNPRLEKELFGEAGDGVHQSTGINFDKYA DIPVEATGTGVPEPVTEFTNPPIDPVLLENIQYARYTTPTPVQKYSLPIVAGGRDLMA CAQTGSGKTGGFLFPILSAMFTYGPIAPPPDNSYGGGYNNRRKAYPTALVLAPTRELV SQIHDEARKFAYRSWARPAVVYGGADIGQQIRALDRGCDLLSATPGRLVDLIERGKIS LANVKYLVLDEADRMLDMGFEPQIRQIVEGEDMPGVMDRQTLMFSATFPKEIQMLARS FLKDYIFLSVGRVGSTSENITQRIEYVDDADKRSLLLDLLLAEQSGGLILVFVETKRM ADSLCDFLQNQRHNATSIHGDRTQREREAALHAFRTGRAPILVATAVAARGLDIPNVT HVILYDLPTDVAEYTHRIGRTGRAGNTGTSTAFFNRQNLNISRELIDLLKEANQVVPQ WLIDVSSERSFGGFGGRGGRGRGGGGGGRMGGRDVRQGGGGFGGGAPRGGNSYGGGGG YGGGYGGYGGGGGGFPPAAASGGASWW L199_001307 MSADQQTAVFLHDKILAKAMTSDLHQVEGNWYFKEAALIDRSRY EESDTHTTCPWKGEASYFNYKDDDGKIIKDIAWFYPKPKAGTGAEEKVGGRVAFYVGK IDGLRVGAPSI L199_001308 MDSVRHQDPKSTKVIHDKNNSNGVVDGMITTEDLTKTATTVHDE RADGDSTEKITYKGWVAVDQKALEGKGNLVYKEYELKPWDKDDVEIKVLHCGICGMDV MALLVIRVGDKVENGLKDGNYVVVRWQSDCCRECHECKTENEQACLKLTVTFNSTYHR GKATNAPSKGGFAKYWRGPSKFAIPIPSGLGLDIAGPLMCGGVTIYSPLERSKTGPGK KVGIVGVGGLGHMGIQFAKAMGAEVTAISRSESKKEDTIKLLGSTHDTLIWSFVRRTH PIPLGRLHSDLKIEVPIFPLIGSNAMIAGSAIGSPSVMLRMLNFAKEHNIKPWLQKYS MDDFNEALISFKKGELRYRHVLVNTDQGGEL L199_001309 MTSTHHRRPSHSSTSHNLPPLSPSISRPRPIPPTNPPEPPLTLL HSTTSPITPYRTTSHAPREDDDEKGKRKRKWWRVRLGYGMYNDIRNRIPWYISDWTDA WNYRVIPSTWFIFFANVLPGLAFSLDLIETTGQYGVQEVLLSSFMAAFVASFLGGQPL LISGVTGPITVFNKTIYDIFENKQNGPNYLHFIGWTYLWAAIFHWVAAVMNAVQGLKY VTRFSCDTFGFYVAAVYVQYGIQVVTRQFGQTSTPSAFLGIILAILTLVLPHYFNSLA KSGYINKHFRRFCADYGMPITIIAMTGLAYWGRFDQYVLENGMTLPTTPTSFQPASDR PWLVRFWQLEGKWVGIALPFGFVLFILFYFDANVSSLIAQGSEYPLRKPPGFHWDFFL LGITTFIAGLLGIPAPNGLIPQAPLHTASLVVMGYEDDSATSSTTAISPTPERSSLDR RESQTDIQLGEMENGNGSNLSKAEQNGLKRRTSIPASEIRRRGSPTDDEVTMTRNTKR DEDRREVPVAVVEQRVSNLSQGCLCLILMTKPFEHVLGLIPKGVLAGLFWYMGSDALL TSGVTAKILYLIRDKRATSPSEPLHNVRKSRILWFTIIELIGFGATFAITQTIAAIGF PIIIMLLVPLRILIVPRLGFSEDELAILDGAVASPFTMESVGGSI L199_001310 MPPSSTPSITFTPHTQRLAIRAALAFFGILVIRALFFSSNSPSE EIQSHGVFERVLMNDKYLDVSKYPFLQSRQGRDDRPDMFDQEVSEGLLDFWTRFQKPF ITGKDTAHLDTQVMRTVIDDLLQFNGWVASACPTLVRPFGQNSRDDHYEDLASKDHLY YIAIVIHSADHFLVDQLAIIVQLARRLGTRNIFVSMLDQASTDSTPTLADLCEAVMTI LGIAFRIRRVPPMTVDPAATYYPLEEAEARNLALEPLHELWHRRSIKFHRVVWLKGFT CPNDVLESLRVSEANNAAMVCGMDWAEHNGFFIFSDRWRTRDIEGNLFRQAKSNSKPE AGPPRDKTGTERFTHHLPFQVYCCESGTHVVDPEQSYYRDIHYRASPLSHNLSTTQEQ PNWDPEMACMDSTQMWFCRDLWTDAARGGLKGGKKGHQKLTGAHKRDLSEHFDVHQRD LGLEAESAVQWGKRDEPKVEERAEGGKEDEDSGTDVDAMAEDAANPAPEPLKPQELPA SAFLIPNSAFTPARILVNPRCITTYGGVSHTQLALDLFGGPHGDDPAHDSGNYVLEDW AGPPDSFVCQEMRTTGGRTAPKSQRRVGFLLQNEVGI L199_001311 MDWLNRIRLLAIPTLCALLSFVFVVIRPWSKIAGQWAFLVYTSN LIFFFPRGRISVQLEATVLGTMGGILGIVWATATLAVAAWCGRKYGADSAESRAILGL GLSLLALVVGFIRSKSRRLNAFSKIAIFFPIFMLTSQQSITHLTAAHFLQQFYVVIFS AVFALIPTLLLAPHQSHNQIGVQIDNTVKTICSLLPLSISNLLDVDHPLTHQHDRRNK IENGSDKTLEAQTQPLETPDQDKLAKQLKGIVANLHISSASYVKDSRLLERQSTSLLA VIKSLQKLQRNPLLGQTSHAPGERIQAALQKSFPPSRPSSVAGGTPKHRRSLSFSRHR SRASISSEREASGVDTWPRHKLRHLTSNYSRPSDSHRSIPLNTRPDLKDASQHLVQAI VDSLQLVSLTLAEKFHWPGANKVEDAKTREELFDARVNLEDVLTDVQRALGTLLSGTE KGLNPERGRVDDLRHTQSTSSDSPLTPNPIHPHVSFSESTDVTDLLKNKDRFRLAFYM TALLDLARDVHGITNTVIGMGAREITPFSWIAIFRLGWMRHDNDEDDENAQDGQPPNE TDLVMEEEQPRDETKEYQDMDFVTATLHHRRSPVTEHGDMRDSISRFWRRGWDQHGVV RARIMLSRFFHQLKHSRHVLFSLKMGLGISLLSIPAFLPSDHAGRKWYDTSRGGWMVV SYMFVLEDTTGAILKVGFLRGLGCFIGAVVGYICAIIAHENPYALVVLATACTVPISW HILFNTSTPGLGVSTGITLPPLLFITYLNESHGQSYFTLAWYRFTDIMIGTFAAVLFG SFVWPVHARVQYFRAVGGTMERITEFYLRMSRDLVRSSLVYRVDDKQYDDLEAKIKRE FALSRTLVAIQRQEISLLPRPVRLYSEIIDASERLLETLVEIRLLRFSVPRKATVLDV LPIRRELISTILINLWACAHSFHSRSPLPQFLPTPRSPLSELMEVTDQHARDIRAFRD TFSEEDIRGRNRSNSPSPIAMNRSSSAQGNGEVDYQAEMAILYAMAENEALGEVCNIL EEIVAAAKTLFGTQTFLDTN L199_001312 MPKITSLLEDRKGPFHTFEFFPPRTEAGLVNLLDRIQRLASAPL PSPLAVSVTWGAGGSTADKSLELAEHITKLGLEVILHLTCTNMPKEKVDQALEKCKSL GIRNILALRGDAPRSEEYSTEPNPQPDYFQHADDLVRYIRKNYDDYFSIGVAGYPTPH PDSDSPESDLEYLKVKCDAGADFIVTQLFYDVQGFLDWVKVCREKGITQPIIPGIMPI QNFSSFRRLVNLTKCPVPESISSDLLPISSDDSSVKKYGAELASKMVKQILDSGLVPG IHFCTLNLEKSVRTILENLNWTANSTVNVEKSPLLRHNRLIEDDQPTTNGAIAINGHS TSNHNHQISELSISPSEASQIAQWGLQHHSLPPVPKKAAIQGGAPSNSGQGQEDSWDE YPNGRFTDVRSPAYGEIDGWGSGLKITAAQALKEWGTPTTLSELSEFFTSYLKSSPQT PTTPFCDLPLSPESLTILPYLLELNSAKMNCWTVGSQPAVDAVNSEDPIHGWGPRGGY VFQKSFVEFFVKPDEVRKLKEKVERRGGGKISFYAGNKKGDFKTNTEEDTVNAVTWGV FPGQEIVQSTIIETESFLAWKEEAFDIWTEWSLLYPRHSPARKLLEGIASEWWLVSLI HHDYKDKEALWRFLLEE L199_001313 MENNLAANTAARKERLIALRRRKEGKDVNGDTNGESSHFAFKQR NYDPETRTLRKRGKEEENDNDDTVEKNVEGLAEQIIKEDEEKRKEELDLFNIQPKRAN WDLKRDMNNRMSKLDRKTNEAIATIFRQRLQSMKKNQKGGEVEVDLLASMNAQEHERE GETDGSDEE L199_001314 MDAVEGIEKPINPKPNTKPRKRFVGSSKAGSSSSSGRTPIRRVA NQIPDDILNDPQLNAAIAGLPGNYNFEIHKTIHHIRRDGVRSVALQMPEGLMMYGCAI ADIIETFTGALPMLLADVTYGACCIDDYTAKEMGAEMIVHYGHSCLIPVSQTTLKTLY VFVEISIDTKHLSLSVRRNFPSSREAFHRLVLGAGAAQPGSKVPIQLEESDQVAQSKS STATEISNAPEKEQTEEEPLPTRLALVSTIQFIASIQSLRDDLEKSMPPLEEQQQDTV TEKEKQDGPLSKVEKGEIGVWRGKYDITIPQVKPLSPGEILGCTAPKLGEVDGLIYVG DGRFHLESIMIANPSVPAFRYDPYSKKFTRETYEHTEMRGIRGDAVKEARKGLVEKGS GSWAVLLGTLGRQGSLSVLKSITSTLPTNSIPPLLILLSELSPVKLSLFSQDEISTFI QTSCPRLSIDWGYAFSRPLLSPYEASVASGRIKGWAGLSLANTNEEKGQEKGEGDYPM DFYADASLGPWTPRHKVKA L199_001315 MTKAKSTPTQPRARSSPRLSSASAKSTPSRSAKKPSTTLQNSIT STPTRRTSGRWIEKGAKTSPYFDKSKGKGKVAQSKKVKGKAKVDEDEDGDSPSGLTES DEPSSSDDGGSEDNFDPSSSSEPDELIEEESDEDGSIDSEFLDEDQPKKKTGNKRKSV SGGGKGGGSAKKVKLSNGNGTGVGKGKSDVKIEGYDDEDEYDDEEIELEEGQEIAGRI YPAPKTGQVPPGRISQNTLNFLKNLQIPERNDREWFRSHEPCFRQAENEWKSFVGTVQ MKFHEADDEVPILPPKDIIHRIYRDVRFSSDKTPYKRNFSMSTSRGGRKGIWAAYHLS ISPNDKSLLAAGIWQPGKNELAQIRHHLQTDPQRFRDCISHPDFVKLFGEAKADKKGR RQNVFGNDDQLKVAPKGVEKDHKDIDLLKLRSIAVVHHFTDEQVISIDFQEQLYDVLV VMRPFVRLLNDYVTLPPGTGDDNDDDEAGDEEEGEDE L199_001316 MAFVDNKASSSKSAPGAKGKGKPAQPRLKTNQAKRLKIDEELKE LQAKVDSWIPPAEITLFNELPLSSRTLKGLKSSHFLNPTPIQQLAIPPALRGQDLLGS AKTGSGKTLAFIIPMLERLYLDKWGPMDGLGAVVISPTRELAVQTFNQLRDIGKYHNF SAGLVIGGKPLKEEQERLGRMNILIATPGRLLQHLDSTVGFESSGVKVLVLDEADRLL DLGFLPALRAIIGHFSPGISTSNPSTRPSRQTLLFSATQTKDLAALAKLSLYQPEYIN CNKAGEEGVVPSNLEQYYAVVGLERKLDTLWGFVKSHLKMKGIVFVTSGKQVRFIFET FKRLHPGLPLMHLHGKQKQATRLTIFQKYSSSKHALLICTDVAARGLDFPAVDWVIQL DCPDDVDTYIHRIGRTARYQAGGHALTLLCPSEEQGMLDRFKEKMLDVKKIKIKQSKM GNLKQQMQNFAFREPEIKYLGQRAFISYMKSVHIQKDKSVFKLSELPAEAFAESMGLP GAPQIKFAEQKASKVRGGQKKDENEKKDEDEVRVEERGVVGSDDESDEDEEEISDEGS DEDEEEDVDAGDKVEDESESESDSSSFDKPKSAPAVRTKYDRMFERKNQSILTPHYTA LVSHGEAENEEEGDDDVFTLARKNHNLSDDDDEGSDGELLKVAEGKDTTAITGLVKGS EKPLISSEDLSKRKLKAATSKKSKLKTGVTGEKLIFDEVTGESRNFYETGKDVENQFM SEAKRREYLEEQREKMKVANEVDKLVAKEKRNELKRKRKEREREMRKEYMSDEDADGP VAYIGGADYGDNSDIDERSPSPSPEPEVEVRNKKKRKNKFKPEAEEGHDSKGRLEDDE ELALRLLQGS L199_001317 MTRSPSPSFGATQRKKSYSPQPSPAPFLTPNPFDDPQKPLGFSF ASSASRPLPRRLLSLQSSSSSSSSSSSSQRVTPYSIPSPISPISPISSPSLPSKPKRP TISHRSHSFCASSSNATYALASAPSSGHLSPDKRVLVAPPLERTLSSIGQKGNTSPPT QQMQRPLGMGMGIENISLQRTPTRTNSSLRGGILSEKDVNSQSPPSIPTIYVHPSLTP PRPSLSTRQQDESSRSSSFASSASTAILTPTTPHDLLFKNDRLAKDDGEDDEEEIQDE VQGDNDLTQGVDHLMIQQ L199_001318 MSSSNPTSTSISTSIPFNHNGRLLTLIPGKPLLYYALNKSRSPG PFRPGPPGEDEGDLDPNAESHVIFRSSSGFSEDRHGRDQEGQEEEPDWLDPPEGFDLN GYINEDGQGHGRIDFGWALDGIPTYNPNTGNDNNLSDDSLKLVHEVIQVNQGLGINNF GTSLLWVGLSNKLPTISHEHHTVGYNPFLSSAHSPVVMQEGARYLGTCIPPQSQGSIG GISTSTNNGTSSSSEGTTGFEGIVEEATANRP L199_001319 MSSPPKLDSLKIDDSPDVVSRDFSPRAASPTNPEDSESSSTAPT TAHPPPAAPVNGIDQPNGDAVSSSSASPSALPRPPPPQSITSAPVLPSSSTGSRPAAT TVGGRPGAARGSMPMPMGMRGAAGKGAGPMQTKMLPSLQAKMDKIAASRQGPPGGATS PSGGFGPDPNATSMGALLRSQALRPQGQGSSTPAAAGPNSGPFGLAARRAAGGGGGPP RPNLGMMGMGASSPAGMNRGAGVAGRRMGPPGGLTLSGMKGAPKEENSKFSDFKTIMD PSGSLKFSSKAVLTAKGVDFADGASFKINMDEIEVLGELGKGNYGSVHKVFHRPTNVE MAMKEIRLELDESKLNGIIMELDILHRAVAPEIVEFFGAFTIESCVYYCMEYMDAGSL DTLTGGGRVPEDVLRRITASMIRGLKFLKDELQIMHRDVKPTNVLINKKGEVKLCDFG VSGQLEKSLAKTNIGCQSYMAPERIKSETVNQNPTYTVSSDVWSVGLSIIELAKGCYP YPPETYSNVFAQLQAIVNGAPPTLPPGYSDDANNFVAKCLMKDPNQRPTYAQLLEHPF LVADKDAEVDMVGWVAAALERRAARGISTLNPIQA L199_001320 MSTPLKSYLGRSIDLLRRSTAKVVQSEPTPTALPSTIFSFDSSH PPVDKIDQFGLGSDIEVGGLSTCNLALIPSLPASSSSSSSPSPPSLNGDVEGAESSYS HMAFYGYLSTKIPQSKLGQIRTGYAGFRNISKPTLFGQDNWDLELYSHLKVKVGYRGW EGWRNRWVVNIGIDGRPKSDVFQHRLELPPTPTSSSSKIPLDPFTFPSQPTSFSTLYL PLSSFVLIKKGVISHSPIPMPKSSIRTIGFALLGRDRGDDGPSAPTQSQQGLLKSFRL GGWGKSDASEVEDDKELKTLLEEDQPPTSAQAQTPRRSTGSPTTGYHRVGGGTTEKIP ASTARNGGIDEVTNGLEEREGYFELCVKSVEAVRWDPESDEVGDV L199_001321 MNRRKQLRPIILDEIEEWTQQYLTPPSIVSSNTDMNTTQCTNTS LRTQSVPSRGWLEHTDTSKNTCLLPAYIPQLPSWSTQQLDHTIPDSQPSTGELQVKMY VNYLHDVRVDSSSGSGSLSRGCRDKVGNQNTTCITDFELQHLDNLFDPTLDFNTNTSL DLELDFDDWIDLNPSSELRPSDCDLQVTARSTSTCTKIGTHQGKKSDTDSILNTSDKM TIPSFEACLVEFDLRSLTTLSDDTSWTSARRQETTTATNEPARSDQCKKRKADPRDHN RPNPSKRSSN L199_001322 MSSSLPLTSSISGTNANGHGHSRNASTYISAEAGRPSSTNISNP SGLTQRSPSPSPTRPTFGFNNDVPIPHSNGPIALDKSLSGSGSGSGIQPGGGWSSLTP QRVGKAIGARFMRAVRRGNLPFLLVFFSCTIVFFSALAGVGYHEPLPDSLSGVGSPTP TEAIANPGEFRVGGPVFDDRKGLERRIAEQRALEESWARKRRPKDGAWMRKQRDDKAI RRKPNQGQGTATSGNNGDSKETVGVVVEGGQGLAKRDIISTTAI L199_001323 MAGLLGAYSAFLTRRPLIGGMASSAVLFATGDVVAQQLIEKKGS KHDFVRTARIVVWGGGIFAPAVTVWFRTLERLPIKSKWPATFARVGLDQFVFAPFVLT GFFHAMTLMEGKTLADARAKWQEAFVPTLKANWMLFIPFQTLNMFIPLQYRLLAINGV NIPWNAFLSLQNAKPKQVEKAENDLKKD L199_001324 MPPSPTPNAPANLEELKELLKDDNKVKVAGVDVDGVLRGKIMSK DKFLSTVKGDGFGFCSTIYGWDIHDLAYTKELLVANWSNGYRDLWAVVDLSTYRRLKW EKNIPFFLCSFIVPETNEKLVADPRSLLEKVLEGQEGRGYKAMAGAEFEYFQYKETAQ TLADKNFHNLRPLTPGMHGYSLLRPTLNQDYFHDLYDMAVDFGIEVEGHHTETGPGVF ETALAYTDAARMADNACLFKLVAKSVGMKYDIMPTFMAKPWGDLPGCSGHIHVSLRDS SGKNIFAVTQDEEKSGGRKDAEYDDVKYLSKEAEWFLAGLLEGMPDVVPMFCPTINSY KRLQGGQAMWAPDTASYGYDSRAASVRILSGPGIKGYATRFEVRVPGADMNPYYALSA IFALGFRGIKNKTKLPYGPLNSPGVTRDTVKHLPTSLEGAVESFMEKGSIAREVFGDY FVDHYGGTRQHELEVWKKAVTDWEVARYFELV L199_001325 MPPQARSISSYFKPTTVVAAASASTTTATATATTPDKKKSTLSE AAKRAIQEGALAATTDGDGNSKENGLDVPSAKRQKVDSSPSGAKVADIFLKSSPSKST SSPSTSRIGSVTRSKTREELREKISANPQWLAKLNLEIDTMGEDWLLALQDELTKSYF LNLKEFVTNEQKTKKVFPPAEDIYSWSRFCPLKDIRVVIIGQDPYHDDGQAHGLAFSV RKGVRIPPSLRNMYKEMHDEIPEFVIPKHGDLTEWAKHGVLLLNTSLTVRAHEAGSHA NKGWDTFTAAVLKVVTSRLAPGPSLLAASDDKVPGAKGVVFMAWGAHAAKMCAGVDKT KHLILKSAHPSPLSASRGFFGNNHFKKANEWLQLKYGPEGGIDWKALGAGEGGSA L199_001326 MPAKHDINKVGVESSDFPILCETCLGPNPYVRMSKQEFGNECKI CNRPFTVFRWNPGAGARFKKTEICNTCAKIKGVCQTCLLDLEYGLPVQVRDAALGRKS QAPSSDINKQYYIQNLEAQMADSPDGSSSFDSEVANRAGREMLKGIARSDPYYKRNRP HICSFFVKGECKRGGECPFRHEIPKEGALAKQNIVDRYYGKNDPVAKKILREQAESKG MKAPEDKSITTLLFLGLPTTTESEVRASLVGACPFVKPIDIKGITIVETSHCAFINFK QRQLAERVAEALSAQGGIEVGGKKAKVVWGRARPQKGKAPATSTPSGEASASGSAVTT ESS L199_001327 MTSPVKTSRSTDFSPPKKSNLYPSTDLGDHRSHHYKSTEEKNMT ASVPINIPRMPPSHPSSSHQPQQQQLRDSNERSAYPQSSRLTEPRHGSPSSGRSPPIS HPYTRSHPYPRRTSSTSQPERERERERDREQLPRIHLPPPNSIASLKFSERNDQLSPI EREFPSLSTSNQHESSWRSGSSDRPQLHRIPSGGSVGKSAGISLPPLHSISRSPTLPP PLSMPPPPPSLDASSIAGSTARGSPRMYPPNIRAGFNPYETAHQQRRSPSAGAGPPPK LREREYEHQAPTAAERSRRSSKEDLYEQHRRPSMARIQSTVPLPNHPPNHHHHHHHHN QHHPSSVPIHGYDIPSPPLRALPPSSMPALAYPPPYGHRNGGGADGGANLARSRSHSS TSGFSRGGPVGMEVDDSLSMGIGVGGVAPTAGQTRRLAHLMSEQKRRESINSGFQALR QAIPSSLPTDSKAIILRKAVSHIIHLENIIRRSGLTYSDSPPPGGGGPSEGWSNDENG NGRDRNMLVDDDESSSGPRIKWEDER L199_001328 MALRQLARAQPLLARPILAPRSAIIAPRLAASFTSTPRRSDVPP QAYQNPPGQGPIPPNGTKVKPGFFARTGKFFRTFGRVTLVVVLTTTGAFLYVTQTQKS PPDQLPADKEKPTLVVLGSGWGATSFLKSLDTEEFNVVVISPRNYFLFTPLLPSVTVG TLEPRSIIQPTRYITRHKKRKVDVYEAEAQEVDPVKKTVTFQDLSDIRGAAGSVTIPY DYLVYAVGCENQTFGIKGVTEHACFLKELSDADKIRTKLMDCIETAAFRDQPQDEIDR LMHMVVVGGGPTGVEYAGELHDFLIDDLKKWYPEVADRLRITLIEALPNVLPAFSKQL IQYTESTFAENKIDVLTRTMVKDVKDSSVVVQDANKQMREIPYGLLVWATGNTSRQIT RDLMTKLSAVQTQRRGLLVDDYLSLLGGEGVYAIGDCTATSYAPTAQVASQQGIYLAG IFQKLGQKAKLERQLAQLRAAPNANPEEIEATVKKLNRAAKLTPFHYSHQGSLAYIGS EKAIADLPLFNGNVASGGGAAMLFWRSAYISTLYSVRNRTLVLADWLKVKIFGR L199_001329 MSVITEAVRFFFPAAPIGRHASTDYPLYTRDDPSSHPTRQRQKE DNNHGRVKIGFKTVVASYAPDWILALVLWGVLAILNRSGGHKREFSLTDTTIQHSHAI HERVPPNLLAFVSVGIPLLVIVPISLFVSRNGWDVHNGVLGLVMSYTMTGVVTQVIKM SVGRPRPDLIARCQPVPGSVDHPVYGLSTVDICTNTDLIVLNDGFKSFPSGHSSLSFA GLGFLTLYLAGKMHLGDVRGHRTRAWFALSPLLGGTMVAISRTEDNRHHWQDVLVGSL LGLAIAWVSYRTYYPRMSHKQCHLPLAPRCDPDNELHDDLDDVEEGRNGSREGVRLME GDDHEGARRSEEEVAWRR L199_001330 MEYDSSSYPQAGPSTNSTPFSGDAQSESTTTYPTDYSSALPPIG ESITYNPNPNPNSFVSNGLAQQSQSSGSGTGRGRGRGRGRGRGSRGRGTRGSRGGGGR GGMRSSTRISERIAVEGNNHQKNKIPIQSLKLSFKKTIGGGNENANGRYNSFLGEYDR ELDEDPDEPINFEEHFVLRVPREIADGPNGLKELVKGKGKEKGLEGVEFKFLDSRRAS FKFNNLLYSAKLVDLPNIIESQKTFDNRHLFKVADISQMMVVDSSEPIQDESTITSNP LKIDEYIWPHGLTPPMRYVRKRRFRKRLSRRAIEVVEEQVEELLKKDQEAEETGYDLI DAHPDPEIADQYYIDYDPNAPPAWYPGESGSEFGFGGYDDPGSVAPSQMEDWEGDYGT DMGDEGDEMGEGDEDGEEGEEGDEDGTLDQELAAALMEEMEGSEGSDHSEDEDPISGS DDDDEEEGDGDKSEEDDETIEKRAKIKQFTNEIKALEAAIEKKRAGFVGGNPIMMKRF EETISGLQADVQAKIASRQILQDELGKSDEAPNLPSDRDRGAEDREGTPREGDGDIDA EGEEDDGEGEGSTPATGRKRDGSSVPYSDEDDLFGDDDEEDDQEDQDQDQDDNGEDGE GEGDDEENQADGEGGEDGDELEDEMSKLLAAELDTLDQNAIDQVPGTPEAQAMELDME REMDNALATAALDSYANDNNDDGHGQGENDNDFSQQFNFDFGMGMGMNNQNNAGFVEG GVGRRRLVQGQGEEDGSSDSSSDDSD L199_001331 MYNPSSISAPPPPYELHRTTYPHPRHAQRNKGISSLPIHVTHKI LSFTLDLRATPSKFWSDPEEERVRRIWALFRGLRGVNRVFWLVSTSILRAMYLESYLS HIRPDYSSDPFPYESSHLDEPTSTPDQDDDFVTGKSVYQGRGRETAVFDRYIAVKVGQ ELRLVESSLSEEGEAVEEIFKRLQPSARIEDLLLTLPSHFIVPFPSSNSPIPQRGFPL PQSHLSVTLTPTWAQLYLHSNPVASGKRGSKELVVEVRRIGTLEGTTKRIEDGLDDIY RRLVPWGGRVQ L199_001332 MDRGAARSKKRALKAQAQAQAPAQSQPQPQPQAGPSKPRPQGSQ AELSGQPTLPISNHKKRDRHLREEQSKDPSLYVPKAIRTSSALIYSKEPFDLPPSPER LANVTRIDLAGSGVKDVSWLKDAKGVTWLSLNGCQIESGWEQVGELDKLTVLNINGCG LSELPSSLGGLKGLKALVAMNNDWKELNEEVLKGWKELNSLIISHSPNLISLPTTLSS LYHLSKLTFSHCPKLTSDSLPDLSQLPLLRDVKMNNLPLLTQLPSHIVNWGKGNLDKV GKGKNEDEFGDGLEVLDLGNCSLSFDSIKTIFNLGSSSSSNSSGTKRKNRWDHLRSLS LHSNPLTTTHPNYVEMLQNNSGVLPNLQIIDAKRVIERKRKGEISEKKIKHKKEKEKN VRPSGSNVTIMSGEMRKWGSGKQDNEESNDAIEAEGEGEFVDKKKTNDKSSEKRDKDK KESKKRKHNDQAPVERTEGEEKNKKQKRTRIPSPAAAPAPAQEKVKVKDITDSSRITQ TKINTDMDNDEKNKNKEKSSKQRDAVVGLIEINAKGEAIQLDSKKKKKMKAKLKKDGE GGGVSGGRGRGIDLREVFGKSKNVIGQDTHDDEKNDEGEGQDNGWGSGLGVGGW L199_001333 MYASSSRILVKSNLARIPSRSLSASAPSSARRETFVELLQDVPG LGRTFDRLFVAPGRARNDLVPTRKARFVPFKDNSQRQIYRATEADRKSSSTLLIESTT SSSGLSSSQEESNITPQEILNQLHLIPSTLTFKRRTISPDYPSLHGSLTLSDIQERLD STYGLSSGDVSVNWVDRQDGSRMKELDSWKAIVGLKKGGKEGTEVVIRVVRLKEGEGE ED L199_001334 MELRPYLPPDGVGSNDVHTGTGVGMGYEYGGLPPIVGTVRPEDV MKAPNPSNSDSIHGSSGSGSGKGTSSAYNTSIQSFPSPSVRPPQSVNPPTISRHHSGI IHPTSSGSSNPPIPTSFPHRSSNNNNITSPAWSSPIPPNANVPSHVSSTELSSNRPLF SSTQGSAIPPPITPDAHPRPSVIKTYGKAASSGRTPSPQKNPIILSSPPVIRGGSTSP TKKRKNIVLSDDSDEEEEPIEFRTPLPIPPSKGPTPKSRVEVVLPTSRPSSALANKTH DTRPSSSQESGRHHSPDPLDSLNGSGQSPMKGINQPSSSAMTSGSSRRVSSRVAENKA KELAEKEERRRKRREEKEKKKLEGEAKDNKGKPKSPVKDKSPMKDKDKSLVSEKGSMV EPIEIEESLEMEVQIDNPPQPPAKSDVIETRGKKRKSDVSAPIIDQNDQDEDFNPSSS RKGKKAKKGKKESVKKGKAAQKKVVEPEPEPEPEQEQEQEKIVETTNKVMEEDLMQEE KREEPEEVSENVLIVIEQSSETHMSRMCVRPPKSPTPPPPSPTKSVSPARPPLRATSS NVSTPSASSINHRPSPGPITKDGTPSAPGGIRWKAPRNDLTTVLAKFGGAKRSGLTKK LRIAPLHQKIGPPAKALPPVPKKPEKKKGASDDEDDEDDEDEDGEKKVGKGTMEWFMV ED L199_001335 MDDAANSGISEPLDLVKLSLGERVFIKLRGDRTVTGLLHAYDAH MNVVLSQVEEAIHIVDVTEDGNPLPPRIEKRNLEMLFVRGDGVILISPTQQ L199_001336 MTAQHQPKTDHHRGMMDDGYWNVFTSDFGDYELGPAVGFGASST VYEAIFTLPPTIQPIPTNTTITTTTTTPDGDNSKTRRPSLSISVPSNRSQERVCAIKV STSHPDVDLLSKEIKMLGLCRHPNVLRILSTFTLPPDHHRICLVTPFIPGGSLSGILS WRSRLITTPKTHHFPSFRLGHRKSKHHDDNDDQDEEEKGRLDEEEIKCVTKQVLEGLG YLHTNGFLHRDVKAGNLLIDQDGTILLADFGVGGDLNAPPSPVRSRKNRLAADELKFD SNKDETPKNLQNGFGPGKKDNGFIGGEDLRKRKSFVGTPNWMAPEVILGQKYDQKADI WSLGITLLELAHGSVPGWKYKPNKALSHIITDPSPTLDRSMGGYSKLMKEFIDLCLNK DPAVRPIAKTLSEHHWLKGAKKNSFLAQSLLADVPPLAQRQELRRVPTKSSLLSHASS WDFSNTPNPSIPSSPIRNSLLIPSARSPSISSHMEYFNSIGRTGSHSHSHSRTSSFSG LPPSPRVSLRQWAEKSYDESTANTNSNNLSLNFRTGSERGSKRKSLASAGLLRKGKST NTFDYSNTNKSTPSDIEAISTSFLDVKIRQSTQIPELGGLRDSRSDEKQPQPTMGLMS PVMEITKSQQSHPTASTTSTPEAGIIMETFGLGITNAMLESESRLDDRQGFSESPENI SEGHLHHRLDEHPKQNTADEREEMIGNDGKGDDSGADIVGENPQEQVKRGWTDDEISH DDSTVPQPPTRSPTIVESSIDRSSGLSNGNTINGKIEQPQYAIQAKEKKHWLSRKRNE LSTNANTNRPLLTRITSSSKSEMNDHVHTSKQGGHAMGKTGSWQGVLGRVTGKIRECP IHL L199_001337 MVSRINKRTHSSISSFSSDDMTITNSPFSHTHALAHPHQSRFNP SPFTNHPLPYPPPGAIVGPTSTHHHSHAPADFPQQPQREIKFIFETGRKEPKCRKLSK PKDKERENGKENTGGRKVLANKSMKDPNITRKSKPTPLNLKQTAKSPFGGPESQSHMP SPPLTARPFTSHCPLPQVDYDYPYSPCTQRSSIPAPFQDYHRHLPRAHRLILPSMDHF QSIDPPHGHGLHPMAMGVGSPPLPIDAPIPKAPLQAYTPFFEDTLSFPEVQEEGEMDV DVDQRREGPIMGLGLDLGLEERQKEMMDFVPRKPSLGLMMHDEEEMDMDNRGNVTGLG LGLGLSLFN L199_001338 MATLCSTTDSVKLTRASRSRSRSRSPSHRHSKDKDNERRSNHRR RRSRSGSYSSSGSSDSESESESESEDERRRRKRKERERRRDKEDREERRRRKEKKKEK KAKKDKKRKGTASSTAKWGQYGIISEIDLPKKDSEFRAWLVEERKINPETISKDRTKK EFAVFVEDYNTATLPHEKYYDMSKYEFKMNMIRSGQTLPDESGGYDPLADMKAHSSSL RTSAPKEKETFLSKEKIAELRRVEAERTEIAKRRQMGLDVNKNLGVRMEDRL L199_001339 MNSEGIDHGHDDVDDRVWDGVSQDGDIFNFDWSFNPTSTVATTH TPYQFHHEVDISMGMTTMPSTSIADSAVLAPQHLFFGGTLPIASSSRDESIWEGNSDG MTSCPVSSTLAFTMDSPALSTASVSTSATTTTPDPRSRIPSISSVPPGAGRRRRKEPK ESLSPSVGKGCRRCIKTSHACKLTEDDNGRLRDRFEEYTAGTTEEWEAWSMNSRARKF PVCNRCRIISERCEFKEVPRWDVVGKGGMSEYRTKTNRAKLSKAKSK L199_001340 MRSSTIFVALLSLAGSVSAGGFGGLKLGGSFNFGCGLQIKEKAS NEQKNWCSSAGSFFTDFNGSPLCCSDHTRTPPKRDNECPFGWSKHFKTDCCIPPKEVS PCDCGEGYTYDKKTHKCVKNTGKCHGGQWWHDRSGTCCDNDWQWNPPKGDCPKGKSCP KGWFWHKNLKKCLPTGPGSPEPGCGDWDDHNQCCGGGNPGPSGKAGNNGGKGQSEHGH QGGKFPWGGKFNQADFENWWSSLSNHKGWKRDLTDGQQALSFPQNDLDKMYCPGDLHA CTVPSALGGEWAYECVDFATELEACGGCIGSGGQDCTQIPHALFVGCEIGSCAVYSCK QGFQANGTSCVPV L199_001341 MITESAFQAFSPESLSSIRTISSLSILLLTFLISLPPFKPVRRF FHSLVSDLVPLQSLVPAEPPSKDERDEEHRRRPNVWREAVLAVLSLVEASCWIGITGW QILGVAHRDGSEKITGILASAGMVLVWIAFFLQFTLRPLTTPPYSTISILFILLTISL CSLGNAWYIQTVTHQLPFWATKWKFTFEIGNILCVSIMLLVLFGLKLAGPEVLSRLPE VSLDDDVTLYSWLSFNWVNQFILEGAAKELEPEDLPKLSLTQQTSVVFDRFRQLKTSS LLKQIFLANRLDLGLDAGLTLLAVVFNYAGPYFLKKILDGLDNKSPKAMSQAYIFAFL AFLASTLKALVDLLHLWHGRRATLRIKAELTAAIYDKALRRKDASGVVAAKEDESKDK EGGNAEKKSNADSGKVVNLMAGDTNRIGNTVSGGYYIYGAPFEIIVASVFLYNILGWS AFAGVVVLLVATPLNSFVSKRSVKITQELLKARDKRIGVMNELIGAIQFIKFFAWIEQ WKNRAADARAKEMKQMVRSLFNGIWFSLLWSLAPIFVTLVSFFCYIVIAKRELTVSVA FTAISLFSMLRMPLNVIPTFVVILLQAHVSVKRIEDFLAEDEVPDWVCSLKRSSDPKD VAPTKIGFENASFRWNTGKQSDPSPSKPTTTVEPPSPQRSTSTTAVDSTESPQEDGES YFTLSDLNVDFPIGKLSVITGPTGSGKTAILIALLGEMELLNGKSYLPKNYTQVNEDG LRNSIAYASQTPWLQQKSIKDNILFGEEFDEDRYEMVLDACALNPDLDMLEDGDQTEI GAKGVSLSGGQKARVALARAVYSYTQHVLLDDPLAAVDSHTAKHLTDRCLNGPILEGR TVILVSHHVELLLPSSDYLIRILDGRIDAQGTPDELRSAGELDGLVALEEAEVTKSEA IVAKEEVAEEVEAVDAAEKKVKKKGPGKKLIQDEERAVGNVKWETYKLYIVAATYVTW VWTLMVLLLNQGLTIAERWWLKVWGEAYTTRFTSHDTLFTLFKPAVMDPTQYYHHTDL HQHVLHHTTRVMISNITDIAPAMRGIKSHFPPAQTNPGFYLTVYTGIVLGAALFGVAS SAVGSWSSYRAAINLHDRLLDRVMRSTVRFFNTTPVGRIINRFSRDVETIDSSLNGAL RTVIIYVASLIGAIAVVAAIVPWFLVPAAVISYLYYQYSVVYLRVGRSLRRLEATLKS PIFSGFAELLDGVISVRAFSVEARFMKQLCEQVDKTHQAFYYYWMMNRWLLLRFDVLG AVSVFLTTLFALSGAVPAGSAGMAIVSAQSFVSACYWVSRFWGQLEMDFNSVERVQEY LSLPQEPPSVIPSNRPPAYWPSTNTKDHFLSVRELEIKYAPDLPTVFKGSFDIKAGEK IGLIGRTGSGKSTLAMSLLRFAEPYGGTIWLDGIDITKIGVDDLRSRITYIPQDAVLF SGTVRENLDPFNEHTDEELLDALSRVNLGPSESPPASRVPSRVPSSKRLGALAAEDAL RASSPAPSGSTGLGSKSIITLTTEVSAGGSNFSQGQRQLVAMARALLRRSNLIIMDEA TASVDFATDEAIQAAIRSEFKSSTLLTIAHRLSSVIDYDRLLVLSDGKVAEFDTPINL LRKDDSLFKSLCEKSGKYKELYKAAEKKEKGEEE L199_001342 MSHEADRELARLWRVSRTVHEMVRDRGYLVADYEVDVPFEQFKN DFGATGSVDRGPMSFSVKHAEDEGTLYVYFCAEKNVSKAAMKTFITSMDKIGAKRGII IWSEKMSPAAKKTLQELASEYHLEDFQESDLLVNITRHFLVPKHQIMRKEEKDQLIKK YRLKETQLPRIMITDPVARYYGMKRGQVMRIERASETAGRYITYRICM L199_001343 MGAKAQILRDIRKRLSAEQMEVQRRAYLFVARNTTLPATVRHKA QLGLNTLNGGEGRLGAVKSRCWETGRGRGVISKFGLARFQFRLKALNGELPGVHKSSW L199_001344 MSSVPLRSTSTRSPNPTALLGQPTGERNLNLASSSASSSQIGAQ ANRADQVLYRFYLKTVEVLADGRLTHYGGTVGKKSGEKKKDKWFNLILPEVDLYKSDL QIYRSISSYQPYTEPGETPSPSSCTVPPLLIAFILDTSDIPSGQALLWNRNSAKIPID VGSSGKGKGKGKEKESKSGVVLERWTFRAQLPPSDSNSSSQLAPHTAYRLGIIHFRAV LSLIRLLPAYRLFRRLRRSNNGLRMGLKLWGPEGYPNTPEGLSEAWEIMERGLIGLDI GLDELVSGDTVQPEEIERYDFPKLDLFGNEFTLSAEYRPEVDFNVEDMEAVLSEKFVD MDEDWFTPTVSRRNTQDDPVNLKSEPRRVSIPTAIQSNNSPIPQRQPALPVGSIASGG AGIYTASVRQGGSRVPSSQEKRTAVGSLGTEKWGAFAEGLPFAGGASTTSQREVQSHE PPSPSMATPAAIVAARRLSGHSIQPFASASPSTSLLRSTPPQAYTGSPIPSSSSARPV IGTSRPSSVGRTSSFLSQSGRSFTHAQLANMYAGSASPPVTGAMSGVGVQIPTSSPLP GQSPVSPSSLSFTKQPVPRSISGRPYYMTPSASSPFIPESLERESSLSSNAGAPSIIK RYSSSLSQRSGRAISGTANVNVGSQGSSVGEGSFPGSAGQGLLRRTSTRESGLRHSLE GPGSASTRLPAPDEDDIQAFLKTLDALPQPPSIAAQAVQSSSRSHLPSTSSSLSAPSV PPTPSPLLTSSGSPGTGATGYGGRVPMTRQQVDEELRRMAGSFSLNTPSAFVASPSAI ASGLSSPVKGAGTGSGSNTNSNSTNPSSIGLLSASRPASANRRAIPGFEQERGSRPLY RRQTSGEKSPLGPGPNSGPGLSVPTSIASRSPISASPMTALKSADDVHNHTHPHIHSH VIQGGGARSLPGRGRDVTVLDEEPLPARSAGITSVLSPQTTGTSATESMRSRRGPVLL RGGFGEPPIGLGIGSGRPSSYSSPSHSPVRELPTKSTGVGLGIKESESCEPPQPQSQP QLSSGSGIGVGGRSPYTIAARRSSGGISGYPGLPQSSGRTLKGQRTAPSSLGREDDAN TPIVLGGTRGERDRSRVRDDRVQEEGMEELEIKLSGIEIASGRGRGDTDC L199_001345 MSRHNRTSIDETIPSSEWQVDFDNTTCADLALSTYTQADSFNLS ENINPCTTSDSMISRGGTSLSGSRVKNKTQRWAHENKKTRDKKYHQQTEEKEEVGTIF VKVTILNLEKDKSDRENINIHLINERDLISSTSIQSELSSQATIENLVIVNKSVSTTC AELEVENRRLRNTIQWYGFDCSNMIDTLKSISKNFQMSVQEQDKRTQSRIEYLESLAR ELQK L199_001346 MAPSIANLSTFYKPGKVAVVLSGRQAGKKVVVIKQQDDGTKERP YPHAVVAGIERYPLKVTKNMGKKRIARRSKVKPFIKVINYAHLLPTRYQLELESLKGS VSNETFKEPTQREDAKKAIKKAFEERYAKGNNRWFFSKLRF L199_001347 MPSSPTSRARSSSITPIGPIPQGRVACKRCSIRKRKCDKLQPRC TACVEAGVECESGVKGVERSLLMQTQELQRRIDWLEGLIRDKQPTLRNISSISTGSPL IPRTSHSSILQTNSQGFNLSTLVSASLSMQRAENMPDQGYVETLNPKIHHYSIDPSLS VSSASQHPQLPTIEKALEIVSRFLARHLQSHHCVTKEGIEEDLRLVYGENGLINPDLA ASRFRCFSVIYLESSPAYHGGDTSLERSYGMTCKNLALKEISNVIAKEDLTAVQALTL LCIYGVDIPGGPSLSQLVGFAARAAMTINIHRRDDIYLAPLMGSNHDKAESKQHNELR KNIFWAIYCLDRLASFTLGQPLSIRDSDIDVDLPSDPVPQTIDSSSVEVSSIALRCHQ IHLRRLYGIVRETFYSASVDSNKTMKEKEEIVADFVRQAQAWYNQSPLKAAFVPISEA TISRQVVDDISYHQMIMAAHRPSPLISEIPSSFIMTLKYSASLSIDLYRHYCKSKKVL IIWTHLYQIFMSCTTLIYCFNEFHQREDLIDLDEKEVQTRIEQCKDLLSKFGTSWPES SKYQIMFDNLVNSFNSQLRSQLGQQSSQSQSQVIQQQDQNHTDNDNRAVMDFWTNINN NRIGNRQSQNENENPITSRDTQVHPQTFSATILNGDLSRSARSDNNELGQTQSHLGGN IDQPDVSIFDLFGNFPMLDPASTNEQEGQSASTTEQLLNSMGPPWAEYSPGSLGSMNS IMGI L199_001348 MNRTQSKDEIQPSNVIDSENAPGVNTKDMIPKDRKVDVISGAQV QSKSKAERLFVARLDFILLVYVCVSQIIKGLDQQNVSAAYVSGMKEDLNIQANEYNYF TTYFNVGYAVFVIPSQIMMTWTRPSWWLPGLEFTWGVLTIVFFFAKNVKEIYALRALV GAFEASAYPGAVFLLSSWFTPSELALRISFYHSSQWMGSMLAGGLQAAIYEGLDGKSG IRGWRWMFLIDGIMTIIVAGLGFVLIPDYPSNPNPWAFWLKDRHVKMAQDRSLRYRRA DNKKFNRYAITRALKQPQLYLFPIFYMTAQLAQQGYLYFNLFLKSLKNPDGTAVWSVA QVNALPMVGYAISIVLVWGWGWASDYFQTRWAIIFAQAVLGLIPGIIMSVWNVPMGAK YFSYFLSFSFIATSPPLFAWLSDMTPHDSEMRAFIIGCCTATWYAVNSWANVLIWPAK EAPHYRVGWKLTVGMWLFVMFEIWLIRFIDMKYVRPRNQKIGQELYEDQVQHVPHAND DDADVALDNKQVQDGLEVVPVQSRR L199_001349 MPIATPITNGHAPNSNGPIKTNGHLNGHTNGHSKQGVAFTEARL AKPPSKLLQLYENLPTVEREKRQFDKFLQIDLAHLVMITEQSIIPLSISRQLFPVLLD IRSQGGDQIPLDMANGTLLLQIEAVLASRLGEDVAGMLHTARSRIDQGATARRLFKRD KLLEVMSFILDLQKILIRVASEHVETITPTYTHLQHSQPGTFGHYLLSYVDKLHDDFE RCKDCFERTNRNPLGGVGLSGTSWPINRERTTELLGFDSTIYHSKLSREAFYAAEIAY TLSFVMATLNDLATDLHLFSSVEFGLIELDDSFCSTSSIFPQKKNPVTLEALKANAGG AVNWGSTALATFRGEGTGDQGIRSVPLLDSAFTTTSNMLQLMGGIVDTLQVKSERMKQ LLKTSWCTSSNLADILVRNNGLSFRQAHHVVARLVRICELENIPRSQVSQQILRRAGM ETLGHPVDMSDTELQASLDPEEFVKTRVSAGSVSPREVNEILGMSSEAFKEDVQWLKG KKDQIDQSKLKLKRSIESIMG L199_001350 MSPAPTLPESIDLAHHLAKRVRTVQPSAMKALGALVGSRKLLTL GGGTPHPSLFPMSHATFTLPKLSTLNGDVQDWQQGEAVTEEIHLKKTGPGTENDEGGI LDLNDILQYGLSNGFPELVHQLEELNELLHGKTISDSSIYITLGNTDGVSKVFQLLVE PDIDTVLTEEYSFASSLNAARAKGAKLYPIKVDGEGLVPEDLEKVLSTWDEKVQGRKP HVLYTIPCGQNPTGSTQPQERYDEIYRICQEHDVIIMEDDPYYPLQFAAYEPDVQKRQ LQLKEARSKLPPAPEHAKDDDVQAVAKVFNEYAGVRSFLSRDVDGRVIRIDTFSKVFG PGVRLGWVTANSTFIERLLRIGETTTQVPNGLSQSVLASYLSDKHWGIGGFIRWMWGV RLEYQNKRDYFLDRLNEYVPTNLVSTVPCGAGMFQWLRVDLTSHPRYRKTPINSSAET AVNLALDTVGKEPEVPPGLELKSTNEPAQYTSNAGEFMDELWNHLVEHGKVVLLPAKV FIVEKEGVDQSEDLNFFRATFAGDLENIDAALKAFGDSIKEWFAKA L199_001351 MSNNQATSAADFQQVITETITKIQRSVNAQTSRDTSVYRQARIE LLQRFQSDTVNWTKETFAQRIASRHFSRNPPLISASEREELASTMRNNLTNSGKAGLQ LLRGEVDWCSSDIRATYTQDHPRNFDHEQLTGTDTYRTQLPEEALVDWLLSKDADRLT ELAEGWYEGYQRRLGESRSCLRYTRML L199_001352 MPATSENSNTANLASDSSPTIANSGIIQKWREDALEAKREILRS AEFKDNEANRPVYHVSFELIDGFRRLIVRGFRMLGNKLLEECEQNRRTGSFIILAGTS EFSFNLIEETRSGPPVVHEQSIDEEANVLYHTETPKEAFLDYTQSQDPTSDAMRSLAD EYYLVVSHSEQKEQDTRSAVRCLSTTFRML L199_001353 MATSSLLRPSLGITDQSQSGSSSRSSSFFPPPTSISRSSTPNPI TFPTSLTSSSQNLPSQPSRSQSQHHHPGISSKLKHMFDNQKYAIFDTTVVIHELGNVP QLSGEFDVQWKFRGKKPRPKEMLELTKNGHAPLAKPSLPNLKLQSQNMQASSSTASVG TTSTASSGAYPPTPRSMLTPSTSAAPPRPLKSLSMPPPRDGSERPDKRGSEPTPLQQV IAPDTPSPEPQSDTVPTESPQQITDEPETIEEDDDDGTRSRSTSKSSEQSQSSSGKAG LPPLINIHRPSMTSSSRPSQASSGMSTPTDRLAVPFPVPVPVRGGTIPSYSTLIDPMA NIGEPSRRGMNRTISMATTNTSSSTSASTTGPARPFPSRVRSLSGPGIRKNNINGHRQ NNDDVQSDWLSEMRKGTTPARSLKSHSVKWDYELHHVSRVPISKSVQTATTPSSTTPI SSTDPYRQKSSSGQSLPYLGEGPLSESGLRLVIEQLPFPSHSHKSQNPHLINDSSSVH SHGSRELHADIMRKESKEKTVFGIVDIDLAAFAGKGKMTRRFLLKGSRTNATIKLSVD MRWIGGEDKWAAPPMQEGHHVSGVHDFMPDTEGAMRSDLGLVKTPSNSSSGSSMGLEL QRSRTTYSTVSSNYQTRNGSATDLGRSITNHSYQSYENHLAPSISRRSTKITDSPKKE LKPLSSIQPGSGRQSPAPLPAPSPQYVSKPLEPSPVILNISKAHDGHKHHTHHLRRHG HAQHHGHGHHGGHGISDLPPEVIIEAIFNPHPASVNGPFTYVPQNKGVDLENEKQVLE KVIKQASSSSNNGSMTGTNTGDGTGTGTGGNTPENVIDLTETQPQDSASATSRHKLGW RAMRVRAKAEREQKEKEKSIRNRKRTSSSGL L199_001354 MNRRDVDEAALLKLYGISSLEPQVWESIDHETEGPLAGTMTGED GKMAEEMDPLGLRGKLSGANELDLKTRTATSLSSKSFDPKVFLSAHHPDASFQDLQRG IYNLERAIESRSEAVRILVEENFDRFVGVKASSDVVYRDMKEGFLADDTDHGTRELRE IFKVAGHRADQVFLPVLENAVKASKLRSTLGVVEKSKFLFNLPNQLMESINAGKYDQA LRDYKKGTFLQSSRQLIPGVNASKEQQKRIFDKVWKSVEDIMSDMRSRLDAGLKDPTK GVEEQERTIETLVELDQSDEPAWTYLEYQHKHILNNMKIVYGKSQDRIKAAQLACANQ PSTLNSEVDQLRRQLASTEYQLNTITPSTTDSAWLTFQAFIKQYSEYVIRSLPGFWKI AKACMDGKYRKRDSSGSIPPSKRPASVCRSMAMEIIKLYINTISQFFTLSDISLSDSS KSPSGSASDDNFQMPGFVPEHSTSMTSCYFAEKILEEINDCSMELLNVDVGNEARSGL RGLLDSARWRFVQVVSATWSRDSKILHHLEDWTTLPQNSLTTKNVLRYLSVMEEFELR LINACKKISSTTNSAASLNNQQAGSSSNGRTGGSSNDISTNFKRKIRDSFVDTLCFCF DGMIDFTSSSAHEEEGDRGLRRPSRVVVRDGSDEHEIRLLITLAQFDQLKRLNLSLLC NKISKILDVDMKKDEKLLFEVVENMDEMIFKELIKGRSKELVDVVQIGILDSGINWGE VGKPTEVRPYMHKAILLLVEAHSRIGNISPNLIQRVIEALVNQITQVALNCFQKVPKF GTGGMLTATLEIEFLHQSVNNFVSPQSNDTLSKIYDTISQAYRRQKTNDDFNRELEGL KKLLNTSRKNTGMETLCFRGVNVGAGQ L199_001355 MSAAETVAHHVHPLPDSVPESEDLFPPPPRLRGEEGRPKPHIGP NYQAYLNEWKKTVGPDSDKWWAEKAKECLDWYTPFKTVRAGGFEQGDVQWFPEGTLNA SYNCLDRHFYANPEKTAIIYEADEPSESREISYAELMRETCRVANVLKSWGVKKGDAV SVYLPMTWQAAAAFLACARIGAVHSAVFAGFSAESLRDRVNDCECKVLITTDEGRRGG KSIATKAIVDAALQSCPLVEHVLVLRRTGNKVPFTEGRDKWWDEECAKVPTYCPCEPM ASEDPLFILYTSGSTGKPKGVVHCTAGYLLGAYLTVKYVFDVHPTDKFACMADVGWIT GHTYIVYGPLANGVTTTVFESTPVYPTASRYWDFVDKWKATHLYTAPTAIRLLRRMGE EHVKNHDLSSLRVLGSVGEPINPEAWHWYNDFAGKKNCAIVDTYWMTETGSIVVTPLP GAISTKPGSATFPFFGMDVDIIDPQSGQVLQGNDVEGVLVAKKPWPSLARTVFKDHKR YLETYMKPYPGYFFFGDGAARDYDGYIWIKGRVDGDVSGHRLSTAEVESALILHKGVA ETAVVGSHDDITGQAVYAFVTMKPEFDLKSTKEADLNKELAIQVRKVIGPFAAPKKIY LVTDLPKTRSGKIMRRILRKIVAGEGDQLGDLSSIADPSIVDEIKNKVASAAAK L199_001356 MTTLADERSSHLNPPSVDGLTDEDGDEDMNELDDYEKERIANIK ERDNLLASLGLSTPSKTIFGMTPKTKTKASTLSSAEARRKRELRAKEASLRRVIEPIR RSGRLAQREVEMKGLADDDDLTPPPPTNNKPLPLIPKAKIIQLAPGPSYSSSSSEETH ERAPRPGRGDDGRLIFEGRWEGVFTPNLTPQEMFEGGAFGGGFFADTYSNILKSELSS KDDISSLPFVIPNPTKLLSNTDPDGENNRFRVRAGQSLQEWEKAGWIWKEDPRGWAQW YTRFWEGRRCQDDERQVRRWMKVAGRTGRFKRALLKKLLQSGGRNAVKDEDVGAVLRQ CLWQWGYEMNEIEFDRAMNGE L199_001357 MSRPDEEELVDYDEAAEETFAPAATTATNGDKADGDKKGSYVGI HSTGFRDFLLKPELLRAISDLGFEHPSEVQQECIPQAILGTDVLCQAKSGMGKTAVFV LACLQQIEPVDGEVSIVILCHTRELAYQIRNEFARFSKFMTNVRTGVFYGGTPISADQ EILASKEKCPHIVVGTPGRTMALVRDKKLNATKVKHFVLDECDKMLETLDMRRDVQEI FRATPHHKQVMMFSATLSKDIRTTCKKFMQSPLEIYVDDETKLTLHGLQQYFLKLEEK EKNRKLNDLLDNLEFNQVCIFVKSVARATQLDALLQECNFPSICIHSALPQQERISRF QQFKAFEKRILVATDIFGRGIDVERVNVVINYDAPADADSYLHRVGRAGRFGTKGLAI TFVSSDGDSEVLQKIQERFTVAIPTLPETIDPATYMTS L199_001358 MSTPNAGNTASPASNNLGYPFISNPNPQAGGMNFQPQQYMSSMD MSTASPIPGASGGQGDPGPSSMAQQQQQGQFRPQFSQGGVTPQQMAMLQQFSQAAQSQ GPQGQAGQPRQFTPQQLQMAMASMQAQQGGNVNPQALMAAMRASQQAQLGQIPQQGQQ QQQQQQPRPPNQQMNNYGSVPQQNVGTPGQQNVNPAQIAFQQQQRLQQMMQARPNSGS PVRPHQQLNSMPPPPIPNSQQQQQQQQQQQQQGNFASPQNAGLPQQMNSNPQGGFHLT QQQRDFLTSQRNALFNNPQFLAMTPQQQQTVIVSQQQQLIRNMTAGQMPPMGQQPNQA QQQQPSQQAQTPTNRPTSAHGIPGSGQSPSIARQPTPQQMGTPQAQQVQTPQSSHVQT PQQPMSSLPQHMAPPRPASAASQRAPSPHQGMQGMPASPAMMQQPGSTPPPSSAMYPS SGQQMNVPSPTPSNVSHHSQHQTPAHTHMQPPPHSVSPVHARSQTPVQPQQVGTPQSA QSNNPVTVNGIYQGNQQFQPQQQFQQGMGTPQQGSQPYPQAFPVVNQAGPSSSPAQQG GFPVQPIVPTMQNLTPAQQQQMASAMSFISQAAQAQQPPGNGTIQQQVRPIQPPQPMT PARPPAGIHVPNINTSDFPFDARLLPHIQHLNDEKWRANANPQLIAAVQAAAAIVPTL RHDIIQRMQNVLFHSARIQAAQAVRPPPPGQQPQQGDQSGISGFSPTTGQAGTPGAIP PNQQQRIWQAQQNALAQGSPASVNSASPAIRPPPPHLPPASNMPGSPSPRISSLNRRS SVSGKDKLVKEEKTPQQASMPPPSFIPSHPVGSSRPSHATPEASIPPPQPSAALHGGQ PQSIKVGANSLPVKEWETALRVDLPITKITALPVNDIEESEDPTFKGALPPMSEFEKL QVKQWLEKDQEFVATERGQLPKRNAKMKKWAEENDKATPWWMLRKGEMRAKPNQRLRI VWPNQKDQDRMARSHRNRTLIKFSPAQLKSMAEVEDHIVPVRLDLEHDNFRLKDTFMW NVSDKVVTPELFAQSLCDDFQVPHQHFASRIVAVIQERVQEYQAHVLPITQRKSKEDN RGKLDPEGDADSKAMVDIFRRIREGSAIEEEIKTDPGEDHNDEHIKIVSFDHVDGNAD EEILVNVGGDAADGAVKAEVKVEVIDEERPMTVEEAMQNLPKEETEELRILIKVDIII GTQNLSDSFEWDLNSTVTPEEFAASYVTELGLSKEFATALAHDIHEQIMVHKRSLFLV GHTFGSGLVLDDEMRLAFLPPVTTSLRKEDVAMSSYTPIFNELREDQLALLEAQREKE SKRKKRAGRARRGVVLPDRDPIKTQRSLLNPLGPNGLPVFSAPELIASTKDSAPMHRR RGAAIAAEANMALIAQDLPITGPPSPAHSHSTNHHPHHHGPTISARGKRIGRPPKNLS RGSPASFSTGRESSILPDQQMLATPSLSHIGIKRSFREDSIDDLAATANGSPAFSRKR VNHSRIPDSPPSPDIELQSQSQSHTQTPLKQDNNGLSLSSIQEEKKVNATNNGDDSGP WRCRNCGVPEHLSNGKGKDKKGEKTLCGNCSRYLFRVGKHRPCEYTTDESYHLSKIKT DRPTSNSATPTTTFKPTLNRKSDSESSDSESSSDDDESDSTFASSSGGGTTKKEKSGR GKKKIKGTAGMETPVKPPAVPAGRSPTVGISPSSGKKPIAEMPSWASRALSEMRSKYP RDDFVMVQKPRPADFQGPIEWRAKCMDCPGKIYALGPGETLQNFEVHLKNRAHIANRL TREGKSH L199_001359 MYPSDQPPPPSSSSSSSSTTSRRSSSEHSSTSTYYSHSPSINTF KSTHSRNTSRSINTNIPKTFDLSGAFSSISEKQHVNDVNHEDLEKGTIRSYGLPKSPS LEHPTSSSFSHIPNKVPHLHVGLRRRRILSQLLALCGLLTVLGWWILSVRESPGGIIG MGIGKNGAPEHPKVVLPGIIIGSNGEIIHQPTVEEESPLPLEEEKGLTHAEKMAELSK IKGPQWGLSLSSDHLLAGLRPFPSLEEEDDGKPALSVLGKFADQIYNLGTLDPEEYYE QMKEFAKVVFPKKIAEQLQNALDIYVNVKVNDHEEKKGKESWDSTKRIWQTDKTRSKV DTKEVRSWKDGQAFDEGWQWDLLTDSDADKYATKHLAGSRFKEIWDNLPSGILRSDTL RYLLILLEGGIYSDTDTLLLKPPSAWGRNPKLYKDGQGWMTDVQLQRIRDGDDVDDIL GRPSVVVGLEADVGDREDWFDWWPRPIQIVQWTLTSSPFHPIALNALLRIHHSTAKAV EWSHSVSHSIKVLKDQGRYEDAKKLAMVDVMNEPKNGGPVGVMAWTGPGVWTDAVLSY LRVQYGLVWTDLKDLRVPLRIGDVVILPVTGFSPGVGNFGAQGSFHHQAMVQHDFAGS WKNDE L199_001360 MTMEARHKRMLEETLKLPGNDTCADCHAPAPRWASVNLGIFLCV GCASVHRKMGTHKSRVKSVTLDTWTREQIVHMKEIGNQASNAIFNPNEKLHPPPPSYG HDERDSEIEKYIRKKYEMGAFKVGQKPSSMYEPTSLNRARERDSRIPFGSLGQSGTNT RNPELNDIVSFTQKHNPLLVANYKERDLPALPIGQGTSTFPARQRPKSSNDQNVPAPW ATPSTNSGSTPSPSPLPQSQAAPVKEINLIDFNNNQTQNATLPLQVNMNSQNQNQNGY LMANQQQQSGISNGFSSSPIPSHGYINTNIGYGSQPLINGGMNQMNGYQNVNGFSTGS TTSSQSQGQSLSPQSQIGGYSNMVTGFLTPTSTPSPNFSSSPSFAHQPQMQFGQQPQQ QQQTYNSYQPQQQQTFAQPLPQQSFVPQHQQQYQYQMPIPQQYGGGMPMNGAGGNGYM QTNSGIMMQGMGMGH L199_001361 MAQPVTDTILPIPQVDQPTGHPREHKPKLYILDYGAGNVRSLAN SIKKLGYEFEWIEDDSDFDKAEKLIFPGVGAFSQAMESLRSSGRFDSLLKYIKSGKPY FGICIGMQVLFESSEETLPEPIKGLGVINHPIRKFSSQDESLPKGKKTVPHMGWNNTW KAWSTTSSASDDTPKVMLDGEDYYFVHSYAALLDPSTSTSESNSPIKDFAYTLSRYGS EVYVSSVKKDNVFATQFHPEKSGPAGLDLLRRWLEASPQSLSAPSSTFASTSSPRTWQ PTNPNPSTQRKGGNGLTKRIVACLDVRSNDQGDLVVTKGDQYDVREKSSEDGDNKVRN LGKPVELSQRYYLEGADEIAFLNITSFRSSALLDQPMLDVVRNAAETVFVPLTIGGGI KDTVDPDGTPRSALEVAGAYFRSGADKVSIGSEAVIAVEQLLQREREGVEPVLSGKTG IETISRGYGNQAVVVSIDPKRVYVDTTNPNWKEEFPKHHLDSLIIGDEATSRTASEER GKAWWYQCTISGGRDVRDIDVVQLAKGVERLGAGEILLNSVDRDGSGKGFDLDLVNLV KNAVSIPVVSSSGAGSPDDFIEVFQKTETEAALAAGIFHRKEVGIDQVKERLEKEGLP VRRCGLDTI L199_001362 MSGIRTPVSTLAATAQPASTEIPAPLIPLLSSLTSIIYTIEHLF NKVPGSPIIVRYIKSSYQDDPWRSVLELFLLAFAIRTVLKGRTRGEGEGKSFIKLTEK EIDELVDEFNPLPLADDPTPADSFTLDTVPTIYGPNGIKVKTAANGKTLLNLATPNWH GFIENDKMKQVAIETLGQYGVGTCGPSGFYGTIDVHVKAEADIASFIGTEASIIYSQA FALVSSAIPAFAKRGDIIVADRGVNFGIHKGLQISRSNIKWYAHGDMKDLERVLQSVE RERKRKGGKLTKKFIVAEGIFENDGMLLDLPKVIELKKKYKYRLILDECQSFGMMGAH GRGITEHFGIPATEVDMILGSMANGLATGGGFCAGSQVVCQHQRINSSASVFSASLPA MLATCASAAIDILKNQPNLMTSLQANITIFRQQLSKLEAGGPGSEQKLISIPSDMSSG LIHTFLLDPPESLEDEELLLQDIVDEVSNTFNLLITRSRRLRSQEVFEPEPSLKICVS GYMSKKDVESAGKGLKAAIVKICGKKR L199_001363 MSDSAEERDHWKSVIRAFDGYMRYHLSANHARRMNFLSLDKESK DMFDGIGYREKLDAVDEGIRRNAEFIDEMIATPVFADMLEAEEAEHHHSNSHAHVHAG HHEHDHGHSHAHGHGNGNSNANGNEHDHTDHKRQQQESDLSQDKIRSTLRSFVRDWAI EGRRERKACYDPCLEALEKYYPLPESEDESVAEILGESDGDGKRSIERDRGSIRVLVP GCGLGRLAMEIAARGFASQGNEFSSYMLIASDWVLNHTTHRHSHTIYPYLHSFSNHLS TMHNLLLRVQIPDICPSDVLGNGQGGAFSLVAGDFEEIYGPSSWNLPPAPTTEEGWED NEGDGQVCQKGRWGAVVTCFFIDCARNVLNYLRIIHTILADDGVWINIGPLLWHFENS PKKGPKGEGSIELSLDEVKELARRVGFDIKEEKMIKTTYTGIPDGMLKHEYNAAFWVA TKRNSATITL L199_001364 MSEEETEFGYESSNADDESTMSEMTESQLRSGQAEIREMMTRLT QTLGDIDLHINTIVGTTDVSTEDGHKCLVEKIDQKLEVQSELGFCQAFLDENGVHADL LNYYCVYYAGNQLGHDTNPVVNASRAAELASKSFLREIAIRDRELFTVDRSKTTKQIM SDIASDLANEVAHTQSDVERINRLSTSQAIQSWIASFPPEQTQTAEDWEG L199_001365 MSDNELEIDDRSSVHSSDSEPELEEGQQERQQGILRSQIHNLDV KSRGFTVRMNTAVGTSLAQDHATQGYVALLQQSKNETDSEKEFYQAVLDHGGTHQDAT DFLCVYFSQHYCGQSEEPNIQRSLAGDLASMSYLRDTVERIPRKGLGGIVKTEWQLMV ELAIEFASQVVNRPRLTSRIEDLSESRHIQEWIHRWEFAHDGTQGNNDPKVDYEEMWR RVVDP L199_001366 MSSSPEQAAKSRRIAKALESLYDSVPQTQGSSASSGGKAKANDT ADIWAKKKAENNYYLANLNIYIPFVGSSEVPHPDDLSQKEYLSLLEDQRQFRVDLNKK LELQQRLSSKGFSEEDSEWYLDCLCLAPAPSKFPFSNLEAIFAQEVSRTGAREARASH LSLHFREIGLPSYNTDATNMSYEAELTAKVLQTSDRWKRDESARELFFRMSDALSSMG N L199_001367 MSSSPSTITKVNKPYPFWLGGVAASIAASITHPLDLTKVRLQTS GDKGMIQSLKKTVHNNGTRGLFDGLTGTLLRQMTYSMTRFAAYDWAKAEVHKGPGPPP AWKMALAGSIAGGIAGVVGTPFETLMVRMQADKAKPVEHRYNYRNSVQGFYRMTSEEG ISSWTRGMGPNTFRSILMNMSQLASYDWFKHELINTKVLEDGPVLHFLASLGAGTVAT TVCSPADVIKSRIMTAHGKGTSSTMGVIKQSLAREGAMFMFKGWVPAWTRLQPTFLTL EQLKKGVDVYRKAGGQLL L199_001368 MSSQPRLGDVTDPSQPPLRPDSPSNPAHQPSADNVVTEGTVANE KFNLNQDTKTIPGGIPFPTTMGATVGPPPPPVLPLSHESHGSSSGSTSAVINEKPEKR KGSIGEESSSSTNKKHPQSKKGLFARSKKTKEIDEKKSKEKEDEANLLPPVSFFALFR FSTPIEMVGMVIGLILAVAAGAAQPLMTLIFGRLTTSFTNYAVIAQQISSSGLTLSQD QLDQLQSAKDDLKTQSGHNALYLMAIGVGIFLTTWVYMFIWNVTGELNSKRIREKYLR AVLRQEIAYFDDLGAGEVATRIQTDCHLVQEGTSEKIALIAQFLGSFVAGFALAFARS WRLALACSAIFPVIIIAGGIMMTAMSRFGTGALEHIAKAGSLAEEVIGSIRTVQAFGK QKILGQKFNDHIELSRKAGRKGAYIEAAGLSVMFFSIYSAYALAFFYGGILVTQGRAD SGIVINVFMSVLIGAFSMAMAAPEMAAVSKAQSAAAKLFATIDRIPLIDSASIEGLKP DIVHGEITFENVKFHYPSRPNIPILKGLTTTFQAGKTFALVGASGSGKSTVVSLVERF YDPIQGSIKLDGVEIRDLNLKWLRQQIGLVSQEPTLFGTTVRGNVEHGLIGSKWENAT DAEKFELVKKACIDANAHDFIMKLPNGYDTMVGERGMLLSGGQKQRVAIARAIVSDPR ILLLDEATSALDTQSEGIVQDALDKAAMGRTTITIAHRLSTIRDADRILVMGGGEILE QGTHNELLANENGPYAQLVANQKLAQEAVEDSLKVTPPEDQALSRVKSTPAADSPIKE KEFSELKRAITGRSLASAALDDITARREAEALEEDKLPSSFGMYLRLLKLNSKQKNWY FFGTIGAICSGMVYPALAILFGYALADFQIQGFDGWQGVFRHALDRKALWYFVTAILA FVATYTQVVAFSGTGWDLNAKLRTESFRAVLRHDIGWFDEDQNSTGAVTSNLAENPQK VQGLFGVTLGTIVQSCATLMGGCIIGLCFGPLLALIGIACIPLLVSGGYIRLKVVVLK DQKMKKLHASSAHLASEAAGAVRTVASLTREDDVDRIYSTALREPMKVNFRTSVKSQA LYAASQGITFCIIALVFYIGALWIISGRYGTSHFFTVLTSVIFASMQAGNVFTFVPDA SKANSSAASIFRLMDNEPEVDPDSSEGLKLDHEHVKGHIRIEDVHFRYPTRPGVRVLR ELNIDVPAGTYVALVGPSGCGKSTTIQLLERFYDPLIGRITLDGVDIKELNVSNFRDQ VALVSQEPTLYAGTIRFNILLGANKSMEEITQEEIENACKDANIYDFIMSLPDGFDTE VGGKGSQLSGGQKQRIAIARALIRNPKVLLLDEATSALDSQSEKVVQEALDKASRGRT TIAIAHRLSSIQRSDQIYYFAEGKVAEHGTHQELLAKKGGYYELVQMQNLSRQ L199_001369 MSPTSSLSSKSLLSSFKGNSTTSLMNMSDDMDGRTRNAKAQKRH REKQKARVKALEESVQVLTAQLEDARRQLGQLPYPPGSSRMGLGTHSPEFAQMQNENS YLRDENADLRRQLYTLRVTYGQENPGGGNGPQNQMPSPPPRHGSGQGQGRSNTNPAGN DSSDPYRNSSGNGNRSRVLSASSAPNASPYVSSSSFPADLRAHSLSNNNNSNNNGSSN NSGNNKPQQIESNYPVRYEGHMYPPTAPPPHALPRSQMYNVDNMPYGRGESGENMPWG PESGPPPFAGAMGYPPVNFHENSSSNAVSEPWRQDH L199_001370 MSARRSDDPVVPVLGGLPSAQPSTASVSLPHEVKDLDHDEKDGP SAEGGYSDKDVINIGSKSRGVIEMDALQARMNTKWRIVIYLFFMFVSYSLSLDQSTAT VYLNYAVSKGFKLHSLQASVTVVTSIFSAMAPTPIAKFADYFGRVYAEIGCLILYTVG QAVMASAKGIVQFSAGSAIHTLGISGMFMLQNIIIADISSLRNRYWWLVAPSVPQVFN SFLGANVAQSMLGYGNESTSWRWGIAMFCILIPPITTPIIITLWRGTRPERAIRQQLK QIKRERAAQTSLVQRFWADAKDIFWKLDVIGLVLFVVGIGLFLVTLTLANSRFNKWSD AHTIAQLVVGVVISVGFVAWERWFAPIPLLPFALIKRKTVVGCCLIALWHPLAGRSVS TYLYTYLQVAADQSQVSATRITSFPTIGGWVTAVIGALIARKYRVLKPIIIFGMFIET LATGLMLRYRTSHSSQAELAIIQVLRGASNGFIPYPIQALIQAAAPHEHLALVTAGWT AIYYVAFGVGAAISGAMWTNIVPDKLTAYLQGNATLVAAAYADPLTYATQWPVGTWQR DGVARAQDEAQRVMVIVGTVISFIGLLTAIFLLENLRLPDTQSLEESEEYITEAEKKQ KKALTVAVVRDGPVAAQ L199_001371 MTRYEPQGTDPTNGADPAPSFNDGSLDHIQFPPLWLGPVAHLPH EPYQQVYDTLPTVDKVLCDNSAGTMWENSTAWNNPIASDNATALDETQPHSSTAIVDS HRPKIPSSRDTSPFLQSPSAQPQSFAKMTSVTGRPKPASKNTTNNTRKSTYSAEERKQ RNKEAQKRLRDRRNDYIKGLESGIAVHEKLITQARASSQLATYARNAEQGKYYQLQAL CIDNFGSEHEFTDEERQWIESEARNGYYPS L199_001372 MGTRGVLGFIVAAKRKACYNHYDSYPDRLGVRIVMFILALTPEQ RWSMIERLKEVSSTTSIPLEQCEGLISTLILWILWIDEEFDSPPSEELIQHYTSKDFH LDSYEKEDKLKYPAEFEHRRRTPHTWSELLRGMQGAPCLPQIISGELKHLLDRNGFEF NWLCCEYAYWIDFENQTFEMTVGQEGKWSFNQLSEKGRYWRRLVDDELWTERLIEQGK KSFTAQDMLAGLDILLALPIISPPIRFLKKMLTLIPPRFKIPTRPRMPDSPKESGTMP DGEFCDSLGNNVTLVNSNTMDASIDDAAPSHDDHLADGRA L199_001373 MGTRGLIGFTISGRRRGCYVQFDSYPEGMGVGIVKFILGLTPEQ RETMIRRLEEIIWVESGSDAPKEIVEKYRSLEFHLSPYEKHIKATNPEIFRQSQLGTQ GAYCLPYILDGSLKHLIDGTEFVEDGLFCEWAYWIDFEKQVFIMDDGKERQWNFDQLD SGFWGKLVDDNLQQEREFERRRKQKENEEANSTQQRGSEEKEESKEADERVSIFPL L199_001374 MQRDSSASEQSSPEIEQVTGRDVTLQPFQCMICSRRFTRHENLK RHSLLHQPSDKNTKFSCFYCIKVFARRDLRKRHIKKQHPDRPTTPKDNANSNNNQQDG ISPTQSLPGQNLDQQQPEAQQPPFSYDLSQLDSAIFPHSSSSSTAYQSNSNLSASGSY TQSLYPQKNTNVNVETNSIPVQEMFRIESLLSQPPLSMMDGIDQMQGQSDYSAPTFSD RRPSTVISRTSPLSSTSTNDIFVSQNTVESGVNLFFQHVSGYFPFLHRPTFNISQTSE YLLMAMLSVGMQFSDDEAEGSKIAKYCFTRGRKILDAMEQEEHDQGAFKLDVIQAYLL LEIHASMYSAGSDSAYGLRMHNRLIELARTGGLTDPYPSQSASSGDLETLWRQYVKAE SHKRTLFAAYHLDVLWYHTLSVPRTISHLEIKHDLPCSEDIWQVNTASEWAYRTLIND QQQQQSSQRYLTAVRSCLTPNPSLDMSAFDAHGSLVVILFLLSSVREMSGWSTMTGKV CIERFEALHASLTAFEPVIQSNIDSSPMSVLMQATWHTAMIELLLWSPSHTNGVVERS LEAALAASARLSNSSTTFSSPMVAASVDRHLSWFLTYLDTKTDVSDEAPWMAIFAFKA VLVSYQLVKAGCIETLNNIPPRDSSEMLDWIREVFERRRNWKVAKIIVSSLEELE L199_001375 MADHTETKQIDDKDVELAVLHQSVDDANPHMPESLRGLTDDERR VLEKKIVRKADMVILPIIGVLYILNYIDRQNLSAAKLQGIMDDLNMTAQQFATSISIL FVGYLPFQIPSNLIISHITRPGAYICIAVTIWGSISAATAAVHSYNALLAVRVILGAV EAVFFPGVIYLLSAWYTKNELGRRIAGLYIGQQVGNAFGGLIAAGCLKLDGAHGIRGW RWLFIIEGVATVGLGLIFGLFLPEYPHNAKMLKPIERDMAVWRLEREAGAAEGNEQIG TMQGFFIGLKDPKIYCLIFMNMMSQCMGSIANFFPTIVKTLGYNSINTLLLTAPPYVF AGFFYCGLTWYSDRKNTMYLPILFCLSLASITYIISLATTNTAARYTAMMFMPSGSVG PQLLLYKTINHHMPRPVAKRAAAIAMMNAIGGTSNIWTSYLWYNGPRYFAAFGALLAS GVVFAATLTGYKFYLHQQNRKLDEGGERAKETMKYGVTQQMIDMGWRYEGY L199_001376 MASSYPTAHNTEVQNPRLRVLNNLRKKEPALLTFIAIPSVRHAQ IVALTGLDGVIIDCEHGHIGDDSMHNSVSAISALGVSPIIRVRGTQPDILKRALDTGA HGLMVPMINTAEEAQAVVTYSKFPPQGLRGQGSAFPAIGHGLTTPEYMKSANQTILTI LQIESKQGVENVDAIAAVPGVDYLFIGPNDLAQSLLGYTPAKGDEPVFVEAIEKIVKA ARKHGKWVGRLVNDGPLAAEALKTFDSVAITGDTKAISNWYTAQIACVRP L199_001377 MAPSAPFNPPAPDAPGKPYVEPWNIPPVTKQTENFAELTSIDLS LLDSEDPAVQADLVKQVKRAIREDGFLFLENYGVSLEQLHRQFALAQYTHTKMSQEDK DRLLFHPDTGRWAGYKHPYGFKRHKGPADGIEQFNWYKEQWENRDLVPECLQPFLDEI EAFSTYLTYSVNKRLLTLFSRVLELPDDWLWDNVQSKGSPTGEGYFRHAIFRPVQKET QEASKGLRMHGHTDFGLTTLLFSVPISCLQIWGHDEQWHYVPYKPGALVINIGETLEI VSGGHFKATRHRVFKPPVDQLNSERLSIVQFNSSIGELRMTPAADSPLIQREGCVEEQ GVYKEFKRLMDAGVPVPTNSQWREIQIAEATDPTDTVRNSIGQDQIMLDGKLFQKREY FGVKVLLPV L199_001378 MSHSSTIDIESSTTQSYALNDRKSDKVNQEYPGQTEITHPVDIE NGQSVPKDVLELKTQIGLGVLGLPAVLDTLGFVPGVIVIVALAVIITWSDLVVGYFKL NHPEVYTVADAAHIMFGPIGREVIGFAFWLEVVAIAGASFLSISVAFNTITEHAACTV VWAVIGAVIVAVFASIQTLGRISWLGWVGLVSILSAVITLMIAAGLTDRPSLAPIDGD FKIITQVVAQPSFVDAINAVSIVVFAYAGTPNFFNIVGEMREPAQYSKSVVAAQTLIT MIYLIVGCVTYHFVGQYIASPALGSAGFIVKKVCYGLALPGLIVGGLLYAHTAAKYVF VRVLRNSRHLSKNTPVHYMVWYGCVGFTCGIGFIIAEAIPFFNDLLSLIGALLGTLIC IQMEAYMWMWDNWRATKRGTRKWNLMMAMNAIFFVVGCFLMVAGTYAAVVVINDNLNS GDAGSPFSCADNSGN L199_001379 MQGLESAMPRPEGDFTFDNNEDALQDLDDGFWNYLDQHPLSPPR ERVPGEFGIPGGDSSGGVTETAAGSHQRPVWDKMRNILYDLAEDESGPSIVQESSSPK ANVPECANRSDWTTMQNLLYDLEQKPTNLTRPSIHDSSIESSTSVAVPAVSPEKVNSP QKVEVDNRPVWEAMGNILRNLK L199_001380 MGPPGGLINTTSSLTPDMGQLETDFDYLTSPALTGGGYGLNLDP YQPFSHPHSPSAYSAFSGSSKRRRTGLDGWFTPLSPRGLALQTVQTTLITFKDHHAAE PLRRGPIALAVQRLESGLDADTINIIKSIIDDMKEDQAVSDDRSVLWMCNETIKALNT LEKDFTFDIDNGSGRDHQGSPIGKGGKRSHPDNFPAISTVTEPSADTVTSDEDSVEMA PPARRSGNEAAGPKSCNSCTESNTKCTTFVSTRVDGSVYYAKCGECHERRSKCSLATR EHLQPYKGDMTLIEDFIERVKSTRARRVYESPKEKEQAREGYLTSRSKTARPEEAATA ANTVTATKDSVIELTSGRDAFGQSLRRRNRS L199_001381 MPPRRSSRTSLSSPTGADDISYLTLAEVRDRLNRNNALLSSPLF ATPQVGAAGPSSDPVKDKLLLAREALLAREQELMLGNMHLVDQDSHQQQPQIYNGSPT KSPTLGLVGGGNGRSGKARVLNRIREGESNLAKNGLILPIDQTLHLGQRDYHNATALS LSHLSLNPTRSSSPKPRSARPKVSHPSNLYDNGPVGGEDEITRANRLARINAFMSYKA SSSDSEDGDDDYDQDDDEELEGMEGIDKEEYINKLIRSQSQPEEKNFPLTGNASGGYD GNGQPLHNDDKLLDDLGEEVDVYGEDDEDFLEGNEEYANGAGQGSMAAGPGR L199_001382 MSSSVPVPGRRTSVSASDPPNFIPIPTNTRGIPTTSFGAQSPPA AATGLSGSFSTSPSMSSHVRGGSGSVGSVFHGMARQLTAFLPVTYPLEEEPEKRQGKT KVLLLENINLDAAQFLKDQGFEVDHVTKAWSEEELISKLSQYQAIGIRSKTKITQKVI DANPQLLVIGCFCIGTNQVDLEHAARRGIAVFNSPYANSRSVAELVISEIIALSRQIV DRTHEMRAGIWNKLSKNCWEIRGKTLGIVGYGHIGSQLSVLAESFGMQVIYYDVIPIM PLGTARQVDSLDDLLSKADFVTLHVPEIPDTINMIGEAQFNQMKTGSFFINNARGKVV DLPALAQALESKHLAGAAVDVFPKEPGSNGPGFNETLGDFIPRLRNCANLIMTPHIGG STEEAQRAIGSEVSNALYRYLTYGTSLGSVNFPEVDLRAITTNDERHIRVCHVHRNEP GVLKQINNILADHNIEKQFSDSKGDIAYLMADISGVGQEEVEGIYNGIKNTRANILTR LLCE L199_001383 MDFLPLPSLPSAPRQISSVNPHSRHFHSFRHPLFIKHPSSITHI HFNPAKPHRYAITSSTRVLIYAPRTGKVVKTISRFKDTARSGEFRKDGKLLVAGDDEG QIQVFDVNSRAVLRTMKGHNQPVRVTHFSPHLPQIISASDDTTVKLWDLSTQTCLNTL DSHTDYVRSAIFHPTNPSLMLSGSYDSTIRLHDCRLPEESSNVITMRHGGSPVEDILM FPSGGIGVSVGGPILRLWDLSMASNNKCIRALSNHQKTITCLSFDGEKKRVLTGSLDT MVKVYDTEDWKVVHTMRYPAPVLSLAVSPDDTHIAAGLTDGTLSVRRRDPKASEITED TTSKNLSIVGGSYEYFADMEQIFGKGYLKAKKSELPPAKGDVDEYRVETRRKRRLKEF DRYLKGFKYQAALDAGTKKTVKPTTTFALIQELIHRDALRVALSGRDDVSLEPILSFL LRYVTDPRFGEMASEVVGVILDLYTPILGQSPILDEMLLRLQTRIERELNFQKELLKL KGGLDMTLSQAAMSRVIDTASV L199_001384 MYGSESTSAPRPVFEMDKEAEDRFCRFVERMPPKMDGMVRLFDR GDYFSAHGSDAIYIANEVFKTTNVLKYLGTPKPSNSTSSSSNSFAKGLPSVTISTSLT KQFLREALTIKQMRIEIYSPEEKIPGRKDNTRWTLSKSGSPGNLIQLEDLLFNDQSLS SSSVIMSLKLQVKEQSKIIGIAYIDNSERLLGVGEFVENDSFGNTESLLIQLGVKECI MQSDDKKTDHDLSKLKTLVERCGVIVTERRAADYQARNVEQDLNRLLHESCAGVALPE FDTKIAMSSAAALLIYLNLLQDKTAHGQYRLHKHDLSQYMKLDASALKALNLMPQPNE TGGSKNMSLYGLLDRCKTSQGKRLLARWLKQPLVNLHEIVQRQNIVEAFVDDSNTRLS IQDKYLKVMPDFHRISKKFHRRVASLEEVVRVYQAVALLPKLINTLTGIETTNPAAKD LIEQIYLKPLQEHSSHLENYIQMVEDTIDLDELKNHNIMLRPEFDENLQQFRAQLLQI RDQLDEEHVRVGRDLGLDIEKKLHLENHQVYKYSFRVTKAEAGTLRGKKGYTELATQK SGTIFNTRHLKELSEAYFDLQGKYEKTQRHLVKEVVGIAASYTPILEILDNLIAAIDV TISFAHVSANAPIPYVKPILTEKGTGDVLLQNARHPCLEVQDDVTFIPNDHEMRKNSS EFIILTGPNMGGKSTYIRQIGVIALLAQIGCFVPADQAQLPIFDCILARVGAGDSQLK GVSTFMAEMLETATILKSATKDSLIIIDELGRGTSTYDGFGLAWAISEHIASQIRCFC LFATHFHELTTLDQSLPHVKNLHVEALVTDKTDRDGKQDRNITLLYQVKEGVCDQSFG IHVAELANFPESVVRVAKRKAEELEDFGGEDTNESLTKYTKEETDQGTSLVKDFLETW KSRTGQSENANGGDEETMGLSDDDLLAELKQTAEEFKEKFESNPWTCGVMSTF L199_001385 MSPSSTSAAGDIELAAISIQNPPVQPESTNPFATPSASQTFDFP VSSSSSSDPRSSTTQPASSHQSVNEPEEEREAINPTEALPPVDSGRQAWLFLIAATYI ELIIWGLPFSIGVLHVYWTNTLFKGQGESTITLAATLQTGLSYMTVAVSGPIFTTFPR YTKTLQLVGLMMASISMIASAFVTKPWHLIVTIGVFYPMASATYFPCATWIFEWFHAR RGFASGVMYSGTGLGGFVFPFLMQGLLGRFGYKTAMISLGLGYAITGNIALLAIKRRI PLSRYDQNSMTPRRRPRVDWSFIRRSALYLAISTIGLTSMGNFIPSLWLPSFVDEIGM TKPNGTVLIAILNAASVPGNALLGYLSDRLPLKWTVLISCLGSALSCAFLWGFGTNSG VLVSFAVTFGLLGLSFTTLWTKMVGAVSRDDPVVTGLTYSIFAFMRGVGNMSSGPISD QLLKVGVLRGATGAYGFHNYGILLIYTAVTILSGGVTGLMLKE L199_001386 MDHNLLVSELQALIVETKRRNPDVKDASEAALELLKGGAIPREK LQEHADVLLEPITKGCKTKIAKVIGISIAALQRLVALGGVPTSNLPDVLQTLSSVSNQ AVDIQLKILQTLLSILTYNQDVHDEVLGNALLLCFKLQESRVSVVSSTAAATLRQAVM LIFDRLSSPLPSSDTPPIPLTLPTDPVTELSIPPSAHDAYNIFSDLCLLTASAGGGGG IHLWGNSEKEVKPSLLKLHNLNKTFGLELIESILSGYEESVKKQPELLFLLQYSLDPL LLKLLSEKPSFPIALRICRLIFLLIKSFTDQLPEQVEVYLTTLIKMGMGEHESHERDI HHGWLRVLALEIIRGLCGDTTLLLNIYAHYDSVKTSTSSKLYNKIVTSLSRLINEKPV LLGIGTQMHGIGVPHTDPTSPGTNPHASYLDLGIGMVSSAATAGVNTMNAMMGGQGGG LSAASGVKLRLIEQHDKAEAPLVPETYIYLLALQSLNAIANGIYTSVASAATPIEPIK AMASSAWPALLAALSYAMGTNLSDQLFAEVLTALQDFAVGCGLLDLKTPRDAFLSILG KYAVPPPVVSAMQSYMENPNPPRNPSVISADALGFSALGVGGPSGPPSLSERNLACLR STVNTARVLGPSLGKAWHDVLEILQNANFLLAARKPSMGRRPTVPGGSPSNPMSPGTP RPSGEMSENKPDILQDLDPESIQLLINALFDSSRDLPDEAFTTFVTALCQLSSEMIGM NASNPMSSDIGDYSAPSTPNLALSPSQDPQRRRTSGINISHSIKSGERSFSLTKLRVV STLNLNRIITQEPEVGWSVVTQHLLAVARHLTAPATIRTQASDALGELLLAAVRIGKE DRIQHQVFDVLVKQVDVHPVSNTISTDYEVRSAGYQTLNQILESSGHSLSVGWSTIFG MLDSICQDKDKEILSFPFNNPQPPETPISPVSEFRRPSMMHSKGNANLVRIAFPSLQL ICTDFLSSLDKEAMKGCITCLGHFGQQIDDVNITLAAIGLLWTVSDAVQTQLQDDPNE LWLYLLTELLELSKDPRLEVRNSAMQTLFRCIELYGSNLSPNLWQNVFEQVIHPLMDS TEGDESSILALTSIGNIFGNFLTQINRLSTFQEILKKFLDRVKTAWEVEPRNCATAGL KALERVLIALKSSDGEVLGENRDLIVEDTWKTFLDIGTRFTESENSYSQDNLMNLVKV GSRLHDQFSLSSSSSRSPKSNELSNILRSIMTYTRSTDYRPDIDTLSPLQSSISTLIS NSQEFSISQRLNDLAEFASLAYFSAGEPSSATGTGTGVKLTYVGLTKWSMPKIVDILG ENQNSTKGKGEGKEIYEDGTIENVLGAYSIPIKLRYDCPPANKFGDDPPLWKTAMTTF VAVLDIVMATLDTEKISLQRFEAIWSQIMEIFSGMLLADSSEDISADDETFVIAHLTH LRTVILPRLGDPRIPDRIIVQLSDGLRKASVLYHYDVRVNGGTTAPGIPESQEELRYW ALDLLVSLSTKKEGDPQKQKRVAGLAVPALMKRFGESLNRWLNDKKLRGNLPFGRVRE DEILFVLRHLATIRVYEGSLMSDNQASETLSAIYETSSRSHLFHFYPLLLQFSFLPHT PSMWIFPSEHSQLFGLPPRESQEEAQPPPEEGEEGHEDEEQQVNAGDCGNLIEVSAGQ LARRCLELIGEEMGMTV L199_001387 MVSTRSNSSKLPQGVERDDNRIIVVVTGANSGFGLGICEQLLSN LSLPPSTSIPISTPQLTALPPSLRNNFDEVELDEPTKYIGEEPPTLTLILACRSEAKA LEARRILLEKHEQELKERQEIGGEEVRKGWKEGLRIVWEGVDLDNPGGGNGVLGFCER LKIKYPHITTLYLNAGMGAFSGLDWIAFFKQNILEGLPRAQSLPKYQYEIKGAMSSDG ERGLVWGTNVLAPYIMAQELIPLLRRSPPSLPFQPRIIYTSSCTSSFSKLSPEPLNDY QLINYEKSYSASKYMGDLIMAQLDKQYGSPSSNIEGVENQIRPVRAFTADPGCVATNF FMNGFGLILWWVKIKFFFYHWSFVLCRLLGSTKHPVYADQGALPMLYAALIPEKYLLP SDQNPAQRFTVISQRWGDTKVGYEEVDEWEKGDELGKGFMEACESHRREWRRREGLE L199_001388 MAGPNLEIFKFGFYMFFPIYVMFKFGDPEWYESYVQPYKEILWP PYESTYQPPRTHTGIKEELARMKAERLAKKTGRPVESITASEIEAEGGINMASAGSTP TPSTSSIVPQTQSIPTQTSASRWGWPKASGNDNERLV L199_001389 MSDPKTETEGGLQMNGDLIESNWNQVVDNFDNMDLKGDLLRGVY AYGFERPSAIQQRAIMPIITGRDCIAQAQSGTGKTATFSISILQRIDTTVKKTQALIL APTRELAQQIQKVVIALGDYLNVDCHACVGGTAVREDMAKLAEGPHVVVGTPGRVFDM INRGALKSDAVKMFCLDEADEMLSTGFKDSIYDIFQLLPAETQVVLLSATMPPEVLDV TKKFMRDPIRILVKKDELTLEGIRQFYIAVEKEEWKLDTLCDLYETVTITQAVIFCST RRKVDWLTQKLHEREFTVSAMHGDMDQAQREVIMKEFRSGSSRVLIATDLLARGIDVQ QVSLVINYDLPASKENYIHRIGRGGRFGRKGVAINFVTQDDVKMLREIETYYNTQVDE MPLNVADLI L199_001390 MPLNTSSNIQPNDPRAFKHPSIRKRWIQNLIDLLHFSLLKGDIE RAKRAWSILVRCREVNWKSRWYWGLLILSSSSSSTSNDGYESQSQGREVERWLNGLRV SAREEDKPSLLHALVLHLIKSGQYRHAYDQLETYLSSYPFLLSGPLHTYAGLLSFYLA QPPSLRLDGQSLPISSSFHDKQALRQHLSAEVELERSSRSSLSSSPPLRPIDHDHLPA DAAGLRTARGWFVKALEIDKTDQVSRQFIDLIDNPDKKGINDDDESDEEELHRIEDSD QSMEDPQSVSDTENEVEESDSEYGSIEEGLSERDILDKEEGSDQDD L199_001391 MTTIPTKNFCFSPISSIRCLGLSELQYIGIYIPSGVLILVVLVL LVGIWKIPRLTLILLTSEILLYMTMTTWDLTVHIIPPRLDTIRTEDTVLALISPLPLL FFHLTLTSYLLELRPSLPTTLQSRSSIILFGILFAPLIPISLVAGILPSFLSLNYFKS RSDEVFVRFAKPSDERLFDIFLYVGSISSMVYILIVILLSYLAKFHNPNDRKRNIVIY ILIGGMILANVEIGLSLLRSQTFIILLSRRTVLLVSRVCLTIGFLIWYRLKTISDLVE GKRKKKGRDTINTFGTPASTFGIGSAPNKSLVQRKTTSATKSLQRTKLQIGNPIEGTF QKLTIDGNGVDGLPSAKFGMGMIASQIAGIGNPMIVGVQRGKVEKVVVKRPTRRPPVL SFTPSTFTQSSLDALVSRSHPSDPESIQPNTAISRSTTSAKKNVGSIYRPDRISVDES DLALWPPPSMIESQNQIYNQHYDMDIKPGSNVAPSSNIRPPKMPRNNSIKRKAVPMPD NQPSRRISNYFSSLRSPQRPPGQSQPQPQPQLQRGVGKMSVQPEIQQLDGQTRVRSGT ATERGIWFSKSTTLSAVDRPSLVYRRSTDPSQTIYPSPTASSTLEGPSLTPSPPSGRE ASRENQHLEEDTSGVIQQSQLHHNQNQYSITSMYSNNQTIHTYSSSTSKDLFYNPPRR STSIESRLSPTKPSPSPPNDPAIPCQTTSSDVRGRQSELQTVLARLRKLRRETGLNSE LDVELQSEREGESSVYEIDLKTKPWMRDKGSIATGTKSLDSDYTFDEDDDAISENLTP VAEIRLVNTGRRNYGGVV L199_001392 MVKITFKTVQNKLFTVEAEGSETVGDLKKKIQETQTFPAENQKL IYSGKILNDTATVESLKIKEKDFLVVMVSKPKAAPAASSSTPAPAAPAPSTETPSAPA AAPAPTTESAPAPTTEAPAAAPAAEESSTAVESGLGSGFLTGSALQSATDSMVEMGFE RDLVVRALRASFNNPDRAVEYLMSGNIPQIEGMGQGGGQPQAAPAPAPPAPSAPAAAP AESTQPAQPAAPAQQPAQQPSGPAGSAENLFAAAEAAMNRDRGAPAGGAGGLGGVPGG PAGGAGAGAGGLANAPHLQQIRELVQQNPALIQPLLQQIAASNPQLAQLINENPQALY ELLGAGGEGDEEDEGFGGPQVMHVNLTQEEAAAVERLEALGFDRQMVLQAYMLCDKNE ELAANFLFDQGEDD L199_001393 MASSSSKIRAFELQSKSKQDLLTQLNELKTELASLRVQKIAGGS ASKLTKINTVRKSIARVLTVINHKQRDNLREFYKKSKYLPLDLRYKKTRAIRRRLTHK EANAITEKQHKKNIHFPQRKYALKA L199_001394 MSTALSKSSPNLQSTADDPSFEWHTISPVEDKFFSRAERAANVR DAEPSGSNVAWASPAFVHRVIPDTHSTDGNRKFVIRDEDCISTNGPSNCPSFDVCPGG PWEVSFSANSDRMTLTFNPHTGLGWERPIWKSIFKGCPDQEISQVVIERNGLANDGHS GSRSEPKITDADEAVVNGVIYYIWDSPNVGQASEDDGSHMTDEDEDSCSDVSENDQSE RDTQSGNIPSRFDTFRRWAAIGTLVSGLISTLT L199_001395 MSLTASTPSSDIVSMTENPPFDWLTVSPAEENFFSNDRRTKLRF HTAPSGSHVSTRHGSAYIYRGRTQGTASQGTITDGNELVPTHSNTQRLQTRGGMGLKQ YSIVDESFYKVRSAGVHRISEFVIKPDEGWEVSVSNTGDSMTFTPSSENGAFQLIESA CEPHPNRALVQLVFERSGWLGYGSNLEPQSQPMLDNFDAAESGCLYYIWRDLGNDDTR TGTFSPDRYYAQGALGR L199_001396 MSALSTRNTCTTQLHNTDDPSLDWDTITPAEETFFSNQPRQVAK WNSQPTGSNIKSALPSFVYRLKDDPGNSQPGRSGRICDAAEEDTMGIVSTSTQPSAIN KKYLIVDEHLLGVRQGQSIGGSFQFLIEKDNGWDVRISDNGDTMTCTFRPGDGFDEVY ESAAQAHDTRRLRQLILERSGLPGYGLSIPREPPNAEMIDGEQHITLYYNWEPVPGIS TAQRGAFDIERFFVKPVMEARLD L199_001397 MIAPIAVGAAALMAGVARAQVTATGTMGSTNPAQATLGTAVNST SMARLVSLNAIDDFCLFAPPEPNSVIGETEAEEVAWCVQARNNARQIPDGTFTAVHFV KTPLYWQIQGLGDLTKLNIQSGDEGGELDPHGATGLGNPVGGNVTTNATGSDVSYEEW MNYMSYDQFCLRICISENSTYSAAEMCQHTLDEMGCNWVMPGDYTDNSFTECDGDSAY PPGLYPQSNGSTSTFQQRYTGTYTDASGSVGMWTQGQTVTPSTAYSTPATSNCVTYST IGNGVAAVATASSNSSSAASGSSSGSAASASATASGSNKSSGSAAAATGSKTGSNTAA SASASGSSSGALPVVGNMGPVAGFSVLALVAGALLI L199_001398 MFKRKSNSHTVSPLEPPQLSPASTDVSSFRFQQQQQQHQHPQDS WGRGQPQSGLSPPLQVERTTTRGSSGTSGTGKEKRRSGFFGLGGKKDKEKEKEKEKDK EDNRPRHSFSVDRNSTQAHGPNGNAIRPQEGNIPYNRDNRMSQQPPLPGQIGSPPPPQ QPYSPSPPIPPHQQQQQQQQQRAVSGPGQFRPNDDFLPPQGSGTVPKSQSMGFARPQS QSYAPPRQQSFPNAAPNTGADPNQRMPRSSSMPIDQHTSPAPPAKINSPRNSSLNGPP PPGAANDPNPSFGGVLKRFDKIVELIAHQPQKTYVTSPPELEMILARTSAGGQPKQGQ PGTAANDWDAVWLQLSGISLSMWSMKETRLAASKGEKVPPTYFNVTDSSLELLAPLPP PPHRPNSHPHHHVFSLNTAGSNRLLFSCPSERDLAKWAIGLRLAAWERSRLEEIYTGH LIQSGGREPKSDLVKGRMEGWVRVRVMGGTDWKRLYVVLSVPGSDEASKDDEKKGRRR SFFGMGDKEKDQPVQEPNTGVAMATFYNEPRTAKNKTSVTPVLTITNVTQCYSVFPER LEVMSSSNLCKVVGRVSGDMVTIEGRLRDSGWALLMPEGPGEGPSGEKSHHHGPNGTT PLSNMMQWVTGFHDVFGLYGRPERYNWDSRNPKSLFFAYPQGEDRTNLFLSIDEAMMS DFRIPQLPSVRATFANLVQRKIEGQLRVANETVDQPEVEVEEEEKPTPRPEGDYRLPP LTFGDNPPGSGQAPDTQVRPLTPITERTDIATRENSMRTMKSQFTAAGVAAPSAGVAQ SGDRKASGGSSKQGSQGSTRQTASSVGGDLAPIADASNEGFGQLTEEPEKTEVVNAGS RSPAPRTATTETAPTVWSQDTGTTPTPQSANQSSSTHHHFQHSQPLNAIDSTPSLQHS QASDETTKNVPSSLKPASPPPALAQPIAEPHVLSPTPRRVSTDGKVDVNGGIHEEPAA LYLMNMVEDQPTTTPLNVPKHGGHGKSPSPERTRPTINTDLDPVTKQPRPEVGRKPSG ARAVPPRKNSGSRVLDPVNDEGPAVDEAPAGAEGIPQDGGHGRFPTSSTQADLGEDIS TFMNYAEAPASPVKPKAPAPAPPTLTKPKEEYRSSFAPSKAAAERRAKAEQAEVDQQN ARNLPGGGRRRAAAKSESSESESDDEEEEDVSPIEKRHPHGLPIPPISPQAQRTRQSD PPVQRSTSRALPPVPRAPEVRGSNGEDLPHERESYYPSQSQQQSSDLPRPRSRSPMGG ASSVYSSLPAPIPAGIRNVSQSHPQPPPPATRQTVWNANFSADHGMPQENRSGKFVEL EEPSVQLTKAFAPHGLLQAGMQDKEDRSAKKQEEVARETGSSLINVPSKPPPPQMGLL GAVAAHEKERKNAGGIGATLTDREREKRLAEDRQREIEKLQRQQMEHMRQFGGGDMYG QQQYPYGMQSPMGMNMGMGMGMMGMPQMGYPSYQGGFNPYAQQQAMMAAQMAYQQAML MSAAGSQAGDHQEYPQPHSGSATPGGMPNNNRASSPAGSTRSNNFSTPPPQMPQFYTG QQSFYGSPMMGGMNMGSPMMQPWMMQPPQMWGGSPSPGPGLGSGAPSPMNLNQNQNQN RFDWLSPNQPGPGSQSGASDGGAGADRRSRLSSNNTADERYHNAS L199_001399 MDPSLLGDLPDGFDGFNFNHTSALDTLLHLCGEANQYSVSPTSQ AGAAFLSGSDFSLNQDSSSDDITLLAVDHDFLAYLDNRFNWPDDSPNVAADTILPTLR VTNASPSSTCTMTEPSGKHGSSSIRSPSPSQCDGGVDSSDSSKLARVKHSPSSSFGSN MRLKRNMDRVKELEQSQPTRFKSKEGAKEIRKARSRVNAQNARKRKKERLEELEKQVA ELTARCENYKNDPSVQDETRSLRTENDTLKLQLTQMSNNALHLHSELSFANERNETLE SLLVSLSHPGGENSSYMLCQESLKSERRLEMSRYILGRLNTPTIRDLHDKSGRIYPEL EEYVRERTREDLSRNVLVRVREQQRSKKEE L199_001400 MNTQDSEEDDYYSRFNQTTPVTATLGIPHLGHSASPYEDLTPIP NIVQSGLDTNAIFSTTSYIPEEEPLGWSLHDGLIQGTPELSGTGSWGPLHHHYSLQSN DISRFLSAPAVDSSIQAPPQTLSLPLAKNRSLTASPGSDVSKSSSTQAAKGFRSLSKQ STTSSSGVDRQAENRRELETLLQKIVKSQDDKDKIKRLQNRITTPAHTKKRKGSVAGL QRQVNTLIERSSSLRTENDALNVRCKRLESQLKGSEEHKSQLNYELYVSKGRIRNLQR RLAELGDNSEQSLSHESLTDFPTDPSIYPTFHPQYPGTWGVGRKWTYGIR L199_001401 MAESRFEMTNHAQQLDEITERYPSDSEGEFSDEEAFESIKRQGL PSEETLKNMSTQLFSKGDLSRLLDTTGVRSKRDKRFIVGFYRAEELIPETETSETSVK GGPRPGMHTRTTSSQSNLSMTSQEDLDNTTAQLDAYTRQRQESLGKCPRLKAVDDRLD KMRNDCEKSAGISEGLDRVLARVIKLKRSSGQK L199_001402 MSRSPSPASSLDFFESDPSSEEEYVPVRRARKVGPAAGGSKKVL GGAKAGGPKIKINLSALQRAQEVAVAHPADGPIEEEDYGVGDEDDEGYFDGMIGKRGI DLSNQALKSDHALRPLWVDDKGNIIVEAFAPFARQAQDFLVAIAEPVSRPSLIHEYRI TKPSLHSAMSIGLETKVIIEVLSRLSKTPLSTRLTARIEEWTASFGKVRLVLKDNRYF LETSVPEFLQTLMTDEVIRDCRVTREIESGPTVFGAEQTARPRRDYAIPGTEEARRAE RGEIQADDIGQQGRDNDALLGAVIGIGEADEMDDEDDAVHSFEVAGERMEDVRRRCKD IDLPALEEYDFRNDSVNPNLDIQLKPMTVIRPYQEMSLAKMFGNGRARSGVIVLPCGA GKTLVGITAACTIKKSALVLCTSAVSVAQWKQQFLHFSNISERQICAFTQGEKEMFTT PAGIVISTYSMIAKTGKRAHDAEKMMQFLRSREWGFLLLDEVHVAPADMFRKCINNFK VHAKLGLTATLVREDDRIGDLGYLIGPKLYEANWMDLAKNGHIATVQCAEVWCPMTPE FYREYLRNPSRKRILLHAMNPNKIQAAQFLINYHESRGDKVIVFSDNVFALEAYAKKL GKSFIHGGTPEGERLRILSRFQHDPQLNTIFLSKVGDTSIDLPEATCLIQISSHFGSR RQEAQRLGRILRAKRRNDEGFNAFFYSLVSKDTQEMYYSSKRQGFLIDQGYAFKVITE LHGLETMPNLVFPTKAQQISLLEEVLNTGDAAAETADHYMRLNNGKHMKRPAGNQPSS LSNQSSMPAVQRFTAPLEHLSGGQNLSYREQNKSVNKELSREDRQNKRDRVGGKEQHA LFKKRKQEMDAIKKQRANGI L199_001403 MSAPPPRAAPKANRRSRKRRRRQASESSSSSSSDDSSSSDDEVV ALEPAVQKIQIDGASSSASSSSGSSSSSSSSSDSSEDSESESESDSEIDQPKAGPSTS TAKQPKIRTRYPTNSPSPPPSDIPSFLTSKMKGKRTNTEGEEERRQKFRTVYMDKLVE GFGGDLEKMREADPTMGPKRLQLLIDSLAAGIDIYSDPQKQYGDKKDDVDEVGLLIGR L199_001404 MPLLRSSTRPVPVQCFFCLSPLLLPPHPQSSNRSPKGKNRISEV GTKWNWQCDRCGCWNIKDETGQMISDLPAMHDSAYNERSFSLRATPSSSHLPSSSSSS STSLFCHSCLANQTLIMNMLANYLPDDDDPSYPMLYADLPNYLSKLHLRYPPVCRNCQ PAVDDALRKSDHRAQVQAWSSALDRGARSPRRNDPAGGGEIGRVSKGDILIWRIRGGL WWISLGLSLGQGILASDFLARLTTILNIPPPTIPYLPFALLLFNVLSIIWIAWDPYWL KRVRDRDKTKIEGRGIWVRNMMIIMFLRISGSAILCLITDQETSPPFFLKLLQATFAL EIALLLHSLMSIKISQPVSIKLVRPVSVTLDTIPNHPPSTQNGHDLSSLSLSSSQPQP QVSYTSIGVNPIFGQLSLHKPLSETLMDGEPMDWEPTRQQSNRYPLFAPQSEYDEGEV FQRKENWDTFGTNKQRMFHQNDETGLENLLAGWGLGGPSTIHANMQPNGMAQNAAPKQ KKRRSNDRWLVLDVIGSSMLLFRGSGIVTMMMMNILKIHIEIDMEAFDWINYWTLAME LCLIILSKSLVYSYQYLRQPNDQNRGVGVDKDSIIKISWLLLDMTLRTTLLAFNAGSE EWIGTTMIGDGRWRIIVEWLLWGIMDGVTMMIM L199_001405 MSSSPTLSEMEFPSSPQPSTTITTSCNKPSANSNSKKEKEKNLL NKLCRFLPPKKDEAFIGPILPTVEDTITEIRQQCPSLSHVPSESISLKIRFDGKNSQA CENVLFKIPSGIKSCWPNAISDKLHLIFIDVASVTNATESTPPVISTDQAIQTDPKVR AERFTQAITYSGAIPTSTPHSEKEREGEKEKELTWDDLKEGGTLLGVQGWTDRPKAKF L199_001406 MAPSTSVPTAEQIAVPETLLKKRRTNEATREAKLAAAAEARKAQ QAKRKVIFKRAGEYVHEYVQQEKEEIRLKREARKTGDFYVGAQPKVYFVVRIKGISKI APKPKKILQLLRLLQINNGVFIRVTKATTQMLNLVNPYITYGEVNLKAIRELVYKRGY AKVDGQRIPITDNSIIEKQLGKYGIICIEDLVHEIATCGPNFKQATSSLWPFKLSNPN GGWRPRKFTTYIEGGDTGNREQAMSKLVHQMV L199_001407 MSSELAATYAALILADEGIEITGDKIVSLATAAKVEVEPIWASL LAKALDGKDVKELLTNVGGGGAPAAAAPGAAAAAGGAADEAAPAEEKKEEAKEESDDD MGFGLFD L199_001408 MSLHVLPHPPTTSLTPKPKSKPTADTASSTTSSPDLSSYESSEN GVEELSEPIISAEKLRQIEKMESNPDFLWMTTEEPHRSRRMAILKAHPEVRKLMGPTL TTPPIVFLVLTIQFTAAYLLRSHHPFSIPFILTAYIVGGTANQNTFLSIHEITHNLAF KSIKANKILAIISNLAIGIPYAMAFKGYHIEHHKFLGEDGIDTDLPSKFEAMILDNVA GKTFFATFQILFYALRPGFIRSQKPTIWHGINVLAILAFDVLLVKSWGWNSLIYLIMS SFFAGSLHPCAAHFIAEHYLMNGPLPTKEELVKDGQGDNEDWLIQGLAQETTSYYGWL NVLCYNVGYHNEHHDFPSVPWTKLPELHRIAKEFYDPLPSHESWPYVTWKFITDPSIG MWSRAKRLKKGDKIDHSFWTPSTGTGSKIQSNKETIDVLAQDEDEEEIAYMSDSDKPK DRNKKE L199_001409 MSQIPQFLVDNLFTSSATTSRPHITLTWAQSLDSKIAGPGGQRV ILSGPESMLMTHWMRSMHDAILIGVNTLILDNPRLQANLLPPSLNLPPPQPLILDPKL RFPITSRILNEWNTKPDQRGKTIRQPWIICGENVPTERVREVEGAGARVVLVKLDDRG HIPPSSLPSILTSLGLRSVMIEGGSRILSTFLHRPKRDDGSKLVDSVVVTVAPMFIGE GVGVVPEGEDVGLPALKTIHTEKMGKDAVMICEVE L199_001410 MSITYKAVWNTSDHPDRPRSSLQYITVGTFGRIYVYPDASDDVV YKMVKEREHGSKLQEEFRIYDDIHHSLPPIQVGFRVPKPIEYYKPSYLNEPSILLDRS SSPIQPGVTQISNLPDLLNQNRNQNGEDMQIRLLRLYLGREDIPPRTEQIQPGIKTDP PLDIARYNRLSSTLHKRCGWGLPDIKDVSSSMGRLLASLHWLGGINCRDIELVLGGGG NDGREEEVTFWVLDFNQCQRWLVPHLIQSSTSSASNQTSPTTGIYSSNTLLEASKRLS TLIYTQELYYPRPHQGKLYEQFKHGYSCAVELILNQQYKGIRLESKDEIREATKGFFE EYEKLDREKMARRSRMGKQIV L199_001411 MSTTTEIPAASCLPEQLQPQPDSTPSTSDVRPTSSVFARNEETP IHNVPMRVIRRPLPSELDEAKVQTFMDEMKAGDTFTPIEIIKVKSPLKTNPTGPPETF YFAMGGCHRYEATKRLGLETIRAKIIEVPASQMRIYLGAGSPF L199_001412 MSAGVYTTPRERMFIAKMELLEAEQEERAKYKLDKRESRRRVKN SKAEEPVASSSRSVSGTLPSSILRKSATLPPPSSYQPPAPSSPRFTQKPIVPSSSAPC LPLPPAPIISQNTDFGPPIQLPSPATASTNGVRRRSSRVRFSDQQLQPTPNLNQTLFV SRSTSLKGLRRESSIDGLRQDLMQASSSLNGHRRPSMNSPGRSNSLGGKRSSMTSNRS SINSDPFNWTTSSFGSRSGSDVDITSEIDIGSRKQSLANTFEVDLNLDQLEDEFGLEM RDELGFKPQMLPYPKISKRLSRLSLESTNSSVWSSQDHVTNLSTIKNQDIKPIYSDSV DSPSVNSTLKETLERSSLDSIGSQNVNIDGQLRQSSQFSLEARIISSGAVSRKSSYAP SSSMVSMVENGNTSTPSSLRSSASENEQSSGIKTSISFQLPSTANALAYSSLLPTRRK LSGAIDGHIPRINRGGWEDPELGLSPRSGPIRVSGSLSTVSRRCTKLAEEVLPQPEVE QEQVDLAPELGAKMEDEHKQEVISETSHQEEMDFTEYQNGQSDATITPTKGHTRSEST LSAIMAFPIPPDRIIENVTVQVDTIVEQEIVSQVNQLAGEKVIEIALNSEITVPAAIE PSELQSGVDEQLVISLNSPFVEIPSTDIATLPSVLQIDFPHVDAELTRSTVTPRLETC PSSPELEDILRTVTRPRRARRSVRADDLNDTETEESDLDIASPLVKVALSSHILSPKS RLLSASSSSSPISRPGSIRSVSANSISTLSSLRSGLGLTSGRGWSGSESEEEDWISTV RHIRERKSLTPQKQNRRKSEGLTIQVEHDIVTPTQIKRLSTCSTTSTNSNNRNKSTNR YSQLSEISTITTTTTTSTATTESHLPITPLSLEMLTPSTVGLLTRTNSNASSTSSSQN NKIGNFPWGLSSPPDSPIRGLFVNNLSPTKEFFTSFRDTSRGKKGKRQMPMPTREDML EDWGEPEILIDQLEGLPESDIEERSLRGSISTLSLKSDISVLAERYGGIESRRSTIKL SSYQKEEKRSQSPDMWMNVEGEKSLMDEEMPEI L199_001413 MSMLPPPFPPTKRQSPTNTSTPSTGTAPSSAPVQHDDAQLKEVF KEFEDYKFTEDPTFNAGLPTVFDAIKGKKMSPGLIDKTIAEAQWFYFTTRIKHISIPF STYTSYVHRPSQALPKTSSPPSETQNETQARPPGTSRMDHLTEAMRMMETKGTEGQTG LTFDKLCELIKEGKAGELQGKDIPDELNPLPPSTSTLPSRPKPWQMPVSSNPSSTNFQ QPAQSPTNTYHDPSYDVNNSIFGSEPYYGATSGFPMLSQPTEINAGNTPKSAVDASFM HLQGSTIGLSPQVGSSLSQGIESHFPSAAGNNQNIGSDSDQIQNQVNAQYLSQLSQSN LPEHVGGTPGFEYINWAAHDDYTPLPNRQHFPTTNGNNMKMDINAGSVGYDYNPIDED ENMDERDAKIEPELDPNNAG L199_001414 MSEHLKRVFAEKKEQDQPAFVTFLTAGFPTRDATVPLMMALEAG GADIIELGVPFSDPIADGPTIQRANTIAIENNVHYSDCLEYVRQARKLGLKVPVIFMG YYNPLIAYGEELAVKDAREAGANGYIIVDLPPEEAIKFRNICQEHSMSYVPLIAPSTS IDRVKFLTSIADSFIYVVSKMGVTGSSAGVAISASLPELVARIRAFTPVPLAVGFGVD NRTHFEFVTSAGSDAVVVGSKIIKLVLDNPDIEKASKEVEYFCREITLHGQNPPPLGR KNGIAQNGNANEEGETKVEPVLPIPEGEVAKPELQVDQPGKLPSRFGLFGGAYVPESL VDCLSELEAAHAEAIKDPKFWKEFEDMFGYINRPSQLYYAERLTEEMGGAKIWLKRED LNHTGSHKINNAVGQILLAKRLGKTRIIAETGAGQHGVATATVCAKFGLQCDIYMGAE DVRRQELNVFRIKMLGGNVIPVTAGSQTLKDAVNEAMREWVTRLEDTHYLIGSAIGPH PFPTIVRDFQRVIGREIKSQMQEQAGKLPDAVVACVGGGSNAIGTFYDFIGDESVRLV GVEAGGHGVDTDLHSATLTKGVIGVVHGASSYIIQSKEGQLVPTHSISAGLDYNSVGP EHSHLKYTGRAEYIVADDTQALKAFKMVTQLEGIIPALESSHGLWGGMQLAKTLPKDK DVVICLSGNGAKDVAEVLLTLKDKKWADKLDWHVAQ L199_001415 MSTAIQSQPPPTSTSSSSSSSHSQTPITLAEPISSIPTSQSTLP PSYSSIPHPPRPNSIINRQEEKQALRDARHLVEEEVEYNRGYLSPGRIGPMSQNPSKS SGSNSNSGSPGVGAGPANPSSGVGVGMGSGLDESEQRIDGDGDDNGDLEIGHRGYLPP GLDVRDALAKCEDPTLGWSLQFWVTIADPSTQHVFFACPASGQCSWDPPVGAFVVPRS PDGEWWELADATRGNRSYYYNTLTGKTQWTRPGGNAFVIPLGLIQRAALPNRPTAQSP SSSSNPTSSRIVPTTPSRSNRQNRLSNLFSPNPIQSPSTPGTNKAYPRPPYSPLNSIP RPIHSPSKSNRSSSLTPSSSYGNGNSTSHSQTLEAIVLGHFATPSSYTANGNGQENNL MGDGLNTNTNIISHNASDSLSSNPISYTDGSQLSVVEEGSGNETDMSDFTTPGSAGGG WWEKRKSQVLTVKTGFKSPGRRFKGLSLGLGEGSPSPSDGITSSLARGSPLKKSRTTI TGPIFESNESNSDTQGNETDTPTELTVMNGVNGTSGSTTPGLPRMPAEPIYVEQVGSV KTKRLSTGLHPLLPSEISSEILAFQTDDFARKYFATKRSGIMRQKVPVERILNWQKNP ITSPLLVLSKHLAKDAIVTFKVIQHVMGERDKPVDNAKPLLSSSSHLNLASLALNGRK DDKGHPDRNGRLANGFAPHNEPEPSGTEGMGGGAGIKNDKMQVLEEIRWMIQLSVASS EMRDEVYCQLIKQLTKNPNHDAVVLGFQLFCVLVNAFGPSKNFEPFVKNFLRINAGEK ADGIGIMSKYCIGKLEVLAAKGGRGKALTVGEIEHASDAAFYPSVYGESLDRIMDLQK RAYPTLKVPVILPFLADGILALGGLQAEGIFRVPGDGDSVNELKSRMDRGHYQLKGID DPHVASSLFKLWLRELEDPIIPSSLYNDALSASKTSEESIDFLKRLPIYNRRVLIFVI SFIQLFMQDDVVRVTKMTPGNLALVMAPNILRTTSNSLITVFTNSSFESKFILQLLEN LDTARVDEDYVPSHGQPIGRI L199_001416 MNLNINSYDRPPTPSIPYLTHLPPSSEPTRPFPLYQTPPPPEYI PLTKETLSQLPSEESLNRQLKDRLTKKAIEGLPELTEEDLKDFYADLVQTGIDSREDD VLAIESPGGQLKLPLDIREREDILNELENRLIGSGDIEQQGGLMNIDEELRREPKHYK IFAALAQLGVPQDGPSGTSKSPAGAIQTQQGVDIPLGLVSKREWNVLFDEFIQRRDAR GAEALLDVMTLHGVPVDETKIEEIIKVDAAAGRVDDVGRLTAEMANSGLAISDSHKDL FILSLLRHTPSQPQNAISQLTSAEQAGQPYPQSSYQVVLQHLTQPSPLFQPNAHTRAL AWDLFANMRLSAHPTPSRELYTTMIRTCGESAQPEPERARDLWIEMTENEKIQPSREE YSAIIRALGFTKKDYLEAFDLLRQMLAKHHDAIYTPFSSEQDGLPRFSQYVPTLETFT GLLEGTKRAGDLNRARWILTETVKLARTGKMLNSKEWRDGIDADLLSGIFMTYASWKP LVRRGAVKVKDNDEVPQEFGEDGQIKSEIEAKQLEEKNVTDEEWLDVDVMEELVESSS SKSEVIQDPSNSHSNLPLTPLSSADALREATALFQRILHDVASPPNPDTYLPFRDVVL GPRLINSYISVHMIHSPSLAATKKAYEEAWSAVSEITKGSFKPNGWSYLQILEKCSHG TRAGMTDSDRPVAFEWGQAIWDEYLSWSTKALKGLETINEPSKKDRKRWLMGLGDRQV ERTWKSAIRLFALYGNTPSKSLNTLKEFFVRYPPEDILKTYRPLPEVNGLKIKLITPN STPEANVPPYLLFNDVKVLHEKLIKNDDIKGLGKLTFYMKSYEMMLLKRRKWRFRGVG QVKERNRGKYAERERKRLEKAAKVEMIEGDVDEVD L199_001417 MPSPPISPTPRRWRSSPDQPHPDFAALLSTFEQPSSSSSAPHSP NSVPEWATPRGVLSDDNDNVEAEVEYLTATAGDDSTATINPDIDIEIRTTSPSPQRPT RSTRQQQSDLGSPLLPPNRGQLFGEDFERNELSLGPRGIFSNEARPAQSFESSYPIPT QATGQSTANIDAAYDGVLSQLDALANPIPVRLPFAPSSSSSSSTNPYLPPSTFHPMPI RQPLVGLGINMNPRPPASPGLGNYQHGNLSRLSTVTERTEKSSSPASSHGRSNSHSQT LSPTFPGAYREGPSKAVSASASTIAGKYPLPPSPTKVPSSAVKGPRSAFSTGTGTAPG SAAGTPQGTPKKASDLIKMFESKGDSAAPPPQPRFIPTSPSKSTPSKPSAAPTSRIAG LPNEGGNSVFESGDYVPPSSFRGLPATSSAPTPPPKPPSPLSQVRGMIASWRAKSGTI SQRPSGSSGLGASPSKDGASGLFRSGTGDKGWNVSIRRRRRNERELAEQAEDSHEKEK SAEQREREQGEEEKKSEKSSGIHEERKVEEAPSRSGSLRSDKKSSEPKQLTGDPIKTG ALYYLNVHDQDSRPNFRWVQADGRLYNEGLELNWVSDKGRATVTLDLEFCDEVASTYS PNNPMAGDDIGAAAARRQGPLADSLYPFKLVYDDGVERLACDSARDRVRWVNAIWTVL ERTRAAPSASLRANRSSSDHGSEAGGSASTHFTPAEGTQPLPSSPLPSSTGSHPLYTT DDAVIETSGGLHAPIVQRGSRKLAAGGLERTRSMRRVASEADIKEVSAPPLPEKDVRF SPRTTTGAGIQTVPLTAENAERPLSRDFTFAHGIKPPTLFNTPSEGPSSLQTPATGFQ SLGGTSPQYNTATPGTVSQPLSSPSKYTSARSEIAPTPTTVNQPLSVYTAAMGTPASS SQPWSAHTVPPPAPSTVGDYQSAKDFSSGQSTYTAPQGPPSTIGDYHPAKDFRSGQSI YAATPATITRPLSEQAWTAQPGSFTPAGTTRDIPSSASVYTGQPVSATPAATTRDIPS SPSLFTAQPGTQTPLTTAEPWTTASLSTARPLPSEQATAEAFSPTASSYTAKPGSQAQ TPATTVRGMSTPSSHTAVPGPNTPTPMGTAQHFSSISSQTAAAPPSLSTPMGTAQQFS TELSGYTGPTPASTAQPWTSATSAHTPRGPSTSGTVPNTPAGVPQVAITGASPSAPPT DEGRSPYGTAQQTITTGLTAPTHPFGSARTGTEGYTTPSGLLGSPFHTPPLRDRSSSR MSRSSGASFATAPPPVPSRDSHYSTASPGNTTTKASSTASSPTRYSLHDAPVPTYAES VRTPSVYPTATQGDSYFTAQAPGRSATEYGTANEADIQRSEYHERPPTTRYATATTDP RYTSSMGTAPTTPSRSPRSSEWETAPPPPISRTASSDARSSSDRLTDGLTSRRGSRAW TQVSHPDSDDELLADLERRSSNGSSVSRRTKSKYTTATTVPTPSRTAYTARTFDQTSR TPLGTARENTLYTGARETVYSTATEWQTLPSNYTTVPATQSYYTAKTSQTAPIRAEAP PPSSFKPSEPESVSTASTIPSRRIPRVPPPALSLPPALPAPQPTAPPSPVFVAPQTRQ LPPPSPSFPSSVPATSRSPTTDVSSTAMTATTRDLATGSDVNRLLNFLQGQEQAKQGQ NTRVGNQLDRIERKVNQIAENQTALADRDGPPPVPSKDPDDSPPSSPSSITSTTSSTS TARPVTPPPLIIPEVINQQFDDLRNLLGTLIGRQEDLLGRQDEMAQEMNRRRSFDIEL PDRGPGMARLEDLLKRVLRRVGDSEFADELPLPQKEKKMYPSSYPATPKTEGTREGSM YEGGDSVYGSEFGARGRNAPANSIASSFDRRRRRPMSEVSSNLMEGEIPEPEFDEEFA LSGLPPDTPPEEFVSRQPQFPRHLVGQRPRQGPVPVQQPVQPQYVPQPAPIRERPEEE EYYEEEPEQQYEPEPEPEPEYEPTPEPQPEAITEYEPTPPPAPREMTPQQTPLQPPVP YRTDDDYQDHNGQYPDEGIQRGPYRPGPPPQPVDLPTPVNSPRNMPPYQPTQRGMRPG FAPAPGMPAPPIPGPGMTDMPRPSLPRIAGVRDPISTTYFRRGFPPGPMGPMGPMGMF PGPMGIPGPGMGPFMPGLRPGMPGFGGPIGPNVNPSLRRNGFFPPGVNSTTGDYGLPA AARYGNAGLPPSGPTSMGVPRPPSGNITTVDTGLGNTTTESTVTTPSVTSVTTEEIVT PAAPTIVLQEPIHMPTEHAMTADLPPIPLSASQVGTDDSFRRALGNTQTLAAAQGEQQ NEMSRYLHGMSDQIADGTMATQNQLAEILGDIAALREQIKPKHVHARVLPDGTVMLDN GDIVDGIRGAPAPVTPGAPPPRPPPVSAPHVEGRILPDGTVMVGGKIVDGIKGAPSVA APGTPMMELDEKVEETVKDMEQDRRLAELQEKIEELMSKTAPPPPVSVPARAPAERIF EEEEIISMRADSGTEAPELTPMPIPTTLGDPTPLPTPAPALTTVGTPAPTVAPTAIGP NTDIRREKQVIKEREVIREGPVGGRHKEVTIVDELEKDMVTTVPPGSLPPGSVPLVTS TLPAGSGPPGTVLAEEENVAVTAVPTAPGSGGAAASGTSPPPPPPTVPLSISVPTAVP TAAGPGTIPPSIRLNPRTGKPLTLPPPLSTHSMSPIQTESGLAQPTLPHLIREEHEEI ISRPADGGLPTHTHTTTRTYTQVPAGSGPAGSGLPPATVMDDAPISAVPPTVGPSASL HPSAGKAATVVPTAAPPPATVIPSASGVPSMPIIAPGTHKSDHQSVVEQAPPNIPTTK TSHETQTVAPGPVPIDSRNESASHTVHPGVSVDDPSAVVPPPTAPSAPAPPPQTASNV PSAPKPVWDTNHPKSPKPSKATSLANVPTEKPASVVGGPPPATTAANVPSVVEAPAPA VSDTEMVAPPPASAAPADAAAVPGPPASTGGKGGVHWDPKIPSKEVATTPPKPATVAD TTGAPANIPSAPPATDFSNVPVGPDPVTASHPILSGAEVPMTVPAATETKVQETTHKP ASSGSGILKKPKSNESLKTTATGVPLVPADTKAHSDHTTVGKPVPTQGSGKSTRVSDT AHVDHPPTLEDLQMEGRDLTAHPPGTTVHVPTHPVASSGSNKLKKKPPTFAEGSVADP ANVVSNIPVGPPSRSGTPAPSMNAPTPAPMTMEEVVLPDGRTAYIPSRPASQAASAPK EAAPPTALGSAPPPPGDRPSTGKKEKSSTNAADKEKASTARKDTTHTGIPAESEVGRG HCSVCCPHGPRAVGGVPIEPCEHQDGILGQAAKQHTAPTGPRSNKSAKITKPTSPGSL GLPTETEAVGGPGDEVQMGDVVGSGDKPKSGPPSKLSKGQKSGSPEDAMEDARKLAAK QKAAAEQAALEAAERDAKLKEKEAKAKLAEERHRQNVEALANLQKALDLLATDSKATK TADDEKAKAQEKRRTDKTARDKKITEALDKLVLDREEAKKKEVANEKKPGTQAILDAL AKKGESESAFLRKLALEIMEQNSNQHTQTQQAAKAAAREQIGFNLAGYLDDFSKALSG EVRVLLKEVGDLRESRRALYMELAELLLMKGRQSAGDLMAVIPYPAGAPKNPANQPKK EEKKDGGGNQNQQGQGKGKAPAGVPAWAAWHPMMPPVMGRPLPQPGGPPPPMTMNMSG GMVPPPPPSGKPLPQP L199_001418 MISRSLDDHMPSSSTSPHPLQRNLAPHLHPFQKPREYARALTAV KMDRMFAKPFVDALGGHQDGVYCLGKDSRRVGVVAGGGGDGEVIVHSLTLRRPLLKIP HAHKGMVGGICWTSESHDGQRGMITAGKLDGTIKIWRSEAFAPGIRDKESFQGSEFAS GSGSAGHQQHLDQAGAIGENGLDIDVDFDEEEEGGGLNLDFAKRDKLGESLEPKMVYT NKNGLNGIDHHRTDSVFATASNTVQIWDENRSAALSNLQFGSSLETVNKVKFNQSETS VLASVGNDRTMCLYDIRTGKAERRIVMQFVSNDISWCPTLPTTILLASEDHNLYTFDI RNLNSPSQIYKGHVGGVMGCDWSPTGEEFVSGSYDRTVRLWSRDSGKSRDVYHTKRMQ RVFDVTYTPTADFVLSASDDGNVRIWKSNASKKLGVVSSKERQAIEHRQKLVERYSAE KGVRSIKDRRHVPQSIHNATKLKRDMMESRKVKEDRRREHSRKGREKPKAERKKAVIV EQK L199_001419 MSGETQPQHHWQGVLSSALDAVGHTPLIKLQRIAEEERLKCNLL GKCEFFSAGGSVKDRIAKRMVEHAEKQGVLIPGQSVVIEPTRIGLALACAIKGYQCII TLPAKMSLEKEVMLRALGAEIVRTPTEAAWDSPESHIGVARKLQKSIPGGVILDQYSN PHNPLAHYYGTYEEIMHSLKTSDLPRRDISLLVAGAGTGGTITGLGRAIRDYEASLPQ TNGNSSSHGRTTIIAVDPEGSILGGGEPGNYQVEGIGYDFFPEVLDPNPPVVDKWLKT NDEEAFDATKRLIRKEGLFVGGSSGSALSGTLRYLHSEEGKHIAEDPNANVVVILPDG VRNYMSKSWFLETSKLPTGENMDEKEDIKSTIRAILGRDLNDVKSVVENKRENGEKLE NGD L199_001420 MSTMHVPRRKAQSGKHKRQKLLDKRALKRGDLSVEDHAAGLHKL NDKYGRVRTLASKSGGVDPNSSSVRLKSKFYGLSAEYLTKTRNLAFEFELERPLSAES AVFPLEILTERDKEGKLSCPSRPKFRVGQSKKEVERNEEGMFKKWLKVTNEIMQEYID EQDEEEEGYPRGPTWYETNLEVWRQLWRVTESSNILLLLLDSRCPLLHCPPSLRNYLQ NLKPQKEIILILTKSDLVDPTALEGWKDWVRGWWGQEGVQVVSVRSYDVELLDNGKGR HRPDIPQDSLEELIIALKTAHERMLEAPTWAKENPEKLKDWKPSVRPTVDWSSLAQEE YPYDIAATHSTKSIILKDDKPKPDQAQESGNDSIDTRSRDQAEEPLTIGLIGQPNVGK SSLLNALLGEQKVKASRTPGKTKHFQTMFWGSKKEIRFVDCPGLVCPSLVGLEIQALA ATIPISQIASLPACITFCAQRLPLETIFKIPYTVAEGDIDPYAGKRTYRDPKLAEAER IKREEEESKEKWTAGKIMEGRAFDRGYLSAKSGRADINRAANGIMRLLADGKIRWGFY PPGTTGREGKGIWLGSEAENQDINVYDDEKVNPHRDQATSGEEYDEDELEYDSEDVSE LEAEEDEEEEDQDEDENVAKSDKIVGRVGGFFDALGIDDDDEEEEEEDDEEEEEGEKD QEKK L199_001421 MTTTSEDSILALSSLSSAPALESLLVLLFEPSTALRNLLVPSVL LRLTARSEPPASYNELIDICQEVSNDWTWDEKAEFISGHPMIGEVKGLSKLSGKEQGN STVTPKVVLDRLAHLNELYCTIFPGLRYITFVNGRSRAEIIPEFESILNLPLSPQPLT NDFPTAQPSLDSEEVKSRIKLSESEEWKKECERGLGDVWLIGRARLKGLGLE L199_001422 MIVPIIIAALAIRSVFADTYIGCLNSVSSYEVTQTSLSLDGCQS YCSTYTYAYYSNADGTCYCGNTNLAASEFQTAQDSSGTCASDQISAWISSTPFAFQGC STDTTTGGSSRTSFVSSVPQCFTNCAAYPVISLGRTGSLVLCQCAGEYISTTPATCQG GSSGTSGEYLYVQSLDAEPTGGNARRALKNRRRQIEATKYQYCPSSLTACVIGSDQDT FECVDTQGDLESCGGCMGGLYGPTAHNATSTGVNCSALPNVALGGVTCTRGRCEVSAC KYGYALVDNQCVRML L199_001423 MSSERTSLLPSQHANAEAGPSHPPLPLKARTHVTLECQANQLIS HLKSPSAIVYTPLNTEVDLAVHLYALHLLDPKRYKNHASIRARIADSELGRKLREEIS DRVEDLLDRHCGGDEHETEHSEDEDLQAVFWKRWKVRNDSHQWINAIDLLLPPFTTHP SPFLSYPLVRHILELAWTKGIVHLDGQGHTRPSRVLKKVGEVVTPSRLHFLHLASFLI LYGLTLSIALSPGNRISPYESSSPNPSLSGKEVWWVLWTASDLAHSFQYRTSLLRRIL LLPLHLSLIFALFPSYSQISYTLLLLSIPTTTFSLVFPIRPSLPLLIPSLLPLSILLK RIIYRSFKTAGLLMPLVLGLFVIFSWSMNGDIFRGFYQAVQSSIYTIETIINPIPIEA RASIPEPVEDGISPFSARLTIFVTLSLLFICSLLLTASRAIMVPRERWDGKDKRRWQG AVKEGDDWEKEYRVQVAREARECFCDGVKGYIWEGNTLHEVRVEYGTADGAEEDGTAI ESGRTIKRSSSRFQDPSPGAISPVLPPPLNLITLPLDILSLIPLGGLSVKVDQARYII HLVVAGLFCLPLYLCSSVLSRT L199_001424 MAEQTQSAFQKQPIFQNAKSRGGKKVTARTKRWYKDVGLGFKTP TEAINGTYIDKKCPFTGDVSIRGRILTGVVHSTKMTNTIIIRREYLHFIPKYRRYEKR HKNLAVHCSPAFRVEQGDQVTVGQCRPLSKTVRFNVLRVSKNKAAKGFAKF L199_001425 MSKRLNKRQQRELEELEALKAATPKELPVEEDSGEEEEQENQAS GGGPFNAFAALGGDDDEQEEEEAEEDDTPSAPVKKSKKKKSKKKKPVSATIEDEASAP ATPGTPSTPAKGGKKKKKVDPYAGMDEVDRALAELKVQYGEETSQAGPSRAAESNGEA KSAMAFRNLLSVDPKNLDADAELRRFFGSKVIASSATSGPNRHRPGPSAKLRYTISKP KPTYPPATSLAGLVMREMIDNEVEELYERRGKERIDKGEKWFTFEHTGPWREIERQFM GAVRSHDPNELMALLQVYPWHVDTLLQMSEVYRLQSDIGAASDFAERALYAFDRCLMP SFSVSSGASRLDFDRVENRPMFTALHRIISYLGRRGCWVTAFNFAKLLYALDPEGDPH GAVFWLDFLAVKSNNGSWLLSMLEQGDTSPAAASWYAYPGMAYAKALALRQVEESKKS KDHTKSDEALQEAITDFPQVVTLLADKVGASLPEGARSNPLLQVEAGFKETPSNVIHL LSHIYVSRSEALWKDEKRIAWFSKQVALAVPKLNDPSAKEARDDALALIQSPRDPLDE GLPVPLYICRHVLCSESTSYVGFLPPVVTSRPFNSFDPLPPTTATSIYDDAYFSGLRS TNNGRAIGGGGIMMDLVNRVFDIATNNPENYRQQVEDAWRGLVGRREMQNAPRDQLDN VLQALLQMAGDVVNRGGGGGEVEGQGGNGDQMPGGFPQ L199_001426 MHQPDILTQQQQTGPPPWTPYVNYPPGAVVWYAGSFWRCESGHT SGYEPDGAPTNNLHLWTPVGSSGQHPSYPKQFQQQYYQQQQQQQPYYLQQQPQQGYYA QPQAQPPTYTAQPPSPGYFPTQQQQQQGYHNPNPSSSGSSSHFHVPSGGFSPHEKEDT PSSTETITEKNEKDRGNSSGRSSATQFFSEKANESLRYLTIKKEAGDSKEKDELKDEL KAKRVWRTGGIGIWAYSEVQEEEELKKKLWKEWSEKHDSSDWLKVARERKEFYDKESK GVKPLFMWKLVEKGQRLPTDALPIGHEQDGAALYSARAWWEGGVHLGKAGHHLVSGAS ISYGGAEITLDTYEVLCGPINEPYLVKWMTYRHGEVASVQGWQPVEGGREKDGTALLL AKGDYESGEHPGKCLINDDHACVGYGGGELWVRPFSILAYANPDRR L199_001427 MCESIPSVTLWGTTQLTSTITTSTILTTRVAQSTRLLTSQVVTR EIITSLVPQQTLYYPCSDSEGSTQSARPAPAQSRPATTQGEDEGSVDPNATGQFTGSL PTQTRATTKSLSFTSPTIKSTSISSSSTIENSAAYTSSASASSSSPIENGEGSTDSST TKSNNSGIIAGAAVGGIFGMILLVIILMCCQKRRARRRRIGSAAGKDWNDTSGGGGGN GDGNDYWERRFREIESANSSRGNGLGLNGMEGGRGEKEKGEWDSQTSKKLRLTLDLGS KDLPSRPPSRLSMISSFFGGRMTPTPNIMASPYHSHSRTGSTPTLRSTFGHGNGNQRS RRPSTKGSGSRFSFNPFRRHQQNKHSYSSNQFLSQTQFPNPNQTHMRNHSRSMSSPWV QEDSRSSKSAGTGGNKSAGFSTSNKSPGARPSLEDGYHNNINRTGSKSTSQTAKTREE RHSQSTFGKTMSSLDQPPSATLKEDHTVNNNNNNNNNNGNSTWSHQSSNFSHNPVQLP PQAMTTNTQSISTAGLPAVREGRVIESPTEEDIEERRNMEWIRHSTIPSDQGEREETL DNTDKEKIQELKSQLGIDELGDLPDISIFKNLDNPNGTNMPPKPYLGFGQFNPSIGNT PPQLGAIGTYTSSNSHLSGISSIPSLPPATTRGGYSSGISSVGSSSFIPFVPPPKGLG GNGTVTSHLSGISSNGSATGTGGLPFIPPIRGGHGSLSGLSITPSTVLPRGYLSGLSS AHSFTNRTIRPKSPDSVSIPSDIIINGHGQESSLQRNNSQSTVSSYSTHPVSPYSTQP SFGVSRPNSQFSDSNTNRNTRTLTFYRPERRSSNAGCPYPYPPVSRRSSSIKLKRTPS GRVVSSIVHRDSSLLPSMPGMGMGIPNNRKSAFQDADEDGYPDGSGNMVIDIPALPLP PPPVGVGASIPEKIPSPPPTSFLIPENISRRSLKNLRESKGKHVPKIRLSQRALTPSL WIDEELLARFAASQNDGDEEGSNATFDDTSTVKPTPVKEKNTHLRSKSKGDGDGSRRN SVKKDNTFPPPSQSTRSRTDTQLDSEERPLISRFSNSTQPTISRNNSTASTTTTISGG SSMNGPGNLENVSVSMINPNVSVNNNQIQSNERPITPSQHINTSNESGSYLFEPSSLT PRPRPRPKSNRKQSLYVPKDKGKGKAKEITVLSPHTPPNKLPLFSPPFPKPPTPPLPF STWVQESHFASSSTSTSTQRGGNKDFRFSIEQRRKQQHQNQSSDSTEGQGRFGTNNES GGDGNGKSVVTPQLPLLTLGSLSTNSSVTDLNVRDGKDGTNDWRSNRKKSAYEDADEG ENDL L199_001428 MSGATRRSSAKDTKDALPPLPKSTSPTKDKDNASQDKEKEMDQS QTLRIKRLGVDPNLILSDGGGRPKRRRTQSQEPEQSHGHGVTVADERDPKDPVRAKEL GYVIYRKIMDAKSTEGEDMAQPFVKLPNKRAFPDYYATIKHPMSLEIVHNKLEAGEYQ TLKDVCTDLGQIFNNAKRYNVRESLIFQYAKKLHKMTRVYYTTITSPDKVKEESDSEG EHDLPRGATMQPTASAEGYAEAGAEADADGEEVDEDAEGEADLEGDVHMADTPNKMEG DGNESSSTNPVGAAGGVPEKVKRTRRRGQYMKDGPSVYKLIKPVLRAIKEARAKDGSG REIAGIFLQLPPRRDFPDYYRTIRNPISLEEIESKQVGRRYESFQEFVDDIDLMCQNG MEYNEDGSEVYRDAQQIKEILSWHQNVQRPSYPPRPSSSTPIPARISVVPGQSQYSPS PSAYSQSPAGYPGGLPMPMTASPSPYPAGPSQPPIPGLPGQSQAPRQYLPALPPGVVT EEVVASLERYPMYERQAWAQTLPPLAMNVYRSMVATNEARKRGQLPAHQYPQQIQHQQ QQQYQRQPQPSHHQIQQSPQQFPAHQPSPHHPPRPEPHSRSSHGHSHSHPSPHTSTPQ ISAPAPTQKIERPKPPIPTIKYIDFTFHSASSPDRSELELSKQTIRIKNLRGVITHSV LLNSTTSEIELTAYIDDSTTTTTKEVNGSENGVAPQPTSTSASVATSTPELSLRINGN QGSLPKFIFDNTTEDDNDGKGKPKAMKWNLHVSTNKVESRIEIVATKPGMMAETTTIF VSRQF L199_001429 MTITTTTTTSSPIGLFIQPACLQHKYIRHPNSSHIFERPERLRA VLLGVAAAIARLEGIDSATQSTTAQSNTDDLSGLLSSLSIGSSSSSTSSVTSLLNIIP PPPQPSIPGSILQHHPAVQLAHSPVPESPFPYLGQRASSSSSSSSGSIPSSPYLKDLV KWASESIEKIKETGCEIPPDLGLNAGDLYLGPGSIVAIEGAIQTVCQAIDHVVSSRTP PSTPASSTSNNTPSTPPSQINIGNRYFNTPPQEQASSSKLSGSPFSKAFCAIRPPGHH CGEDLPSGFCYVNNVVIGAMHAYLQHDIDRAIIIDFDLHHGNGTQALVMPLNAAAHAE DLQVKAGKPQTMTGRDGRKRRGWKGFYGSVHDIYSYPCEDGDVELIKDASISLAAHGQ YIENIHLQPYEDEADFYTRIYPLYLALLNKAKVYMEETGAEPSRTMVFISAGFDACEH EHQGMQRHDRRVPTSFYSRYTKDIVAFADEYTEGKVVSVLEGGYSDRALTSAAMGHIV GMKGDLPEKCDEWWTEQELINIEKATKKRRTGRLAPFPSEFSSQPHLQRTHTLLGHFE CVGTGVISVPPSVTSTPQPSTARMTLRDRRKPEDIALPPSADNTPIARKIIKARGGGS VVATPTRTKAKNEPTTTPIHFAKQAKSTATTPNAKANRGLKVDNSVPLPASRSEGSLE KIVKTEQGMGLEEALKALESVKLEDPLVENAVEQSSTAGSELSLPKPGIALPPAINDD EESRPTLLTQTSIPKIILRIPRPPALQHQPQQIEQSHASTTSSTFGTLPVTANPIYPS LPGAVKEEDRMVYREGSTSTSEGGTEGEYTTAPSGGESPLPGDKDAST L199_001430 MSSAYGDAYAVKMTSGVLVTCDSAAKQILLHLDSMRDGPYKFMI RDVDETHIMIKREYVEEIKELLQDELEKNTYIQDPNL L199_001432 MESYLPAIPSEAPPSSAHSEVPRHSSFSPEFGLPFYTRGTNTYY GTPRTLLEAQFPAQESFSERAATSTPTERELEHEHEDLEPDFTIIPRDGHSELSIDFK DDQDIHSIAIGISKKGHREVNGNDTIHPDSHAQDECILFAKLSMTPGMF L199_001433 MDSQIPIQDYPFDGRYHGLSTSPVISRDWPLTELTANELPDLTA NAGSAPNTQHFDLNGMSQNNVSNGDKKAISTKQGVGMVYYHNRQHLSSKYQNRGQPSR NPYLTRAIVGDNHTSSHNSHPHSTRCSTALEVKPRLNELKSDECLNPYAESDSREGII ETNPIASPHDAEEESPVHQVTVDRPVDTSYLHSESDSEDAQEQSPERRSISPQSRWSV DWHSLHQLEKDGDDLQWHLEKSRSKLEKSQRKEQISAENLEDVLRQLGFSQVTTEYLT HHNNWLFHENASLHNRCALGENYVNNPRGQLRDDTEMFGLAATELSELRRTNDALREM IESLVSRIQMNTE L199_001434 MMNLDEEVRLWTTNAEREKTENLATLYSIIVSLEYLERAYVRDS VNGKEYAPACIKLLAQYKSLMKLVGDEIGGVEMFMKRFKMDHPAALHRLTVGVPATVE HSAEATDGGAEAGKWVAETTQSFITFMDALKLNLKAKDQLHPFLTDLMSGYSRFKGSG EWEGRAKILHWLITLNAMKASDEITEEQSRQMLFDIENAYNEFFRSLSSSNKSA L199_001435 MTGDSLGESSRSVTHPHAHHNDVNEVVNGRNANGKGKGKSNANG NGNGEIHPMVDFTAGVIAGAAGLVVGQPFDVVKVRYQTPEYAGRYKSTFGALGAIVKE EKVKGLFKGVTSPMINGVVFTSYSFFMKLQISPDQINEPNLGQIFLAGAGSGVVAATL TCPIELIKIREQSAPPHVNTSTLGVIKHIIRTDGFRGLFRGFNATALRDLAYGPYFFT YEATLRLFKYAKTPPLPESIRKHEGHTLIDEAEMELSSGLSWPELMAAGGIAGVTAWL ATFPIDVFKTRIQSASWPSDSLSNPPNKAVRSTLWKVATDAVRQEGWKVMFAGLGPTL IRAVPTNMVIFLTFEACVAGLS L199_001436 MLLRLLPQPTSENPSPLTILFTLPLPSHPYISRSLPLPPALLAH RALCLQEDIDCPNVLLGEKSSWICGIIDDVTFSLPSSSITVNFIDGSSFTLPISVSCS AQLHRVVEEVQLSFTAPTTVPNSPRSSISSITSTSSASSSSAASPSTPRRTPSSLLLS LLSPLLPSSSTCSPPRPSLAAPPCAPARVHRRAARSLLVDTYRRYVLPLLKEQLPCSY FPWAVASETFRQMEEFSKVQSEINRIIDGCGINRDILERRTANSSVSRIRSSSSSSLQ SMSDDESDSDSTPSPITPSTSVFSTCASSPSSTSSKNLAVSPREFLLSIPPAHALPAK DQMAYSSQLARLTQIASRISQIKKLSMRYEREEGKRRWLEGLERGRLSDKSLRTAFSL GEYPKTPTAPSCFTSEVNRSSRLRQSYTVEDLERELNEESQLRHPAMMDISDSEEDEE EEGPITHPKSRSSLSIDRPLLSAVTTSIHIDVDGLRGTLPIQRPTLERKLALITPTPS LYSDEDEEEEEEDVISTPISLSPPSIAKKLGNLDILPIPIPIPGVGVVSKKFLSPEMG YEGQDELEEGSEGWRVYA L199_001437 METISSAQHQINDLLYSIQQFIDESSEDAIYKAPIIDWHHPNSY KQSTIVGLKKFLLSVENERDYIDSLVESEVPPLEVASNIPYYQAVWEQVKYAQWPIIS IGQHFECQTQNDEQNRKRKVQQIKVDVVEDAGKVWVKVNTIKESRLMAEFREQDSYLN SDYDSDDEIHTEDGIDNAGPSRPQLTNSLITQAKLLLKASHLYPGLEGCPPPRIKYVL NRLEERPEGGYEDVRVYKTFQIIRSMGIDLVLASDQRAIPKRIKRPDIHPTNNILLDL SVLVALCCDSTHLHLPTTSQELEERFRSLKLTTDGEVILADHIPVTKDLRDQLEWEMK HPLIQEMLDRLSPICGNDGGKKIEFWVTEEVRNRLPGIVDIIGGEDEQRRAKILFSNS ENENGDFWHGSRWKDIIQSSKEGSILRDMKINILPPEYTGENCNVDDVHTSFQKGFVG VVKKMLDIVDIQERNQHEIQEKKNSNGIKSKDQSKDKKRRSKNANNPPSKGISLESKL PSIHTLRTFLVGFQKGWTVLTNNRGSVGKVLREMRIDEGLGYCSPSASLDNSISTTNE NVERQEQQRQDGEDPDKVDIWVVNPSSLSEWRRKEVEMKNAKLRQYLSNPNNEQSYKD WLRDQEGLGLDGNCGEEGKRRKRAQSKGGDLVEE L199_001438 MEVLGHVNNLSEYARSLKDTRLSSLRHPGEFFDYQRVSRPKDMQ EYLKRASYNVRYFSANYAIVVALLAVYSLISNPLLLVALGFLIGGFLSINRFVPEPIE FNGKVVTPQNLYIGLFVIGIPLLWLAAPISTFFWLVGSSGCLIGAHAGLLEPGVESEY EGLETV L199_001439 MPPRPIKTPTLDSLLPPILALLPNAYSAHQKCLTTTARLVHSNH HQLAVEILFATSKELLKINEGSSGVELGVRMIQVMSEAEIRVDDKSRASITQLLALTP PTGPWRKKLADAAIKWSQQFGECPTGDPNLHHYIGEMYYKDRAFPLAEQHLLSSGKRD SALTLAQMMYEWCDHGRLDPGPYAVRGTLPFLAQSPPSILPALTFLTQFLSLLSSSIS AYHKNFITAIPSQTSFSLNEVHLTTSPTLNFLQLALITVQRAPAPGVSGVAARGMDGG IAKEWEGLCRRYSRNSGVNGVLAQKEVQETLMQISTEVFHIPPPRGAGGNDLLQNLMG SLFGGGGGGAGAIGAR L199_001440 MTKKASTISLSLANPPSTTDKWSNIGAGVRYFQPSDFERTIPQG DHTTNFDNRTFGYPPIGVHSTPTAHAEVQPNTLFTAMGKEKTLEELASDSAKTLGFSE GDTNVVVDDMSGQSSYDRYRRRNAQPAIPPIPLSPAEAGSMSENERSMERSNSNNPFL PENMKRGVQHRDQYPQPGSFGHGDWYYITPHDDGRGSDKSTQLGEIEQDGHPNANIQN YGKVETFPSTENGDDNLAITDEHPGAVHLRQQDYHPSYISNLESDIHTLQTELSSLKE DYAAMADRKEHYKGKYHQTHTDLTESNERIEHLRKSAREFREMYEQACEISQRNYTNW SESQSNVLRLNEKIKSLLSDEETTQSRIERLEDDKVRLYAGNHALRSEMKGLDEQIRT YRDGSYQDGLRIAEEKKYSESLQTKDEVREPTQAQDDQDDQADRSD L199_001441 MVSIITSAKKNRPRALTPSFLTETLPTLLPPIFEQVQHTTANHR KNIVSLYNIQSQCSTITEDTSKGIKLIKGIKLVGERTFNNAFIEMVNRVLPIKKGTAV ADRVVKFVASYVAYSTEQDAASKAENQGEEDEEEGVETFSTRFIVKLLKHLLRGMEAK DKNVRFRVTLLAVSMINGLGEMDEDLYVLLRESLLNRARDKEAAVRVQAALGLAKLQS GEDEDDLEEGQESLGEVLLDLLRYDPAAEVRRAALYNLPRNPTTLPHILARTRDVDPV LRRTVYAGSLSATSLPDPRVLSIAQREEIVRNGLGDREGTVRKAAAGMLGGWVDLVEG DMIEFLSRFDVVSSQVAEDALISVFVTRPEILDIVEFNDEFWTSLTPEKAFLARVFVD HCISNKDDVRLEEALPVVTALAFCIQDEYNKLVASTNDGDEDFTDRAFIVGELLKLAV NLDYADEIGRRKMFQLAREMISQVNLPEPLIPKCLDVLSKIANGERDLIRVVVDVVTE LRAGGEDLNEEGEEEGPSDSQMSSTSDQSNIRRKSTFSASQRLNSEDPEERTKAGLID LRCLLICISLLERVNTNLQDNSVFHGLLPDLIIPAVRNKEEPALRDQGLICLGLCCTI DAKMAANSFGLFIQQLTAADDELKVKVCQVVFDLLMLHDINDLVSNTMAADKVVELVR HVLSQDSPEVQAVACEGVAKLMLAGMISDETVLQSLVLLYFSPETADNQPLRQCLTYF LPIYCYSSSENQRRMLSIFSDTFGLLAQLSEEAEDEEMPPISQMGLMMVDWLDPQKTV ERGGLRIDTAIHLSLAEQILESLLTETSKEMRKALLSFLSKLNLPESDDDTVESWRLK SQLALIHAIRAKRPFGDALSKNALVRYEGVLLKRYPELEEYSEDGFRNEASENEKVEE LWGFIDDVEEKDVDTLSEVGSQRGRRASSIASTRRGRDSVTPSLISDLDEDEDETPRK PKAKPKLKNQTMEVVEEESEEDIEVEGILDEEEVEDDELAL L199_001442 MSQSNRKPPNSQILPGFPLLGLPELMECLSALGIPAQMEDLTKP TAGTTQGIYAGLIEALMGAPMESIEAPKQALLGMMEYKDMYNDALQFTMFFRHVRDLA NLCGITNFSLADLTRPEPARFKTVLSGIMNFAKFRDERAHFQAQLQAKLQEQAEKTVS LRQKIDQVENDISEITARNAAERPQSEQAQKRNDGLRAELMELRSQQMKLSSETEDLK KERQALMDQAAHNAHLNTQIQQQILSAKSRLVQSPDRIKKQISEMSISLSSEKARLSS FQLKARELSNRLEVINSLELDLKNLIDLQKTIEAQKVKIEEAKRNRTIGESKKESKEL ELKNLQSRSDQLNKQIENAQNKLDRQQELTNETRERHNKRLAELKAEYTVRSKERGVW QKQRDLLLAEQKELENEMSNFIQTHENEINDLLQEYWTMRRQAEDYMNTMSVKLGLQV KA L199_001443 MADLGVSPTDTDKSIEINDALFCEHGLEVCQQCEFDAREDNDAM MGFDPKPRGPLELPAHFKNQKDGTFMCKTHGNANCKSCFGWKKQISKLHKDGKKEASK KKEKTTNLY L199_001444 MTSQPVCQFSDRLTSALNAAQNQPHTHSHEGDDSSRPVSFVSSA NDASPLHSHDHGNGHSHTHDGWTPDEHGHTHEHLEHAGKFSERDMPDYSGRDWEERGF TIGIGGPVGSGKTALLLALCRALRDEYNIAAVTNDIFTREDQEFLIRNEALPTERIRA IETGGCPHAAIREDISANMGALEELQVEFGCQMLFVESGGDNLAANYSRELADYIIYV IDVSGGDKIPRKGGPGISQSDLLIVNKIDLAPHVGASLDVMKRDAAKMRENGPTLFTS VRHNDGVRDVIDAILSAWKASGAAGKDGKGKGKGKDKA L199_001445 MTITIINTNQNPNEEYQINVRHPSYRIRPVNTDDIDGADGYGWN RIHHDSIPLLNDSNSLLKAPRFGNREVTLSMLYKSFGETSRRDLFTEGFVKEVSDTVD KYLESELSLLKIQRDIQKRKNDLWKNQNSIGKGKVSTANPRILQKLKKIREQRQEQLA TDANLLEEEEKSFNRARYGLEMYYQSYFSICQDYFEQLHTLQSKSITSWLENSAGDPG QQGSEEEADLSQKTTDLENGLQRVENEEVNTGDAFQDDFDERYGIDEDVPVFGHEWSS LWDRHRHRDVQKGIDTPVSETGTNDTEVYPVNISSIDEDNVESGFEEDPKVTEQILRN RRYTTSGVSTALCLSGLLHSPYPYLGANR L199_001446 MSAPGSAPAPSEVQQVSIPSEIMDVDTPNPAAEPSTKPQPPPSN KRKNEDDEPKEPLSEVILRRLTRIKEGDTVMLKLPSDAIKVITVEKNGLIQLGKYGAF PSSQLLGLHYDITYEIIGGPSASGSGSSTPLPFMSDDNGQNTKNKKKGKSKENDSGRT NHGWKNVLRPLKRQNVVDAVVDDITETNEHIHDLPELEKQTLSHDEINELRSQGLSVE EIIKKQEEAHEMFKLKTEFSKEKWRRRKEKKFSTTVHPLSPSIPNILHHYSERSPASV LHLRVDTLSQLLNMANIRPGGRYLVVDDTSGLVTAAILERMGSEGKILLFNNSDSPPA WSILQSMNISERELKGVKCLNWMEAQEDYERPPPPPEDDNAKPAKVAQRLRKHNAQVA ELNATRDELHMGGWDGLILATTLSPISVLSFLTQYLIGSAPIVVYSPHLQVLAELLAW SKKDPHYLHDTLSESWERTYQVLPGRTHPMMNTSASGGWLWSAIRVHPSQFQPESHSR FKRRKTGKQLGKETTSGEGENGITKEKKQDENGAQSGVEVVVEMQSKAETSISDQAVS EILGS L199_001447 MASPPYMSSRSPPPLQHPKPTHPAYPPPEPPHTPTGGSAHSSPY SQAQRISQDGYIRYSSPPVGEQQTMASASSSSFGAYGGGVQNHRAGYPAGTPGVGVGP APGPQGYSTMNNANAFGAWPGMNDATAQMGVQFGKSAVAAGQEYVEKNFTRYLPLHLI KVSFSVTNSYVLNKLRLVLFPWRHKPWSRQIKRSSENGVMEGWQAPRDDINAPDLYIP TMALVTYTLLSALASGLQSRFHPEVLGLSLSKALAVVTLEFCAIKLGCYLLDVKGSGA SGVELIGYGGYKFVGIIVVIIVSMLGFGRLVCGAVFVYTFGANAFFLLRSLKYVLLPD ASVSTVTTTLSHSQRSRRVQFLFFMAMSQVLWMFWLSRV L199_001448 MSLFGQVKRHTPSAKPRRSPLPTTSWDAEKKYDLSPSPLQIGGG YGRFFSVIYLPYPSFLSSSKSDNSNNLLNGNSLSSSPSRTHSRHSSLSHGHGHGYGKN NNRRYIKLYVPIPPRIYTRLNSPLRVILLLVIGLIVGLFLLGFRKRGNGGRSTWTPPF VDPDTRVITADESAMIWEWEILSGHYPSTQRPPDNLPLSPSLHNPVVPSSLIPSITTP TPLVAYQNRNSPSQPQINLVGQGPERNYLNAWDTREGTPGFAPRPAPGTILDLDLVLE KCDFGNNKYVRDCLEFLRIGGGLDTNGRVRRGNYLSQYKQMYYESTTPEKRNDWTPRT NSLTTRSPDLSRSPLSLQNPYPVSASFDSRAACDELHPRIFHMFWAGPFTDKPYMAVM SFLFTQNLGLDKPLEATSDIVKGTCRPQFWVWINPGPAAAVPNPSAKREMYESLAINP WSAPFLHERFREVVKFKMWNTTEQLDGIDELKDHWRDMQIFNSGGNVYKQQQQKEKAA PTTSTVDDAPKLGELLDEVKSEVNEESVEVPQTTSKPKKKDSVFEKVGSSSETDYDRL SVILSDMARFVLTYRFGGIYLDADTLFLRDWEELWNYRGQFAYRWSWHQKYNTAVLKL HKKSALATFLFKTALENGLDFHPMTVSRYLKDAGLDKLLFRVPDALFDPAWLNMERYQ RERPPFPYFPEFSVFFSNDKFDTAGPQPLGFDGFFRGAFSYHFHNFWWLPFDPSRNWP DLGQRFIKGEKALRDAAKASSIDGQAHLVADDVDLDEEADDIGKTKIEGDSLVTSRED MDDEIDLSWSTVLKRTFEGYLRGERPNAYGEWLEWEE L199_001449 MSNISLTQANEFHIYFNQQRQSILDDLNSSSNLSDLNSKISDLR TRVDQISALIPVYDRVKYDKQLTELEQQTSSLRTRDKPKSKFTFNKSKSSSIKSSSTP TPTPTPGNSSSRQPQAEASSSTSISSSNTHTISNLSHRLIRPPKDVIGTYTLSLSDLS DCIIDLRPNVPDDRDGEAKDQARLTAVHGKSLERCVVITPVVGGSVLLDEVKGSLLIL GCQQFRIHSSSVTTILLHVDSLPVIEHCTKLKFGSYPHGLHDENTNLNSNLNLNYRKV QDFDWPLPTPSPNWTLLADQEPSYHVALVRRIEDVKSDEEVNEVLKSLLSVNTDSF L199_001450 MAAPLYVTQSGRLFHAGLILIVTVGLPARGKTHISRALERYLRW LGVKTRVYSLGDYRRKVLGGAQNVPPDYFQTKSPRSEATNALRRRIKNELEDQIMDFY TVQGGQVVIYDANNGNVKNRKDCYEKFESKGVHVIFLESVCDQEEIITANIRSVKLSS PDYAGWDADRAVADYWSRIRDQEQVYDTVTADEGPFIKVMNVGERIEVNRIEGYLQTR CCFFLMNIHTKPRTIYFARSGQSLIEHSYKADSDLSPAGWEYSERMKAAVIARRKALK EEKKANGEAVGNENPLLIWTSARRRAYHTAWPFVHSGFKVVQKPIMSEINPGVWDGLS TGEAMELYPDEWDRFLSDPYAHRAPRAESYHDLSVRLEPVIFELERCQDDLLIIGHAS VIRCLLAYLVGLPPNEVPAVEIARGDLVEVTPASYGVISRAFHFWSGEGRGDASGENL YENFAESTSGKGTVLPDSGVNFAADAFNMEQEAEEEEKIEKEIQADNLAKSLKGKILN KLHNSSKDGTRSAPHPHPQGQSTLPHLQEDKEKELEERTTGSDAEYGNSPGLEEVVSE GDVEGKEGGSLRGRASELQAFDIWNEIGEEADKVSGYSEYLGDLI L199_001451 MRAISRRLPTGAVTPLITRSRLLPTYTRSHRLYRQLSSLHSTNL SSSSTHTSNLVNNTSIVKPHFRKILIANRGEIACRIIRTARKLGVKTVAVFSEADKGC IHVQMADEAYCIGPAPSSESYLNIDKILAVAKATGAEAIHPGYGFLSESSVFAERIKD AGLVFIGPPTSAIKSMGSKRESKEIMTAAGVPCVPGYHGADQSHSALSKGAEQTGYPL LIKPTHGGGGKGMRIVRDPKSFEDELISAKREAIKSFSNDEVLLERWLEKPRHVEVQV FADTFGNTVALAERDCSVQRRHQKIIEEAPAPGLSPELKKDFAEKAVAAAKAVNYVGA GTVEFIMDAETGEYFFMEMNTRLQVEHPVTEMVTGIDLVEWQLSVAAGNSLPLTQEQI PCNGHAFEARIYAERPESNFLPDAGKLLHTKAPVNVPHRLETGFWEGDEISSYYDPMI SKLIVHGPDRSSALSLLRSALGEYQVVGPSTNIEFLKSVAGHEVFASGPVETSFVPTY HTDLFPARHIPTEVLAQAALYLTLRSEKAHQILGDGPWSKLVDLRFGDEVIKEYKIDD HLIKLKHTDKGYSVSIDSQDWIDISSSMLNEEGIELISEIENNHVKSTIIPVASTGHG HGQIGEEKLHIFASSSHYILTHRPTLLEDPSLSTSTSNGSNQDKLVSPMPATVIEVKV RSGDEVKENQVLVVLESMKMEISIRASKDGVVGEVNVEKGKVVQEGEVLVELAKQ L199_001452 MSFTLPSIRSGFSPSAVAGPSRLRSFSTSQVHSVSKRKLIAKRR KAANLELQASKVIKPESIDPVLGRVNYRAPSSRTLLAQAQAQSSATGTIASGSATLPP KPENEWDNSKLKGVLLNYDEIAYSPPPNYSQGERPKHLLPGISKADEDLLFGALPHIS TELSYSTSNNRSGNGSEIAVEEEQNKQSEMLMRILDLRNSSKSAVNVLNRQRVIDAFG QGKDTGSSRVQAALLTSKIHNLLSHTVQNPKDTSNKRSLRLLVQERARHLKYFKRTNS KEDYDQLLADLGLERGAVEGELKFAF L199_001453 MSFRSATRLSARLASASRATLTAHAQPAARRYASTTGPQKGGDT TWMIGSAVVFGSLGAFLLPSKASSHAATHSQEHAKVRAEINESAPTVKQGEAVQATDA GKYSPKTPDPSGIHKDADKAPNEIPVKKDESKTMPENLIENDSLKPESKSGGQNANEV AKSQASSEDKQDAPGTEEEDDGAAGKEQGEPTQQEIKDSILRAERTNTPKAAMSEEAK GHETQAE L199_001454 MSSSDLEVLSRWRTIGARRSEEVIELGLKLLKSGKVGDQEWALR EQLAIAALDLGQIELSQEQIKLLHYKFPNSPRVKILDGLLLESQGRSEDAKAVYEGLL RVDETNVSAHQRLISLTLSSSPTSAIPLLLKYLDTFYSDPSGWSLLSEQYCELGMYTQ ALDALGHLMSLQPWDEGAVRRAGEVAYTMGDYQLSLKHLLRSIEMQGTKETNPNQRRT RTWWGIKLAVSRLLESPNSETTVPPEMQSTEKQLKLLDELATERILENGGNGVSLEVK RKVLGDGKDVVR L199_001455 MSIQSNPDHPIQPSLQFQRPNTSGTNGPDGSTGPPPRYTAVVQK VYPYSLRPVIFFTAGLGFIYGLALGVDSIRDLGNDNETAKMKVFDIVQAILYFIIAGI EAFCIFIAIVQKVNLARLFAILAPTGILVNVANQILSVVIHFSMKNDLIAQCVKNETG QSAFDSLGDTTTINTDQAETICDNAWDRGTWSVFAWLFLTLVISLLFASILLSYYRQL LDPSSIRSRRVVQQQSFQMQPGYYYPPPPGAPGAPGGAQAWMVPPYPGPPVNGAPPPP GGYEKGDYHPEAPWAQPPAGEGPSVNREEEDAWNTAESQGVTAHLTGHAAQPARRSDE DERGGYRIGNAEEDEAWERARNEGVTAHLTGNNQRNREGTV L199_001456 MSRTISRAKYLRQLYPYKPPSTSIDHLVIGGGAVGLSVAAGLVN TSGRDKITFLVERRGQVSFTSVLNPAYLKVGGSLTDSIEKLGQETTARNSEVIHSGIY YPLGSLKSRLCIRGRDMLYDRCKSLGIGYKNTGKIVVATSSSQIPYLEKLQKHSQHPS FLSNPDDTSSSSIRTKFLSGEEARELEPDLSTSVCGGLLIPSTGIVDSQGLVDSLERE IEDADYNSPSSSSTSGEDRGEGVIVLGTRVVRIDKEEKGNGWVVQLETNWEGLGEGGK GDVESVRTDVLVNAAGLGAVSLTDGVVGDGRMKMYAIKGNYMSYKGPGVGKVSRLIYP CPSANIDHLGTHLTLDLEGHIKFGPDVQIIGTWEDYISNPEFWEKHLSPSDSPELKSQ FAQSVLDYLPNINPDNLSPDYSGIRSNISPPNAGFSDFLIRHDESRKGFVELLGFNSP GLTSSLAVGEYVSEMINKDIHGRHSNVGEGREKRLNELAKGWEA L199_001457 MDYNEPHTASTRGPSTAGLTWQNGMPTLRMEDAVVETVVTHTTR TTTSFAPIILPRVPPPESIGLPTHLKQEQYPLANQPAPPDMQFFTLNLGGRRVVVQDE SVISPSADGTLRSSGPGWTKTFPTSSYHSPGVARESHGPEERVGFLQALNRSKGKEVR KRPHNFSRPGSRQEEGMKVDTNTPPISAAEQLAIRQRSPPRKKIRALEEISIHPQKGN ASLLSPLPSPEHEAGPSTPTSATSPTQPNLGTGAEVSALLSLPVLVSQFDQLPDRLQQ HFLMHLLRRSRMPTIQRISTFASTALKRDFIALLPHEVAVQILRRVDRPGLAIASRVC KKWKRMIDTERVVWQQRLIDDDLWQGHGVEEAEERKILDRYEILDWKAEREIQNFGRE DTPSDDERMLSATNPSRLIYEQERPTPLKHVYRRRYQNKKSWLHNRPEHTSFSGHGTN VVTCLQFDEDKIISASDEHSINIHDTVGGRLRKSLDGHQGGVWTLEYKGDTLVSGSTD RTVRIWDLENLEQVHVFSGHTSTVRCLQIVEPVWNEREKQWQPPYPMIVTGSRDATLR VWRLPEKGDRPYNGTATSDDEGDAPSPEDNPYHLRLLEGHSGAVRALAAHGRICVSGS YDMTVRVWDIVKGTCIHVLTGHEAKVYSIVYDQYRNRCASGSMDNTVKIWDLATGDCL HTLTGHTSLVGLLGISPNYLVSAAADASLRIWDPETLQMKNVLASHGGAITCFQHDET KVVSGSDGALKLWDIKTGLYIRDLVVGISSVWQVAFNGNLLVAASNRGGQTVFDVFNF APSPAYSHFPEGIDDDQLDLLRTPKWKKDMKRLRLKNKTKDERSRRITGQSPPHSSRF IDVNELLSSDSDDDLEEEDVGLLEETPISASYKKNKSTTSLDRWEIGGSNQARYGGSP SASGSGGGNRRSTRIAGRSSLGSSGIEAGPSRRHPTHQVQPPPPAFTHTSFTASPTYN HHHQPHHVGRTLQMSRRAKNRRSTGGGDSPSPSIRNPAGYEIGTRSVSGRMTTSANPH SGSSFAPIFDEEPSASGSTSIQRRSGAEDSEEEEEEEEYVDRRGRDSGEMNVDEEDDD DDEQ L199_001458 MKFSTLSALVSIPAFALAQSPSASASASAASASASGAAGNSSDY LTAVLGALTSAGLTSLVGAAQGIAGTSEGQALLGSLAQGNKTVFAPSNAALSNVSQDI LSDNALLTQILSYHILNNTYTAQGVATAPNHTIARTLLRGGNYTLPGNFTAPLVLAKN STNGSSFEIVQTTSNISTGPNATAANLQVYVIDQVIELPQSIDSVVSSLAPQLSGLVS SIPGLADQLASANGITIFAPNDAAIGAIQSSLGQLNSTQVTSVLSNHIINGTVVYSTG LASGNYTSAGGEPFKFISNSTGAYVQSANSTAKIVQSDIILNNGVVHVIDNVLVNTAS NPQAAESAYSSAVAAASTSTEANAPVTATSAATAPSGSSSGSSSSGASSAGFKIEPLG LTNSMMGGMVAVVGAIVGGIVTLF L199_001459 MASSGPSTSTPSAKSGPGQGRPRKASTAASHPPSTTDSQPHAQG QTPTPSVSAAGGGMSMPPPSFSSNGPLTVSDCEFMASQLLEPGVAIRKKLEIAFELRD SAESTRDFGFYEKYLAIFIPALITILGEEKSIVFMKDNIEQRYRHTLLAFLQRLPHHE PIRHHISSIMDLCIKLLKLENEENALLCIKIMIDGLRSNKEQMEPYIEPFLDLVKQMY ANTKAVVEKEFGPSSSTVPTPKAPVSAGANPAAPSPAPATPASDASAPAQPPHPPQPS TSQHPMLPHALHSPKVLTECPIAVVLIFQTYKQIMQTAMLDFYPLVIDSIKIQPEPQR LAHLEAKEKGEIFVGIASGIGNREMYAELIKAQVKTMAFLAYVLRGNQGNNKEYVDVF PEACLRLLRDCPPEDVGTRKELLVATRHILTVESRACFIPYIDVLLEERVLVGTGVSS RELLRPLAYSVVADLIHHVRNDLPLSQLTQVVYVFSCNLNDSTFNSSIQTMCAKLLNT IIDSIHSKADSQEFARIIKGIFFTFLEKLTAMSEAHDRLKAIGLRDKGKGKAKEETDG DVSMEDSAKEAAEDKIQNGWREIEQAMPVHSVAYANESLESFCRESRYLFKTLLHTFR TLLTYTRQGDNPAPQPDGELLSKFFEHSLKCLAIFDFMGRDPREPKEALELHSQILLL FEPHVFAEVWTSHMAFFTELAVTNAQVFPILQMLITHESVSHQLVAILLKHLMKNLGE LGTMDKPQAILTLKMFKISFLAINTYIANNEVVLVPHLQKLIMSSFEHAAKSEDPAIY YQILRALFRSIGGGRFEALYKEVLPILQEMLDNLAYLLQHAADNSQRDLFVELTLTVP VRLTNLLPHLSYLMKPLVHALGAGPDLVSQGLRTLELCIDNLTAEFLDPTMGPVLRSL MAALHKLLKPIPSNRNHANAALKILGKLGGRNRRFQEVDTVLEYNLFTDRLNAPITFD GSKHQLQLAPLVSTASTVLADEGQILREDGLQVLMYSALTIFQENAPSPEGNDTFQRV MTGLFIACGQPKIGEKALEFVRNLCRRAFALELGRTETPDPNAKPFPDNSRKRFLPLT NALSDCFVATLHDSKPVERPGLSDLLATIVTDFKELAFSPIFAGKVDGSRSFERMVAF FAHRLVSLCHEEDWSKKMAGVTAMSAFVHKIDLSRKMIIDFELDFVRALLFCLRDAPK DTPRTSEEVIDLIKHLLKTCQSQEDGKPRLSRLTEALVAELNSQNALSRRASQECLET LAEVTSQSVSDLVMGIAKAKLLNTEHGPIYSKPLRALPFAMQIANINAVTYLMDLRPS VPDTGEEFIRLLHETLALADVDDANLISKPATHKQENWLKNLRISCLKLLRSAMSAPD FLLKPNLAQLRSRIIQVYFKHVYSTNPEIVDVAHDGLREVLHQQNKLPKDVLQQGLRP ILVNLADAKRLSVSGLDGLARFLELLTNYFKVEIGIKLLDHFKTLGEQQMLMKAAFSP LDDNPDISRMSRLVNIFRLLPANAIQYLNDLVANVVEVESALHQSVPGPFTENLGKYL NRYYQNGAQNLLDNIQNPRYIWTYRNIIASGCAPKLVEELATKGEALCKLCFSDAENI GMVLPGLCLIRELSRVSVNWVLDGEPALEPLVNVWRMIVSKSRDLKSDMTSYHYQQMP SLLLEMFMTSLKHQRHVPLLFHVIEAYEVRASFERSHVSFFLYQQVALQDSLEYRQEV IEYFFGLYEEDEVSWAFKTNALRVIINPTLRVHFSQPDADQSIISPQLVQKIVNLMWR PLAASVAAKQREDTHLIEVFALTTLLVQHCSAKVNEARKEIFKLAWMGINLLEPTVKL MAYVLAARFMVTYETPVKFVRLTWTGVLRLKETDNRTLYRQAIDTLASSLTVRDPPPA SGTPEWAKLLRTVLIEEGHATNQLVTVCELLVNHPDLFYDYRELYVPHVANSLSKLAF VQAATPENKKLTVDIVELIFNWERKRMAARDAEAMEIDEGPKRSSDHLTEASPVKRQR VDRAGTAVSGSSGGGWAAPGQVRELMTAHLLRLVSTSTESVTRNGLTRRALDLFKEIL GPKGLPNVHVKLGFFQRTMTQDINDNTRTGIANSTEVIAAAAAVRDSHWVKANLGLLS KLLEKVWASDEIELHDVVAKLTEDLFAEMPADENAESDPEPKALFNFVQNAVNDGLTA SLRSSSNLPGTLFILRSWLKTHPKVLQSETINSALLKVLGNLVKVHTASSVPPVQGND PDPTAKLIISVLDIIRDRVGDLREQRKHLYSIITTLVERSSSPALCRYVLQLIRHWVI EDSEGAAHGKEKAGILLRMAIFEQRDDDLFQEYLDVVYEIYEDDKLRGTDITHRLEPA FLLGTKSKNAIQRAKFLDKLEQSLPRSLDGRLQYLYSLQNWDTLADCYWVPQILSQIL GIVSHDETLVRRPSTTPLESDPIIDMARRATVGDIISPARNLIHVDNELVNRLWIEVF PMCWSSLTRAQQTAFTPYIVKLLSKEYLRKQVEMKPNVVQTFLDGILACKPPITLPPL LVKYLAKTYNAWYVGFEILTKLIDVYRPDDGLRESCASALSELYAELCEADMFYGLAR SRCVFPETTAALTYEQNGLWPKAIELYEQAQIKARNNLLPFTEEEYCFWEDHWILSAQ KLQNWENLTELARIDQDADLLLECAWRLSDWSSPDRDMIDQNIARISDTPTPRRKTFE AFVALLRSHLAREPPNEFFRVLDEAQQVTLRKWVSLPINITNAHLPLLQMFQQCVELT EAAQVFDSLQMTNQQNLEMRCNSDLKTIFTTWRDRLPNFWDDISVWSDLLAWRQHVFQ AVTRVYHPLIPQGDTATYGYRGFHETAWMINRFGEVARRHGLLDVCSVSLNKIYMLPN IEISEAFLKLREQALCFFQKPDKFNDGLENISTTNLMYFAPPQKAEFLTLKGMFISRL GQNEEANAEFAHAIQMDMNLPKAWAEWGRFNDKLYRDRPETYAHDRAILASSAVSCYL QAAGLYNNHKSRGLLLRVLWLLGLDDSRNTISKAFENYKGDLVIWYWITLIPQLLMSL SHREAKQARLLLLRIAKQFPQALFFHLRVSREDFVGIKKQQMQSRAAAQRRADAQAKA AAANAAAAAEASGEAKPTEEKKEGVKAEQNGDTAATATASAANGEQQPQGQEQQSNGA SNGTMAPTQVAPPPRQPWDHVDEIMNMLKTAFPLLALTMEKMVDQISLRAKPNSDEDI YRFFSALLADAMQQWGGRNGLPNDEGELSAQTKDNLSKFAINLNGELKAMIEKDFMKD MPKLREYIKRLQRWRDYYEKSLDARPKVQPLDQGGCNLTEFHHTKFDDVEIPGQYVHH VDQGEEFIKIARFAPKAELGRGHGHCFRRIIMIGNNGSSYAFQVQMPAARHCRREERL TQLFRIMNSVLKKRKESRRRNLQFHLPAAIPLAPQLRLVQADSSYVSLQDIFEEHTVS KGMSREDTALAYFDRIKQLHDPAVPRNDPRFFQLKAEVMEEVQTKMVPEDIMTKYMVR TMDTPESLWLMRKQFALQTATTMFLTYVCCLSNRTPSRFYISRKTGLMYMTEILPAFA PGQPLIASQEQVPFRLTPNMQNFITRVGIEGVVTAACTAIARCLTQPEFDLSGTLCLF VRDELLIWHNTYMKDSRQDSPLINHVYRNVDNFIRRAGTMGYIGDNKDKTPNASPAVH AIVTLISQATAPLNLAQMSETYMAWF L199_001460 MTSSSHSSYPGSIASQAPSLAPSRESSVISSPPTELSQPPPENV EPENKDKARTPVGSPPNLPLPLPQMPAMVRRVSQLSNAASSDSSNSAMIKDDLPVVQV IDQSGKEVIDPALRDEGVDPFIKNDSDQLAPMGNSQLPSIPLTQWPSLPSLNFYAAGA GPGAGTDTQPSTQTNSPTSPNGLWPSSFGSYNSSLTDFGSSLGGDTPDKGASGDENSS ESGFEFGKHLAKDVNDDNTDNIGAGVPAPKKKSHARKQPEGHIKRARNAFILFRKHIT DSNLIPPSVEVKHQNISVVAAKMWKEAPLEVRAKFNEQARLEKEEHARKYPGYRYQPA FRRTDIIRRRVRKDPAEDEKVDAVAEALIKGKSGDKLEKEIKNQIIQKGNESDSAQSE MSSSSRSRSRRRRDAGQLSKGAIRAQKAQARAKQMRQNLLGTNLLNMSLYNAATNRLA NSTSSSHPHQSQQYQQAAAAAAAAYNGYPVGGGGYGMYPLDQSNYVTSVGYNIDGRPI QMVAQPPPVPGAGAGGEYPNDMYGGTDPNGLLTENNLLGQDHPEMYRLPPIEGMVDIN NPAAQAGTGYETWQTQAGGNGEYWDQQPLPGLSYDTQAVGPSIGEEYYNASYGDQGLP GGDPSGMMEPNGLEYRLPPLMDIPRDGPSSSERPGDLIASQYARGHTSSHHSGSSSGD KAREERTTKEGQPQQSQQTPSGHVLFNERLFDGALGTAGLPDKRNDGCGLDDDLGMFD QAMEQAGDLGNW L199_001461 MPRFLVYAPDYPDHLAQRYAVRPEHLERGSKDPKGMMLYSGPLA PRPGTAQRNTTPPEGQLNIAGSFMVYQMNSLEEVWDRLKEDVYWRANIWDKEKLIVEE LLDV L199_001462 MTDQPKPSLFSSLSLGRASRSPRPSTTPSEPLTHPLLGASTSSL NLPSSELGPPDASAPHLTHKSSGSWSAKSGAGELTNLPYKPRQRHGGGIGSAGSAVSI FGPSGTASPTMQQATSSVSTSPVTPTTIVSAPPTTSTSTSTTFALPPSTSDPPAQADY SALSSAPNLPGSSVLTSRLQIQSLKAAAQRIGLGNGSMGMSMIDAIFDKGQLGRAKAG EGGDWGDLLRILMGGNAVLLLPTTPSSSLPMTPQTLNDHVAFIAPPVSLASSSFTSKQ PGNALEASSDEKTSTLDSVSVFVTMSGMIGTIQNDTVSFESTIPSDSPLIRDLRDATT RQSVLSTLRPTHASSSSSSSSSSLFPTFTLSKETAILPFPPPSKTGPATTNETREKEK PPPGKLGRINPFASLFGGSSNAPSPALSSSPVSIHPPAPPSPSLKADNTLTPERPPSP LRPHGDSRPSSPSPASPKPASLLTFDHGDNASIMSDTASISLAHGEGYQVTAYTVSRP IRYHETHKTLTKAIRSHIREVLAKLPDKVIEKVVKLVLANACPPSQGDDLLKNHKGHD ADNIAVLDFYDPTTTGERLQDFMEGIYDDLISHYRSEGPDGLKRKGSGNVPWGRAAHG HGISAEGDEESAKEKKEKNRLEREEQVEKEASEGTEKVEAVVCRLLYNRLFSPLESDD ARHDEALASRIAALNMLDLSLDHLGLITRPEGEEPEGVIAKGLTDIVDDIGHEFQKLS GSDCLTPKDKASVLIQAHKIVVDGLARMPNVDLRPEGEPYRSSQDATTAGDTQGNTYG GEPPTTSTPEKEPTMASPLPQKALLDNDYTHSDISPSLSESSDPLNAIGGDIGATPKP TMQKSPSVPQLVLNESTADLQPETDQLKQAMSDSVITLTPSRSRETTESSNSSVPPPK AATAANGKPGTSGADLILPIIIYSVVKSNPPQLASQLMYLRRYRSAICLTGEASYAIV NLTAVVEFLEHVDLAELGLGGESDRVMSIADLSPIGLDYLDESNADAASIASASSRLR GRVFQVGELAGTAAGSANKVITGVVDSSWMALRGLMGNGSVPNPNASEIEPSSAESEN RPEMRPRGASTFSLASVTASVASIAAAAAARNRSRASSRASEQVWGGNQELQEVSSNS RPASIRERSNEYYSSDEDGGGSDEEHEVEEREPAGEEQSKNGKGLKEEVKERVSLSNR LASIGVLGRLSSPAVPSPPSTTENDSKAMDESIPAPAPSKASNFLANLTPRSISASLP STHTPGSGTKGQEIKQAQTHGRRGSIFSNLDSTRSHSRSPRGSISSLPLSKNNSGVEL SENDGPIDRFMNCDVGDIKISEIGALLRDYRRLGNIISNVQKNSQPQHQQHPSG L199_001463 MVDLTNAEAGPSQPRPKPLLPTRPIPDPPPATPPSTSKSAKRRS WFGLGLGSPSVITSPTNDNNASPTKKDRRKSTSSIHSSREGLELDHIARDGKGEGKDS LGKEGGSEANEEELLTIDGDPEKTIKKKKKRRSKGDNDDDFEVMRMKDLSGSNQQTPR SASERTVKVDSDERHTPQSFTIRQRTFSRTASDRDPFSPPEPTSFPPNTPASRSSSSS VTNHRPDIQPRTSSRSSSSYSPSRRILSLQIEKNQPPIPIDVDRPLPPLPLKNTSSST SMKPPVMPRGIVVTPSSPRKLSKTPKKPSLTQRRSRSATGHSRIPSLASNKRSHSNSP NPSIILNNTPGSKIGLGLPSALLPSAKSNTHTESPPPPPVPSKPQSVSSPFPSRSPSP IPKIAFVEPDGIPAKHEDVSLPPSQQEEKRKVIRRARSLSGFFGKSPPIPPGTEVEEP MPVPEQRDSDSGESVGKSGGVLEWLGVKKAVRRKTSDTQMKSTAGDSVPAVIDHSEGV AGQQQQTASRESLEPPRNVEHAVSESQQPTPRRPQPNLSPSTATSLPTTGQSKISSIF SRRSSTKTTDDEGEPPKIQVVPMAIPNAGMRNQTAQSSESSFNLPTHDPISPFIPGSG PWVATPQSEKEESEVLFSTPEAEISNWGPGRRPWMDGAERHNSSKSSSISSPLDSLPE QGLPPSQSPFKAPPTFREGRVRSWSDAPLPPQKLVSQSSNPHLPLPRPITPNSQSPSL SLSASPRTPSRPKLGDRSNSGNSAIIGRMKSVFSKSTNRSRSSSLLGKGSKNAEIDEF GNIPMGGDAGRSQRMRPSTSSSSMASSGALRGRSIGDDSGRPGVEELQTRSPRTSFTP SIASFGSTTRQEETKAQRKNRVRASTISLAPTAYHFAPPRPSSPNLFPIAATPPRRRP GTIHRISNGLFGSGSSGPSSPKTSSLLFPLPARSSGSMSSSTGGGMVGWEDTSGTSTT NGPLSPGTSPRLSTGSITAAMTNSMIKQISQRQDEESPKAWLERIVNNVQRDEIANVL ASSGDEFHTEALQLYLSTFDFTHNALDVALRRLLMHMSLPKETQQIDRVIEAFSKRYE ECEPGLFGHKDNTYVLAFSMMMLHTDAFNKSNKNKMTKVDYVRNTRMEGVSPIVLEAF FDNITYTPFIFIEDDMNLKRSSGYESSSSTFGPSTPTFTNNPLPSSVTSTGGMSMSTS GKAKVDVYHMIVRGLLGTLRVNNIENQISPENPFSYRGTRPFLNLDILCQAFANANNL IIPSYITTNPVTSVGGKLTTPSRRNTVGAKDQKMEDLVLRVVKVGLLSRKDESDQSSK KASRKWKSWSVILTSSQLLFFKDPTWALTLLEQIQSLRDADDESGQLLLPRQTSFKPD EVFSLKDCVAVFDRTFTAYPNTFRFVMPQSRQYLLQSSDEYEMNEWIGLINYASAFKT VNLKMKGPTMRKDQVVLAGAAAAASHKRELKGAAVAAGGGNMPPLGSGRKAFFGESDP PSNTTSDENGTGDTNDQGLRLIDHHSTISQSNGNPRKKVKNGNIDVDGPDQVVHEGEQ LEEVFGVVKAELAAGRGEAGSNRPSTSIHLVQEKTPVKMDPRHIHTSRVSLIYEHLNT LREKCQPIEGSLRSSLILVRNISLLTPFQKSTRDKISSSIPSLAHKIRNDRLNLTKLR LWIKVLEHEGEREDREWKVVRHVALQAAARSLREDSLAGVVKDVNNAQGIEKEVPKLE ISDPNHSESSSPSPPSSRGANADAEERNSRGEMTSPVELPEIIRRSSEEPLSVDPEDA QQRRKPSKSDQLNRNTAGGINSAGVGMRKSNSANDLLNPNTFIQSRRSSEIEETPRPH SGSASETYDLSHSPNLSVDTPMMMFTMESPLEIPSEKMAEQDEGQGKAGAGYPNKG L199_001464 MACLKFLQKAWSTTLSKGGHDTNVLSSLRLIEARPKYLEGVFTI DQKHLNNHGTIHGGVILSLTDTLTSLSLSTLGYPPPTGVSVNISTEFVRPGGTVNSEL VCIGTVEQCGRNLAYTRCEFYTPPEKGDKLVAYGSQTKFMGGWSKVTQFSEDGTEELE LGNGTAKL L199_001465 MNFDPFNELGGGSALRFDEGQQDDYCLPVASHSPETERLDYIHD VYKYHAGNIPDEVAALLSDGKLRKILDVGCGTGKWALEILEAFPNIHITGVDLAHPPI TVFPPNFSFEIHDVTKGQEYDDDVFDVVHVRDIHAGMPDYQEMLTECVRVLRPGGYLL VKEIEWVPAVLDGSNAQERFPAICAGSFRDALSERFLDPLVGVNLESYVDNVSGLCDV HYTRHMVPMTPWSDDPILNYTGQMTRLAMAILGGTIRIMLLDHGESDPDGRYAAGIEE LKDLSELEAELEGYSQEMEEEKGETGWIGDED L199_001466 MQDTSLSPRLRHLLIQEDRSTGRLIMPTRSDIRSSISLNDGPSP SPGVGAGAGVGIGFGNGTPVQTYNQLSPSSRNSSPAISPTSLAFDTSPNDTKCPLPVD GSQHRLALPSPVSSNRRPSSIFSLLNGLTVGGSPPSSASSIGSGNGNVNGNGNGTGPS REVRRDDDLKPKIDLSSSWSSSSSPYTSTRRTSIVPIEPINEYYPAPYTAPIVTHRQS MYFDSRPNQQQLQQHIYPQGQPSFSASDRPGLLRRHSSHPYEYTSSSSTTRPTTAYAT GPIHEADYAGMGARAPISRTTKACNACRNRKVRCDAGGGDMGPCSRCVESGTTCVYTG AQKKRGPCPGTARQSISKPRRPSTQSQISSHRSSVASVQSYVVTPTDEQAPWSRSSYG FPPVPPTFNQQQQQQPDPSEWSSIAPGKGRPSTSTSASTSSIAPTRASNGNGIPLPNG TTVLSWSNISETGMDINHNGMGMFDRDYALGPRNSIHRHKLSIDAPPPHHHQNMMNDN APRALPPLRVAIDRGGA L199_001467 MSNFNFDHPTPTSSKRNIIDLTLDDDDDSFSGGSPSDNITQTFD MDLFNSTTEASSGSQLTERFEDDFSSTASNFLPIDKHIDLALATFNNNIISGSIKSTK STNQFIPTQDLTHDSRQYQRLDPSNVCYPYEVGQYTQPYPRADKDWKSDMDEVTLYLT SLIDDLAESEKNQDESLGSIMAIASRVEHQSRDVEQTLNVLQNSLMAQKPTSTSTIDP SQLHTDADPNLEQRLQDLENKNRQLENENERLQVSSLEYQLSCHILRGQLAERDLQMM QCKNK L199_001468 MPSVLPNFLQGSPPRSPHVERNNFFTSWVSGSSSPTHSPHYQQI QHNTTSSQGSSRQVLRPTRRDILLCLLTLSFSYLLFSTPGSTTTQSVYPSSTGGKYKI PNWFFPSSHSSSSSLSGVCAIGGGEKTFGESVKTFGFNMNTNIDDDDLPIGGGNGKSW DGGEEQQEDDELQGLTTDLKAHAPGWTIIERLYIYNGSFYAVTDDPAQWPELRMMTST GLPANSDPGNSEAREPKGDEIIFISPFEAMKLWGPRVWKMDGMTFLFNDGQFIDHYYH FAAELMLGAWRAYSSYDQHVTANGETSLPPPQRVWFLHQNVTEWRDKPKFNPLLMYSL FPSISIFYPEDWADMKNQTTSSVPKAFVLERALLADRSAAFRGEWTGPTARTVASALH VGQASRWWWEPIRRQVLRYSGLSEPIISRNLEGHGATDPISLMGPGVDVVEPLAPEGN YTPVITYISRQSSRRRLTKESHEELVKALEERSKKLGWELVIVEAEKMSKEEQFALAG RTTIMLGVHGNGLTHLLWMPATPRSAVIEMFFKGGFARDYQWTAHALGLKHFAVQHDV SFTSPNLPKVDYPEGFQGTSITVVGKVVADLIEDRLAGRV L199_001469 MSYASVASHNIPFGEMPKPDPNLAEGHFAGETEAHNTVDSKVNV LPAGSDPNHPDIEPAQPTAPISVELHPAPEYEPSTSESAPKPLPTPTKNSVDLPESGA EFEKKAEKAEKKAKEVEKKAEKKGHEYSKKAKDELHKAESALGPYWDKTKDVVLRPGT LGGLLGVVNVGILGTAGYFAYTRKDQPWDRRIVGGVATGILALLGAESYAAESYLQTP EGKQEADRARAEGSKFYLQAKEVILRPQVAGGLVGAVNVAILGAVGYFSYKNWNQPWD RRTVSAVTIGLLGLSGLEGYAGKIYADKELPKH L199_001470 MSGRPGPGSQQATRPTIKLDRPSQGEALPSTLPDHQYLSRNPSR NPTSNSSRHLSSSQSRIRRSSTHRPSEAIDLTQKHKGKGKAAEPIDLTLTDTDEEEEV EEMDKAKLQGSLGSIVLVDKDGRPVEGKGKRTDPPTKTSPGHPSSIISGQLRKDGRVS SSQAHLVGYRSSASSMLARKSFDARGLDRRQAIDLCSNSSRSASPEVLQSKLINDGIA KLPPRDDSVKPTLSQANNFKQRNFTKSASSQCINLQRADVARSPSTSRTAVNLNKAVS CPPRKVKKMPKQPMEHRMSREEWEAAITERVKKMDAEKIHRDSRTPSSQRTSPQASRR SASKESSTLIAQAHRAMDESPLRKSIPLPKVENSSSKRSPPQTSLSQSPTKSLSFSQN QAQGKLKSLMKPLTQPQIVVQSPQDSFASRLNAEAGPSKPTQSPVKSKHFPAHQVGNN ERPYRPKHAPNPELQSRKPSRERPQPGAYTLPPVETSIHDWPRTQSTHSAGGSKKTDI TNQPRIQEKGKQNQSISPQRRIQPDQLSKSSSAHKSTPDISSTKLSTKSHNTKQDDAN SRNPNSTSSSLTPLSSPISPSRVYRNNEEARYKPSPVKSLSASPVKGKPFPRLFGDET PLSKVSTPKNDNSALKQHGGKDSKESTADAEDIFAEFADFEWAASDEEAPEKGSDLVQ GPSDLAKDVEPAEQKQHSNDSKSSSLTKYVPATSLHKQPSSSQTKLATQSEPVQTSPT TPRSSQKRSRPSTSPTESRKRRHISEKYSKFLEEERIRVEKEKEEEREKERQLENEAQ DLLGKKQHEGDEEDGGGDLDGFLDNIAPRSPVKPNMRSAIQSRQESRKAQIEEAKAAR RKAKFEAIQQSAKAERLKTKKLEDQKFRSILRVINKNKDVNDFLEEHQQQRQGEDAYP TPETEWDDGDDSPLSDLSDLDDLEDIKMELDHSFDIDVALDGLEEEDGFNDVVEELRK EGVGLDDSLIRDAKASMTTSKDRRDQGLTWEGFWEKEPMRPEEKDKKRVVPFEIDTHD PMLQTICEMTCQPDPDLESLGHIMSSGMMVFVEKDRRELAKALFSNAISSSDPDWSAI ARTCFSDMLRMDEYLRREDLKYLLGLGIRLLLHLGARRSIFSNLNEDLGQIEDEGGIM IGREEACGMICRILMADANRSNDIIHYQTDPSGSIKPQNVGSVPILLLMSIDSSTSSA LKRTIREAIQVLLEKSVHEVGNMVQLGYSITRAIVDASTQHSNAVKVAILDSLGQKSN ESRMLYRWLAMEWLLPGTLAKVETMDKRPLVPPIPFLLLSIDNISDLIKPPSNPTSEE KIEPDWSEINLLVTFLYGSMSDIDTLLRELNMSFSNEQTSGIYGERSIKDLMQDCEIE RVREELRRCRDLISDQSNGTLKSTVKARLHQLYEITRLTLMLSITKKVRAKRLGKGLK VGNVRGQAQLDFTVKPVDKKEPKVTPSVE L199_001471 MAETSPDPDAGTSADASSSVAASSAASSAVVSPSEGTTSPPAQS STSSAPPAESSSTSSSQPAESSTSSEGGASSANPSSSQGTTSSAPGASSSASPSSGEG SASASGSASVTAAPGSSSGASGSAASASATSVPVGSQSVSGGSTVVYVTVTDANGSVV VTPTATVGAGSSSGGGSNTGAIVGGVVGGVVGLALIGILLWFLLKRRRSNRDEFDDMM FDPGRPEHQAPIDLGQEGNDPTVEPYYTPGVASTTQSPEMAQYPRSAVTSSDGGYGAQ DLSRGPSTGTSAGFAGRGAGGYDMQNLATMPMPTAHPTGIAPHPEIGAGAGAAAGGLA AGGMSAKQREAYQESQRFRVQNQGGYGGPAAGPGPAGASGSNQLAPPMSPTETSTSDQ VTVHQDGGRVQDEEEPSYGAEIPPTYDSIGRRE L199_001472 MSAPALLRLARPRVTNPLRSSLARGYATELKPNLSYTKPTHPDS TASSHTSTQNIITDHSQYLLNTYIRPPILITKGKGLILTDTLNRDYLDFTAGIAVTAL GHSDEGINQIINEQSSNLSHASNIYWNEHAGELAKSLVENTKKFGGLGFTSGGTSSND EAQAKVFFSNSGTEANEGALKFARAHGKVTGGENKTGIVCFTNAFHGRSMGALSVTPN PKYQSPFAPLIPNVKVGKYNETSQKAIEELIDENTCGVILEPIQGEGGVEQGDIEFLK LVAKRAREVGAVLIYDEIQCGLFRSGSMWAHSSFPVEAHPDIITMAKPLSNGFPIGAI MVRSSIAETITPGMHGTTFGGQPLATAIGVHVLSRLSDPSFLSNLKETSEYLGEKMRR LPELFPSLIQEKLRGRGLIRGIGFKDNTRPSELVRLARERGVLLLTAGSDAVRFVPAL TVSKEQIDQAMGVIESCLSIIVEGEKA L199_001473 MSEQAAPPPDVPMEITNDSINSYPDARESDGPELQASEAISHDV AGPSSTFIPPTTAPLSPPLPNLNTKPFPAIDIDSDEEDSEDDEPVATLPIYLSTALGN NIDLYQYPLQHRSITVPTWARDRGKYISARVKEKINRVEIEIPVDAGQSYWREERARD LGFVTDTREINGNGDDDVVGGYGFGGGGASKKDKDNRKAKGKGKEKWGDKMRLRSEPV PNATGYYSGVIRDGALHLHPISKLHQFRTSLGYLDDADEKAKERSTRRTANGGTGGGD SDDDNAKKKPVKDLRQQQRKVLDEEDNDGSGSIKDFRNKMWWMTKKEEEDQWVPYQWR AGEDEEVAETLEQLVVPQDKRERLTCKTRPLDYLDRQDKMQIV L199_001474 MPNHSQSSSSSSSSSPSTSTNHPEPTRPSSSSTPLPSSSDHSTD SNDNPFSSSKLFSSLYNNNINNKSDFSSSSSSSNPNPNPLLPGISIDTASILASKYDP SLSYFHSSSSPSPSEDGGIVHKEIPRIPERCFSFCTQTDGDRPLCRMFCIRKRPPVLS QKEQLQRLRPPSVHRAVPSIPGKIGHEDETPWYKKPFESLRKTVTPYSFIYIKGTPDG VIGRYMEELEWDDGEYDFGNLSRSVVNGSNTMKGKKKEGEEGKYGWTWLDWGEHGSLL HLPLTTLFYPILSIPNTLSNLLTPSYNLLKLYEKSFVDGGQARSLEKFTAEIQRGGAM EMLNKLSDYWEKKVIETKERREEMMKQLKEERSRSGDVESGKNE L199_001475 MTSNHPSKGRQSLPPMSVHSLMTPTSASASSRHSLGGGGGSMIL SSTRVKPTSAASGSGLSTSRSMGNLRGSSEGLLDKDKQKPPKKRNKKGMKGWAWVVED ENGNIIDAPDPEVDAAADTPPGAGAVKSTISRSRDGGEEDEMTVVEDPNSIKATSSNH HSINQDKRNDDKPISRLSNSTIVQNDNDSYASSPMISRASTATAEIIPSKRTRKSSSP AVALTPKDNGNHDEGE L199_001476 MTPERRITRSHTAAQHPVPILRSESPSPSPSPTSTPIIILDVLK PESLPSSRGSSPDANSDNDSDVSSSLSALSSAAAQSGSDSTAPTPAAIPGTTTTLEPS SAKSDTHLKKKRRTSPPPPTTSQVAAPALLRTNSTPTPKASTTTAATSGKSKAPRVST VGATAPTRAQKALLIRESNSTSRAGSQHVVEDDTPLPLPPSRTGMGEGSFPTPKESYL RHENYLLRKEAEYIIHQAEVHKKELNKRKDRDERNGTALVEGKRNRKSINYNEDEDED EDGDIDMSNNEDEDDSEGGPGPSTSIARLKRRQSQLNGNGNGDGHGSSSNERRRSSTT NMKFPEPLKDQSFEGQFNQLQKEHKANVLAEKAAEQSRPPIFPKNARPTVVLANGTVN GIGGRTVPRDKTERTYQEGLSGLSNEMEIDAQGFVDNVKENLRAILKYYFPERSPRRD AFCERIGRGLAQLGWELTDNADAALLP L199_001477 MSQETIRSSLPGAARPSAHPRLHALAKPYSRPSSFSGLSSSATM TDLANISSSLGGNEGWDESPTKSPSKTTRPGLSTSSSYGSLPRSGSDSSLFSGIKSIF SRPLQWLATPARASGSGSGNRRDSYSSFGHDLEDQSFNQSPSVKRSRRGSPSPEKQRA KRRKENGTSTTTAIGSEYEPEQPIPPFEVQGRAVSGFMLPPLSPNVTLKPKSHLPIPQ HITNNTRTNFSRPLGSGSSSGLSSSKSMSYLDPPSDVLGASSPRKAGGMLTRSKRVEL SSLVDDRDRDEIEVESSNQGNDGGKTWSPWKSRYSTAGGAASSAANVRPTTPSKKTPS RLGSRDFTLPSASSFKPPPSPLHRQSPHVGNAAASTSGLTRSATTANIRRAASVASDI SMGGGLTRSGSVRGLKQSGSMLFGSVNGDRERDREDDRMSVDGEQRQREGSVLDWFMK DRWDGSGSARPGSPASVAASRRLGSAGPSLSHPPIRKGQLVWHEEEKAFVRESDLRAA QAPPAIHKSEAERILYTLESMRKTPLTDARKGELPPVTIGQSSRTMRKTINVPLATAA AGDSAKQRRDKDRLGGDDRVSVMISPYGRRKVADQQAREERRSRMAEDRDYRPSPTPT ASDAQSQASDVEMEPPSSQRSASSAPPPTPRRSSRLKRATGTDEDVPTPKATRKSKRG ASKQPETVQEEEQPQPTPRGRRTRKTTVDRGTSPSPPAPVPTITATAPSPGGPTNTST QTVSSSGYQPLADGERSRGGSSLRARSDVTKRTHVGAASYSRSATPSSGRFSAKEEDL PDMDELEQAKIPLPSFSGISFAGLNPTPPATSNGPSTSVTDQNASSAPSESTPAPSSS LNVPPPGRRPGGPLARVGLTSTRPRASSPLAGGSIVAQPESPESASKAAKPAEPIEPP ANGFFTLNGSTPTIPAAGSTTPLGKPPASSFFSVPSTGVTNGDSSKDKSFSFGLGKPP AETEKPSETTSGSGIPDFFGKKSAPPSGTATPTSVPAFDFGLPKKTAEEPKASPAQLP PATFSFGKPAETATTPATNGGGFSFGAPKEAEKKDGSAPSFSFGSSRPAEAPKPAFSF GSSDKPAEPAKPTFSFGAPSTPSAEPAKSTFSFSNPSASSAAEKPAETAKPSFSFGTP STTDSPKAPEPAKPAFSFGSSSATTSKPAETAKPAFSFGAQSSTPVSTPPPFGAAVST NGTSSTAPAPASGGFSFGKPAEKKDSAPSNPFGGTAPASGSGSTGTGFTFGASGSTST SPPFGENKANGDAAKSSPFGGAGTTPAPASTGFNFGTSSSSTKPNGSTSGFGSSAPAA ASPSNPFGFGSSSSATTSPALAPTSNPFGQSAQTASSPATTSAPSFTFGQSSSTPAAS SGFGTSALAPAPAAASNPFGQQPSSAGSGGFSFSFGGGAGGSTSSPAPAAASGGFTFG QPSTTPSTPTATNSTFTFGNPAPSTPGVTSQSSFAFGAGGGAPQSTTPAAAPPASGGF SFGAPPPANQATAPRFGSPAPGAADGGFSLGASDGGVPGSPGGRKVKGLPRRGGVKR L199_001478 MGIFSRRSRQNTSSSIILPHAQSSLGLDSSSIACSSTPSSPYTT SVSSTFHGYHSHHGHGHHGKSGRSTPSSLSPNLNGHGSPNIGLNPNPPIRLRVAIDPI PFHSHNEHAKNLFYVQVCPEEGIAALRREIARTVGHGSMSLFKVSIPQQAFLQSKSYT ERYGKPVHLFSQFPAFNLDDSNQLELSLGPNHIHSTSDNAGGIVGELKFKHWFPDFVN GYNPSDIISIVARPLLGLPINHVPLSLRAYFAQPPTNSTSSTSGSSSCLRSLPPPIVV DVDPHTTVDELKSELLRVAGKDDTLYKQVTLWQIEMTESEMNVIDELGRLKNGKMPWP YPPGAMEPIPMTDGNLPVSLFFPKSAPNGDMLNLSIWLNSTSTSSSDSNIPHFRYPMT NLTRPASTHCASPTISTRSIPSTAVSTPVTELPPSVQSALSSATLKVKKSRVRPSTAP AATAATSTQSFGSSSTKPPPPGSIRSISKSTEKPKGLGIVTPTPASNTPMLDRTSFSS TLSTESDISVPSLINHSQLSLDTLTITNVKTPLDDNRSDWLSPPPSHGQGLGPAQAQA QQNDILLKKSSSVNKGSLRDRLRKVL L199_001479 MNTFISASSPLFEYKPCKACNTSTGFQSGSDFTGRDYNGTESRM ITQTGDTSVTFKCAGSGVSFDISYSQPINSSFTPSMTVNGSLPDNSNGFNANGTSITD LPLGKHSFQLSFNRTSNQNNDEWVRVNGANCNIGYQMISNQTNTEIIDDSDWRDWKVY LTPGWNMLEEEGISNYIDLDQYQAELPTASRDYMDRYLGDVAGSYEVVLDNITQGVFN ASGGPRLYNSILYHASDLADTDHSILLRNLEQGKRLSFDRLVAMSGLDRIANYSSTPM PISSLAPSSSASQVEVSSYYPTATGNNAQQQDNIPTSLSGGSIAGIVVAASIVVAAFM ITWIFACLKKRQDQRKDEQTEMHGEREQREKSQTTFFRFSSRAPSPTPGHPFHPLPSP KQPEPRPPVTQQSQHPIPTPRKPTTSRLFNFSSPTPSLRSFIQNHTTSSIVSEKDGRG SGTSFLKLNNNRFSRSAKHHQRNESNADTGSETLNPLGDGGKKSIAGLNISNPQRIHQ NQIQMQRVKSEDYLPSLASHTREVPLPDEQQPMPIPRTAPISPINDESPRTDSTVRST TTDGRRETISPLVAALSGKTSSPLAELSRSASKKLTSPLGGVFTRRKGANPNLNPNEG DRRSFMANSLDAKRESKFGGGSIKTVKTEFDPDESGGDGIGILTMYATLPPGPSSSQR NTAFDYSPNPNENQHEEEDQEAAQDNISGVGVALGSPMQDQNFQWEGSTPGQRAGDEE EEFKPPTRRFLGLGDRPKSGVSNKSGKTVSSVGSGWRYM L199_001480 MSGFFGFDTSLPERGGQRGGGQQQFSGFQASNVDETFALGGAGE EEDLAVYTWGEGGMGGGGLLEGGDELNDETFGDDLGEISNNFQFSSQPAPAPSAKGKI ASTQSRYKPKPVSDPFAFSEDDFYSSRPTKKTTTAKAPSRPKPPTAQSGSVENLWNKP AVSSPAWGTAPSSMTKPTPPPSASFSSQPPAPGHIKSLEEIEAEMAQMAIPSTSTAAP TQQKVLSLEEIEKQMMEQIEPPRQATPQQPAPPREATPTQVPGLAGSGYASQQALLDS MFPELGKGPSSALGGSTPAFPPGPRQEGQPQPPQPPQPSPEELARMEELHKRITSKIQ SMAKYNNLMGSSDKDFITRIQLSQLATADPYTSDFYAQVFSALKRSRMIAEGQGDGPT VVQVGAGMGLGVGGPVGNRFGKMGQNTMTKLSTQVKKLVENRAQHQKVTNTAALQGAL GRVTRGNAAAPRPVLAIPTSNKPENRPASQLNQQTGIHRAALTKKQVMFALEELYDAI LELEQMRRDAPPPTAMEEIEIWHAKCQAKVDIIWRRLMVMEPLDISNPHPFISLINPI KGQKLFPRLLRHLPHTQSITLLSLLIATYTQLDVVSRAPPPPVADASLLTKADRLDRA KREAETDSFLQFVIPGVDMIINRCGLGLVAGMLAISAQRSEVWRIAATRPGVALYTAL LSKAQSLIRSPTPDPMNPQQNQQIDPAELEQWSKTFTYFLHVLLPHLNDLFPSSVAQK AAFGPGAYLIGGDAVSDREGQEMERREAEVWGFVAVLAVNAAEEDQTNLVAALREKIL HTVQSSRHPNVSPARAEMKLRNVNMFLNGLGLDASMIE L199_001481 MLIKTSYRDVPTKANGRAGTIRIYLIEPNLPDYPQAKFPGCVVF SEIYQVTGPVERFAGNIASEGYIVALPSSFHEFEGPEAIPYDTEGTDRGNKYKIEKTV EGYDEDATLSVDLLCSLENCTGRIASTGMCLGGHLCAFDPRVLATFCYFATDVHSATL GKGKSDDTLIKIRKGDLTGKGEVTMVFGKQDTHVDRNGRTLIRDTLDEAGIPFTFLEV QAQHAFIRDESSKGRWDAALTRSLFSMMMELFNRTVARDLGSKVGGGAKLEHVC L199_001482 MSQDDAPSFPLTHLKAKDIHIQGRHFVDNHGRVLNLRGANVSGS SKVPSKPIPKINEHAQVNYVNRPFPLEEADQHWRRLKSWGLTFIRITVTWEAVEHEGP GIYDEDYLDYLRKLLESMEPYGLVAYIAIHQDVWSRYSGGSGAPGWTLTSAGFDLSND GEKLALSGAAFLDGIKGGRLGGERGLWPTGYQKLAAATMNTLFWGGETFAPSLKIPTT TSDGKIKKVNIQTYLQDAFLKMFEKLVDAVGDVGSVLGFELINEPHPGYIGLPSIHEW NYNTDLHLGEFPSPLQSFSMGAGRPTSDVPVYKRSFPYPTVISKYTTANPEGVPAWIK GREGCIWEKEGIWRWSDNKKQAIALQEDYFTKNRKGDKVDFYQDFYFPFVQKWNKLVA SKQKTKEDGDRLMRMVEMIPNEFCPEYEEGKRPDNMVYAPHWYDLNALFKKQFGFMTV NVQGLSRGMFLLKALYFGANGVKANYAKQIQTIVLEARLKLGAVPVIIGECGVPMDLN NEHALKTGDWKYQRQMMDAMISALESANVGFNLWTYNPDNRDDIGDDWNAENFSWYSD HNRSLALKKSEDTNIGGEDLDAGSRLLDVVVRPYAIATAGTPLSSSYDAETALYTYRY NSPIRVSTEQPSIEEVTEIFLPRQVYSEGQVRFSLSLGGKIYFDWANHRCWVWFEESP HHNFIDLGPRGTAEHKVRRVDIWVPDKARSNQKGWTIPQILILILCLVFGLLGIWWAQ EIEWNKEDSALRYHRPGSRSWFKT L199_001483 MDLYTTSFTYHRPSPRSLRSTSSPLPSSLALPGSSNISTIPLPN VTSATTPPSKSSLTTNLTTAAQVEKDWNDVQKMCLDITTREGCLVTVTKESIGVDLNP QPQPQQTDGNVESNGSGKDDSVPIPSTTTWNFHLSGGYQSVMSARGAILRETPKDNKT ILKVSRTEILESPLSNISPLKVDVKRRLDEIALDSKAHIAVLNIEIPGAGVGGAVLAT ADGQAQSTEGETSAISASQREGSAGPESTSGTDNQPTKSTASNSPTVPAPAPPPNAPV TYGLETERMCELVITGPLESVEVAKVRLLVMLDELSGLHSEVCDIDYKLHNIIASRKR GVIQSIQEETATNIYYPTPLVGVFNPPQPGQQQGQLGKANQIWITGEFFGVQRARDML LNVAVQKSKLVISRDTAILPRKLDWLLTEHLEEVKGIMSDNGTYIQVPSIGSQASLIT VFGDHRVNIERTIRSVMGLACQFYVASFWLLPISFDVLMPQATLNPAQMQPILKRIAH ATGAEVVFKSNCFEMHGLEQEVRASVMMVLELEAIHNFHHEIRFQIELANEHREFISG KKNGKINKIMKMAGVKIKFETFNDYNFLMDVSGSDLGSLQGLSMLQEELPAEVSFHVP ESYHKRIIGVGGKNIQRIMKVYGVYVKFSNAEEFAALGGYLDNEDNVVARTPAKNAIN LESLKQSVMEMVNPKDKDYTVESVSIPRRYHRTLLGEKSIFIHDIEQKTNSAVRFPYK ESASDIVTIFGPESQVHIAAAMLLDHVPFEADLHVPPNPELTRLVTSTDFILFTERVK RDHQIAIVPSAKFGQGDEAIFKFRCQRSNIDFLGTARDALEEWLGQHNIQVYPSNATK RVDSFADAFSHFNSKLLATGANNTEAEGESLVERRQRPVTVSTDVRALFNGPPGGAYR SGDEGSLGFAGPLSYQNPQRSSDLWPGSNQPTRTESDHSKRDSDPIIQDRVRQASTGH SNTTAHPHPHPHSQSRINPTMRHQSLDISHMKFSRSSSAGNSAFGPMPPSPTAANSSP NTATGPYFPHMGPHPIRANVTGRGGYSGSGGTASSDQGVEGVTQAMSNVQVSH L199_001484 MNSLARSASIPISTSTSRPLSSRDIPASASASTSRITTWPLEIE ENDIEPSSSTHLNVPSLIATTSTSTTHSSNPLSLSHSRGVKRANRETISPKRIKRIRE ATPIEDGSASNSTANLVDGTGTSSFQFLNASAPTTAIAGPSSYAQEEMVIGTSENGDG EEDSKSVLFSEQTGKTELIEGECGGNGTIIQQEDDEGEDEYTVENEGEYDEEQQYDRI EGEGEEFLVEEQYEPQDDLSVDDDGDVSTKPRPLTIDLRKVMPPPPPDIVSKSKSRST SSSHRQSYGSNLVNDTMTQVIEGSSSRQRQNTNDNNINGHVSFVDLNGQAYSDNAEDQ EGEEEGSGSPESYHSGDSDEYEMEHRPVLERTAIKRDIRSFTESLTVLREGVDGYLPY KVVDRLGEGTFSSVYLAYDCLNRTYANEYWSGIPDEDQDEDTNEQQPAVKVALKKILV TSSPARIENELAILEALRGCRNVSQLISAFREEDQIIIVLPFHRSDDFRHFYRHMDPP HIRSYLQSLFRALKDIHKRGIVHRDVKPANFLYDYETGEGVLVDFGLAERYVPPRKPT CQHAPATISSLQGSKIKTGETSIVEQAVYDARKRSRQGEGRIGFPHEDKRPTIKTNRA GTRGFRAPEVLLKCPDQTVAIDIWSAGVMLLSILTHKFPVFNSSDDIEALMEIAAIFG RAAMERCALLHNRTIISNVPTLDSHPSSLASLVMKLNPHIYTPHMTNPTPDDAREHIE AIDQAIDLCQKLLRLDSTKRLTAAQALRHPFIAPREGEEDEEEGRDEMIHPKDGKCGE LHEVEDGRHRAYFHPDMRELPFGQGIPPTRDSLCPEHEHYQERFQINPLVTRRWVNQA AEDADEAQEGDEEDFVVETEPSDKRYPNVSGNGTIQPLKERDINAPTNHVHNGLMYDR KRRGVAIEM L199_001485 MALSYEEQRIQNIKDNEALLLSLGLGAPSMPKAPSKKVLPKKKN DDDSTFKLEKPTREIKPKSKPVVRTADLIDGEIDTSTDGLRRSTRSSSRTTNGTKPDY TGDSPLYREDVKPTLSRSSSKRKRQDEDDEDAEIDEEENRWKLRKAQKLGIRTEDPKQ FGHIPGVEVGRCWATRMDCSTDAVHAPTVAGISGNAQEGAWSVALSGGYPDDVDLGYA FTYTGSGGRDLKGTKQNPKNLRTAEQSYDQSFDNTFNAALKKSSETRKPVRVIRGYKL PSVYAPVEGYRYDGLYIVEKAWMAKGLTKGLMVCRYAFKRVDGQPELPVRVDDEEGEE VAQEEKEAKILVESAIQNINADDEKISIEKTIEEKASDKSIKELVIDERETVEQIIA L199_001486 MTTRSIGNNDEEYNVTPVLIHPEGEAKRLVTHFTPTYRARGTEE PYQPIVHESFPIFSLDEKATIASEEEDLPVKSAMILKGKGLFTEKFVGETAKYVRDYL ESRANSREMQIGLDKQWEELDADTAKEACESEDIGQSGIPEARPTTESISRDVYVRKT ELQEKMRLSFRANAGLECVAQHFPTAIENNWNSIKSREVESENQLIENMLSRATAGVA ADLAIKEEWGRYLKLRTESTRAQAYLDLNKLEEVMINFSSVLGQEEKGKVIDRLARLE SSISSIATDYVRRRDEFHHKVHSRYGLDLEIPDSGSKWNALWSQHQEKLVGKTKVRYE FGSSDSNVTRPVGRIAAEYILGDEGEQQWHPIAHPDVPSFSELTKQDCDTHKPPGKRF NARNFLSKGESEGRPTHDFLEEMSRKALSLDTAMTQISEARNALKEDEQGLAELKRVE AACREIKNTIPEAITSNMQALYDREVSQWNKSFRDSEMIAQRVIPNQGELIRRWMDRM QTSLRHHDCLERARLTSLTAHLREDAEESSAALDVQHKLEEDHNKLNWGNQRFLTDFD ETFVSETRIPINGEEWQRLCTRFPAASGGAKTVFDVNQPSDYSSYTRRNTASGPQGFC KIPHKYLVLWGKT L199_001487 MVLDYHSIFRKLGSKRLPSEEYSFEAYPGQRDTPSVTQFTARYR PLGSAGDSDWQVFSHSRLPVFAENKHPTKDASSELDERPDGPHPDELLSNYRDFIEKV HESIHIHRSNIEALNEWRDVGDDSEGQQELIHQTLQTQRAEVFLNKIADSLCPEIHQK IKHANKLQVDRYEALLSKIRTEARGIGSFHKETDLQIMEDQLSCMSRRATLREMNTQR DVIALIGDFSIAISPDTDRTEIQTNRRNRIKSQRKGIQTASKVLEGQDRNLGKLFRQR YGSVEEIPSSGQGWISLWASIRFKPGTSRIKYKLVASDGDTTSPTYRVRPEYIVDPED PQEEWKPILDSAVPLFSGYESHHQDETLSACDSNLSRVFDGTGISTGNFVNNVRSLLS LMKASKAKLDNLSDDTNAWQHEGGDLEKAAHLKRMNNAMKIMQETLPTILHDDWNTIL HSQTQSLNSELTDRVIAGMTANESQVLNKWKFWMTNRVNASTADALTGLYDILATLTK EDSHEEYVEYSQAKDNALKLKTKHEEETRSSSVEFAHALSDAGSCLSTLEEWNELLLN RRS L199_001488 MGGGAHYPYPKEVWTPSGGWWSRPSNWATNTAICVFGIGLATFG VWRLSASKEQRHIAPTRPIPSQRWSPQAKEIGVRQE L199_001489 MSDKYTPGDASKGAGIFKTRCAQCHTLEAGGPHKVGPNLHGIFG RKSGQAEGFSYTAANVNKGVTWEGQTLFEYLENPKKYIPGTKMAFAGLKKAKDRNDLV AYLDKETK L199_001490 MGNKHSKPPASSSDKSKNLDTASVLEKFREAYSNGSGNLNQSAT SFRPPPPYPMDDANNSPNQDSNNEQVVQPASAPEPKHECPQHMVVPVTDIKLYTSDGK YVVIDGLALFFTSDDFFDKLNYIAGQTPTRSLRFHDERYETYDVLSRYKTLVEGKELT PPDKSENPKRECELIGDLIYKLNLWKGTNILNILKFQLINWIYQGQVSPKHAITLAGK LNDPKLVGTVMKCEAQREYERNNPLISLDKHMTTSTVVAVENWKEYIPTRWQEAFGRA IEETSSMGDTDERWKACADAFEKALA L199_001491 MPDSLETAALNGSLYTFDDSPPSPARSNSSASLLNTDDELGSDL DDGPSSSKIGQRGDTSGKGVPPVNGSQIEHDGPQTGPKGVISDRKAHSTIQQQRRDKE LNDKVLEQNRKALIGLTVHEEDQLRKREEEEKELDGWRRRRKEQLIRNMEQDEDEGED DGRRQSIKRDGLRELDKTNFIDAVERNGWVVVLIYEPEIPRCVSLLASLLHLSLNLPS PSILSNPITLYKARATSLQFSILPPTSSTITQYEYEEPIGIPDPDVLPTMLVYKDGEL EKNWVRVDWEVDESGVEGLLRKEGIIPSVGAGKMGARVGINRSRDLLDDEDDEDE L199_001492 MAGPLSVDEILAKQKAEKEAASKPKFLSKADRAKLALEKRQAEV QTQQEREEAERRQRIDFERAAEEERRRADAVRYGADVRGSYDRFGRQEYGRPDPRYTN GHNASRSNLPTGPRGNAPPSGPRGMRDSGTPLPYNGNGNGLSTGPSTPKAGSSTPGPN SPGDVALPTDTELNALKARYLGQKVDGKKPRLRKANDKKVVFDWNENDDTTLNERGTW TMDIKQKGPGGAMFGGRLAGFDEGGQRRGQQAFTDNHADALERRRAGKGSNDDRHWSE KPLEEMKDRDWRIFREDFAIAARGGSIPVPLRSWRESNIPLNILDIIEEIGYKEPSPI QRQAIPIGMQNRDLIGIAKTGSGKTAAFVIPMLDYIGHLPPFNDENRHKGPYALIMAP TRELAQQIEQEAARFAKPLGYNCVSIVGGRSVEEQQFNLRNGAEIIIATPGRLKDMID KSMLVMSQCRYVVMDEADRMVDLGFELDLNFILDAMPATFIKPDDAEINHALKSGEWQ GWRVTTLFSATMPPAVERLARKYLRKPATVTIGNAGEAVDTVEQRVEFVYGGEEKRKS RLIEILRTIGLPPPIIIFVNQKKTADMVLRYVQQAGLSGVSLHSGKSQEQRESSLQAL RDGQVSVLVATDLAGRGIDVPDVSLVINWQMSDTIEKYVHRIGRTGRAGKNGLAITFL TNDDDEVMYDLRVEVEKSKMSKMNPELARHEAAKTKVTREMKRKRDDNDE L199_001493 MSKCIISPSVLASDLSKLSEECQRMIDNGCDWLHMDVMDGNFVP NITMGAPILTWVKKNVPEIFMDCHMMVADPAKWVPEVAKAGGKSYTFHYEATSDPDGV ISLIKQHDMLVGLAISPETPASVITESLGNAVDMLLVMTVRPGHGGQKFMPECLEKVK ELRERFPGKNIQVDGGVGSGNACQCARAGSNVLVAGTAIFGAEDPKKTIQEMRSTVDQ VIAEQKK L199_001494 MSRHHHQHLSSPIYQDQHHNPLTSTSTSVPNTRFDNQDHDHLYQ SSSLLSPPNTSQLREPYYQEHPAQIPSIIPPRAPSLFQPPPPREDTGYRLESRGRIPA LRIPPVPYRRDSNTNTNSLSGIISESSRSPYEQPLLPPIQGSSSSSGIGSNTKYHLFG QPSPSSHSHSHSHSRSPYAGHFYNNPLSASSSSTSYSIERGLRSPNPPLTAGLLHQNH LWPPHTLPPPLDSSPHPHTHTHSHSHSLSISKSPQAIPLPHFRRDSIGSSNPIYYSSE IPSVPGQSNSQYNYRESPPHSTTTTTPYFPSAHHSVSYSSGYTRQSSPVHIPPPLRFR YSASPPPLLPQPLDEINMPPRKKVTGDSSTTTTPRTMSSATGIGGSGSVSRSGRKGVN GKGWTMEHTYDSVGQKKEIIVIDDSQSPSVHQQTQPIRKRTRAQVAAEQAQAQAHEQA LHQSQNSIYNGNANGHGSTSSLISSTKKRKMDEGSEHGSVKKAKGKLASTATSASVQA TGQSYAHQQYATQQKTQQPQKTQAAPPAPPGQPAPPPWDDAEGHYIVKPDDVIGGRYK IVRLLGQGTFGKVVEAKHIETRRKVAIKVIRAVQKYRDASKIEIRVLETLKKNDPKND NKCIHLDEYFDFRNHPCLVSELYGMSVFDFLKQNGFQPFPEKHIQDFARSLLKSVAFL HSLKLVHTDLKPENILLCSNDARLVGPRVKNSKSKSVLRNTEIRLIDFGSATFENEYH SSVVSTRHYRAPEIILGLPWSYPCDMFSIGCILVEFYTGDALFQTHDNLEHLAMMEVV MGKFSQRMIEKGRHKKPEFFRGPKIDFPNATVSKASRKYVKNMRSLQDIIAPTNKHQH QFLDLCLRLLDHDPDVRLKVQDALRHPYLREPIPEPA L199_001495 MSGGGNIKVVVRCRPLNSRELARGAKGLIRMEGNQTILDPPEQT GQTSGRATEKKPMNFSFDKSYWSAGPRDDPKYASQQTLYDDLGVELLDHSFEGFNTCI FAYGADKGIIPLTTSELFRRVEQRSEKESALSYTVEVSYIEIYNEKVRDLLNPKNKGN LKVREHPSLGPYVEDLSRLVVENYNQMMTLMDEGNKARTVASTNMNETSSRSHAVFTV ILTQKRHDPQTNMTGEKVSKISLVDLAGSERQASTGATGTRLKEGANINKSLTTLGKV IAALAQASTDHSKGKKKKDDFVPYRDSVLTWLLKESLGGNSKTAMIAAISIFCVVVEL SLTWEWATDEETLSTLRYADAAKKIKTHAVVNEDPNAKLIRELKEELELLRNRVSTGG GLDEATYDPAIPPEKQIVTYRTKEGEIKKVSKLELQDQLQASEKLMESLNLTWEEKME KTQKIHVEREKALEELGISIDKDMVGVHAPQRHPSLVNLNEDPLMSECLIYQLKPGTT VAGSVDDTKAHIKLSGAHILPEHCIFTNEEGVVTVEAMPDARTFVNGKRVPPKAPIKL QNGFRVILGDFHVFRFNDPASVRAQRQKLQGSVSIDNLSGVVGGIRPDLPSSRPDAEL MDWTAARREVADIEKLGDHDLDRLFDDIVMRTQRKRPESRYDISAELESRLMSASETQ ESLDPSSNPWANGQMATTMTSNSVGTPVAQNNDKSNLEEGSEAGTEHPLPQISQIPPV QKPSDSALHQEHLTRQLKTMAQEVKRIRSQAAAARAMEQTSIEPANWTSRELRLVQDA VRRWKRLRLFAMAEEILMGAVNVREANVIAREMGEQVSYNFLIVDGVVASPTSSLDKC NGIVEFEDVSDTVTSNTAGAAVVIKVIDKVSQSIYVWDLHRFQQQLSKMRRSLVLKQN PNYSVHFRVDGTFTDTLPPSYSFVGSAKAPLRLLANQLSYTTTVPIVCQYTMEAIGSC RVNFKSSSPPSSGIATPESSWISLNNVLTVGNKLTFTITIGGVKGLSSVDYASIHAQT RLSSLVGSSVVSEDIFASLPIDLDKTSVAHLNLKRTISVILTEEMISHIQGSYATIEF FAKVRTEYLERLERWDKTREASPPDSIPGTPRELDEVKPAMRRCETDFVGHEHHDILA SIEIRELASNGDYIPCEVFDDIFQLHQGLQRRLHVKLTHSSGKAFPWERIQHLSTSDI RVVDKSNISSISKSHVEMRLNTQDINHLPDGTSVLEGSGVWDTSSHGCKQLDRRTPSD QYLLLKLSFLVDVQTLDEPAILRMDLRVKILGRDSRRSSIFSTIFASTKIYNSVTSIF AIDLTPPIARSTDDLWRLDTSKKHVKGEEVLKGEWRPRSLSLIEDFMKMQRTIRNLAD VQATQSILEVMGDIERKDLDKDERARLMKRCLELWKMEMDQRIIINVKRETPEEEAVA RKLRKLLPDLEPKLVPTVNIQPKVETVIRSGPLVLLRDSQNNQWSKLIFVLRRPYLHI HETPNSREFQIINLSKANVTPSPDVEMLLGRKNAFTIFTPTNSYILQAASEKDMRDWI GVISTSSEL L199_001496 MSSTEAPSTEEQSAAKASSGGENDPSALNAEYQAEDTDITLRSS DGLDFKVHKWALMGSSKVFHGMLTVENTGIGATLELMDEKIEHSRNIKSFLDLAYSKS MIQFPLNGDDRSPTLDLIDFLLTYEATYPLLWLKASLQAFIAYNDVDPLDMFIIGVSE GHVITSIFHVTGKGRRDTTWYQWATRPRPHSSALLSLQRYSRSVFLGYESSLLHSIAE REYMVRMAPKVCE L199_001497 MSSKRGSPSSDTESSSKRARTYPVINDYNRDYQDSEADVTLISS DGFEFKYRFSIIGDNSDRTIDLSDETIERSSTVSLFLQTCRGQTPKFSTGQEVYCLYS DLIDFSKKYDAAPTIQLISAPIYRYFHVKQLSSYYMFELGSRLDAPEMVSLALRNSKN EVWLATETYTADEQEKVKNPLATLGNVIDALWTDPSSWSIQLLKTIPADYLFAMLRAN KYGRADAEKVADGFVQIMIEMGEYTVRTARRAS L199_001498 MTDKTKNQILFNDHSRDFQFEESDVTIRTSDNVTFKIHRFRLMA VSAVFRDMMAIGRGHKEELCLTDESFEDASTIGKFLCFCYGRSLAVPATKSHKPYQKL INLCNKYDCPGMLAQLEALVYKWYIEDHLAPRNVFVLGCSLNQPKLAIYGITHAGSWR WTANSMDITEAEKARSKDRTAIISSVVGCSVMDPSGLAYHDFKDMPDAWKFSLIRAAW GKIKDGELSKTDWKKIAEDFERIFKMVNGDLPC L199_001499 MPDEEEKPIIVNEYNRSFHLDDSDPRLVSADGVVYKIHTWKSKA ASSVFQVMFESGNNGDTLELTDKTLEDGRILCMFLQILYGIKLAEPSSGWDLKRNHEV LLRLIDKYDAPAAKQHLTTCFRLWAASEKFGSDRYLLIASQLNQYELATTSLKHAMAR PHTWTGPSEGVESRQALLFHGLKGENTTNIAGWPFDDFRQLSHEYIFGFMRAKEIVME PNKPEVDYKLVAAEFEKIMKDLTLEQAN L199_001500 MPAVKRAAEGPEPPAKKRAQFPKNRDDWVWWHSSVPELLKKEHE EGRHLIVISNQGDPREKIKSEWRAKLSLIAAKLPKEIPIRILAALSKSDVYRKPNIGM YEVVEKIYRDRGLVIDLENSIFVGDAAGRAAKGSQGKDHGDTDHKFALNAGLKFVTPE EHFLKHPRPHFPEPPNGFRPAKLGNLASLPHIVPSHTPITRPTLEIVLFVGPPASGKS SFFRKHFAPEGYEHINQDLLGTRDRCLRVAEQFLTAGKKVVIDNTNRNRETRAHWIRL AMKLKIPIRLFHFLCPLELAKHNNVYRACYGPPDEPTRTLLPTLAFNSYAGAFEKPSI DEGFNEIRGVNFHFEGSEQQRRKWDMYMLEPKR L199_001501 MSSQYTPETFKVLLKKLVQTPDDFTPEDCAQCFRHLCVQGASEA QAGAFLTALTLSGLESSPDIVAACASVLREHAVSVRNLIPEGDGYAGLVDIVGTGGDG WDTYNVSTTAAVVVAGAGVRVAKHGSKAATSTSGSADLLLSLDCRLAFPVSEVHTFLE HSPFLFLFAPHYHPSLAHIAPIRRNLNFRTIFNVLGPLINPARPQRMLLGVAKQELGD TFAEVLRLLNVERALVVCGKEGLDEISPAGETWTWWLENGQITKGSIHPTEDFGLPLH SLSSVRGSTPDLNALTFQSIMSNSPAPPHLSSPASADSPSLDTIRDYVLLNAAALLHV SGKARSWKEGVDIAREAIESGGALAAFEGFRDASKKAMGEHVNEMAVEDDGGIAAKNG FVKAWLKERGRKRADSTKQD L199_001502 MALPLTPPYTPLTDSSSPILTSKVQFPVHPNAIAAKALLTPPQT PELDYRTSEEQGRKLSDNEISYYLPSRGDGVNDMYVHHTLLASSSRMSPSRILHIWAY QLLLHPLLSSTVKFEDYEDISFVPSRPRNTIEALSIASDRFSYLNDQRDIIDTYLNGP RTLSNDRLGYLVVKAPTTSISAQEKGEYEIMLCATHYLGDGMALHSFMNEFYTLLGTD LTTQDISKKINERFISEEIVEIPQSLEDRLPPCKSKLASAVGKEELKRSDSKLIGGQS FGSPKEKLQRHTIVPTISYDKDKTKVILGNCKKNGVTIAHAVFALCNLAWARRTESDR IDPCLIYSALNLRPNMLPSSSTAKESFFHLAVGYFNIVLPTLIPSTISTEELFWHRAR MTKQQTIKAVKSPFVVSRSQNTSIVRKERAVKWAKIDDEEEMKARSNPGLGLGLDVEV THSAIQSSQQKKTPQALQLPTPDNTPVIPEERKVSQKALMGLSMLGNLDGMYRHSEFP SIQLTSLTTGSRQRQSGLLLFAYTFAGKLWFSLGYDKNGFPAGVVEGFWDEVKTLIEE VLV L199_001503 MAPNDPPPAWKVAGVVGFYMTVALVMVMTNKWVLSTSSLPLTFL LLQLSTSVLLLHLLPLCYNYVPPRWTRPTILAVLPVSIVNVVGLMFNIYCLKLVDASY FQVARGLTLPMTVVLQALMNGMRPSGWTIGACGLVMWGFTYSFLPIPGLSPTPTVDIY GVMEDTRRMEAPMAGMMLGVASAAMVAVHAILVKQALKKVEGHTLDLAYWQNALSALV LIPGIIFSGEIGDFIDMVIGKEGDGRAFIIGSGVTGVVGFLICLAGLLSIKVTSPVTH MFSSAMRSVLQTMLGVYLFDDILNASRIMSIVLIIIGSCLYTWNQSRGSLPKSPVQED RKRLLTGQEKTSYNYAADPEKGERKD L199_001504 MTAAVAPIPPPFFSPYLDDQCNKINSKPVPWEGYQRAKLLSADE LSLLKSLNKLPQGQRSTVFATQGQQYAKLYIDLLRKLQRVDTVQAVLVSINDMLQSDP ATISLYHNLPSAENPEDPYGPLVKCLSMEEEFAVLGSLRILALLIATDPKPFPQSLIS TLLGSLQTLLNGTRQPLWEVAAQVLSAILGRKQFRQAVWDEESCISGLVKSLKTNPNP QAQYWAISSIWQLSFEQTAAEGLDKKFDIVAILTNVAKGAVKEKVQRVVVATLRNLLA IAPSQNLPSMFVAKLLPFVISLQSRKWSDEEIVEDLDYLKEELKTRLDGLSTYDEYIS ELESGHLVWSPAHETEDFWKENGMRIGQEADGKAIRRLIELLTTSKDPLVLAVALHDI GQFIKWGGDKSKKTIDNFNGKTKVMELMGHENADVRYQALMTVQRLMSQHWTK L199_001505 MSQPISFTVRPPVSASYRPSPLGNGSSRGAPSRRLFEQNGHDEE SDEEDYQSGSRVSSKPRDEKIEGFGNGKALGGEKPSGPLVIPPLPNKDWRAHSSSSRV PSYRPEARDPNEEVETHERTGDGPQKSGLRNVARPIKSEPIEQDVDIKPDIKSEPELG SISGVEMKSEPLSLEEQALQAILKGEVKMESEEERLRRELVIGGPNPLTEEEALKRDI EELPEMSTAEDYAAIPVSAFGEAMARGMGWNPNSSDGTKIHEPKLRPALLGLGATALV QKPPPPSRNGSSSSKKPQVTKRDSMKYNLGDSLIKKERNGENGSSGVSTPVNGSSRRT SPESDGSYAKRRRDDDYDRDDNSTRYETDEERARRKAKEREREYETDEERARRKAKER ERDYETEEERAQRKAKERERRERYDSDRERDDKGDRRYRDDRDRRDRDYGNRRDRDRD DRNRRDKYDRDGDRRRDR L199_001506 MAANISLQSSSIRDVTKMERIGAHSHIHGLGLDSNLEPRANSQG MIGQGKARKAAGVILKMVQEGRIAGRAILMAGPPSTGKTALAMGMAQNLGSDVPFVML TASEVFSLEMSKTESLTQAFRRSIGVRIKEETELIQGEVVEIQVDRSITGATKTGRLT LKTTDMETVYDLGTKMIDQLQKEKVLAGDVISIDKASGRISKLGRSFGRAKDYDAMGA DTRFVACPDGELQTRKEVVHTVSLHEIDVINSRTQGFLALFAGDTGEIKPELRDQING KVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENELAPLVVMASNRGITRIR GTKYKSPHGIPADLLDRMLIISTKKYEEDEIREIVKIRAEEEDVKLSTESIDLLSTMG IQTSLRYALNLIAPSNLIAQRRKSNTVDIQDVRLAYKYFCDVERSAQYAKETSGMMFG ETEEEIGANGNGMQIDGQ L199_001507 MDESTLHDLDAELRAIRLDDGPRLQPLRLDKMFLRARQWHAANP RSYASEPLYRPPTPPKSAPIYPLPKPLLSQSMPEGKGKEREGKEEDVSPLSALFDHPE LIPLVLDSFDQPRDLARISRVSKEWNRIARKKLYKHIWVRPWEDGCHFKLVLLFDTLH KHPELCRMVKRLDVRFFPLAARGEERSEMDDQVQKAMGEMDNLESLVWTRDRSLNPSL FERIADLSHLRSLEVSGHSYRYYDPSLLGTMPALEDLRIMMPDPNLKSKLVDVIKALS ARPIGGLRGLGIICQSSSLVDDAILKTIASDLNKLKRLTLWGCTRVTTDGVFSVLQAA GDEVEELSLDALTHSGLMDLSNSPSLPRLRTLSLSITVPHRDHKEMNHTISFFELPML PSYPSLESLHFTLSASQLFLPLAAYQQFHGQIQHPEKIRKLSLINLVIATDTLEFILE EYESLEELYISVNSKTTILDSQGLIENGQKLKILHVNGPERWGPNSDDLKVISGRLKG LQQVGSGNRVYEVHRTLTEDIDGNGNFVEDTQLCRWSKSWIPGYFQVWRA L199_001508 MSAIQEVDKQLVINKYNKLFLFDDSDRNLISSDGVKFKIHKCKL QSFSPVFQGMFEAGDQYDRRTGKSRLQLTDDTLENGKIISVFLRIIYGIKLDPPSDWL ELHNKYEPLVKLIDKYDIITAREHLCICFTLWATTSEFSTHRYLLLGSQLNRHELVIA ALKNNTDSTWQASTDNLIRREALVEYHIKRENTLNLASWSLKHFRSMSTDDIFLWLRA RENVLGSAKPKMDYQAISTEFEKLRNDLR L199_001510 MSGRDARDRAPRVKNRAPAAVQITAEQLLREAQERQEPSIQAPK QRVQDLEELSEFQGRKRNEFEGRIRYSRDSIRAWIKYAQWESSQNEFERARSVFERAL DVDPRSTELWLKYTDMELKARNINHARNLYDRAVTLLPRVDALWYKYVYLEELLLNVP GARQIFERWMQWEPNDKAWQSYIKLEERYNELDRASAIYERWIGVRPIPKNWVIWAKF EEDRGQPDKAREVFQTALEFFGDEEEQVEKAQQVFAAFARMETRLKEYERARVIYKFA LARLPRSKSASLYAAYTKFEKQHGDRAGVELTVLGKRRIQYEEELAYDGTNYDAWFSL ARLEEDAYRADKEDGEDVEPTRVREVYERAVANVPPALEKRYWRRYIYLWLQYAAFEE IDTKDFGRARDVYKAAIKLVPHKTFTFAKLWLAYAYFEIRQLDVTAARKVLGAGIGMC PKPKLFSGYIELEMRLREFDRVRTLYEKFLTYDPSLSSAWIQWTQVESAVEDFERVRA IFELAVQQALDMPEIVWKAYIDFESGEGERERARSLYERLLERTSHVKVYISYALMEV SVLGGGEDEDGNEIEGEAGDPELARAVFARGYKDLRAKGEKEDRALLLESWKSFEEQH GSPEDLAKVEEMMPTTRKRWRKAEDGSDTLEEYWDLIFPDDERDANPTSFRFFQAAQQ WAAQRGGEEGEGGLSYDLPSDSDEEDDEDEGEKDAEAMDEDD L199_001511 MPAGKKVDTSHLTLDQIFVPGSAPSIDNRPDHALETIPNLPPEP DWTNAGFSFEPNQAEEFFERVDRLCLPPSESRSVDEMLAMMGIDHSMDVDGEEDEDEE EEDEDEEDEDEDEEDEEDEEPESGTPSVHTIDHALLDEMDEEEVVKAEFDQSEGVNVA LTESKKDSQLIDFCSRFTKTQMQKEELKKFVEEYRIPVVECMVTNIKLENTPLYRFDE EGWVIQAFDWYSSLGHCHLDIIEIPEVDLLCERFLVPLFVAYQQNPHLEHIFNAKTSF INVERPGVYVMHPPWKRISKSIRKYENSGLISNIEADPITTPAYLGLTNSMQQRFQHH VQKPVNHRVAADNSDLPMSEWKYTMVAKFDGDEEPIPHIAMHIVHHVFLGIFSLTDKY LGGLNVSPVDYLHLRTLLPATTVIRMVKVVDKLLESHPERLLPRYRDSKAWRYFLQPL LPDECPVSGETLRDLLRGSNRESIFNRARPKHLIEYWDRLDDYPRFLSFLQQFGTDVP VEPATASFLFTREYPQTTRLLGTDPVQSLDALRQLVKEISEEYKF L199_001512 MSTQDHLDAPPKKVDEWHENDEILDQDAVALAATYTPGSDAEKA LVRKIDKRIIPCIWILYTLSYLDRANIGNAKTGGLESHFKLTSSQYSIVLLVFFISYV VFEVPSNLLIARLRPSLYLSGLCIVWGGVAACMGATHNYQQLAGVRFALGVLEAGFAP GVAFYLSSWYKRYELASRFSIYYTATAVSGAFSGLLAGVITQHLDGARGIEGWRWLFI IEGVGSSFVGCFTWYFMPDWPSTTKFLSGEERVLAAQRLAYDGLASTAGAQGHLGHWQ AIKMTVTNWRTWMFVFLYMLCTGAQTIQYFVPTLIGAIGWTGYVGQYHTIPLYAAAFV FILSFCFAADRLRKKPEAIILASSMGVIFFIIVVATTKHMVQYVFLILAFGCVYALPP LILTWVPNIIGHPAEVRGATIALVNALGNSASIYGVFLWPKTDAPRYIPGFSATTVFM GLITIGTILMKFLLAKYPAEQLDAEKMVLDEIEKQRAQGRLPPVQA L199_001513 MSLENNLTLDILRKAEAGGYGIVAQTCYDAGMARGLVRAAERNK SPAILQLFPITLQAGKGPFLQYCLTLAHNASVPVAVHLDHATDAEHLELSIGLAEKEG IKFDSIMVDASHADNEAENIAIAVPYIKRCVAQGIATEVELGRLEGGEAGLREITGGM LTDPANAEHFMKETGAHILAPSYGNLHGSYKFIGGPKYKLEILQDLQKRFKDRIPYLC AHGTDELPDELFQDLVKSGVSKFNINSWARDPYAETLSKALASKPFPDSEEEAIEAFA KVCDRFMNLLGSSGKA L199_001514 MDQSNIQSMDYASSGSNTQFNYSIDQLQPDPEQEAGPSSPPSST KKRNRRESSSEPTRVQLACFYCRSKRIRCNGVKPTCEGCTKAQVKCEWPTSRAKKRTK KQMEEARAKEKISGEGVIADDTQQNTSFTDSFTADPNDLWNLANSTSNYLWPTDFSLP TNGNGNGNGNSSVGITDFSQTQTMQPPLASAMLPSTSGPTTMTDLGLTLPTDWSPSAD LRLANALEDQMAFINGNPGEDKDLELFYYRCSGSTAIHPGVNRISLKLQRRSAQSPLA APQPAEDESPSAYVTPSSDLFDDQGMPHLHVFQPLLALFLKHSSQHFPSTSHQRMLER LETGTMSQFLACCISALGARFSQKDPTLAAAPFIAKAQELVIPLLHLPTYDVATGLLF LAWSNYGQNSESGLWQFSGMAIRMAIDLGIHEVSELYESPAHMVRTRLLFWSMFVTDR IIAFATGRPASIPEDIIEIPLPTDEDFFPDPARNLPTSPVEPVEPVPFVQLVKLMIIC GRISNVLNGRRGKSRTLVSSVEPLPELLADLKIRLIQFYSNLPESLKWSADNFKHQHN RGHGGTYLSLHLWANAVLALVYHPELLKSPSGIETPLNKSMDRNVKLSLASSRQICEC MVFADLVDSKSYTSTPYLTQPLFVGAMAFIYEMRSLSISSSDPTSQTSATDVLMLSMA KQNFQALLNAIQRMEDYWAGAGYVAQILEKRSGSPRSTSRRANKKTFISLPDTGLLKR FTTDPEHPSNVAAHTETSLRESIARSERGGSLSASGPTPLWLADLMSGYTVQNMSFAP ADTLDLERLLASSAGYGIGETSGSSGFGMLKRSESDGTPGSAGKV L199_001515 MSDNKQKVILQTSDDEQFTVEKIVAERSAMIKSMMEDLGEQEGQ AIPLPNVSSSVLTKILEYCDHHKNEPLPAADSSDADDSRRKTSEIGEWDARWIQVDQE MLFEIILAANYLDIKPLLDVGCKTVANMIKGKTPEEIRKLFNITNDFTPEEEEQIRKE NEWAEE L199_001516 MSNQNKNNLPPWYSGGNEPSSGWIPSWNHVSMDSSNSTNPQQTQ PSYQPQDNPHWYPGQLETPTPELDPTRGDPAPPRPPVRNPSERQQQQRHEQLQQSQYY DQAFSRAPSANTATESQPQQAPRSSSIMPSPRTSSVTTQATPAKTDSTEAGPSESSKQ TDRKGGAGGAGALGKKRKRKSQAAAEVDDQLAPSPSEPDKDKEKRTKTGRACDACRTK KIRCDILPSGDAPSGLDSQPICAHCKQSNLECTFFLPITETRFKKKRQTADKLQAPSA DTQTQSTGVVTSGSSMQHQGSPDTYDYENMGGGATKSNDRGGGRVEGPTSIAFLLHTT IPTIPSEAFDLRHHNSWEVLEDGNGVIRVNAPPSAPLHADADPSDPTRAHNRLNKPIL SGQMMSLLVNAYFSEVAPLFPIVSRAEFAAKTTPSPLMLYSICGLGATRRQFPREIFA GVRGVINGLLRSNDILSDAKFENVQALLLLAQVGDLHAQPTAATASASLIRTGAAIRM AQDLGLHRESALRAQGPQDLAYVELRRRVWATCVIMDRWYGAALGIPLLIDLLDCDVL LPAPYAISSLTEPSEWPLEPDFMALSEHLKLSILIGRVLKMIYSPTGLKHATDAQLAG LVSDMEKWKEQLPEELQFQGKESPHVAGLLHLGYTALQFLFWRVFMRITYSCPPHLTF CVKVSHWSKMIAWSRDALEWLDANDDALDTLFVFPYAATSCALIQYHTWARRGDSVAL DTLKLVKETATRWEHAVQPDQMSIRRKTCETMTLLYEAALKTDPDSQENRMAPKLPAN PTAGVIPRQGGFSKLQFKKDESMKGGGVFVAESETERNLSGLNRGDVVLSSGIDPEQA QDLQDQAHDRVQTHDGQIAKANVSADQQASEGPQQDVSQAKDASLKDDFQIMPKGSYN VNPLINQDGAYSDTGMNFNQSDEGGMALGGGMMDITRHTQQFPMPNYPTQPHELKEYH FQQIPGSGGQGGKPEQHDTQTQTQPHHNHQMYAGPGPGSAQGPGSAPQYHQGLPHEPH DNNKTNGYSNINPYYFNQQGQGHTGPPDNNNNISGNFDPSFLDSLPVSTFDWESWTNY FDKFLPAANQNFETMQ L199_001517 MVDATANGSSSSNVVLITGAAGWLGGILASELLSDPKTPNVKLI LADIVEPKAPKGAQHALTFKADLTNPESISTLYDTEFGTPDTVYCFHGIMSRGSEDNF DLGLKVNIDSIRYMLEAARKRKTASGELIKFIFTSSLAVYGGPLPHVVDIHTIATPEG AYGMGKLSSELLVNEYSRRGFVDGRILRLPTIVVRPGVPSAATSAFISGIIREPLHGV EAICPVGNGLDSPELELAAWVASPEITIQNFVIAKHIPKEKFLPHTRVAYLPGFTTTV REELEALATVAGKDALKLVTFKDDPTNRRIVSSWPARFNNEYPLSLGFVVDQGGMVPI VQRFKDAVEAGLA L199_001518 MASPRVSAEPTLTEKPRRSFFGGNRNAVKQPNASAPGPGVVGQT ETGAGYPSHTGGRRQGGFTHDFKFGTWIRLHAVDLITMAAMGAIGLGVYEADPAPTRS FPVFNIDGSIAYPEFAYPLRKNIIPIYAAALIAFFVPFVFFALFQIRIRSLNAFLGTT MGLLESLITAAVFQVFHKWLIGGLRPHFLDVCKPDVSQLVQSGDGFRQIMYTRSICTG DQDEINDSLESWMSGHSTAAFAGFVYLFFYFNAQLKVMSDHRPAYWKMILTIAPLLGA SLIAASLTIDEFHNWWDCVGGAITGSICAIIAYRKTFAALWDFRFNHIILPRSTSLFH RRAVDGEVSTSRFSYTPQESMVQRPTAGEGGWRRDWGSAGAPSDASALMV L199_001519 MTKGSDLMSQPPYTIVLACDDAGHDYKSTLKSLLEADKRVKGVI DVGVHKNEEGKIDKTAYPHVAVDAARKIINGEADRGLLVCGTGMGVAISANKVPGIRA SVAHDSFSVERLIKSNNAQILCLGQRVIGIEVAKKLVGEWLGHTFDPESASNEKVKTI HDYDGFGYEAVPGGCS L199_001520 MTDRHIFPDHTTLVFRSLRGLVASNPYLNLIPSLKVVYRADHDS SKVSLICGGGSGHEPGTVGFVGKGLLSASVAGDVFASPSARQVNAAIKMVGSEKGVIL IITNYTGDNLHFGLARLMAQSAGAKNVELVVVGDDVSVPRSRGKYLGRRCLAGITLVC KIMGAGSEADMSFDELVPLGRSLSSNTASIAVALDHCHVPGRSGDGDWHIEEGRCEIG LGLHNETGVFNIAQPGPEELITKLLDLLLKQDDPERSFVKFKDGDELVLLVNNMGAMS ELEMGAVVDEVLVQLESRNIIPVRILQGPFMGSMNMPGISLSLLNLTNVAEENSFVST SRLLELLDAPHNSPAWPATSQRYPLPEDLRNRKREDAFTEVEEEKEEVYTGGAKLVVD PKPIQQAMKTAAENVLALEPQLTKWDTIVGDGDCGETCALGAKGVLSALSKGLGSNGD LVELFRTLTQVIDDSMGGTLGAIFSIFLAGLTTSLIKHAEAKPDEKVNEEFFGKVVKE ALDTLQQRTAARVGHRTVMDSLIPFAETLSNQGNLQNAVEECVKGGENTIKQEAKMGR AAYAGTGAERGEMPPDPGAMAFVEVIKAIGKVFA L199_001521 MSPSSSPRRDRDHLPFPHNHVNIPPQFHSMIAGAGAGLVASITT CPLDVVKTRLQAQHFARGSEGYESVSIIISKIWKSSGPKGFYRGLGPTLAGYLPTWGI YFTVYDLVKDRAGGWNASHGPLQGNTAIVHIFAAMTAGATGTIMTNPLWVVKTRFMAQ AGTADSSSRYRTTIGAIRSIYRTEGFRAFYKGLLPSLMGVSHVAVQFPLYEKAKSWAD SDNGDHSSLPPTTILACSAFSKMVASLCTYPHEVLRTRLQIRKSSSSTVTETTSGKSK LHPQSIPTGATSATPLYSPLVTGNQPPHPHAQTNFNSHPSINNPIPNPLPKIELPKPP WYKQIIHKPKKGGVIYTFLQIKNQDGWRGFYRGLSINLVRTVPNSAVTMLTYELIMRR LSSFSDQ L199_001522 MSGEPSSSSSKISKESGRTPEQQAARDARKAAKLAAKASTSTST TAVTEHPADASAQTDGTIEGTEGDAESSKKRKRVVPEGEELEIDVSAAAPLSKAELRA QRKKARRGEEVEVVKREYEKPDKLSKEKEEGEGEDTKQDGIASGGSGKRQNSIWIGNL SFKTTVDSLTEFFEKNITEAGGAGNGSITRINMPKKNSREGFAQNKGFAYVDFKTPEL QGLAVGLSEKFFEGRKVLIKKGDDHAPTPNARTPKPLSTKAEDLGSSSRRPETSSLYM GNLPFDATELAIRDQIEENAVEREQPSEEQEALEEIGERGGKKSGLKKVRLGAFEDTG RCKGFAFLDFISVRHAKVALANRKNHYFNGRRLTVEFASEEAAKRSGGKSRPKLPIGD KPRKFYDSAPAAGETSVGDEQDGTSEDGPVEKKNDKRGKKWEVTGRPRPGAALAMAKR ENVGIVEGSGQKITFD L199_001523 MLALTRPAISSSFSELRSQLFAGPSSLANQVRFASKAAGGKSRN GRESSGKRLGVKRFGDQYVPPGSILIRQRGQTFLPGQNVAQGKDFTLYAVQPGYVKFY QHHLPYPHLSRPDQPGPQNLAPVKRPRQLKQFVGIVADREERLPRDELSRGRERRFWG WPKEKAEVIRQEGEVASL L199_001524 MKPVGSLSLGRKLPSAGSLLVGILVGLLVASLLRRGDSGTEWRG SGSKNLRPNAISGSSRLQRSQITLPSLQQRLHILQLVGTLSAHHTKECLRHPQQIYVE QAKERYLPLFGFKKSWSNSGSFLARLFGGSKDADQLMPHQRKMENIKRDLSSQQHKYF FAINLYNSFDVIPDIFATLFRTAAILGYHNVFVSIYENGSNDQTKALLKIFDSLARTV GLRIIIRTSMRTRGQFNHRIEYLAEVRNAAMVPLHELRDNEGEVFDSIVFMNDILPCV DDLLELIWQSRRQNAGITCAADYMYHDDIGAPVFYDNWVARDINGTALENAPFEQVFH HTESNHRFQRHLPIQVQSCWNGVAVLDPAPFYHPPHVKFRMARLPDGECSASECSLIC NDYFNAGYGRIIMVPRVKLAYDKKVYDIIHPERRNLTAIRGYKRIGGLPDDPHTDPQD RSWYGPHDRLFTPEEEDELTFIPGPEYVWCWGWDGAGDLDGPDVDPIWEHMQPRSYSQ EAIEVKHFRNMPGW L199_001525 MASQEQDPFVGGEGEEDFDLMAPLLVAKLQEAGISAQDTKKLSD AGYHTVEAVAFTPKKTLCTIKGISEQKADKILTEACKMVPMGFTTATEMHSRRSELVH ITTGSTGLDTILGGGIETGAITELYGEFRTGKSQICHTLAVTCQLPVSMGGGEGKCLY IDTEGTFRPVRMLAVAERFGLNGEEVLDNIAYARAYNADHQLQLLVQASAMMAESRFS LLIVDSCTSLYRTDFSGRGELSARQMHLAKFLRTLMRLADEFGIAVVVTNQVVAQVDG GQFAVADAKKPIGGNIMAHASTTRLNLRKGRGSSRVCKIVDSPCLPEAEAIFAINANG IGDPEEMKEQ L199_001526 MSGIPSLNSSITSAWSTSSNSNASTVPKKRVKRSLGLNNNPSSN ALASGSSSNAPSPSAQPQIQGESSNLTSTSQAQANEDKTSTPVVRRKRPKPDPESIAA KYAPPDLKLSLLGGLQAQITQLMEIVVLPLLHPEIYQYTGVPRPRGVLLHGVPGGGKT QLVKCLAGELGLPFINVSAPSIVSGMSGESEKTLRDTFDEAKKIAPCLLFLDEVDAIT PKRETAQREMERRIVAQLLTCMDDLASSEEPVVIIGATNRPDSLDPALRRAGRFDHEI EMGVPSQEGREEILRVLCSNLRLSGDVDFRLLAKSTPGYIGADLTALTTEAGVIAVKR IFEEMSSNPDPVPVPELTQETEINGDQGIIPPPSVSDGVENMIIDDINPIAIPTSTPT LAPSTSTSTSLAFSTLSSDLKETAIAKFLISHPSPLTSSELQSLHLTSEDFKKALKVV QPSSKREGFATIPDVSWNDIGALTTIRDELHMAIVQPIRHPELFSVVGIDAPSGVLLW GPPGCGKTLLAKAVANESRANFISVKGPELLNKYVGESEKAVRQVFMRARASSPCVIF FDELDALVPRRDDSMSESSARVVNTLLTELDGLDSRKAVYVIGATNRPDMIDPAMVRP GRLDKLLYVDLPSPEERFEILRTHTSRNKTPISPEAWNGIRDIVLSDKCDGFSGADVS NLLREASTLALRNTLESLGAFEVNPENDPLSGDREMEEKKNKKAQVIVTQDHFVKASL KTQPSVSKEQRMKYERMRDKYAGVPTKGKRSREGGLVDREDDRKVSKKDEGEGEGQMD VA L199_001527 MRPKVLEISWHETQAVYSCDFQPLPSTQLKRLLAASTSQSENEE QSASTSTSTSAGAAVGTVRQYRLATAGGDSKVRLWMIHPNIPSISSLQHTALTGQEIT PHPPRVEYLTTLSKHTAAVNVVRFSPNGQTLASAGDDGNVILWVPSDRPVVSFGENPD DVQDKEHWRLQKMLQVTTKHVYDLSWSPDGEFFIAGSTDNTATIWKAATGECVFALRE HTHNVQGVSWDPLNEYIATQSSDRSVHVNTFAIRNNIPDVHPVSRATRMEIRHSRTPS IPSSTRPNLARRASTTSETGSVITTTSEYPEQTPLRHTSVSGAPATPNASVPSTPQAA AMNPPLTSNRPGSRRSSFSGSQAAASPSLSAAAFPGRGRSPSPIPPLPAIRAPPTSTS AIMQRLYGEEGVTRFFRRLTFSPDGSLLLTPAGQIEDQIFKGSPMLSTRSLSQDTLDR EPTSTSAGPSSVPKPKNVDNGKPTVYIYSRSNLAKSPVAHLPGHKTAAVAIRFSPIFL DLRTSSSSSFNTGEPKHITLDRSDPSPINVSLSMPPPPAPQGEKEKDKPLGSVFALPY RLLYAVACQDSVLLYDTQQARPIAIFKGLHYAGFTDIAWSPDGQTLILSSSDGYCSVV VFDLNELGTVHPTQQHHRQLAAIAQSHSSSSTLSHTMPTPISHRETSSANATPTPVPH SPAVSVARHSPAPVFGSRSEREGSTASSIPAAPVFVPTVNPSAGPGVASSVASASSFE GVSLPTPSDEADTFSFGRNRTLSMTGSESSNTGLGLGVGVGVEESGLKRSASTSGNEM SQQQEGQAEGQPKKKRRIALTHLGDDVGQ L199_001528 MASRRQPHNENLIHPVRRAGGVLHTLSSANKIKSTSSHTKENIL TSKSTSTSRNILDKDVRESKKTPGTVKLRETVDDKKALQSSARPSDLKEPTIKPSRTA LSSKPTGLQPSHNGIKPRIASTPSLKTKKPFTVTQNVTPSQVKSGKLKSTLNVYTPGA STSTTTISKIKSKSRVKVEEGKKDDVDEVEYMPPSVKERRYESHHAITDPQNDESLQP HQPASNMNMDLDLDLNLDTRMNDLCPVEIPENNDLSFEFELPLNQDDFQLDTNHPQKD EFLLDL L199_001529 MSDIEDPSSPVDLKSEDERPVTKKRPRIVDPDEEDDVDDTDEVA KADDEKAEEDVENDLDEDEDDEDDEEDEDDDEENDGERRRKRRRKQKRYRFLDVEAEV DDEDEEEDEDNDYGDVAEFIDEAPEEGVAQSDYQHRRLDRTIGRNEEEDVQDIVQRLK ERHARTAAARYNGDSDAVPQRLLMPGVNDPSLWRVYVKTGREAAICASIFRKVFSQQY SANPIEVISVFFRDSLQGMIFLEARQSAAVSAAIAGIVGIFPSRGVSLVPIEEMAPLL KIKKKDVNLTPGMWIRMKRGKHTGDLAQVVDTDQITSGVVGIKFIPRIDLTPREKRKE RAANGKGFTSSIKPPSRLFSYDDVRKIYGRQSVRQGAQGSYFFDNDEYIDGFCVKDVK INVIESENVNPTLEEISRFSGDDQSTAKFDLSAIADANKNLTVSVLLPGDQVEVYEGE QTGLYGVVETVTSDVISIKAIGGEVHDQIIEVPSRSVRKRFDVGEHVKVLAGKNQDAT GMVVEVKGDVVTLMSDQGEQEIKVFSKDIRKAADTSNTTKTGGLYDLHDLVMLDSTTA AVVTKIEGALLRVLDQNGAARSVTPDQVTLRRDNKRLAVATDSQGNDMKVGDNMKEIE GENRQGEVINIFRSLFVFLHNRDLTDNNGVFVARAQSLISVTPKSAVSDLGKINPALN QQLPYGGASLMPPPATNVNRNRLINTLVVVTKGTSKGLLGTIRDIQGDNARVELKTNN KTLTIALTSLKRKDQKTGATFPLEAGGGPGGYGRGVGAGGYDVNPYNGAPMNGGQTPG NFGGRTPAARFGQTPNPYAAGGIGGGKTPNPYAAGAGAGGRTPAPGWGAGGKTPAPGW GGAGGKTPGWAGSGGKTPAPGFADGGRTPAWGAGAAGMGGKTPNPYGAGAGPSGGRTP APAGSTYGSGMDAGGSRYNNGPVTAPTPYGGPPTVHSAPTPAAPSNPYSAPTPYGAPT PFAGPPTYAAPTPGAALSAPTPGLGGPTPYGAPTPFGAPTPYGGGAGGGPQVATGGIP WDWALDFRNVIVEVGSSSKPNSRSPLHFQRGAHDGKRFGYDQINGESCHCISLDDSSI IEDIPAEYLRPCKPDQPGQVVVCIGGGPEQKGQQRTTQYENDGSWMMEMDHGDLGALV IESGDLCRIWKM L199_001530 MPIIRNLTGTLKPPRTLHPRYNTKTDFAVISYDSVQFNVDKETI LRQSPVIRQVVFDAEVNGQASKGIMFMINRNSCILELFLGLCDGGTLETPGPQYAWRI CEDYSSLALLLRELKASQLYFKLIQQVYQWIVDKQSGAWYFFSFADKANLPYIATCAI AHGQALIWPEEGRFPEFTEDGEITHSPFWGSIGSVNIMDPTAMAIQSFSSLSDKYMYR LCRAMRPSPRLLSFEAKNLRDVTCWKSVASDFQEIMRNFENSDRIDKGETRPTESYSQ KNLKSNYQFDDSDLTIITSDGVKLDIHRDILNHTSSTFKDVLAFPQVQSKSATELELT DTEIETSRTVKLFLAFLYSPIDIKSPAPENVREFIDLIRFCLKYDASDVLDNLRTYLY LWNSVGSVSFADVFLAASYMDDLPLMVAAFSNPNNIWGGGRVRTDHTTRNTSLGMIEG APMFDLTAAPFKWLIQIPMDIRWSLLRGTRRSFYRPLDDRRRLSLGTEFENCVKRIRA YRSGSFKNKNGSKEKS L199_001531 MVHLEFRYLLVSYFRHQALKMGRGPKKHLKRLAAPSSWMLDKLG GTYAPRPSPGPHKLRESLPLTVFLRNRLKYALTGREVTAIVKQRLIKVDGKVRTDETF PAGFMDVITIEKSGEHFRLLYDIKGRFTIHRITPEEATFKLLKVKKHQLGAKGVPYLV SHDGRTIRYPDPAIKVNDTVKFDFVQNKIVDHIKFEPGNVVMVTGGRNMGRSGVIVHK ERHLGGFDIVHVKDVLDRTFATRLSNIFVIGEGAKAQVSLPKGKGVKLSIAEERDQRR RQRAQDA L199_001532 MSAQLDLDSILEFTINLALEAGELIRSGQQKRFASESANEDEKV NSVDLVTEVDKAVEEFITKKIKETYPDHQFIGEETFKGQKMTDEPTWIGSPIDGTTNF IHGFPMVATSIGLAVGGVPVVGVIYNPFLDQLYSAAKGKGAYLNRKTKLPITGKVKPL QSLGHALIAVEYGSARSAPQLPSKVKTFQTLAGSPELGGKMCHSLRSMGSAALNIAAV ASGGLDLYWEVGCWAWDVCAGICILREAGGVMFGPKTSSLSGEINGDLLTGRKYLSVR GIAPTATESSLQVQQRFAKEFYDTTDDIEP L199_001533 MAPKGGNAKKESGRAKKAENEEKKGKAAAAAKEAKEAEDWKSGA KNNSKADAAANKAAEAARKKAEKDALLAAEEASLPTKAKSAPKASGSKAKKNNDVLVK TGSGVAGYGLNDPMGHRRAKNEFGELEEVPELSAQGLEEMLEAMELVNQKTDKAALGS KAAISIDAHPERRFKAAFEAYYERELPILKEEHKGLRLNQMRDILFKQFQKSPENPFN QAKIAYNATKDEKVEALQNIIKTKENKYTVQH L199_001534 MSGYDVSYFEHSLGPTCRDGERITDAFGCWVDSLHQIEALVKAG VKDIVLAVNYRPEVMVSVLKKTEEEFGINIHFSVETEPLGTAGPLALAREILGKDDTP FFVLNSDVTCIYPFEAFRDFHIAHKCEGSIMVTKVAEPSAYGVVVTKPGSTVIDRFVE KPVEFVGNRINAGIYIFNPSVLDRIQLQPTSIEKEVFPAIAADQQLHSFDLPGFWMDV GQPKDYLSGTCLYLSHLTSTHSPLLTDPKQNKWVYGGNVLVDPSAEIDPTAVIGPNVV IGPDAKIGPGVRLQRCVILSNATVRDHAWIANSIIGWNSNVGRWTRVENITVLGDDVT IKDELYVNGASVLPHKSISSSITEPRIVMCKFQRFNFLINRDLSRTLD L199_001535 MRIEKCYFCSANVYPGHGTMFVRNDAKCFRFCSSKCHKNFKMKR NPRKVRWTKAFRRANGKEMVVDSTFEFEKRRNVPVRYDRELVATTLKAMERVAEIKAK REKAFWKNRMSGNAAKNARDAALNIEKHIELVQPRSGTTAAAVPNLEEKEKIREKIKV RAAGRKAMALQQLEGKTKNKTKKESRLIPSGGASMGMNVD L199_001536 MSTIVDSRPRGTYIPADCPSCRSQQEYMVPPTFIGTLRVRCAAC KQFFTHPQPKPTSGTSRPGGSTSNNTNGPSRDTGRRGIGTDKNPIDLAYYEVLGLDSQ CTTEEVKKAYRRLAIKLHPDKNRDDPDAEEKFKQISIAYQVLSDPELRHKYNEFGQKN GGGVAEPAGGFQDPEEVFGKMFGGDRFEDLIGQISIGKDMKDAFQQQHEAEAGDYMIG PTGKPMMTPDAMQRKMLRDRAVAEEKARVRRERVEKLAKNLVNKLNIYTEAAKGTEDK LVGASFKEICRLEAEDLKEESYGTELLNAIGRAYQAKSAQHMASSQFAPLGWFHGAKN TFNVMGDTVATVRSALELKAVFDKLQQAEQSGMAPEELRKLEEQAAEQGIRTLWKGAK LEVESVVRETCEKVLTEPSIPKEKLHMRAYALGLMADAYLAIRKDGESPAAEEFVKVE TPASRQRDAANASASASGKPPVPPRPVNGAASASASTPAPAPAPAVPPRPTTQTQEKP AAATTSNTVAADKQKEETLNAAYKAYESKRRSSGNPGGPNDTFS L199_001537 MPPPTALFPTLTVSLSSPDDILLEPTSPPLILPILDKRQTYVTV SVVNNTTNDSTTSEKSSGFPVAIAIPALIGGMALALAGFGIWWWWTRKVKRERREAWE ARQRRKRKRAEQSARPSVSSATRTPPSGGQKSPINEKGFVPPLPTLPKHAATQDPYKE RGYGYSGQHQQVPPPSSQVGGAFGYATQPGLEPQQASYGYDQYGQPIIQQQQQQPQQY GHSRVGSNDSTNPSNPFSNSNSAVPDLPPTSPTKEEPQPSGKTEKSSKRAQARMNIAD SAAANASVDPAYRHQPKKPSPLALAAAERKAAEARMEMEYLAPPGAEQTLPAYDSGNA PGANRATSGEWGVALGSPNNDGQFDFNRQQQQGQYDDNVGTHEDPYLQAQRAKSGMYT EDPYASYHGDIGDDDDVYHKAAEGMGLGAGNVTTSSTPKKSRWV L199_001538 MQQLLNPFAQKYPEAVDSTLSSQGVSILFNPYGPFAGHYLAVGG SDGIVEIWDVETRGIVRLLEGHVKAVGGLSWSRNNRYLLSSSLDSTVIIWDLSILTHP QLKPKTPASSSSSSSSSSSSSSSTSRLHTIRFDAPVSTAVFHPRNSKIILATLTCGEV VLVDLRDGSRTVLEDVVEDEDDQGQDDTDRRKKSIMACSVFSPCGSRIYVGTINGMLL IIDPVSKFVRQRVKLATSAIRQLRFDASGHNIITSASDRALRILSVDPLTASLTPLHR FQDLVNRTPWHAIGFSGDGEYVMGGAGHKMAHNVFIWDRESTVLIKVLEGPKEPLIDC DWHPTRPVIASIATSGDVHIWQTSSPDNWAAFAPGFEELEENIEYDEREDEFDIEDET DLARRKNLEEDLLIDVLTPPSDSYPLPPKPIIHLPAQYLAPTVSEELRLQGEEHVKAL IEVMQWADRDPDDDNWEEFYMSLDLNEELGDDEENGLD L199_001539 MQARPPSSGPGPSIEYHPPLPPSIPLSAINTLIPRLTTTINDID SLRNLIASGFNDGTLPTWDTLLQRYSLLLGRINALTNYLYPPNHPSKPSTSTAPLAGY LIHPLNPIPPPGPGANNDISPLAQETFLQVINTQLLPSDIVSNEKQTQRAPQSQSNWH SIEELRRLDERSLELLKRQLRERLNRENLKIDVIKREIERREEEVDWAMRIGEDDEEE EEEKEKEDGEGEGEEEDDLFGGDDDDATDEPMVIDVDAHAEKSNVPQPEEDKGQGEGE GSWKVEDYLKFMDTGKLPSSTDQ L199_001540 MADPVAIDHAAHDGNGQMNDASAGGMTNDVKAAVGQMAAKDLSL GAQVHMFDPDATPEQKAASKAQAKASLGLPSSSTIKATLGQVGSYVPGVTKKEDLDLG GRAVTIDTSTSKSAPTPTVTLADVDKASKAEGEGVGEQDIPGAIPTGSAPPIPTWLKT GWRQVAGLGKDDKTAEESNILAAYLTENMYGAWFHNAGIILFSILMTRFVTVFHLGWG WVVIILAFCSSYYSLSISRTRQRARDDIQRELVKTRLVTETESAEWMNSFLERFWLIY EPVLSQTIIASTDSALAGVAPAGVESIRLTTFTRTITDNSTAPRIDYVRTFPKTPEDI VIMDWALSFTPNDLQDITPRQAAKRVNPKIVLTIRVGKGAVSKGIPILLEDMSFTGKM RVKLKLMTNFPHVQTVDMSFIEKPTFDYVLKPLGGETFGFDINNIPGLAPFIRDQVHA NLGPMMYDPNVFTIDLQQLLSGTPLDAAIGVLRITVLDARGLKATKFGGGEPDPYVAI ALGAKPAVTHTKTVPSTSNPSWHETHFILLNSIADVLNFNIFDYNDHRPDSLLGTVSH ELGTLADDAEQEGVVGKILGGGKDRGEFRYDLSYFPVLKPEKNPDGTVQPVPDTQTGI VRLTLHQAKDLDISKLYGNLSPFAKVFLGNSRREVHKTQILKNANQPIWESSCEFLVP EKHNSVITVSVVDSRDLAIDGDLGKMTVKLTDLLEARARQQDWFPLRGSRAGKIRLTA EWKPVAMTGSIGGAAGYVPPIGILRIWLKRAIDVKNVEAALGGKSDPYVRIMGNNRIL ARTEVQNNNLNPEWDQIVYVPVHSLRENLILEVMDYQNIGKDRSLGHVEIRASEFIES NDGEKQNEYPYKSKGSQERRDKIKLEKANQYKGELLYEVDFKPALSLKGGVSFEAQKN ELELAAEEAVSQDGGAQEALVDTDTPSSALPPNGDGTPGHRPSGSLGGGSTGRPSGVG HRPSQSTGNASMVSAVTAQTTVSKADGPLTPVEDVEKGVDMSVEEILASTSGVLVFQV ISGQLAKKGSLEVMFDDGYWPSFTSGKARSNHPTWDQVGEGFIRELDFSRTWLRINAA DENDDEDIVAEYKCDTHEFLEQCIRGPADFLLSQPDGSNRSIVRLAARFVPVDIELEP RESINNMGVLRVDVLSAKGLHGADRSGKSDPYIVFTLNGMKVFKSETKKKTLSPTWNE SFEVMIPSRVAAKFKFEINDWDRVGSATPIGNGFVDLAELEPFQSTELTLPVISEKHG EKGSLSIRVMFQPEIIARTRQKTSTFSQAGRVVTTIGGVPLGVGKGVVHGGGAVIGGK DKNGKEVLVEQPEPGLDGFEIPAGQVSEPSGTGLDGAIPGGGVPGPKATTLPLGEGTG PSEPGTLGVTVIGAKDLKAGTKPYVQLRLGGKTYKTDHVKSSTPDWDETFNFNVAPGT TSFNVTVYDHHTLGKDPEIGTAEVDIFRHIQPAIPNADVWVELGSGNGLLRLRLDWNT GISGPGRVRNRTPSISSSKAAAETNGNSPSKFSMKSIRKASVDKTNEGL L199_001541 MASSLLEVSFDANRPQTNQGITPSPAGSPRIQRPASQTSLHIAP SSNYSPNPGHEQSPHPMSAYPNQAYRHYQPTSMSLPAPGSFLQGSYPSTAPPVPFYPG AAPIYDSRSSQPMIRTVSHAHPMNSATEATVSSRYSSSPHSATGLPPLDGPPTASGQQ TNPYHSLDMQTPSFNFQSSDSDSRHINPSLFQPSGSYSMSRSTSGASDFGPETTRLVT PGFDYRSDRLSPAEHELRKVSDSLGDYNPSNPLGGRRLWSSSTSSTFTPSFDLQPHSI HLGPHPQPPPDSIFFGGSRANYSNDHFTMSAERGGESKPDLFSLGFGNGNSHDADTEY ERERQNQIMTNKKLLEDVGLGNPSSYSFRSSRNSSSTGGGRPRKGSTPMRQRLRLDGP VRASPRIKEMGRNISYANLDDDDRHAAGSEDEDEYDSDAAREEEDDFRPSKRSKGSKG GYRTKSAAYSAPKMVAKPQLSLWGLLQVYGDIPFLFPLFYYTLNNDLTINSDSVPLIG SIPSTCTPLEKADTLRGFFHRGRRVLAQLDAFTARCDRKYEGPDERWPELDYHTRIAI RDVRRKVVERCENYKYTRRDILDKCLGKNKWPPIDEGLLEWRVGMSTNDPAGDLVNVT LTLPTPPPEVYSQQMRYGNIYTSSTSSGRSIKPFPRSRVGSSVPRTISIDMGEPEDSY SSHPQTSTGCPTGHSGLSIYPPPLTSNGGMYASSAIIPPPPMYGEDEVPMSVQMPSSA PAPALTPATGPGGMNMGITTAPPPLTSSVPSSTAGWSAGNNHTLGQRGVKRSRSHEDR QAGVGDDDAQSFESEEDGH L199_001542 MTSLARPINFPSSRPSFQRAGSTDIPSPASSPKSSSLELGTSPS QQHPCPHLNQFVQSLVKAYWGPNTNLSTSTNGPTRR L199_001543 MTAVNGFATASSSTTTSTQVVVGSMERIEEYQNVLTQLKNQGGN VQGEMVDRILDNATTLPSPPLTLHLILPLPIPSNLLSSIPPSTQLFIHIPSTHTESDL TSLHSSLAFKSFTPLLPTPSSSIIAYTSPSSSSLPTPTSVPAPFSVGAAGGARPLTLK RSGDKARKAALWAIDSPLLEDGGKSLLTPEDKQRPECIFPESDGKKVKRRRACKDCTC GLKELEDEEEAQTQNAIKEAQKSFFLEGDDDIPDILKTATIGVEGVWPTEKRAEAKKT SSCGSCYLGDAFRCSSCPYLGLPPFKPGEQVKLSIADDF L199_001544 MLSIPEEYEIIDCTQPQKVEPSQRLPLPNRLHRAGSILDDPTFL RFSHYSPPIKSPSFSQIPKPVPPFPLGAAHAALVEGIDEGIWRAVKKARKEERREEKR IIKRQKREEGQREVLSRISSGLEVVCIYDDEDEEGDEEGELYTLEEASYQTGTIDDEQ LASPMTPSIKESFPPPSATPLSTAPRPFQSHTQNTFDDLPATQPDILEPLEDISIPID TDQLSSEVSRLPSGQDIHTSSEVTNIITAVEAGYSTSDVMLSDPAEIEMTIAEEGSQV LDVQTSCSSDIIHSSSSAISPEMGSIQERQTQGSFDMAGVNTVNDIVRGGNSISQSAT STDASHVEPSGTSLTSQLSDLITASEVSQNLPPISNQDEPEKRDTGSSSSFYTPSPTP AAIRASTDPNGQLTHPNRSPTTTILETPVRPSDEEDICPSSQFEDHYWDSPLANLGRG VPKPSRNHVTKPIPYYIFQAPISPSYKTGATSHANGESTKAKFDMRKTGYLPTPSPSP SPTKLPKPNFRGHVIPGHSALGVGKFF L199_001545 MSHLPSLAGMTSLKGFLPTSPVKPSPEVPTSPSKIRNIVGDGIK AVWQEGNLGDGRGIRPLLLVTSKVHILQVFTIYNNHIPDDPHITETFPAPEEILSIPT IKYAKDAKKSSVEGVTIGSPGGNEQVLSMTTLKNSVEDEPLVAMTVLWSSTRKSTKGS LALVFISLRTGLAVRRIELGMGSAAAVYSTDKVIAATVSLPVPSIYLFSAKSFEPFPS SPINQVALNPDTGLPAVSLSGRLLAFATSDAPHAPGADGLGSIVTSRTLQPSKPPSEQ RRRSSSNAQDTQAAILSSAVEIGGGVARGVWAGLKMGAKAANRARNTRLAKSAPTDSS GTLGDEETDDFDGEAESRSLEESSTLEEVPSSSSSTIPAPGGEWIKVVDIFARPAGRK RRSLSTSRRSKSSISDYHSPTRVGQYECIAHFRLPPSTSPLPIDTTSPHQSGIRRSSN NRRHPINYLSFSLDGTQLFAAPTDGRSFHILELHPAGIDKAELGEVKGQVWHLYELRR GHTAATVKGVSWDKNRRWIGVATGKGTVHVFPINPSGGPASSCTHVTDKIINPLRLHP LSTVIVPVARLRPRRSHPQDQTQSPTSSVPIAGEAVFTFTCNRNHPLRKKLYCQDISI YRPTSNTLELARISVHTVEQAKISERESQSLQRRGSALTEIMRNRAFGDGSDLGIEVA VKAAWNLPEGNRDISQLDDRVDPERTSSRQVHTKSLARAEIRTHCVNPSILPASIYLS RQIEFFSAKPIDDYSPLSIMDIEARQHKLCFRKEVEAISPLPEDVKPFDEPLLSALHS IIEERPSTQLPGLPNGSPNHTGRWTTTTRIPIRSVTAGVDRMKREYVKAQSQYIKHKH QSQRREKARKEGLGLSFEDEDSISILNPDLSSSKDSQESPSSDLLPTTETSDADAEHD FDEEWNTGWEEEYNKAIEDDGPQELVLGLMDEEEEERRKWEIRRERLKKEYAK L199_001546 MEFSNFEGASQYGPQDDDLTSVYSAVPNSVINGSSNHNHHHHAP STINGDFTSQFNDLGISDPSNGLRYGDDEFDEREREMLGAGVEHACAYCGIHNPQCVV KCMHCNKWFCNSRGNTSASHIVNHLVKAKHKEVVLHKESALGDTVPECYNCGSKNVFM LGFIPAKSDTVVVLLCRQPCAALTNSRDINWDTSQWSAIIDDRQFLSWLVKIPSEAEQ LRARQISLAQIAKLEELWRDNPDAKLEDAEAQSGEEEMQPILLRYEDAYQYQNIFGPL VKIEADYDKRMKESQTENDITIRWDMGLNQKRLAWFCMPKLESGEVRLAVGDELRLKF VGTTSAGWEGIGSVIKIPNNVSDEICLELRRNDGVPSDCTHGFSVDFVWKATSFDRMQ AAMKTFAIDEKSVSGYIYHKLLGHELEPQVLRTQMPKRFSAPNLPELNHSQMAAVKAV LQKPLSLIQGPPGTGKTVTSASIVYHLAKMNPGQVLVCAPSNVAVDHLCEKIHQTGLK VVRLAAKSREALGSNVDFLSLHNQVNNADTHPELQKLIQLRNDQGELSQSDERKYKSL VRACEKDILNAADVICTTCVGAGDQRLSKFKFRTVLIDEATQSAEPECMIPLVMGCKQ AVLVGDHQQLGPVIMNKKAARAGLSQSLFERLVILGNRPIRLQVQYRMHPCLSEFPSN MFYEGTLQNGVTAPERLRKNVDFPWPVSDTPMFFHQNLGTEEISSSGTSFLNRTEASN VEKMVTKFFKSGVLPSQIGVITPYEGQRSYIAAYMQLHGSLKKDLYKEVEVASVDAFQ GREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRAKYGVVILGNPKVLSKHPLWLYL LTHYKEKSCFVEGPLSNLQPSMIQFSRPKKSLAAAMDPFKRRESPAGEYMDKNVGRVP GPAAGRFDPSYYRTHNTMSFVPSDAQSVISQAITNSAFPLFPPGGSKPKTYTGYASSV ISQQPTDSGLGLPSNGNSKGNLTPGIGGIGYSQFDRLGGGKRRGSFTSEAASASMYAY GYKGGDGEDDTLSVAPSQAGMTEF L199_001547 MSSSSPQAALATPTKPAPVTVPTPTKPVQAPLTLAESFLCGGLA GCGAVTISNIPETMKTRLQLQGELQRHNPGAPRVYNNVFDVFVKTWKNEGIRGLQRGL VPAYGYQILLNGSRLGFYEPVRRTFNRAIGRKPTEGVVTTAIAAGAVTGCIGAALGSP LFLVKARIQAYSPALPVGAQHYYKGSYDALSTIVKSDGILGLWRGVNTAILRTAMGSS VQLPSYNLGKHYLTKWGMKDDSFWTFFLASSLSGVCVCIMMQPADTALTRMYNQNTIK DPITGKVRGALYQSPIDCLWKTFKAEGIAGWYKGTTAHFLRITPHTICTLVFNELIMA QYKKIRN L199_001548 MAKSRFEYVRNYELPDPLMPGTFIVVRIDGRGFHKFSDTHAFEK PNDKRALDLMDKAAQTVLDEYKDVIMAFGESDEYSFLLKKNTKLYNRRRSKISSSIVS LFTSAYVFHWLSYFPNNLLRYPPSFDSRVIVYPGEKEIRDYFAWRQADTHINNLYNTA FWALVKDGQSTTQANKTLQGTDSKDKNELLYSNYGINYNNLPEQYRKGSICVRRPATL VDDQQQQQQQKIEESNTPGISNISIDDPAAGSVEDDSASLARINGVIRKEKKVKKVKP YEGIDGEIVVLHEDIIKDGFWKERPWLLQ L199_001549 MALLDLISSITHTNLAGVASSSSKPPASEQQPQSETTTEPQTEP ETSTVVTEQPKPETTPQPESESTPTETQPEPPVVVIESEPVPTIGSEPHSETETEPLV ENEQVPAVAETPAAETVPTPEEVKETLNADVPPVEEVAATSVVEPLKDDVNKQPTVTH AEAGTSHTEADAATKVDGTQADKPAETAETAATPEEAAQPAETKEEKKEEKKSAAKEK VEKTKAEGKGFFAKLFGNKEKSPKKEKKKTPKTEKADPIVEAAPAAEASAPVAESSET AAAAPAVELTPAPAATEAPAPVAEAAPIAAEPVAEAPKETEAPVAAATETPAAATEEA PKETKEEAPKPNLKAHRRLSARIGDIFKIKKTHGPPSPKEETPKEDTAKPAEGETAAA APVSEEAPQLEKPVSTEPLKLEEEPKPSGTTPTAPVVSAAA L199_001550 MSFVPPPTSPLTYGYTDHIVHSINKVIIALRVFPATDDGTKRPW LIWVHGGAYIAGKHFNPPLFLLPAFHDQANYHIVTFSHRSLPQISFQDMWDDITFQFH WCLTNLPSFIGEDKIDMDNYGIGGDSSGGHFATSTGFRLSHTSPPKVIISLYGVVNPL DPYFEQESEDGITPDYGTPPNVLTRMLEDREKSRARIFAPWNWEMPPTLTPEQLEAYW GYKYEITEEDKRRMDLNNRIHQKGMRMNLLFREETFIWKERYVERVKKWTVPVERSKR FAEGLKKKGVVAKEIYPEGKGHTFDYVITEYIQPLISFVKIHLEE L199_001551 MAPSKSTTSRVSAHRIGSLLFCPACGTLLDLPRDDQDEIACHQC GRKEPASSYENLPTKTYSSPNAFPSELRSKRALVQNKVNDGEAAKDRDPVAQEKCQKC GHIGLSYKEMQLRSADEGSTIFYKCLNCGDQTSTNN L199_001552 MSPSLREVFRSKQTPDGKSRVGFFSKDLKDGKKEWAKLTFMTFG LITIFMFLFLSIYFGSYYLQTSRSTHFSIEVVDLDSSASPYGSVAHPAILGPAIKTAI QDSLSTEPHLGWYESDQSTLQQFRLTSTGQGIDPYAYAEERVLNQDVWGVLIVNSNAT SGVWRGLTEGLMWEPSGAMTFLYEEARNFYAGNQYVARIASMVMTTGGNSAATELASQ ILALGNASAVLTTGAQAGAVVGPFNYNLHNLRPFDQLAGIASTTVGTVYLIIFTFLIS VTWNNQGLPLIQDSLTMSSEVLIKLLVPFIAYLWLSLHYSLVSLAFLIDFSRKFGKGG FVVYWMADWITMSALGFVMETMFLWLGPFFPFFLIFWVIFNVTVAFLDVADMATFYRY GYFTPVWNLVDMAKCVIFGTKNHYAQNFAVNLGWLVVWMALLAITVVYQRRNKERENM REKWEEMKKVDEKEIAR L199_001553 MATLQAPALGRPLSFPRPPHHQETTTTQDDPAPRPISTSQFTSM PLRDESGSVRDTSTEDNHSLANTFGTIDLLRNRNSQIEPIAGAQGTVSPSFPSQGVIS QTQSDQSQPPYQNALLNTTIDRSAASKVPILNTHNHSDPVDNEREDSSSSATMHNRPS SSSSPPDQSDLRDPSKKRLNQAGILVGSASGAGIAARHLLANRHDQVGKEGGRPPRGY EQVEVSDKWGIGSKNIKEARWKAVKKGAVLYGFITIWLWICLSIFWGSTYRLTSFLPS LTVHVIPFDTPSSTSFLNGPITQQMTYVANEPPSVVHLGYEIKDPSNYPNGLEDVRRS IVAQECWAAIVVNANASSGWTDALQNGDASYDPTGAIGIYYSGARFYQVVLLYFDSLI TRDLANPLATARSQALEAFMGSASTNPTLLTNAARVPQAVGVGFGYTIFDVRPIQNGA WAGAAPMEASLIYFIIFAFYLCLFGGIARMKSGLNSTLKMSSMFGLRLGWPLLAYFFI SLWQTLVVRAWQIPLSDHLGRAGFVTLWALNYVTIIAAGFAMETMLALIGIAWLPFFL ILWIIPSSFYPIELMPNFYRFLRWMPFVHNVEAYKIIAYGTDLQHRLGLHFGIIFAVI GVELICFPLALLFERWKSDRDQRKQVEQKKKEDEDKGKAKEKKRDGNGEA L199_001554 MSKPQVLIAANPKNGIVWSKEEQNSKLGAVAEVLELTSSSREEF YKDLSSGGKYANIVGIYRHNDSASAIGIFDKDLIEHLPKSVKYIAHNGAGYDQIDIAA ATAKGIEVSHTPGAVDAATATVGAFLAISAMRQFYRAEVNVRNGQWKSGLSPARDPEE KVLGIIGMGGIGKALARRLIAFDMKVIYHNRNEITPPPDFPCTYIPNVEDLLKQSDIV SLNLPLNDKTKGSFGKKQFDQMKDGSVLVNTARGAVVDEEALLQALDSGKLFSAGLDV FPDEPNVNPKLLQNDKITVLPHMGTETRDSQKKMEILVLDNLISALSGKGLLNQVPEQ KK L199_001555 MPSLVTSRTGSHASSSSGSTSRLNNGFSRVNSFKRDWGNDDEEE KTSLKGSSEVIEVLDWSPSPDRVKRKGNLPPLPSIPPQPKFSSSSSSSPKASISSSTI SKSSATLSSKPAVASTFYNIPELTPAEKRRKAILEAMSQNTTSSQPLPPSARKQSESS TITSKSESSTQNTMKGKINPNEFVSASSQLRGLEKPSVKQELPSLPSLPKRALPWEEE HATSKKAKTRTGSAGRSAGSTLNIKQKVTLSAEQQKVMQLVVAEGKNIFFTGSAGTGK SVLLRELITNLRKKFSSAPDAVAITASTGIAACNIGGVTLHSFGGVGLAIEKPDVLVG KLKKNKKAAARWQRTKVLIIDEVSMVEGQMFDKFCKVAQMIRKNPKPWGGIQIVVTGD FFQLPPVTKGNSMPKFCFEADMWNETIHMSVNLSKVFRQKDPRFIDMLNEMRFGKLTP QSIQAFRSLAREVRYDDNIEPTELFPRREDVDRANGTRLNQLNTDGYSYMSTDSGQIT DPIQREKLLSNFMAPKFLELKVDAQVMLIKNMDETLVNGSMGRVIGFCHKQFYMTDST GKWAPDADLEDLDEEERHKRLKLRQAFEDKVASGALKPPPVVRFNVPGGTRDLLVEPD SFKAELPNGETQAARHQLPLILAWAMSIHKSQGQTLDRVKVDLGKVFEKGQAYVALSR ATSLEGLQVLGFNPDKVMAHRKVAVWSSQLKDLNV L199_001556 MVRDKKIKNAFKRSDEHRKAKREKEQAKLARRMEIKKAEKNKEN GAALKAERLAKNIPRTLDNTRQFDATSYLTADPATMRDLEEKAARASRMINGEEPEED GEESDDEDEDEDEDEDEEMPEAGPSKQRNTQADNDEEEGDEDEEVEEEEQEEQQQQEI PRDQPLPPPKILITTSASPCKLTYNFCDDLKNVFPGGEFFKRPRGRGYEIGRVARWAG KRGYGALIVVNEDHKAPNAITLINLPAGPTAYFKLSSVIPSAQIYGHARPSPHSPELI LNNFTTLLGHSVGRLFGSLFPPQPQFRGRQVVTLHNQRDFLFFRRHRYMFTSPTSAKL QEIGPRFTLKLRWLRKGLPSVTAPDGRAPAGENDDEDVDLSSDEEGVDEAELAEREKK DEDEAMAEMGMPGKQKKPVEDTGIKVPGLAETGEYEWKWKPKMEVSRRTFFL L199_001557 MSNQFVQGLIPKIRAILEGSDLSTVSAKAVRKQLVSGGEDEATI KASRGAIDEEISTIYDQLTSAAPPSPPSSPDEPLRPKAEPGSSQPHPLHVKSEVKPAI DEEVDPETDEQMARRLQLEYNDQASSSRPRRANATTPAKKKKKATKKRLSRADADSGD EDGGEGKKKKRKTEPNPNNPFNKEMILSDALADLVSAPRLSRPQVVKQIWAYVKEHDF QDQSDKRYILCDDKLKKVFHTDRLHMFTMNKILVDHLRNPDDVIFKNENETKDGIKDE MPPSSAPIPLSIQPVQDIIDGESEEESDDEDY L199_001558 MVSWIMSWSQAVLADLGYISELELFAPDTLTTRKKAPLIAPEVV AGGSATEISDVFAFGKTAFQILTGVAPSKPHVWPQRGPYRCAKTLPGILDAQRLALSS KIDTLRSKSNYSNTRELNSNCTNAEPSYVTHGFFRRTPEILPRTLPAFRAVGYTSYSL VDDTVELYILAQYAGNKMVSSDPRADWLLVYEAASRRLVDNFEILRTVSACDTYVKLI FWEITHEVMWASRILTCQRLVVLQNCVSGQYLSGHRNLQLLGCVLSCQEFKSEDPKPA EFLGPLEDYPKSTAMEREESLLPKQEREILALVRGEDLMAYHEILQDAWDICSAHIIE YESGFGGSQFPSLDKQALVSTTILTEDDQEATGLMSNIPDKASAMIPIDPGFKSCTTK DQSPESPPPQARSPGETALDEGLCETDEAKQKAKAIQEMWGSLWQQQEPSTRPGTSST APMAWQSAVPMAWRIATAPDDTKDDAHSGVPLSCHTPSARWVN L199_001559 MSTGGTKSPSLNSKNGSLPSTPQSSTPTRNRASNSTSVTPSPRP QLLLDVVSTQDVIAESPVSADIPDDQAQMFRSSSKYSVSTLTKSTRSYSDIRSIIDPL DDPFGHSIQRLEKAVVRLEMVGSGMEFVGNVLSAIPLLQTGGAIMMCLRQMLDAAKKV MENKLDALGLVSDSITIVEAVQARIKSSTTPLSEDIQYGVEALFVKLTSNTELLQNFV GRSKFKLFLYASKMQRQIDDARNDTLMYIARFTLESIVSFDQLQQEAQVQRQQDRQDF AKRLEQFIRNPESARHLIANEEVPEVLVTLEREAERQYHNRYRSPESSQPSSAAVQFP TPHPYYPAPPPLTTVAQFRYAAPDFLQSSEVQTFSVDNDELALSRLATWEEAEPVAGR HGRRAWQVTTDETDIENSKGMFCQSFLKYLRSESQKSVEDLPVWTITEYEVYREQREC TSNFAKVWKGRWHNQEVAIKDLDPLTDKHLFLAEVNIWCRLDSKFVLPFFGASSSVGP PPWFLVSPWMKNGRITDYIRSDAGSRVDRIALIHGVAQGMEYLHSRDVLHGDLKGQNI LIDDEGRPRLCDFGLSQIKIDITSKSANPTEMESNAGTLRFLPPERLKLSPLTRECDV YSFAMTIYQIYSGEIPFAALDTYNAKMSILEGIRPPQISDIPDRLYELMTRCWAADPR VRPSFAEITVELKAMYDHADSPLRSNRSVQTLLDLSGDRPNPLQPTSSLTVPLDRLNM GETSTKRDVEVSLTAQFSVPTGNDEDNSKDPVFSGDESDSISVASDAASAIYLPDQTD RESPTELELERRYRKYFNFQDYSDHFNLPQWNPTIVTLGDIGFMKDGRFVYLDNAIKS KITPGQGLLFSTAASQNVIAVSETVIYPKLVSDMAKDFGVRIVSAFRTKKTKFTKAVR RQISVPMYPGRKAVRLIVADGKTQMIRDYSKLKAYLMENIDDILKTAEEHGFGRMQRT DIVLVVGVLIANNYAMAVSDFAPGATLKFNVLSPTRKSGKEPWGFWTLARDQGNDASS FRLGISSGSSSNPSPAPSQSPVPPSPPGLPIINRLLPDVDDGPLQYSVKTSKPNGPKN AVHLSVLRFPPTGGDPTFFRDLA L199_001560 MSVSRTTLRSLPSSSSVRLSLRSLSSSASSLDAKPDSSAPDSSP QAVFPDTPAAPSSALAKKGRPWSVMNTPKFEFDDATSLGWMRMFRIQEGEGLVRKIEE DREALRAANKTTFTPPISSIRLTSTIDLSSPSSKFHTKAVLLVPVSSLKLSSTDAMQR IKLLAGPRWTPGRPGKEEFVPNGGAIAESEEGKEGWIKIAEERFGNTQQNRIEVSNIL DRLVQAANDPKSPLAADIPIDTRHLLARHRKKRSRQNPFSWSADQTSLKKHEVVGGVK GFPIEWIPEDLREKALKKQ L199_001561 MSSSLFRPSSSKLTSLRPIIQSRAKHTHSTPQSLWQSRTHLNPP PSVPPPMVPTYPMRVILSDGSTFTAYTTAPTPSTKKLTRDVNNNPLWSPASERKGLGE GEEGRVGRFRKRFEGIVMEEEQASVEAKKDEAFGAGDLDWMSEGGVEEKISEKQRNPV KAGKGKGKKK L199_001562 MLDTLPIKEKVGNTSPSSFTSISTDHQRSPWQTDLRESAEGIQP EMSTTRPPPLSNNDLAVRLQQAAERSIGDQSDRFALPQFRQLSIDSEWAQELANTLSA SQRRLTDAETIGDSARALRDRLTQIFEYQAKIKESMQLGGFTENLLEEALNLVRSGEE WDFERLYRCADRTLKLEQSSDVEDTIRLLNMVEEWKRDGGVSKTVKRKASFNSSTEIR ECPIAADSSSDTIQADTVAISDDSKTKGGTLTVSDILDSTNSSSRHPLAVELMNYASA DAHKHQKTRVREIIQSLANDHELTDFRAECIDAIDYSMDKNMASELDSLAKNIKPTTE YFYKKPGESIRTDVTENRASSVASTLKDVSRKVNSLLRVDPSALESVGSDLIELSKLF QTLQDRAEEMGIKE L199_001563 MTSPPPVPPKDISTPSMTVKSKSKISLDMLKRPKSPTSSEKSKD EKSSNKMKEFLSGLGSKKKSNIATGSTSTGNDDAKSDTTARLTSPVQPVAEKGIIKVS TQPKTLSTKRVPAIPDIQVSKAGRPLSPSKSSLVDSSNPFHQAVINAQRRVDTLNTTT IVLKGIAAGLAAGTAAWMPGVGEAVGVVLGMVETAERISVGRVAALRLVERSATVLDA VEKAIIQHKGVIPRIMIDNINQLLTHLHDNNRFLVRLSERSFLKLYLHSDETTRKIGT VTENLEDFVKIFQLQSQISTAAWEEQSMRDHDKDMEILLQKLDEARDSDQKMLGVLAL KSEAQQEAIKTLQRSLDQVLIFQQAEYNSHHPTSPVGGFEASSYLAIESPVIPPTPIT LPHTSAPAHWRALKGKGSVASISSSSSGMTDVGSRGRRSGQWKGSTRPLRSLWESGSQ SPEEKGPHRQFFEKALDVLRRTSDGDTGEVPDWTITSLEISHDEKITHDERINSGYFS IIWRGHWQGLPVAIKELTPMADRKLFVKEVDVWRRLKSERVLRFYGASSTTGPPPWFL VSPFMRNGNVLNYLSSPAGREANKLALICEMAQGMEYLHSRDIIHGDFKASNVLVNDD GHAIICDFGLSQLKMDYTTKSHASADQPSSVAGTMRWQSPERLAGGLLTRENDVYSWS MAVHEVLTGSVPFGYVDNSIVRANIRNGVRPDRPSGVEDRLWRLLIKCWAQNPKDRPT FEIVVEELSTIYTPAKEPERQPTHVNVSSSETTETSYVTASEGGAPDEQQAISPATST LPLLDYNESMSSSSGSVASIPAHLETEPSFESDRAEKHYRHYLSHEFDDRLSIALWFP SPVPLGSIGYTRRGQFVRLMDAHIPPVGIGSLPPMPYLDEFSSLHTTRVAVNARGTTG KGLDMVAALTNFIKPTGESSQKAVSRRIPFPLKPGMKQAALIVEDGTFEIYKSLAEAR SYLTANIQWILQEFGDQHQISQEDVIIVVGTLTARNYAAVISNFAPSTTLTFNVHASS YRTDGEPWGTWTVNQKTPGSTQSQRKERKGSQVDENKLKYCCKVSRCSSTSADAVLLA KLRFSPGASDPTLYP L199_001564 MNKLLKRAHYAPAVVLLAYTALLALMTIPAIQREFLFLHHVPIP LFPDFDNPEKYGLGPFKTRNLRLNTSDGVEIGAWHVLPRSVYQSLNPSPPHSLPEDEL FEQALTQRPTILYFHGNAGTRAISHRVRSYSAFSTNLDCNVLVIDYRGFADSTGTPTE EGLIIDARTAYNYIATSLENSGLGLTKDEIQDKIILAGQSLGTGVVSDLAGQLASEGI KPRALVLIAPFSSITELIVSYRLLKVIPLLGPLAVFPAAQRFFQTFLLHPFNSIEALE KTSSPTLILHAINDNTIPYSHSARLFSSLLRSASLTEASVKETSYKGWGTVRSIDRGE KGEVMWWEGQNGGHDNLGWAEGTIDLIARVAKL L199_001565 MVVYHIVAFKTRSATGLQALREAFLALPQSCLHPVSGQPYIQIA RGGRQMSTEGKDRGMQVCFVMEFENEEDLAYYLDKDPVHEEFKNKAGGEWETLDVVAM DFNEGVF L199_001566 MSAIASKAVTKILKNRVSQQAPPDPHIEIIVDAKGKTKKVVRPL PEGLSKRDKKALKKIRRRAHYLDKGMNVCGFRVGWTFFIGIIPGAGDVVDAGLNYFLI VRPSKKLDIPQSLLSKMLVNNAISAGLGFIPIAGDIFLAAWKANSRNAHLLEEFLKIR GQELLAAQGQGPSAIQASEAAAHGVSPEALRPLFSPGAGMNEDVEAGQAQKVKAKPVR A L199_001567 MPPRKASGSRQRATANALPIPAQYPGLIDGKGMNETQWQACKEI LENVYKAKDGSRRMSDIFRDLPDKEEYEDYYQAIPEPECLDNISTHLGSQTYANPEAF FKQLHLVFLNAKHYNEEESLLYADAKQLEDQIHDEWKARAEAGIFTNPDPYHSSPVKP GRKKRGGSSIPSKSATPLPETKSTPMTEPKPVTPAPDLPPIPEVKTAAPSPTPVPAPA QVPPPTNPTNTPIRTPLPRNLSQPPPAPASPTPSETGRQSQAEKDRHVVAALDARLPR WPGPQAILPGDPTVGGIQGYGWFGEGAPDYDRSTGGPAMWPHRIRAVIEAIIGYRDQN GQRLGEVLDYLPAIADIPYLSFDTPISFAAIQGMAKANRYDTLRSFDMDMTKLFEKAR RYYHDGSVEYGKVLVLQRLYNALTAVYPMPLPGSGIPAPSATLFASLPAGPGNARSMH ETAQDLKAGATEDQVGYGITTFRVGTKDRHFTPEARHKGQAYRMGYRTHHVTVCWYFR PEQTVHTPDKMFFEREVFKTGHFCDHPVEDILEKISVQFYVKYIRGRPRAGEYYPGWP SYVCHSRFNDREHYIVRIKNWNSCIPDELRQSEFMSVISYERNIEIPMVKSPFLRGVQ GPGFFGEPKKILAGDDDGDDDDEKDREREKPRRRERNKREVQPPPAINIPPQHSPVPT FTPTPAPLQAPTPIPGPSRPPAAPTPTPTTHTQPIHRPSMPPSQSSTGPPYLYPNRTV AAVMGGSQAMDQAAVKEILPPDTARLFERDARGHVLWFSGPPLAPGTIPIPTQQPHSL EYLEYVTKRKKGEDFIPKKRARQMKEMDDETRESVEDNKLWWAEGHTQEEVENILRSV IESA L199_001568 MLDHVSISHQNGLILWSRSFTPTFEALAKTAASPVNALIKEAFI EGKARNEDEAFEKDGYSVRWTMENGLGLVFVVVFPALLPLTYIPELLQRTKQLFIALF QPYLQSLIDSLTAGTLALSSASTTALRVLEEKIDEERWGAIFDRCLKSLEGSRKPTRT PVNLHRQAQLNAASDASTPALSDNENTPVTAEEIAKNVQALKSKMKGGRAKGGRGGRG EGPSPSPSPSRKTPNNNNATAKLMRKWGDSKVSADDMAALDFSAPADTNAPVDTEGLV SSDALGTRNSSGAYEVADWDYKRGNQSDLPSEEDILARRTGQLAITSKTNTEEESAES STWSNMFSRLTGKKTLTQEDLKPVLIEMERHLMSKNVAKDISEKMCESVGAALVGKKL GGLNSVKSEVQNALSVSLTRVLTPKTSTDILLEIQRKRQASSLASPDTPPDPYALTFV GVNGVGKSTNLSKVCFWLLQNGLRVLIAACDTFRSGAVEQLRVHVRNLGALGQEMGID EGGSKKIELFERGYGKDAAGIAKDAISYAKENRFDVVLIDTAGRMQDNEPLMRALAKL VAVNNPDKIIFVGEALVGNEAVDQLSKFDRSLKDFSSAGGVQKKRGIDGIILTKFDTI DDKVGAALSMTYVTGQPILFVGCGQTYTDLRQLKVNHIVQALLS L199_001569 MDAKRSRLPRAASNSAIRPVPAPSTSTSTSSRLPGPARIVRQST VARKPSIPLRSNRKITPTDIQDQSSISPAKRLLPSRSTLGLTKPTLSAPVRASTSRHL GPPNPLGPKRTITTATTTTSRTSSIASSSGVGVKPTSTSSVSVRNGKDNIFRSLDPFT EIKSSGSIGSTSTNNVSKGIGSKKGFLGLGSPSRPTRIPTTPSSRPPSQSVLQTPALP RVNTMPWTSHDPDDQLKDVELSFTAEDDSNDTSLIEPLDFGSATSRFAHKTPLPLYKN ASVSDLENIQYERDEKVREVRKLKSQGVKEAWSEVVRASEGDLAEIRIMQELVEALKL DLIMRENRNVL L199_001570 MGPASFPPHLLSHLSSAQTGTDSATDALEEWILHRRSKGDTLFD VSVGLAELEDKTPPHLTAAFDRARYVTNVPQPTDLTPPMGPFPLARSASPSTVLHHLL SQPPPSIEHSREVILEYVLAREMKLRDKKPGKGGKGTLGRDGFEEIGKRLGEIEAGLR GESTNGMSPKQNIANISSTSRDAYPTPTTENDLTLDENQQIGLTLVLSLRMSLSYFTL QELANQLLLLALADAERTLVQHIRRRVAGEGRWGVGKELEYVEALTLSRRPALRPAFR SAKARTQLPVKPLYPIQLPAPSKSSCIKLIQSLVKEVEAGGLLAGAGYVSSHVPSSFT SPGPATYSPSAERSHFLSKKGTASPTTNSVFLGGSPQTPNSSLPPPLHSPGNRATQAD TNILANYTMELISEFITREKREHILKSKWAKSGREQLGKEVGEIESALCMASKNNPSN LAPTLIPIFLLLRRTFALPRSPLPPTITEPYLDILPAPPDPDDVPFREPSVQTTTASL YVNPRLDDSAAAVVLEELVEFEKENAQSAGGSREETVGWLIDLIDGVQKRFPDGSYEG VFATMKDQIARPTQTSPPANHAISFAKQPSIHRRAKSSAVTPSSDFGSPTTLTTPQSI ASRQQHSRSLSMPNRQSTYDEETESSSESDSDESPAQVQIQQRPAVLTSVKQLPPLQM LSPIEMDDKRSSAGGSGGGWWDIVSAMDNDNPAPWQENHPIPQRQRTSSGAASLDLPL PPGAEPAQILDFSAPMLTGMDNLELSPSPNPNPIPHRSPPPTSPGQRSKTMDQMSRND LAYSPLRKNGPNSAAGYFPTERLPPPPPLRYDNPSAVSNRSVSASSTPVQSNPTVSPA TTTAPSRSKLGVIGRSMSLMHKKEKDKEKDKDKDKENEKEKSGGGKKSKVQNDPGKWD RSMVASIMGAPADRR L199_001571 MSISPPYSYPVTNSDLIKAHSLIKHFEGGYFAQTVGLDSVSPTS PTPTSTHVPKSTALEGREQIASGSGTELLTPAPNTITEIQGSEKRTDATQIYYLLTPE SYRGRMHMNLHSTFHLHHSGRALYTLIKPPSSPSETPTVHRVILGSDVTQGEVTQLFV PGGWWKASEIPDEDLLLLDAPDAKEAKLDERIGCLISEVVVPGWNPDQHQFIDEDKLK TMWGGKAGWEQYVKYIKAPEGLEYPDK L199_001572 MNFTSGAEVSELLSLDHIRNQLIRLEDTIIFLLIERAQFAYNKK IYQPGAFKDELNFDGSWLEWFLFEIESFHAKARRYTSPDEHAFTPLEKLPQPIIKPQS LPSLLHQPAAKHPSVNVNSRILEFYIQHIVPGITSSTRLAQGKEVLEDDGNYGSAATR DVEVLQALSRRIHFGMFVSESKFLEAPHDFIPHILNPNPEALAGLITKPAVEAKLLIR LANKARVYGCEMDADGKVIEVPDEEMAARGKIDLATIVSMYKNWVIPLTKDVEVDYLL HRLDGVPQSQIDEWMKR L199_001573 MAPHPSVSPSFSSISPKPPAPDPNINPFSPLSPDPPENTLNPSN GDDQVTRLLGIELPSPHKDLIIYTRRDLLRIGKSSRQHGPPPGMNSLNTCAAPKANVQ HVDDPAIASIGPPSSTSRRGGFGEGFGYGGGIGGGRGLGVRGGRNIGLRRQPETSLDA NGLPIDNRSYGGQMGRFSVRNPGTMRLGGDEVKRDKRREDEWRRSDRDNGRGGQRDLR DRDTRRPNNYTREEDTSEPAWMDDAAPVDPAIVDDTDPLVQFIPGEDMIAAHKRAMKA RDVGGDWRGDGNLPAFFGGDPAIASSSAPAPPPGLVKPKSFNAADYLKQAEDLSDEEI IPQPVQPAPPASAFSSRFQKFFSPAQEAAPVVEARPVEEVKDDRTAKLMGLLSSKTSP PPEQVYTPSPSEQLRHLSSPPPSSDGQSAQFSPNYYGPSGGPPPPPSHANALLQQLYG NAQERQPPPPDPLQLLNQAQRQGSSHPRPPHMSLPPQFTRPPPNMNMFPQQEENDMNQ GYPPHFGRQPNVPHGPLPPGFMPPPPPQFFQQGAIPRPPGYPMPNFPPQHPPQQHLQH PQQPVPPRPYPHPPLGSAQQDMLATLFAGLGPR L199_001574 MTTSSLNYHILTNCPPTYLNRKTKAHAIESTEDIALAAPWLSRI VTEGDSVGPLLRDIKILRRTVEVAADGNEAAISLFAKLSNILPKLLDLYSSVKTLPAP AVDDLDAIDTRNVLCTAGRWLGTEDPAALREAIDGSLSSTANDDIIEFFERITEDKAF VLHHNDVVRLFPIITRSLDHQSLTSNINVLLALSRRRPDLILANLPEVVDILSIMFKT LQRSRKLPSPTSDEPQHLSRLLVALTQMRLKTHETSPLAKHIPAILVAYVRAAADLHV GYTPSVRRDLEPGLYALCNLATAGGRAHARGREGEGLGTPFGLGEGPGGEGERELWAD LWQNWSKSRYMGQG L199_001575 MSAILFQESVLQAGDPLQPLLSQLPTPGSHLAIPALFDTLVQKY HHNRYSIFTQASSSKVAHDVFVAGKEREAVRLALERTLDRLGGGDITAWQTRSALWKT IEMWGGYMEREPSWSRLLDSETRATQQALNSGDPSIVGTLLGTLVSLERLDHDQANLG PDVVRWCLAVSELGGELLANVSVPCCSSCDREILDLVSVAILSPHPFTSDFL L199_001576 MLPTYLSSASGLIKTLKAASDPPQAGLPLKIDIALEAWQRDSFH VPRKADVLRDWVIETWTRNHKG L199_001577 MSSSDVRSILNLPQAGPSAPRRTTTANPAVKKPDGISRELYALI GDNAPSLAEAQASIAAVKYREKPSLKSKKIHWEWTPFSPAARQDNPVKLGHWARITDA DPGASGESFSQPDIADSQSSTLENSTFTAHRSWSIEIKDRYYTICRRLVRTRTAADPQ AQQQLIHAYAFDKGELPLYVNVADNSAREIKRKQYASELFHLTAAEIAEEEALYIEVK RMEQNERRYRADRDGLMRTIMGLDSGLVEFDQSNVEAIFGIDKNKKRKRAEEGEPAPP PPPPPKRHPKEQAAFDLAHCIYHLPPPPTNPHSSHLASKHPVHQPVHLRSTKIPPPKP NAAIRITELLNELGISAHKLVMPTRTNIEMFDSLLQAAGALIDMKRQVDRVEQELRTV KAQKEGLLPILESRKARSESVTSTDTTTTTNNNRPSRGL L199_001578 MAQKILLMGELFWARPDAEELLGGIAELVPMKSQSREEFFKDCA PGGRYSDIVGIYHEHLSDKIVGPSNDELINALPSTCKWFAHKGAGYDSVGVKAAKARG IGVSNTPGAVDEATATTAVFLLIATMRRFSWCEANLRAGGFNPPGVEESARDLSGKTV GILGMGGIGLKMANYIRPFGCNLLYHNRRPNSLAPPDVRYVSELYDFLGQLDVLMVSI PLSEKTRGFVGEKEIRTMKKGSIIVNTARGPVIDEEAMIKALQDGHLGSVGLDVFTKE PEVDQRLKDMKHITLLPHVGTENQDARRKMERTALVNLKEFLTTGQGPNLVPECQ L199_001579 MTVIQSQDSAGLFERFRLGAISLKHRVVMAPMTRLRAGKDDGIP SEWAEEYYSSRATATRSIHSYGGSIDNRIRFPIEILKSVTLVIPPQKVGLRISPFGTY QGMREPIGEESGPIKTFSRLLEKLYEEIPDFGYIHALEPRSTGDGDQSDDKLNLKDSI DPLRQIVLKHGSQFIVAGGYTPASAKVHGEKYNELIAFGRSFTSNPDLVERIKKELPL TKYDRSTFYTQGKEGYLGWSTYQKQDVAGSAVEAKIDVEASAIEVAA L199_001580 MSNQQGSITHQTALSLTAQAGGLENAAGQIERLRQRAEAAGLDP DEHVNTSQGYVAVSSQLAEVLQASSQSQANSNQ L199_001581 MSPAVFKLSPGVQSYDWGKKGSASLAAQFGKTCVEGFQIDEDKT YAELWMGTHPTLPSKLTSDSSLLSEHIKSNSALVGDKVINKFQDSKEGNLPFMFKVLS IGTALSIQAHPDKKLAKRLFDERPNVYKDPNHKPEMAIALTPFLAFLNFLPLPNLLLN LLVVPELKPIISSKLIESLASSVGLPTNPPFDVSTYRQTPCSPTDENKQILKQIFDAL MSADTDTVTTAVRALIERYKKGEKIEEAEKSVVDLALMLNDQYPDDVGVLCVFFLNVV ELKKGEAAFLEANSPHAYIKGDIIECMATSDNVVRAGLTPKLRDVPTLVEMLTYESGP GDQQLLQPTTFGSQDQATKLYDPPIEEFSVLRVELPSGGKTSHRKIEGPSIAVVTQGS GSIVNGDDKVEFERGNVIFIGANEEVTWEATKDLEVFRAYVEA L199_001582 MSSPRGGVPIPAVPVPSPQDDSTRKKTNMMQSSLELVFSYRRHQ EMRYGGSAPSFIDSTSSRSHSSPTGRLDESYQDDDRDVSHRWADLEAAVTPADGRRSS DASNLMAEGDPEVVFEEEPNPSQERRVSEEPSLFHSDPLPTARGTNTSPVTSNQASPI IRPTASGKGLISINMFSPRTTPSHLSTSLGTKSSSSQTALRSRSAHENLTEDDDTEEP LDSTDDESDALVKSNHQTQYGTMGVHGGDAVSRTKVRRLRYPEGQSTDGQTLFNATAV LVGIGLLSMPLAFAYAGWIGGTVMLLSFGWLTCHTAKLLASLIRSDPTLMGYTDIGVR AFGTWAGGGIHILFCLELFALGVALVVLFGDTLNALYPEVSSNFWKLIGFFLILPTAL LPLRLLSLPSLLSSISSLLLVLVLLIDGFIRSSAPGSLRSPMPTSLSPEWENANWLGG IGLVLAGFGGHAVMPSLARDMKNPERFDNIVNKAFAIATAISFVAGAAGYLMIGQDVS DEITRDLMQEKYQYPHLLNVLALWMIVVNPLTKFGLSSRPLNITIEGILGISPAHLGL ASNESTFYPSQIEDVDPLDSGSKSDRNERRSSLEQPRRNSHRRASRGFGESDWSYRSF GRQRPGSAGNIIRPNQERRKATLRVISRTVITALCVATAILLPGFGKVMAFLGSFSAF VICIILPLLFHLKLAPRLIPSTENSASGRLQKSGHWILVGVCSSLMIAGTVWAFLPGS GHGGLEP L199_001583 MATSKTTTVSSPGKVLLAGGYLVLDREYSGMVVATSSRFYSTVT SLPKSTSLNKVILSVRAGQFPKESSTWTYSVSIQIDKLVINQINEDEVGKNKFISIAL FQTLSLACERIATESSSKGLDAAHELLRRITNDGKSDGLDIVVLADNDFYSQREQLSA LSLPTQIHSLSSLQPFTPLPRPIPQTNKTGLGSSAALVTSLVASLLSHLNIVTLPSSS PQSDSNHDLQLVHSLAQFAHCLAQGKVGSGFDVSSAVFGTHIYTRFSPSVLSPLMDRP LDSITLSSSTLLPVLEPSQWDQSTTAFRLPKGLRLILADVDAGTDTPSFVGKVLTWRK ENPEVAKKLWDDLDRANRQIERLLTELVAREGERDYEETVSWMAERHIEDHKENPTAV LLYEIRLTLFPLLRNHYSDHPHLNFANQIAFQIIRDFQRKMSELSGVPIEPPEQTRLL DACSELEGVIGGGVPGAGGYDALFLLIVDTPSVVSRVDKLWSGWKEMSVCPLLAKQSD GGLKVEELDAVKGLKEALSR L199_001584 MLEPFILVLLGLLGLSAGSNAQQLQGDCLRLLGSVVCPAYQYAY INPSNLSLAFPFFASVDSVASFDSAALAYFSNPFQYTNTKFGNEQLGCSNATDAVIRW ERTVLCSQWVNERWSLGCTELYNSSTAATSMKMVCQSTCLQFSASEHSIVNSTTYCPG TDLTSGSRDATLMKDYVDCTNWTTYATNNTDTCIRGEDNESNCGFGTSTSQLCDFCKG DTPDDCCYSGSTDMSVCGFTLPVRVDGTSTVTPSYSITGQTNSITSTNTAVNTGAPTG TTANDTAGTTSKLTGGRLAGVIVGSVLGGLLLLIFLLFLLLCLRRKRNAKANQRDSIS SFAASQARPNSNANNGGVFGFFASDRNGHPQNTSEKGLLSRRSDSGNGSAPLTDGDTV YSPSTHVKSTEGLTTAAAVGAAVVAGGDAAASGPGNRNSTGTILPRVKDENQMGEIWI EPGMEVCVLWPYAATLPDELELKPGMKLRVVRLFDDAWGTAEVIAGANDGGSPLGKQG AFPIVCVSEGSSLGSNSSNSSSSNSH L199_001585 MSAHPTEQPVVPALGARPSTSSLDASDGRANEDKNSHHDEKDGS QAEDGFTKKETHSIGSKSKGVIGMEALQSKMNTRWRIVLYTFFMFASYSLSLDQSTAT VYLNYAVSKGFKLHSLQASVSVVTSIFSAMAPTPIAKFADYFGRVYAEVACLIFYTVG QAVMASAKGIVQFSAGSAIHTLGIAGLFMLHNIVISDISSLRNRYWWHVAPSVPQVFN SILGADVAKSMLGYGNEYTSWRWGIAMFCILIPPIIAPIIITLWRGTRPERAVREQLK EIKKERAARMPLSERFWVDAKEFFWKLDVIGLVLFVAGIGLFLVTLTLANSRYRKWSD AHTIAQLVVGVVITIGFVIWERWFAPIPLVPFALMKRKTVVGCCLLALLYPLAGRCVA VYLYTYLQVAANQSQLSATRITNFPSIGGWVTAVLGALIARRFRVLKPIIIFGMALET LATGLMLKYRTSHSSQGELAIVQVLRGAATGFISYPIQALLQAAAPHEHLAIVTAGWL AIYYVAMAVGAAIAGAMWTNIVPNKLTEYLQGNATLAAAAYSDPLTYATKWPVGTWQR DGVGRAQDDAQRAMVIVGTVISFVGLLASIFLLENLRLTDAVSLEESEQYVTEAEKKQ KKALTLAVVKDGPVPAQ L199_001586 MPQQTSIWQHSRAYVLALAAFMGIFMFGYDTGLGGGLIVLPSFL RDFDMLDLDAEALANRKGNVVSILQGGCLAGALLAAPLTDRIGRQKCLIVNCFAFLLG ASLMTASPGNLENVPKHIRGRITGLFQVIVASYWITYGVQFMKPTSAQWRIPVGFQLV PTSIDDEETRAEFEEIVASVAEEEAVTGGRIKGASTSLLASGIYGIVKIDATAIFIFF GIKRFGRRRSLTIGLGLMSLFLWIIGAIFNTHPPDANAAKPSGASIGMAACIYLFVIP YCFAVGPVPWVNSSATQWLWNLIVSRFTPNIVLSLKHAGVFFFFASINIFATIAAFFL PETKGLALEDMDVLFGLIDADQRRADLARAEAGRSIEKDDDLLDEKPGDTKIERV L199_001587 MSAPYFLGLDASTQSLKASLLSVNLDVKSECSIHFDTDLPHYGT KGGVLFGEKGEVHSPVLMLVEAMDMLFDKIKNAGWEVDNIRGVAAAGQQHASIYWSES SSQLLSSPDPSSPLLSQLSTAFSRPIIPNWQDSSTTRECRALEEGVGGQEALAQITGS KAHERFTGAQIMRFRRIDPAAYDETDRISLVSSAVTTLLCLDGEVKGIDESDACGMNL WSMNKEERGWSEELLEVIAGTEGVEELARKLGKVESDGGKVVGHIGRWYVERYGFSPE CLVFPGTGDNPATFLSLTLRESEGLISLGTSDVVLVSTSNYHPHPEHHAFFHPAQIAP PSVQDNEKREGAESLRYFNMLVYKNGSLTRQHVRDLYFDKSWEAFNAAVEALRPKSVE DLPAKAAFWWLLPDIVPHGAHGIYKYITDPSVGSYFEVETAKRVKEFENVQQEALAIL ESQLLNYRSRSSSILEESPDPSFPSTAGIEISLPRLTRVYATGGASANRTILSLMADV LCTQICKNVDFLDGEWRDVQWNSCSVGVAYKARWGWERTRQGREWISFDQVIQECRDE RRRIRGGQGQGQGQEVDLAEEGIRVIATPGEGARAYERRVEWWRELEAKALEDQQKDE MQ L199_001588 MTIAPSTALPPFSRVAIIGAGGASGLAALSQLLDKGAKPDQIVG YEARDTAGGVWNYDADAGECKVTWAEDGSLALRTEKEIYDAGRNGPNAIYDGLRTNLP QECMTFRDAPHPKGTETFPTYHQIASYLQGYAKDKGLLSLIRFKTLVRQVHHTPDTTD TSKRWTVVVEYVDGKGEFVNDLEYFSHIIVTNGHFNVPYVPSIPGLRSYKGQILHSRW WRNPRSVRGKNIIIVGSHASGTDIARDIALDDEATDAQTPKLARTIYQSVREKDQSRP NDEGDDQSLYPNTKWRDHVETVPEIERVEGDLVYLKGGKVLSGIDVILFATGYLYSYP FFSPDKAPFDSHPIIRSSTQEERSLSAGPANRPINLDETDTFYVPDKTLAFIGLHRFV NPLPLFERSARLIAHCFINGSIPPLPPLKRDSDIPGDLNIGHPQEFENQDEWLKAIGD GDEWKVPQRLRDLRGNAIKIRKEHLGY L199_001589 MPKNPDHMGEQLNGTVFLISIKCASCEEYMDLANDRFDPGSCNS VVLDKSGLGKTDISSFNFDKIRGKSYVPTVHASFEISIVGFKTD L199_001590 MVSYDWYDALKDSNERGKGFHVDKPIKLSFYFVNKFDHSYGLVN LKEAYDRDRENHSDRYIESSDIAELQSGVQVEVPHGHNQDIETMSQSMSDSHLYADTA ETPATSPWRRCFGSSNTAESFDPRRAPLSSASLRYAPQVPRPSTLTLRSPVDVSGQHE LPYNPSSEIHEVPGDYERVTDSQGGGYFDPDQNPFEQ L199_001591 MILLSPTFTLRQIRHRLPINSTQLLQNVRPTHSAPKHTGLPDPI EWLPLPRSLSPRKALMLLSLPVPPKHWPSHLEMASPLLATASAHFKSKGIAVNAIYDG IGDDTSFAAEEEYPAKVYWPDGYSRSYNNFNMDSISSDDILKDLEHTVKSLDVTKYEK PKEILVCTHGSRDCRCSDRGAPLVEALREEIERRGVSDRIQVKEIAHVGGHKYAANVI LLPSLDMLSNLTLEHAPSIISHLLSPKPNTKIWAHWRGRYGLTEQEQAQIWDRVNPEK GQNTEKEEVHEEQDKVELRFKTFEGELKVVNAKLGNNLLEVGKENGLPSLEGVCGGNL ECATCHLYLAPSPSPAPTSKPSEEEDDMLGYALGYRDGESRLGCQIKVTKELSEWCKQ GGAIGLPRF L199_001592 MVNESQGSSRPNAAASGSSSTTRSRRHAIPEEDATKLQFGEFAD GEALTLTEVSTLLAAARSAPNVPPAPDNKVYKSTVEYVNEFQNASMEVSESMRTALVA RPGFLNKFEIAQIMYLRPEKVEVAVALIPSLERYSQGDETEAILQSLLDDVRGMARYG VRP L199_001593 MPVKPVTTPPSTSTPGPSTPPKPSQYRRSPSVTEAIQQFESKAN PPPGPSSPANSARRPSVPTPTRSGIPSRIGSTTVKPPPGSPRRDSRTTPTAATPGAGS SRMTVVRSPDLGKSGGIGLGVAGGSKAAENRRATDSRIKPTSSSIPRPSVAITNAKSR TPSSPRIPTTKPFPSSSPSFPRSTSDTFYTSTSSRASFTSRASTPSSIAGPSRLTTPK KTAVAISVSRSSPTPSPSPDKRPPPLRRKSSKADLTNPRSRSTTGVRRPSHRVCDSVS SAQSSATSSSKDHRPAESTVYFGEFGEREQQPTAITLEFGSSPMVRKGSRSSQGTSVV TVSSHGSPPQQERISISIPKRTSSNPSSPKTPRSAGPHPISPRTTSRKLSSPTKDFPN PNNNRRASKNLGPLGPALQMDVSPLERPPHRRGSSQSSVSRLSEAASTIREPIERPTS AAPGRSRGFPSTHSSPRLSPPASPRGSVSPSKLAARRLSNSPRKSPNLTIQTPPPPPL LNSRLSSISSSAQPSPPVPAKSPLRGLSRQSSDQNFAGRKQTSRSGSGESNMAQAGTP TSLHTRLEKMAEVEEDVSKRSTMVAESRSSPQAQIASSSSQIIATPDEIRFTNYTVPS VYSQDSAPPTAHSWAWSEATARSSVDGVARRRQSRLDSVEWGKQKELPPSSQRSSFDA GREAGHRRSSSLPRLSMISDKALPHTPTETPSTATTFGSHENSMPSSPISNDHREPAK SPSMPILREGKIAHHIHTPEIPSSPALLSSPNVRHSGMTETTLRPLLPTSPSIVMSKR SHLIREIASSERAYAKDLALVRDAYMYRFLRPASQYSTNGDSSISPSDVSRRSSVYTY QTAETKRSSGHDSPNWIFPANGSSTPLPKSPSDGYNLGYFPNSAAGSSNSSFSMTPQP SLKNHKRSSSSMPSMAPPVGKPLSPADLKTVFSNLDQLASAADELATAFEQAMGDEDT GLAAMMRDGETGNDRLGQAFVSMIPRIRPLYNFYCARQSQASFRLMELQSDLAHNAHL KECWSSIKDHTHAWNLDSMLIKPVQRITKYPLLFDDLLSSTTPVHPDYFAIRTAAQMS KAIATEIDEAKRRKDVVSNAINPHSKKITSTNVSPKDNRQPASKLLGLKRFKKDKSAP NASNPNVSLPLSKSTSSNELSLPVVIPESSLSALKDLVVKVEELDRCVRRVGKEVILW TAAAKEVLVAEDGVMKTWLRVVQLEPSDPTDRRMLEFRTVIDTIISEVWKELNDEIRQ QIMPIFSKLLESTSNPRKVIHKRDTKYLDYTRYHALRASKKTVERTVMQSAGEFVALH TQLVDELPAFLEGCLRILDIALVGFAKAQAKYYQGVKDRLSAFEEAWVVLPLSPNIER SPTNTKTSRGIVKAWHDGWAPYAEAMDHFQCTRPARTAATRIATFNAKPGSRPVSRSN SPMLSPGQSHALRHSASVTSPTSLSGSNRPSSPAPNKSGRFRSSSLRSQTGPSPQVIT VTSPTQSTSSMFSLLRRSNSKNNVTKLRSNSTSQNQDGSLNRPSMHARNASGGGLKPS SSSIISEASSRLSWGLPRINADPSQPIFDGLGLSPTKPSSATLTRNNSHRATSDPISQ NNIFSVDLNSSQVSLSSSVINVNNDSPSNTISSRTASGSGVGLGLGDVSTLREKDKHP FSVIPATTPRQRTDEVDAAEGWRNEQVIYQCACVADFDSVELGDRRYRGLNFLPMISG DLIDVFHEVGRIDELPSFPYPEVGVDNDGVLVARSENGNIGLVICSFLEPLRD L199_001594 MRFNTSALFAVLGLAAYVKADSYANFFSDTECNVDGSIGFDMHN DGCFVQAGRKSVYIPNTGLINDQFCLVSTHADGSCSCQSQAYDFTATGFCHELDPTVK SYRFIHESCGSDNCP L199_001595 MQFKTYTLLALASLTSLVSADYFANFFTDENCNEDGSIGFDMTN PGCFAWAGAKSVYIPRTSFPNEQFCLVMTHDDDHCSCQDQAYDFTSTGFCAKLDGTVK SYRFIFGSCGSDTWQK L199_001596 MKLIFAAIFAFGLIGTTMADNFANFFSDENCNEDGSIGFDINNP GCFAQSGRHSVYIPNNGNPFSYHHCLVQTYHSGECNCQNAGYDFGASGYCHKLDGKAK SYRFISGFCDENNC L199_001597 MRFILSMITFLCFATKVSADNFANFFVDENCNEDGSIGFELSNP GCFAQSGRHSVYIPNNGIPWTAYYCLVITNDSDECNCQTEGFEFQADGFCHVLSGDAK SYRFISGGCDENNC L199_001598 MKFIIATIALLGLVSADKYANFFTDENCNEDGSIGFNMDNPGCF AWAGAKSVYIPNNPIDWNQYYCLVQTYDSKECNCQNDGYEFQATGFCHELDGKAQSYR FVGSGRMPTGKGTTFLAET L199_001599 MPTLPDGTLLATSGHLRLASIVNDPDWCQADLELTCPNQSCKQK SLISMIGNRYEGRMGDLMIRNTSGAILTCPYSNCAMQVGVVNPLFNPTVYASMITDSV NSSEIKEFDISESATRISKDSARAFYNAHRRYTGPKILPDIVFFGHTRMNNKYTNTKS IETIKPHFKITQYPLSQSTAMSPQYEQYSTLQPDGEPVEIDVVPTFLGYEDTSAHDRY DGVYTYEVAEGGEQSSSTLLDIDTYGIPTKFTYN L199_001600 MSSKKRKAESVKEEKDVEQVELSLTSWSPPSEEAFKGLKRFKSF LLPPNNSYSIGQYVWLAHEDLLAKTDARNPYSDSSHSLGPLSKKPRSSLLTSHSPASI SEKDKESAPLPLAKIHMDETEGDHHWEAGYWIGKIIEIRAKDTSYVWMRIRWMCRTLA ECKDQAVRTGLPRSKAGPKEIFMLGPECDGLQPVGAVESSASVIELDERNPMQAPFSR NSIFVRSEARTPTAEETAALSSRRGAGHGESKSKKGRVSEAGPSKHLFPLRESTCYCG DPYRPLLDREEPMALCAHENCLKWFHLGCLDWKNIHRRDATPSLIEDVITSGVQLMSL LQDYGLTTPAEKIPFDQDPTELDWASIKDSYGIKTEKVKVKIEKEKHRTPSKGTSNGT INGKIEDPQGLVPEISPEELEKNKLADQHLPEMIFRIAEFPAVRGTLDTGIVGNARYI MRARQIILHNRKIRERGDIKVDPAQVEVIEQMIKEWTDIWGMEFDPAPRDIVWLCPSC KRAI L199_001601 MALVGVRTILPGLGPSAGPSRIAARYVPRKSIRTPVYGYASRRS IATTVSQRDVDRLIPSLEDLGLQAGDDVTVAVSGGVDSATTLRMLCELPLNLDVIFMR NWDPLLSESPSSRADDPSYSLSYQSSNTKSGCQWEKDWNDVISLTRSIGISQDKVRLV DLSKEYWSRVFEPSINVWEGGGTPNPDVDCNREIKFGALMEHLPKRSRHFLATGHYGH VDHTSEQSRLMRAKDHTKDQTYYLSQMTESQLNRAILPLGKLTKSTVRQLANYWNLPN ANKEESMGVCFIGERGKFGDFISQYTSPPTTQGHIVSLSGEVLGEHKGLWYYTIGQRA KIANQLKPLFVAKKGVGKDNNDILVVPGKDHPMLKCTSLTTDKFHWIHGSCPTEMIGN DVERKINVQDRYRISPVFAKIKETNDGTGGVIIDLPEPLLGVSPGQVAAIWYGDWCLG SGVIRDTQCLGEESVFK L199_001602 MTSMAAALAASLPAAISRTGTPQPSSIQQQQQPKQFPQRLEGVV DVEAAREVESVQLNSLVFLKMMKHSTDILPPPPANTLQQDRNAPPPTALSSHTDCLGV LLGLDMDGVMEVEDSFALPGGETSLGANSYSDRLLTHLREVQTPDSPVGIYLSTRNGG FATRVSIELLSAVEKAAGGRGKAILVVHDASKSNGGDLSVKAFRLGDGAREAAKLGKW DEKTLTENGITSSTLLSPLPLTITSPTLISAFLSTLTAPSSEPERTLSGASSSVALPP SFSPLINPTPSSLTNYLQNTLDSLTLHSHEANNIAFLTRQIAREKVKHEQMVKDREEE NIKRRKQGLSEFPSIPKEIKNPTKEPSRLEMICLNGTTEGLAKNMAAEAGKGLVRSYL L199_001603 MTIQQPALFQPIALGDIQLKHRIVMAPMTRLRADDETAVPSDAA VKYYQQRASDGGLIITEGTVSSSEGKGWHHSPGIWSKDQIEGWRKITDAVHEKGGKII CQLFAAGRVADPTCAPVVYAPSDLNDPTPGTPKPPLKVMTVDDIKRNIDQFVQGARNC IEAGFDGVEIHCANGYLLDQFIHSNSNQRTDDYGGSLPNRSRFPIEVIREVSTAITPG KVGVRISPFSTFQGMRDPHDPTGLFLSFITAVLDAADGLAYIHAVMPRANGADDQDPS KLVEKDDLEPIRQLVKNRNIPFIVAGGFNRADALEDAEKYGDLIAFGRFFTSNPDLPK RIKNDWPFIKYDRNSFYTQSIEGYTE L199_001604 MTIPTPPTHVTLPSGDRMPLVGLGCWQSEPEALTKAVAHAIKVG YRHIDGATIYGNEKALGEGIKQSGVNRKDLWVTTKLWNDDHRPEDVIKACKRSLESLG LDYIDLWLMHYPAATDTNPNDYNDIKVLDIPYTETWKAMEECVEKGLVKNIGISNYSK IELENLWNNCRIKPAVHQLERHPYLPQNAFMKYHKEIGLHVTAYSPLGNTNPSFADRD SLPPIQKNSAVVALAEKYGISPANVLISLQVSEGCSVLPKSVTPKRIEENLKVVQLSE EDIKSIADATKGQRARYCDFSDIIGYKYYEGLDDSD L199_001605 MTRNKLIEKLQVPQREEEAEVWQQSRWLNRDNVPLPPYRRSWGA WSYVGYWCATGINISGWTGGSSLLSLGLSVGEAMAVVVIGQCLVAGACVLTGLFGAEW HVGFPLWNRATWGMKASFFPLLNRIALSFTWSATQAWFGGQVLKTLLGSIFPSIYNMH NPFPVSTAMDGADFMCFLLFLLISIPFIYIPPEHYRIPFLFTGAISTIACFALFIWSL ARAHGGGPLIGSEAMAIVGVEKARGSALGWAMVYGISSQMGQICAGILNNSDYTRFAV HPRAQIISQVVVIPVMGVLTCLIGIICTSVAAQFYPEEGLLWAPYDLLAAVQKNGGRG ARAGVFFASLAFLFAQFGINIAGNAISGGIDLASLFPKWVNIRRGAYFTTLMALPMCP WALVSGATVYISVMSGYATFLAPMTGLMVFDYIFIRKRQIKLSDLYQCNPSSIYYYYK GVNLRAAIAWVCGVAPCFPGFLASVSTVKIPLGATYVYYLCWPLGFTISGVVFVILSK IWPPNGIGEVDGYDYFGTFGEPNGPSPIGTVLPEDGTDKDEPALVHAIPLRE L199_001606 MTIPHKTLRVGVDVGGTNTDAVILDLTPGTSTPVLASFKSPTTP DVTSGIQKAIFGCLEKSSSDKSRIEAISIGTTSFVNSLIERDATKLEKVGVIRLCGPH SRLCPPFVSFPYELRRVLEGPVWMVEGGLQVDGREISTVDINEIRGIAAELKQQGIKT VAVSGVYSPIDHDIKQEEQVRDTLQQEIPGIKVTLSKEVANIGLLERENATILNASLL AFAKIAVSGFRQSLKSLELDIPLFLTSNDGTLMTCDQAEHFPIKTFSSGPTNSMRGAN FLAGLASGGARKETALVIDVGGTTTEVGVLLPTGFPRQAGARHELCGVPLNFSMPHVH SIGLGGGSRVRVDNLGKVTVGPDSVGYRIDQSIAFGGDTLTTTDIVVADGRAKGIGNA NLVKNISSDTIAAASARMKTMIELALESMKTSTQDVPVYLVGGGAILVPDTLQGVSKV HRFPYYDAANAVGAACAQVSGVIDTFEDTSTTPLAEVRKKVEQRAIDKAVDLGADRAK TTIVESEIIPIAYTTGRARFYVKAAGPWTGAPVTHIQFQKTASAIANKDTKELASVDA IPPANIRKGPLPESDPPVTAQTILDYRPAITKQGEWMLSEIDAEWIATGCYILGCGGG GSPYSKALALREIIRAGGSIKIIDFSKLEDDGMVIWGGGIGSPEVSQERLVNEEYNEA VSELMKFLRMDKCAALAALEIGGGNGMINMITGASVYLDIPILDGDFMGRAYPTGWQT TPNVYDLSGRGEMLLPSSLASGDGTVTFMTKARSDKDVDAVERAACVEMGTHAGAAQR PLTKPQCEQAMIKNTVSQAWRLGRAVALANKQSNVGNIGKVLVEALGGSRCAKVLFNG KVTNVNRRIYKGHTIGEIVIQALKADEEEDEDPENPKEKFEGTMTIPFKNENLMCQHE VNGVTKITAGVPDLISVIDSQTGEALGTPDYKYGLRVMVIGVTAAPQWTDTPRGIEIG GLGAFGYDDIPYEPIGVYEKPVSVIDEYGSV L199_001607 MTTIQPPRKKARLQRANTGCRDCRQRRVKCPEGMLDPHTGRKAA CRKCWENDQECYYPSTAHKPSKLLKDVIWVESGPFEHMTTTKKTPEQDNILFVTSSSS GQSSSSPISPTISTLPQSLFDLPPPSASFEFNVDPNTLPTTGRPIASNSPPIDNNALD LTFFLNPPPPAHHDLFPIVNSSASITALQDDAQAEASSSDTTNDAWLALLREPYPSRS TPTSAFAPYLQPNLIKALFQPSPKAPVTYFTLASLPCGSIDRTALSYFESQGCNEIVA APTPKANWIHSLLFPQIYHLLSTVPSSKTPQGMVRDFAYHALMQLSFVHRGNVEKDAT RAVYWKSEALKHRRLGSSTVLKVKALYKGAGWKTEEYLMGFFVRCMADMLDSRDLVID HTTACELPADTCSPNYPSLRDMIALYSTIHGSCTPLELASAQPLSGPTKPVFELPLEG PDWVERFVGYSRQFIILLGRVNGMVTYRDHLIRTGRENTLDGKMLRAQSEALSAELAD PYAWLESGKSYRVEKGGIVLRLALQLMVFSELLEISLDDSRFSHVRSVAQELVADTVG HESAGYQWCLTVIAVYTSKKDDRDRTRALLDDVNSMSFGKSYNGTSEIMEMCWEIYDA QGFYTNGIAPWREAMTAFGRTVIV L199_001608 MELGSRELPIPGSVVLVDKDGHQTQHAEGRHNIDVVLSPKPSND VNDPLRWSWKRKQLAHWMLVVYVMTNGIALCSLYSVLSPVSEATGISLSTLNAGTGYT DLPVLGYGGLITQPLAMMFGKRPMYLISQLGTVAVVIWMAFIKSESEWLANKVIQGLF ASPIEMLVEVSIADIFYAHERGFYVGVYGMTLFGSNFLAPIWAGFVNDALGYKWVFYI SAIQCAVGTLIMFFLMEETNYNRGTSELVEEHDGSAQSDTERPALYTDKQSNEKDLPS VQVRQASLESRSSLTGTPYSYVRRLRMFDQIYCSTKMGWTMIWRPIVLLRFPVILWSG FLYGSALVWYNVLNATASILFTDLYDFSASAVGLVYFGPLVGSAVAALWSGWVGDRFT MWMTRRQKGVREPEYRLWLLTLNAIICPVGLILWGVGAAKNVHWMGLIFGGGMVAFTS ASGGATAINYAIDSYKDLSSEVILAVVLVRNSMGFAISYGITPWLDSMGYQNTFITAA MVGLAAYISFLPVVKWGKSWRKASRELYWKYVEAGVIGH L199_001609 MSPTRVLDYPALAAKAIAARDETIPSEYLLPKSAYPLPKDRTSL ITSNGLLSPEELEIINLSATKLRDALASRKYTSVQATTAYCKAAAIAQQATNCLTEMF MDEALERAKLLDEQLEKTGKPVGPLHGLPISIKDHMDIKGHDSPSGFLDMVGNTIAEE DAHLVSVLREAGAVFYVKTTQPQTIMHLETSCYLGTTTNPYNPELTSGGSSGGEGAVM GMKGSCLGVGTDIGGSIRAPAAACGIYGFKPSIYRVPAGGCQMPMCPAGYEGIICTHG PMARSVEDLKLYMEVMVGSKPWLRDPTVQVKPWNYNVIFPQAKIRVGIMLDDGVVKPL APMQRALKEIAAKLEASGLFEVVPYKCILAAEAWDIISKLYWPDGGAMVREHLDKVGE PMLPLSQWIIDWSKELSLEEYLALAARRDEFRKAQAEHWQASGVDVVLSPPSPTPAPA LGTAKYWNYTSFWNLTNYPSAIFPTGQFVDATKDAKDTSYTPSNESEKIIWESYDAEQ SSGAPISLQVSGYIGHDEATLVALEKIVKVIG L199_001610 MAPIATTTQDIAADTIQSVKTALSASTATPKITTSHPLDPLSGD EIKAAVEVIKSHVQQVDSEAKIWFKHVTLHEPHKVELVKYLLATRERSATKDLPREAA ALISRTTSGGVKYYEYIVSLESDSVVSCQVVSDLDHAPLDADEMVAAEEALLQDPEFA KVVEKLRLPEGAVVVADSWPYGCDTVDELPRLIPMMTYYRTSSDLDSNHYATPLPLIP VMNPATMKLHSVEYTPIYGGESTETIESLWSKGENFPWEKHQPHEYKHDLQATIRGGL ESLEVVQKNGPSFKVDGRKVEWQKWEFVVGFNYREGLTLHDIRYDGRDLFHRLSISDM TVPYGDPRTPYHRKQAFDLGDVGAGVCANQLDLGCDCLGSIHYFSADMVTSKGDVKRM NNVVCLHEQDEGIGWKHTNFRTGKASVTRSRVLVIQMIITVANYEYIFAWKLDQAANI HLETRATGILSTVAIMPGETSPYGTIVNPGVLAPNHQHIFSVRMDPAIGGLGEGNTVV QEDSVPMAYDPRSPPKNNPWGVGYTVEKTPMEKSGFVDAAPHKNRVFKIINPNKTNPV SGRNIGYKLVPQPSQLMLSHPESVGFARAEFADHHIWVTKYKDGELFSGGQYTNQSSG NARGIKSWVARQDNVANDDLVLWHTFGLTHNTRVEDFPVMPCETQTISLKPVDFFTAS PAIDVPPSTQAFNKSTLVNGSCGSCH L199_001611 MSLSRRATFHSTLIDDDIQPLTPEEIQSFLDELDHNNDGIIQYS EVESALDRVNDELTPTPKPHNINHPSKEEHARHAFLRELLGTTSDTNPSSISRDEFAD RIELWKIPSMTQSRSTEEADLEYMSSIGWWRRFRSWWAVKGPEVMFLVIVSASIVGMM LWQSLKYSLDPDYRAAFGWGVVLAKTCAGGLYFTMFFLISSMSRYFSTFLRRWYYLSR FINPDLSQSFHIKISILAITLSTLHAIGHLGGTFVYGSKLARQDAVAAILSPELVPRP YQAYLRSLPGITGLTALGLFYTLAGLSIPQVRKWNYEVFQLGHLLMYPIIGLLMAHGT SRLLQFPMLGYFLAFPTLLVLLERVTRFFLGFGGGIPARVKMLDSDTIQITIPIHAST IKIKTGMKWKYKPGQYIFLQAPKVSRFEWHPFTISSCINDQVKLHIKTDGNWTTRLRR LIPQDQTEGEIRVGLNGPFGAPAQRFYDFTHTILVGSGIGVTPFAGILADLQERDERL HDTSESEKHGQGNDPGEKTSSSTSAKTGTTLGQTLSRTLSRARSRSRRRSTISPYPSD YRRVDFHWMVRDKNHLGWFSNLLNSISQSQEYHQSPTQHQCVHPHLDIRLQTHVTAKR QSLTTHIYRWLLEIHRTGGIPTLALDGHYEDMVEYQRSTTQMGDEGRAGRAGSKLKDI IKVGVFFCGAPMIGEILADRCRALNKRGEEEGVGIRYHFMIEVFG L199_001612 MYQLIPLLALLPSLAQAQVTATFPNGPTNPDKPEFYPIGSYVNS TSESRLITLNGVDDFCLWGPPDQSGAAENEIGNVEPIVVAYCTKPRNGARLIPDGAIT AAHFIKTPNYVQIYGFWDGTKVGIVDGDAGGELDPHGAENLGNPIGGNVTSNVGGSDV FYEEWMSFISYDQFCLRVCTAETGNVTAALQCEHELDIMGCQFVMAIEDFYQSNNTFT ECEGESAAPPGLYPQPDGSYSTFRQRYTGTYTADGTVGMFTVGQTVTPSSVAFYPATS NCKTYSTISNGINTGDWAVTATPSVLVGGSTQAGSVGTTSQSRPAGASAAASTSGASG ASGSASASASASRSGASGSASASASGSSTQSNANASGSNSAAKLTVNTGSTNLLLGAG VAVVGMLVGAVSLL L199_001613 MSALPILRSTFASSSRSTILRAPRILTPKTLPHLSNSPSLTRQI HSRALFPSRTPITAHHFQRRITIRQLSTTSSRLNANTSSSSQSQSQSQSEAESEPSEP SPNPSSVTARLKLLFKKYGWYALGIYTILSTIDCSLTFIAVHTLGAEKIEPIFDSVIQ FYRVKRYGEEEADNLKKVDEEKKAEELEKSRMEGAADKEKNKWFGKTFWAELALAYAI HKTLLLPVRAGLTVAWTPKIVNWLASRGWVGKGGLTRAATHAQGKVKDASERVKDRVK RQ L199_001614 MSRSAINMLTMTRAEWLEKRAQELQSNPSHSPPTSQSKEQVTTA NKDETKSTADDNTPSIEPDLREVDYKTIEYLWIGLYQDSPVGQTRLKPTGYPEYLLKR HFIPKKERQSETQSQQPSQPVINTFSSEDDVQPDGRASIPRPRPRPRPRPRPSSSEQM IFCVDELIYVGKLWDVYKGKLVLCDGTAKHKVMIMKLMKPDCFPEEYPSDSDDSNSAE EGFHTSDAAITSAYQEDYIYRYYLTELYGGVVPDYYGMFTCFAETDEANDEEEKGGPP DPWLCAMLMEDVGRGNMFSENEADFWDEEDCLNIKQAYRTLHEVAEVGHGEIADNHII VNREQNRVAILDFQGANTFSSTRQPKRTKTMVEWDEGCLEEVLYPWRNRPRES L199_001615 MNMQSIKCVVVGDGAVGKTCLLISYTTNAFPGEYVPTVFDNYSA SVLVDGRPVSLGLWDTAGQEDYDRLRPLSYPQTDVFLVCFSVVSPPSFENIRTWIPEI AHHAPGVPIILVGTKLDLREDPVTLQRLKERRFSPITYQMGAQCARDVGAVKYLEASS KTQKGLKNVFDEAIRAVLQPSIGQMNPGGSKKKKKQCVIL L199_001616 MSLRSTTTMLRPTSSILRQQLVARGISTTTPKGASHDDSHHGHG EGADDAYTTESFFNPFWRNTLVLTTLAILAYPYIPSTSSNTTSPSLDPETFSKSTKDK SLPYVTRLLASITPESRIWTERNDKHLELSKDAAETKLLFQEAERPRVLRMRYPSSFE QASPHNIAVGSQTDLSDLKVQL L199_001617 MSLISPTPSLNGLILKKTPSFVIPDDSNVIVNVDSDTHPSQGET ETETESDTLFKPMKMGDLQLAHRIVMCPLTRHRANDEGVHGELAERFYEQRASDEGLI ISEKTPRKTVEERGDGTGFIVAGGFTPGSARALVTEKGGAVGFGRYFISNPDLPYRLK NDLPLRDWNESTFYTNGAEGYIE L199_001618 MAELQQVLELLKKLDTRQEELAAQVESLKSSSSRCSCGQSHSHP NPSTYPIHLTGCTPSSPSTPAAGTETIPCPPLPASPRSNSGGVEALRVSRGSFTSLLP SALNNLGLGSSPPSNTNGTSTPYGNLSLEAVSHGAGAPGPLSPTGEKDKDKKKGYPNR VVLTTYPSQAGINPVPINWGAGPTARERGPVVCSRIKQNLLIRNSIGAHSGSYSIYRA LSIAMGQLRPDWRPDLTNTHPPFVLPPTEGWFGDKIVSFDPWGAMSQEIWAKEYADGL DVRPTISQTKAHIKIEELDVLARKGEFPVDGDIVIKSPELAAFPGVDQGVEVNTYKAA VDPVWYLPGVAARLGIDESILRRALFEDTGGMYPELLTRPDIKIFLPPIGGMTIYIMG DPAKLQDPNVEITCRPHDSCSGSDVFGSDICTCRPYLIFGISEAIKCAQRGGVGVIVY FQKEGRALGEVTKYMVYNRRKRGGDSAAEYFNNTEVVAGVKDARHQALMPDVLHFLGI KKITNLISMSNMKYDAIVGSGIEVINRYEIPEELIPADGRVEIDAKIQSGYFSKKELT DEKVKATKGRDWGE L199_001619 MSEIVIPTRDTSRKKRDASSGGEKGEIWWSNGIFFVSIHLFALI GAIYLSPLASIDPKTAVLCFISWQLASFGITIGYHRLWSHRAFTATAPLRIVLAWMGS MGFQGSIKWWVLRHRLHHRFTDSNLHDPYSASKGLWFSHCGWIFRKPSYPRMKSIERG DLEADPVVRFQHKHYVPIALFSGLILPALIAHWGWDDWLGGLVWGGAIARLLIWHTTF CINSLAHWTGLQPYTEEVTARGNYLLAVLTSGEGNHNFHHAFPKDFRNGPHPADWDPS KWFIWVLHKYTSLVPTIARTPESAVRKARARVYMAQADRLTEALPPHEMVRPTNDLPV WSRAEVRKRHGEYVKEEHGVRRRVLVLLEGCVVDVGGYLEDHPGGNDLLLSHCVLPLP SENADDLDIDSGYASSELASPPQHKISLSSPEIDEVDQIVFKDATRAFFGGMNNHSGA AKEWMRCLRVARLEK L199_001620 MPVASVTTSAAGSLTLLEDEDKDIRVYALNHLLNIVGQFWAEMS DKLSYLELLADPMSKELPSESRPQAALLISKIYYYMGYLDEAVEFALKAGGAFEKEKE GEFRETIISGCLDRAIEQTSRGEKIDTALSNIVDSVLRSTSGENGKLAMGLALSLRRL DLVEMIYLTSRANSSSQPSTSTKPQSSRPTHDESLLRYVLSEVVSGATGNEGWSEEFR SNLFNLLLRLFHHNPQPDWNSITTIWAQNSDVESCGEALIKLINEQNHLDAYQIAFDL NEVAPQAFIDGTRSKLAEKGLAPPAENPDESDPKVILDNILKGVTSAELFLNFLNKNN KTDMSILKVTKETLEDRYSIYHSAITFTNAFANCGTTSDKFLRENLDYLGRASNWAKF STTAALGLIHRGSWINGLKVVKPYLPGGSAPNKFSEGGSLFALGLIYNGRKELAEDEL KKNLNENVDPIIQHGAALGLGVSAIATADEDIYEQIRTILFSDNATAGEAAGYAMGLV MLGTASEKALDEMLSYARETQHEKIIRSLAMGIAFVMYNQREKADGIIQTLTEEKDAI LRYGGMFTIALAYAGTSSNKAVKKLLHVAVSDVNDDVRRAAVTALGFVLFRNHTQVPR VVQLLAESYNPHVRHGATLALGISCAGTGLETAIELLEPMTKDPVDFVRQGAYLSLAM ILIQQSEASSPKSASIRALFAKVVSDKHEDPMARFGASLAQGIIDAGGRNMTLALSTR AGTLNMNAIVGLTLFTQFWYWFPLAHGLGLAFTPTALIAVNQDVKLPKIDLTCNAKAS LFAYPSTEKKQEEKKKDKAKTAVLSTTAKAKARDRAKKQEAGEAMDTDDKPEPATTST DKPSSTPTQKKKPSEPSSFTLSNMSRVIPSQLQHITFPPEGRYIPVRPSGSGSGNIVV VRDTKPDEATSEDGFIELDKNLWPGWGNGNGQTNAAEPLQEQNAAAGGAGGIIAGQSA EEEDEDVEPPQPFEYPFED L199_001621 MSTSTTANSHLNGEDTISSSPGGTKSDRPFTIISGPGGRYYLTE NDELFSQCDTSLLIPSSSGQTGEATSDIIKKRVIRARSHDLPEVWYTYANTEDGDTQT YRMGMDIAVQLDHFGTIYGSRAHGRTTIDQVDKLEREEWISETINLGNLSVDPEQKSM IEYVIERIPATKDDKSNTAREDAISMMRFKLGLVYDYAIRPIL L199_001622 MSDEEEVLGGFESVDELQSHGINVQDISKLKAAGIVTILGVAQT TRRHLLKIKGLSEAKVEKLKETVAKMLPPPFLTGTEIADRRQNVIYITTGSKSVDAML GGGIPTQSITEVFGEYRTGKTQLCHTLCVSTQLPEDQGGASGKVAYIDTEGTFRPDRV KAVADRYGVDAAMALDNVLCARAWSSEQQCDLLVELAVRFVEDRTYKLLIVDSIMNLF RQDYSGRGELSERQQKLNQFLARLQKLAEEFNVAVILTNQVQADPGAAAMFAAPTAKA VGGHILAHASAVRINLRKGRGDERIARLMDSPDMPEGEATYVLKSGGWEDSS L199_001623 MVLSSSSRVTTLPKRAIRLSRGLATPSTLPIKDCTSITPPYPRL LKTLDQVRDVLPKGSKLTLAEKILYSHLRNPEESLGGNGGNGKIRGERYLKLRPDRVA MQDASAQMALLQFMTCRLPSCAVPASIHCDHLIQAQTGAESDLTRSIEANKEVFDFLE SAAQKYGIEFWKPGSGIIHQIVLENYAAPGLLMLGTDSHTPNAGGLGMLAIGVGGADA VDALTDTPWELKAPLITGVKLTGQLQGWATPKDLILHLAGKLTVRGGTGRIIEYFGPG VPAQSCTGLATIANMGAEVGATTSTFPYSDNMRQYLHATGRGPVAQAADEAAKKGFLS ADEGAEYDEVIEINLSELEPHLNGPFTPDLATPLSSFSNFLNTNKYPTTLSSALIGSC TNSSYEDMSRVASIAEQAKAAGLKSKVPFLVTPGSELIRATVEKDGLQDTLESVGATV LANACGPCIGQWKRDEHKGEDNAILTSFNRNFKARNDGNLKTMNFLASPEIVTAMAFS GDLNFNPTTDSISTPNGPFKFQPPSGDRLPPTGYSAGDLSYAPSPSPTPKPETEIAIS PESTRLEILEPFGTNFAGGKGELPQMTCLMRVKGKCTTDHISAAGAWLKYKGHLSNIS ENTLMTAVNDENNQINKAIDIDGSEDTIPKTMQKYKKRNEPWMLVVDDNYGEGSAREH AALQPRFYGGAMIVARSFARIHETNLKKQGILPLWFIDKSDYSKISSHDKVSTQGLAD IMAGSSTSDIVVLRVEKPSGEVVEVKTRHTLSADQIEWLRFGSALNYIGAKAREAGSA L199_001624 MPPKGPNTLPLPYAQVQQPARREQRQPMRTSKLGTKLKVLPTQP ETPTIPEEDEDEDNERDLSNRDEGEGVEFYTPLSQIPKGTARRDAQRLTKSEKAKLPR VTAYCTAATYNLPAMQAYLSARPASYRTHPRIFDTECLHTPYLPPPTSSSSNFRSPHL KPLIQNNNNIPEADLLNLGNDYPTNTNTKRSSSPSRSNENKRHTGFTKRPGGSRNNSS NSTTNKRISNSHKEESNGNGTDSERDDDDDFEEEEWVPDVFLFEYGTVVIWGMTEKEE KRFLSSIKKFEIERLSTEDVEMEDLNFYYADYSRIYNDVITLRKGSSYMTKLSLSHAL SQSVKISLFEELITSTIEQTKDIPKSLSETGKIGLPRSEIMKQIGNLFILRININLVG SILDSPEFFWTFPDLEPLYGAARSYLEIGQRVDLLNARVDVLQDMLKLLKESVNSSHG ERLEGIVIILIGIEIVLGIITILVDLTLT L199_001625 MNKRGPSGLPSKPYMQNNGPGPAPPLPTGPPPPVQAQQQYSQQG QPIDQAAHAAAWAAYYQAQGINPAATYSAGPQPAGPAAGPGTAGNPYANYGYGAGAQH GTYQAPVQLGVGVAGPSQPFRPPPGNQAYSPAPGGYGQQSGQYPQQAQAYSPNPTAGY STPQPQAPPIQGQGRPPLNQQPGFAWGAQQPTPVTGTAPGYRPPQPQPTTTYPQQAGS GLYYPQPVQGQTPQQQQFAPTGNQPYRPQAQHSAYRPQGARPPRPPMSTPQPGGFPPA KRPRFDGPGATGAGMGMGTGVVRPPNGPMQNSINRPPSAPAAFNTGVNGGQPGFNHSS SASSSSRGGRGAAPIPTSRPPIHLGSGGPPPFGIGLGVRGGAPLRGGYSGRGGRGGGM AGAPRGPSGMMRRDTNTPTGGAARSSVPPSKKDTPRKEKRREELRTTMTDFRIVGIEV KGLGWSWGKVEGQEVEEEEEVVKAEEKDDAVTTGEEGIEVPSIENSDVKEEIKEASGT NGESVDLSKMDETSEEVKVEVTETNEASAVAGNDVIEEKEKRGEKRKAKSPDSEEETA AKKRNSSYLLTHNKPNIPSTSKIPTSSIFGSNQNRFRIYFDSPPELDRIPKSARRKRG RESSSVAPSGAGENEVGDTGVVEEAEEAQRIKEEIEAEAETQPAHQNGEEAEAEPETQ IEDAAEKIEVPTIPEMTATENTETPPAQNTESEAQATAAIVEAPETTLTLEQVVEPVP IEEVGDVPMTTDPGQIPASETQTIESEHVDPTSVTTELEAVPDAAPTDIEPPNTSIDT ANIVDAEPVNGENSRQDEIVETVNSTEEKTRARRHSSVSSTDSRDYQSTIAGDQQNSQ SHSQTAASSTQRSVPSTNRLSILYEKSLRRICIDSDVVEKVKIWRKEGRIEVELKDLE FESKEAQEIRLPKGILVESYDSNDQRYITLNSTSISTFFGQPDYTVPTEDGQSIPPFH KVLSATVNSPSENQGDSSEVAKVNAAQNGQNDDNDIIASSEQAQNVIHDESQTQPAPS SASKITLTVYLNRKNPLSEPKWCRNNSADNWLYEQFGSRRIEQDEENGLKGLGFGSAG WKGKLEVMDPDPAPTLKSILEQWSSTSSYGTSSTRESFISSLLSSPIETIEILLRLTR GDRNPVYSSNPTYFNKSFSSTIKKESPYTNHQTHISLAVLAMYRLTTDLVDKVSTDEL EREQERKKLDENVNDIIRSLPLNMIQRSLDGLWKEWNAHNKDTGKNGSSSKDK L199_001626 MSSQPPYEYPEGSEVNGHQLRGGVWYPLVATSPSRDMASEGSIP AVASPTPSTQWRAQTPSTYRNGATPYQNGRSGASQVTTPARSVAATTAYSRGHSRSST ESTRTGVFERNIVWDAERTEEGNFRLDIDFEAEHLGRQNSSMLSVFPNDPDDAVSFES WMQQTRTLGGFSRAADRMTIDAAIATQLRPAANFVNNVSTAIDQVLLDFWREYRTRP L199_001627 MPPPDPTITRTDRDPFYTSGDGTRNFYIGPAGSDEYQFIMSGSG LIQNAHSKTAECLYSYVGEVTTLEFPFESTTGGIGSITLKSHQAAERDTKKGLEGWKQ ATLTNAEATFNSKDETFKKNCSEIFSGGIDTGENPISLDLDTRLDFVWQFLVEPNVWP SHE L199_001628 MPEANKALQEEELESLRAIYPDEWHDVPPKKTAWGTELDGGWWE VTIKSMEDERVSVVLRGKMISAYPQQVPPLSLRSPEYLTAKHVQSLHTLVQEKAKSKV GEAMIFELIDTVRDFITDNHAPLPSPGDVNLMEEKARREEAQRAAEEASRLTEEENKQ REEAKTNRYLHEKIQANMIQKKETVDQVKQQQEERRRQESLATLDVGDLESRLLELDQ PINVDGYEGSWKRWILFGGKKEVLWTNYMAEPETARNEDQNSNSNFVKASSPCLNIQI IDFAAPYYLTAQGTKRIDACTVEALRVKEIKSEHVLKVYAVKRCKSPKGWERLILMTE ATNEGGRLRSWVPREGFGEELAREYIAQVLLGLSEIHTRNATQRQIDLDFTLISTGSN GDKVIKLAGTGYSRRIVDMHRSNTFLRTKVEVIPEEWISPDERDSPHTYSKQRDLWHV GLLLLQLMYGPETLRNYHNLPTLLQHAPGLSDSLRDILTGLLNQNPKKRLSADDTLTR LRAAEDETTRRGFKRTHSGHAPHNSLSGGPSDLTQSYLGISPVNNRGLFGYLPSTPQP LAPRLSRYRTDFEEVEFLGKGGFGEVVKARNKLDGRSYAIKKVKLRPEDNEAKVYREV NNLSRVNHQHIVRYYSCWLEDANPPQLTPTADDSTPGPAPTSTSTEEDIFALDFDDAS FSRRDQSRSASFPRIRFANEDDDEDEDSDDDDSDSESDSDASTAADPSEMRERGRSLN IPIPPKPSASVTDATTDDGNVQRILYIQMEFVEKQTLREAITAGLTEDEVWRLLRQVL SALAHMASLGIVHRDLKPSNILLDGDGNVKIADFGLSTTEMNAIEVASGPATLADEVD RTSNIGTSLYIAPEVAISRSYNEKADMYSLGIIFFEMCYPFKTTMERVHILNAVRQPS ITFPPGWPSTHKHNEREIVTRLLAHDPSKRFSATELLRSPLLPSPEKKKEDWDAAIIE LTDPKSSQYQPLLNALFDKSNHNIADVDHRLVDYTYDNDSDDQLQVWLTVVIQRLVEL LQRHGAVESYLPLLMPETTLLNTFPGLDPVRLLERSGQVVQLPSSDLLAMARSATRRQ IERIKRYHVGRRYMNDQVGGQPLVSGELSFDILSPLRSGAAEAELLEVVDKVISEFRG MRGSSSVEYDFHISHESVLETILNIVPDRPDKPRRKVLHEFKKLGASHPVSNASHSRS ILGSIPGLPKSVLDELEQCCIADEFEAVRTKLESFLPSAKRKLAAAFEDISSVIKLAR SFGVSRKIVFRPTLAKHSEFFRGGFMFECVRRGKQKEVIAFGGRYDSLLEHFKQPAMH SQSRRVFGVGMTIAVDQLARIVSKYESSLSRRLMEKPSEDERSFGYWSPARCDVYVAA FPHVDLSVRLNVIGELWRAGIRADLQYDDDRSVEDVALECQEQNILYLVIPKASKTVV KIRSILRKSEEEVSRHDLCNHLRIAISDQRRIDASYASAEGSIPSAQAAAMSVEPKQA EVDIKLLLPPEPMSSKGTKGRPVRKFRHGTKSVYYEKASDFALQTHSTLPILGVDLPP SMLCQMTFDTSWISDDESWRSLLTKEGISTGDRRYAETVRDAVKERKTSGGMVNGTVG SGTAGGGNKDNSAWCWLFSVRDAKGFLLQIGSGK L199_001629 MTSISAKKPVRLILFDVFDTLCTPKQPIHEQYHDEAIKGGLSPN DISPSSVRAAFKPAFKAVDSKWPLYGKHTEPALTPEEWWTKIIHQTLLEAGAPKDDLD QKISVIGPALMNRFESDKGYRNFPETLETLSQLRELGIKTSIISNADPRILKTLDSLK ILSQLTYPPTLSWDVEYAKPSKEIYLSACEVCDEEPGEGVIMVGDELKADYHGSTSAG LEGRLIRRQGEWSDGAVRTSSESLEGVRIITSLRDIVTEARERNV L199_001630 MPYVNPSRGKRPDTDHPRFVTNPQPVSRSSEPSLSPPPDLSSEA YRDLWETFNGDWMVPQPHGGDHDFGVLVNGELNEDTAEGVTTSNNDIGSHTTETMSFA RAWRAGGRHHTRQRFRSVPCNQDETGVSFAHNRSTGTYELYLTLPSSLGLGSSRTLVL ASVADPHQVDEERWFSGATELLPGIDIDLEEGSRLESLLARGLRRFWGYINSVGNA L199_001631 MAEDLIALLEDLGRLRHGGAREEEGRRGAIPDRGSTMPYRTLYD TKVHSTAVPNESRALSNSKILQVQLNHEVDLYQPASVGRTRETFERYLNTSIDLGTLY LLKNRDSLLPAGSESVGTGPGISNLKERIDVELHRCAHYASDLIRESQSSSPSSPLFQ PMCKREQRDPIVCPSANADNRSATTGILSEDLFNSTWDYIRERMKYGLHTTEEETNEM TSLASNRPFFFEGVN L199_001632 MLIHAPDGFSGRLRRITRPIRVLNRRILGPPKSTSGHTELPGPS SSLTLSSTIGNRSWVYHPDSFFNRITIPYGLYPFLLLWIGCFIILVRQQYYTPNTPQI ISCNAAPWDDWPPDTCGINGGNCKDDLESIDNQSFRCLGGCANSKLGNPRYVGAAKVD GTTLVIGGGDDEGTYRADSWLCPSALHSSLISPTLGGCINFHSLPYPNGFSNYQSSYS NNINSTSFKPSYPGAYRISSYGTSNGCLDLHYIVTGFNAFSLLLTVLLLKPPSSLLFN ILLVGGYFHLVLFADPPSIPPNWETIFAGLPPILLAGYWFWKLSFKRTLAGFKNLPAE LALWQGLGYWLGLESSTIFSKLPITRLGYDALDPAGVISLVCIVVVVVIVVAIQAWQM RKYGLLRYYLIRYIPLVPLLIILAFLPNYSLRLHHYLLAIIAIPVLSLPNRISLFGQA FALGLFLDGTGRWGWDGLIQLTGSLVGDANGGSFVPSFRSNLTTSTTIHFDPIESIEQ IYNVTGFSVLVDDIQHSGNYTNSSIDMTSFNLTQGIDHYLRIAYIANGTSLDFTDPVV WYANSSWSELWAGVSDGIGNVTTDL L199_001633 MAIDFEALAEKYQQYAQPAGTVLLILILAISYLINSGSKNRKVL DPVEWRSFKLVAKDHLSHNTALYKFALPKSTDSLGLPVGQHISVAAEIDGKQVVRSYT PTTLDDDKGHFDLVVKTYEKGNISRYLSLLTIGQEVKVKGPKGKFHYTANLAPALLMI SGGTGITPMYQIIKSSLKNPNDKTKLSLIYANVEEDDILLRKELEDLEKKSGGRFTLY HVLNKPPANWNGGVGFVTKEMIEKHMPDGGVGSPNHGEGHKVLMCGPPPMMNAMKGHL KELGYPAPRTVSKLEDQVFLF L199_001634 MLPQIMRDGNSAKKNQHRHQRQQYQATSDVQDKINDRQDQRSET RRQRMYDPIIGIILLAFLGGANGQSTNASCSLSDDNKWMFNDAEESPCLVWSKVQSLC IPQTSYINVPPLLDQSYSYNLPDGRSWRCQCNSASYSLMSACALCQYPSTSLPSEDDW SANCQNYVNNGLGFGQSVVSIPAFAYHQWSGSTFTGNIAKTSTTTPTSQSYTTTLSRT FPTSSSSSSPSSSSSTTPSSSSKSESTSNSEDHKSVSWGPIIGGAAGSLVLLVVLILL IRWFMARNNSNSKDKTNTNDRIPYPYPYPYPYLYPYQGHGKEQSHDQDDSFLEMLNST KPKTKTKAISISRPKSLSKNANAREKEREEMLKRRTAELMSDLSSFAQPRTTPVPFTP TTFSQQRADPPTPKVPPKSSKGSIRFTTDTDRPISTSSSLLQNSNERVLIPPRQRYST IFSDSSSDSGVDGREGGMLSPQDFHQSESAFRTKSISPLPPAKLNEMKQRQSMVGSLS MDFSAPPSIISDSQRPISEVRTLPSLYEPVTGAREYRRSELRSQMFSPESHYPLTGKS KYPESQYSYSLDNYPAQETLLQKLKEHQTLSERGERDTETIGAALGSGKRGSYWGRED RWSGLSADTAGKNR L199_001635 MSDIEAIRDNSAQGQGDIPDYIPTSLEEIDKIYARLNARFDSLA THSLAYRIYNLKQLGYLITDNEKRIQAAVYKDLGKGGFDVSSGDLWPILNEIDLAVRR VKGWMRDESRIWDAMFSFKFMRPRIKKQPKGVALIISAWNFPWQLTLCPLIGAIAAGC PAVIKVSEHAPSSSALIAELLPRYLDPQGYAVVLGAAEESTKLLEKPWGHILYTGSGN VGKIVAAAAAKTLTPTTLELGGKSPVVVSSCANMKIAARRMFTIKQMAAGQICIAPDY VLVVKDKVNEFIDACKETVDTLFPPSPSPWSFLHTPQSSSMRNTGDFDRMISYIDRAE QQGKLVHRGEINKDNRRIGISLVKMNPNAEGESGGLVEDEVFGPVMAIIPVDDIDAAI RYINARPHPLALYVCASKRSVFKKVIDQTWSGSATWNDFAFATYARNLPFGGVGASGW GSYHGKDGFDTFTHHKAVLEIPYIFEPLMALRYPPLTNLRKTLFKFLLCAGVGYSRPV SVEGEEKKLRRKKVVKWMTTILVGLVAVYLGGLRWRSSKG L199_001636 MPSDTSKRPLTILPTFTSSSSSSSSRSPHIRTPSTSTFNSSSKR SPFSIPLKVPRSILKLFLFLSLIVGLVFLSQAGRESGIEEGIWDASSYSERRGTSPGV NLRKIQNKLGLIPPPKAPVRNNNNKNILLDDDLYDDPDDRSSQDSQDPFELSDSRVYK HQEEDVPKNYISPSNQQPNLNRESPSSTKVNHPGKSRRVIPGSSPEKYTTGPLPTLEE AWEFLHPLLREVKEKYQVIPREHELTAPIFPPFLTEDLVSRYRHLREEWDEDKGEWKL VNDRRWFLVTVCRQVAGMLADWFAAWTVLADFLGPESLVFSLIEGDSADGSGEIISHA MRAHLLNIGVPPENINIKTFAPKFDWEQIHRIEQLAKMRNEGMQPFYDTLPSGLSPDR HPWTGVVYYNDVYLSATHFLELMHQHFKQDADMTCGWDHAGKWFYDGWVGRDMAGDLY TPFPVKEEDKDLPQKLFPSSPQTLRRYERMLPFQVFAGWNGITVLNPQPFYPPYNVRF RRGQPRTEKYWECQASESSFISWDFWKYGFGRVQVVPGVHATYGKEDAMLRGWVEWPN PGPGGNAKEEIDWVDQPPNKVRCHDWPDKPGKGYWAWDTVRWVDPPKLEVPK L199_001637 MSYSTRYSSTPCTFYPGAQCSHLECMSSSLPRYQSPPNYGYVPP PTEPFVLANEEAYRAGFVQGRRASMQSNGPAGSSEYRSGSSFGEPQYYPQAHGRRNSM SRGSYGNSTSRQSPMPKKATPRSSRDIPHRRPSEAIFDFEIEVEEMPDDDVDEAIKNA ADRLSDMNMHDDNRSDSSKGPSVKTSSNKSNESKKTTNTASEPIPKGYHGVVFTIGPK YRGQLTGFSKETSIRCTIKQEYDNISDSDAYWNAVGGHVESSVEKFYEPKATSNERAI SMTCVEDRVRRYHANYITPRQIKLSYPKEITKTEEDFHESFLLDRPTATSLHQRPKSE FMNTLQASIKRDLQSKVGRELIDQSVVKDLEVDTTKQLEKCYDRFIETFK L199_001638 MFPHSQYPLSTNTYGDTYYDPQCRQVSMPYPSGEYVYDNSSRTL PSPRRASLTEKLSAARAGAMYGSQEYSRYYAFPEAQEYPRDYERPSHTSQVTEDRPRK SITSGGSTQPDRTMVLSSSDAKSASPYVVLKDPRKQNTYHLSSIKRVDPQSSLAYIEN GKCAYSHKLDLILRAHHIGSEEDTTIQIPMQEGWECNDQRAFEGDMNGALTSFLEATM KGVLRNKESRRAPLEDLLSQAKEERSVYLKTKGTS L199_001639 MAPPPPDHKSYPKTTPPSILLVILDIHPLSWSLLASPPPLPSVP DQPIIDKAQATPVSLPDFVTLLMVFLNAHLASRWGNEVVVYGASASRAKLLYPPPSNA VAGPSKPRPNTYHPFQLLDTRIEEGLKEMAEEEQSRIRNGDIKSLNQPPAMVSALTKA LCFVNRIVPHTSASAALVDPTATQDPSAAATTGPDKPETRILVINATPGENVSNSSSS DPDPTNGNGNANGQVDGTAPNGKSGNGGQMRGGYVGLMNCVFAAQKAKVPIDVLSLPP PSIDASPPIFLQQAAHLTEGIYWQWNGRGGLLQYLHALYLPPPSLRHHPFAVPPQDAV GFRAVCFCHHKTLDVGFVCSVCLSIFCEPRPVCLMCKTRFPIKSIPTLKSLATYIEPI PVPDTVPPPPIPKGSKTHNNNNNNENNKKDKNRSMINGGSGRGEPIEID L199_001640 MSGVSTTYPLHRIRFYDHTPSPITALSFAPLPLPPPRGPAASSS KGKSRDGQQQHTNHKDEFGVLILARENGEVEVWDYVRDEEGNMSGNWVLKKTLPPTLT HPTISSIALVIRDPLNFHTKSYSVPKLEDLRLFTAGSDSNDLTERCLITGRILQTYDI PSPPLWTLSVAPTQDLLCLSSSSSTLHFLSIPPPTMFNKSPALEPPPSELLRCDTLPS RTRTVSIAWGVPKLVKSSDSVTNSESEGDYEWRNTYLITGNSDSSFRKWELPPPFDPS KPNQSNRVTLKSRAVLEKLAKAGRGGKKAGTPAGNQRGTIVWGVGVLPDHNFVTSDSL GNVTFWDGQSMAQQQHFRAHKADGMCLTIGPGGRSVFTSGPDQRICQFVNVPSTSGSG SQWVLTTSKRVHSHDVRALAVFPPYTPFSGTSINPGYAPVIASGGWDMSLTFISAGTP DSGSSLLVKNPLGKFKGASGSRVMFEESFSRKMGYMTDGRVQFAPQARLILGRKDRSV GVWKVLEDEQGWEKVLEMELKLRTNIISSSISPNGKWLAVSDLYETKLFHLSSQGNAI RPLRVKSFLSTLASSTQLEQLSIPSKGCGSSSISFTNDSQRLILGLVSSGQLLVLELS QDANEDEIEVVKCFTREDKIVDGRVVKPKPNGTGNVNGDVDMETNEDQQSEESEESDN DDEEGFGGGSKREENAAWISCLAVSEDNQWLAAGDLEGRVGIWNLDTLQLHATLPTLP YPPTTLSFSPSSSPILILALPTNTFQLYNLEQRKLLAPSPAGQLNELHRSLASLHTPL SGLTFAPGQGGKSGKVKMLVWGVDWMVTCYLNLDEIINVKNRRSSISISVNGSPAGGR NGSKKKRAREAKLARDHLGTPSVAEEGEGEMEIKVIRDRFKSILAIGWLGQGQGELGL VERPFGDFAGELPNAFWSGGYGRS L199_001641 MGSSTVEEDDWETAEISLPSGSSSSKTAPVPSLRPQAQTFQPRP SQPNPPQRQQPQPQASSSRPQQPVLLQRRPTPQQQQQQQQQDNDEGDDWFRGNRPMSN RQIWDSANSRPAPTQIISPLPLPTPKVQLLRRPASSSPSNNDSANKGGNGKMKSLEER EEQYRLARERIFGPGSGSGSGTSADVDEQEGKISRGNSKSGSGSGSKRGSPIPNKDRD PWDGLIPSQIRSGSNTPNPNGTRTPNDRQRQTQSPKASDPRGGGVVRQPIGPGDGVGF GGGR L199_001642 MRQKRAKTYKRVMALYVQTFGFRHPFQILVSHDVLLESSKSNMD IVKVLGDVVQGECKPMITQCCMEALYALGKDHQQTTNLAKTFERRRCNHRTAIEGNDC LKDVIGQTNKHRYVLASQSQALRTSLEIVPGLPIIHFNRTGVLVLSPPSTATIREKNK GEEARRLEGLKEMEGVVDGGNVVGANQPVSQPVIGRKKVKGVNPLSMKKKKKDKQQQQ QNQPENQNKKNEGVDVGKKRRREDEEGPEEVEAEEKEKAQIVEVETDTSGKKKRRKRK KKSAVADAIAELNAMNDSGSEGE L199_001643 MSSPDQPRRLICCIGTGGTIASEPTAGGLAPTRQDTFFRRIRSH PSLTSPSHFDSSSVSFSSPVLTQLVGKNIRYPRLITPELDDKGTNVEYEILDLDRHLD SSEMTPSEWNTIASLVYENWDNYDGFVILSGTDTLAYTAAILSFLFVDAGKPIIVTGA QIPLSRPRSDGWSNIFDSLFVTGTLDFAGVGIVFNHQLLRGTRATKSSPNLFSAFTTP CVPPLINFNVKITYDQSYPLTTRSANRPPPLIPLLTSPSVLSIHIYPGMTGSLLEAQI EAVPTCKAVIISAYGSGNLPINEHSGVLLSLKRMVEKEILVVVISQCGIPNVYPLYTQ GRTLLSIGVLPGYDLMHEAAFAKLIWLVSRSELTFKQRQELFETPIVGEMSK L199_001644 MSAGNRTTVPSTTRPPRSITYTTSAVVGTNEETLTVDVESRYTT TGKWARFNLQVTSPQGQGEYIWQTSMMKSRGQITNAQGAVMWGNVEAAIAAQGIPGDL QNDVKLDVSEALSFYHAGRNPSKTINPHTSSTDRESK L199_001645 MKYDTWKPVEPSTDSSLYPTAQIQIGFLPRYLLDYRLDIVDSSN LSQDDATFAREIERYKQRLFETAFKLRSISLYKDHGVDSLDPASLQGEYERSMMHFAT HMAVKRTISTWNKRARQASISLAMSCSRIEIPEPLEGTFSDLSCCPDNGTDELALTYY STNYKMMRTGASTEDKNFLEASKEPWERIIARIKSGQTITESEEAEVRNLVGGSENE L199_001646 MIFSTSLIISILSSTAVAFDPSKRELHPHNINNPHRSNFKREII SDASKVDGNAYDFVIAGGGVAGLTLAARLSEWSNVTVLCIEAGGDGSDVEAKIDTPGY SYLNSLTGSAYDWAYNTVAQTDSGGATKYWPRGKGLGGSGAINGMFWGRPSEPEFDAW ATLNPNTDETWDWDEINRYIKKSENYTAPTADVKSKFSMTSDPSLHGSGGPIQVGHTQ YIFDEVAKWIPAWITLGFQSIDNAAGVSRGAWLSTSTINVKNQTRSDSKAGYIDPLPP RSNLVILTGQQVTEVIFNGTKDANGNIIASGVTFQASKGAQSYSVQANKEVLLAGGTI GSPQILQLSGIGPAETLDGLNIDTKLDLPVGFNLQDHVSYSMYWSTPQGTFTWNNLST SADLKASAQTEYDNYKTGLWTYVNEAVGYPSMNDIMDSEDEATSYATNIADQMNTTIA NVKSWLDLPDTVVTGLQAQYNIMQQWFTSDVGQLEIILTMLGKGGNEMGIQVALQHPW SRGKILISSTDPFTQPDINPDYFGVGYDIDIMSYGSAFARKLAAASPLSDVMITEVYP GPTVTGDALNNYTKTNCGTEYHPMGTCSMLPKDSGGVVDTTLTVYGTGNLRVIDTSIA PLHISAHTMAVTYGIAEKASDIIKKKHWKQVVQQNTTSTAAGSDEASTASAGKATDTS VTNANADAASSSSSLSSGAKIGIGIGVGIGAAVGLAALILFFCLRKKKTGAAANEKGW YDQRNVQGGWDDGAAGAYKEGYATPSHQPQAYPMAAFDNHGSSSVAAPFAAHSRTHSV DTMATADLASRIPQSHSGYGLSGSSTPYRDDHSDDGHHYPAPQGAAAAWPTQQTYQPV NLR L199_001647 MLTINRALVLLALAQSALAVVIARDDGVQLQPPGGANYKDINDG SKIGDDMAIKIAIAAVVHNPPFHWVEDHILDLDNGINEVGVKTTKASFLLHPSEDERK TYQYGVDDMKEKFDEGGLQTNWWWQGLDAALNELLDENNEDKNDIEKSMRYLTGQPTE KLDNLPSDDDYVQSVKKDNAVLLNGSRKKVVLEVKGEDTDDAKITSVEMSGAKLVQAE ETAKDLRKMGFDTIIYLKPLEP L199_001648 MSESDIQYCNPQRTVGQHYYETYKDLPKDQWPSTIRFVDNYLDW KGQDPKPARQGSKSWWHTFLYLDSASRLPDRYRLLPFSSSAVALAPASSTCPEADDEL QQEGPTGVVVHNDHDLPDVFDQEIQRELLQASFDLDSQQTLPHTHFDRVSTSGLPAHY YQHPIDVNYPSAVGSDRGVQGQAGQWPTSDPSFNTVGDYDWSDASLPLSEEQQEFWYN MMNVTR L199_001649 MSNVNLTFPRASPSTPSVDGNPNTDENEENESIESIENKLGIEK RVQYGAEKMLDVIEKKGGADGGDQEKVKGNITAQLEAANERIKALEHKLEKLRGTPQR TRRRQQPRLNGYPSNSSLGIGGGGGGGGGYQPSLSSSLSSSLLGSTGPSGSGSGSGSV RPKPSRFYSNLTPEKLERDKEFFEYPQYSQSKGTPSTGRRPRSKSAGEDAFNHKYSSG SYDIADEGIGTSWRSQSSNSSIHEHVHDQRMNLDGGGGDGNGVDESFGILLDNSRRSI KRLRELGGGKGKGKGKVRAAVQESRRNEVDDEPYDVMIYLSEMIKVNEGLRMVIDMDE VIQSVIPFLGDVATPRQRAAGYRLLRFLLTRQAWGKMIASGMEWLMIRTFTRDSKAVH EREQALRLLRAVIVLPPLPPSRPASSPLTSKSRTRSHSRQSEFGAPIQKLLDERVPLT DGLVRAIVSAAENPDDAMRTVCMETLVEIGVLDIRCLVHSNAFRTVLLAFKDGPSELG PAITGLLLYLVNQPSTRELLLPGGDLEAVLVGLTEAYGKIPTRQHARHLENLQNTVRN IGMLLASWSGVLYMCMDDYRAVKSLISSLHVPNADMRNALMDLLFVSLRIKSPTWTNA FLDGKRLTVYTRTQEAATQQLVDDSIEEEDAQGLTLVDHFVALLLTVFLEAGLLEALI AVIEEDSGPLNRKATLLLGEILQMANSVLPLRFAAQLQSLPQLFSEATDFKNPGERMA ALSALSSIDSLNRNQSKAGKKAARDKTSALASQQDPLERGQRQVQSVKLRLGLQVEDK QFQQMIVDSGLLLHRDHTKWNYEIIVDLIEGPLLNPKRLDEAIKATKFVRRLFSFFHP YNYRFADIKRTRPNHKWVKLGCSLISTMLSSPEGIKYITEDKLLKQMLDCFNELDQYV GQPTAQPIFARDRLENTLTYGYFEMIGTLSRHREGMKLLEKFKFFTCFYHLSEQRSRD DIIRIIIECFDYTLDAHPRIVLSKALTSSYMETRLFATHHLGRLIQEQPNLLDWGLQL MITQLYDTSMEVCDVAVMYLEEACTDSNNLEKVVQLRPTLEHLGDVGHPLFMRFVSTS VGFRYLHQAQYIERELESWLVERNLLYVIEAETFVSKTIRPWSTDTVEDYWIYDGPAP THFLGELTKTPEGCRLIKEKGIVAEFAEIVRLHGMEASDQGVLTNLKSVLWALGNIGS TEGGLPFLEDEEIIEDIVEIAEQSPILTIRGTCFFVIGLISISRMGAEILEEFGWIST RTPLGHTTGLCLPNDISRFVHIDVWQRPDHESSYPSLPKLTGLEAEIMTSIANLSNYV LAAGAMNNLKKIRNRHPRYFSSITLFHRALRSVSTNHYQAPVRRFILDLFEVKLDPEI LTQLVGVESMSWRIRHDSLNVDKDQDLFARHNRTTSSVDGGSPTGDIERRSRSRSSPG PLGLIPKPKSNEGIQMQNRGRGKTFSGSSNHSNGNGSGTIYEEKDTSTPTTATAKNGK YEFEDIISRKAEGKDMDTDIVGRPQEMIRQNTNSSISQRSVSLSASHTSELDVEEKER QRPISQVRGFGIPSLAPPIDDQ L199_001650 MFSSLKNIEPKKWFTRDYWYLDTPPASYATRDGWSNADVDVVPV DQRNWRAIHYLFLWLSDGANVGTMQQAGSIVAMGLSWREASAAIAIGNIIIAAAVALN GTIGSRHHIPFSIASRASLGFYFSYFAVVSRLVLGLIYFGINTFIGASCTLICLEAIW PQLKTYPNTIPASQGVTSNKMIAYFVFWTIQFPLVMIHPRKMRWLFFVKSIVAIIAAF ATLGWAVNTAGGSGPVFSKHSTLTGSTRSWAWVAGINVAISGKTTLAINIPDLTRYAN KTSASYWQLLFIPIVYFTFSFIGIVIASAGQAIYGTLYWDPTMIIAQWTSRAGAFFVA FAFALATLGTNISTNSIAASNDFAFIAPKWLNIQRGAFITAIIGGWATCPWKIQQSAK ALTTFLSGYIIVLAPIVAIMISDYWIVRKTKFHVPMLYQNEGIYKYQYGTNWRALVTL LIAVPINLPGLVNAINSKVDIGNYSFFYKASWLTSTAMGASIYLLLSFIFPPTSTLVD KTVESMDEDFALADSQAWETEQDKEKRSSGGGELPGSSSYPIVDRV L199_001651 MDPTQAGPSSPKLQSSSEPGASTPSPPIFASIGTVLIDAFDSPP RPILDVEPAPEASTDSSALTKGITGLPTPPTPNTDTPSSSTSTIPQPQTKSFFRPIAV PSVSNLVTPKTSPVPSAGPSTPQLSLDDIPIPNADEVYEMLGGGGLYALVGARLWVTP DKLRTLVDRAPEEEESDVPKEAEDKLNALGEDIWVWNRGKGTKMTRARIRYEGDVRFF QHVVKAPHRTMKQLLDSPLCGAEYLHISPPWSPEDVFGLLDEMNSLKQKKSNGNSDIN TTWEPKIVFEPTPPSCHPGQKEWLEKIVPFIEVLSPNHEEIFSILGISPMKITDPRLL TTVEEVIKHFIYTIGIGKNGKGIMIVRCGKLGSCVGTRDKGLKWCPAYFQEGESKSKV KDVTGAGNSFLGGYCAGLSLTNNDPYEALLYGTVSASFVIEQFGLPTLTRSDGVEKWN DDSPQKRLEILRRRVDS L199_001652 MGLLTIIRKNKAKSKEMRILFLGLDNAGKTTILKKLNDENISDI SPTLGFNIKTLIRDGYTLNIWDVGGQRTLRPYWRNYFESTDAVVWVVDSSDRMRMDDC QSELKGLLKEERLAGATLLVFANKQDLQGSMTLEEIRDALDLKSIISHRWIVHPCSAY TGKGLEEGMKWLINEVAGRLYWSGIQSTTTSTNQVGAISQVGPVS L199_001653 MPSKKTPSNSSTPARRRQISCTSPREDHKDPQGNFGERKLPDNT TSEPVSCRTDVHKTYEVSGGNTTCSKFSENLIHALTYTTDGKTADDDYLKRIMDDFQV VYPGTYGNGSRWMQYYRENRGSYMARIKKSRKDGSLGTDTQIGRADRRIGDTHPVRST LFSDNTAEQDRPAILWPRDLLPETLHPSGDEGIDPMSYTHMAFTHIANGPNTQSFTRP AFPGSNSDPIFCTSHNDIERNIVFENQGYQDHVIFSEQMISILPSQDYLQNMNGHPAH PLSMYDPMHPGDTGMPMIIGDSDVNQTLASHGNIGVSSRNPYSLPTTWDGQCSQVSRG GEGNNHIYQG L199_001654 MLALTYSFVFLGMVQLALGAVVPYSHTRRGGSAVQLWNADAPSK DDFIKDPSYDNDSGIKVSLLSLILDSDSKWAKDHIKPSGEQALTDKATFTIFDNGEEK DVEVSTDEVKDAFDVDYVQANWWWLGMEYALVKTITNGENDLSIEQGDPENILKWLTG KNTKKLTDLSDKDYLQNLKKKIVILSTGGDDVDQHDDAAEAIKYRVVTNVDGEGSDVN STTVDTLQMGDPYWVGTLNEDLKELKDEYGTVIVLED L199_001655 MSLSRSLLQQSARPFAAIRSIHTSRAVFNKPDAFTTPVPTAQPG QNAAAEFDFAGLPQVETVSGAPTEMQYRSVRIYRPTKSTMQSAKGKTKRWLLDWDVLQ GAGRWENPLMGWAASADYMQGTSLAFPSKEAAVRYAEKQGWPYKIDEPKKVVVPPKNY ANNYVHVPGKLRIHHTK L199_001656 MVRTQLLASAKDYTPSSGEGRKDFPGIFPPAHEPPTELGTYRLL SPNAGVRVSPLCLGAMSLGDQWQGFMGSSLNYEESAKFLDYYYEAGGNFVDTANFYQD EQSEMIVGEWMESRGIRDEMVIATKYTTYNLDRKEGRFKGIGANYVGNAKKSLHLNVE DSLKKLRTTYIDILYVHWWDYTTSIPEVMQSLNDLVRERKVLYLGISDCPAWIVSEAN CYARQHGLAQFVVYQGSWNLCKRDMERDIIPMCRANGMSIAPYAVLGQGRFKTPEENE KRRKEGKFRAGMEPSENEIRISKALQEVAEEIGGDTRLTSVALAWARQTMAYCYPIVG GTSIDYLKSNIDALKIQLSPEQMNKLNNAAPFDWGFPYTGFGRDPHYLPGGVPNNALL NTAAHLKFVEYP L199_001657 MPQIPFTISSKDYTPSSSDERKDFLGIFPPAPEPKTELGRYRLL APTAGVRVSPLCLGAMSIGDQWKGFMGGGLDYNKSEEFLDYFYKAGGNFIDTSNNYQD EQSEIIIGEWMEKRGIRDEIVLATKYTTFPLDRKEGRFQGIGANYVGNAKKNLRLTVD SSLKKLRTSYIDILYVHWWDYSTSIPELMQSLNDLIKSGKVLYLGVSDTPAWVVSQAN EYARNHGLSQFVVYQGAWSLAQRDLERDIIPMARANGMSIAPWNALGGGKFKTPQEIE ERKKAGTLRAGMEPTPDQVKAAEALKEVADELGSDVKLPNVALAWVRHVVTDCYPIIG GTSIENLKSNIEALKIQLTPEQVNKLTNAVPFDIGFPYNMFGTDPHYLPGGKSNNALI NTAAPLKYNSTIA L199_001658 MSTPNPPRSSSPLNFPSSSVDGTPRASQLRNAGNAPPGSSSPLH FPTSSPRPAAGRSGNVIPSSDGLRRIRGETPLFFPASGGSTPRRQRRGDIHSSFPLSS PSLARRTVQNTPLIVRGSSPSGNTDIDIDGDNGDPTTPRASSRLHGSSAPTLSAVAPS QDNAFGGEDANGEGGMVKYIWGTTISLQESMNLFRDFLRGFKPKYRALYNSNLNKTIA ESGGIIPPSIPLYDNLPTAKAEVVLYENYLKRMQMTGETNLNLDSINLLSYPPTKKLY HQLMNYPQEVVPIMDQVLRDTMIELSEESLDQARQKYSEGLIEKLALDLLTDESNTIQ SKVFKVRPFGGERAVNMRDLNPGDTDKLVSVKGLVIRATPVIPDMTTAFFRCMVCQHT VQADIDRGKINEPERCPRDVCSLKGTMSLIHNRSEFTDKQVIRLQETPDAVPDGQTPH TVSLCAYDELVDLVKPGDRVIITGIFRSIPVRVNPRQRSIKSLFKTYLDVVHIKRTNT GRMGYDPSTRSGEGKPPGVGVGGEDDEDEILSRQDKDIMDQDNNAEEDEPTFTASAEM EQRLIELSNNPEIYEILARSMAPSIYELEDVKKGILLQLFGGTNKSIARGGGGGGPRY RGDINVLMVGDPGTSKSQILQYVHKIAPRGVYTSGKGSSAVGLTAYVTRDPDSKQLVL ESGALVLSDGGVCCIDEFDKMSDATRSVLHEVMEQQTVSIAKAGIITTLNARTSILAA ANPINSRYDPKLPIPANIDLPPTLISRFDLLYLVLDRVDELNDRRLAKHLVGLYLEDR PETAGEDIIPLDTLTAYITYARAKVHPVLSEDASTALVQAYVEMRKAGVDSRTQEKRI TATTRQLESMIRLSEAHARMRFSESVDLSDVVEAVRLIKSALRESATDPLTGQIDLDL LTLGAGSSTRRARSDMKKELLNLVDSSGSRTGLKWSTAIKGLENQSTVPIDHSAFAEV VRGLVDEGVLKVVGEKERRTIRRLAD L199_001659 MIGTHFTNFWSAVPQGPPDPILGVTDAFKRDTNPNKVNLGVGAY RDENGKPYVLESVLKAEDILHQKKLDKEYLPITGANDFITLASELAYGKESKPLQEGR IAVAQSISGTGALRIATGFLSQFYNGPKVLYLPDPTWGNHVPLAEGVGLKVQRYRYFD KKTVGLDFNGMKEDIKNAESGSIILLHACAQNPTGIDPTQEQWKELSELIKAKKHLAL FDMAYQGFASGDIIRDAFAVRYFVEQGHQIILCQSFAKNLGLYAERAGTFSIIVSSTE EKERVLSQVKRVIRPLYSSPPVHGAQLVATILGTPELYEQWLTEVKKMADRIISMRDK LYDLLIELKTPGEWGHIKSQIGMFSFTGISPEQVQALADHAHIYMTKDGRISMAGLNE HNIKYFAESLSKAVKGELQAKSSLQGLDDRRYM L199_001660 MAVKSDNIVESKEQRYDFFFYGTLCVPAVLTRVLGHKCDDLTFQ DALLPNYTRHCVKGESYPAIIDREKTNILASRGDVLTSEEVNVRGTLVKGLTYKDVNL LDIYEGIEYLRDKLPVQALSPPTAISSLAQAISDPTSRVSLNNLEAEKTVNTLRSNGT KESDGKAKAEYLKQVEGSVEGKEKEGGVDVGMTEAWTYIWAEDLDKLEPRIWSFEDYM KAKESSWRDLPDDYFTDVERQQAIKDEPGSTGDERSSSAVGTDDLTTDGEECKLLGRT AEGFPDFGRGMRKYWGFADDYVNLNHGSYGSPPKIVIDKMRKLSDQIESNPDRFMRRT WLPILNKVREQVSELIGARTEEVVIVPNTTHGVNTVLMNLNWEEGDIIVIYSSTYGAV GQMVKCLCDKNPQIRLEIIDDVLPCSHKEIIEQSEKVLSKYNQLAKPNYTGLSKPTGV NADERVRAVVVDAIASNPGVIYPWEDIVRLCKKYGAMSVVDAAHAIGQIEVDVKKSDC DFWVSNCHKWLHSHRGSAVLYVPIRNQYLIRSTFPTSAGYESTRYPTKGIDRPWQFVE QFQWTGTTDWTPFFSVNDAIEFRRSIGGEKRIMQYCHTLAVQGGKRLRKIWGTEVMDT PKGELTAAMVNVRLPHIPDPKDLEDQFKQLRYFEDKCFEGNTFVAAFRHGGKWWARFS AQVWNDLNDFDYAAEVVEKICLGIKNGEYLDKSLEEDIVEEEIRELPTKDT L199_001661 MSDDDFGDDSFLVDDSFLREVDHITASASTSEQSKPRTLNNGLT RSVSLSNPRQAAALSTSKGWTGIQRSTSGPTSKPLHKVNSTPIIPSSLNQNLSPGKST APLKRKLPIQPSSDDYDIIPLEPESLAALDSLTAHRPQPQPQSSASTSHSRTSVTSAP ALPSSRVGLGRMNGTTNNNPTSFGRTNSNPSGSGRANTISHGNSSTSFGRTNSNGFLQ THLNFRREKQGTKGKVWDRTEFAETGRRISTEKGKNKTNNHKGKGKRKSRDWEDDEDD EGDLEDEDDWSSALAPLPKSLVDMNASYEPQRHLPNSATIGTYIYPTNRSKREYQYEI IRACFRDNCLVALPTGLGKTFVAGVVMLNFYRWFPTGKIVFLAPTKPLVNQQIEACQL SCGIPSQDAAVMTGSSVSQKERARLWEDRRVFYCTPQTLDNDLKKGSVDPRDIVLAVF DEAHKASGGYAYTTILAYITAHHPYFRVLALTATPGADVPRVQSVVDALHISRIEIRE AEAPEIRKYMNEKRTEKHVVPMGDVIENFRDRWAALMRPNVAKLVDKDILTERDLDCK RLRPFRLTAKRMEIGRDRNSGLKWAFGSLSALEKMARAMGHLLEFSLGMFHTTLVEIA GGTDAAGKKSNNRGSANSIRNNTEFQKLLRDVEVEMNMIRIGKDGRTKADKHPKMQKT LELLLAHFTQAEEEEKTLGQKNDTRAMVFCSFRECVLDIVDMLNQHSGLLRATKFVGQ SQGKQEEDKGFNQKEQKKTINEFKDGKYNILVSTSIGEEGLDIGEVDFVVIYDMPKQS IKLLQRIGRTGRKRDGKVHVLMSENREDTNWETAQQTHRDIQEEILHSRNLELFEDVE PLLPDGKFPECIEQEMEIDPWDPDDKKFQKTLAEAERLVRREKAKRDKALSSESKAAK GQGKGKGRGHEVPDGAEGFKSVADLLRDAGKLSSVKKTKGRQVEYDSEGEEDEEDTST KKRQNPKKRGRPSPVQSESENSEEQDLDSLFAQTGSKKVSLGCKPKKKVTKRSRKAAE EESNKFISSIKNKGASLADIDLNADSAAESASESEIIPKTHSQLSKSKSIKRKKEEKE EIDRRAEQAEKDKSALDFFNTVGPIRRGMTRSPTLTSPTPPSSPPPQPQPHNPISPVN HVLSSPEVTKHPVLGGQKLTPRTAAAVGFSQIAPVDLWDADLDMDLDEDLISPVLRKT PQSGSMLPPPLPSTVSKHTSSPLYINYNGVGGSSTPLPLTQPVRRLGLGRPRPKTNNV VLSSGSKDSPIAKAAVGRRMIQSSEPDSPVVSGRQVVLESSSPVVERRRERRARDTGR DKKKKKNNKGPIGDYMDMDAVLSGSDSGDSSEHSDSSVASSSDLKFAGNFQPTQAPRG YNQQAIYLAGLGTQARGHGLNFKRDLGDANKDFLAKARKAVYITDDEDDEEEGLRLRG SRGTTRGRREQASSENEYELGSFVVDDDGDEEL L199_001662 MPPTAAVKSARSSIYGWTKKRSVSPSSSSQQSTSQPKAKMTQQP QHHYSQPAMPAPRRPEESPYFEEDYSDEIIAYMHSMDNSTLASSEFMDMQPELQWFMR PYLIDFLIEVHQQFRLRPEVLYLAMNIVDRYVSKRVVYKKHYQLVGCAALWIAAKFED GKDKVPLVRELAEMCCKAYDESAFIQMEGHVLSTIGWNIGHPSAEAWLRINTTGHNYE DPKVQNMARFLMEITLFHREFVGIQSSLVASGALMLARFICGKARKTVAQHDNVTVRI ALAIDKHFAEKLENVSEIVIRKYAPTYYGRCSTICREWYLSGRRFVYNPEAPATPVST NLPTPGLAPSGSGGWPSKRGSWATGSPGGSISCASSEAGDDAPLTPITPVHSHNIDPF SVASKENIAPAVYGSNPSASISKDSVSSVNVSVSTTAKPLPQPPVYTRPALHALPPVG DLSLPNRSMRRLSN L199_001663 MLTQSSRILLARSSAPRSLPVARAAVSTWANVPAGPPDPILGVT EKFKADKSPKKINLGVGAYRDGQGKPYVLPTVKKAEKILSDAMQDKEYLPITGLADFT KLAAELAYGKDSKPIVEKRLAITQSISGTGALRIGTAFLARHYPGAKTIYLPTPTWGN HIPISKDSGLEVKQYKYFNKETVGLDFEGMKADIKAAPEGSIILLHACAHNPTGIDPT EAQWKELSDIVKEKKHFPFFDMAYQGFASGDILKDAFAVRYFIEQGHQLLLCQSFAKN MGLYGERVGAISFVCESPEEKARVDSQLKILIRPLYSNPPVHGARLVSTILSSPELTQ EWLVEVKGMADRIIEMRERLYNKLVELNTPGEWGHIKSQIGMFSFTGLKAEQVDALAE KASIYLTRDGRISMAGLNSNNVDYFAESVSKAVKGEL L199_001664 MSLASKSPRPRKSVSLAEHNLNLDNVTLPITNREFKIQKQRASA DSGGEARFQVGKGDDDLSPRRKARRHAQPRKSILKPLPTPTDDGDDTQQYAHTINFGA SQSLSRRVSFAPNAHVRMFDNPEPSRRTNFAPPASSSLGGPRSSLSTHSRRSSIQNIG SVAKPNVFTPSVFRGEGETQGEESMEIEEQSDSGSEEDGTVEMGRQFNGQRGNFSLGG SSNERLSAVDNQSEEEEEEEMDMDITQNIYGGIVRRSSMAANTTINSDVTEEADISNR SADEEKTMDFTIAVGGMLPPKAPEGAMSNRNSIGYSFPVPEGSSAENFRPGDAIEGEI ELEMEETVAFGGIIGPDDTISSGGDSFSHEEGREKTMTFTFNHSVIPPQDQDAEDDGM DMTVAQGGIIDFPPPSPAPAVNPSYPTVSPARPLPTNTRPMSGTPSFARPTVSSAQKS AASTQKRNVFAPSPSPTKSTTPKKAGMQVAREVAKRLSFASAPSSASKKRSREDSPEA VLESAKKNKLDSQVKEVFGGTPVSQPAPRTSLGVSRLSLGAPRASLGTPMRIARSPAT SRHSLVRVADPEPEPEPEPEPEPQVVEEEALEPEWEQPQTISLASFLEMAGVQFMEGL PGLNRRRSSVAKGILGQSYSGGDREFALHEYAEAQVNSIFLNMYTWAANKLREDIRNG QTELDQCEARCDEDSPPVIQEYLSASDEDKQLFELAFKSFKTNTQLKAKERWYDWKLQ LMQTIKPDVEGMLQDMQDDNDRLTALQEQTESILPDLKARQAALQAELEKEREIVAEI AACDQQELAALKEGITEQGAQINVFSSELEESTAKLTALTNKLEELNDTKRDCVTAIQ HAKSQCDQFTRSDAIRLKEEYNSLQHIHLWRPTKISPNLLELEFDNEISLSFQCKDYV ANLRSAQLEYLYDKVKERKGGPILKGSSSQSPTEGLFEMLKIALREGVKADSFDNLSS LVQTVGQLWSVSQRLRAELQYVNFRHPIVYELQHETNSLIASVTMVLPSIKSKVIVDI GISQETIWGFPANLNGTDIEIRKIYGKADINLLTEAAKQTISSTTPQGCLGTFLQVCV DVAAQYIK L199_001665 MAAAMASGSAMLDPMHYYRAKRDRERRTVLKTYKILGFISSGTY GRVYKAVLLPSPSSSSNTKSSSTNKSTLPSSARAALSITKDKLPSPTTSTSSNSNTPI LDPLNNPELCMRPGDLPAKEGDVFAIKKFKPDKEGDVLTYAGISQSGAREIMLNRELN HRNLTALREVILEDKAIYMVFEYAEHDFLQIIHHHSQTTRTPIPSPTLRRLLHQLLCG VHFLHSNFVLHRDLKPANILVNSAGVVKIGDLGLARLWHKPLAQGGLFGGDKVVVTIW YRAPELILGAKHYTAAVDLWAIGCIYAELLALRPIFKGDEAKMDGKKQLPFQRDQMGK ICEVLGPVKADQWPGIVHMPEYKTYLSSGPYPNPNPLPTWYQHRSSSSQGYDLLTRLF EWDPARRLTAREALAHPWFQEEGGVAAKSVFEGSTITYPTRRVTHEDNGDAKMGSLPP SMAQPRLPSSSNFRSASANLTAQQPARKKTRM L199_001666 MLKWKYRPQTIRPSDCNTYWQGYLKHHEGYEQLGVPWLRLKPKR ASSKGEEKSINSASSGSREVIDLTMNTPASTAWNGENTDIDLDTPYSYEDYDSLPVPD FSPSTHVHGLYENYSIPPEFQGHFPLLQQPQTQSGTDMSIAPQWQDHFPLLGTQQQQQ QQQQQQQLFDYSTESGDTGNYTMSEEDQEWWEENTRDFRS L199_001667 MLIFLHRLIELVLGTAVDPSTYQDLDKRKVTSAQLMSKEGPSYT DINTADNPIVWDILLGVQSTLAVLTKLSKATITNHISNYGEPGSSVERATFEIYKDGA FKSSNYSLNDLYVGYDGGLTEDAFKNWWWRGMMEAVIEALGKTAVNVAEKDATPENVL QILTGRKAKKIPGAKADDLFKYTKKANFTPIIVGGVGMGSGPVYQVVKYAEGDERDTA VVTYMMIYDRQWVEHSATIWEIAQWGVNDLYFLEDEGSVP L199_001668 MEASSSNRQDLIRNAVLFLNDPKVQSSSLTSRIQFLESKGLNES EIQQALSQASQSISSSTAYNDNGPERPRDPPPRYGYGYSQGVMHPPEPPKRDWRDLFI MAVISGGVVYGLSVLAKKYLLPHLKPPSTTTFQSTSQSLTDSYDEASKLLTDLTEQTG KLQSSIEEDKERVNNVIEQVELAMSSVKSNEEKWTEELRDIRSEVENVRELVPKLIEK HSSSQSSALTDLQNELRSLKTLLIARQGQGQAQPAGSSSPSPSNSGVSSTTAAANALL TPRGKGIPAWQLPANNPSPTGSGSSTPLNGSRELDGEGKGKGKEEVKEDGV L199_001669 MPPRQLTKHQYSSGLSYVANTPKFLQNFGQPKPPSPPPSGSRDG TGREAIPSRPKEGKWAEGSDNEQAPEDEEEEDEWGETFGGGGDDGPQFVVLKEGRHLD EEEVKRLRRAAKGETSPPPPIKAKMNPNQDSSNSSSQSKDKSKPIIPKTNSNTNKRKL VGNDEAEEKQQKDVKTVNDKGGEKKKKKAKKGMLSFNEAEGED L199_001670 MPPESNEPMTNTSDTSSIINVSSVSLQANSPSTTDTSFEHVSDP LSHTGKSQSKNGKARLIYCKSHVSIHPTSFNKDNISGYLGIVEVKNIVPHSLAPNLDT DHGDNNVGRKETLITWVPNELLERMDEEDREGYKRVEGRVLNGNGSESDREEDGFVFV SLPSPKGEKYAFSIPITSIYSVLVYPPSLSHWYGSATFNLMGGISLPTLYFHDDESPL LASPTIPDTSQPLPRAQWGFPPFLSLLESRATLVRSRLLQSRKNMGAELWLVNPSKSD REVHEAGLEEEPEAVPPQRQPVPPKSPAPAPYPPKQQYPNSAVLNTTTPKQTLMTSLS NLTNLSRKAASQVLSHPLAQPVVPHLPPAVRSLVHVPGEWERIGRLPAKTSKTKSGST DVASEFESARLYLARWARVVAEEGEKARRDEIASKARLNNRRNSMSSSGVSGSDMEDL ESSLGVFSMLPKSYSKRPIPNPTRTPQHPITFREWESFAAQGRDELWIRREIFKRGFS DSSEPLEKQARREGWEVLLGIVPWNIGGLGGGEVGKEKRKNQREQTRLARRQVYSGLK GKWKKESEVSGGRDDWREEWHRIDVDCRRTDRNQPIYAVPAEAAHKGDHEKEGGGANT SLRRGSLGDDGQGEEEEGGAAALNPHIAALRTILMTYHTYSPELGYVQGMSDLLSPIY VVFDANEADAFWGLVGVMKMMESNFLRDQSGMKRKLSTLQQLISVMDPELYAHLERTD SLNLFFCFRWILISFKREFKFEQVIKLWEVLWTNYYSNQFVLFVALAVLQSHRDVLIR YLTEFDEVLKYANDLSGTIDLDTTLAQAEVLFLSFRTLVEDLDKENASGSSALDVEGS NNKDGIRHRRVNSDVGSSSKSKGKGKEALGDDDDEEGVGKKKERKVISEDLRELFVGW KA L199_001671 MPPRSRYAVDPSLIGGPQQPQGQNSGYQRQQWQGQSTSPQPDYY QQQQQHQQGQGYDPNYVPQLHHVSDRPAPLPTGEYAPAHAPGPAQSSSYQHQPQQPQY QVPVPQQPLNHIPPPPHSSGPTLTGPRVRIDPSQVPNPVEAQELDQNLYDDEDFFTCQ TRGLVPLVGTDYRGIDQGNSLPRHLRATLPVIPSTSQLLDTTALPFGLIIQPFAQLRY DENPIPTVSNWISEEDGPPRCEKCRAYINPWCKFVDGGRKWNCNLCGVNNIVSPTYFC HLSPSGQRLDHSERPELQFGTCDYVVGRSYWALQPPPSGSLMDVAVDAGNSASDAITS TAHDLLGGLQASLGQEQTASSSTNYREKEKERKRELAKYRRPQGLARVFVIDVSKPSA ERGIVREVCEGIRKALYGEKKDAENGDEDENEVKIGKGERIGIVSCAENVGFWNLSSS LSSPSQMIVSDLDDMFIPFTTGFLVDPIESRTQIEAVLDLLPTIAERGGEGNRVAAGS AVKGALAGLRMQGGQINLFLSGLVTHGAGALTSREDPTIYNTDKEKSLFSPSNSFWRD LSEELAECGVGINTFIFPDVYCDVASIGALSAVTGGEVFFHPKFQPVRDRNLLHQEIQ STITRETVYNVTVRIRCSNGLRVSDHLGNFYQRSMTDLEFATLDSGKSFVGVMKHEGS RLDDRQPAYVQVAILYTTSQGERKVRLLNMNFSVTSLIGNVFKFADQEAGVGVLLKGA VSQMPARSLRDIKKALTERCNRVLLMYRKHCAPAVQQGQLILPEGFKLLPLYTLCMLK SKPLKGGNVTSDVRVHYMRQFKSLSATRTINLLYPKLLAIHDLNESVGFPDDRGKLVL PTFMRASYGWMVAEGAYLLSNGEVAMLWFGSAVNPQIIDDLYGVDNLQDLDVRMTQLP KLPTLLSTQLRNILTHLERILDHTLSVVIVRQNMDGMEIEFANQLVEDSNNDALSYTD YLMTAHKSITNELSGNGGKSDSWKPW L199_001672 MQSVVDVAKSAINTVVSGATNLANTAASYTGLGGHSHAEEHLHK STLPPDSTASSVKKVDSEGLAVFEDEGVRHEVLVKINKLAHENVKHGLKEVASLDLVG PDGIKKGISYYHPLRYFTVARPLGVDYIGEIEIEDGKSIHVRVHKAGSGNTPLFHSID TRPSEEGGAVFKTGEPLGWFDY L199_001673 MLEARVKQAAVLKKLLDAIKELVTDGNLDCTDEGIALQAMDNSH VALVSLKLVAEQFESYRCDRNMPLGVNLTSLTKILKCAKDQDVVTLKAPDDADSLGLV FESPKEDRVGEYEMKLMDIDQEHLGIPDTQYDATITMSSSEFQRICRDLAALGESVKI EASKEGVRFSSEGEVGNGSVLLKQSAGTDRSAGSSSKKAVKRDPDEEDEEEIEEDEKP EIDDEEGEDEQDDEDRSKKRKSNGKSKTAKKAKKDESTSEDIGVSIILEKQVSLTFSL KYLTNFAKSAPLAREVSLHMSNDVPLLVQFDFEQGTLQFFLAPKVGWALILTNIHAD L199_001674 MSSYNTLPLHETLNLYVSPTAYVFEPASSSSPTHGFDGPAFVNE KDSRESLYVDRRTGRMSLNESSQIPFGKEKVITCYGIIGTLSLATTDFLLIITSRTPS CRLLSQPIYLATDFRLLPLSPLSTSSTILDHPVEKELISLVEQGLKSGRLWFSYGWDL TNSLQRQNALAQDGEKKNWPLWKKSDERFFWNRYLMGKMIDLTENGGVDLSRFILPVM YGSVELRSTTLNNRDLLFLLISRRSRHRAGTRYFSRGLNSQGHVSNFNETEQIVLFDP LPENGNSNSSRKGRVDGRERLSFVQTRGSVPLFWAEVNNLRYKPDLQIMDYTETPNAL STHLHSMIDLYGDVHLVNLVNQKGHEQPVKEAFERYMSLVKSSDSRINEKAHYLYFDF HHECRKLRFDKIQLLIDRLEPELEKMGWFHSTNPGSSHVISRQQGVIRTNCMDCLDRT NVAQSALGRWALNRQLRTVGIINIKESVEDHEEFMTMFRHVWADHGDTVSRAYAGTGA LKADYTRTGKRSKEGMLEDGYKSVMRYIRNNFFDGDRQDAFDILTGAWVAKRGGIPPL TDTRPLLMRAMPYILAFALTMILAAVTLPRTSELSIYSFLFLWVFLAFFSGSYIWGNG TSYVSWPRLNPPLEVLSWNGPGARSIARGRGLSLANVIPIFNKRHVAGSNSGGIGGRP AVYKMEEVELGKRKGALID L199_001675 MACHTYINMFPVCVLLSTLITLRSALGAPSPPQEQDIRARQESG EEGVQLMSSQGPSYKDIKQYDTLGDLTGIKHSLAALALHPPPNWVDDHIVDLTNGVGQ KGMKTINGSFLLHNVDSQTESQGGTSRKSFIYDLDDVKSEYSVEQVEDNWWWQGLELA LLENMPSPLSSDFIDRTSPSVVLNMLTGLQTESINVKDISDDDYVKILKDNKDKVIVL ETTELAYTYYRIILDVKSDTEITSTELYGVKWAVPYTCTSKDLRQNYGGMTLLKY L199_001676 MPRKAFSKYRMVPCPCPADHTSQRFISKRRQNRKDDDRQSSGRG HCMRVAEGHEDTTVICTTCSDRWGHSQASSAMCKSRAQNNSLTRALDAYPAYKAACSG EDRNPLEWRLYYRKWLSQDQAGAPNVPDDARSIRKPRRVAKLDTTREEKRTLIHSDNA IRGWRSRREYREASEERPSIDAGDTISETYPTRHLPGSEIDFNRPQEGIVTSYDHSLS TPSEASATSPLTTDFAVESKLYATLLVEGYGSPDTPNYGFGNQDALMTSIPSSCGYST NPVYTEPDWDIP L199_001677 MAKRRSGFTLVECPCPGDHISRGRHMMESYGTGKETICYKCMTD YSHSHLFATECTKRGEHNLLTDAVERVTHHTKENVW L199_001678 MLCGRCVQKSGTARAWGEEHPRSDRSTSLHDLMELYTKRVEAKQ HQNGIPLRDRQRTVPWRVLPSIFKSVYHPEYLQYSQISADDTLGRQLSQLPPGQMEDV TGIMFGCARRTCSEEDDEKEEGEEDMDLIDVEFPPTIRNSFDGQFTESHMSSPASNST PMTSFRELNYIDHPENMDLLYDLKEI L199_001679 MSQQQNKQVVLHERPQRGPITDTTFKIQQSEIKQPGEGEVLVKV EYVSIDPTMRGWLNDVRSYLPPVQIGAVMRATSLGRVVKSNDSNFKEGDLVFDTFGWQ EYWTGPGKAIQKRVTPEGGRDIDHMGLYGLTGMTAYIGLFEIGKLKDGDHVVISGAAG AVGQIATQIAVAHPKCTVTAIAGSQEKLDYLKNLGAHNVLNYKDADFKKKFKEVGTID VYFDNVGGEILDLALGQLNPYARIIACGAISAYNAEKPAPIYNYFNLVSMKSTMRGFI VLDHADKFQEGAKYLSDLVKEGKMKADYHVTYGLDTCVGTLRDMFEGKNFGKTVVSLK DQKEESEKSKL L199_001680 MPPTTTSSSAPHRKAAASPYQKSGKPNTHTRKPSKKTKDTLSTQ KTDDHLLVRDEKRNDNRKGDMLVLQAQVPPSPSSTGKISRKKKKKRNSNGLGSGTGPG EGRQSIEERITAMVEEDKKPSKISRMLEDIRVPPAESPSSSPEPEPEVGPESQAAAKI DGDIEMDSNDLSPKRPSTDISEKDGNNAISSSVSTNSPIINRKQKDQNRRKKTKEARL AFLAEYHARNEQEIIQEKEREERERANKLAQEELEKVRSRTEEVRREAERVKKEIEEL QNRKKQLIEEESTAKLKSEEERKRRTDQELQKVREEKRKYKELQDKVDIAQNQLEEMK VNYEKEKMDRAEKERLVEEGRKQVEEIKRKNIDDEVIIKKHENIKNAITHALECPICL TTIDDPHVLSCGHMACRQCLMEWFRSPTALKHEHPGDITPETDLSYRTKLCHVCRSVV LRKPARLFFLRAILEPLGLFQHNDVLPAGSQDVDLWDKLFPVESYTYKLYDPTDDTTR CPECLGEIVDSVCQGCELAFSDQSDLGEDLDLIDGEEESVHGSVLGDGDVIRIDNDND DGSSTSSSSDSSDVEDGLRRRDGTWTPRSRRNRNAPPPPPPLNNLLNILTGIDDEAED DDGSYGSSEDEREPNSEDEYGGSFIDDDVEEEEEEDGEGDLSMIDGDDEEESVDEAPR RRIKKRSRRIDPDEDDAPTDNDKDAEDDDDEPVFRGRRSRRHRSQVIAISDSE L199_001681 MAHKSHRQKALQAQLEAQPTLSLVSQRSKKPVPPKVVEQSMDVD DDDVVISSTTSATNGEPSSSTAGTASGSGSGFAPLPQSQANGVLKGEFRRIPIPPHRM TPLKKEWVNLYTPMVDMLGLQVRMNTLRRAVELKTSGHTVDSGAIQKGADFVKAFSLG FDVNDALALLRLDDLYLDSFEIKDVKTLHGDHLSRAIGRIAGEGGKVKFSIENASRTR IVLADTHIHILGSVQNIKIARDAIVSLILGSPPGKVYAHLKMVGARMKQRF L199_001682 MKPIILQGHERSLTQIVFNSEGDLLFSASKDSVVNAWFTSNGER LGTFGGIKGDGGHNGTVWTVAVDSQTRFLITGAADNTMKLWEIATGKCLFTWEFLTAV KRVAWNEDDDTILSITEQRSGQPSIIRIYKINRDEPTSQTTTPITTMTLSGSKATVAL WTPLSEYILTGHESGKVAKYDVKSGEEVNYVDDAHSGEITDIQSSPDGTYFITSSKDK TARIFDSETLEEMKVFPTETPLNSACIAPLRPYIILGGGQDAMSVTTTSQRAGKFESR FWHKLFEEEVGRVKGHFGPINTLAVHPQGKAYASGAEDGFVRVHWFDESYFRSRPFGD LEPEVEV L199_001683 MTLLSTILGFSAFGFGARCFQLGLQKRPIFDAPSGHLISTAAFG VLGYGAFYADKKQTALLAEKKKILLERREKENLEWQATKGQGHAV L199_001684 MRPSAPAQSHMPGPKTYIGWWGDMGSLPQKGIKTYGVSPYRQRA MAGALNGYIFNGFSRVMGHLPYAAPPLLFFYGVYYWSKKKYEYFNSKQGHYDNLINEG VIKPGQYERPTVEPIGH L199_001685 MALPASSNPITSVAPSSSPITSVQPPTYSSPLYPQSTNPQLLTR LNLDLRGQMFPVERETLMLLPESVLLGLFPQGLILSKPASWEGADDGVFTVDFDPECF RYILSFWSTAQSTFYGTPTSPGLFHAQQNIPINPNDPTSDQSQNPLLSKQAIIVLREE LEYFSITKPGGLAKTDLKTGLPNEELRALKRNCGKALENKKSIFAALERNVSSVGNAA EAHLIDMLCMSGFNRDDSWGFRACEPSRNVITSMALVLLKTGIMHPTPEEQMEGHNIP WIDAQQMSTAQKLLLFWRKPARKCWWDGVEVQIPASTTSKDEMINVKVWARRVWTLEL SLVSLQFS L199_001686 MTQPSFQQPERIQATHDHGHGHDHAHTHIHSQGMNGDQHEHDHW AEDDYLGRPGVFEVAQITYESVIHALVSAGIKEDIYKTWDVLEIGCGPGTVTKHLLST FSTIHSIDISPSMLLNLSKYLPSESFPNLTYSLHNLSPNSPQQFKDKALLISPTVEDR RREISPPRDKFDLAVSSLVLHHVDDIPSFMLAAIGLVKDGGWLVFAEMGVIPDNNGEG HSKPTEHGPFNAPEHHRPSFTTDSLIRLFESYGLTNVYAEERGELPIFGTEEGKMRVP GLIVRGRKGKE L199_001687 MCEKNQEQSSSITQPPQHGHQHEEPDQWAGKEYLANPEVLKLAQ MSYDFIIQSITSAGIDEEVYRKWDILEIGCGPGVVTKHLLPTFHTVHSIDTSPSMLTY LTTYLPPNTYPNLSYSLHTVNPDSGRLFAERVPLKSPTAEDPNRQLPSSRARFDLAVV NWVLHHVDDVQSFMAGAVGLLKEGGWLVITEMGRREGGHVIDDKSNEQGSFNAPDHYR AAYTPQQLVDLFESHGLIDVHADMTQDFPVFRPASAPPLKEGEERKMIPALIVRGRKG TK L199_001688 MAVRQKTAKTTSTSKSSSTYNKKSSTTKSKKPSVRTPLKKRHSN EAASDAEEDYMFSETSNVDPKELSPFPDNVLKRIFSFCLEEGREGWYTPQKTLANALR VNSVFFTIAGSVLYHSPTVMDLGTFISGSARQLPLYKFNFDFDPDLTKSDNAALARKS GCTKLVLMQYVKNLTILPCTAPRAPTGNERSQLRVEEGYQFTKDTLERLRKDARYFKG QTYERAKTIIHKQYRHITPNCRRVTIGNDKNIYSSLKDVLDNLQNQEDEKERVKELKR VKNLVNKLHGHFEQAVGSLRLNLMSRFKPGEWYEYINPTYKIPFKPEMSNAEYKYQYF PSRWIINTDLSDQFPLLWGSVNQIIVRPGQDTPTIDDLIPPPKAKNKKRKRRHPWYSD NDSEEDEWGMTPPPPGFNHAYTTKREQEDLMMADMMGSDYRNVQTILRSIVDSHPRTF SRSLLHADTKRELEDKTRFEIYGMEKVSEVCNDAEGSGRPRRKEREKEVQSEFEYYTL EMYESLQRQFKFRDRFWQNKGGESGPIVRFGMMRELRDG L199_001689 MTSELSPEIWDHILSLCREKDDGGLFVSQNTLATCLRVSKTWYL LASPYLYSSPIIKDIHNFFVGSDKPLSSTLVGSLASSKVSSHDLYLKSIEEGNTKLPL MHQIQQLRIYPNSLPEECDDEKLDQAITIQRELYTQANEILSNCQGIITPRLRSISCD SYLIPTGKREREISDMLFRSIRNIKLNLLTHLRPQNWCEFDSPTMSLSDDVLKMAKGG VLPQVVDCHTTLEDPPVIQWGTKNRLTVREKEDVRKYLWPFVKNYESTNTNSTATRER NKVGNGNGHDNIDWPVDSVSELDQEVWIECIYELIECSMPLEPEDRNDSGLKQSESDN EIDGNTMLEVYGIEKFLTISQSVEGVGENLPFIDESTVIQPKIPSDEIDMDCLASIKR SRFTTEELSRRQSIYRSIFLKMEERIRECLEINEQGQWIDNGKKAPTIKFYLASDYPG CSSCGQGKGDKWELDTRPRPPPRDDDSDWTDDDHDEDDEDDWGDDDGNDFDVDDFIEY EDDDIYDGGGGDYEPDGDDDDWQDEEYDENGVQYLSEEDAVYQFIGGI L199_001690 MKNDKSLTPFPEEVLWNILEYCLEDGRDGSYIPQSTLASALRVN TIFYLLAGSILYHSPTVMDINSFFLGSDRYIPPEGTNSASRRTLDSATLESIKKGNTK LPLLKHVTQFRFLPRSVPVEGVDIEEQSSLEYCNRIKRDQKYSEGIGKTYEDGFELVK KIRNIKREYEKEGREFLIMPILKGISIGSDLIVHNPEEHRVVKIIEKLYDNWGTAVVN LRLHLWEILNRNGDGIEWCEWQNGGLPLMELENPEYIDRTRIVPRVFTIHTDLLDNIP LVWGCTNRIVIRRKHDENYWSRRKYRSLGKRIPVESDLDHDTPEPESYEGDGLLPYDE HSMMEEYEIISLIFNSIYDSHPKWFNKKIKKSILTKEIEDKTVFEIYGFEGICLPEEG NYDYHWITRGQNEWDRDPDVDVRYVDEELRNAGFPINGSTDPSSGRDQGVVTSNSHSD SDEDDAENHDDQDVNMMDAGLVVHNIRLHSVDDDDDDDHDRFQVVPPPGHPPTSEEHY AKMKLWLKSIDYQMIKSLHESFQDKRRTWKNSPDSQGPKIRYLPFRELADCKGCGLSG TGWV L199_001691 MPPRKKTSSSSKKAKSKIDDKKAAPPSTKKATPNNQTARAALRR QPSNEAISLNEHEGKEQDGVKPSDEILEDAVEAPADRLTPFPDEVLKRIFEYCLEEGK EGYYVAQKTLATLLRVNSVFLKIAGAVLYDSPIVINTESFFLGSHRSLETGRGYIFAP EQHGGNIRKYLESLTGGKDTKLPLLDHVRHLRIVSPIVPKIIDGEELPVTQEYIDKLL DDDDDDESDYGCEVYHNTVNILSTERTQNHLTPNLISISIGSPLRIDLDFDLDPDKND IHSVIRLAQLENYFGVGIALMRTHLWKRCLPIEWIEYQNEITGYYRPEKLKRSPMRSP WIKDDEQYIPEIHTIYTTLLESFSIIWGSVNKIILRKPTEKEDKWKKLRLVNYVAMGA LSPDSLSKAMSRDRSDAEDGSENDRRMMYMDYKTLNPQATKKIISNIIKDSHPVKYTK PKITAKLKRKLEDETGVEVYGYEEVHGLGQDEYDQIWNRRKEILRDWAKDKKVDMSYV QEQIEMVGYNLDNDEYNTSPPQQQSSEDDDGEEEEDDDNVDEPLSEEAQHRRLDRAKL RFWLAHADYDLIKSMHDEFKYKDRKWLNGNEGSGIKIRFRPMCDMLDLGDSYIQRGFD PEWDPIMVW L199_001692 MGNFNLTPEIWSMIFSFCTEPSQQDKQVPQPTLVKLLRVNSTFF SIAAPLLYRNVMIENLGDFLLGITRTGLPDDIKRINHWSGLDLTGTGNTKLPLLRCIR NLTIIPFSPNRYVNDVYDILYHQQTCYDQAKTVLGRLSSRSSGLHIQSLTIGSASSPQ SKEIETVHSRFFSVIHSVRRTLLELFRPQIWTEYSPIHGYCFEPLRSDFTNVKRILPE VVNIYTTLEGTFPLVWGTLNRIIVRRKENTPVQGMPDMSVLMVNPETIPEELDMISNP RFSEDGVVKTIVYTIIRSTPPWLRRLNEGEQELIDEKTKIEIYGLERLIAPSDQIDDE HEPDDRKEESEMRALDRVENGIREGSNVTSDGRWMRSGKKAFSIGLSLDVDDQDPSGT DESEG L199_001693 MSFQDLSLELIIHILNSCWDHEKYLDHEGAKLAAQDTWAACLRV NKTLYQITAPILYRDIIVSSPLTLFLGTTNPFKENTKLSLLRYTRGIQFRSQPQPQFQ PHDRQLLDNLRNILNDKVEVTPHLEYIRFGSDSKVGYPQELKKLLNNVCKPKRSWCQT TNVDGPDTEIHIQHLPHNRDDDRKINIEGNNREGRWTDLSSEEADWPLLSISWGNINR YSLVNRFVSYEFLTGEISRSILISLNQRLKQIHQKENEAEIEYIDIQSQTGKGKGKEK ETEKEEKNEEEEERDTIIEFHGFEKLFLAPQLPLLNQEPSEEENERLEKQVKKNMLRL EDKIKEHLEDHRKRYHSPWEYGVKLPKVKFFPASGNIPCDACGCK L199_001694 MSTISFAKSKKEMSAVPLSPEILRIIFIFCSSSDHGVAFHIVKQ EDKLRSQKALYACLRVNKTWYMAATPLLYRAPIFQNIASFFCGIDRYLSSTIDVGTGS TSFEGPKGIYSQMIDQGNTKLPLLQYVQRLQIIPLIIPDEYEGRDYDSVIRNAQQATF ELGCKLLNDSPFRPHLLPKLDGITIGSQEVFVLEDEEFIMEAFDQGIKVLKGMMTPLV KRCICHHVPPREYGWGYYQNRTSADYEVDNGIDYDNLPERIETHTGLAEGIPPIIWGT TNVISIRTELDTDQNSWPRWTSSRYHEENNELLMNELWQFQRSASGHSNRDHNQWDMV YDLVHAIRESRMKSKATPVEDREECMSMRKLDERTKIHFYGLEKLFECYDLTYELSRD IISRNRVMREDKKEDLSSWHTFSHSRFNQTILNMREREQKDVLKYMDEETKHKLNIDD DGKWLRHKRKYGKLRVAPEIKFSLSVDYDGCGSCGDEKGDGWSVKQTRTPESMVPVIN WDAERYLEDYYMEVMLGF L199_001695 MAPIAPPAHIVTPSQPIAPPSASASGGLLTRTQKFIEENQRLIL LGCAVLAASGAGYYLYSTRSSGAGPSGDVGSSSSSAPGTSSSAKKNKKKKKKASSSAK DEKYVKGEGDKGPLLEEIEQPKEKKVEKVETEVKEEGFLADVPDATTLESMDESARNT LGASLKDRGNKLYSKKDFQKAVECYTKAIEVSIRKDAVFFSNRAACYTNFSPPEYAKC VADCDEALKLDKTYVKALKRRATALENLGRDEEAVRDFTATTIIERFQDEQAAMSVER CLKKLAQKKAKDILETREPKLPSPTFISAYLSAFRPHPKPTLPENPSQGDQTLLLAFD ALEAADYSHAVSFVNEAIDQGISSKDNEAEGYNLRGTFKFLIGDSEGARQDLQKSLDI KPDFVQSWVKIASVHMELGDSASAFGDFEAAIRHNADDPDIYYHRGQVYFIMQEFDKA IADYNKSTALDGSFIFTHIQAAVAQYKQGNVGSSMAAFRRILKEFPDKGEPSNYYGEL LLDQQKFQESLERFDRSIELDKERKPRNVLPFVNKALALFQWKQDIVGADELCQKALE IDPECDVAVATLAQLSLQQGKIDEAIKWFEKSAQLARTEGELVNAITYEHASKAQVQF LKNYPEFAERLSQMAQGM L199_001696 MPQLTLLSDPKSKSLALSPKKPIHTSSDPGNSSRKAAYAKKGDE PNLWELHHIQEDMAAISKKRHAKDEVESPNKKRKGAEDEEMKGQPDRSDGHDPEGGGV AGEKGSKEKKKNAYEIKGKIATAEDAARADEDTPLNKLERLIEKGQKEVEKGDSVVYW MRMEDLRIEDNTALYKASEKARDFGIPLIVLFVISPGDYKIHDRSNKKIDFILRNLRD LKTKFHDLNIPIHIASYDRRLQIPRRVITEILPSLGAKHLFVNIEYEVDELRRDIATV KLGRENGIDVRCLHDRLVVPPGKIKSQQGKPMSVFSPWQRAWAKLLDQQPHYLNMSPS PKANDDSIKSNDQFNKLFEEDIPDHVEGFECPESEKLKEVWPEGTDQAKELLHRFLHT KPRQTQFQFTSPLNPGAEESQKESRVAQYQTGRNLVDGDNSSRLSPYLAAGVISARMV LNEAKKLKGGKLESGRDTGVGMWVQEVSWRDFYNHVMATWPRVSMGRPFLEKFADVQW ETNEEHLQAWKDGRTGYPIVDAAMRACKARGWMENRVRMVSASFLVKSLMLDWRLGEK YFMESFIDGDLAANNGGWQWTASTGTDPQPFFRIFNTLTQSEKCDPTGAYIRYWVPEL RNLKGKAVHDPFHQMPKSEFKKLGYAEPIVDHKKSRERALFRYKNVGEKEEGADVD L199_001697 MASSPVEKPGNVLGGTQPLARYGGLNGNALLYAVVAIATCGFSL FGYDQGLMSGIIASKQFNTEFPATKQRDANDVHAGTVQGSVTSCYEVGCFIGALIAFF IGDKMGRRKMMFGGAVVMIIGTVISVTAFGPGDTSGRGNVGGFVQFIVGRVITGFGNG ANTATIPSWVAETSKAHNRGFLICMEASTVAVGTVIAYWIDFGLSFVDSSVSWRFPIA MQILFALILIGGVAVLPESPRWLIAHGRSAEGLKVIAALDARSIDDPVSIADHKKIVD ALAAQAAVKANKTRDLLKMGKQQHFRRALVGASTQLFQQIGGCNAVIYYSTVLFENQI GLETQLSLILGGVLSVVYMIFALTSFFLVEKVGRRKLFLWGTVGQAVSMFITFGCLLP GDAQSAKGAAFGLYFFIAWFGATWLPLPWLYPAELNSMAVRTQANAVSTMVNWIFNFT VVQVLPTMTASIGAYTFLFFGLINLVFLPFIYFFYPETTGRSLEELDVIFAHAHLTQR RPTLVAAELPKLSDHQIQEMTDRYDIHGGDNAEDPEAIGAPVNVTPDTTLPPVHPHDH VGSGEATRVPTPTEGHVKPAQ L199_001698 MPSSPSFDPLSVFRQRPSSSSSSSRGFKPPPQVSPSPTRTHSHS LPPHLHTQPRRLPPPSTTPPPSSSYQPRDDPFSRSHHLPPSSTLQRVTPRPRQPSASS ASPRMAYSGGSPNTPRTAQEIYEKSREKQPTGVDKILAHLSALDKKSSERDVMLNQKI DVMNKEIFALKTDNQNLRDQLHELKLGQNSLATREEMVEEITTQFTSVVGDNQGCTDK ITTLPDEIASLSASKIIPHIPQLLNPLTSAPQPTSGNDTALINLLNHVTNKLNGIDNL IVLLGSLQGLPEAITSVAVFKDALDGLTTKVEEFSQHSQSQPSAALLGNSLTRPPPTP ISPPPNTEKPDQNDERLKKCYETLLGMETLMQKHTATQEEIKQILLQDNRTSTKSNNP LNRSLTPFSIPPFEATRPNSLEDLAEAAVTQERLGTTTTIATQDNSGRSSQPLISRIP AVPDAFNSASRNRTWTVSPETQTDPFDLPFPASQDLSQNQDIITSTPRATRGTTTASG PSPVMPLASTMSSAADDTPQASKKGSTPSSSSRPTKKAKLSPDPSDRPITRLMSNKVR EPIRWSPSSHMPLTSKNKTPPINRKSSSRTTLRDQSLQEVIEISSGSSSSPPDPKSNS RLKKSRNPTPNTRDISGSALFSETLTSEKDNENSLNSGVESVPSGNSSGGPPRPVPMY GSSAAGKPVKFQPRQSGIRAQARAMEQEMNKRRMTGFPTLGSSINDMRSGGDMKGKRK MDCHFDDSF L199_001699 MQAVANLVQDSMGPVPVAANPEYKHRPDGATMKALAWFGSEKVQ IVDAPIPDISEDKDVILKVTGTTICGSDLHLYHSEMLGMQKGDILGHEFMGVVDKVGP GVTTLKPGDKVVTSFQVACGTCRYCKKNFSSMCDRTNNSSLMASMYGQRDAGFFGYGH MTGGLPGGQAEYARVPFGEVNCLKVPPGVSDEQVLYLSDVLPTSYHAVVDTRVEEGDI VGIWGLGPIGLACVKWALLKGASKVYAIDTQPARLAAAAKLGNVVPVDFKAENVTKKI SGEVPGGLDVCIDATSFHEPKTLLHKVEKALMLETDVSETPNEMIWLVKKFGRVGLIG AYAGYTNHFNIGALMEKGVRFIGNGQAPVHLYWHEILNDYILTGKFDVSMLVSHRVSI EDFPKLYEKFDKRYAGVEKVFVQTQFSEPPAPGFPQLTKVDDWANKVL L199_001700 MVREIDPPLIQKEFLLAALAEGKRLDGRIPLEQRKIEFVFGEEL GNVECRLGKTAVLAQVTASIVKPREDRPYEGFLLINSEIGPMASSVYENGRSSDSEIL ITRLLEKSIRRTEAIDREALCILAGEKVWQLRLTLHFLSDSGNLLDCASLASMMALKH FRKPDVEVIGDEVIIHSPEERAPVPLAIHHTPLCLTFAYFENLSPILDPTHLESTLCS GTLTVTMNAQREICVLSKAGGTPLSVEEIMGVVRVGVDKVRDMVKIMDEALEKDRENR VVEVQ L199_001701 MTDSTYTRPHSASPSRSVNRKRAASTSEKKHPLAGRRTPSGSSH KNEGYSENTHQRGGLSGDVGGRALKEKDSGRNTPMNGLEKAPSLNVDIRNSLILPSLS QRFSVLLPSLSTAPEESLRSLLASQRARHHGPALTEEEEELLFAEMRDAAQEDQWDGR PPQMDENWARSGLGVSNKPTRAGGLPSSASSPSLLTTSTSSPSVLSTSDDRGQSYAPS TPGSFLASPPPTSNSFSSFQTFGVRSSPDTSTNAGFKTKSYGFSGGSGMREAEYIRKV KKSFSHKDLKNGSGLGSLPSRKSEHTPTRENIPLPPAISPEKANAYYQPTKRPESPTT SEKTATPTLISIPNISSSSLPRYKNGHSPSSSLNLNPPTPNSAEDQMFAGKQQRTRKR QSRLDGLSPAQVKRISLALQEIGGQLKRGSMTVQAVPERAKEQSTSEDVEEMLDPESR RVSQLDTENESNRARRPSDLRSEDSCQSATSSVFPFQMSPTNSTFTGTTTEPSSPSRL PPSPRTHNLLAHVEEPLPPIPMPVFTPTRSQPVRHQPTLSNSSTSTVAPNQPVYIPGQ PRPIRLTHHSQSSVSSRSATPSNQSPLDAIRTAVSPDNTPSPIQGMPAIAERSTSLGR SRSVNPAQVTPTKSILSGDYDGSSGSTNGTRRRAGTIGDAPMNRRLSSSLSSHPTTPD IIEEEAEETPSDRGEYSSGPQSIEEFVPEVKQVVGRHSVANSRTTSSNSIHQLHHNLG WELAPGQEPFERSVSAQGTIDESPNPTPPEANDAPQSPTNTLRRQTSSTSISSSFSED APVPGEIVWASVFNSDSPNGYDVGSDGMVSPEPCKEAEVLRKMSGMGMEELMLLQERL VMKAKAEREALRGDVEDSAFVPYSPPMSAEAFSPIQRAMSPILRATSPDLARPISPPP PSSWRFPPAELPPPSSQNTTPSQDKSTLPSSVDTHIMTPPLTGSSTAASVSRSGSKSN PVMLPTRPAPPPPPLPAETPSEHADDIQTPPSGDLSRESSNRAHFRLPLEQDPEIRKD FEARIAAATAALNRTPSVSNSKLDRKFSKKGGPMVISSPKLVSSTANVPTTPLTAENQ VEPGLAKSLEKSSGSGSKMSLKWKKFTGLRGKGPSFSGNEVTPYPPAPQQPVQSKLSP NKQLQQQQQQQIQQQQSKLSPIHNVAVAQGGLQRSVSASVAKKLEDPISLRAEYHPEA PPTAPPNLDAFIFPPPPERAAHPTTSAPQQDVPSPPSSLGHSSGLKHVMSKMKRSKEI SPPPQQAQGRSQSPIPAQTGTVSPLPAIDAITKQPPQHTPTSSDEDARYKFIEAGRAL GLTEEQLNDMLTAKGMAGTASSAPAVPSPLSATPVVSDEKVVKHEKEKKGLFRSISKA RRAQPSPTPISAPVPISGLAPPAPVTESLPAHIPDRVVVRRTMILPEGLNIIPSTPQT TSTTPKIPESPDSSSLRPGINPQRKQSIRRKPLKLSEEDHELVSNSPPAHRRNFSFSN ASLDSSGTNTPTNPSFTPSPSNSQNQVLTTGTGAAELHGLGFLHPNSTPLNKKSSAPS LTPSPGNSSTGGGDDESHARSSTGGSLIDMYRNDDDEELLESPEKKTSATFSHDDEVI GGHARRGSEDALGRGSLDRRRMTQAVEITEYADGQVIWNIVDALRTSVTGSVDGEEYT FDTPGGPHSRSTSYSSSVRNSVIPEDEDVFHAAGNNAGWPQALGNGTAGLNFRHRDRN VPKKPRPPTDVYFTSHADVADLIDHLSRDLDASHGRIDIISHPTSDSSDWPHSNNSPF GFQDSATTTIPTTPERVQRRPSQTKSPAHSQFEDAPSPAQVSLRPAMSPVKADQYHNS GNMVENLSPGPQRQLFSEANSRGQSYISTGGSAVGFSPSSKSFASSVSGQGKSVEDRL QALLDRLKGDGIGRTRL L199_001702 MKFQIPLLASLALIAGVQAAPVPGLLGNIINPLQQQRPSATPTS NAVPPQPTATGGGLLESNTGSSLSSILNSLKITTPEGLDTTLNGIKLTGLDDLQLSQF LQFILLGPGVHIPSGQDINDIVNQIILDLDNEITTGNENGNGKGGILGNIFNSGAAPG AQVSHHALQDIDAIFTDGAFERFQSTYKATPTSKSGNLPAIDLGPLANIKPSATIGLL PSGSNKSALGLANVDGSADVNVEGAKITLSPQIHL L199_001703 MHFTAFVASLAAISGAQAAPLFGNLFHHNSTSSGVNATATASAQ FSAYTGGQFNLTQILEEHNIDLSDLRDLNVTQLLGEFGITLPSNIDLESIVDDLETKF NSTGQGNSFQGGSFIHQNSTSNDDKKSSGGFFSGLFGHHGHHKNKTSSAVASTRTRTR TSTSASATLSAVSDFEPSFTSTISFSVPTPSFSASDVESFSIPSASASESDSSVLPSA SASASASFGDFIGIGSEILPSITSSFAIPSVSASSASAAASSISTVTDDDAGIPAPTG TDDDLLDLDATVTADLLGAEITADVNLDL L199_001704 MTISILAIATSAVAAPVTQGPGGDINANTLPPLVSGGVAVSQGQ IPSSINTAGNIAGATAPGAVGGVKPGSSLEAGVIGGQSSANSQDLPPSVSSKGVIASA PFPTGSPSARTFAGAPCSVHTIQP L199_001705 MKITLAFSIITLMASTAVTAIPVPMTSEAAAPAPAPAPSTTPAP APAPAPVEDCSEDCGESTPGTTEAAAVPAPAPAAPDSGSSGGDSSDTGSTPAPPPAPA PAPAPAPEAPAPAPESPAPASPPSSPPASEGCTGEDCASSSPSPSYGCEGEECESPST PELPCDEFGNIIGGGGGGKPSGHGGASPIGGGGGSPGGGGHGGGGGGHGGGGGGGAPC L199_001706 MAEEKQSSGAQAPELTYVKNEKKTSLEAEAEIMGADKNIYTDVV AEAEGVAEHGGLGMTGEDILAIEDKLQSMSLGRTRIIMKQVQEMHEHDQNFPIHILQS IEQFLSNDDIMKNPHNPEYAELIKEMKLEALMVTENSPYAEVRAVVENTDDVDMPSFT FRTWFIGIIYVVIGAFINQLFEIRQPAIKVSSEVAQLLAYPAGKLCEKLLPNWSFTLF GKRHSLNPGPFNKKEHMLITIMATVGYNTPYTTDIILSQYLPQYFNQSYAAEFGYQIL LGFGTNFCGYGLAGLARRFLIYPSYCVWPGSLVTIALNRAFHSETDPAVPGPFKRIYN WSRMKLFAVAFAAMFVWFWLPGFLFTALSTFNWISWISPNNVSLNNIVGFNNGLGLNP FPTFDFNVLTAYDFNPLVVPAFGTINQFIGMFATFFMIVGFYWSNVWNTAYLPINSNH VYDNTGSAFDVQKVIDDRGIFDAAKYQTYSQPWMAAGNLVVYFWFFAQYACTISYAFL FHRREIVHGFKGMWHSLRRNKKDDTVDDLTEDIHCRLMRSYPEVPEWWYGLVLLFAIG CGMAGIGAWDTYTNPAVVLFGIAMGLIFVVPVGLVTAITGIQVTMNVLAELIGGAWTP GNALAMNFFKAFGYITTAQAIYFSTDLKVAHYLKIPPRHTFVAQMVATFISTLVCTGV FNFQMNKVPNVCTSEAPFGFSCPGINTFFTAAVFWGTLGPQKLFGSTGQYKALLIGFP VGFVLPFIVYFLRKRFPRTAWLRQIHPVMLCYGGINWAPYNLSYLWPSVPLASFSWFY LKKRYLAFWSKYNFVLAAAWQCGIAIAAIVIFFAVQLPAVEVNWWGNTVSYQGCEDEA CVRLPIPGIGYFGPAPGNLP L199_001707 MATSAIFALLALPYILASPHPPPYSPSQELALRDISDKSYAPYK VDCPTGWTWIRNATEGLSQGEKDFVANRQKVTGPTINTMASNQGITNPPRTPTIGVAL AGGGYRAMLTGLGGIMGMMNESSEAAASGTGGWLDGVTYWAGLSGGSWATGSFMANGG ELPINLLNNLWNLDSNLIFPSDGKLSFYTTLASEVNAKSDAGFPVQLTDLWGLAIGSH VLPTEYQLTNKPNLTLSSLPSVVEKLGNGSLPMPIIIAAEREQGELVVAENATVWEFN PFEFGSWAFGSTVKSIGAFTNLEYLGSELNNGETNGTCYKGFDQLSYVMGTSATLFNS ALLTLNNSDSGLVTNLIEGFLQDLGEDQYDISRVPNSFANYNPGGNPISSFEYITLVD AGETNQNIPIEPLLIPFREVDAIIAFDSSYDSPYIWPNGTALRTTFERAKVLAENTGT QIRMPEVPSENGFINGGYNTRPTFFGCNDTTTPLIIYVPSYPWSSAANTSTYQLEYSD EQAREVLYNGMRSFTLNGTVETWPKCLACALTDRSFEYTSSNRTAECQSCFDTWCWAG DDNTTQPNTYNPEIDSVPPWLTANSLSSGKADAKGVENTTSSNTSSSNTSSGAGSMVM GGTSWMGSLLLGLGLGFIMLI L199_001708 MAKRAKLPKPPYPLPSKLQYKLKKKRSIPSAQSNPESSQSHKRR RLSTSQDVHTMINPALEEELCNGFSTITDTSPLLLATPTPPPRGPPAATRIPAETFEL IFYHLKDIIMTLPLPDLPIRAKTPTTYNEGIDNQRLTNEEVMTYKRFLVKKQLNDLGK VCRNWRDRVKDVRNGNEVIIIGENDGHRAFTRPSAWFPLDLTRPLDLTVQVTLQTFIK IINSLTQLYPTVRIDKLTILHSFRPEGKPDELCNPPQEEGDPSSVSEDKIDRLLSKIK PRSVHVEWYAGEDILTKNCINSIAKTLKRNHMDPEVLEERLNGMKVKTGNYNLPRDWD IKNDLSKVMTNRMLIVWRQCMIDFALRANHMMILQELRESANFGVIDNFDYHFVSVPL RTIKKSHPLPVPYDSPIPPMGSLRSIDNILLWDLRWRDPKWMRRRNKAVAAERGETYN WRDNVPSPPIEWTVWGSFDMWKQQKDRRQFKGEGKDIPWKGKTREIDETLAQFFMERS KKWIKPYDWIYKSEKENFEDMIRFVVERNHKVKKPPKSFNNNAFLLALRKEGKILNED VIKARILEVRMKGKEEKLRNIDEEMFMMNRPESNQLQYNELYIREWLKLQTEQEKKLL VEKLRRKYKSKGLYTRMNWPLYHPNQPGVRFCVEPRII L199_001709 MFIPYQTWSRPGEIQCFLDIIPLEPLGDLKGCRDNMVDVIHPEG VLGLMMDKIEGVKEGLEEEIPSLIDQDRMYSYIGQKKAEIFDVHQYEWTRYTSASDRL IPGAGLYRFPQFAPK L199_001710 MSKLTLSHFLRPLRPLTQPGRRAYATSKPSASASLNIPSTQGGP QAPLEYCSSLVQRLDPEAWLCSYFWPRRERSWYLAYRAFNLELHLISTTVTQPALAAI RFQFWRDALKIIFSTNSETALKVPQHPVALLLADLKRNRPVQRYYLSQMIETRAKILS LPPSSPTLESHLSTHTPLSTSLLLGPLPILLPPADPASSHISHTLSHLSTLLTTVSLL RNLPVLVSSKRQINFPSDICEKHNIVEEEVLRKGAEARGFRDAALEIGTRGMDELITA RRDLKDTGGKVKPDRAMPIFLSAVPAENYLQRLENLDFDVFHPDLQKHDWKLAPKIWW RFQSGKL L199_001711 MLSSAFRAPAKDIELTSPPPDSVSALAFSPTADILAVASWDNNV RLYDVNSQGQSQGKAMYSHQAPVLDLTWSKDGQFLFSSGCDNTAQMYNIQTQQAQQVA QHDAPIKCIEYAEVPGSGPVLITAGWDKKLKYWDLRSANPIATLDLTDRAYSMDVAVS LLVVATGDRQIHVINLSNPTTIYKTIESPLKWQTRVVKCFPTGDAYAIGSVEGRVAIQ YPGGDDKKNYSFKCHRYDIPNGSLPGSPAVVGSQHVFAINTITFHKTQYTFCTGGSDG SLTFWDGVARTKLKTFSCKDLNNGDTDARPPQFGTPIVATSFNHTHEIIAYALSYDWS KGHGGVPPQGSNITKVMLHPVKPEEVNKKPPKR L199_001712 MASLTELFQFLDQPNPSVRHLALQNLVGHTPKASAERHIFIPSS FAGTGASASNGGGLLPNKRKEGQESDEVKIKALKDLANLCKDQAAIAHDALSALINLS DTLAVARHLVDKEFLVWLVSYTANTTSPLSPLTSMLLSNLTSHPSLITSLTNLTIPLV PLPKSKHYPPYFLPASASSSSTTHPDYRDPSILPPNQEVEQGDEIEIDAVRALVQAFE DGASEGVKEGKSGSKRKGECHFLASVFANISMAPSTRPLLLTPRPPFPRPSSAEPSEE DEPLLSKIVVYTEHPDTIRRGGALGCIKNCAMDRGSMGWLLASEHDRVKLPSDPTRKV KGVDVLPYVLAPLMGPEEYDIDEMETLPPTLQFLPPEKEREKDTVLRMMCIEILLLLS TTFTGREALRTRGAYYVIRELHKVEKDQQIVDSIERLVNLLQRDEGNDTKDDHVQELV KGQKVEVAQDDELDVVEV L199_001713 MRCPLTILPHIILFPLTWGFHNPHDISMDSAQWLMENQGHGRHL VRSFFGGDTSYAPDEADCPENQEWLWTADHLSKGEEKFLKKREKVVKEAVKKMMDSQQ MPAPPQTPVIGYAISGGGYRAMITGLGGLMGLMKQSEEAVRAGTGGWADAITYMAGLS GGSWGTASWISNGGMLPLDMIEKVWNLESNLILPDDGKLSFYSNLIRQVDAKRKANFP AQLTDYWALALGEQLLPEEYRMKGHADLTISSLPKKVKKLSDGELPMPIIIASQREEG EYVIAQSATVWESTPYSFGSWAFGSKRKTPGGFTPVEYLGTTLDNAQAKGQCWKGLDR LAFIMGTSATLFNGLLLELNGTNSDNIIVTALKGILHEIGEDNFDVARIPNTFKGWDV EENPLKDFDLLTLVDAGETDQNIPLEPLLVPERNLDAIITFDASRQTETSWPNGSSIH TTSERAVILDERDDTRIKMPKVPTANGFINGGLNTRPTFFGCENTTTPLIVYIPQFPW TYYSNKSTFQLDFDLHAAKQTMLNGMRSLTLNSTVPTWPKCLSCALTDRAFAYTSQNR TDECKKCFDDFCWDGTSNDSTPPEYKPVLGVPDWLENKGLVDSRYKDGKEGTNNWKDD EASGLKKGGFEEIAKGFMERIGLDF L199_001714 MSKEPHPDSDALLKTCTAITTRTGQPERCSSRFSYKPGDEKDDP ICYRCRGNSASMREYASRQTGKQTSLLKDWFWFQANRPKNKRWTPFCREKRNERITQS TGTNNRDHSTDQERQPGITETDNSQFDQAMVCASSASGNEWYEDLPENPEDFASLVDA AAQMTETTNF L199_001715 MPNTANLDNPSCTALTRSKLRTQHKDGALRTCGKPIRYLPGDSG NDPICYSCRDNKSAMEEYDSRSGRTETDLLRDWKSYPKEANKPERWKEFSRRRRKERI SMKRDCSPMSVQETHKLPIQYPTDFLSPFLQHSEITPSQDNPPQNPSDDRISYYDPEV DQQTDTSTLLSVGGPLTGRDPDMSIQPNADIDINFLLESPSTQLSTSPQTRYEQSFGC EDLSSASHQDIILNVNQMGSSYKSASHRAWSQPENGYSFENPSVQSQWESSSIYFASA TEDCPLSNPPLARNSNLPAPMNSTEAIMEGVEEYSNGTQELERMIHEYLEANDTASSH F L199_001716 MEEYSSRPENQGGYRTRLILLWEDYRERKRSLNDQKRGKSLKER NDMGTAGKWSESHRLQRREWEKQYEREVRLVSGMNDSQQITTKSVAHSQTDQKNGISF GTMRPNTETFAVGFGDPLAAQNSSLSTHYTENLSWEITSGQSHYTQPINPGMEAGFNE MMVAGHSQSDCFGNLLPSDWSLFNPGVSNTGILSGSSIDFPNLNSDHDTYGNASQIVD MPEESFAGWQANDVIDFSLLDTQLEPDANPTPPEEQQGYEGYRSFR L199_001717 MKLDATDLRYISADEFRVLSAVEIGSKNHEVVPTSLIAELSGIR GGNVNKALGELAKRNLVGRSQNIKYDGYRLTYGGLDYLALRTFSRRKLPSVHSVGTRV GVGKESDIMIVADESGEKRILKMHRLGRISFRAIKSKRDYLGKRKSASWMYMSRLSAQ KEFAFMKALYQHDFPVPVPIDQARHCVVMSLIDGYPLRAIEQCDDPADLYSKLMELIV RLAHAGLIHGDFNEFNIMIQRKTGEPVVIDFPQMVSTRHENAEYFFNRDVNCIRRFFR RRFRYEGLSWPTWKDVLEVEDEEEEKEDKELGPISEEDEEEEEAETKDVEEQVKRLRI DLEVEASGFGRALQRELEDYMLEVQDLPPSDDEDEDDEDEDDEDEDEDEDEDDEEEEE REVAQEDNSAPFDEAAFQAEMSARLEAIRLHKALGNDDPDDLELEHGSEHSLSDLSES DSDDSDDSVGPAQTDYTSYIPSQRHTRRHHDRVQIKKLGDTAKKGSDVIKETVARQVS KERQMTERKHHSKSGGAKPGKRKGSKWKNSDKYATGGGKDGGW L199_001718 MTAAVHNGIPNGVASSSKIASYLADESRFASIDKILDRRGPWTD ERFEGGQGTKDFLRNQSKILVIGAGGLGCEILQNLALTGFNDIHVIDMDTIDISNLNR QFLFRESDVGKSKALVAAEFVMNRVPGVKVTPYHGKIQDHPLSFYEQFNIVVAGLDSI SARRWINATLVQMAQEDEEQLKPLIDGGTEGFKGQARVILPTVTSCYECSIDMLTPPT AFPICTIANTPRLPEHCIEWASVLEWPKVHKDKKLDTDDPDHIEWLYQQASARAAQFK IEGVTWALTQGVVKNIIPAIASTNAIIAASCCNEALKIATACAPYLNNYMMYVGNDSV YTYTFEHEKRPECPVCGGESLTADAGKDWTLERFIESLTNRQDLQISKPSLSYSKGAL FWPSPPDVYEATKPNLDKLLVDLLGDEEGIVVTDPALPVSVNLSINYV L199_001719 MSTSSTSDTPLFAGTATESGEASSTRGLFPTQSGSRGNSDDDRA GSPNVYYLVFLGILVVLLILAGCLALRAVRMRRRYRTATQIALARGEPIPQPVIDPYW RLAGLATWTSEGFDRLGNLESEMNRRRERERDKEKLKLKPKIYDCVVWENENRLQDGL DSAEPISIQSLLLSSPPPSTSSNPPEVSSPRPLFGFRQRSSPSPSEVPVPQITQVNRN VSAGEPVQMGVIIQMPIPPDQQHKQDQQRYGDDEEERVGWEMGMELGVWQGQIRSEVK DVRDSSESYQA L199_001720 MINTQRVKNRKKHTTRSQLEKNSSGSGSDTLEGYMDHDTTILSS VTSSNSGKNYQIGDRELKALLES L199_001721 MMFTDTRSPSSSPRFDKASSTELGESLPIQYDRSKSRYYIETHW GSIIALSDEQARIAKGMRRTESGTGSESKVESHSNIESKNEIDGENDSANEDTPA L199_001722 MTHPNLTPPATSTGTPSSPSPTRQDSASRIDSNSTGTLPVSYSK SSELIGDTRFLKYRPVPSKMQNRLGNSQGFLPSSSTFLPSSEGMDEYPIRSLEYDSQK GVFTYTSRFSSTIRANTKMTLTAPKADAWMRAVEHPTFPSEDDLKVIEGTKEAMVIRK KRALVGVIKDILDKHGVSIQDAIKTYGESSEDNKDDWDWNLYLFAN L199_001723 MSPFDLSCIGSELDTARRSRAIPTSTSPTPTPTADRPLPALSSS VESDTSTILEDIPSEDEAVVSKYDDQILNKKKEKETNHERPYPLCLLCLDRPPSAVLL PCCHLNLCYICAPLLIHRSTKIKCSTPPITLSSNDLLPADISERQIESETVTETDCSR IPYNQILYRATLNHPKSRKLGSGGYRPPVENHPGGEYTAEGILQSQQDLGKEESVVQG GRIVMSDTWNGIGRQIGGVDEGSKCLVCRAGVKGWLRVYTG L199_001724 MSAATLPPQASTANANALADALAAASISSSSSPKPDDSQELEDG EIRDEDDQEQDDGKVKTVFDDASRFNVKHPLYSTWTLFFDSPQSKLLPKTPSSTPATP SGTHGGWMEDIRKVVAFDSVEEFWGLYNNIIPPSQLPGKANYYLFKDGIMPAWEDPKN KNGGKWSIQVPKEKSKGAIDKMWLYTMLAAIGETFETPLPGPNDKEAPAPTQSDLVTG VIVSSRPAFYRISIWTRSAPDTALTDSDPLMARILTIGKHFKVSVLGYELDQKLVTGG FQTEIMFESHKDSEKKGNKNKIII L199_001725 MSSSPIPRRHSEMPSRSTPPRRISTFTDSGGGTPGEMRRGSSAI GAASAEQRKARREQFRNFYGIKEGPGSGQTVDSPVDPANGNPLDIDSTSFNPSAYYED LISKSNLKKLMEKASILNSDIGNLEGSRHSLVYNHHHQLFSAGDTISKLNSRTPQLLS IVGELQESFSKIEQLIDSISINDIKVPQEDIPTPNNDESGLILESLRNGKRRLELMIL AKGSPEKIRSTHDELVREVKDTDTDGSINNNREIQAILEEIEKVVQSYLRDKDQATT L199_001726 MSSNHVTFDDHPHAQPEAGPSTPYSAGPSIHPDRLALNAVPVEV RKSKSEAQKNREKKKLKKRRKIEKAKKASAPKTNKKEWKPDPVLEALKKSTAGNVVTN LAEGAEEKQKTQKDEGSDGEEKVDGTINGESEKERRKREKREKREKRERKEKRKLERE AKLSATNSAALPETILAEEPRDGQEDVPMDQDSQPAVVKQDDNEVGSDVEEEGEEEDQ ADKQASPEPLEAFPLPRSAPAPAPEILAKQGLPSGLEDAEFIDQSLRIGLDDLEAPVK TVLGEVKEVKTISERMKGKLRDTGVEDLFAVQAAILPQLLSLRLNPLPYDRLHDYLIS APTGSGKTLAYAIPIIEILSQRITTRLRALIVLPTRDLVIQVRETLEVLAKGTGLNIG SVTGQHSFTQEQSQLVTDMETPLLGGSSKLDVLIATPGRLIDHLTSTPNFTLQHLRFL IIDEADRLLNQSFQNWLSQVLSYINPPDPPRSLPEGFVVQPWDKVAPSWMEGLGLIDR KEEWNERPPVTCQKLLFSATLTRDPSKVAALSLTSPKYYIIQSTNLPSIPYNIGETFS FPSTLSEKMLILPSALKPLNLIHLIYSTEFSISNGLIFTKSVESSQRLLNLLQFFNDA FISGGKKVVVKGYTGELKSSERKRILSDFSEGKINLLVCSDLIARGMDLPSVSNVISY DVPLDMRKYVHRVGRTARAGREGTAWTLVEKQEALHFKGMMNTAGHLKAIRKVKVKED DLSDYKESYEIAMKRLKEFYGRDA L199_001727 MPWLMKAEPDSRIVKGKDVKFSVDDFEKIGTSPWDGVRNHEAKK IMKERMKLGDQVLFYHSNCKVPGVFAIAEIAKEGYPDYTAWDPKHPYHDPKSKEDDPT WYMVDVKFIRRLAHPPTLQLIKHLASLSSSNLPKEISYIGKDGLEAIRSMQLVNRGRL SVQPVEQLAFDSIVKLGEQGGWDDLVDQKQKGKSKTTSVSSTPTTKKSKGDEAAVEKP ISIAKTEPTKEETPASASATKRSEPSSMKGAKQKDPPLSDSKGERRSKRIKVN L199_001728 MSSITDGLVIKLVNIVVYAVSLGSNVYSVAGPEDMYGSSKVTYI TPSYYAFYVWSLIHLLLLGTIIFQFTSRGKAIIVDSISWRFALLGIFNSVYIFFWSRH WYILAFVLSLLVSATVSQIYYVVKRDHSDRESLGEEAFVHLPFSLYHGWTIVLVVLSL FEAFGVNAHTHKAGIWTKIFVFLAFVFLETTAAAYAFASKEGDAAGAAAITWALFAIF IHQTSSKFIHWSAFAFFLLSLLAIVKSLIATVRGSGTLLHDEERAPLVSGSS L199_001729 MARTPTKTTLNNVLRTPPPTLYRRQDDQPPARKELTPSDHQATE TEEASVSDEIDTITVTITPVTTVYPDENQQETETVTKSYKLTPATTPIPLADKMGLIM PNPWSHLYTGLNYSFGFVDPVPRPAPTAGGWLRVVQPLLVFPNYTVAVFPSIGTEDPT SVDAIPVGSDGLCGATAENYAVAWPFIFLEHGWYMFVINQTYMQVNVTSSNQCTFPIL QQGSFFATQTFSIGPAPTYSPGPVAPSSGYTVFAEVSTHTPSDLPIDPHHASKGEKLA IALGVTGAILGIAIIIAVMWFIRKKRKMERESLAFSRLSPKDQEAFLRENPDSFLNPN HPRYTAKNGGYGNTGPPAPPGTMAYAIWWSQQMWNNQMANWQNPNMAQYSAAPQMAQT QIPSMMGHPQWTGGQGMYGGGNMAGQGYRRGNGMYQYGR L199_001730 MALRQVHTLDAFLGGPKCLRLPRHVPNRIPIRPHNKPLISFSRS LTTSSCLKGIFSSSSSSSDSSTPPTPQPIIDSDSPLTPFQARIASLESTAQNSPENLE AQLDLLKELANGGEYNGLVAYYQAMALEESEDGTVGSVNLLKDEQGWNLFLDGLARVG RLGEASSLVRKRDGLLKKILSSNPTLSSESIINPSNNGIPSSTSVLSHLSSSTSSAQS TPTSTTSTSPLSSFLSSSSPSSGNSSSSGTSASSTSGSALNPLYVQMAPATPQANAWK ALRWLGGFLLWGFIILTVMSMIMENTGLLKAGPGPAEFEPEEGKVVKFSDVHGVEEAK SELEEIVEFLKNPEKFSNLGGKLPKGVLLTGPPGTGKTMLARAVAGEAEVPFLFASGS SFDEMFVGVGAKRVRELFAAARKKAPAIVFIDELDAIGSKRSAKDQHYMKQTLNQLLV ELDGFEQSEGVIIIAATNFPESLDKALTRPGRFDRHVVVGLPDVRGRIEILKHHMGEV AFDVDVDPSIIARGCPGMSGADLQNLVNQAAVKASREGAKTVGLKHFEWAKDRILMGA ERRSHFVTEESKRATAYHEGGHALVALHTPGAMPLHKVTIMPRGQALGITFQLPEQDK DSYTRREYNAMIDVALGGRAAEEMVFGHDDVTSGCSSDLQRATDVAARMIRSYGFSDK VGLVAHGDEESVYLSGKKKDEIESEIRSFLDTSMDRAKYLLKTREQELHTLANALVEY ETLSLDEVRQVLSGQKLNRPTTEGESLKSEEEVRNQAGGQIVDGI L199_001731 MVKNSELIGKQAPPLELPSIPNGDLYKLPIGEKPIALFFFPAAN TPGCTKEACSFRDAQTQNIVFKRSPNLLVVGVSGDATTKQSLFADQYNLPYPILSDVD NSARKLYGVGKAFLGLTPGRETFFIDEKGIVKGVCDKALDVNAHIKFVEQQLLDIEKS QASQAGHTD L199_001732 MQYLSKAYSYYSGINPATLSGAIDVIVVRHVDTEGTVTLSSSPF HVRFGKLQVLRAAEKTVTIRLPNNLPAPHVAPFVMKVGETGEAFFVVETDEQVPADLL TSPVVMPTHTDLPTSHVTHDETDTSADPHHSLTQEPFGETETQIPHESPLAEVEFLDL NATSTPDASQKDANTSKPASLVNSASSLLPSIPFLSSPSNSRSGSPPKGDRSTVQPVN DEAKAKEADEPVISTTDQQPNPLATEDGESTKMPDRDHRLSSHEALVAGENASAAEDL LPKVKPGQGEGPAVLYGRDVVLDMAGYHSGKGDTPSGPGSPPPEDSDPQTELFIQDLL AAVQPPDDSRPALPPSRVTDSEIPVNDPDDDAPLPPPAPELASEPDLPAALANVHISP QTISRRFDRGHSEPPQDHEMDISRSPTRVAMDMQWDWSRRHAVEMDRTRSGTGSQAGN PIPGVVGKLKNVEENPYMFVLDVNDRSHRFELSLNDGFPGDDETEFKRNRITFQKFIE DPSVVDDPRLVIKYNSMLLYALSMYRRTLLPPPSSPTLPSLSDTGIATQPPNRPSSGS GWSRWWRRGQSSAAGTVAPPTRSNTTPNEDRPVSPPPPPAELHAAAPEPAETPEGKQY AKTLRLSSDQLKSLHLKPGPNTIQFSVTSSYSGLATCTSRVFLWEETDQVVISDIDGT ITKSDALGHLYAAIGRDWTHLGIAKLYTDIGNNGYKMLYLTSRAIGQADATRQYLKTI VQGDYRLPEGPVIMSPDRLMASLHREVIMRKPELFKMACLRDIQRLFGEQAKEAFFAG FGNRITDAMSYRSVGIPAAKIYTIDSTGVVKTELLSAAGHKGSYIQLNDLVNEVFPPV STKFKPEFTDFNYWRDSIPDIPIPDLSPPSPALSARSDTSGRLSVLGKITGIARRSSK QPLLPTSEPSSRPSSPLFAPSMTPDELSELDAEAEDDDDRKSQISMPGSFDEEEEARR NTMGDSFFDERTVRHQDDIEAQNQNQNYDDQEEEDDGGNFDFDDDILAAGEMQHVPF L199_001733 MSQGGYAVVDVDDEINDQGNGLEFKTFLPTDSNAPRATSPSPPH VPYSPFNLAYYQTYFDVDTNTVLKRVGMAMIPRSGFIAENCDGQIDLYGPFWTLTTLI LVLYITSTLLSSITQYLQSSHANSNLPLLSTAVSVIYFYGLSLPALLWGATKWLGVGE WGVAEALGLYGYSMGVYIPVSLLCLIPVGILRWVLVFGGAASSGYFLVQNIYPVLASA DNKMTRLLIIAVIALHGGMALAIKVLFFSNSTIGPDPIPDPMS L199_001734 MSNPQTPSTAGDFSSASTPLKKFKLVFLGEQSVGKTSLITRFMY DTFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVY DITNRTSFLNTTKWVDDVRNERGQDVIIVLVGNKTDLNDKRQVTPEELDKRAKELGVM SIETSAKAGYNVKTLFKKIAMALPGGSAEVKETANTKIDVSAPTQQVPQASGCQC L199_001735 MSSNDQYKQEAEKGETIQLEEAGAIEEPLKPGIKLPSQHADIYH EAIQRYPDDSHINPDDEKRLKRKLDRRIIPLLGICYFFYYVDKTTLSYAAIFGIKTDL HLGKQDYSWLSSIFYFGWLAWAIPSNLIMQRSPPAYYLGANIFFWGVFLMAQAASKNF AAIAALRVISGAAEAIADPAFMLITSMYYTRAEQPSRISCWYAFNGIGVAGGGLIGYG IGNIKGALASWRYEFLIVGAACSAWAICLLLYLPNSPATFRGFSYEEKLLMIARMRKN QTGIENRKIKWSQVREAFTDYKTYMFCFLGFIGNIPNGGISNFSTLVIQGLGFDTLHT ALLGIPQGVFVVIWIGAGALINDRLPKNSRTLVCALFMLPTISGALGFLLAPKDAYVG RLICFYLTGSYQCSFVLSLSLITSNTGGQSKKMLVSAMIWFGACVGNIVGPFFFKSEQ APKYSLGIGAILVCNILEFLLFFAFRFAFMYENRKKKLAIERASAEVTGEAGERDLNQ TAFADLTDKENPHFEYVY L199_001736 MTYRDSFVSTTSSNTYPSTMSSLSHSALGLTDSPLRPRLRSTLA TSTPASSVPGTPVRTKASQQQLRQAPSRNSLHQEATTNAARGVVQQQFTEVLLTVAPK SAQTNLINGKGSPPIPRPTSRNGTATTTSQGIPSRPATISAKTTSSPQATNPTRPAST TQIAPPNQPQRPQTTQLQNASQSSRSAQQPAVQRKAVPPSPAHTETTLAEEWEAELIK HTGQLSVRHRPAPTPTPLRQAPTAKEREEQRLKDMEWERSGMWDATRDPAREAEDRVR RDLGRDIAYPPQTPRVPVRSAPSGVTSVHIGIRPRLHPTRASESMTRNQPDFSIPLPL FSPASASTDLPDNAARPFHNPKYDSALAEKARKEYEDWKARKAEREGGVGDDGDAHGT RDWIPKSREVARPGNVEGIAHSDAYEDTHHRMSAQDQMLLHQQMQAMQQQAMQMQMPR VKTTAQDRKVPPQGTPKSISKVASQPTIQNHAQDQNQNQPQKQDTTQLVSDATVGNEQ DQMQALQNWGYPYPYGMGYDPMGMGQMQGTEGYYPEQGYWDPSYWWGMGGMMGDPQQL AIAQSQGESNDGKKVQFVEPSITVGSNSSQEGSALSAQAASTPVTPEDPYGEM L199_001737 MFGTTPPLHPSALQAISGYHYGMAYEQPMPQAQSSPYGGVGFGL GGGSSGGMMSPGSGGGQAFPSMGSMGMDINMGGMGGMGGLNGMGGMGMSGMSGMSGMG MGMGGMAGFPSNGLVFLFFFTSILCFLLHFIILLARC L199_001738 MSSIFPQRPTNHYRRISSTENANINRIGNGKTSSPTRQPRQSNS KSPLSPLRSRSHTHGCHHASSVTSHSTKPHSLPPLRPPTTPLPLSSPLTRPPITPRAN SMMYNNPYQWGGQSANGMMPGGYNPYMMPGSTMNGFNPSNAGGSGAMSAQSGMTDGGM RPFDSSKMKQGRMGHYGYLEGRELAGPPVGFLPAGVQPEQYHSPSPSARGQGQISPSH RVASTAGQSYYTAPAPSGGDSVNGSMAWGDMGNTPRARYDGSSSAAGYVQADLDTPYP RTSGGGASSAFTRYGPDGSVVNGIDGRMGINHRRMREGRV L199_001739 MSGESNNALIPRPGPTPKLISGPKTGQKSLYQQHVLDEDTYTDA LSHIITRDFFPNLPHLHATNDYLTALTENDPELLSASIRKLAYLAQEKDNGRSTPVGG RRSLDDIDAERVRRTEFAMAGTPYISLPGSRARPNRTPVGARGWETPMGGESSRRKYE DYDELDGTGLRSSDSEAGPSSRPFKRPRQKMIRDDLSLDAFQRNYTSEDNASFVQIVD EENKRRREERWGWAWEAEKKANQRRLEGEERRKMILDAAMSGNWRLNGEGTRLIGGLA EGGRDRNEGEAWKDIKLIGGTKDQLSSTNPDDEDTVTEVESGALIPHASGSTSSALVK TGDTTTAPRSKLAEIPLPPKHPLTQALADAGLPGTALISTDDGQIVPHREGASGGSEG RGRGDEEKSLRNRIENAVMGEEDNSISLAGSGADQWGYKTRNNLMFPADSNSDPYPKP RPTNAQPIANPPTITHANTRLPDEEEVRPSRGEGSSRRGSSPARSWVDAAVKGTPYHR EPSMPIINNYTLLPNDPSPSPQDLPSLLTWGTLLSTPRALDGTEDPLESTRSFKLPET KRRDEIGRKLADKASRSISERAKSFTPHHQRGSLSSTLRAAADKTQRSVRGERTPGKM LPPSSATPRRQAESLTPAARKLLERSVGRSPMTTHGGIGMSTGGKNRGAVMESRSGWG GRAEKRMTWTPSPRK L199_001740 MTKRTIRVALLINDTPVPAVIEEDGTYYDIYKRWLLQSLSTYPD TAIAKNTELIIDGYDVVDKREYPPEDRLLANSKDGYDAIMLTGSKHTAHDSSNPFVPP LISFIRRLTSSPEYSHLKFIGICFGHQILSLALGGECASGQNGWEIGVYGCKLTEEGK KWWTWHVDGQGGDDKVYLEQMHRDHVPFVPPSCHLLLSTPQYPVHSFVRYHPLSSPSD PIAQILTVQGHPEFTPSIVSHIIDARSSTGVFSPEVTKEARRRAGGKGTGGEGYGRIG WSVWRVLLQNVPVPVQR L199_001741 MSDPSVPLVRGKTNHKLKGNSLLYSVSVFLSIGVWLFGYDQGVM SGIITGPYFKAYFNQPTAGKVGNMVAVLEIGAFVTSLAAAHLADNYGRRMTLRTGAFV FTIGGAIQTWCIGFKTMLVGRVISGFGVGMLSMVVPIYQSEISPASHRGLLGSVEFTG NIIGYASSVWIDYGCSYLQSDLSWRIPLFVQCLGGAILGIGSFIAPESPRYLIDTDQD VEGLAVIADFQGKELDAHSVQEEYKEIRDAVLADRAVGDRTYKALWRRYKGRVLIAMS SQMFAQLNGINVISYYAQAGWIGRDAILMTGINAMFYIASSLPPWFLMDRAGRRPILL TGAVAMAIALTATGWWIYIDQAITPNAVVICVVIYNAAFGMSWGPVPWLYPPEIMPLP FRAKGVSLSTATNWLFNYWVGVSTPVFQELIGWRLYPMHAFFCALSFVLVYFLYPETR GVPLEEMNLLFQDEPDLDDDEDDEDGSDNDDEEDGSAGSGSETSSLVGGSDRRRRFSD GSTLPISNKSTADQRTGFFGKILDSVEGVFGGGNSRRRGLNARGKPIRKSRISKGKDK NKNQMKRNPVDLEAAREFEFESLPDLPEHDIDIDHSEGEDDIGDVELHPSKIGGVELS RRNTNSVEPR L199_001742 MSDVEDAASSLVASWNSTLSSVSVKAVGSQIALMTGISLGTILA FSFFRPREKKVYAPKIKYQLPRPADPADDPDYEPPPPPISNGFFAWFSPVIRLKEEQM IANVGLDATTFLRFLRMLRNIFSCITVLGVILLVLNIIYNLKYVESKDRNALSLLTIQ NVRGSWMWPALGVSYLINAIVMYFVWRNWQAMIQLRMRWFRSPAYQTKIYSRTLMVTQ VRKDYRSDEGLVALMGLLKVDGIKIGPEIDCTSIGRRLEDFPEMVEDHNKAVAELEEH LVKYLKNNQMASKRPQIRKGGFLGMGGVKKDAIDYHAKEIRFLREKIDTKRQAIDSLL KKERHARKKGGNGNRIEGENYGFVTFKTIAEAHRIARAHQGKLKELHGAQLHLAPMPQ DIVWENISKDSAEVGSRRTFGFIFIGIICFFYTVPLLVVSLLANLSALTLYVGFLNTW KSAGQWGNWTFSLVSGILPSIISSGFGYFLPFIMRRISKYQGAPTRSRLDRAVTARYF FFVIICNVVIYSLLSVIYTAVATVVAEIGDNQSVGTIFKTLEDIPDQIQGTYVQQSTY WLTWLPLRGFLVIFELIQLIKLALVSLRRFMFSHTPRDIREMTKPGYFEYAIVVVNLL FVCAVGMIYAPLAPLVAIGACAVFWFSSIVYKYQLLYVYISRAESGGRMWNVYVNRLL ACCILMQLLMALTTGLIRSRWLDCIAAAPPILIIIAFKIYISRTLENQFRYYNPTQQE LEQERMYSMSEKRTKHSEMEKRFLHPALQQDKLYTIMVHKSQEQLAREVLSAYPWFAG KHQHDGVEIKAVREENLEYDPNRDGPADVAHQADWDARSIASTEMLGGFGGGKSELSS TAPSPGVDTDAYNHYPLPGMDSNVNLPLDNPSSDYLLAQQRDRSDEYRNDKQPPRRQN SRPYPLSHVRGNLSETDIVASSPLLEHQQQQPSYDAPPYSNVPYPPSAYTQPPIGYTP PTMRRTASGDEDDIGQTRWDQGDLERGITQPQSNRSSPPPRSYSNQSQDDQGLYGGGW QQHDRRNQSGHGW L199_001743 MEAELSTYKDQLAYVNLQLESDPNNEGLKTLKTELVELIDLTQQ AMGQPAAGSTTSTSAGTTKNDKPKGKGKEDAASQYKAGMDCMAKYKDGKWYPARINAV IGSPESPQYTITFKGYTTSTNVPLSSLRPHDPSAPIPTPVEPTKRKQEELTEKEKEKK KKKGEKWMESQKAKADEIKDKKSAWEKFGKKASKKGIHISGLEGKSVFRTPDNPFGKV GVTGSGRGVTEYERMGKHKFATDKEE L199_001744 MGKHHSSRHHSSGRQRSHRDDSTYDRYRRGCNPLSCCKCSFGGK TAQSILLIFLPFSIAVICFLAGFWLLPVWVYDGGYQGDIKIAAQGYGVNGQDSGTREF LYSTASWPDPMSGFPLLLLFHLILSILLITYLVLILAICAFASFIDDRMHALDNVVWG LARSKGHDLNPDILGYFVNIVALCLWVFWVVAQCASTHDIKEYYVTGGGRVRTR L199_001745 MAATPLPSPRRILTATDSQGLPVVLDDTTPFANDNEELKAFVGY VQPDLTGKPDQAFKYSEYKPTKISHDDQVSLRWVDIPPNYKGDQHYTNTFDYIIVTHG ELELSLPDGQTKNVKVGDAVVQAANIHAWNNNTDQWARFVGVVVPSESVKVNGKTLDQ PPINGYHAQF L199_001746 MSRPTTPSTPVRPPRSDRRLTLESIASVSSQVPTDHSTPPPALP ASSPASSEENQPQTPKTPTTPTSRPKLANRESTSSIKRKPVPSTPEDLSPSMELAGLP SSKSFDSPLITTIRSSRDEQPPRYILPVDLPLPIAESIQNHPEAGPSSSSSPSEDEQI DFSASRPPSYANDIHQARPIITASTSTSRNHQTSHEELYFDPTAGLGGHQSPTFTLQS SDELPCYAEETQTEPKTLARALWKWGWICPLLWIIGMCIMWIPLKPVEEESDPEKAQK LEEMIVILRKTEMKYAKRCAYGTLGFSALLITIIVIAIVLSKVLK L199_001747 MDKPSTASRFSEDSNANPDVHRPELKPRDSRFHEHIDNTVPNSP AQPEYNNGQEKKYGDEEGLALGSERRRVVEKRLKMKNYIDRNNAAAARLKGFEADLNL TDTEFQTLLSILYVGYILFQVPSNMLLNRIGRPSLYLPAAMVVWGMISVLTGITKNFV GALLTRLFLGMVEAAFLPGALFILSKWYKKDELSLRYTLLYCGNLISNAFGSLIAAGV LANMDGKLGHAAWRWLFYIEGALTMFFALVAIPMLPDFPHNTKRGFTTEEIRVAQLRM LEDVGELDQDSHEEKWYSGFIMALSDWKIYILMLSLTACVTGLSFNIYFPTLTKTLGY GTTETLLLAAPPWVFSCILALLNSWHSDRTQEKFWHSTWPLLMGIMGFIISMATKPTN KAGRYVALFFQAGSYAGYIIMYTWMSSSFPRPPAKRAVALAFMNALSQTGNIAGSYVW PAKYGPTYVKSYGVVLAMFVATILLNIWFRQILVSANRRLEEGERAFNEHGDTLEQAA KLESTTVKDAQQMQKGFRFLI L199_001748 MSGKESTEISDPGVPQLPKKVESHPEAFVTDINGDRGEKAGYNG ENQKVDGPAANYEDGQMHRTLKQRHMAMIALGGAIGTGLFVGSGSALSTGGPVGLWLG YIFMSSIVYSMMVALGEMASLFPVAGAFTHYAARFVDPALGFATGINYWYSYAITIPV EVVAAAIVISYWDADTNAAVYITVCLVLIFAVNLFGARVYGETEFWFSAIKVVTIVGL ILLGIILMCGGGPNHDAIGFRYWRNPGPFAQMTINGGDGVIEGAWGQFLAFWNVFIQA AFSFLGTEIIATTLGEAENPRKTVPKAIKRVFFRLVFFYIMGIFVISVLVPYDNEDLL NGSGNAAASPFVIAIKNAGIKALPSIVNAVILVAAWSAGNSDLYAASRTFYALALERQ MPKIFRKCTKKGLPIWSVVITGLFGFLAYLNQGGDSAVTAFNWLYNISAVTGVITWWG ILLSYLRFYYGLKKQGLSREGFPYLAPLQPWLSWYGFIFLTLVMLFNGFPVFLKGNWD TSSFFVAYVSLLLFAICWLGWKVVKKTKVVPLDEIDFTTGRRELDELEALDRERFATE SKYQKIMSILF L199_001749 MAPPTKNTNIGPSPTASNASSANDPNASDAAPKPKQRKLNRTLP QLKRNAACIPCRRRRIKCDAGKPHCSSCVRSFHFLARTQPDEERDARGIQCFYDEDAE DVDEDHHHAVHMHSKGNNPRGASGSSPMEIPRGTKRKDSSVDEDPRDVIKKLEEKVAE LQQALAATSMSSNPPGSSTTITPNAGLGQTYVPPQQRSQPIIETSSWAGPTPNQFPAD FLSAPYNPPGVAPTAPDTAAVPSFNAPFATPLGLPQDGSAPQPTGPPRRSTLPTSSDD IDAEAGRFSGPFLDILFPGWPPKLPTPSMLDHLVETFFNMVPSVPRVLHRQTFLTRLA LPPTHADFPHVALLHAICATAARYTAAVKCRPVAEAIEKTDQDAKRANGKGLPYDDPA DETCFSERNARYAMNAMKFEHVSGRGLLDMLQAMIVMGHWGQSSAKWIEGWIIIGSGG RLAICLGLLDYQPDNFGMPALRQSILGPPKTDAEREERRAVMYYIMTYDCISAASSGW PNSLPIEELTARMPCHRNDFAKLDDIPENPQSYHSPDLWTYHPVADGFNMMLKGMILL SRACRFIRKCRNMEPADRLIARQMPEFKQIDSDIAAFNLHFPPALRDPVQYLQGHAKG VDADLIAAHLIPRIASIFLHEPFADVSDPSCVSATRILMEARAVLNIVYLVVSSNADI SYMVVPICSCNYFFTAARTLLLFYQRALETGDRSAAHSFRNEIAVFKIAFQALSSRFA MGARHLIMIEMVSTHIEEETLGHPLPESEVVPRLPAQPPAWHVAYPDMRRGYDPSGFP AEEVPSEPTTSRPILRAGHPDAIAGIKLDQMRSQQGQGPAMGHNHNMSNNEGIEHRRD YVSSPRGNFSASASASAGSTSQSPKGPIVYVNWQSVASHTTEGHLPPALQDPNMRTQA QIHDPKFSLL L199_001750 MATVARSLRPILCQQSPLRLQARQIPRLVIAARCRGEIVARSYS STRVVRAVVQDGPALTQTNRAEVTLRRFWKTVHIKQDESGSFQVTLDHRALKTPGGAK LSIPSERRLLALLIANEWENQDEVLKQHTLPVTSLASRAIDGLGEGVIRAGVIDQMMK YLDTDTILFTNDTPTSLVRMQKEHWEPLYSWLKGTYGVKLNPAEGFSPPQQSEDARSK LRTVLEEMDGWELAAFERAAYASKSFVIALALCKGRLTANQAAEASHVEVRSQIEQWG EVEDTHDVDYQDIRRALGSVACLLVKA L199_001751 MPRHNTLSPSQSQLSLSTVSTSTTSTTSDTDQDNSASHGTVVNP DTPDTQVQVPRSKSKRNKSDLSDPYGEYFIQQAKLFKHLEKRYTKFQKKQKEEQARAR DNRLNRKHTPAPAPIKPKKKKDKQQIPSNSDSDTSNSSSTSSAETDNMIGSSVAAIRG QAGKIVAQAVRPAISITRASSPAASASFSSTASTSVRSQKLQAKKKKKTVNPDAMTAT EAVRVLRALEIANPTSSYSLTLSTKSTKSSLPIRGHFHLPLDPRRSSETILVFAEPNS PSSTLAKQAGAAYVGGEELFESVLSGKISPTRCLATPGMMPQVTRNLARYLGPKGLMP VAKRGLVGEGEELAEKIRDAAGRMEYRADKEGLVRIPVARMDFEIPSIENNIRSFIQT VRDNQSAGTTDDAVTNAAKKKKKGSSITAARIETTHGPSIEINDVL L199_001752 MPFALGHHPPSRPLTPSPPKNQPARLPYNPNPISREHRSSIGGP LNFNMTSSAFVSNTPTTQLAWQASLNTTFNEMTPEQKFFRKTSIIATIGPKTNNVDTL VKLADAGMNIVRMNFSHGSYEYHQSVIDNARAAAAKSPNGRPLAIALDTKGPEIRTGL MKDDTDVPIDAGHEFWVTTDKSFAESGSAEQIYMDYTNLPKVTAPGKLIYVDDGILSL QVVSIDGEKIRVKSLNSGTLSSRKGVNLPKTAVDLPALSEKDKSDLAFGVKNGVDMIF ASFIRSGNDVKEIRKVLGTEGANIKIIVKIENEQGVTNFDEILKETDGVMVARGDLGI EIPASQVFMAQKMMIAKCNVAGKPVICATQMLESMTYNPRPTRAEVSDVANAVMDGAD CVMLSGETAKGKYPIEAVKMMAETAYLAERAIAYPHLFDQLRSLTPRPTETAETLALS AVAAAIEQDAGAIIVLSTSGVSARLLSKYRPECPIICVTRNQQTARQLHLSRGVYPVW YPEPRGIPGDKWQIDVDNRIRYGLRVALQLAIVKPEATVMAVQGWKGGLGHTNTLRIL SVPADPADLDLHSIERED L199_001753 MTRGNIRVAIAQTAPIVAPEGPAKLEKPHSTSPFSTIDQNLIDV ISYVERAAAQKAEVVIFPEYFLQGIVNQNRQYLTFPSKHLLTFLRDLAKTHRIALCGT IVHGTREEGAAPIPSSSPFSHIPLHASHKSPSISPAQLEWGKYLEAHPLSTEESAQPT LYNTAFCIDDEGEVVGEYTKKNLWHPERDYITPGEEDHKVFETKWGKIGMMICWDMSH PSHAQELASQGADIIFAPTFWYATDSEPAIHKYEHDPQYEHNMVQSLCFARCAETETV WVMCNAGGDPLEGFMGGSGAWVPLRGKVASCEVGPKLEVVDIDLGVLKDSRELYKVRE DAAKRLCT L199_001754 MAARQTILVIGATGKQGSAAIKALDQSKFKILAATRDASSEKAK ALGVDLIEGSLENPESLFDKEPIHGVLLVLANIDPEGHLQEGLAIVNAAAKHGVKHFV YSNGDYCGRSDTGIPFFEAKRKIENAVKAQSFQWTILGPVGFMENFYWPLYLDQVSTT WKQSTHPTYKLIATSDIGKIAAEVFANPDRFAGKKINIVGDELTPDEIISIWKEVTGQ TLQAEETPVFPPFIAPAFKFFDDNQFEADVSENKKLFPFLTDFKSWLQQTPFAKR L199_001755 MFYGAVSDFFAQAVISFLLGHRNLSVFSEFLQTLNSTDAASVVK LSRIRSVANARSVKISPDLEEKVLLLTREAIYVVSFNYSLEKVMEFTRIPLKSITSIQ KGMSLPIKVYADRSGAYILSTLQEASRDPKENYGFLVNFSPTNESTRYSTYSLRNKLP SVPQDSTPTPGTPKPSVKHALIDPDVTEFYAFKAIPGHTSDEGTCQESVNNAVKQIAE ACKDEELIVEKDIVSLAEAESATSILDKMDYAFKRFLWL L199_001756 MTALPPRFTVPPLPPRIHRQIKLAITDDGVTLAPESGEGVLIRW GVKGKVETIDCEPGEVVLGGVLGIVRLWDATPLFPCHDVHDESRNEATSSHEVFTLED IHAIPLNHDIAVKAHKKLLDIQISRQPKAKSRWSITLPLRSAANTAKGGSEESSSDDD DIPEADETPLPSKTREWTKFMPKLRKKQPNDPKTNEPLPDDPPQREELEAKIVRQIIR EFSNGFFFSYDFDLTHSLQHKRKILAQRNASHTALTHLIPREGSLFPPSPSSTLPRHN LCEDDFVEPDIQVPLWRRTDRRFFWNEYLMKDFIDLGLHAYVIPMMQGWVQSASFTIP IPPNPLEPETSLGAVPLDLVVISRRSRDRAGLRYQRRGIDDEGHVANMVETEMIVRAK MVPIAIFDETASESQRTRRPDLCGS L199_001757 MPPISPQQLRRVYDILAEREYIRLRTSQVARPSAEQGFYSVRKS LSHRADNRYSNILAYDRTAVSVEGEYLNANVVTDGKGGTWIAAQAPLPRTFDTFFRAL YLGSATGRRSDSAILVQLTGWEERGMLKANPYMDHVMDHLPLKIVKQQRRDEISCDVT EILLGEDRPVKVHHYHFDSWPDHGVPQGQGVEALSKLVDEIQQRKDSLGCEVWVHCSA GVGRTGTFIALSSLRQPGLPKQSSPLGPLPKELSEDVVAQTVDVIRECRGILVQTPEQ LGLVYEMQ L199_001758 MASAGLPRGLWGAVRSQFTPIASRSSIAPIASPSTLRAFSSSSV QSLRNVNARPQPFKLPYFTPSSRSTFFYPSYSFTFPRSVTTAPSLPEIPRSLPYWLYG CSALVFGIVVIGGVTRLTESGLSIVEWQPFKGVIPPITAAEWDAEWEKYRVSPEGLIF VIPAAYYVARYKLPRPIPAKLALIGLGIGFQGFLGWWMVKSGLDQEIVETNSVPRVSQ YRLAAHLTAAFLLYLGMLSTAIGIQRDVKLFNNPSIVSQLSLPSVKKFRGMVHGAGMM VFLTAVTGAFVAGLDAGLVYNEWPLMGGRIMPPTDELLDEHYTRGGTKSIWRNFLENP VTAQFDHRMLAYTTFGLVVSLPFVARKLPFASTRRLAGLTAAAAVTQVTLGITTLLYL VPIPLAAMHQAGSVVLLTCIMALGGSLRRPSRMLKHLRR L199_001759 MGRSKPRTNKRPPPKSRLPTTLPALPYPNSEPSESTFSTALSNW SANALGRLDNIPKLTQSQLTALTGAAAGIGEANFLANPANIPPQSYNPNLPIDLPASL AALFEAKLTLDREKAKLLRMQQELKGQKEEQERPKGKAVAVVEEECTCGRSHSYPPSE HSECEYECEEDCDCDCHSYIDEYDHTCDHEYDEQEDPPKRPLAEDPERLDETVRELFN WIKAVVWTIEQAAIVSGRRNWSQPK L199_001760 MAEYDLTQKLIPHLDRHLAIPLLNHLSDIAIFPAEQLAKAQYDL VKGTNMVDYVEQLQEQAKTGESRDFAKLREEATAKYQELQEKAQPVMKIDIDQINALY HFGQYQYTLGDYARAANFLYHFLIFSPSLDLNISAHWGKLSSNILSGEWEAALVEIKD LRDAIDNPHGTSMAKPLAQLQARTWLLHWSLFVFFNLGEGQGCQGLLDMFLSPAYLNT IQTSCPHLLRYLVAAAIISRRAPKPAGTRGNRDHVKELTKIVQMEEYQYSDPVTGFLK DLFVDFDLNQAQKRLTVAESVVRSDFFLSGFADEFVENARWLISEVFCRIHRRIDIGE LSKTLNLTNEEGEKWIVNLIRDSRMGVEAKIDLKENMLHITRPHATPTATLIETTRGL AFRSQAIQFAMQSSAGDSRGERGERGERGERGGRGGRGGRTRGGAPAREEVAA L199_001761 MVYSALLLGALVAAPAFGQTFRRTAACPQLGCVFPPDQTDFIAG QTFDLRIEVQAPVNGSEAYNNGQVDENFSIKIGGQGAELVDAAQFFGLSDPEAEKYNF TYYEDLFAEDAHAPTAVNVIAKSYRNLQLHTPGTYEVVLTYNNGDTTKATWTVADLAE KKKAKNVIFFVGDGMAGSMLSAARLLGHKTINGKYQSKLKLDEAPGYGSQMTHS L199_001762 MTVNGLNAYTLIQNSSTGKAYGDPKVETIFEMFRRIEGGQVGVV SKAYIADATPAAVCTHTLTFTGGGGENFLPGPGNGNVSQFDRWASEGYQVGYTKTELE AFDNAQRALGIFTQGNISTWLDQNVYTDLLDLAVTPQGQRGARDQPGLKEMTLKAIDI LHTRSKERNTGFMLMSEAALIDKEMHVLDIDRALGEVLELDDTVRATLKHLEEIGELD DTLIVVTADHGHGFDVFGSADTKYLQQQNSDRAKRSAIGTYQNSGLSAYQVPQDVLAN NHTIFQSPQGDGFPVTWDPRYTIAHGWAAIPDHREDFEVNKDHERIPAVKNTTGYFFN PEDNQRGFAMTGNLGTGEGQGVHSLVDVPVYAFGPGHEYFRGVMNSPDLAFKVALALD LGKNSNVTTSYRK L199_001763 MPQPNFNLPEYFALHSYLSALLCGAFVLLPRSIPWFVGDAVQSS STDRPEYAFLTPLTSRPLVTIVWDIRIGECSASTLVASVVLYVLINALGAPLDSHHLH TFLLSLHIAILTVWPTVHVLGVPSIYDSGTYARFRMTRLFCEFRALVYPVIGTLAGAW CGAIPIPLDWDRPWQSYPLTPTVGSILGFIAGGFVSWLYSALIDTADEVLQTKEQVGY MSSEKKKKKRTKRT L199_001764 MIHSPPLPLTCLPPPLSDSDSWRRPASIVSDFSKSSTLTRNNPP PSSYNRRSQSLEILKTISVLAPAYEGYHQLKKTNNSVHDAVARTAMSNMATGLQSQPK KPGFFRRMSLSTAQNKPTVQPSINDNGLRVGPKGYGRSVSGPGPSYRQDIVAGPSYES LPAGAAPSAFRRRDDLDSNMDQRRRTPADQTPNSQSQPKPNKPNPTPIASAFTSPPAA DSQSPPAATPRSRYPIHPALASPPTSTPRRLSATSPYDPINPPVVSAASQGGQRYDDV ASTTKQLPANHSVNLHGKSTEQLHSQSTSHHRQGHSSSPHTPRHVQADHVTAVLSSKP QSPLHGSERTLMAPDATHQNGTTRSTAKRHDTARFSRFDDADIPNSGTLPVGAQATVY AHDKQSEIKPMVSARRSASSSRRTPMPKVEKNSGSSTRQGNSSKQDNTAIALETPTLA DKTISPRPPNSEAKAQEQLSEAGAYAKAIAATISNHRAVETPRETTGKPTVTATPPKA RATRPLPTPPTLNSRNSSKANVVVIASSSKLQQTSPKHSLKRETSTEKGDRHLATPTS PNLVKNDKRSSVRPLNFDFPRSPTLPPLIDPRTTQDKKLDPISQWSRDTPSPVSPNGQ APLATSQLPPRLSSAQSRRAVSSPLASPTNEVRKDFGVSHIASPTIAPSPPTQVRPQM IARERPRATLTFLLLHAPIQAALLAHMSINSFLSLTGASDLIRKRFTGEAIGRWVLGE WGVQVDREKGRSWPNLTVWEGFLESLLHDPITYSTYPAQWYNLLQHLCLSHTLIVLHL RQLPLTAFPNPPPLSFEDDFVNPTPNMANLPFSSSFNSFGSQRPRSRLGSAAGSDAGS LAPATKMPRQERLVEIVMPEPLAAQGPQDDEPTSFPTTQKVRRRGSIGSIASAASFSF GRRRTASISAEPRAEISPNAPAAPMPSGKAALPPVSYPSAKRYGFKRHGEPSRSRKSS ESSRPGSIFSVQSTPSFSAHQRVSSVYSGRPSFAVDRNAPPVPGFPAGLSMPPPIGGG GTRSSFASSDGGRSGRRSENGGNSPISGVFLSRRDFGTPPPNRPEPCFDRPIPFTVGR APILRVFVPLSDRIQRWPSAEGAAAATKELEKCGALRRMKLGDIVVNTAIRQPKTTEH VLVYVPFVRHLLVPLDYTFSPNGNLPSYVNGFDIAPSYYYPFLPTPQVIFLNLAPFAE RAMKSIRLAYDRRDVTVASGARLSAKRYLHVAGFEVHQADPVAPEWYGMVSLEAEGTA EGKQDIEARLVGLNGSRPVMGPWELVREKSMMGTIWLRLIK L199_001765 MEANPMTISEKPSVLMEENKYDPEDPQVEQYDPALIKKAIRKVD WAILPLLTLLYLCSSLDKSNLGNAKSLGLVKDLGSDPEGKKYAFLNSLYYISYAPFMV PFALLGKQTRMGKLLACAACFWGIAATCFSAVRNFPGAYACRFFIGLGEAGFVPGFFN GIIAYGVSHIHSKRLESWRILFLIEGGATLLIGAFAVYWLPEGIPSWRILSEKEKEFL MYERSLDYAEEHHKINWKHALGCAYRWQQLLPALANMCQQITGAALSAYLPTFTAENG FTGATAQIATLAPYGAAAVVMILCAWFSDRMKNRGLWTQVGWALEIAAFAIWLGVPSS AHKARFAALVLAEVGHYVCTPLIVTWQANNSGNESRRAVAVPGAVSLAQAVAVGSGYL FPSTDSPKYSMGSAVILALSCAGAGFTGFYQFMIWRENRKRDERESGPPAIDFRPDTA TYADDAPGFRYMK L199_001766 MTVNNSTTQIPRHRLRNGLESGEAMFGCFSSLATAWTARIVASC GWDYVIVDCEHGNHDDGDMHDCVNAIAAEGVSPIVRIRAQDSGLIKRALDTGAHGLMI PMVNTSEQAAQIVKWSKFPPMGVRGQGSSFCAMASGISTSEYVKVANSTILTLVQIET PEAIANVDAIAAVPGVDGLFVGPNDLALSLLGYVPAKWTEPEFVAALEAVKEAGRKHN KYVGILAKNGAHARELKNDWDIVGLGSDSKALSTAMKATLDTIKK L199_001767 MNFWSPPETITATALCTVPKDQHAQKETDWISGAGVRPPIFLEG PTTDTNGNLFVVDVPYGRILKYTLATEDWSILADWSGEPNGLAVRGDGMIVIADYKEG LLLCDPSTGDITPLLLRRNLERFKGVNDLVVSSRGEIYFTDQGQTGMTDQTGKVYRLH PDGKLDCLIENGASPNGLVLTHDEKFLYVAMTRENSVWRCPLHKDGGTSKVSKFFQSF GIAGPDGLTVDTEGNLFICHPSLISIFVVSKHGLPVARIIPPEGHGTSVTNCIFGSTP SDRKRLYFTDSTAGRICYVDWKHEGATPLRATRA L199_001768 MSNNIEWENKDYYGGASAANEVNYIAQRSDVEVALGEEELAHRN PIFRKLGGLFNRGVEARGIERVPEDERDGKHTIGLLLLWWSVNMVVSTLPIGLLAQAY YTLTFHSAVAAIVTFTALGAAFTAFIATLGPKTGLRTMVITRYSVGYVGATIFSFLNI LTQLGFSVTAIILGGQTLTNVSNDKLPLEASIVIVGFLALVLCFVGYEAMHYWERYAW IVLFIFYCCMWGLAGHKGFDMGAQQAEQDVGKSYAGDFLSFGGIVFSSASGWAPVAAD FNCRLPSTIRPWKVFLLTWFGLMVPLLFVEILSAALMTVPDYTAAFETGDAGGVLAAV FEPWGGGGKFILVIFAFSIISNCIPNTYSAALSCQCLLPAFQKIPRALWTILVFVIYT VAAVAGREHFSEILSNFLAILGYWVAFFVVVVFEEHYIFRYFFHKQGIDSSGPARGYD LTVYDNWRRLPIGAAGIFACCCGAGAAVVGMAQVWYIGPLGAVFGEFGGDLGFEMSAG VTAIVYPPLRYLEYRLIGR L199_001769 MPIKTDYPAVIKLSDVERTTGHLSPSNLYHAVTAFHNDGVIVLD NAIPIDIIDKLNERMMVDTDRIMSGTVKGVHWNQGIECGNISQVPPLVKDYLFPEIYA NKPASAVLTQLLGPRPELHYVRSNTLIGHAPERQDVHRDIKGRHIPASTVIAMNVCLI DAHAQNGSTEIWLGSHREQTLDDYVAITSGDVKKTSLERRRQVRPPVQPTLPKGSLVL RDLRLWHAGMHNETDEVRVMLALVYTAGWYKNPLLPPWPKSIQTEVEALGAINDTWVG GTFYEDEDGKKEYLAIEFDGNFGTSFEDLMAMA L199_001770 MEIPGVRLSRACQACRIVSTLDLSEYLAEVLQAKVRCRVSEVES ICVRCKEAGLGCNRVPTRRGRTKGSKNRKTLERLQGMRHNLGDSMPQRRKSTEKNPTA NNLGIDGDRGNNDRSLSPMNLIWTRTHNISPSTAPIPLPQESHPSSNIASSSRRPALD QLKESFPTSRSNQITLPTHISSPSAIEGDLQNPLLWLAECARQGWDSQTVSAPLGFPP SRSVLPSEDLRLLDQWGNKELFKALHDQQTFFQHGLYGTKRDVSEGLDPVQKGLIVEE EVLELFDSYFRYCHLQMPILDTSLHTPSYVKSRSAYLFTVILMVGAIALATLPNSSAK RCQTANQLHAHAEKLQSVLCAAAAKSTEIVQAEMLFIEWGLRKNRLVDDQRWARMGWA SRLTSSIGLQHAGSRSADELERDLLARNDFRLRVSLILFESRWEAITDRKAVSPDISE LSAVEVDRLRRCGPFDSEAILAADHALYLFEVDSKERLSRLSPHSAIGFEAEKSWIST FLNAWEDRWLSPHSDSLKRWHYRYISLRSRLVGLLRISRAQSSPDKWTSETRQELLRL AIQMLEGALCHERALHMIGRTSALVFASTIVLQLTEPDARSRDLILRVALRLAGDPNQ DLMTYEKHNGFQLINMLCLSSIARRTGSHISNAGEVSDLTGSSFDVSLASAIPPSTEE APSTSQPAVFSAATEPATFAWADVEQIFGTARIDLPYFPTSAFLHSNLGSIAGGSLSV DAIFRTDGSADQDHSFGNPTFEMDTAMGGYMEYVSSALGN L199_001771 MHDQVAIAPPEVDFEGDVTKDDGINVLVNDQPQDGMTDRINVIE HEESTFVGMSRMQALKIFWRPTLYCYMCAFSVVMNGYQDSLPGGLLANLGFIKQFGTI SDGAGGVALDAQHISVWSGIAYVAQFTGCLLGGVISDRFGRKTGMHALTLGYGLGVIV EMVAKNWKHWLAAKLFTGLGGGLAQGTILSYMNEIAPAQIRGYLLSTYGAAYAVGQLS IAIALQIVDTTDPEGYLKAVYSEWVVLSPWIIMSLLLPESPWHYARQGREDLTKKSLG RIYKGISGYDADREYAVMVMEIEREKEARHTNKQTSWKDILMGPNLKRTFAGVCALGM QNWVGSSVVFNYTTYFFQQAGIPQPFQANVIVFCILIGANIVSFYLVERAGRRTLILC GGAASAVLCVVIGVMGVIEQTTSSQSASLAVICIWVVVYALCFAGTGWLLAGEISTPR LRAKTSSFIAATNAIAGTLYNTTVPLMLGTDGAGARGWGLKTLFMFAILSAVGTVLCY FLVPETKGRTFNELDEMYEAGIPPRNMKKHITRIEEAGLKVHSA L199_001772 MPPRRKSDHLSSTQDTAHTRQTKRTKASSACDACRLRRSRCELI NQDGCHRCRVLGTRCSLRSTISTGQGDDVVSSDVGVTSPEQVQPTLLQVDQRAARIER MVSQLLHERSCPSRPGIDVDKPTVHQAVQDENWSGAAWQIMGGLGQLREYTLVDPVSV GLISEVDMKNRQDDCSSIPPHAFPLRPSLTASQHPLLRCAIVRLNPPFEIVNRIESML HRNLALLSFLRPSRDLLCALLILSYAVPVTAHFNRACDPYGVCIRLLDMALSLGLDER VEIFRQQDRSDLYKNWMDPLLWDVVLWYSSIHRAAIYSFFTSPSCSTRLHTPPLHTVL PLDLAQSLKTPLHHLALEASLLELFRPLSASVRSLRTAASYEDRYTTSIINAADELKV SLRIWRDRLQETSLPSTDYLIVLSLQMEMTIYMRTSTEAGPALPTPLGHGRRSPIISR LGKEYVRANIDHMRHVLERNVPLAKLPSWNFTMSFLPYATLESIKQLHESDVSFDLDL LDDYRRCLMESHPTASNILQGIAKMRTDPGWRSYGQAEEPDTPAKFSYDTEWWSMFDW LAPLDPGSGADLFPDGYWGVNFDENAST L199_001773 MAPTTAGAVAIYNPNGIAAIIAFLIFGGIAAGLWTRYFRFGKPR PKFALQTILGATFMALGFLCRFTRRNHINAWSWLFETLFILISPCAFLAQMYGLVPRL ATYLYADRHLVIQGRFIVILFVLVDITLVIAQLAGTALTITFGKLVSIGVTLTTAALW VQLGFLALYLFLSGIFHHRLKRDDPSWRSDRDANRIIWLIIISCVCLLIRSIYRVAEY TSGASSKLAQSEPTFYLLDSIPILVLVSLFLVIWPPICLDSPKTAQSDVFQMR L199_001774 MSHAPTIIDKYEGQDVLEVRLSGGDGMEASIVTFGASIRSLQVN APEGRRHVVLGFPSFDDQLANKKLHFGAVAGRVANRIAHGKFTLDGKPYQIALNENNR HVCHGGIKGFGLKNWSVKKQTSNSVTLGLESEDGDEGFPGKLIAEVTYTITDESTLQM TWSATTNQTTIVNLTSHAYYNLNGTGGENTGTHSILFESDSYTPVDDGLIPTGEIASV EETPFDFRQSRPIELPESFHYDHNFVLRDHTGRLRRAVELISSKRDLKMEIWTDQPAI QFFDGKPLNLAQPGHDNMKINSRSGIALEPQVHPDAINQPNFPNTVLKPGEVYKHYTE LRFGVL L199_001775 MFTKALIAAALAQTSLAAVQSITSGGQCLQVSGSPSDGAAVSLG DCNGANSQTTATGQQWVISSGNNAGVQLFGSNFCLDAGSNPAPGRAVVVATCAQSGSQ TWYLTGDNRIAITGGTQCLDLSGTAPQTQNCAPNIATQAWTANFLFDEPSSTSSAASG AATNSTSTATGVAGGAASSAASGASAAASGASGSLASGASSVASATSGAISGAASAAA SATSGAGSAASAGASGASSVAGQATSGAGSVAAQATSGAGSAAAAATSAAGSVAGDAT SAAGAATSAAASAVSGGSSAAFPRFAIAPVTAILGVAVGAILVL L199_001776 MLYTSTLLPVLAYLSLAEASPIDRRAAVQSTTTRASNDLQTYNL GLGAIYAPAVTKSGNYWYSSGQQYNFLTEALSGSCYKQMDQCQLKANQQGNSPFAVSD CNGWQIQACLNSGSGSTSSTSTAASSSSKASSSSSSYSAKSSSAAASSSSAKVPTTAS SSSVASSSTSTVKSSSSTSSSAAASSTSVAVAKTSTSVSVTTSSAVPTTAPSATAGNY QTYTGAAGGITAPAVTKSGNKFVQSGTTYDDIAYALQQSCYAQASACSSSSASFDKNV CWGTQVNECLSTASSAASSYSASAAAYSSSVASANFAAATMARTVSGDLQTFTGALGG IPAPAVTGTEHNWKVDGRSDVFWNLIDALSASCYIQQDKAGAAGAASNWAYEMSTNNI YNVQTPNCLSNAQNVAANWSPTSTSVAATSTIASSTSTSKASSAAPSSSSAMVSSSAS SSAPSSSVVSSSSSASKAVSSSAAAFSVAISSASPASSPSSVVASSAAPSSSGAASSA VSSSASAVVVPTVTVPSITETVIPSTSSSASVSGSATPIITPVAAPVGWSAASTSCIA EGSRGRALTGPTLASSDMTWQKCADFCDGQGYSIFGIEYSQECYCGATLSNGASLALP STNCNMKCGGNNAICGGPSALSLFVKDSALLAGLSSDLQSVKVTLPEGWVAASSVCVQ EGTTGRALAGASYYDDNMTIGKCLAYCQTQGMQWAGIEYSRECYCGNDLVNGASLDRI GTCDMTCPGQLGTTCGGPSLLSLYQDSSLLYSLTTIGDWEKQGCIQEVSGRALNQASL VTDDMTLEKCTSFCSSSGFTFAGLEYGSECYCGDSLANGATLDAFSTQCNMACSGNKG EICGGPNGITLYSTVKNALVGA L199_001777 MSAFVARPTKVQSLLTSYGVEDINTVSSFLASPSHAFDCSDPIH TVYVLIGSAILPTAEAVFDHVSESAYPITLVLAGGIGHSTSLLYEAVSRHPRYSPIAS RVQQLPEAQVFKTLMVEFWPDLADKLNNGTLTLLIDDRSTNCGANVIEAKRELDYHGV KPKRLFIIQDPTMNRRTYATFEKVFNGDEVELLPWSFFPRLILSDDGFVDWELQGKKM NKHELWEPARFVSLILGEIPRIRDDAVGYGPNGAGYIAHVDIPEEVEQAWRRLNNALE SASTCECTCLHI L199_001778 MDGHTPAPQTSQDTAPATSSEIDALKSRMEQLENHIVRLVDLVD TNGLSMKSSPTSHTTYPTPFSNGSPTASQSKSTALDRTFLALDDLSRGYTESPSGTLR NESAARSDISQIAWPSVFLSNSFPQTSARSTQTLFDIASAIPKQETVNILVDYHLKND GAFWHFVQDNVFRVELSQFSQVRFTPSLVLVDPAWLALLVAMLRVSLQSLLHENDLVT AALIGDRGTIQQMDGMLVDAFDTATHASRIFHKPQVRILQALLILESPQQQGRFLNSR MDHGIIWHDLAVSIPDQQLPSDPAFPPHSPLYTREWSSRLAHNLLFVDMIAEAIETDR RDGASSHSIRLAAGEVITPRPRNFRDEDLWSPGVVTQRTEPHPSFVLTEVSWQIHAFQ VAHYWKIISELIRDPSAMTPELVKSIDSQIRQGDYELLSLRASHQLSPIQDLLLESFH GSYQQRVLRLHRHYFMRSYSDQTYDFSQTAALTAARAIIKGHKDVFEKKNMYSLRFAN LVFFSHHMSAAVLLFIHGCLNASARQGMESELKRSLDLFKQAQPPNGTDDQSFWHLSV GRGRLFIEAMLNTLSSNPPTDLGSIENYMMELNRREVERPAVNPASPSPEDLQQVSLP ALFGLLGGTTQGDIQDNDMLQNPAQLDWDSMFMGF L199_001779 MNDRSPKHQEKTSPSADLECDLEKHKEQTPTQPVFPEGGRDSWL TVLGAWFLVFGTFGTANTFGVFQSYYVTTKYIGRDSSDLAWVGSLQMFLLFMMGGVSG PLFDKGYFYPLVGSGAAIHIFSFYMVSLCKRFWQTFLAQGVLAGIGMGMIFVPALGVV SQYFKAKRGLASGIVVTGSSAGGVVLPIMLNKLIEKHDFARGVQYTGILLAGCVVVGI ALIRPFRGVRGHKVVDGPKPDPKSFFKEPGYVALCIGVFFVAWGVFFPIIFLQYFAEL NHTSESLTFYMVAILNGASVVGRTLPNLIADKLGALNLMTVMSFLTSGICFAFFGAAR STAGLVVVAILYGAFSGAFVSLLAPAVFSMAKSQQEVGTRIGISMMALGVAALTGSPL GAAILDSRGYGASIAWSGSMGAAGTALFAVATYFTARSKGHWKV L199_001780 MSTQNLNTGPAKHSGHKEATEDHTVAAKLESQAEGGDYEALLKS RILDNSQVSRAALYDESDFLCVASSPKQFNVEPDDVRKILDGLLTFARQGDPTAASGD GQAPQNLKLGSEGSASVKTGNADYIVAELSGKLIIASRTAKLVLIVEGADGANQAPLE NTVQSFTEGLQKLTDRVVNLNTKLSD L199_001781 MVSFSPHTINEAVAKTFIGRWFRLEGSGHPLARPGSKFLTELRA GTVTAAAMLYIISVNASILSDSGGPCVCEGTADDPICAANTEYALCKNELSRDYVTAT SAISLISTFLMGLFANMPLGLAPGLGVNAYFAYSQVGFNGTGPISYSEALAAVFLEGI IFFALTILGLRQWVVRLIPRSITTAIGCGIGLFLTIIGLSSSGLNVITGGISTPLQLG GCKSEFADATTGFCDSHVLQDPRMWLGIFVGGVLTAFLILYRVKGALLWPILLVSIIS WPRPTSVTAFPHTEVGDSNFDFFKNVVAARGFKLLGPSNVDWKAYSNGKVWVALISFL YVDLLDTTGTMVAMSKQAGLYDARDGDFEGSSIAFLVDSICIAFSGLFFGTSPCTPFV ESASGISEGGKTGLTAITTAFWFFISIFFAPILSNIPAWATGSVLIVVGAMMMENAAK INWDYMGDAIPAFVVIAVIPFTYNVAYGIIAGLILFILLHNLPKLAGMVSPRLLPPGW HDLKEPYNSTAFLKQPNGKGRVSFLALLPPWLRKLVSGERRFWAYTDEEIQRILEGRA MSKESDNAAAKMRQEERDEMRKRMGEEVIHPAEDHKSDDHTDDVNALEQGMMASSTYE MERK L199_001782 MLFSPSSRNRLILLSTIIAASILLLYSQAEQASYVYGSLSHYVT SLSTPCLDSIQHQRQILLSSYADSLSGVTHVALLDAPWHHNSGDSAIWLGEVALLEAL GIEIRYVCQHDDYSVPELEAALEDIPHSQTAILLHGGGNFGDIWGGHQKLRNQILTDL PNRKIRHFPQTFEFNLDKPSDLLKESLKAYQNHPDVEIVARDSESLRLFRETFAGVQV RLTPDIALFIGFNQDSAFTPPIMRAPGSDMAILDLQGSFYTSFEQSSALQQVSSPFGW PIMSLQSTAQYDVVVVARGDKEGGQNRQDADVWSGLSDYSVAIRDWGDKWGNIKRPVK ANFGEIDNGAGHKTTFMDYWNQAALLRVQWAMSMISNGRIIISDRLHTDIMAILLGIG HVVIETGSLRKVERVSDTWLTSCIIPEDTPGQPRLTDANTIFVHSENEAIRAAQRLLG WIEGGADWSRVHDD L199_001783 MFRVFLPLRAIHSRLLAPTTAYLDSRGLYSSLSRSPIEKPNDLG AEDSDNGPSRLVVFLTVSFHITIALSVTLLNKWALNNVPLPQVLLAFQTGTCVLLSLV VRIFSPESVGPLLMDIGELKRLWPYLFMRTVAVGMKVWCLNLVPASFYQVSRGLLLPV TVFLSYIFLGSKISSSILKSILVICAGFVVGSIAKYGGTSSLESYSNVTQVASALLDL GFILGIASTFTTAAETIVVKVHAPKLSILRAVYTTSLVGFITFASLSFFSGGFAELST LLSRTQSNSTTIFSSIGISSVAYYLVSIAAVLQITVTTPVTHTISTAVRGVLQSLLAV VLLPNEQLSTWQVISISFILLGSIRYTWIKEKEKREKEVQTAKTDPEKGSGVV L199_001784 MVVTLSSPKWIVRLAKVTLSLLTVFVVFDFFQPVWVTEATGIEA KTLNSYRLRRYLKLDAKRIAREENLEQHVFQGKMRLVSSSQSAHAALQQTPVAPVEGS PLVSAIPLEFSDPAIVHDSPTSQAAETSAPLVLTTKPSSSQSPFKPYLHTNPYKGTMY HMSTSIHPTKDLDKSHYQLSSLWFVEQDGYDDWMGLHVQARLPPSVSHLRFACIYTTA DGNQTEEVEAMVTRQARDEYLLVECQMPSWTQGQMDTSASESARTSSMNLIRNGSVEL RSWFDLGRCSIEDTASASAHLAWRESACLEQSDNHDTLIKQVMGPVHTITTSDWSAAA PTNQLSICVSPVRLQPSDEEEGMVPLKNLVEWRVWHMYQGVDSAHWYSRDPKFHSWIN QLNHLLNLQDTYLDAPVLSNQYASIAKDYADQAVYAADCLMRYGFQDKFQAYIDLDEF ITIRQDPSRNATIHRLDSLDDNIGSIAADHTYYGGELLDLSLPYESDTFPPNGYTRWD TLEKHDGFRRQKSIHRTSATKMLWVHSSAGLGGYYMRKDDTISSEDKDGSLEIVHNRN NKPDKLTFDIPVDHETIDSWKDTWMDLARILDSSDLEPLHVFRL L199_001785 MAPRSSKKALTLCLFQSLAGVLFGWSNSEGSGLFNMDSYQRRFG VCDASGVCTLGTTRQSAITGLLSVGATIGAVGSGSVADRFGLRLTCLIFIFIYLIGAA IETSAMNTYGQICVARLLTGLGVGATSGLVPVFQAEAAPPRFRGLVTGSFQLCVTLGI WGVAMTNWGMSSYAGDVSWRVPVGLQMAWAVLLLVGFVLSPESPRFLAKKGKWDHCRK NLANLRGLPMDHPDIETEMEEVRAATIKDQEQGDASYLECFSAKDRILWRTMIGIFVQ IGQQITGINFFFSYGVQFAQTAGIDNSYIFQIILASVNVLFSFPGILAVDRAGRRPVL LIGGALMFTGQIVVGSVSKAYPDDKIAGDVLIAFTCLFVASFASSWGPVAWVVCGETF PIRLSSLCVTLGTGANWLFNLIIAFAAPQIQAIIGTGITFVWAGCLALSFTFAYFCIP ETKGMSIEEVDALYLSHTPAWKSGSFKESQASSQKLASEKAYARSTHREGQEGKSSQQ TSARTSAEGAMV L199_001786 MTFPNLLKAYTSDCAHRAVGEIREAYEYLELVQPADSTAPGYFN FIRNYAVSPINAPILMYDEFNGRDQTELTPREVVDKLMEVWMDEKGIFSQPFKKFRIQ APVIRKKLVDTIDKVSGVGQKLPQHLSVTLVNSDDPVEGHEQQGTALKDSNRDGTGDS GQADFEVQDETDVIPTTPVLDDLKMVGILCEHFEGLESHIHQDSDPYPKYSLHDLLCH EPIDWSKKQSKLKRLLLSIDVSENYAPFYIPRSTRSHSSSSTSSDPSSPSPRSHSPLN TTPQPSLHLDSLTLLLYPPIPSETPPEWTFTQYLPSMSFFAQAILHQFGTRPNCEYTI IVKQWAPRRDELLSRSLTDLLRKEIKEIEHRESARGRNYTDW L199_001787 MSKHVTRPLRAIGSSSRIIRRSASTVAESSTSSSSSSDLSPTSS SSSSSTPSEPSSNKPSGLPRQAFSIPYSPVPRLPNFPNTYNSPIHKHYNHPSPLFSPP HPSKYPTTLEDQNALRKEQKLSAISGLSREELRGLNRFVVRSRKVQHMTKKGKMGVNQ AYVVVGSPERGLVGLGRGRGHNGAAAADAAFHKAVLSMDYVNRYESRTLWGEGKDLTG KWGAAKVHLRARPPGFGLMVPPMIHRLFTACGIKDASAMITGSRNRPDVLKATIQILH GGGNPSGFGTGLFGKKGPRENKGQGMRSKEEIERERGRYGVDVGRRT L199_001788 MTSRTPIIRRRPLSTKDPNIEHGSSPRPVPTTPRQTDRSPPHRA ALLSTLRYEQTLEATPSPLRELERGWKEVRRNRLGLPQPMDGDETAIQLRHTHYEQSP DPENIDEEHQKELKGDRRRQIDSYNGFKRDRPERNKNQINVRFVTSSSSALLTPPHTP PESPRSPHANVSTMINRNASKYPPPTSMTVEPKLSTAKTAFVTSPLVPDTIHREQPFT RDTIQPILSYPLPPTSHPVPIQKNQKDTIYIPSQPSSYEMILYLVGGRIVKVKKGGSL VEFTTGDNKGKKRETILKISHAEHWVQGDRRDWENLRGVVEGFKRITPRIKIFHPLGH LSITCSTPPDLILTFRMQSALTPPLPRNDKAETSALSSRTESTNSASRARLVYSRSTR ELRLDTACTDVLCKEEIRTRRIIRISRQVDSVALKEQNTRDQVGLESISQLFGLDTEL LDWREEEKEGLRRLWVLRSEWVRWGG L199_001789 MKYLASYLLLQLGGNASPSAADVKAHLETVGIEAEQERLDKLIS ELDGKDINELIAEGSSKLASVPSGGAAPSAAAGGASAAAAGGDAAAPAEEKKEEAKEE SDDDMGFGLFD L199_001790 MSIYPPDQFAGYYHGIPSRPKLIARSDPSEWTQPRSKIDSGYPR KKIIRPVDSSHPICSIWKSALGPQLLKKWIGIPPESISIARGEELVTRCETLLRTSGL VDVQVEIRESQVISLSGAGPGREPKPNPKLILKEPPIYTCDDVTYSIISKLSTAIGLG ITTPISRKSDSYGTTSFHLENTKEEGNFYALTARHVVSYFEFDDTDVPVPIPVVLCNH LFEECMEQIEYLIRKNQEEVDKARVDMDSIDVGKNESLIRRAKYVLDYNPLDIQDLEE LRRELVDQWSQESQRRIGIVRYHPPINYDHKAEVDVDLDVDHGRGLNDWGDDWALIKL DRDKLPCSTTLTNIIDLNDRSEFKMASWSTEQPLLKIDRAGMLRLKNILTEDDMEKSS LAVIMRGAKNGIRLGQSMSVFSMVRYPYPSKKWIKQWPITTMNEWEFQFRKSPTFADQ GDSGAGVVSRDGKFGGIVVGGTGRATRDGSRAIIDITCVMPMFHIMDRITELGLGDLQ LL L199_001791 MEELAERIIYSDRYSDDRFEYRHVILPKAMLKYIPKSYFSPDDS GLLRILEENEWRGIGITQSLGWEHFEVHAPEPHILLFRRPLPAKR L199_001792 MQKSPPPFIWACPEEKNILDWHFIIRGPPDTPYEGGEYHGLIWF PSDYPFKPPDVKMFTPSGRFETGHKICMSMTSYHPSTYVTSLPDRWNAAWSVATILTG LLSFMLSDEITAGAVKTSESDKKVLAKQSHAFNVANKKFREIFPDYAKPEMKNLPDMG KGNPSSASTSKVVTPSTTTPSSTSISTTSTSTDNSSSNTPAPDVNPSTNTIGAKDVKP IINRPPQPHGAQNWMIIPSWRWVIAVVLLAVVGRLSSFVGL L199_001793 MGNFTSKSSSPPATMKSDFLKVHGTKITLRGEEVILKGTAIGGW MNMENFITGYTGHEHQVRAALKDVLGEEKYEYFFDKFLEYFFGEEDAKFFASLGLNCI RVPINYHHFEDDMNPRVFKKEGLKHLDRVIDVCAKYGIYTIIDLHSAPGGQNFDWHSD NPTHKAAFYDHKDFQDRTIIIWEHLARHYKHNTWVAGYNPLNEPTDEKHTRLIDFYER VEKAIRNIDENHILFLDTFAADFSHFGKPLPNSVYTCHDYSNYGFPSAPELYTGTKEQ IQLHKDAYERKTEYMRKHKGPVWVGEFGPVYQNAHDGFPNWEKINDSRFDVLNLQLDI YDKARASWSIWVYKDVGFQGMVYAGEDTPYVKLIWEFLQKQKHLAANKWGADDSQVKD LFKPVVEWLSTNAPTIKTRYPSRGGVNDSWNVAGHLSRLVRNILLSEEMVKEYAELFR GKSKEELDELAKSFSFDNCTQRTRLNEILKKDSKRGEKGFSEKV L199_001794 MTSTPYIPWNVWRKVRDLILCVSVGLIALELGGWDIRTNVGIKS TINPYNLPGFLQYSKWNPHENRWIPFSSTPPPLLIASLMRSSWAVKPPSGESDLKDPK GWWEKDVAPDGNDWEDVSWAKGKTVLVLGDSVSRFHVKYLCEMAGEPLHEMPWGHPFS PPKIEGEEAKPEEERLPETLEEIERSKSGSHAEKNLRRRDFDAEELSDIQPEPIAEQS NNGTVYSYGKRDGSRHVGNEGGYMGHYCHIPGIDLMVIQVFNYGLDEKNFWTLRSDYI PPYTIESRLTLLAQSYIRAAGRSSTAPELTYVGSALWDTTRWMREDAADGKDISEGLT RERLNWYRIRIRQVLMHARQVFPDTRLKWATHHYPLRAMSGWFFEGNQSQKPQRPQQK LNRLSPLHEAAASALEDLGDATSEQRSVLKNVELGLWGRKMMGMEDHQKDDLHQNLLP GGYLWADMMLYDLREAVTKKWWKQ L199_001795 MSQSILVTGATGKQGGALIKHLISQPQPDRYTVLAVTRNINSSS ARRLLSSSPFIKLVQGDLDSPSDLFKSALKVSPNNKIWGVFSVQIVSLRESDTATSTE VKQGTSLIDEALKHEVEHFVYSSVDRGGEEKSWNNPTPVPHFKTKHLIEQHLKKSTEG TKMGWTILRPVIFMENLVPGFGAKVFLTALRDTMGTKTMGWISTYDIGFFAAQAFLQP ERYNRKALSLASDEFNWEEMNEKWKLSTGKRVPTTFNFLGSALKVGVKEMGVMLDWFR DEGYKADGDELKKVNPNMLDLKTWLETKSDFVKR L199_001796 MTALRTILVGGATGRQGSALIDALISTPDTHSQFVLLALTRDAS SARAQRLLNKSPKMIKLVQGDLNNPKEVFQRARESSPDGKVWGVFSMQDKDPKVYPNY LDSPEVKQSFGLIEESIKNGVQYFVYTSGDRGGNEKSWENETNVPHFRTKYHIERYLL NQMKETNSTMKWTIFRPTMFYENLEPGFDIKIFMTSYRDTLKNKKCQWISTIDIGIFV SKAFLKPEGYHGKAISLAAEEFTFEELDEKFKKVTGKGVPVTFGFMGKTLGLAGKHYQ VMLDWFRDEGYQVDIEACKRIHPGMMSMEEWLKEKSAYPKKE L199_001797 MAQIIPPITSLPIDSSAAPSVEDIQDRLPSICVDYLSHDWSEED VWASWRNMTRHKHEIANGVRLENASWRTWQKQRNKLKTISPETLNWLKDSDVTWLYGP LHTANVEPVRPLKVATTDERLGIDRPNPNPPVTTKPILKHRTLSEMLTGPRPSSPILE ATSRDEINSDSDLDRPMLLQSKSDTNVMRARTQPVIRERSPPRDFNLDVGTATALANN QDANNKGATINSPGSTTDGQNTAGGKKHISFNTFVEQVIALDEPREQQNNNLDSSDDE MLEMKPSSLSSSSRSSRPSLSRNSSSGSNSDHLTIAKIAPTVLKTLNLPGSSGAMIYA PPPEYQSPSLSHHSQQSFDFPSPQVDRGTGKWADHDEDEDDYGSVGFDYFGGPNLARD DDRKSSAQPIPTHVGASPNKQAQAVPPTVSQPPPQPKWRQQQQQQQQQSQSPSVSVEP SSVSSNSSSSSSLNVMSPQPGRSILKVRSPQTQTAAIPEPSSPPTAYFNYTPSAATGI GGMRSSSGAGGPYDYSTPNIGPTGSPQTSPTASVNVGEEQRGRGRTPSRDRGLNDRST SRGTSTSSTGSFGSASSARSPTESSASGSQQVLPRKSGISASSPQLDKVQEGVSWEPP SASGSNEKEKSKDQANYVPDRSNTPTPHSSPQISLRPLKDTSPASLPHSNSTSSSSSK PTSTTSSITANVHTNDLPKADPPTIVSPTSTSKTSFSHNQPSASATTATQASTNAGKK ATIAHVGTNAQPTLSHQPLSGAGAGPAGVGVGNDDDDGASIMGRAANIASTAKDLLGA LWYGNEGGVNTRQQHGAGGGSGGGSGRAGGHRRGASLG L199_001798 MSNNNNNNTNLLSILTSLFKLCCGSTSEPSPPQQQQQQQGYQAY PGQYQQQQQAYPPQQQPSWANVAGGQGQQGYQQYHPNQQQQNYQHQQQQYPPIQQQQQ HQQQQWQANGHGLSKPHSPPGGVVGPHHPAQNQDQVNATNQRYTDLRDRARKEGDEAH RCFAESQAAYQSGDGARAHELSVQGKSHQRRQDELDDEASAWIFNENNKSSPSDTIDL HGLYVKEAIERVESAITTSQRNGSDELRVIVGKGIHSQGGKAKIKPAVEGLMVKYNLT AHVDPSNAGVLIVDLQGRTGGQRSRDAGGLVDELDKDDEGCKIM L199_001799 MAANSQGIQTLLEAEKEAAKVVQKARQYRVQKLKDARSEAAKEI EAYRAQKEEEFKKFEKDHTSQTSSSQSSIDSSTTTQLADLDKAVEKNKAEVIKKIVDR VLQSDPKLHANLKKIEA L199_001800 MSLYSEDDLGGYFLPDDPAPSSSSTSTSGDPLHTLTSALALPSE STAQQEGLNDAARRFEENPSKLPELVPQLLGLISEGDDTMLRFWTLDMIALAVGRSGL KLDVKLTVAQQCLEALCKLLNSNSIATIKAVIPIFSTIYPLLFRLLATSRPQPEIVEM FNTSKMRILTFALDPNAQPNNIGIRAVSWKFLQKVVLAGTRAAGADPRLQHRATNPND VNFTMIQPDCALNVNEVEEEGSALLTQLVTHLYSLSDPALLHPIINTLPILCKSRPLI ADALISSMTLWTPSALGAAGRQPMEIRAVEKTMRLVMSHLLRHPPFANFAARLNEALV RQKQRMESAFATEVSMRRERRKAKTPGKHAMEVNENEAESSEQAQKRAKLQEELRVQP GSGSGKGPEFDVTNFPVENVIEAVMQGLDVVPLELLNHAFDNARKAIVGGTSDSQPLL ASVLGVGIAKVEPKEEELDEVLNPLDMDLDDDDLLLEGDDELPAEEEDIPLTFTSFTL PPPEPLDPEDKTYVLSTALQRIWTTGADLSTLPDAQEDIETDAIKLAVKPKEMWMLLL ARLATRVNGENDKERKKAVADFVVEDFVNRSKFASVWLNEEWYNSKIHKSPSTTYTDN LLSILTAYLPKIDAKDKTLFAFINDLPEIPSQLTQLLEDLCQDTDRNLVGFLALRDII ETRPPARQLALDTLLGLCTHPERKVRVPAIITTVRKWGPDSPMMPKLVEYALGVLWRL KNGKKSEDEGEAENGNGNENGGEGEDGVTIKIEDEPKPKDEDITMAEVGMDIPKPITS KFLPSSPSEITAETVQQHVELCFALTKRKQELLDSIFTLYPLLPSSEIQDALEAQLIP LIQSLGPTEKLLDILKSYKKGTEGLSMRIIGLLTQNGSSPALVGVIKSLLSEKDVDGK FVASVVGDLDKAEIEKQLPRIVSLLAYPDDKDLVRTAFASMLGKMTPADLMVAIHQDE SSQLRYTIEAIGICFSMTTVFRSDILANSMSRIADLPTQLPVVFLRTIIQVVTTYKSL IPFVANHVLPKLISKKIWENKPIWDGFIRLVKLISPASFGSLLQLPKEQLKEVIQKQP SIKNGLKTFVMNKPGGNKQVLAEIFGEETPAQE L199_001801 MVQVKINGTVNGHKAVNGVNGVNGHAKDDYSSILTRPRPQETYD LTSIEPDSFYGKLRDLYAEKVLKTQLEQLKKQGSYDAFKLKWHPAYEVRRLYGGKART DGIPPSLFWESDVGKWVEAACYFLSCPDAKRFTHYEEFDGAIQELVDMIEKAQQPDGY LNIYFTVVDKEGRFKNLRDMHEMYNAGHLLEAALAHYRYTGSRQFIDVMIKNVDCFMH HFGPADNQLHGYPGHPELELAMLRLYNLTQDHKHLQFAKYLLEARGVKRDDQGGDTYF VWEAKHRKDEIVAPTMDTIEDVWYHQAHKPLHDQEDVLGHSVRAFYLLTAAADLGGSF LNDAKRLWSDAVDKKMYVTGGFGTEPRIEGFSRISHHLPQSTGEGGCYAETCASIAVM MTSERILSHELNGKVRDVLELCLLNNTLGGGSLKGDQFSYANKLASWGNEDVIRHDWF EVCCCPGNVSRQLGMLGGYTWSVDIDEQQRDINLDIYILLSARRKIPLPNGQSATVSM RSEMPWEGKTEWEFNAPEGWNWNVRLPRPEYAENVKVSETVEGRESGFLNVSLPNSST LTQSFDMPIRLLAPHIATGQDTLTVARGPIVYTAETYDNPEIEGDYKHFEGVGIASNT TFEEIQETIHGIPVITLQANQPAYALNEVNQEQAYRVVSGENPARSWTRLSKGLKFVP WFARGNRGGVGHLRTGFLRADEVLTQT L199_001802 MESNRQAPDTPRPIKRACDQCHTRKVKCNGLEPCQTCKKSQLPC SFLNPVMPKGPVPRAGRRPRLPGTNTHLRVSRSSSPVRSSRGDSLQTWMSDTWTTFGT TLQSDNSVPWTSSSWQPAETQSASAVIDELWEDICGESSMITGVGNDPGQSSNDVQQK SISPIDIQTSGTFDSTGCSTSTCIPIPAGAAPVADTSSLSDSSDLLSMLTQAALPPTT LDAPQQFYNNFSILGSTPLPPTSVPWSMPFCPPEDVSPILTLTLETLVKPQLEIFFER VYPMIPIFPRSYIMGRLLDIESHQNRTFVALILSMVSLSLIHPLRPEEIPHRPTRAKQ SKTLMDEVIRLRSKWDWASQASVEGATTSFMLFGTMFELGHAEGSRLRLKEAISIGEL LRLDEVRTYTSVEPDEARRRMRLYWVLGITERAYALQRCGSITFHGSLHTPAFKSLDP SQDLASRTLLHLAKLFSFVDSDIVSCWNGKCDPTICKTLTKERVQSILRSLNGTPAQV FGSEIAFTGLSEVQQADLLITWQWLRNRVWRLAAGHGLTGDELGEELGVGYVVDVAST TVLICRRLSSNALEAHGCGFVEKLYDIASIVTELMQTSTKLQSRLVDVAKTDQWTDLL QTLYTFVASHRSGITFVQPMAQALSVAHGVKLLCAPT L199_001803 MESEKVVTTHAALSNIDNETLELIQQAQEADAADQQLTIKEALS RYKKAVFWSMFLSLSLVMEGYDVVIITSFYGQTQFKDRFGDIQSDGSKIITASWQSIL SNVALLGQLTGLVVNMWVQDRFGARHTMMFFMAWMAAAIFIPVFASSLPVLAAGELLC GISWGVFQTLSTSYASEVVPTVLRPYVTAFVCMCWGGGILLSSGVVRAVVNVQGDWGW RLPFLLQWIWPLPLFIGAYLAPESPWNAVRRDKPDVARKALRRLRDPASTTDRQVEAT LAYITHITNLEKAETMGSSILECFRGINLRRTEINCVVWAAQILCGNAILGYSVTFLQ RAGFDEIQSFNLNISLSACYIVGGILSWFLMTRLGRATLYMGGLAFMFVCLVAIGALG FRDDKASNLAIGILLVVSTLCNMTTVGPVCYPIVAETPSGRLRYKTVTIGRFVYNLTG IFNNSVTPRMIQATAWNWGAKCGLFYAGTNLLCLIWCWFRLPETKDRSFGEIDLLFEN RVPARKFKYTKADQFAHTAEELEGVHLDDTKVEIQHQERV L199_001804 MLVPILTLLIHLTCVLGWTIPQHPFQASSKPQSRILSSELIKRI ENLRLKWAVKGISIGLAASPNTSDFATTEDNCALEALTFGEADRYESKVEGDTLFAIA SNSKLFHALSVGLLVDNATLLPDGGRLQWSTKVKDILPEWKLMDEYASDHVDLVDLAS MRSGLPRHDIWYGVVPPVDVVSNMRNLRPSTELRQHWQYNNNHYVTLGLIIERLSGLT LPEYVKLHIFDPVGLTSATYNATQARESGHRSDGFSRQAVNYTACKASIEQGSVSVDR GCLGEAGSIDWWIDGDGLWQAGPGGVIMSANDMAKWVKELLQPSVLPPSLIEAVTTGY TVMDGIPTYPQEGIKAYGLGQWMYEYRGHRVHGHTGSVPGQMSRMVRLPDLGMGFMIA INDDVFGLFLHEAIANLILDEFLQVNDPIDWETYVANQSIASLPSYTDVPENPRLFNT TIEGSYYDSGYGNLNIVQVQSLEPLIEDIIVHTPLNVTGPIYLAQLNSLWISHMVFTH FDGPLFNWTLVYTADRWDENDQKAGKLGKIEGTGTAIFNEDGFGMFGDYWGKGSTVPG SVVDEGKVKENAEVWYRRL L199_001805 MRTISSCEVNKGELSKLPGPSSTTSTNDQNPYDSTVRMPRTIRG LSRSSFMTHSSIVTPYSVAEHVWSLKDIRKLILSYCAKDDLSRVICVSKESFVDTMRM LYSELLYEDYRHVERACHEPYRLRQYTHAIRHVDLSNTEGGAIGLNIRLFNRLPRLRS ICDGFDRLVLHDDNTSHNICAGFQTTKATLYETFDCGPDSTGEGLL L199_001806 MKFSIHCQYLLDNIRKMKDIKSLVMPKELMITLNESKSGPSLMT LVEEMSTLVEGVTMTTQSLTCTTIHGFFSNPIQWPETTKLKRLVVQLRAEEPDIPTNS PDTKLENFASIKDGGLKYLCIIFNAGGSSYSIRSLSKLIKTLPAFSQIAAGMLKVGGT GCEYALKVTGTLYGESKEQEMGEMLTTLLRREMMEIWKQQPQPVGWRRLTREE L199_001807 MRRGNVRHSIFQEMNIHRIANCRNVDFTNDTDDNVTLATIIENA SFSVKKLIIGSSYLYSRSQTPPEDLGMNLVNFLKSFLQLDLRFTSTFRELVRLQHLTL RMGCYPSSVRDLSDLDLKIEAWENPFSFQKLKSLRIDLFLWHDNTLVDQPMLTNQQSI DIMANAMLKIGGFGCKYELSWDSPYFGTPTEGLAELKSFCREVGDEIKRMINDRKLEL GKQQPEASVGWKLVSPEEWI L199_001808 MISSAFPRLVSRAGARVQPFAKARFSSSSSSNPSTPFFASKTAV ASSTLLAAGSLAWYNHLYGAGFGSLLPEASANSAAENGMHPTTLPFEHYGPFETFNHA SIRRGYQVYREVCSACHSLDRIAWRNLVGVSHTVDEAKAMAEEVEYTDGPNDEGEMFQ RPGKLADYMPAPYPNEEAARAGNGGGLPPDLSLIVKARHGGADYIYSLLTGYCDPPAG VKLAEGMNYNPYFPGGGIAMARVLFDGLVEYEDGTPATTSQMAKDVTVFLNWAAEPEH DQRKKMGLQALIILSTLTAISVYVKRFKWTYLKNRKIVYDPPKPARHGPL L199_001809 MRLLPVYYTAITLPPILLYSTFVSAQTSLHDLPAIKDFLDCLGS SKEQYKFNLDEGEMLVLPADGRHLNATQQDNTLVNCIAKYNDRINVVMYGSAYEDSTV TGSFAADQLVERLERHGISTIPRRRPRGGFALNGHKEL L199_001810 MRFSILLSTIFIGFLSNTAYVSGQTIHNSTAVTGFLNCLGDAVS NYKFNIDEGEILVLPADNRSPNCTTRDNLLLNCIMRYHDYLNIVLYGSAYSDDTVSSS FVATELNDRLSSHDISTVPIRRRSTNNFLKGRSGSRSKRQAVNGYYESYPATDDSCAD NDQQSYFAGDCPSFPSKYTSIEFYNPEPQAVLTAYVWPHHDCSKGNEKGYVASPFGYT SCPKRDTYSWKGSFTNAASPWPCIGSLC L199_001811 MVTQSAASTSHPSELAQPINKTAHEFDKTALDALLARRFFFAPA FEIYGGVAGLYDYGPTGSALQANVLDAWRKHYIIEEDMLELDTTIMTLSEVLKTSGHV DKFADWMVKDVQTGEIFRADHLVEAVIEARLKGDKEARGVKDEPVVEEDDKKKKKKKN VKSVAIKLDDEVVAEYESLLAQIDNYTGPELGELVRKHNIRNPATGNELSEPVEFNLM FESNIGPTGQIKGYLRPETAQGHFVNFARLLEFNNGKVPFASAQIGRSFRNEIAPRQG LLRVREFTMAEIEHYVDPLDKRHARFNEAKDVVLTLLPKDIQSEGKTDTTQMTVGDAV AKKIVDNETLGYFLGRTQLFLQKIGIDPKRMRCRQHMANEMAHYAADCWDFEIQSSYG WIECVGCADRSAYDLTVHSVRTKQPLRVQQKLDQPRKVEKLDVQFDAKKFGMTFKKDA TMIKDTLLGLEKDKLQCIKDELVNGKSSVKCADGKSYDITSDLVKIEPITVTEHMREF TPNVIEPSFGIGRILYSLLEHSYWAREQDKARGVLSLPSVVAPIKCLIVTISQDAELR AKIHEISRKMRRIGIASRVDDSSASIGKKYARNDELGTPFGCTVDFATIQKGTITLRE RDSTNQLIGQVDDVIAVVDQLVKGTIDWKGATEQLESYSGVQDVEE L199_001812 MKDLRPVEKQWLFPHSALNNTPSLDDGIDLQTELKRRKVIIEYM RSLALRANAIVNGDDPECTRLRGSMIVGSTLVHRFYMRRSLRDFSEKLIAPTILFLAT KIEEEPLKLRHIVNSCIAKFEPQGARGWYPDNNPHEQPPREYRTWERSILATEEVLLE ALCFDMAVDQPYPTLLRSVSGLDEIISKMLSNGIDSNGIVIGTKSKEKLSEGRITEIG WTVTSESSLSPLPILYPVPIISFVIFTFIISIIDQIPPSHGLWNASESSERFGLDLGR KGNDVLGHDLDMVKACLDDLIRYINQGLILDDLKKYIVEEPEQQTEEPYPKRFILDVA PNKENLGTNGVLHGKIEIDDDVNMTTNEIAQNTQSTAITDVIVNNGIST L199_001813 MTTTTLSQALSAARRSYASKNPNSLKAYQQATESLPGGGTRSSI FIHPFPLFIERGEGSEIIDVDGHKYLDFVSDFTSGIYGKTNPVLKKAIIEGLDNGLQL GAHTLPESSLSSVLCSRFPSMDLIRFANSGTEANILAISTGLHYTGRKKVVVFEGGYH GSVLSHFGEDGGDLKVPYDFVVCPYNDITGTNSIIRKYAEEIGVIIVEPMLGAGGCIP GNPEFLQSLRDLSTEIGAVLVFDEVQTARLSIGGRQKILGVTPDMTTLGKFFGGGFAF GAFGGKKEIMSLFDARQPNSISHGGTFNNSPLTMIAGLTAVQHILTEENLSKLNELGH SLRENLNKVFDNEELPFEMTGLGSINQLHYTSPSQKREGLDLFFFHLLDRGYWIAQRG LVSLNFAMTESEVKGFKDAVIEAAREVKSST L199_001814 MSTTAEYVAKFPRRKKLLEMVEKYWLEEARRPPGSLDSSLKKHT TLLNKLKSSLLVGPAETLIKEIDGLTLTKYLEEIVAAVAEGSTKKGDSEIAVDIIVYL HTRLTPDFLPLLLQPLLSVLSSPVNSTNAAPANKDAEKDKEKEDKERLGKQRPVLRIV AELAMIGAWAESPVKGASEVGKILKGFMIGDTQYTNLPLLTTFLKYFVRAYLGPNPSS TKDGSANGDAIQKEELPEGVTELIPVEVQKKMRELFENYFNSASKTLVKGQIRLLEQD RRNHEAYITSGEIFEDRQQAYEKMTKAVERLTTGVNTLAELLGLQPPVLPTAASLAKS GLQIVESASSFTVREDGPVAGGIWDDEEERRFYEDLVDLREVVPSALLGIKESKNTND TATSEEGKQEDEEKRKADEADLQRQLEQMDSQPDKNESATTTDLQAQELSRTVSASTS GEPMEQDPPIVIEDDEQPTIQDTSVTEDDGLQSGPAARLTALFAALPEANNREVLDKL AVEFAFLNSKAARKRLIKFIGEVPKQRTDLLPHYARFVATLDRYMPDIGTGVLQILDE EMRYLQRKRLVRELDSLRLKNVRFYGELAKFKVAKPYTILHVLKVFLDEFKFNIENIS NLLENCGRFLLRFEGTKETAKKMVELMRRKQGNSHLDQRHQVMLENAFYMCNPPERVA REVITLSPMQSFIQHLLHDILMKRTLDKVLKLLRKLHWDDAETYDFILSSFTSPNDIK FGNIPYLAALVYDLQRYHPEFSIAVVDQVMEDIRIGMEENIFKHNQRRIATIRYLGEL YMYRVVGAGVIFETLWSLLSFGHADPFPVPGRESPIDAVDDFFRVRLACTLLDTCGAC FDKGSQARKLDQYLVMLQLYVTCKTELPMDVDFMLTDTLDTLRPKMPHLRTFTEAAAK VDELLALGIGEDDESDDEEGSDEGDRGGHEDPIDSNEAEVIPPPSEENEEEEEDNVVL IRNKEKEQHSEMDEQAQSEFDREFAKLLADTTDARRDQRKNAPPVFDTAVPLIRKKDV GEQEGKMQFTLLSKKGNRQQIRSLDIPLDSTIAMNSRSHQAQSKAEQEQLKRLVLQNE RRLERAEVQDIETRGIKLRYLPS L199_001815 MSSQRLLQYDTNYPLYGISFSNSSSHPFRIALTTVSNSPSNKLI VVDHPQSHHHHHHHHHHHHNAYSTSNDFQQIASTSLNFPATKVGWEPKESLSSVGYED SGGRGELIATSGDALRIYEVAKEWSSPNDGGYINKSNNGWVDPTSSNEGSYTVKSCSV LTNSKVPHASLPPVTSFSWNPVSPQSIVTCSIDTTATYWDINTSQAKTQLIAHDRAVY DLSWLPDSSDIFVSVGADGSLRAFDLRQLEHSTILYESSKDTPLARIAFSKKEQHMMA CFGLDDSKILVLDMRSPGQPVAELVGHSAPLGALAWGAPGRGDSTGGGWIASCGDDSQ LLLYDLTSPLPESQSRSTSATKPTRPSTNSHTNSNTNTNSYGNLSPPITPDSRSISRG ESSTSQRSGSSSSDPMEILPVRGWTADNEINNLAFSEKGDWVGCVSGGRLNVLAM L199_001816 MEMYQGSSTQQPAAICALKSPVDAIHILEAVRLGIVPRVTRRLT GHERAMIRPGTVWVWEEEETNMRRWTDGRRWGASRVGGGGFLVYTESSESLSPPPSRS DSPYGQHNGYYPGPSSSRRQESLIKQTYSTSMTHPVTGKLKKFHVVAYSSKHNPQGDV HNPLPLPHQLPALASLRVTPGIWPEWEHRREQEFGATGRRPPSASSSSSNFIPPSQSA PVTAVSSPNGPPYSVVVPPTAYPSSGQRPPSPRNDGGGGMFSRPYPPPPIYPRNGYDD RASPALPPLPPQGNIRGPSAPYQQALPPRREQYDSSGYPGRHMSVPPMYPNQPPPGSE RFHPYGMQARNTNRVTSYPPADDTSSATGSPSQAQYPFAYSRPDSRGYETKPDPERLS PETKFRVGLGGSDGNTDPRRYPSNQNDNSNAPHVNGGHSRQVSNTSNSNSRGVESSST HSSPNQSLRLQPPQPSNGHGHGSSDLGLAAAPGRSPKMSISSTLLNNSSNTGNGNGGV NGPGLTLPPLRTTLDTSNGNVLPSPNGNGGGASPRIPSGGSTSNSPSNELKKDWSTTT TTSTKGANEDVRQLGELGRRVIL L199_001817 MQPSHPHHARTITRPLVDHPQLDIVKTQLGPSADNNHVEYRVFN FHRLKNGKKSRGDGWGLRDLVIVSIWVCFGWKQSLWPDFQALLAGRHLYWDEQMLITF GWIISLLMYTYTRCNTIIYESINPLPNLGIQLSTIRGLSFPWPLKPSDRIYIPLRSFN TFIPLSDISTTILNQALFRFSARYYLGIVKKDGQGVVVAFNNLRPGFEVLREVYHGVR EIMHEEYATT L199_001818 MQSSQGSSSGSGFNSGPSQPPQSQNGQYYPLPTSIFPITGSRAA GVDIQTNNNPQPPIIAHGLTQAEINRHQRPAHVTGNEAEGEDFRAGFFPIPGTKWSWN TVGNGNQEQPIRAGNSGNQSQAESSSINYDGTIQGEQSKASSSSAAGGGGGGNADPIS AFLSEMPTRAEDPTRHVLWTELIKLKTRTLELQIAEARKKEKEAELEIMRLKAGAAAK DSGVGTDNVISSNHGGQNLLAGSSKNSHNNEVDHYSINPQSTPPNIQQQQQQNHPSNP FVPHSQAQIQPQSQPVMSPFDLEAMLSTENLDNFLSWLPDLGDHSLPDISSLAPNTDY PTNNHNNGNMPFSLEHPTNLLPQNTTFPPSVNMSITEPNTLPQPQSSPQRRRSASAEE TSPAQLQPPSKKSRRGTEKKIVSEHISGCMGCKKNIARIMIRSPKSTMPEPIIVQLSC TGCKPINQPSSTNNGIGTVETRKRIRVQMEIDDEETKVKERKQWCDVCQRIIASGQIL GGDENESVSSMTEIVCGECDSKYQRCTDCGGGGGSRIGIGKWRMKQVFHPGRKTCSLN HTRLGDRIREMGVHVTPSDFTPEQIKEVLTRCKALWNEKTLARLAVPEMLEVDLPPGL SNPLRDFADVDDIITRNWPSREAMIRADGLDPNKFKRMISLIWSHSKPRRSVRTVDLE EEWSKDVDNDDDLSTVLAHVKRTNVVIPPGSELIGMWGGEWNLQNGSLLISTFIPFEG ADGEDSTALSVGEMITKVQSLQQEINAERTEQAKREGKQPDLLPPCEHLWTVSGGYIP LVRERFADILIRKRSFVHVEEYLTRHPEFIESIRARPVGLHPDIHRPLPLTQSASDDE QREKQAEPLILVRWLGKEFDAAKILEIKQMEFGGGAKKKKRKL L199_001819 MAYTPGEATPLLGPASAGPSSKILSETKVYPLIHLIRVDIMAHI DAPLTYEQLLAPESTYTIVRPLTEKYLELQNQAAVFCLLLNKIQFTRDSNQLSISTLS TARANLCEILAIRVLRGWSERSLPLATVLLTPWALFQGASEEVLERAKEEGDDDLLTQ GGNALEMAIISGSKRFIRSPSCQKVIEGIWSGRIIYSALNAHALIADNYKKKPIQMYN PHKAPLLDHYRLKVPRVRSMLEYVNFLVLFTLYVIAIEGLDANRLNGRELAFVIYALA FSLDKLAAIREHGLKVFSSSLVNGFDLVFMLIYAVYLGARTYGFHYHDQNALELGSDW LAIGAVLIFPRLAFVTLANNLMVLSIRSMLTEFFFLMSVGFFCFLGFLYALFTLGQGK FALSQIGWWLLEVYFGLDASGFEHAHVFHPFLGPVLMVSYALLSNTLLLTVLVAILGN TFATINADAAAESMFRKAVSTLEGVKADAVFSYQLPFNLVAVVVMWPMSYILGPRWFH KVNVSMIRVSSLPILLVIALYERQSYREQTLMEQFGDFAERYVGNLPRRIKAAAGFDN FGNRHDIETVFEIEREVGDFYRGWDDDVFEESDFQLPPPIESTSPSSSSDEAEEDEAK RRVNRKLDNGSAPTSATPTKLMFTDSAAMTASPSGLEHDLETGKPPSEGVPSPKPATR KRTSSMPSQPRSPNIDIRPRHQSNAHALAHSQAQGPITRPRRNSSMQMHGPSPLAQLF MMSPESDRGFHNRRNSMAGIALSTSHPALNSMLGPNRRQRTILQPTPHQQQQHQQHVK SGSEGDLLSSGPIGEVDVTSKVAARLNKPRLNRPDITPIVESRQASFSGSHMMGGPTT SEGSVTPTPTISKGTAANSSRTALDQKEDSILGSAATIKSNQSAPFPESSIASAPSTT RSVRFPGSPSITPNNSNPPTRSASPSTSNSTLRIPSSSGTGTLSGGGPKSPRPNIIKA SQVEAMQLNRPEDEIGAMNMGMEKRLDGIEKRQKRIEDMLERLCRGLGEKDRERERES SRGR L199_001820 MAAPAVGVLPVASININYEEETERIREFLTTYVAPPRSRHAIPS NDDELAEDDEDDQEDEDDLADDMSDLNVRENRSKAKYVKVLRKVANRQKEEVVIDLQD LRNFSNDRTLLHNITRNTRRYIQLFCDVIDKIMPEPDHELDFTADVLDLIMQQRREMN EQVQNGERNEEGGMFPPELMRRYNLYFKPLRNNDVLAVRAVRGAHLGHLITVRGIVTR VSEVKPLLLVNAYTCDSCGNEIFQEVAQKAFTPLTVCPSAECTQNQTKGQLHMQTRAS RFRPFQEVKIQEMADQVPVGHIPRSMTIHLYGSLTRSVNPGDVVHIGGIFLPTPYTGF RAIRAGLLQDTFLEAMNVHQLKKQYHAMELTPELQVQIEEMKEDPNLYSRLANSIAPE IYGHEDVKKALLLLLVGGVTKTVGDGMKIRGDINVCLMGDPGVAKSQLLKYITKVAPR GVYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGICCIDEFDKMDESDRTAI HEVMEQQTISISKAGITTTLNARTSILAAANPLYGRYNPKISPVENINLPAALLSRFD VLFLILDTPTREDDERLAQHVTFVHMHNTHPELDFEPVEPTLMRHYIAACRRIRPIVP PQMSEYIVSSYVQMRKQQKEDELEEKSYSYVSARTLLAVLRLSQALARLRQDNVVGQG DVDEALRLMDVSKASLYEHQASARMGEDQTDTSKIFRIIKDMASASAQMRDEDDEDYE QDDELEELGMNEVRNRVLAKGFTETQLLDTVSEYENMGVLVRTANNTRLRFVAADEY L199_001821 MVRPTSSLRFIASKALRAERAKRQPMPENFVQATGNQSRPKNVS LHDIPRTALPSDITRALRDVGAVDESFSVSAITSLPPSLPKSPSLYRTYHLTLPSSKK ANQISTILSTKPIFTLDRKGQAQLTHITSNEWTNNLVQRTLNDRTPFEQQRDRAIEKI FTADWCNKSGLSGRRVIIKGLPASIRPEDVKKLGKDCGVLDEGEGCIRLPSSRSSIVS TYCLTTNTVNDAHRLARKLHMKWYKVDIHGQKWLMRAHVHY L199_001822 MTTASPLWALLAIPVLLRLLKSKPPPSRETVVLPHEERVVLLGA SSGVGRDLAHAYAKRGARICLVARRSDALAKVKLECVSLGAKEDNLLVVPADITSTDD LVKVREVVEKAWSGLDTLHILAGLPSTSMLMDLAGVSLNKQDANKPPSGAPLSFSNSA GGEGTAGPSKAGLDKIAFEARAVSEVNYVGTILALTCFLPLLASTSKSPALHHLSSVA ATVPAPHRVIYAATKSAGLMAVESCRVECEGCGVRFFSFCPGTIDNEFRLKTSTSQSG GRDETKLPIKHKWEKLLLTPQKVIDIILYNLSLSPEPQALIPYPPFSWIKSLSVPPKH LVHAPWQYRLAMMVRDTPIGWAYIEPGARRKYGLIGRP L199_001823 MTSSPAIQINGSSSNANGGLSLLDKLKQRYEEAGQSHVFTFYSK LSSEEQNNLLNQLNDIDVNRVNRIYMNAVAAEGDGAHTPSNEDNINYDDTLVPGLNNL LGRSRTPTPRPPEEVLPLPEEACASILNNPEDEKKWREIGLKAIANNQVAVLLMAGGQ GTRLGSSLPKGMYDIKLPSGKSLFEYQAERIGKLAKVAEEKEGKEDGSVRIKWYVMTS GPTRGETEKYFREKNFFGLKEEDVIFFEQGVLPALSEDGKLLLSTPSSLSVAPDGNGG LYAALRRPLSPDSPRTVLSDLKSQGIEYIHAYCVDNCLVKVADPVFIGCCIDRKSQAG AKVVRKTVPTESVGVLAAKGDAFAVVEYSELSKEKAEARTPDGQLAFRAANIANHFYT RTFLEGVEAMERKMAFHIARKKIPTVNLQNGELIKPSEPNGMKLELFVFDVFPFTKSL CVLEVQREEEFSPLKNAPGSKADCPETSRRDLLAQQKRWLVDNGAELGEGVEVEVTPK ISYAGEGLEWVKGKKFVKSGVLASKEDLKDLTE L199_001824 MSNVSEFVAAAERADPSLTGSNEKEKAEIAKLVGETEGYVKDLS ALNEKLTPLTYLYSNSPSSADVSLYAHLHPTLISASTTQHPQQPSLLRYFLQIQSLES VQSAQKSLPNSFPSLDIDISSLPTPERKAPPPKVKKEKKPAAPAAGVTETVTNAVSGA VNAATSAAGAVAGTAVNAASAVKDAVVGAGAAPQEGGKKKEKKEKKEKPAKAQPVKEE PTGPLPSMIDMRVGKVLDVKRHPDADSLYVESIDVGEPEPRTVCSGLVKYMTEDQIRG ATIVVICNLKPVTMRGVKSYAMLLCASSKDGKDEGGIEFVYPPEGSQPGERIYFEGEK YENAKPEAQLNPKKKVFETIQPGFTTLDTREAAWIDPETKTVHKIRTKDGVLTSKTLI GASLS L199_001825 MSGQPPPNGFNPGAFEFRPGQGAPFVPRGQQQQGQGQQGYPGQG QGQGYGGYNQYGGQQGGYGGYPQQGGGGYGGYPQYGGGYPQQQQQQQTQSQAYIPPNA RGNFQPQAVRNVQGFQPPNLPPTSSSPKPDSTPAGKPVSLSIGGGAPKAAPSLSIGGG APKAAPSLSIGGGAPKAAPSLSIGGQPKAAPSLSIGGAPKAAPSLSIGGAPKAAPSLS IGAGKKPEEKKEEEKKSEPVEDSTPAPAATTDAQVKVVASTTDAPTPSASSTPAPTSV AASGTSTPSGTNYTKVSAKNDADAILKEQAAAGAEALKDLYGDDVKDTNSKSHLNIIF TGHVDAGKSTMGGQLLFLTGAVDKRTMEKYEQEAKAAGRETWYLSWALDSNKEERAQG KTIEVGRSYFESEKRRYTILDAPGHKTYVPSMITGAAQADVAILVLSARKGEFETGFE REGQTREHAMLIKNNGVNKLIVAVNKMDDPTVQWDKERYDEICKKITPFLKSVGFNPK TDLTFIPVSGQIAQNIKDRIDKKIAPWYEGPSLLEHLDTMEVAERDIDAPCCIPVSEK YAELGTMIMGKIEAGRVKKGHKLLMMPNKTPVEVSAVYSEQGDELEQGFCGESVRVKL NGISDKDITPGFVLCSIQKPVKTVTAFRADLSILETKNIICGGYTCVLHVHTLAEEVT LTALLHYFDRKTIELAFFCSYHAYANI L199_001826 MPKRSKTQKKLSFSSVATSSSSAAVPLKADHPLPFPPPPVSGSS KRPIVLEDSDDDDHSAHHVGQASRPKCIKIEIESDQDIAQSDEEDDFDYDYEYDDDNY DEDGDTSCRSQSPITVESSSEGEEDDEAELKSEVKDVSNLTKPTIDDLIIVPTISYSG RREVILKARFHLIYLKISKFPGNLPIWKALLTEDNEDKFYRLFRKFISEDLVKILVHD SAPSVHELEMLGYQEGGFGSYVKMGASVDWDSNDMLYVGSTAKSPSGKVTFWGTRARR LQHLRSIERNNGGLFDNTLRANPEARRLAFSTLWFYPIDSQNVTVHVATTGQIGSRFT ETVFSEWLQSWNRTEDFDKDPSRTGTEFWSAEHFSYIGSNFSNPLRNCMNMIIGIQAF KRTDEEWKAIKKEYKKREHFKHRERNNERSKNYHYNRSEEKKEQDRATRRNYDRSETG KEKSHNRYLRRKDFLLEYGRAERNHASEAELIAIAVKYGREPRLHYASSKNPKSRNYG GNQVVRV L199_001827 MVQIKSSVLVAAAAISAASALPTSSSQSNNLVDLVVGGNGGSPT KSISTNNLGNTNDDRGKDAVVDLNVDLGRAQPLLEARKQRKVDEEDGGLRRSVKDMVK NVEDKAKTSRGGAGGKMWKGKPSKRGPWRNGGDLIDLNIGHGAVDSDGNHDGHLVNGN LLDLAIRSPRHDEHLILTGDNHDYYRNSGHRGHRHGNVHEHTHLHARHSGHGRHRHSV VEVIGDGYGRHHRGGYEFIDTGRHGYRHGDADVHEHTHIHDRSHHDDVVVLNGGRHRH DDDVTVVNNGHRHGDTTIVNNDGRHHHGDTTVVNNGGPHRGDTTAVNNHKRSPKIGIS SKLAGLGRAFLKRSHSDDRDRHWRHGGSDTTIVNNGRHSDTTLVNNGRHGDTTLINNG RHGDTTLINGGRHGETLVVDNDRHHGHGGDTTIVNDHRHHDTTVINNGRHGDTTVVNN GGHRLHGDTTVVNNHKRGHHHSDRIVVVGDQRRYRDADFYYRNSWDSGLSYGKPIYFS DGGSFNRVRMIDNYPYRHGSGGVNVIPADAIPLRGGRYNDYPYGYGYHYGRYGKRSEG NEASVEVEKRHHHDDHEDITVINNGHHRHHDQDLTVVHNHKRHHHEDKECRHGRCDGD DVTIVNSLKRQMMQDNGMGGAPGYIDVTSPVFNSTTATRIASLVLSTSNGTDANSTFV LNASSNIRTQVYLVPVNQGNSTVASAAASTNSTSTSPISVNLKVPIFVASTATVEPYC ATFDPSPENPAPLTVTPCTNETVSSHESQKFLYNPDTGVIHPDWQPSTDAQQLLQAVP DSVDDASDPAHAQAQDVDNTSTTEVEAATEVDPTNSASATLARRATSTPATSGTVNSP PPLITSGPQTSTDSTALPQSNPASNVTLIFTPVNPAIVNSASVNFDSASSSASTPDDE STTEAGMDSQQATMTKRGFHAVNPINPDVNSWSFNAADLNSPVQSQSQPESSDFNGNS NSNDNGMSDNEAMNSQAIPTSSSPTMPVYSAPVGSKGIHANAQANVNLGDSLLQKPMI APESLTAPYEWRWTKAESVDESVLSKATTVV L199_001828 MIASSSKTREQESSPTRQEVPYDQASTSTSSSFLSPITPQVQSP LLRAPAEIIQKVLSASGGEEVQAIASAAKVCKELRSIIYDNPDQALWRQVLVQHYDDP RTAAAFPTPRPSSRINWRQSVQDRELVRRIFSDWAEDKLNQVNEHIDLIVPTLLNMYL DISPTTPSSDPSTADDSPNSTLLSTWLRSSLIKHIYESQYFTEPEPTTSYQLRSSPKR VKRKTIDPVISQLHCLIPPEYNDDVREDREWRGFMRRTVYNAKNFEEENDYGPFTSDG KVNWELIDAISSVMMCNAQELIKGDPTAWLPAVQPLSYGVESVRGWGYTDLRRPDNLT QGEVWDWAGIGGTWCGCYAFMDYVDWVALNYPQSAFLHRLSSEIDLSLYHEALGDLMQ LDLKICNDLYTNNPRENKCEYHEFTSDRFPQPLPSMETDLPTSSLLPPLYFHGSSVPY AGGEAPPLPQNAIRGVVTLTADDPPQVRWTMIIRYRNEDRWRLECVQVGGRGSKRGFF GIWSDASRGEQSPCGPAWYWKV L199_001829 MIHAVLIFNTHGKPRLSKFFTPLPPLVQQSLISQIFSLISDRPA GVCNFLDAPDLVFPTPGSTIVEKGKRKEGDDDTRVIYRHYATLYFVFVVDGAESELGI LDLIQVFVESLDRSFENVCELDLIFHFDEVHHVLSEIIQGGLVLETNINEISACVQAA SRNRKASAASSNPLIPSVLAVPGGRGGNRGGSADGARRWLASIGV L199_001830 MAEQQVSFKVEDLFAVKGKVIVITGGGSGLGKAIAEGFALNGAK VYIVGRRLETLENAAKQIGGDIHVIQGDVQSKKSCQDIVAAVQAKESHIDTLINCAGV NSPWRVGAKDHNDPDQVENMLINGLEEEDFDRSNQINVNGVYFLTVNFVPLLRKSKDP NVCVIASLAGLANIRSMGSLTYGVSKAAVIHLAKLLAGRLHPMKIRVNTICPGIFPSE MTGVTAGKHSYNINHQAEKAALRCTAGRPGRPEEMVGPVLLLSSPAGGYMNHALLTVD GGRLMGASINDGIRMPEDTYT L199_001831 MPVRLDAPQVIVLTDEERDSGVMGDKKLYDAIEAFFTDGLVVVE NAIPVELVDKLNERMLKDTEKLLGGGGQVHFNHLNQNAATKGAAAGGNLSQVPPLEKE WLFPEIFANKHGARIVNNILGPKPEVHFCRTNTLLATEERQHVHADLRFEHPQHPFAI AFNTCLIDVGPENGSTELWLGTQNTSLECHRDLGEPMIAEEKLEERRKIRPPIYPRLK KGSIVLRDLRLWHAGMPNPTHETRIMLAIVYWASWYKNGAVIPLPNSLKPTIEALEES ANIKYAAEWVPDEGYNYLDIKFSNNFSSTLTPWVWEKIQHVKTVKGY L199_001832 MATHTHHLNDSHPHNVPSDTPVVPLGDDDIIKHEHDHVENAAAP MPDSFRDMTEDERKAMEKKMVRKMDMVIMPIMGILYIMNYVDRSALSASKVYGIMEDL NMTTTDFATAISILFAGYIPFQIPSNLVMTKVSRPGLYICSAAVIWGAVSACTAAAKT YHHLLVIRVMLGVTEAVFFPGMIYFLSAWYTKNELGKRLSALFMFQMVGNAFGGFVAA ACLTLDGRYGISGWRWLFIVEGVVTIGCGMIGACFMPEFPHNARLLKPIEREYAVWRL EMEAGAGEANEDTSTLGGFKLALLDPKVWTMVWCMGMGQAMGSIVNFFPVIVNTLGYN KTGTLLLTAPPYLLAAIVFYTISYISDRRNMMYPIIMSCLGVAIIAYVLAIATLKIPA RYVAMMLMPSVCSGPQIMLYKTLNVHMARPYPKRAAGVAMMNAIGGLSNVWTSYLYYD APHYYAAFGCVLGCTISFMITITLYKMYVQRMNRLLGGTPEQQRQAMKSGVTQQQVDL GWRYIGY L199_001833 MTSITEEQNGIHQKKPFYRSVPFQIAVAAGVSFTAPGMWDALGG LGAGGAAEPYAVSAANAILLRAIGYPLYGAGLYTNNKTATTWFMIFGSVCCGISAGFF WAAEAAIIIGYPSPKERGFYLACWQTAKASGPIIGGAITLGLNANRETAGSVGSATYI VFIVLMCLGLPIALLLSPANKVWRKDGTRVVVHKHASWWAELQAVGRLAISRRIVLLV PAFFISYFYNPFVSTWLTSYFTVRSRAFSSFFTPFAGIFSSFIIGTFLDRQSIFIRTR AKVAFATIVTMLVGTWIWAIILQKRFYDNPPVFDWFKGGFGSAYALVFFWTFTGQAFQ QYCYWLIGQYTTDLTSLSHHTGILRGLEALGQTVAWAMQSEAGVNHFVSIGINFGILL IAIVPTWIVVSALDHTHEEVVTEEIVGSDKADEEGSVGPEGLQAAALAK L199_001834 MSNKPSYAAIVSNSPPKSDRQGPQVGVEGAGASAGPSDAPPPYT EPGHPHPNPSIPHQTILPQPPAQQQRYTQDVESHQSSSGWTPPPSYAVARSRALKRFW MAFFWAWLIWIFVGLLIGGGVSDISNDRSRGHHGHWDKHGDWHDDNGKVYFEDVGVSS MFAKVKDKAIAVLPDYL L199_001835 MSNLHTAPSRPFNIDVNGGWDLDMDMDIEDEQNVEDSLPSTPLE ERSTHSHSRAWKHATRSDEGETSGEASKDTSDPRHHIHSQQEEHIPSKGDTDKGDNDK TCRICFSGPEEEETLGRMISPCLCTGSMRYVHVKCLNAWRGTGTNAKAHLECPQCHYR YQLRRTLISGLATSRPILLLSTILTFSLLTLLLGEILHLMLHHSPTISRTLLSRSSRP TVKTMFDLLDDPYAAVDGGPVIVVGGGGELIWDIFIGAIQTFVDLSNSFLTQKDNLST KIPSPLANLVFELAVRYLLGLATLGSMSFLSLLLSLSLFGPLQLMNGLRGFGFLGNLA RRRNTAGGGGGGGEGRLSIGTIMMVILVLVGAVNTLIQFYNGLQILTQKLLIYVETQI LEVNSDEIHKRRREEKKKKAEEVQAERWWIRWIKDGQYKRIDGYRELAVRFSLALDRI WERIKRSFRMDGGDGHEHQE L199_001836 MEAFFADLPTLNLPPISTHKDPEPEMSSSLRLIQPLDLSRYQLP SLPPTEAPSPIGVGLQVSHDRSCQTNHHGSMGTPSDLSLSPPQLMQNSRSAPPSAAPY QSSFSFPQIQPEQLPRRAFAQQPSTQGFPWLERGGGGLSTQIYETPYQSYRPSPQPNS NHVQHNHSHSTPNFLSMPLSQHSDTSTPFQYSQLSLTPYALPVNFQDTTTTDNNNDIP LPLQKNESDDYSLPEGIWESNAPYPRPDAKPEKRGKKREGFVKGRRHICAVCDKRFNR PSSLNTHMAVHTGAKPYMCSRPDCQRRFSVSSNLRDTRGLTTNELRRNDKIMKFQIN L199_001837 MGHTHPHSYSHPGVFPQTHPHQPFDFNYYQPSFAHPSISSGDHS EHRSQPAAPSSVFGSSSTTTKSAESSIDLGYGLAQYQVVATATATGQNRRMTMGDEQP RKGYGTGEMEMGMDTKATGLLLA L199_001838 MSPDHNHHHHHHHHPLPIRRESFHYSGERPHPPPLKSTYSFVGP SSSASTSNLLLRTPQELERKNEWQTSPHKQTSPNNQDKPAEKEELTTKGRKRKRLAKA CSACHKNKRRCDGFAPCSNCEFSNRPCLYVNAQGDPIPPPRTRDSSAVPPRPNKDDAK AANNHERKASGESNWSRRESFKEDAEHHRRPSLGPIQAVEHDTLISAELIDIFFRRCA PLSTMFHPSTFHYRLYMNQVSPILLDIIYAFASRLCENPAFVSTFSPSHPAHLRGEAF IHRAHGAAQRMIEVRKTWNEEERRMDRGTWQETELAQACYLLSVYFSCLRQPKIGMFY LDSGLDILRSPNLDYIPPPATHLKLNSIEYNTLMEIRIRTFWLLVFHDLCAAANGRPR RYSESELGNIPLPSNESHWARWGGNAIGGREPGRRDGLVPGSGNWPGDEGQIGDLGHV LRILSIFADIMTLATDSKTDRSEPKQNLASRYESALKSWAMTLPRHLHFDEHNLSSAV NKLSSPVVEIKMTGWMYAYMHAVAECGMFYLQAAVAQFTDGAYTAQRQSQAVDNLSVI MDTIGRNGREGCCFLFPLFVISNWQEHLKMSNLIVPGPPQAIMEDRLSLWWNEMKLEW GTERHELLQRGFYSLNTIGAGPTPTSLATPHFSQSQPTIEMPSSGLGLSLYQTSPVRQ MTNSTETGSAISATSPVSTMSISTPHMSTYSRFSMSGSSAPTLPPLRPRAQSSAAAMS VSYTSPSPPLGGSRNILPGMIKSSSSGRSELISLPPLSGEFKSNLKITSPRHHPYSNG KSPRMSWAGPTSVGSANGKLPGGGGEGVRRESNHLMGIAALVSVAENEREEREKQRER ERERERKTLATV L199_001839 MDPARIHHTPDNAQTQVSSRMTRSPPTLIPPNYQNTTTLSCFAK PIPPDKNQGICSYTGKYRYHPFF L199_001840 MSNHLSPQHHLAIEPTAEITTSHTSLLEHTNSNEDGHSPIAKKD IHIHSHDEIISTGHELPLLSLNSNATNPSSTPAPLVQTRLYKRRFFVLVELSLLNVIV SWCWLTFSSVSGTSSDFFNVSESTINWLSTGFLFAFVVASPVVLWVLNRSTKAAMVIA SSLLILGSWLRYLGSRVPSNHNRGFGIVVFGQIIIGFAQPFVLSAPTRLSHQWFGDRE RITATALASLCNPLGGALGQLIGPFIATEPDKVPNMILYVAIITTVISIPSIFLPSSP PLPPTTTPFNDTKIDFRTVKALFSNLSFHLIAWPFIIYVASFNATSSLLNQILEPYGF SEDQAGIDGAIMIVVGLVAAAIASPLLDRFTTGRPGVKLIVIKLCVVIISIMYVLFIF LPSTRKLVGIAIISALIGSTSFILLPLALEMLADTTWPIGPEVSSTICWATSQLIGGC LLVSMTALKGHKKNHPDQSMYRSLVLQAVLCCVVAPLPLCLGLWGTGRNSRDPKRAEG TDGLGTSESTESSAT L199_001841 MTTKHTEVVIHDDDKPVIEQIDNIDTELPPHPDLEDGNPNKKKK TANNQLDEAQEILARSGHVEYTQEDNKRVLRKIDIFVCIPMCIVYCIQQLDKGAVAQG AVFDLREATGLKGSQYSWLNSIVYISQLVCQPLSSYALVVFPVKYWVMFNFISWSIVT ICSAAAKSFAGLMVARLLLGAFEATILPSFVFITQMWWTRREQSYRTVAYQIANSLAS LFGPLLAFGVGHVKSGIKPYQGIFICMGGLSLAMVPLVWYLLPNSPTTAKFLRKGDDR LIALERLKENQTGTKSSTWKWNQVWETYRDPKTYMWAAMYLCTATPSGGIGSFSGLIL KGFNFDSFQTTLMHMPTGVIGILTLWIGIWFTNKFKARWIVILIITLAPIAGATAICR LPRDNTAGLMASFYIAYILAGIQPLLYSWANLNAAGTTKRVVTFSTMFVFQCAGNIIG PQVYFEREAPYYYTGLYVDIGCWSVLVLLIIAMRIYLAQLNKKQASKRAAIGMPAELK DMSIMTIQEAEVYKKELTEHMKANGLDQAKLYENAFDDMTDFENPEFIYVL L199_001842 MKSASIAALAAIGAASVSAMPSSYAAFKNALHRRADGPTDTQVL QYALTLENLEKRFYADALSQFDEAAFEAAGYPNWVRGRLSQIAGHESDHVSLLSTALG NESVAECTYNFPYTDVKSFVGLATLLENVGVSAYAGAAQYISNKDYLTVAAVILSTEA RHQAWESSAVGGSNPWGSAYDTPLDLNMVYTLASAFITSCPDSNAALPVKAYSTLTVG DGYAAGSQASFTFDDSHTATNYVIFYEGLGSRAVQLDENDQATIPSELQGVVYALVST SSDPKGVTTDNIVAGPAILNFPFGAWSENPAFAG L199_001843 MPAEYTLTKDAPAPLPGIYSQAVRAGNYVYTSGSVGMTKEGQMV EGTVQDRTRQVIQNLDAVLKGSGMSLKNVVKANIYLSNLSRDFNAVNEVWKELMPEPK PARTCVGVAELPAGGTDVEIEFVAYDG L199_001844 MLKDIVERPSEPPSAPSAPTPGGAGFPVAVHRSQRPSAFAKARQ QQAVRQSGQTSKVVGEGKAVDVVPSIGVSTTPHNEGVSSQGNLSEMEQVRRSVEVENT RRVEGMSSAEREEEVEELKERFGRGIVDLMRKRKEAREGKLPGIGIENITTSNESGPS SRPFDLTDAHKILDEVSEENKRKVESMDDLERDQEVEESQERFGGKLMDAFRKRAEAR IASRKGKEREGDGRPAATAPTTRSSDRTTTSSTTAPSVPAKPRSQPRTHPDDPSLSGL KAYFPSVPSESSKLAWLQPLPPSTSSSANSPRFDLSGRILSAAEQSELPSHLGLHHHG SSPDLAGYTIHEILYLCRSTVPSQKITMMVLLTKVLRKCKEGKYDDGVMKEIEENESM KKAIDLGVEILAGLSRGIGVIESGVELLYEAVKGSSWTCLDDNQDLDGPTRFKPDDHV LSIPFEDVLPRLKELLSIEDGLSTMTIWQLLRILRRTTYISKDLCEVICPIISSIIKV QVVAKPWPPTTTTTSSLNRSYPSIEALRLLRDMIVSSRECAEDLVNQGTLEGILKFVV TATWEDEQSNSEYTSYSLQLALEVLKIFTSLGKYGLASNTLTSSSELWYLLGKWVHNS ANKQQLAEEETKLSEGYFKLLEIWIICAIDPHRTTPEHDLTWAQVSAMNYQDEALSIL QSPKDYDILASSLEMLGAWIKGVKINGVRGGEEEKGSLLEALKETLLESLVLEITQKD DCGRSDERLLAAAVQVHRLLSSSRGLLFSIGTIDGLRAKMPTSSRHSSRYLTYLQYEL LSHTVPTLITSEWLSQAFNLFRQFQIGDEPLALDLLDIFLKSDLSSLLPQIKEIGHPD GVQILRPLLQYSILPSSEYVVGPHQPTHLYLKATTTLRPPALVESKEKPALPGLPLRG DYFFSPLDELLRSGTSEALQQIPPDWNATEVQITRATLVLAKLFYVNTNGAKGLGSKD RARLILGLMKVHMLEHGQTSSNVVDEVEVFRDNVVSCLMRDLIGLLINTTMTKNREED QEKDGQGRGQGELEIISIPFLGTGVPFYQFYQDFLQLYESISFSDPLFTQLLLPVLSM DYSRDYRKLIWVDHHSLLKNIRTNLNQVPVIGRMKNYYEPQETDQEILTSYARAVVSG MINREKNEFIWSIAINQFSGLFWLTNNDGDGEGMKEMRVGLMVMILSKGSDDLVRSVL TWDSDQRKINNSSDGKEEEKRKEIIGKLIGERGLKRIEGMI L199_001845 MMLSSYINTLFATSAIMSSFALGAADHWIVTQLQTLTISRMDPI VNPNDVSGHVHRVVGASNFNWHLNDPQTQLNAACSSTIIGDDKSNYWAPQLYYRHPNN TFTPVLGGVRVYYFNKNKDVQPFPPGLNMISGLAMKRDLSATQSLGIKISCDHGLQTQ WLPNGTSHPGGCGSISMGIYFPSCGLANGATSSSDHFSHMAWPQSYDGPSLVDDPNGI VCPASHPIKYPTIFAEFNYYLDADHPWRNDECTLVLSNGDCTGNNFHADFVNGWVPQT LKDAIAQCGYGKGPGDNLDACAPLKKTKSEPNSWECRLDGKIPDEEVGLWRPISQLPG CNPLWKNDVTQKPGCSSTPKPGYVFPNAYFENLKFRNKIPVALAELKDNADITTFIPS VGDTGAGRLGWWGSEGSNKNQLTKGNWQAIINSLAPGTKPPAIGNMITLTTGQTEDEE STVETQDHEASENTDDESQSDVSTPPVLAADSPASESTTPATTAVEAEGAESTSAKYC KRKKRGLLPRAGEALSAHLSRRSRRRSIQGQ L199_001846 MSSNVIHKTDEPSLTFGHPDSSVTELNIVGLHLRVYGLKELEGS DRPLAVLVAAHGRTNSQKNMITFSQGVLGQVYSKPKEERKRDLLIVTLDQRNHGNRIT DKKANLAYDENPRHLIDMAAKVYGGAQDIQLIIDFLAAYLFPMGEKKVEEWIVTGISL GGHVAWRLLREEPRIRIGIPIIGLPFESFPKYMGPRAESLNIPFEPPTYPPSLVPVLE GDVDHSNYKGKKILTIHGGHDKLVPLDKGLKDIEKIMDVVNGSESGKAEMYVDEKTGH AVSKEMVWKTAEWVWEYGLKE L199_001847 MAETKPSSSAGITSLRGQNLNAVIKSVDMSEEMQQKAVDIVVLS LEKHDVERDMAMFIKKEFDRLYGTTWHCVVGKNFGSFVTHETKNFIYFYLGPIAILLW KTS L199_001848 MSGSMNQFTNDEYVLAYHGPLLYEARIILAENWDENNTLLGTVG PHYFIHYKGWKQTWDEWVPEQRLLKLNEAGFAKRRALLDAQAKKNRPASAAGSSTASP APATTTTGKGKEKGKKGESKKRSRESGVDTEAAYLNRPEVKIVIPEILKLQLVDDWEN VTKNNQLVTLPRKPNVRELLEEYRQYVNNTKKAQERSARATSLLSEIISGITLYFDKA LGNNLLYRFERAQYVEQKRQNPDKPMSEIYGAEHLLRLFVNFGPFIAYTNIDTESLNI LREYINDIMKWMIKEQKRLFVKEYEATSTHYQNMSRT L199_001849 MTLAGPRAPGPKFGQSVAPNLNVRHLCPNCRTDPPNIIEEYSKG DLVCGDCGTILGDRIVDTRKKTDTNVNSLGRTFAGDENGDDPSRVGDAGNPLLGSNHL DTVISHKDGRSGIARDLNRAVQRANNLSNGINGKTNTAILSAVFSRIGEKCDAMQLPR GVRERAQHVYKIADEQKAIKGKNENALIAACIIYACRDAGAHRTFQEVCKALKISKKE LGQVFSVIKNAVQASDTFQRSKTGTGHGFSDGSNNAQESTEALLGRFTNYLDLGNAVF NASKHIAAEATAKSTIDGRSPVSIAAGVLYFTCVLLSKNASAKDIAGMGGVSESTTKL ICKMVATKLDEVIRPEWKTEYAAGYAQLAQLGRVNDSARNSRSGTPSNGKSGKTPTPA PAPAPASSANGNGNVEVKPSSTSSQEDAKVNGDSKANGNGKA L199_001850 MLSTLTLTSLLLFASISSSALANPTTIPLTLHHSRQYSDDLAVR QEWLKGQASGLRKKYEQHLGERSKDLLKRDRVNEELNRRWPLGRRASGSVQLTDVGID ASYSGQVTIGTPSQDFLLIMDTGSSDLWVAGSTCESSSCTGVSSFNAEDSTSYNTDNS AFNISYGSGDADGYLATDTVSLAGFTVTGQTFAVVTSTTARLISAPLSGLMGLAWKSI ASSGATPFWQALAASGSWSDPEMGFYLARYRGDNSASSVESQGGELILGGTNSSKYTG SINYISIDEDDLDYWRIPAQAVTVQGSQVSVGSNPQAAIDTGTTLIGVPSQVAQAIYE QIPNSEALSASSGYDGYYQYPCDTTVNVTIQFGGLSYAISNADMNLGSFTRDSSMCTG AFFEMDLSSQSPIQWIVGASFLKNVYSTFRYNPAAIGFAQLADGVSSVSNGTSQSTTG GGTSGSGGQSSSSGGIPVASISTVGTVMMICGMIVNLI L199_001851 MTSPPSQGGSGPSTTQKQKRIQATRSHSSPSLTHWIGTPEGGMK RRWIPSSSPGVATTCVEDKKGVGKVDKGKGKDTGVEEGLSQLYVTHEKQELSSTSSTS NARRRSPIKKSTVIQSDEPQLEPGLEPIPKQDASVIADELPRAQTQRNSWGSSLVGSV VTAGVFGAALGLTAYRLLANQPQSKVGNDEDNGEARLDQYSVQNCVEIEIETSSSEEV QVPNNEDESLQNTLTFANAILRREDIEDVEASDQTTQDQIQRQHQYSSDTETPEHVGP SPLLSKHDDQDQIAQDPVSDLPPPPAYEETVQRDAKVKEWEDVEIDDHLVTPSSSKIS TLRSKASSMFLSPSCSPSPKSRRNRHARIRRSRSSRNGWMYNHPLSDSRSLPSIEIYR PTLDDEEIESTFSGSPNVKMKTGVKSPSTDESRDNEGDLKLLRDRDDDIKGQKEEGEE DDEQSSEMISRLDSMSLQLTALIEEGKKALESTLGLGTTPGWEEEGDLFTPSPKDRKN VGDDELRESSRERNVNHKKMRRESKIPMRVGSDMHLRHSSSSTMLDSDKRHTSRKIGS SSEVERSQREEPKSKIPVMNKSRSMVSGLNGV L199_001852 MSSSSRVPMRKPPASSSSSRGKPQIPIRKGQRVGTNAKGTEDGY LYVAGVRDPSKRVTEFRTEQDVCPICHTDRQFNQNLRLLVSPCYHKMCESCIDRLFTL GPEPCPQCGRILRKVNFAHQTFEDLKVEKEVAVRRRMAQVFNKRREDFGSDKEYDNYL EEVEDLTFNLLNDIDVEKTEKRISEFEKSNASLIASNQEKAALEAMSQAEREEVERRA REERMRMVEEAERVEREEEERVKKEVTEALAKGDSRLARELEIQSRTAKQLRQEALFK FIPPSLLLQQSTQDEIQHLSPLSPNYNGPFVPIPYSNPDTAQYNQWYELKVDGEYADG RSGVIFAKTDERVRGGGWDLGLFWEMEIRAAVEAVGVEPLV L199_001853 MDAGYDALEEAASSYAKTRDRDDESRGHRSHRDRDREHDRDRER DRERRHRDREDRDRERDRGDRDDRYRPSERDRDRERDRGDRYDRDRERERPRRRRREE DEFDLAAEPMSGGGGGGSGHRDRRPRHEDPYAQPMRGYSPPRRSRRGDEDDWRASRER SRSRERRRRRGGDFARSPTPPGTVPLEERHVRNSHWDIRPAQFEGVGAMEAKMTGMFT YGPGRVPPPASLGVPNQLMAGSFPPANPQRQTKRLYIGGINDRMSEQQIQDFFNKLMR EHNLAVDMPGEPVATCQINNEKNFAFAEFRTSEEATAALQFDGVMYEGHPLRVRRPKD YSGVDPLASSFGVPGGSMVDSPNKLFIGGLPTYLNDEQVIELLKAFGELKTFNLVKET GNVSKGFAFCEYVDPNVTDMAIQGLHNFALGDRTLVVQRAAVGRNQNNHHHNHALPGS AAFLQQAVPNILQSSNNEGPATRVMLLLNMVTPEELYSDEDYQEILEDINDECSKYGE VEGVRIPRPTPKNKKWAPGDSAAVSAEKNRQIDEENGVGRVYVMYRNVDDTTKAMKAI GGRQFGGRTILVANVPEEEFLGPAPPPPPPPEGEEPSAPAESDSPPPPPPPPAEPEQD LDAAAADAVKDIMAGIL L199_001854 MAPIPNGETAIHVVEPKTKGEKSKKQHSQVVIIGSGPAGHTAAI YLARANLEPVLYEGMLANGFAPGGQLTTTTEVENFPGFPDGVTGTEMMDKFRAQSERF GTKIITETIARVDLTQRPFKYWTEGEEEEADFMTADTLIIATGASAKRLFLPGEETYW QSGISACAVCDGAVPIFRNKPLAVIGGGDSAAEEATYLTKYGSHVYVLVRRDELRASK IMAKRLVSHPKVTVLWNTVATECKGDGDLLQSLTIKDTKTGEEKDLKVNGLFYAIGHE PATSLVKSQLETDVDGYIKTVPGTAQTSIKGVFAAGDVQDKKYRQAITSAGSGCMAAL EAERLISEEEAEDDEIKTEDVHVPSEGYMGADKE L199_001855 MSDYSHVPGGSLKFKGQSDKKKKKKSHSSGERTKVENEIKLKEA QRRDRDVSDEEEEEREGSERPKKDESKMTEAERRFLETQRKRREQRVKELAKKTHKDR VSELNAKLDRLSEHHDMPKIGPG L199_001856 MSAAGIPQLDEASKKELEVFLEQEQAKAKLQASIHELTNTCWNT CITGSISSKFSKSEAQCLENCVDRFLDSSLFIVKQIEAQKQQI L199_001857 MDSSNSPTSSSSSSSAPIQPLRNPKYRHVSKSAAKRESVQMLGS IKDLQLHFSRAGMVEHRPGAGVGVKGKFLTSLGEDVEGEENRPPSSLSQNRRSSDRKP YKEVELPRIDPEDARREAKNMINDVRGIWGLSLPVPVSPNSATTSGLPSSKSLYFPIN FDDDNFGGRGEMRTSENIQNALVQTAQSIRRIRFLALSISHQHNSVRKVSGGGQASGL FPSRLGGAGQLRSSLSTPSRPSTSKPLRTISNPNTANERKASLGILDEREDTLGELRK AALEVLTCLRELEERLRLSRDEDRVQTPTQDRPFSPNYEEPEEVFSEPDNDDSEEDEL YNPNNLAMNSEDKSTMTNWEDRILSERREYKDLNDNDWEKEARNTRENMGKWVGIVEK LFVFVDESAGQDGGQGLESWARDEDWHGKKSEQLHAFLVSNLPLDLALRLPSTQSDNF ESSLLSRLSDGYILIDAYNSSLMKSSKPWGFIPDGDVHDTLTSLSISGQVSPSKNASS EEGRKEKEWTFRRAGNLTCFGAALRHRYQLPISMPITSTNLPLPPVPPKSSLRRPRPS IVTSSSTTAAEERIEFDPMIVAKKAQGWDVMFRGLIDRWLGELVKEVRESKGHLFVQK DEGSGRVERGGMI L199_001858 MTISQILNTLPLLPSWAQSSPKIGYAIYTVEAIMILLLAAYLYL WPWREYTLPYRNLRGPKSDHWLWGNVRTFIKSEPMVPHGRWIKEYGPTFRYRVIAGMP RFYTADPVALSYVLNHGDIFPKPPQTRKGMADMLGNGVLVAEGHDHKRQRKLLNPSFS PLAIKGMIPIFYDKAYELKEKFINLIENTENTEGDAASPTPPKEEDVVQGTRKIDVMS WLGKCTLDVIGLAGFNYDFKALEDPHNELAEAYRKMFSAGMEVTVGAIIQALFPVFQI IPTQRMKMVKESSAKTKEIGQKLIDEKKRAILAAHEHGIEKKEDIGHDLLSHLIKANM ASDLRPDQKLSDDEVLAQITTFMLAGNETSSTALTWILYTLSQHPDAQKRLREEAMGV ADERPSLDTLNSLSYMDAVIREVLRLSAPAPTTMRECIEPTVVPLGTPVKGRDGKMID SVHLPKGATMMIPILNVNTSTLVWGPDAEEFNPDRFLKPASSTESNANSVPGVWGNLL TFLGGTRNCIGYRFALAEIKVILFVLIRGFEFEELPSKPEIERKASVVMRPRVKGEEK AGLQMPLLVRPLSG L199_001859 MPETTAIPSSISPLPISTSFEENKSSPTPISAATEKKGLMLPPP IPHKETKGDGAAVPGPPPRMTRLPSLKQLSDHLHYTPSSTSTSQFPSPSQSSIPATPP SLRIATGFLPQGTPGGVSQSPLIAPSPSSRLRLPASAMMRSLSSGSNGMGTPLETVHS PNWVSRGMPGGTDIFGNNSNSKSDSRSSSPTTSKNADALKESPISNIAPQTANTNTNV GTKVLPGRENAPPMSRTNSSNSYIQGYSNVPSLDQIRRRISISQGSKPASNDVSATAA ATILPSGIVDSPATATIPSASSVSKDNKVESPPQSGSLTASSSSSAESETVNGKKKKE HPLRHAWTLFFDSKTYKPDPSTLAQSQKDGGKALTEYEMTLLTVGRFDTVEGFARHLN NIRLPSLLNKNSNYHMFKNGIRPMWEDPSNANGGKWVILFRNSPPTLDVAWANLTMAL VGEMLDEEDEVCGIVASNRPKIDRIQIWTRSRDDHEKLNRLGRKVLEIMNLDGKDREC MSMEYQYNATNSHPPSGLFLHIPFPNTRTPLSTPTPNRLSAPVGLGISASSSSPRLGT LTPAGRSPTNATFASSGTGMLEPPTNGVGMTHSLSQRRLSGGAGANAFAGPMGGAMGR IGSSSGLGGGISRSSSPALSTGSSPTPTPRALKG L199_001860 MSRQLDNDNPTIFAPTTSTTSKRIQKGKASLWSQEAISDEDELG YSSGSGSGSGEEREDIDAEEVYDLLRSITDPEHPVSLEALRVVNPDDIYVAGNRVLVY LTPTIPHCSMSTLIGLSLRVRLLRALPPRYRVDIRIKSGTHQSEHAVNKQLNDKERVQ AALENKHLLNVVEGCLSTADKRGSA L199_001861 MAEDKSYVLQTAGFDARFPNQNQTKHWYQNFVDYHKCVNAKGED FAPCQQFKKAYRALCPNEWVGKWDEQVEAGTFPASLKP L199_001862 MEQYPDGYVEVKRPGVGGMDDDQYSYRSRQYSQDAPQPPSPTKP QLPHASSSSNATSSSHYNHHQENRHHANTISNPESANASRPSLSNDRRLSSGLGGGMG SLGSVKTAAQEDVVPVGFDEGILRGLCEIDGALPLLADRIKQSIASCKQVAVFFRSRA EIEEKYARSVTELCRTTGDVYSRADCKAGTFVSSYQSGLKLQEQLAQNRLRFSQRLNE MSEELLSLAREGEKMRKVHKDNGARYQGILQESETVMDKAKSRFDATAEELERLLVAK EGESFKDAGMRSSASTSSTSTSSNPQGGGKRALGKAMTKGGLLFKGKGAGSIQRQEDD VRSRMAQASETFRKAVLESQALRQEYFNFQLPKILRLLKECADELDLGTQYHLTRYAF LYESTLVSEGTTLNPMSAADEGPGLKTIYESIDNRTDFKSYMQNYAVARGTPKGPRRD GPYEEGFLPPLPPHIQKSVDAASQPQPQQSSSSQPSHVSQISIGSNSTALSASTNGTT HPFPSMQNTASYGQQPKNPGQNHIYDNGSSEGWVPPGIPASTGATFGVDLGEQLNRDG VEVPKVVEKCAQAIEAYGLESMGIYRLSGTTSRVQALKNALDKDIDNIDVMSDEWSAD INVVSGVLKLWFRELPEPLLTYGLYHSFIEAARYDNDRLRHIRLHEQVNELPDPNYAT LKYFMGHLDRVRRKESINQMSVSNLSIVFGPTLLGAPPEEGGLNLEHMSFQCKAIETI LEKYNEIFVEEDGGSIEGEQPQSAQA L199_001863 MFSSPIFVSLPLVALLGSTFLAASVNAATYIGCTASVIVGSSNP SSSTQGNVGKCESYCAENYPNPYFYYRADLEVCFCSDNSPDAGIYDSGSSNLGGCAST SDYQVYATTTSFEFQGCYDNMDTDKAPDNVIELEDCFAACGTEGSAMFLPAYNDDLFQ CRCNTPNTISGVNEVTCGPNVWFTFTHSAAATASGLARRNLKEKLAAIKRQSFTLCPG NANACSVPGAETYECIDTTSELESCGGCMFGEFQSSKNVTVGTDCSSLSGVARGAVTC HNSQCEAFACKKGYELVSGLCVPIA L199_001864 MRRSLSYLHTPFMGCTDIYKYKPQPNDPEAHYAGGTSAGCATYC SSLGTPYFYNQYNTGTCYCSSGSPSADQYTYGSGDQAGCEGSDYEVNIVTPSIFTWSS QGTDFWRGLEGCYTTVTTSQHPGNKPTLEACFASCPSSKSVIFSPNSDTNTFDCKCDP TQINSSGGGTITCGKYAWFAYSRYLSNRRMEGKRRLNKQKLLDQHAEECQEDKEEANG TLSDLTKVVFETITEVIEEIGC L199_001865 MKSLTLTSTPILLFVGLLYSSVAQAYTFVGCTTVVSPTTGDPDS TTVSSAALCNNYCAPTADSAPYFYYNVQSSSCVCTSQAPTAPIYSTSAASDNMGNCAP GYSTAYKIATTFTFSMCDGSADPSSSVTNFVNTPGDCFTACRTYGQAAFSVYRPTNQF YCLCAASSTPTNTQGTDPRNCGASGFFLYSHSAASSASGLARRQLKDKLDVARKERQK RERFCPKGLMACAVEGWDSYECIDTSSELESCGGCIYGEYGSSFNTTSSGTDCSTLPG ILFGAVTCQDSKCQAHACKKGYELIGGLCEPQI L199_001866 MGNLGGGLHSIDWNHTNLTKFEKNFYVQDPRVTARTDAEINQFR AEKTMKIQGQNVPRPITTFEEAGFPDYILSEIRAMGFTAPSAIQCQAWPMALSGRDLV AVAETGSGKTISFALPAMVHINAQPLLAPGDGPIVLILAPTRELAVQIQTECTKFGKS SRIRNTAIYGGAPKGPQIRDLQRGVEICVATPGRLIDMLESGKTNLKRVTYLVMDEAD RMLDMGFEPQIRKIVSQIRPDRQTLLFSATWPKEVQRLAMDFLHDFIQVNIGSMELTA NHNVTQHVEICTDYDKRQKLLGHLEQISKENAKVIIFIATKRVADDLTKFLRMDGWPA LAIHGDKQQAERDWVLAEFKSGRSPIMLATDVASRGLDVKDIGYVINYDFPNNCEDYI HRIGRTGRAGRKGVSYTYFTADNSKQARELVQILRESKSEVSPELEQMAMYGGGGGGR GRGGGGRGGRGGRYGGGGGGGYSSGANSYGGGGSGGGYSSRW L199_001867 MSLSSFIDTLRSQRYSLLPTNSSPSSPDLLKTSSSTKKKLFQAT AIGLVLLVITGYTLRPGGNNGIQKQVVDPYDQYTDPNFHQPISPPEIDVGSEEPPSSG NDPSRPNQIDTTNWGLTEEMGWKPPKVGLKDLGEVAEGRYRLGFEAGEEGTREYYQRL YDFALSLPMPLHSPLLSSLFYHSPPHYDAVVPSYPLSSGTRRPPTSMISYKYIHQTDK EFNPDNDLTKIWTEMNKPDGWELNFLDDNQAHEWMLKHFRKSDVSWAWDYMHRGVLKA DFLRYLLPLIMGGVYSDVDTQPIRPIEQWGHNSVEYLDLSSTDGQSWKSKLSTNPAVI VGVDVDVHAYEGWENGWPRALGICQWTLSSSPSHPIFLDAVRRVVNATRVVETWENWR SAEIEKLLGEGREADAQELQNQHRDMAMNVMEWTGPGLFTDSVLSFLLARYNVTWHRL RGLNHPLRIGDVLILPITGFSPGGQPDFGAEGPDSVQANVLHNFRGSWKGDGARKK L199_001868 MDANTLTGLVKELNTANADGKTDEVVRILKKLKAEVEPSEDLLR SSKAGVAIGKLRSSSTSSISSLAKEIVKSWRDVIEENKKKRKRDDGDATNTKKEEGAA KRVKAEGSSTAASPSAASPNPSTPDIKNETNSTSKKSPSADSPRQPLSTIDSSRTTPR TAKSDGVDKSLRADSSDGAADPVRDKCVVMIYDALAGDSTAQNKILTERAVGIEKYAH KALNYSTGNDYRGKIRSLYLNLKDKGNPALRNEIVLGYISTEKVANMSKDEMASESVR ALNEKIASDNLFKAKAVGETQAETDAFKCGRCQQRKCTYYQMQTRSADEPMTTFVTYV ISFFHLVLPYPEHKLISCNCGNRWKFS L199_001869 MFLPSLRASSSKLPSAIWNSRMISSTTRLMDKAPLRASAETPTP QDLLSKIGRNADTKLEAFSESWEKLNELWMRTVKLYDVGLSVKERRYLLWAFSRYSQG SAPSTFIRPPRPPKKFRGWGPKIQHGVRVRD L199_001870 MAKTYLLSRTLDPILGVFTGLLAFHLNETNPRSAPLPGHTLREL VAWKWTQSKELRQQREREDESDWERVTRELGVAEVCRVYMKQMGDNRDKATMIGELYQ MSSVHMDIRV L199_001871 MAKPSSKTPPSLVKMDSKGKGKAKALPPSKRPRASTSSSSNSSS HSHSDDDEGDAHGGDKSAMLALLEAQSRAMLGLAPLPTQGESSTASKGKERALEESDH GSESGSSLDDDDEEEGEEYISDDGWGAEDGFVTDSEDEFGIDQEALEEEASKVPEVIF DGGVGSKRDMGMSKAERKAFMNGNSAKMMGLEPEDDGYSILRKIKRARAGSEEDDLDE QSNLKLDRTLHKMLLTTLLPTDTLDKANRPSEKRNHLQGRLRELASFNLPGEGISSLR SAELSRHPAHIRTAIMQKAQKREKAQREEQRAAGNIDKRFGGLSDGGGKSRGGGEVKR ADIGKEVGKKKGMQGRTKDDSERARGLSLGVGKFSNGMLKLSRSEIQSVNGAGRGDGG RRGGRGGERGGKRR L199_001872 MATSSTSTSKSIKKVGKENAPPSRSTKKTDMEEAGDEIGGVSEE DDVPRKAKPVAKSQTSKPLQPANGDEDDIKELRRKLAAVTSERDRYRTQRDTYSSQFE ELTKSRTEGEGLFEKYKQKADLQAKAQNDIIAAQTALTEKFQAKVKALEKALSSSPST NPDKELPIAGGPFDSGSGSGRSDVKDSKALKDELTKLKNDNKAKDTELLELQKQIQAE VTYSKSLIEKQQLNSSTNKHPSSNAAVNTSAEEAAKDAASLALYEDLTLLNITNVKIR PARIGKEEIFNCLVCVDGKSLNFKLRCYLELDKSNPSQPKYNKSVHYQPELLQHESEE FIKQLDYFANEFVVPRDQLGGFFMEMRAKVSGEEE L199_001873 MTTTLSKTKDNVINTPGGVIHVRPYRSSSNAQLKAVLSFTPRVS SLDRTNERSQTDEFRGFFVLFWIGLALLFLRTSMQSWEENRTPLSWTFGRLITGDALV LAISDIIMVLTMFICVPFVKGLQNRWYKYYWTGVIIQHTFQTIYLGTAVWWGWHRQWY WVQSGFLVLHSMSSMMKMHSYMSHNGMLATVYSQLQEEKRQLEEVIKNAPGGREQLLS EAAERKAHLEALEGPTPVGTPAPGTPALSSRSSFSASAPSSSTTGYEDPSAALRRHVG GFTDASKEGTPEGSGLGPSAEIRQRRMSKPKKKNQATDALPPPRPNLPLGTSLEPSHS TLPHEPSPPSPLAWSSNEQVALLARNIDAMQEELVSNGAKGLIWPQNVTYRHFLDFMF FPTLVYQLEYPRTKTMRPLVVLEKVVATLGTFSLIYTITEHYILPVIPKPGDPLIRSF INLALPMMVNYLFIIFECVCTGFAELSYFADREFYQDWWNSTSWDQFSRKWNKPVHTF LLRHVYASTMTGLQLSRTSAAFVTFLLSALCHELVMAVVTKKIRPYLFLMQMAQLPMI ALGRLPIVKRNKTVGNIVFWAGLMSGFPLLAICYLIY L199_001874 MSDNKPSTSPPVDQDPSAATPTIGGGGGQGVFPTTTGTASSSGS STPINYDDTALRSPSPRKPSNINFLGLGVPSQTSSGTTGSSASPAPAPTPSLTVTTYP HLAHYIFGLLQNVNISPTKPATSSATGQHPLSVSSSGESDEDDSPSPPLITSTSSTDD INPTLQTPKKQTTSRSETVGGISGAERDGLVKKIVELLDNEDEEKVKDVLKPFMGDLA KDEILMDQVCLDCMHRRKDDVEQVPYAPHFTPSRARGSPNPAVAHPLRPFTPTRVPSF RNRTPLGRPHSPSPALAQPAPPTVPSNPAAPASSSGHSASSGSPVISPRMLNAKAATF SPTARVASAGSTSSNPAGGATTGSTPFLPSDPWKDITSDNPPRSASPFGAIGPTSMSR TNSSIAIAAPLFSDRSSPFHSPMGTPNRTTIKMPDVFNSPSGANTPTFSRTSSYKGVI PDDDDDDEFSPFGKGLPKLHHQDPSSYLNTEAKPFLPFGSSSGLNTANSNDGYSESSF DSSMGQQGEMTDEEFAGSGMTPLDVLCSVFTSVPRSELEDALHRSGYDFESAMGYLVS QHTHHPRSGASTPQRVSSPRPLLGVGNRGGVGSVMGHHAPERGYFQQGGRSFRGDMSP GFVGGTRSPGGNAGKMCRYFLAGECRRSDCRFSHDIDRALCRFWLRGHCAKGPNCEFL HQLPNNLDPNALSSAMSHVEISSDGYAQQSSYTPADEFPDLLSAKIGRGARFDPSRNR FANAVKRATPGPAPTFQVSGMRQSPLLAHGQVSSPNISPSLVVALPKPSSRIKLRPPA LLPTLKTGSATNEQYMSTRSTAIRLGHARNACLARAADAFRRGDGAAAKRFSREGKAL NQRMLNESSEAAQVLVRERRMEAQLAIRERDPLWSDDPTDRSERGKECAGGLGVIMGT APRSVLGGELLSSAERVEALLDLHTLHGGEGQEIAGQFLAELERENFRGLAYIVIGEE KHVGSQDPLRGASKVRLGTSIKQVLGEWGYAWNENAGVICVDPCRF L199_001875 MSDPTIAGSSPSQLTPAEVQPQSQSQPINPPTQNGTLSTASTNA EAGPSSPRNNVPSQSEDVIMANGETTEADTNVDQSKANGTMDKPNANAVEEEDTRPDL TELFAARRAEELERRDRSLVDFLKMLDGYKPLIPEEVTEYYLQRSGFECSDPRLKRLL SLSAQKFISDLSRDAYQFAKLRVNGTNAGGRGRPNTGGVDRNRVVLTMDDLSLALSEH GVNVKKPDYYL L199_001876 MFALPSTPTPKTRQTNWSSIRYNSTAAATANATPTPNTTTTTNS LVQPIDIELEIDPQLTQSQTSSPPTSSLRQTIPSSSPFVHHQRIISTSPPPSLERNLH TSPMQPPYPNTPSPQSYGLSGTVIVNEPSSIMQSHASETDCGSHSHSQSDVFMSHAVP KNSKPALRPSLSHNGVQNAPSPSWPRRVMTTGSSFPPPPLPTYSFDDRLNGNSNNNIS NVRQQSVPLIAPAVNFSASTSSSSAVSDNNNTSNGSIVHGNNHHQNQNQTQSTHEVDT PYLSNTSSTNSTTNTNTSHPHHHLATHPYPHPHPHPHQTYYTHPHEEHQHQQPHYPGP ISYDGYPSSTTSPWQQPMSTILPSHHHQQQQQSPYHWGMHHMAIPQKQDEPILAPGEL PAPRPPMSYAALIGEALLLAPPPHQLYVSEISDSIKKRYPYYRQNPTKIYNGVRHQTS MCKAFVKLPRPFGDQSGGARKWAIRAGCETWFAGGGYHPPSSTPPSTKPPKVGGKAKS TARAKHLIIGTSAEDKKARGMFGGGYPDSGSSGEGPSSGPAYDGSSRPLSPYSQYGQH QASSGTTGYGAPAPPPPNGQHLPPGYHYIPIPPAHGHPQPNQPVYVPIWGPYVQQQGN PNANGGSYYQQQGSESPEQTSWGRGGGNGLETRSNDGTHVSSSYDEVKMMPQSQSQQQ IGSPAPSVHSIGRSIHSSHGASPETM L199_001877 MPDSTSSMPPPPVAGFQSASSLLKTQNTNSPSTSSGSLRNASGG QPPIPIPVPDLPGPSSAPINGNGMTAQAQAGPSTSRPINRPAAGKNSIIYNSVQKRNP VLGSIKNVSVEIGDIVADYQVGTHNGVLFLSLKYHRLHPEYIHQRIEKMKGNYNLRII LVLCDVAEHQQSLRELNKIAIINEYTVFVAWSNEEIAQYLTCFKSFEHKSADSLKERV QQTYHDQLQHVLTSGRKVNKTDADNLAAQFGSFANISRQPSKILSNVKGLGATKVTSL VDAFNKPFLVGGLKTTTDTTASRTEDGSDSNEKTSENIGSPDWPVDEDEEEQGEEEEA IPENRRGRRHSPSRSPGLSPEPRDQDVWQDPLDLEDEDGEPSSKRVRVD L199_001878 MSMLRTIKPKNARVKRALKEREPQVVENEKTAIFVRGQSTSDIV RNVMKDLYALKQPHGINFSRKNDIHPFEDTASLEFFSNKNDASLFVTGLHSKKRPHNL VFTRMFDGRVLDMLELGVEGFKGMGEFDSPKSSVGIRPLMVFHSDLFDTHPKYQLIKS FLLDFYNGHALSEIPLIGGIEHVISITAGPLPQDHDESTDKNLPKIHFRVYTVKLLAS GSKVPKLQLTEMGPSIDFAVRRVQDADEEMLKMSLKRPKIAKSDVESGLGKKKKNIET DSMGDKVGKLHLERQDLSKMQGRKMKGLKVRKERPSAAGAEEMQVDDEE L199_001879 MVRSKFKDEHPFDKRKAEAERIRQKYNDRIPVICEKAEKSDIPT IDKKKYLVPSDLTVGQFVYVIRKRIKLAPEKAIFIFVDDILPPTAALMSAIYDEHKDE DGFLYVLYASENTFGDLAQYEVKDDEE L199_001880 MALYHNAPMAHAQEPTAAPIEHRFNPYSDNGGSILAIAGKDFSV IAGDTRQSEGYNIQTRYARKVWQLTDKAVLATNGFAADGNNFVKRVKQRLEWYEHAHH KPMGLKSIARMIQTMLYGKRFFPYYVYNILGGIEEDGSGAVYSFDPVGSYEREACRAA GAAQSLIQPFLDNQIYFKNQQPEPGSQPFIPGNLPLATVLSLVVDSFTSATERHIEVG DGMEIYIVMNKGRTTDDLLGEGKLQKGMQIEELGALGEGSGERTFLVRQALKRD L199_001881 MSTEIRSTPVLDSLVNAKAQSSRKVNSTSYDMNGSRRGSSTLAV VPENHSHDHHHNAQSSPTSPFAPSSSQPQKVSSRKSSSSTPSAAGDLREMDKALEMKM RRSHSSTSASTIEKGKFKELPGQQQRQRSKRADWVIDLLETQRGAESWIDDQRVMLVL GDPTPAALAPILYDPAFSDTLLLVGSSTPKPDIEALLSPSHLMSSMPDQQIFPTVQPF TPSGQQSGDTESHALAVLLTQATALAQQFRARSSIFPRSRAESFASTGSGSNSPKRVF TGLSNPSSNRTSIESTNSTSNDRQQQRSVSMFDTSTGNADSTPKAKNRLSSFSILGGL RRNSESFDITPSSSIGASSSSSATLKDNLFDAIINFVPEMKNFKPERCLQDMLHQSVV ITTGIVPLLTAKSTSTLKAASKKESKSTSTATAMPISLIHVLPKLMPTPLPNVIESFL VSLLPTFQHRCSREIFGCVTTTQTWLSPFVLLKAKTDQGEEDVSGAQVLLFGGVRCPS QVLDEEEGQCKPRAFLAGWSGCLNMPGLINESRNTSSTSTSKVTSQYIKHTRQLSTPS PASIPYDSVKARTPPQSRSSSPSSSSQKGRLPRSASMPIPNNITNTATTRRSRLHVSH TPPMMSDGELPDHEGGGGGGGTSPPTPDLDSSIESSRASSIALAEAGRRGSENDNEEI KIVNTNTNSTGSEGSHTHTQDNTGTGTGTLGNTKKGKLKNWFKKK L199_001882 MTSPNPDFLQPPRRNLNPNPDHVIPLTPHLRPPFAHYHSSSTLP PTPHTPYSPQAPSNSGESSTRLRPYLSLSPRILLTFFSPCLLPIILTIAHMLQNRSST ASLAASLKQSMLSACSGLAKGAASIQTMPRYLAMQTNEEVVRATQASILAIGSMLMDA VTIIEVVVEFIVDTYRSLLLCTIELVVRGTLEILIAAVDTITDGITTALNSIREEIQE DIASANNIIQSAVSGINSVTSLVNVNLSVPEFSIPSLDFLANVTIPTGFEDSLIKLNG SLPTMIELKDKMSDLLNTPFEALKQEINETRIEMAANFNSSMLPVPSLSKLSANTAND LQNDLCADLDTSLIDDTAKALHKLSNVAIGLMFLLLFAIWAALAIWEWRKWRMMKNAV EAVEDEWRRENTVDAWRMVAIVENPVLEKYSRGILGRVTKSSRTRTNLRWFLSYLGHP TCLALLFISLLGFLSIQFQLVALDALKAHARENANSTVAASTNSLTTKLNAAAMQSSQ EYADQYNAAIAEYQRKIDDELFGSWLNTTAVTLNTTLVEFYDGVEQVLNDTFGGTILY NPINNFMYCILGAKIDNLEKGLTWISEHAHIELPTLPSNILMLSNDSMNEIATPIASA AVGSGSSSDGEEDEGIVGDLIKHFESALKAERTFYGIMIGMWGFLFVVGVIVVIWNSG GRDKYYSIRGKTTPPNNHDSPPTGGSKWIPWMKDTHPIYDTYTEKQFRGTAPTPIPAN IPTIIEPNSTNGEKSFFEYPDDHKENTLRHFVPRKGTFGSTISSLAAPGQAFLKLAGR NVSSTSTAGHEYDDNEEGLVEKGVNSEKYNSTYYNDRQPDFSRKRGTSPLEPGQAFWV HKFYGAIEGVKSIFPTRGQKHGAALNRNGSQRTENSFGASQVPTARTQQTDWPSYYDG NGNRAQEDSRDEPEWTMVNPQSIGRALANEDLQDEDGRYPTNKMIYPRPMSRAPTLSE GMVLPKTHPFRPFTSTNPFEDRPPSLPPKHVISENGYWDESQNVKHDSIDYLQSENED SHDQGDNEERLMSIISPSTTTSSVQNYMINEQPKVQSVNKVQLNGVEENNRGTTALAG ILQELQEKRKRQVEMESDRDKEGEVFSDSRRII L199_001883 MAEHRIKEIDGKVVLIGTGINCLVSDINIPLEIPSAWEDDYSIT VFRENELSSLSIEARRSLSGITPHCNRVYPAGIVQNGDAYCLMIIWVEGQQIRQNFNL TARHFYFHLTDSSSSRQEEDTPLDVRDILQDTFPKEPSTEFLDHLIYTLQLLNDHSAA QSYSEQLVLKEHVTDRPSSKGFIRLGDAALRNEKYKLAMLSYAQGYEDASQNDEEKVI RYCLRGVEKCSIFTEWGVILLEDEMQQIPRFLSYLLLRPWSPTLQSAVKELALIPTLC LEPRHRSYIPSLPPLQEKYFKLPRYFRWIVPFCLSVMSTPRNQLDISALASMGIKTVI TLTEETPLPSKWFQDESIKNIFIPIPNYYPPPIEQMDVIIQLLNDETNLPILIHCGGG KGRAGTVIACYLASYRFHSPTGDRNHPSMSAKEAIAALRKIRPGSLETSQQEAFVAGW CSTIWKRQSIFPGRPSEPPPCRLVMESTIEEDSNLFVLVGLPGSEISRTPLSTKEKVL LDRCNTSSEDRKKWLKLASNWANNTVCIWFDYDRSLCTSRAQRRIGHPTLQPGSRVRN AIEQMDKIFDRPTLNEGYGGSVTPHLIDLGAATSDDIVQSQANSDATADPNDLANGGR YTEKVIITEKIDGANMGLSLSPDRSKIIVQNRSHYINPTSHEQFKKLGYWVETHRDKL IRLLGRDEYFAERYLLFGEWMYATHSICYTNLPDWFIAFDLYDRSTNAFVDRKTLQSL LVLYQCDILLVPIMKELDRTPTDEQLKGMVQRQSNFWDGRVEGIYVKWEYGGVVRKRG KVVRSDFIAGNEHWMKRNLEVNGIARAVVK L199_001884 MTTPIATSLEEMQLNATTSPSKPKTRKDWTLPPTFLSLLATPPE RIIDSLVPLKPPTPTSPSTSTSTPFAPFLNAMKKAPDTLTEKGARAYSSSGSAVVDLF NDFAPGIGAEPLYELLEKAWKEDSGATLKLIFQGRSIHEGKGWKEGFYRACAWVWEYH PRTFLENLHIIVDPTCRRPRDPKRDEERRKRREERAAATNDGVLDLDEDGNVELDVPE DYEYPFRPHGTFKDLTDLLILHISGQLSVKYKGKITALDEGIAPAWQASTFKASRLAL KADPNSKRNRNGFKAILKAAKKKQKEKDHDAFELSLWRAPSSAEKHKILHQRAEKALS HDKKYQALYITVLHIFVNYLKEDLRSLAKHEDFLRLPLTHRDRLRFKNNTSPHLFALT YAAKWVPSPGKSGDRHTLFATALAHMLYPGDGVEWSREKLQKKVLTPLRKALAVPEVE MSNRSWNIEYPKVPSRSMARNANAFAEHDPQGFDRYLKRVSKGRNTISGASLMPHELL YDATRGDNAITKRLADLQWKTMVQSIRTSSANRLNNCIAIADVSGSMGSFSYGQKKDP SPILPCIALTLLLGELAVAPWNGAFFTFSAEPKFETIEVSLPLSERANTLSHAHWEMS TNFYKVFNLILATAKTQKLKPDDMVKKVFVFSDMQFDAAAGKQFGETEHDVIKRKFEE AGYPLPELVYWNLAPRYDGAPKPIPSDVPGVSLFSGFSGALMKYFLGQANLDEDAAMD VEEEEDEDDGDMVVVAGPTKKGKEEKKAEKKKDSPLDTVRKVIAAESFKDLKVID L199_001885 MSKYQQKPYTAEEKRKAIETYSEKIQYSPRYSNEEWEYRHVIIP KQLVKYVPPGVCPEDVWRGLGIRQSPGWEMYMRHEPHVLLFRRPKDYDAVHQPFSQVM GARAMNVVGGKK L199_001886 MKAICPFANLSIIQPPPHSSSSQLHLNLSSTPSSSSPIDTPSFS PNTPTEKPQPQHSARGGKSPGEHELDLNQPVSTLLKLGTQRAHVKAEHSAGAAALVQG GLGLEEYIRWLAVLWRIYDTLELGLAENSSNPVLAPTYDPTLLARAPALAEDISYLLT QLPSSSSSASSAFNSSLSSNATSLPPFAVPPFLEEIFVSPPEPLSNYINHLKSLSTSS SSSSKLLAHSYVRYLGDLSGGQFIGARVKKSYDLSGEDGTKFYYFEFQNRSNEEDNES KFDAKKRLGEVKDWFRKGMDEGVGEDQVLKADLVEEANIAFSLNTDLFSVIRLPSSSS AQTSTAAKQKGVEPESTAADRLNSIIWFLVAAGAGVLLNVYVQPIVSDWINGKRNGI L199_001887 MTEYQLHELPLPVPSPASPSGSSLTGKKHSITDITISIPGSKIR TNTNTTTTTQHSIPRWNTLEFRLYALVFVVVVPMMIWIPIKLSLPSHPNYWNYEHRLS EGWFGYKVDNSDAQYRSFRSNLLPLLTLSSIYLILSHLFPRLTGPSPQQRVKFILGFS ISMIILLHGFSSIKILLILSLNYYISKLPLHGGLKKVWPAVLIVLNMGVLFVNERNDG YKFGDLHAALGTLDNWSGLLPRWHISFNITMMRMVSFGMDYLWREQNTNQEVPTEYRK RVNTSLPSEDYNYINYLAYCLYPPLYIAGPIITFNDFIWQIRNPTSIPTKSKISYGIR FLFSILTMESVLHTMYVVAIKDTKAWQGDSPAEMSMIGFWNLVVVWLKLLIPWRFFRL WALLDGMDPPENMIRCVANNYSTLGFWRSWHRSYNLWVVRYIYIPVGGSKNTILATAL VFTFVALWHDLSFKLLAWGWLVSLFILPELSARKVFKESKYGQQWYYRHLSAIGGVFN ILLMMSANLVGFVLGLEGMKVLLVELTSTISGWVFMVFASCCLFVAVQVMFEYREEER RRGIDRRC L199_001888 MLEAVLNTTLAAEFIRLPLQGRSVSESVITGMDPTKVDPSNPIT LFIIQLFIIIAFTQGLGWAFQFINQPRVIAEVIGGIILGPTVMGRIPHFTEHIFPTAS IPYLNLVANVGLVLFLFVIGVEVDINVMKKNGVACAAISIAGMIIPFGLGAAVAVPTY HNFVDTDNVSFGHFMLFIGVAMAITAFPVLCRILTSTKLLDTKVGVIVLAAGVGNDVV GWVLLALTLALVGSGTGATAVYVLLAAVGWAILLLWPIKKGFVWLVKRSGSLEHGPTP GIMTLTLLIIFTSAFMTGIIGVHPIFGGFLAGLIIPHNGGFAIAMVEKIDDLVSLLFL PIYFVLSGLATNLGLLDTGKIWGYIILLCVIGFLGKFIGCAGAALAMRYPLRESAAIG MLMSCKGLVELIVLNVGLNAGIIDQKLFSMMVIVAIVLTFITTPGTIAIYPEHLRERL HGPTSTHKNDHEHATVGSDVSFGGGSGGRENISKFLFILHKLEHLSAFMFLTQLLEAP APSAARKPFDVSEAVGHELKKGDVNDGSTSSTPENVLARLPTTTSTNDHASSQQTGVA PTIDALKLMELTGRTHSVMQSAEKDQLLVTDDALQLFKQFGRLRGLEVKPHISIVEQD SYHQAVSDYSHDLGSELVILPWTVPVSGTSTELIDPSIINKEKDTGGMSSSNSTSAMV SQFETIFGNGGNDNSGSPIYTHFIRKVFSECSEDIALFVDRGFGSSSPLQPGSGQHIF LPFFGGPDDRLSLRFVVQLCKNINVTATIVRIEKPDNANDDEDDAVSNVGGGKSESIQ VHQAALASNQLTVGPTNSAYPETQARLASDTADNIAWTYYSSPSATGRSTSIDNAISR ISFFSTQSATPLSFAFRCATSTIQSSTSSSSGAAGYWRPMLIVTGRGRRSSPIHHSQE LNKILADKGLNPSIGAELRKTLGDPATSLILGGGLPGTASFLVMEAGKK L199_001889 MSLPLPTLSPSEVSYIQTSLSDPTQPTRSDSRSLLDTRPIEVSY GVFPHANGSSRIKIGGTEVVAGIKLEVVDSSESSKGWTGKVEVDITPQAFPSSQPSNL SSSSAYLSSIVQSHFIPSIPPLVIIPNQKYFQPQIHLTLISSDGNVLSALVLGARSAF ADLKLPKIKIISWVGENGETAESQGEGDVIGKGDLSGIKAAIKSKSRRGRNVTKGGED WDLDLSEDLVHIDGREELPVLVTLNMVPNSPNIFLDATAQEESACPSKLHLFFNTSSK EKSLRICGIRLEGGQSIDDSRIKGLIEEGARIAGELISGLNGNLPQ L199_001890 MAPKRPNTYASQGPSQRRRPQAALSDSDSDEDGDYQANGNGRAN GNANRDDEGDQGQGSTQAGAGGLKDSEIRIRSSQLARYALFQEYKRNLIRRADIVKNV LPNNPRAYNLVFDGAQKILRESVGCELVEVRGRGKGAIADGDIPAGSGAGGRKGKGRA RQNGNGNGNGNGNNENDEEDDDENAPAPTQTQKGKSTSTKAYILRSIIPPDLLAAMSN PSPLPLGVEDEDEAGKDSGALLQWEKGDGTSSGHIALLGMRTVILAIVMTMGRVVSDD LLHAYLRRLNLRRETILPYSSTDSKEPPLTLDKYLDLLAKQNYLEKVKIPGHGHGGEG GEQYEWRWGQREVEFSEKDAARFIEQLILGADDESSSEEEEEEDNGRRDRRGRPQDQT VDKAARRNKLKEDIVKAAGGELTGKDW L199_001891 MNPSYFLPNQFSSSSNGNPNGAGPSNYAASSSSASASASASGPN SPDLPSHHQQQQQPKRTYQTQAEQRQLLHPPNIQGPLIQPNQDLNSFLETFWERQMNT VEGEIPDWKSYNLPLARIKKVMKSDEDVRMISAEAPIMFSKACEIFISELTCRAWLVA ESHKRRTLQKSDVASAIAFSDMFDFLIDIVPRDDGEQDAAAGTTTNNNVGGSGNGSVV DDDHDHDHENNVDGSNQDGHGQIHGVNPHDINGHGHGHNLPEDEGEDLYNKYVQED L199_001892 MFSTATNPYDELVVKATDENLASEDWALNIEVCDKVSGEGENGA RQAVAALTKRLSHRNPNVQLYALELANTLAQNCGKELYGELSSRGWTGALDRLVNDRT TAAPVKKKALSYIKSWAKQFEDTGDPNLGIMGELYDQLRAKNFNFDEAEPQHEDAAEA RQRQEDEELQRVLELSKQDKGGRTSYNYQPSNPSAGGSSSSAPNHNVGASSSSSSRPY PQQQQQQAPQPVYNPPAPEPEPEQPLDINTATRVRALYTFTSAEVGELNFERGDYIKV LDRGFKEWWRGACNGKIGIFPVTYVEAVPEPSPRELQEEAQEEARVFASLGLVDQLLQ TLKGIDPSRGDRIDDRPEIEEMYQASVGLQEQINSLIKKYSDQKAELEHMNANFLRAI RQYEELRNPAPPPAAYGYAPQQPTQADPYAQGGYQQYPQQQAPPQQQAPAQSFPQQQP YAPQGQGQPQYSPQPHPQSQYVSEPQPQPQPQGYPQQSPDGHYGSAPPQAFPQAQPAV APVEQQPQLGQYYQQRAVSSGSVNRIPSGATTTPGLPLPPTEPIRQNTEPGVAGLGAG SDPQAEHKKAWDDYYRQQAQNQLSHPQGLAPGQGGQPQGYAPQAHDDAHTQNVYGQSP YAPAQGQGIDGVTQGINRMSVHGA L199_001893 MSLPGTETIRFRRPTTGPNAQKAAEEPKKDEFGSMAPLGFKKRH QGLLQDQVGRNRPGPFVPTLSYAFRLLWLIRTVAAMYAVISDCDEVFNFFEPLHYFQY NSGFQTWELSPEFAIRSWTYVLLHWPLAHIIPKVLSVGKRPAFFALRISLGAICSFCE AKFFRTVVETINDRVARYLLFGLMLSAGMWTASVAFLPSSFCMYTTMIASSYWFHPAT STPTGIKRAYIATFAFAVGAIVGWPFSAALGIPFVLEQLFLTGGEVVLPAQKGNWMTK RWENLGKAIGLAAGIAIPVSMIDSWAYGRSTFPTLNIITYNLFSGNGPDLYGTSPASF YFANLFLSFNFLLPLALISLPALAITYKFDYRRLGKTQQKPKEGETSPYTLLAVRLSP FYLWLAILTAQAHKEERFMFPAYPLLCFNAAVTIYLIRGWIETYYIHVTNSPYNASRS SIFSIFTLLAVLIPGAISFFRVGGSLYFYHAPFDIVHHFQYKSIPSYLSELGYEPIPL PDNYQPYGNEIPKPQWDLTPLKNLENPITLCYGTEWYRYPSSYLVPEGVRVRWIKTDF DGMMPRPWEPSNTTGTVWPRSETRVIQEGKFNGENKASEVPGTYVDSSECTYLVSLTL LSTTHTQLEQNWAEKPEWEEEYCKGFLDAASTKWWARLVWLPGGLLEKGRVWGDYCLL RRKAE L199_001894 MAWSLFTSPFGSSSPSSSSSSSSSVNPVQTKSQTDILPPPALAP PTPKVNKYETVLQDEAKYQEVQYPTTEQVPGCMTLLDEFLMCYALAPQLRSMYRYGEF RDCTWKWEDFKYCLSLKSDDEEMRRKLWIKRRAEWWAKRRVDGSSEDVWDVRTEPPKN FPPLVTEEITSESSTT L199_001895 MSAPEVFQLSLGPLTGIAFSPDRSQVCVCPNSNEAQIYTRNGDS WDLTDTLAEHDKLITAIAWGPNTNRIVTCSQDRNAYVWTHTEQGWKPALVILKINRAA TSVKWSPSENKFAVGSGSRVIAICHFDEENNWWTAKQIKKPLRSTVLSLDWHPNNYLL AAGTADGKTYVYSGYIKGLEAKPEATIWGDRLPTGQLLAEFKSPNGGWVHDVAFSPSG DALAFVAHDSSLTIIYASGPNEPPAAHIVVKLPSLPFTNLTWTSESSIVAAGHDCQPI LFTGSSSGWAISHSLDDPSSTSKPLTPTATGIRSGGGGVGRLGNNEAFNMFKAADSKG QRGLPGTGAATTSSGLTPVGSDGMLLTIHQNTITHVEAYEWNQNGEVSKIFTAGRDGR LVVWPVTGGKGGLSGRMAGLQV L199_001896 MSAIRDSVKIDSVLLKILKVQGWRRPKEESRRLSLPYSRPLGIV TSAGSKGSTEHVIRELNQIKQEKITFIYDRSMILGLQESLRGHLRTSFDLQRFLLPLD DLLIREYMKITASTYILTSRQEDWSGDLTNYKKLFEMGQMRLVNSILRDPRFWKNDKC QDRQYGRSEFSTTSPIAEGDRGNLEAGTESIFSLHYRRRQRKPHVLFEAVRKQDIDDI LMDNLTRLGSRSGTWDDQWSIEISGDGQSTKVTGYLEPDPQIVENVRLLHQQLWLKMW LNQCDYYILYNFSSILIYHLTSPTQARMSYVVPLEKASSPEFIATERVSHSRRWGRIL EQDRKRLDLPRQLHPDLWTLRHPFSRTRLKICSPCVQSISQIPLLLTSPEQSSSRRAL DPSRSESSILMIGEPISWG L199_001897 MKAARKEAKIYNTRLKNLQGDKVRECHGLWKVNSGPNKRLIMVL SRVEGRLEGEDENWGNLHDLDRLEIAKLYQAIHSVGVVHGDRCLRHIRYDYSYPSTDP YKDPSPLMLIDFEGSKIVGKRHPDLLEEKSIVLSKLDLAEDYY L199_001898 MSYLLNYLPFSVPITISAISLSIVPLLILHFRSYLSPSLRPSPD SLPSSFYPAVTSHGRHLPLTAKNAFSYAVLYLGVDVDSMESGHLDLPFRIFKYAGHPL TKLIGLRTRDYLSHGDESFREKLEKLLCTDKYGIQKEDIGRIWLVTLPSLAGWEGPNP LTTWYIYKKSKEEGRVGEMLCVILEVHSSFAESHCYVLKPDSEYRQEPAKGYDLAFKF PRQFHVSPFNSRDGFYRADLTNPFPEPDLAYYTDNPPKFKIFLKVLSTDDQVKFIATL TSGPTPPIPLEPCNILSILRILLKWPFTLFSVTARTFYQAYKLHYIKKLALFPRPEHH TEGSEGLVNPPERDVHGIGQGMQRQAVSWTEIHARRVVENWVKQRVEYTGIQMEIRVK NGRENLMVDKKEKTTSNGNGHANGHANANSNGHSNSRLIITTSDPMFFTNLLVSPTPQ HSLIMFPEQLTSESSPELFVEFFSPYSASAESHIDGVTRYTRRRRTEYFLYLYSHSIV PALPSVPPIIPPPSHFIEHVGSSFRDRLLVARIVFWFTFNEYFEYRLFDFLNAKFVSG SEPWKIWERSLQSQFWGIQPQEGREEEKKLGTYFIG L199_001899 MSRPQTSHFLPLPPLSIRTPAPSYRKPTLITTYSHQPDRTITHD DSSMAYYRAAPIGSDLSYGFDRRVERDESVDEHLDGLCDALREVQGKGERKGGIITWR GMITRIMTAPYEDREGWEMTAIALDGSVYVELHDPPEVRAKRRTQQAPYAKQSYMGYS FESFSTVSAAQEQREDWLEGWGGDVNTNVQVVVQVSNITFDTLIDDGRLININSVVRS AIGDIPLCLGGEVDCVKAEPGSPHPGLERCVELKTNKIIENEKQEFTFHKKLLKHWAQ SWLLGIPEIQVGFRDDSGVLRLQRGFETEKIPRFIASIPSPRPPWTPQPCLHFLHAVL QLVLTHALPTDPLVRYPPGSIHPEEPLPAATVWRFSFIPRRGCEMYKVGEAGVDEDGR WGGMLKEDYVRWRMG L199_001900 MPILGFGGEDDESTTSSPTQTTREDDDDKTSSQRTTSKPTSTGS KDEDGDDEPTTTSKPTSTAKKNEDEEDKPTTSTKRKELDDETSTSSSKTKTKTSTDES ASTGVMSVANACIKNGTDSDECLDGYQQNSGLIIFCAIAGALVIIGLIYWLIKRSKRR AKLEGMISKA L199_001901 MGDIETGSPLPSEVPSTLATEGAEVTPKSTSNGTTNGTHVEKGF SPREFLSDRAKVTQIDGIRGLMAVEGPGIVSAGRPNPTTFPFSSITLNLKSPLADAQA KEESITIDTEDLDIALQYSPSPGLGKLVDWAVGLQSQVHNRQRDGKWTVSFGSGSQDL MSKAFHALLNPGDPVLLETPIYAGVLPPLRILNAEMIEVDVDSQGLSAKNLERILSTW PEGKKRPRVLYTSPTGSNPSGCSAPRERKLEVLEVCKKYNVMIFEDDPYYYLATELIP SYFELEAEVYPEGGHVIRFDSFSKLLSAGLRLGFATGPKEITQAIDVLTAGANLHTSG VSQAVTLRLMQHWGIDGFLAHGRSVAKLYAERRAEFEAIAHKYLDGLATWVSPVAGMF LWVDLSPSGIKDSYDLIRHEALAKGVLGVPGMAFYPSGRKSPNVRLSFSIVDLDQDTE LGFARLAEAIKDKQKALGLA L199_001902 MSASAQQSFRSQLSGFRWANSVQDDSQRSASQQEGSNPLGRVWN SMSGYIPLRNEGRSQEEEAYFALSRWERFLGFLACCAGGIACFGVAFLFLPILAIKPR KFALAFTLGSCLFMLGFAILHGPWNHLKHILSPERLPFSLAYFGSLALTLFFAIGIRS TIGTLIAAIIQVIALLSYLAAYFPGGITTLRFGGQMALRGAGSVLPF L199_001903 MGGPSTIPEVHDFLSRLFHDHDLIPLPFQSVLAPIIAKRRTPQI EKQYTDIGGGSPILKWTKIQGEEMCKLLDELNPETAPHKPYVAFRYAKPLTEDCLEEM QKDGVTRAIAFTQYPQYSCSTTGSSLNELFRIARKDGYGDKGSVEWSVLDRWPTNEGL VEAFAHNVKTALQQYPEERRKDVVIMFSAHSLPLEIVNRGDPYTAEVAATVHAVMTKL NFSNPYRLTWQSKVGPKAWQGPQTASAIEGFAKIGKKDICLVPIAFTSDHIETLYELD IEVQEEAEKLGVHLTRASSLNDSPIFIRAIADLVSNHLKDFQQGKIGPTGQQLLLRCP GCTNPKCGKTKEWLSTGGVGLAA L199_001904 MSIRQPKPLQIRLPFSVPKPDKSTNTDKKICGICRKKESKYVCP RCNILYCSLDCFRDESHSQCSEPFYKSTVLSSIASDPKAGLEEKKSMVEMLRRFEESQ AEGAEGPEDFLKELEELEKEEEEYDELLEKLDGVDLDSIDSNQLFHLLPQQHRDAFLS ALKNPDSEEAKELLEEATKDEDEDRDVPDALPWWEGEQLQENEEADDDEAEEKTRIRA APAPGLIPDEIIDAISPPEGVGQKLTYNAVAICIAYLHTILSFRLPSLSSDYLRRANV TPQEVKGYVGKLVPFLIDPKSTVRYGNPSSAWGNVWDNIVEDLPTSHSNIPTLQHLLS LILPLIHPPIVQPSLPKIFYVLSDLYQLYSIPKPGGAVPRKLAFYYKALLSLNRSDWL GIEKQLGKELEKLKIENEDGNPDVQEERKELSVL L199_001905 MPAPPSAIYATLSSPLPSKPYILSIKPSPTNPHLILRHPGPDLT LVDNQTLQPVERLTGGHQGNVTAVAVDQDAIWSSAKDGSIVRWDERSRRAGTIIRAAV RKPIPVTALTISERDHLVIAGTELVSSESHIMYWDNRNPSQTIYLHSSTHSDDITNLS ILPPTSTFQSSASSSSRNGPLPQRLLLSSSTDGLIALSDLKESDEDEAVICTENWNQS IADSNWYLHKGKMKFWARSDMDGIATWDLGLGGEAGDELELQNHIEYPSSSFKFKSFK PPKQGPTITQTASEEMESKIQLKSDYLIDVIPSLGVGKNGGAITAVGTNEGDIILQHH STSTDYSPSAYLLSGPSKSRGHKDVVRALYHDLSNEAIYTGSEDGVISGWNLNSLPDK LVVGDDEFDLSGDENEDEDEDMDEESEIETESEETDRSDDSMGLDDEEEKGPRYGPII GGGNNADKGKDKRKEKRKGNRFGPY L199_001906 MAPSYPFSRNHPSSSSSSSSSQQNGNATPTQRNINQHASRVISA TGIQNSPAAHAHNQLNVDSPTNLRSRVVSPRYSNTITQANVDTFRSENDNYPSPTDSL KRGKSKNKGGWIELSGNGTPSPTKPKRIDGLPVPKYDSRGYPTKDRDWNDETYYDGGK GKGKGLGLRSSHSNDSLSSEVSSTGAGVNEESSNFLNTGHKPQTSYYIPQYSSASSAS ASASASGSSTGPSPASLYPPNLDYSLSSPSYLPYDQPTGVVTNSNPLPSLYGESRVSL NSLKSGESLTQFRKYDHLNYAENGERGYGYSNFSISSKGGKKHLADMFSLPADPSTWS NLGPEPDDDFHDPDYRPAKKSRFHAAIFTWRGAANLGCLFAMLLVLVILFAGYPILDN YLSKSINSHGAYNLGGINATGQIPDIGAFQLIDKDTPSSAYSWTSLETGEEWELVFSD EFNRDGRKFYDGDDPYWQAVDLHYWQTNNLEWYDPRMVTTKDGKLEITLDKVTTNGMN YTGGMISTWNQMCFTGGYVEVSVSLPGTSNVYGLWPAIWTMGNLGRAGYGGSLDGMWP YSYDSCDVGTLPNQTLNGKPELTTTDGDPNYDYSLSYLPGQRLSSCTCPDDTTHPGPK LSNGTFKGRAAPEIDLFEATVNSELLEGEVSQSGQWAPFNPHYYFLNTSTKDYEIYDD TVTKINEYMGSVYQQATSGLSVTDQNCYEQNTGCFSTYGFEYAPGADGYITWINNAKK AWTIRGAAMGPNDEAMVGQRLVSEEPMYLIINLGISENFGAVDYDGLEKLWPVKMEVD YIRVYQDPNKRNIGCDPADMPTAKYISMFPEIYNDPNITVMDQIPNFTKPKNRLVDTC L199_001907 MTKPNTPFIRPCQQSYRSLRDQRLKTVAAIYATPTHIAIKLDFN RFQSDPKHPPAGDPKPFNSFDHHTFDFKPPSSANRYNSPWSPGSLFSSPSGKVKSSFR WIPNLIQWLRKTVGIDSLPVHQPLIYQVYCDSYISTGHLWDVYRGTITSTETDPNQEV KVVSRKVVIKLTNPNEFPLTSPSTLPWNPYGWTAYKHTTGSAQKAVLNEDHIYRHVLV SEQGSGVPLYFGSFAWFPVGRARNSPQVIMQILEDVGELLYPDKLRISLEPAFIRQKI YDLY L199_001908 MLISKQNRRVIYETLFKEGVLVAPKDFNRPAHPDIPSVRNLEVI KAMQSLTSKGYVKTQFSWQWYYYTLTEEGLAYLREFLNLPSEIVPQTHMKPARPQGRP SGYGGNREGGAYRAPRGDREYRRRDDGEKEGASGDYRPRFGGVGRGAGAPPS L199_001909 MSNLIEGLFHTIQGIFQSIFAVIQSFFNIIFSLVHGVTSLIWNT LESVAEFIGASVHFVISNIVILGLIAVGLVIYNDRNKRGTLGNDFKKKAQ L199_001910 MSSSFSSSSGASLRQPQPLYHRHISNPSNTFPLTGPSLNLSPRT RSHGYDLSIPSPIPERSHETSPLPDYDDQGQGFKGHLVDLDNMSKPFVPTPNISRPGT PGGGAKDDANAMLLNNLGADREREGRERREERRDDKLTGKEQALPILSYCAASIMMTV VNKYVVSGRHFTMTFLLLAIQSAVCVLAVWSVKRFGIITFRDFDMKDAKAWWPISTLL VAVIYTGSKSLQFLSIPVYTIFKNLTIILIAYGEVFMFNGVVTGLTLCSFALMVGSSI IAAWADITSFLNSPPELDPTTGLEIATGPISTIGGINAGYVWMAANCLASAAYVLFMR KRIKITGFKDWDSMFYNNFLSIPVLMVFSLVIEDWGSESLSLNFPESNRFILLSAIAF SGAAAVFISYSTAWCVRVCGSTTYSMVGALNKLPVAASGMLFFGDPASFGNVSAIGVG GLAGIVYAVAKTNQAKVDQANKLRAAGGRA L199_001911 MSLPLPISTTPSSSSITQPQVNGNGNGKESEINVIVKKEEPETN SGSEPVRVPSVDIPTIPTDPKPAVTPTPQSSSAFPGQVNAQAGPGPSTVQYSQYERAI NDKKRKWSLMGYEEDELELLEEEYLNLNLALQLDQTSTLYPSSPTQFDSYEDMVDRLM PYHVWQIYDEELNGQLPKSKEKELKEIQDASGLVERIRRVKDRFGKIRMRIDENSNIP SLIPMYQQSNQTLKEEISSLQTILRPLNAEYALIEKQQEDRRRAEEEKKRREEERLKA IEDERRRRVVAQQRAEEEMRRRKEEEESRRLREEEEKKKLALQSSANPTNINPIPPHP INQPPSIASPPSTPSSSYADRGKPRGRPRGRGRAGVREPSTPHLTGQTNDIANANPNT PSPNSTSTSTPLPGGSSSTNRPATPGTPGTPGTPGTPGTPGQAATSASTPAGIVNKGP VSLTVNRSLIPQLISLELLLPNPTPTSPKTPATIIKYLEDKNSVVLSVNLTQCTKTQL IALAKLLNVSTKAPAPGTATTTTPAAASTNAQAGNGNPPAQSGSIAAASQGQVKPHIQ GNGTSAAGPSK L199_001912 MSVVNGAAANGQTDQYDHARVAHFIGANSLDVATAGRVTDFVKA QGGHTVITKVLIANNGIAAVKEIRSIRKWSYETFGSDRQIEFTVMATPEDLRINAEYI RMADRYVEVPGGTNNNNYANVDFIVDVAERAGVHAVWAGWGHASENPRLPETLAKSKI VFIGPPGSAMRSLGDKISSTIVAQHAEVPCMAWSGTGISDTMLSPQGFVTVPDKAYAD ACVTSWEQGLEKAEKIGWPVMIKASEGGGGKGIRKVEDPEKFKNAFQAVAGEVPGSPI FIMKLAGSARHLEVQLMADQYGNAISLFGRDCSVQRRHQKIIEEAPVTIARPDTFEEM EKAAVRLARLVGYVSAGTVEYLYSHSDDSFYFLELNPRLQVEHPTTEMVSGCNIPAIQ LQVAMGIPLHRIRDIRTLYGMDPHGVTEIDFDGTRPESANTQRKPRPKGHVIACRITG ENPDAGFKPSSGNLTELNFRSNSNVWGYFSVSSAGGLHEYADSQFGHIFAYGMERSEA RKSMVVALKELSIRGEFRTTVEYLIKLLEKPEFENNTLTTQWLDGLIAEGMTSERPDP VVAVVCGAVVKAHIAYEASLAKYKSVLDKGQVPSKDTLQTFFKSEFIYENVRYSFAMA KSSLFSFTLYLNGGRIFVGARSLSDGGLLVSLEGASHTVYWREEVGAMVLSIDSKTTV IEDEQDPTQLRSPSPGKLVRYLIDSGDHIDAGDAYAEIEVMKMIMPVTASESGIAQFM KQPGQTLASGELLGILTLDDPTKVKFAKPFEGILPTFELKNGRYGTKPHQRLREHLEV LYDNLQGFDNSASVSNSLRIVESVLKDADLPYSNAQEVMSTLSGRIPQKLEEEIRSIL DSSRAKNLEFPSLRLKRTIDLYIDDNVPPKERAQVTAAISPLSVVIDAFAHGLKVHEW RTWADLLNYFADVEEPFADTTRTAENIVLKLREDNKDLDAVVKLVLSHSKIALKTKLI LALLDIVKAESPRASMTPESHINEVLSRLAALESRPAAKVALKAKEVLIVGSLPSYEE RLGQLEQLLKASVTTSYYGELGSGHRLPSADMLKEVTDSRYTVFDVLTTFFEHEDPWV ALASLEVYIRRAYRVYNVMHLDYEVGVNGEPHIVTWRFKLGSPQSEPVTPRVDSMRDF TRIASMSDLNYVVNTKAEPTRFGLMTSYNKLADLENGFSKLLSMYAPFSHAEFAEKYG SDARSPHVMNVALRLFNGDQELTDEQLNERFHALANQYADKITSKGIRRVSFMACRKG QYPSYFTLRPTSDGSWKEEEAIRNIEPALAYQLELGRLVNFKITPQPSTNRQIHVYHA VGRENTSDVRFFVRALLRPGRFQGQMQVKEYLISETDRLVGDILDTLEVVSGQIRQAD CNHISVNCVYSLSVSFDDLQEALAGFIERHGKRLWRLRVTQAEIRVVIEDEEGNPLPI RAFIENVSGFVVKYEAYQEVTNDKGKSILKSIGEQGQFHLQPVNFPYTTKESLQPRRY QAHVIGTTYVYDFPDLFRQAVDKAWRQLTQYLPHVKVPSDLLQASELVLDEHGELQEV NRPPGLNTCGMVAWVFTMKTPEYPKGRKVVVISNDITYQIGSFGPTEDEFFYKATQYA RHFGLPRVYLSANSGARIGLAEEVMSLFDVAWREPGKPEKGFDYLYLTPEKLEKLNTL GAGSVITNEIEVDGERRHQITSIIGLKDGLGVECLRGSGLIAGETSRAYDDIFTISMV TARSVGIGAYLVRLGQRVVQVEGQPIILTGAQAINKVLGKEVYTSNIQLGGPQIMYKN GISHLVAASDLDGALQIVNYLMFIPERRGRAIPILPTGDPWDRNVEWKPTKAAYDPRN FLAGCYEDVDGVNTWRSGILDNGSFFETMGGWAQTIVTGRGRLNGIPVAVIAAETRSI ERVDPADPANENSQESRVSLAGTVWFPDSSRKTATAIEDSNREGLPLVIFANFRGFSG GMSDMAQAILKEGAKIVDGLSSYKHPVIVYLVPNGELRGGAWVVLDPSINPEHMTMFV DNDSRGGVLEPEGIVEVKYRKPKVQATMARLDPEYAELKKAVENASASAEEKAAATAK LEAREKHLGPAYQSIALEFADLHDRSGRMKAKADCIPCDWENSRRAIYWSLRRKLSEV RIMRKLSTANPNLTYPERKELLSQFVPSDIGSDSEVASYIEKQSDNIESFVQSIRDDY CAETLVSWASSNQEGVMSGFKRILEGLSPEQKAEVLAELGVTKA L199_001914 MSQIHYNDKSPTETDEKAPHHLTVMDVSDYPDDKQVIAENGNTV TVNEVRVAEEAILAEGEFTEEEYNRVKRKTDLLLLPLMWWMYGIQQTDKTGLGTMNLY GVQKDTGMHGNQYSLLTVIFYAAYGLFEFPSNVVLQRFNMGKTLTIYMFCWGIIVLCQ AFLHSWAPFMVLRFLQGAFECTISPGFNLIIASWYLTREHNARALVFQSANAGWGIVV DLTMYGIAQAAEKHEGGFAAWRGIAVFLGGQTLLAAAIAWFMLGTPNEVRWLSKREKL IACARVMSNNAGTDLTGRKTWKWDQVIEAFKDPVMYFQFVNAFMSCVCNGSLTTFGTV INKSFGFTESEVILYTIPRSVVSVLWFVVVGYTTTKIKGTRMYFMMVSCLFPFVGLLV IALLPSDVSYRWIKWGMYLMSVTFVIPMFSAWSLISSNTAGRTKRSVISSMCFIAYCT GNIAGSQVMKAKDAPHYIPGTIAIAACMGVEFVTIVVWRLYLVWTNRRKQAKIEAMGL TQEEIERKGQELGAEDTTDMKNPFFM L199_001915 MVYIVVVFVEVKPESIEAVKTRMSEAAKIYEKDPETLEFSLRQD INNLQRFTIVERYERESSLTEIHKKNPIFADTVAFLRANVTKPNEPHFIHA L199_001916 MVQPQAGPSTALDLDDYLCPLTTIRDTLLRSDPSTISIPKLRAL ITWHSKKLSTPWSPFASSSNEFKSSISKPSFVLPQTSITIQVDNPVKSLALRLSEISN IDEISSYLLIRSYQTFSLDEVDIEKEEDKDKILDRIILWYSEEILALPQIVLALLKLS TDDDSELGQLSNDLRIDIIGGEDSSRYIEGLFRGFSNLAQMSLNDKQRGPNALFWATH QLRLQEQLLTLLFIVLYQTPNRLSNISEGLIRGTIMSSFGTSQSNREIWENDMECQRK SIRIRDLMVIIGLESLCLNQIVSPVEENEAFETILQNKDKIESIHQFIIDYSDDLIPH YPEPELSSTPLPIWPMSIICLAWAIILRSLPSDKAPLSEDGSVTWQDMAIRALRLPSG LFPWLEVILSGPLLESTRDISLGGGEMDVGLFQRKVFKDLLIGLSELIQLESIADKPG LYRSWELLFGGGSTSTSSLIAADYWIADFPYDERRSILDRSQFPYQPVHLLRVLSALV GSSPTETSSDAFGTDPAAQVQHYFTNLPTITHTVDPSWCKYVGKDENGKEVVEAVKTL ILPGGASIPKGSRGFILPTTSSSQVMWINQIISGWPLLLEILEAAAGLKSAEERAPAD SANPPDSVYLSVRDLDIQLDTSEILAAGIKFLHSVLQSSSYVKSTVLTHLNPDDHLTS GQTLLHLALTVLQHSRNNELTLNSSVVPNAIDIIQSLITSPESNVWPALRSSGFFDVT GKKRGSVIALVQADSVKGEHILTAAVLRLVHTLVVNADHVPDSDVVILRSAIHLVFAD IWNNFSAWRYKDVAKKYELSSSLVGIFDTVLSHPLSADGSGPSPAAQVLIDLFITSTS PLTYRPLVDAITQASYLIPRLIGFRRHVDAELVVGCLDETLSFLGTLMRISSMIGTSA IALPASLLAIPVAVPSGDKVQLVDALFEIAFTPAAQTSNVINILKTLRVYLEVIGQDP HKPSLASMLRSPTQTCENLTDLATRTDDPDVRAAVWDLLSTMVSTQPGCVLACIGLIN GEGVGGTLKYAVEEITSWETTFREAPHTLSAVLNYLQSIMRAAGADKAISHLRKNSDF WQAIFDLATRIVPAPPSFSLSMHSEDFASRIQKYAYSVQAKANATSLLSSELSYALNN DLEEDGKDPIETKARTLVLSLFRNNSALQETTLMSCHNSCVPELHEDQSKKIIANGGN LGKLKTIKLDSEREYGRSYLYDGSVIVQGSATQQSAVNLALDLLNLNWSMLDADISLT RSFRQLAECISPWVEGDNLAINAALRAGVAIAETIAEEYRGGDVMLAIQVDRLSILAV ILETALDIEEQQQPDAELLRQFSSFMAMIVNSQSFPPVVSLRHYELPAIHQPALRILY LLLQAMSTSDTTASNVTSRESLIDAATIFALESADIVFDSIIRGHKPEFIGNLSMIIG VLCELSKLASTNGNVLWLDKVQGFNLIGRSLEVLVRTKITNDQLPLHISSILLLHLAL ASNPLTAEKLAISGTLAAYSDNVIVVQAEHGKIAVPTSSNGNSTHDAWCGMLLVIKAL LFTLPDTTSFVRTDLIPFIRVVNQQLLRSMLWDGESTLSLPALNELELVTDIFYGLVS SLGQSNIVLEDYSIHAIGLLKNIRYSLSHPRLLSTLLIPSTEDERIDLEKELESIEQD DQAGKGTLNLFDSDKTPILASRSLGLLRVVRTIISTFIGLTNAWEVFKDDKLDDRAEL GKLVLEQDEGDENNEPVEIINDLYTIISNIPSTSSEAISNIQIQILESISLISFTQLL IRHALLPDNEKRSFDGDEDDGQKSQYSYEMDLDLPDRSRRSGGSRGSRSSGGRRRSSS VGRSGSKESLVLRELQDDLKGLIGGESNGMRGVIRTRMDRVFGNDN L199_001917 MSKYPPAGGSGPTILPPIHAESKRDRKRRETVNKIEMLHDESWR NRDEKFSALYKEYHLENKSVNSQPPTSAKYLLRVYPISIERDALLEAAEIEYQYKAGQ AKKMYESERESIEAQYWDARDQVRQRLLAAVEDRRRKLREEKEGGDVVTDTLLEAQIR PRPTRKLPFRNRSTSALASRGETPLNSNPTPPTTNNKDENTNGVKSSDILLHSLLSPS LAIISTDDIISSSSSSLVVHPPINGTLAYTAQQPGKRGPRGKNALAAGDNGDTIKDGL NAPGTATALGIASGQVANGAGPRSRGVGGTRDQALTLGRSLADLSKMTPASQLEVDSD WARMQGNGGRVRRTRGD L199_001918 MSEGYYDNAPHSPSANGNGNGSLSCNGDGRSSAVTSPNGGNDTL ISSRAISPSAYPVKSEPGVAINGSATAPAQQQPARKKLASWVGFSNLPNQVHRRSVRR GFQFVAMVVGESGLGKSTLINTLFETKLYQPKNIPAPGEDRGKTVQIESISAEIEENG VRLKLTVVDTPGFGDFVNNDESWKPIVDNIEARFDAYLEQENRVNRLKLVDNRVHACI YFIQPTGHSLKPIDIEFMRRLHTKVNLIPVIAKADTMTDDEITLFKQRILADIAHHGI RIFQPPQYENEDEETIQENEEIISKVPFAVVGSDSLVSSPDGRQVRGRAYPWGVIEVD NEDHCDFVKLRQMLVRTHMEELREHTNDVLYENYRTDKLKAMGVAQDESVFKETNPAA KQAEERAMHEAKLAKMEAEMKMVFQQKVQEKEAKLKQSEEELYLRHREMKDALEKQRQ ELEDKKRRIESGRPLTPEKGSQKKRFGLGK L199_001919 MRLTPDYVSKAPSHLNPLKERELELRGLQIPVIENLASHQGSYD TLNLTDNSITVLGNIPHSPRLTAIHAAQNQISTISPSLPPNIPNLVTLVLTNNAISSL STLLPLESLTSLRHLVLRGNPVTEHEHYKEFVVWKVAKGNLHVLDYTRIKDSLREQSK KLLLDSSTGLPNSLAHQLSIPTTSSVSSSAFSSNINKNASIAKGGKGRLMTPDEKRRV VEALTRAKTSEEVRKLERMLADGIVPEGGVEESIKEGVNGS L199_001920 MASQLKHLKVSPKEAHTATVIFLHGLGDSGHGWLPVAKMLWSSF PNVKWILPHAPQIPITLNGGMTMPGWFDLSSLDNLTDSTYDDESGMLASVAAVDKLIQ NEVDAGIPENKIILGGFSQGGVISILTALTSKRKLGGAVGLSTWVGLNHKIENIKSAH ANEIPIFWGHGTADPVVNYEYGQQSIELLTKKLGFPLLSKGTTFQRPGVRFESYQGLP HSSSPQEIEDLKGWLVEALK L199_001921 MSAILPRLSLRSPVFRSPLSASTIAHRSICTTQVRRDVLSELEN QLHPRELIERKRKEFEEKYGDKLNKKVKSEGVEDLDSLKLKVLAPSVKAALKAKKAKE AAAAEVNLEQEEKQFREDVKGQQSASERLSDAVERRLKEREIKKRQGISASGENQAEG DRAGIKPLSSIINLPLIHLTPHDANAISQIWTAYHTSHPTLSNSFLSATLPSAVYKSM ISIAKQNPFFVLPLPRLTEPTGETQEAKKGEVKTDEYEMFYLQWIFHPTSTASLPPSD ATNPEPLPLTSSVIFTPLEEFKKQGEWAQPYLVLTHYPELVQSHDIVLMRGEISPASA SGPVGSTTNPGFLLSQQQAQLLALALQRFYCTSIETQGESEKQKQDRLSRANALVSFR ERPEEWSWEGLVEKAYGGLV L199_001922 MRRNFTLAAHSQTSTTRLYPPSSAPIERLPLELKLRVVCHLSVA DAHNLASVSKSLQDAAESVIWSKVDMSLPENWDKQVLLWEDTMPDWWAQHSLTREIED VLVGFRSTMDMEGGSIGWSKDEDSDICWNVRNLKFLTRIKQVSTALTSHPSRGNWVGE LRLELPQMRQDQLDDQQMKMDALKEIVRLVGRNLRMIRLGSPEINLRDEYYDPYLDSA FFLGYIGLTGLNIGRLQTLELVLEGSISFQAQMKSIVNVIGTSTVTKLILNPSWSDSS WFPDPNDNAIPKIPSLKTLHLNHLHPRSVETACGLISSADSLEELKVSFTDDGESAWD VFPLAEADRAIKTFREHKKLRRIEWYGGLQARWWFEKLVQSGFEHVEVLVQNQAIECE SETFIENILIPPFPSLRSILIPCRSPKWYRHLSPPDWAKAPPPRNSISPHVISHLQAA PNLLAVQFSCLSSLSTSEVLDSSQWCDKRVNGVLIRSYLNEITGEQFYHLRRLEFLVV QPKLYDSTYKDRYDGMKECKGDEVDHRWVDHTSFKSATVPSPILKKVYKTLGEKLDWT TPGRGISLPESAWEVLRSWRVKLPDKGIEGRKIVTRRMARDLQ L199_001923 MTTTEIPVIPCPRPFTDHIAGTVNGVDLPLRVWPAVNKDGEVER GLGKRPWLMWIHGGAFMYGKHYVPNAWVIPACRSLSYHVVSVSYRFVPQVSHYHIVDD IKIAYQWCRSKLSSVLAEDTVDLETFAVGGDSAGGHLALWCGNHFSPPPKLILDVYGL SAPEDPFYALKVENLPLPILGTSEEDLEVALNDRSPENIVVTSAFTLELEPFTTVENL KIAWGVAYSPTKKDIVRADLNAYTFKNGLKMGNIFRMDKFATEEEYKQELRKWGAMYH IQEDEPYPSVFILHGKNDTIVPVNQSYQLAEKLRKMDVDVGEKYVDGMDHIFETYLAG PEDPMWDIAIIPLIEFMKKHLG L199_001924 MSVSAELARVRLEPRRDQDDRSDTSSIAESEDESAPSADDNEGD YDVDAIKWARYKTRDDAGTRWYYGVIWNGYLKTGSETEEPISSFTVTPGQPNLIREFW QAIGKTTGRGRREPEGQMNEIYETPPATLKKWFHNNKTRKNGLKYERSYETYKRRRAK ELKREQLEKEGREIPSDLWTKKADSDYYLFKRQLRAKRRQQRATGAVNGNRPTTSARN SRSITPATSRASTTRPPTAPSHATATSTAPAPAPPATNSSGNAVVSLGSPASEFGSEF NSDREGVEEELRAESSNDDDRPPQISSSERKAPTKSPVASTSTSQANHQVKKARTEET TGRLAKVGKIAKRVLSPPPSASEVTFGALQDGIFELNPTASTSSSGPSSSNAPVSAVP APMPTIQATSAGDSAAPTARSINNSASTSTAPTAPPQQPMSAIKATMLRIEAERAEAA RKASMTASTAQAGSSSRPQDKPFSPTTDQPSVFAQNMPPLASVDPCAPAPKPATLPLK PITPLANSSGPVPSFNPAPVAGSSSKARLPLPDKPKYVQPKKIQVIDDVVDPRAGRKA KSNVDDGGRHRPPPLNNTVPQHSSAFVPRTANQNQQNANNQNHSVNGASVRSPIVDHS PTLPTGPAAMQLPADPRRRATQPTSNITQSALPTPATTPIQGTKDSVPINFPLNPIDG QGGLVTFSPQLILRSPGKFVNVMKFCMTNSHWAAYLTPAAMEFINSGWSNRQLCPDAT QAFSVLVQFLPLDNQLRDLAGAGITSGGGLSISCCPPNPYQADDCIKWKTWLHDVCAN TDYQELVTLCEQYNRKLGPTFPLIIKEADLGKIELLQIDDMKNLRARHLTDHTRFVYV TPERKPTPTEAVEYISVDDFINLLASGD L199_001925 MTSTLDRPTISSSSSSSSSSLLDIPSPPARSESLTPKHGPLALN AFEALENEGPSPAEGDIPDGHEGREERLRRRNLAKSGIGIDESVASSSGSGSVGSLDV EDDERENEGFKGHRRKSSLGEARRKMRDKIRSPLVSTIKLDELRDTLEIGIEKKFAPL SIPPHRRLQTAAVALWALLIPICLIVFLLCLSFPPFWVVLIPYLIWAQFDTAPDYGGR PKEWARRFFFWTYFAQYYPCSIVKEAELTPDRPYLFGYHPHGIIGMGAFATFATEGTN FSEYFPGIKPHLLTLESNFKIPFYRDILMAHGICSVAKKSCANILSQGPGTAIAIVIG GATESLSAHPGTADLTLKRRFGFVKMAIREGADLVPVFSFGENDIYEQLANAKGSWVY KIQKNFQKMFGFTLPLFYGRGLFNYNYGLMPFRHPIVSVASIVGKPIHVKKDPHPSDE AVQEMQQLYIEELMRIWDRYKDLYARGRTKELTLVE L199_001926 MNIATYIPPSEQDPNDLAWMREALNMAEEALANDEVPVGCVFVK DGNAIARARNRTNEWRNATLHAELEAIDHLLPFHPAPLSTITLYVTVEPCVMCASALR QIGIGRVVYGCGNDRFGGCGSVIDVNSSPRLNTHPAYIAEGGYYREEAIMLLRRFYMS QNPNAPKPKAKATRVLKTDIAPVPSTPSSSRPPSTTPLKRNTSSSTLITPSSGTSTPA LEIPPSDSTTTDEFVGSMDSQKTILPIGSTIASTPIPGPID L199_001927 MAENILGLLLVTSSSRGRAVFRYPPDPASPNIRLAQPIYPSATF TATDFDVDYKNPHIGANNALRRRLFGGAEINTKTSSAGSITSRNRNNRRNQKNKIHPM YYEATKQVNDSDNSDEDDEDGDGDGTSSDEDSDYDVVWTNQNQREDNNTNTINGNSLS KDHSALRSIDSSMMMDDSRRNSGSTTNATITDTTNNNSDNKSKGEKEREKIDSQYNYA LGYHLDFLSDMLTPPRAACNRKFEICVGSVVFLGHPVCSNADGKWEIPNDEDLDEVDP DQDDRPSRGRRTREQQLISSSKASSLGPLVENNKENSSQSSPEIPAPASTTSTEKEKD DTPNLNMFHLVLIIDKPDPKPGTEAHDEHHHQTLGMYDEIYREIAFKWTAAAWKLQCE SNFIGKQTWTMAKYKEKCLSEGVDVTDCCRWMYANMPLDRNLNSLFLRLHQLKTQPAN PLHSYLPTTITTHLADMTINTVLSPKVVDADEAWAHWGEMDEYSESEGSDSDDEDWDD PTTPIRRPELRVEPWQTLLLIDDDATERASEISTAIIGLGVGMEGQNPSIPGDRRGSK GTIATTQDQEDEQQLMKSLIEACDVTKPLVDIAHLLRFDLEAIVIPLARELVENKKAI LVDVINTRLRTIVMPTTVDEHTVSIEQYTARFSREFPTLPPFTAFISQISSSPTPFRD LLPSDPDMSTRRLYMSALIWLLKQDLVVQVHTRARVYARKEVKIEAWKRLWKRRREKW LNLQKEKEKERDLAAVNNSPTIQVIEDSEEMITPRASIHPTSNPLDSITVPSPKKPSR MEQSYMDYDPALEMDSDEDIENQSHHAEFQNFKVDVEEPSKAEIPKFESSFIFRPSRA QKEEARWLRVIREGNDEVTASKFDLVVQYLDGMTTFEEISYRTGLPKRELEKLMILYK EDIIAFVHP L199_001928 MLLDGPSCLPVMDPINLYSFYPYPISPRSHVHSTLFPSSDPNSS SNSSSTSKQHPFSKGTKEVVKQTTRHQVQESMRRAERRALMGRRPTGVGLSRDDDEVI NEEDMLDHEQHDINMFGHRFLLPLGRRLTQMEMDAAPSPSPSEHDHERRQEDHTMGSP MMETQIQGEQDDEDDEEDGGQVDLDASIEDLDNSEMVDEGEEEGSMEEE L199_001929 MSQPPAPTPVTRRGAIPTNMVTYKGRKIPARIDPEARVAYIVEI MSTSFGTKEHHITLCLRDEADNLVTQNNLPSKVYNHDVLKLVPSPATEALLVVTSLKS SIHRISLGDSTVDVPSSADAIPLKLALFNLQKYIKEEDFAVEFMLKGGMRMLVKFLER GEGGLTGNSLAYALQGIRGILEFESGWGDLSNTFIDRILNIVITATQPNILRPSTAII RKLVISSPQLSSNGNNNNIPGMKLPSTPYGQTKKDRKGKAKEQSNGNGNGNGDGYGFD RIYNRIKRLIPEAEGNNGLNGVELFFKVVVKRLEGTGDLELVAQSLGLINSCLRSGHQ DNSKQYPELVAILEHLSVRRYVSRLMPTSQNNVVEPQILNFQARYGVILQNTRLRPVR PGTNPHQEKMLRELWEYGRLAQEQDLTTSNGHRKTGMARTAAGWQKIGLCLDGEDEAG FMMEMEMFRDVGELGLECLHYFATHEESFHNLVMEQQAKPAERRCPIGKASHECVKIL CEHYKVSQAGHHSPSNFQLFLLNFSKLHQLVLRFFLRMWSESASQAPDFPKVSFLVRS QIRLSLSDEGNKTWLNLEQDFLATEYRTIRDRQMELLEKEDGMMSRLAVRELRDKLGR EGYEVLSEQRIGCMLQGGWFNSAQVIVPGVMSSVRPNAQKPLRFLRLSPNRRVIAWDE FSQRIDNPPFESLRERIEISSITSVRPQTGCAVNSRSPNLVSKLSFSIIGEGDASLLD LDAIHAAQLAEWTDGIRVLRGESGMASKESADYIHILAELALKVRLLDITGDGVEIPE KITFGPAPRSVDFVFAK L199_001930 MGPDLHECRPFHEAIPDDSSVDEDDVDDFIAANEGLSGMDKTHS SSSKHARKRLFAAFMIFGLLNNVLYVIILSAALDLVSSSTPKGIVALFNIFPALLTKV VWPLVSNGKIRYTRRVGVCTCVSWLGIMTIAFSNTLAPRLLGISMASLSSGLGELTFL QMTTTLPTRPTSKTALGAWSSGTGFAGIAGAGIWWLLRGLGVRGGLGLSSILPLFFPI TYKFLLPYHQELGSMSSDSNLGEYQPISNNDDTVPPSIYITPPSQDPIPQLATSVEPE DNSKPSLQTHHKIHLSTKEKLDLLKPLVLRYMVPLCAVYVEEYVINSGVAPTLVFPLP TTGIWSKLFKSPRDYYPFWSLTYQTFVFLSRSSLSLSFPPIPLTLLPLPSIIQFVVLS SLYLQAKHFIFSAPSYTPPNVPPTAGADRSISIVFLLICIEGLCGGLAYVNTFYHVAR EGEDENEENNEKSGMQREFRIGAVGAADSTGILFASLISMPLELSLCNSQIEQGRTMC RDL L199_001931 MPSVVIPLVQTPPFVLIALAQIQGIPVGWDTESGETGQATYGEI KGAEEVRKELEKGIDGKEIPLPPLPTLLAANSTFQEVSGVLDALDDYLAYRTYFAGSK FGFGDAIIWGTIRGNTSAIGSIKKPGRPHLARWFNHVETLSVPQNALKAFQQARSEMD KGKKTKRLETVDVVLPNAVKGKVVVRFAPEPSGFLHIGHLKAAILNRFLADQYQGKFI LRFDDTNPLKEEGEFEDAIKQDLEMIEIGFDKVVHTSDHFDKIQQFTEQLIRQGDAFM DDTDGETVKEQRRAEIPSKNRDASIEENLARFKEMLSGSEEGKKWSLRAKIDYKHKNG SMRDPVVYRYVEGSHHITGTQYKAYPMYDLACPIIDHLDGVTHALRANEYYARHEQYQ WFLEKLGFPKIEIFDFSRVDFVYTVLSKRKLKYLVEKGVVGGWDDPRFPTVRGIRSRG MTVQGLKNYILGQGASQAQLSLEWDGIWTVNKKVIDPIAPRYWAIAEDKAVPVSIKGL EGGEKVEEKPLHKKNPEIGNKKVVYSDKLLVEQEDAVSFGDNEEITAMEWGNVFVSNK KTSTSGEVESLEFTLHLEGDFKKTSKKIHWLSAPSSSNQLVPVTLIEYDYLITKKKLE ENDNLEDVINPKTEYRTKALASPEVANLKKWDIIQFERKGYYICQGTKDAEGRLEFGF IPDGRLQTITLKATPAAEKPKVAGASKGSWGKPGATKTAAPAAATTGESKDDTRILLS NGSSGFKIPVKTGMFESDKI L199_001932 MVYAPQRRHAVASLATTAQALTVMQHFYTPNLLILVSRVLAQVQ INACAFIHPTKSLLGLSSMLVVMNLAAGFLHLLDFAGGMNGGTGLILDFAGQANPASL TRILLLDLFLFLIQLVGLCVSYVNHSTNLPKSPSLPYDDLLLPPTEYQDIAIPTTTLF DEDEDEIDLEGGTRQRKSRKGKGKDKYEAIESEELWLDDDEELSKPSNNGIRNTEPPL IFNLPLKHIISLIFKLPSPSPPPRAFSGGTPMTTPPFTPPIAPLARIPDEDEDTRQQT SDDGREGEGELGRIPGEYRRQGG L199_001933 MNLQAPIAGPSRPRPAPSFDPYSSRSDPPSSSSRSFTPSLHRKS STYSDGFSTPTPSLHTRYSTPSLNGGSSTPVFYPNGARFRSQSVLSTSQREDEFINKK RLDSFHKLKSSWDLLKEKYGSISLEDDDEIDLRTGKVVKDRGRLRDYVGREFGEIPIS DNETQTDGDGESKGEGGYEIDSDEDEIGLWDERSGLDPQVTEPPLLWEMENKLRRMKS WVSEEDQDDLKEFLSLEAQRNRLLGVDNTVSEDEGSPEAALSDRDSVDRWEDSRFRGT RILPVSATLDDLFNSDGEKDVSEDELQLIDDEGQPDQDVRVHSIVAPNDDPSVDANLQ SSSPPPPQRRGKVLEVEVVIPIRSRRKSLPARSITKSGSSLSPASEGLFRSPTVKTSF SAPSLSDLFNSPPPDDDLCSRSPSWSPLRSPSPADPSPSPTHDGHDRHSAIVLSLSPP PPRELAHLPSPSLATTRPHVNSLSKSAKGKERMAGERPNEPLPSTPNSSESPYYTRLW KTPSGVVKLCKRCRKAGGERAEKAPLCKGRVDLAKCTFEKHTVNLGETSRPEGDDEPV QQRALLSDKGRPTHNAEDDSESILSEHDTTINTLKQRSSGEKQRRSHKRCIACREAGG ERAQKAQTCPGGFRKRWCQWYEEESITVTDELPVADLQSNTRARSGISILPDVPPHTT DPSDTDDGPLKLRKSGSRRKSIVLTSDSEAETIPQHTIPRISSTPFRTPNRASSEFIG TPSDRPSDLDQSLYASGLIYRSNGRARYCGACYEAGGSRAERAWWCKGRAWGKFCHFL KNNVESDYESPTESPIKKSSRGVNNGRRTPEVLVRSSSVTRTKRKRVVSTDTSQLPPT PSPALGQIVTNTSYMPSPPSTSSAEPYSPNPNTSVTTFRPVTGRASSSFSMPPSSPPI FDGRPVHPTPSPSLSAAPVDESPIVQRISKPYMSISTQTTGFGPTPPPSIDGARSSSI LSDDIPLTLPRKSILRKPSESPCSTLGASGRGSVKRARFSLKPRSPVREESSDPLHQD QTSENELLIHNNGIDTSSSPSSPATYFNPYSIPSSSPLRNEWTVRAADIGMKLGPEHT GSLPEEMIKALAPSMARSSLGTVTLGSSVLVNKIFSLPTPPISSSSTSSISITNRAST SSPALSGGRRRTPTSNGGVETGLMLPPPVPLKRSTPAPTSISSDSRSTPSTSTGTSSI PSPPMDQPIRCTPLPRSSQSSSSRSRSMSDQSSSLSRLSTPSHKASSREVSSTSRKKS RVEMELARKALELGDDAGLEWGLDEDTEDGGRMWREGSVALLRSEL L199_001934 MSDHSGPVVRKVFLLEAFLNLLSLPLITNTRTVLSYLLRNPAHI NASSILFARLFGGIIIGGLTTGLLYGAAHIPSRRAVYWTLGMGEVFLIPILALESTNP QSALTRKTALASIGLLAPPLAWRVYLLYMRPEWIGRERAGKNERQPLVRDEQ L199_001935 MLSLTLLSLLGTSLNAGVYAIPTFSNFTTRQSGESHQVTLVNNC GSGEAVFVHEGNPTASGSQTVSGELKGGLAWMSGMTGTDCADNGLNCGLVEFTLVNSQ GGMQNSADYSLLDGIDDELGTSLGNHKYQYSMDFAFTGACTDAPGACTGDPASECPGA YLDSATEGGANTQCLADNVGITITFCSAGAAPAGSNAASSDSVPAGTGISSAATSPAA VASSPASVPASLPAGTGAPSDSVPASVPASTGIPTSPAVASAATTSPLATGINSVPAN GAGSVAEGEPSIATAPVSSAPTAVTSASSKPAWGGGRGHWTRAVY L199_001936 MLFSILLWYLAGLLAQIHAKPAIEGRDLIKRQDVSNISSAAATS AEATSIATSEAPSSVVQSTVDTSSAVTPTSSLSPSTSAEESSATPESSSVAPSSASPS SSPSPSIEPSSAVPSSSAEPASTSTPAASASASPLPSSSVESSVAPSSVLESSVTTSA PSSATPSAAVSSIQPVTFSSSTSTSVLLSSATSASAGASSPLSSITDGSSTPSSSLSP SLSPSSLSLSPSPSSSSPIIETSSTNPSSSSSSGPDSGSPSTIPTSSASAATSPSPQS SALASSPSAQPPASVSSSSPQQTSAVVTSTSVVTPSTTPTAGGPITATATRVFTTEVT ETTNGVATTRQAEETVVVVVTAGGGTATQTAVPTDGGQAVVTVTATDPEASQGSDGGL VIVTVTSTNGSTIDQAGQTGPVLVTSTIHAQLTVVGGLTVTATRTAGSGGSLQSSGTP RLSLDMMTRSMIMTFLGIVLGSMIV L199_001937 MNPDNISSINWSFLSPSSFTSTNTSSYTSAQSTRFSSADNSTFP SARSSATSLGVLEEGFDDLLHDAAADLFNDIHGDTMNEISDLDVPQGNDTGEEGIRGP PASRDERTQ L199_001938 MPSSETSSSSFSTDDHSVDDSLSSGYFFTSSSAGNYSIDLSKPK ISPLSSSPVPNTPITPTREQWRISPHRLRLSSCPCTNLKPKKFQTMVASEDYLRFNMD RFDKLLTRLCKKSNIPRIAQKVELVLRGLRERGHELFHFVGRTLTQEEGGNGRSGMSI FLMVPDPNNLLAGHKILAEILIHSRIMGKGKDQYEIASRFYEDYTDWILIQPYEYLSD TRSRKIEYIIPDKKENRSWETIINDLLSKIRHVYSGLTVYAGGIIPVVTRTTKELRED EWRVYAFEMELVYRKTSTDRYIKMIRIGLKVFPIQENKRNSVSSSKATKEEEIGAIIL LEIRDDKIKNTLVMYKDDWPVVHSYRDVIPPPDLSGLERLVEG L199_001939 MSTITRRLFSTSSIIRSTALENTLPLDPSSPVHAKHLPRVLQRR IAKRINAIEQSTEDGSIPSTVNIPNPFLVQRTNRRSSESELTGEARYNWKKPSISNRR QKQLLQFYPSIDLPISAKSNRTPFASALGSESEELLEIEGISRPVRWNDKITINWTGQ LKPKQQQQKNVNEATTKSLYSGRKLMFKGHKDERNRAQKLADRQTRLDGMEKRIKDWR QGRNDEKIRNRPSLPF L199_001940 MTLVSRSAIRLSRRGGQQLRNARANAAFFNTAANQAQNVLPKFN ASSSRVQVPAKSSINSARTYATPSNLQTGSIKTVIGAVVDVHFDSENLPPILNALDVQ FAEGQEKPEGGRLVLEVAQHLGENTVRCIAMDGTGGLVRGQKVVDTGAPIKIPVGPAT LGRIMNVIGQPIDQRGPIKGVKEAPIHADAPEFVDQSTQAEVLETGIKVVDLLAPYAR GGKIGLFGGAGVGKTVLIQELINNIAKAHGGYSVFTGVGERTREGNDLYHEMRETGVI NLEGDSKVALVFGQMNEPPGARARVALTGLTIAEYFRDEEGQDVLLFIDNIFRFTQAG SEVSALLGRIPSAVGYQPTLSTDMGGMQERITTTKKGSITSVQAVYVPADDLTDPAPA TTFAHLDATTVLSRSIAELGIYPAVDPLDSKSRMLDPRVVGEKHYQIATRTQQILQSY KSLQDIIAILGMDELSEEDKLTVERARKIQRFMSQPFAVAQVFTGIEGRLVPLKDTVA AFEEILDGKHDHISENSFYMVGGIEDVKAKHEKSLKEQGN L199_001941 MSLTISVPFTILTYDPPSSPISYIGLNKKLPDNPYYQHSHRSTP PKESKGSSGVERPDKTPSARAKVLPWVQGVNVEEETVPDGMFGPYPSRPQSMAEPSHP QAQARHPFAYSNVPTLPFAALGAGHRTLEDQPTEYVKSQRPESEVLSRGAGMRAMARP AHATAGGGAGDDTPGGMSSIGMGTFGLEGQSALGPAGIPLPKSKYTGVTGSPKKSQYT TRKAPPTAPLPPDPPQTKPDSDEQLEQPSAHEHKPHHEYPFSHAEAQAKQLQAMERAR AAALAQLEAAQRNSAIEMEHEMLAALENSIMQGEKGPRQTYDTMDSGPSFGHILHQNG IPLSARPSVQMHNPDFFRIPFGVPVGLNVPRPLLVMRDSAGAGLGLGLSPDLLDILEH DQGISPRGPEDRSAFVETVEDEEASGIPSDVRTATPSKPPSQAVRSVIQDQPAARTES GVLGDRMTAPRSVAQTAAPVSVRAPTEHFKSPSVGPKAQSAAPSKARSKATAKAPTKA PTVRSQAFPKPPSVAPTQAKSAVGRRQVLKAMSQHTFMEYPDGQTLYSQVRTKNTPTI IPNSVHDQDENEIEEDEASVVPSHHESVAPTTISNVKKLVAESRFHDETLCQLLDAAR LNLIGDAAKKALQRAARARVIELRDLRERGEIEEGLRTPIPEEPRSTQSTPEKDKERR LKSRERSRRKSHSEKTETVVTAQEPPAWAQDIMGRLAAFDARFSALEQQKHEVEHMGR PPTQHSQTTQDIPGNLIDELMFNEMPSSGFTQGIHMPKGLTQSILFPEHASIPSMPGQ ASARATTHYSHKPLSTHPAGQSGYAPTHTPAANGTVDSRHAPTAVPTQHGQHAPTQAR SAAPSHAPTNVNPAEIPTWGSEVEIPQASDNNLPPVAPTINILAPTESGLGPNGRPST RAPSPSVRSRGPSKAGTHNTGPDHVEVTVITERPIPDTAVPNPAERDLPAPPSESVRS HRPTPPSKSTPLDANTVHTHTVRSVPPVQGSQAGTMRYTHDGQSFPAQSAYIGSPDQY PPTLTKPVPSSQVAPTKAPTTTAVKSPNTVHHQQMSDIHMQAHNVMMSPPQKYNTPPR RDMIHIIDPLHPNMGGWKPWDMLTQRLYSWALIAEEKSFVRALEEVSLGRQVEEFPLS IFLMMTYKRLIRRIMSENPPIPCDKLFVPPNIASAINVAVHARRYHDAKEILLELWNS LGSDEPPRVIIALAPLGNDLDQWAAHRYDLVTRHLTTYRVSHLDDIQTDGRSFWWWEA IGQAWRSLQIPTMEVLEQSGRQRIINERRPPEHRHDNSLYAANISRNLLLGYRPERQQ DLTKLRELVWAEVKRLLGKKRNGKLVVEPESPEHLYDA L199_001942 MAPSISSSTTHYALVIDAGSSGSRLQIYSWRDPDLERAEILQEV SYAQQVPEKIGKSRWWWNSSLFGKGKGKGKGKEVENVALRRLVRVGKGVEGDDWVKRV EPGISTVSPKDIPNYLAPLLSHALRHIPPSQHHSTPIYILATAGMRLLPENTREGILQ ASCDLIKNEYPFKVDGPSSAGPCGENIRVISGEEEGMWGWVAVNYLMDGFAPPNSISD SVTPVDVSHHSPTFGFLDMGGASTQLAFSPSPHEILQSGYPEDNLRKVSLRLLSGEVV EWPVFVASWLGFGTNRARERYAEYLIENWKKANPTLELSGTGLDKPIEDPCLPKDLEL TTTTGTEEAKFKGTGSFSECLLNLTPLLEHSKPCPTNHCLFAGLPTPHIDFTKDDQRG FIGISEYWYTAQQVLGLGGIWDWGEWEKGMNDFCMRDWKDIEGQVEKENGWRGSEADL SRLQMQCFKGAWISNVLHEGIGIPRLVDAGGNNTLTGGEVGETNEEAERRAREKGLFE NGSKTHFQSMDEIGETAISWTLGKMVIEASKAVKPRSSTIENAWINKISHNIPLPLIG LESKLQKIGIQTLWAYGFVSFFILACLFNCFRRKFYNYSSTTGGKRGRNRKPSISDYD RSLEDGFISDSSISLNPTSSGGSGRTRYKTGSGKLRLWTLRIGNSIRRNIPFGNSHNG NGRRNGNGFLPRHNSMPLPLSNFSSSDSSQPPSPTPNGNNNGFFVPANIIRPNSTGPT SDNGLGLGLGSSTSPNLNGSLNPTSPPSRKSTSRSGKPRQISSNLLLSSSWEKSSNGG GWNDPPPGLFDDSPAGNSRSRESSLALAASSSSTTTPNANYSSSTTANAISRNSSRVN LSELGLAQRSLSRAGTPGFDNDH L199_001943 MSIPRTLLRSARASSSRLILSRPLSTSRPLFAEAESSSSAAAAA PVSPKISPIVDQISSLTLLEVSELVSALKTKLNITEIALPAASAAPAASASAGASGEP AEAAEEKPKEKTIFTVKLEKFDAAAKAKIIREVKAIMPNMNLVEAKKFVESVPQTLKE NLPKEEAEKLQKTLQDLGATVSLV L199_001944 MSEPTLPPSTSQHTLSLDALPVQSPPPPEIESTLSRLSAYRNVR GVMILSRSSSASIQPDGSSSENGGGALAGVGGIIQTTGNVFEGESGKKYAKAVEEIVL RVGKALNDCEQGDELKFMRIRTKKHELIITPDEKYLLVVLQDPGQS L199_001945 MTIPTTSPLGAKKATVYLHTPFHPKAEVYAEQKFGKVLRPHDGS VEDIMAQIDGILLRVSNITRDMLLQAPNLKIISRNGVGVDNIHIPTAKERGIIVTNCP GGNAQAVAELALTLALTVLRRVVEVDNRIRSGEKVPSITALAPGLFGKTVGLVGMGDT SYELAKLLLAFNCPILVYSPSSPSSRWTNTNDPKYPTTIPHERVDNLEELLKRSDVVS LHCPLNDSTRGLIGKNELGIMKDDAVIVNTARGGIIDERALEEALESGKLGGAGIDVW EIEPAHGETMGKLGKLRNTVVLPHLGGSTDSVTLDGCMKAVDIMADYFDGKEVRNRVI L199_001946 MVFLSPLLLLSSLLAYPVLGTKTTLDPHTHRELLLNGRPQIGLW KSLLKEQKIKDEQAKLSKIQDDIQRPFYTSSKPLYKAHCFPQKISHFDDTVNGTFCQR YWIDTSFYKEGGPVFLLDGGETSGQDRVPFLEKGILQILSNATGGIGIVLEHRYYGDS VPVDSFSTDDLRFLNNKEALEDSAHFIEKFKPPSSILAQLSPDALHPNNTAWIYYGGS YAGARAAHMRKEYPHLVWGAIASSAVTHSQINFPQYYDPIQRYAPENCINTLQAAIEL IDHLLDQPEPIPRYLKGLFGLEELLSNEDFADVISGPLGYWQAKNWDPKVGSNEFYNF CDSLTAKGAGSKLGLIRIPASIINYGKYIKENVVARCPRSKGKPATDIEDCFGTSQDS KFRETDLEQTWRLWLFQVCTQWGYFMPAPLEGPRIISNKLTLEYTSKTCRQAFPPGKH FTVPEWPDVEEVNRRGDYEIEFDRLAFIDGDRDPWRPMTPQSDWAPRRKSSVNRPVHL IFDGVHHYDENGLEDHSKEPPRIKDVHELEINFIQEWIAQFNEQKENIN L199_001947 MSDSMSGMDDMSSTSAMGDMKMYFHGSIGTDMLWFASWMPTSAG ATVGVCIGLFLFAVFERYLVAFRRACDAAWRKGQLGYHRPVTSGPIPFTSSTTTSSGN TTSLPPQPILTSRRSTNENEKEKDDNTLPSSSTTSYPVLRTGGYGDSVPSYSPDLNGN GNANDTSNSNRQSHLPKAVRRTLDPAREGRWSRPFRWSVDIPRGLLHALQTLVHYLLM LVVMTFNIWWIISVVVGSGIGEMLFGRFGSSHSGH L199_001948 MSSSSSSSSTSLEPTLYTLLPSSLLSKFTSHLSLHSIHIEPFSL IDRIYTNSNPIVKGQLRNLRFRSRKLPTLSSLIKGKGKDEEGRWVHNLSYVSAPLRSQ EYSEITTRAVIGLDILGMEDEEDIQDFINSLGFEHSHTYYSTGQLFHIPLPLPLPTPN PITLHLSITHLSANKTHTTSNENTKSKNNEPWLIQLYPSRPVNAVSINGDLTYSDLVD YMRDFVDSLGIKGLDWSVVGR L199_001949 MPRSTYTESLLGSELYKKVRETRILVVGAGGIGCELLKNLVLVG FANIEIIDLDTIDLSNLNRQFLFRKPDISKPKALVAAITARHFNPSSGIEIHARHGNV KDSVNDLEWIKGFGLVMNALDNMDARRHVNKLCQAANVPLIESGTAGYLGQVTPMIKD KTECFDCVVKPAPKSFPVCTIRSTPSEPIHCIVWGKTYLFGKLFGEDDEDMDTEELDK AKAGGENAEEIENLKKEAAAFREVRKNLGEEDGPQRVFHKVFNEDINRLLAMEDMWKK EGRVKPVPLDYEGIINGTFETPPLRHAAQQTTANGQAQANGNSVQKKEGLKDQRELTL KENLELFIDSCKRLSARVISHPNIPLSFDKDDDDTLDFVLATANLRATAYGIPNKTRF QVKEMAGNIIPAIATTNAIIAGLIVMQSLNILRQLPLHSTSNEASTSAGPAIRNVYLR TDAIRPLGYMIPAKPDSSCSVCRDVYIPLKVDLTRCTLGTFVNDVVKTWLAQAEFEGK EAEDEDEVEWTIFEGGRLLADPDFDDNYERTLDDLDVKRGKMLTVRDEDGKYRPVHFS ICEVDAQSALPYSLPSSQPFIPLAPIKTQETQDEEEFTLLDSLPPAGSTSVSEVAPIS SNTAAGTKRSAPDDGDVKDVTAEEEQTKKKRKVLVVDEDDDFEIL L199_001950 MSTVKQCPHCKEDGNLQTDFSAGNVVCHTCGQIVEENILVSEVG FAEGSSGRIHIQGAFVAHNQTGFAGVRSGAKGIQNTEGIKQSGAIKIDNVARQMHINN VISGKAKRFYSMAVDNKFNRGRRTEYVVASCLYLACRLSKDAHMLIDFSERLSINVYE LGATYLKLRSILHLLEQMPEVDPAIYNLRFAHKLDFGPTVHLIATDASRLVRRFRADW MTQGRRPAGVCGACLIIAARMSNFLRTPDEVAQVVKVHPTTIKKRLLEFAQTEMAKKT VAEWRTLTDEDLDKMNELEKPPVVKKRELKQIKIERLKREQQESSELGSEVDELVNED ERPSKRFKGKGKQIDGEDEDEDEEMRGMVTAAAHDVETQAEDADDEGRDDEEEEEDDN LEPISQADYVNELELARDNPEESRAERLREKSAFMRQVKNLQKNGNDQIDIYNELELD ALIDYDKEEDDDDEDENKEEELGEPATQLRSITKEEQDAAAGGKTKKEDVGGEEFQEW ENQEAVLDYLAKDVFKGEELLYQGKHMTDRIKMWIGARDPKTLMEELSVVHQARLKRE KLSKVKETEFEDLDDEELEMSFRLDEDEKQARARIWLSSNGKWLEEEKVRQEQRAIAL RAKGIDPTKPKPKRKRATPHKGPYNSSREAIQNFAKGKQFSARINYDILRGLKMGGAG GPSSGPSGLMRMNDDKDDEYYEDDKGDEDDSRWDEEKGDEGGEW L199_001951 MAPKKVRAPQEQAAVNLGPNAAEGENVFGVAHIFASFNDTFVHV TDLSGKETISRVTGGMKVKADRDESSPYAAMLAAQDVAAKCKEVGITALHVKLRATGG TGTKQPGPGGQAALRALARAGMKIGRIEDVTPIPSDSTRRKGGRRGRRL L199_001952 MKDFSAPSECPTTHDVPTLVLSLLLCTGLIISYLPQHLRIITTK TSEGFSPWYLLLGATSSASGMLNLLIVQWPLFRCCRVISAGRCFESLLGFYQVTLQWF LFTVILVLYLAYFPKHLKYQRVMPLEPDVIDRDYGATGTSRSEGAVARPIVTVSTTPE WRLAVTLAVVVFLHLSLLFLLSISLLLILPSTVPPHPFLSYLATFLGVSGTVLAVLQY APQIYRTYHSKLVGALSLGTMAIQVPGSVLFVLSLVFRPGTNWTSWLAYAVTGGMQGA LLVICLFWKRRQRELGIDDFGNPLVTTTAHHSDERQPLVGDD L199_001953 MSRTLITQHLSTQNPPDPSNPTAIPTSLSLSIPFFSRSSSSSQT YSTDLDTSSSSYSDISPGLGIGINPNYLYNPQASSRDLRKSTSSSSSRSSIRPGLKKM PSWNNQTWSPRTSQDVYIRRASEQSELSSPTDGEFVFDSGASGHGRRKSLINIDFGTW SKKPKIIFSGKRKSLSISKLKLKSTPTTTNSTPNSVEKSTPFKDESVAVKTPRTDQGI PDITFSPLQRVWARTDQHEQEDLVDRTERYRRGSDWPPTHSRAMCLDLSGIPTFTGEY DQSEGSDLLQRFSKFSFEDTEKQDQINQISSVVQLEVEDRRVDTPLEMPVRPRLNLRL RKSHSSSILSPVLDTEEEEEEEKEEEKARYTSGSGLSDNKLEKEQSIDDRVDSQAGVE KEAQGQELQQEQEEEYFTPCLIPSSSFVSVKTNYPIKSNDTVQTSTGSVEESPTFDIS IFSPRPALPNAPVLKGIIDILPISPLELPSSSSINSFGSFRDIALSFPTPVLSKDPPG SPIVMPIPRKGISSIPHQSIESVLNPYPCSTINRRSSLTDRRPTRPSTTIEGIPTTRR RMSLILKPAILPCPTPPSLLTSPKTLGSEYIPPLFSPSSTSPTSSLFVTTTIGIGGLP NRRGRGSLKLKLPPSHFANSTGLGFGPEKDEKEEEKEEHVPTPGTFGLEGEKERFGSE RVEGVNPYFA L199_001954 MAPSTETYQPNWHVKALSAPGPDTDLSLHVSFDNARFLFGCGEG TQRAFTQKRMGMRGLSAIFVGSGEARSRAGLAGVLMTAADGGISKVDIVGPPDMSHYL STLRSSVIRDSLTVNMHAFPRSSSSGETVELFKSPNITVNGIALIPEMQPTAGPSSSH YIPFDPYSPSFRPSRLSPSDAQKWCDQIVADIFHNGPKARASRRPPQPPPPASDGTKP RSRSSSPRRTANPFVNPDRTICSSIPDTRYPLPLPSREDVDTQIVYICQAPDVRGKFN VEKANQLGVPKGPARGKLTRGEEIEVDDPSVEGGKRVVKPEECLVGGGPGSVLIVVNC SEQTKPALLQNTSFHKYQPLKAQPEGLEPRHVHLIVHRVPRAVWDTEDYKEWMKAFGE RTQHLIADPVNYPDRTVFNSAAWNTLQLSLADPEIFVPPFVQSPHSPSIDLPPNTSFL VENSFCRMHQPAPMTVVENHEKDITFLITSPDAEAARETIRQDMPEYAIACDQARQAI LSDPRSTNPPEPKTGDDITITTLGTGSAIPSKYRNVSSTHIDVPGLGGILLDAGEGTL GQMRRRFGEHGIKKLLGELRMIFISHMHADHHLGLNSVLEERFKLGITSPLFLIAPTN IALNMQESASWQYAATSQAALENIIYINIQRLGFKIFNPKLKSRSKEPSPETVNRQED ADMEMYQWEKESLEDRENGRRAWPFSNIYGFSPSASRDHWNALHRLLDDMSLKSIWAP VVPHRGRAYGLAIEHSSGWKIVYSGDTKPSEELVQAGRDATLLIHEATLEDDKPDVAA VKGHSTFSQAIDAGKKMKAKHILLNHFSQRYPKLPKLPTAPPPTTSQDGINGSSGEIQ PVVSISFDFMSIKLRDMWKMAYYMEPLSLLFVETDEDGDGETVEGEGSVLNAVKNDVN PSLDGDGIAPNGVQKNGKKTKNKDNNKNKNKDDRMSTSASHSPSVPLPNDGINHQQKS KKSLKREAARAAKRPAPSLSPTNTEDQPQAKRRSTESSTILLGTANGGEGEEAVNIVN EESEKMQVDG L199_001955 MSYTYSPGPSQDPYKDLDLDFDIDRLSPSIDQEPINKYEIFLPA VQSLVAALGGYEEIETSPGSGKFETVYRPGDSVLGVLKDLKKLWRKDDEDDERTVARC MAKAGLMRELIALLVECTDRGDWGRKVALVACDLIAALTWPIDVASELKEMEDEPDVV TDYASLLRAQLEYKAMILQTSGPLRCLLALMLPSLAKPRKDEKDERIISLGLHVVRNL LAIKDTVAEGTATGEKEEFANLQSTLILQLNKLTYFQLLLTLASCADKSDFNPFNVLV LDILHLIFRSVKPKELGQDQERAPLENLSKLLDAEKRQKALVSKVGMTRHSRFGTTVA VRAGEQKVILHKQNAIAANAGTILDEVKRKRAQKAKKVDELTQIMNFSPDAMRALQSL AKSFIESCFNTFFQSILRDIRMERSKIRPADNVRTFYLTRFFIEYLLVLRHKEEQKGK KEYELSLGLVAEMAEMDSIRWLFSRMKSSMDDKPPAWTELQASLDCFTQVLLLLDAMA MSGEQEDVEVAEIVQDNLYYNGDILDSSLSVISQYKDQSVAYLDSVIHFAYVLLRMLE KYSKNKAFMFIRKRKAARKKRKEAEQSTELNPDGSEMPEEYGNEEEEALQPDNEAPNY AEHAFTFKTFEKRFASEPVTNTLLSYLSRFQDFDDPEQMKRVVGLMHRQVIKAQAEGL YFKVSTLNLFRKIVDSSHTLPKADSSKDLSQLINFVLRKFFKRVAEDPFLIVEAFGSK SRSKWKDISSYKSDDESDDGMGGQRKRIQEKMGQAEIEFKKNKKLSWSKQMEITVAIL LKEEHEDWIKWIIEILEIVLAARTEVVISTDGEDAALRQADDSDDDDDERRPRNFAGP SKEAVDKFAQHDLDPPTESLKMAVTKDSHFRLMLRLLAFDMYHPEDLPAAPADSAGQG QGQETTKWFMPSFIIPSSLSTSLGALKQYLTSPPDLAEDPKDLLRRRRPPRQRRQRSS SGTPGLSEMELDSDTGELVRRVKAKKKRVKKVKEMQTYKSAAFIEDSDDEDPEITRAF FEREQQLRDEMNALAKEQGNVMMSNGTKKRKRKGKGKGKDKEVNVDEDEDEEIEMGGS SLPPSSQVIDGLDDGDEEEDVLMQSDGEDEEDGPPRRRKRPSFAPLDSQDDEDEDESI PPSTARPGVVGKVKRIVDSDEDED L199_001956 MTSSKLPPPHVRAWYFYSFAAEVFSACALAIFLPITLEQLAKEI GYYAPDYTEPCNIASEADNGDERICKAHILGAWVDPASFSMYVKSVAVAVQAICIISI GPLADSPYWRKRLLFILTYTGSFSAILFILFPSTSSAPLVLLAGLLFIVGNTTYSIGT VCSNAFLPVLAREDDDVQKALQEANVVRSEDEDLDDMAGYRRASLDQEANNVIPSGLG PAVRALSAEDLAESDPIGTTSLPKSMGRKTHYETLLSLTISRLSSVGTALGFLSGVSA LALLLVPVILLKGSTFSLRLAIALSGIWWAVFTIPSWIGLPSGTKEDANRTEKKSFRD AWKRIGNMIRPKEIRSLPNLYLFLFAWIFLSDGFHTITYTSILFCKSVLSFSPSEIIF IGILVQFAAITSSITVPKLQHRLTKTNLKILFMAVLAGGLIPICACVGLILGLRVLST KGEMYVLATWFGLVFGPFLSYSRAVYTELIPPGHESTFFSLFAFTDKSASFVGPAVVG LIADLTGNLRYGFIFLLIMLTVPIPVLLRVSVGKGKEDAQKWSESKLAVREDNEEITG LLGSS L199_001958 MSSSLTIQSLHRAHFHVTYHKLPNRHSSRHWPIDHIATPPIPCP PLDHNHPSEPCPSKPSTVLGYWPSALPPDDQSEDPRLSCLGLPLSLPYEVESLAEMDD KLELILCRMIECIKAREYGMGFRVWDSALSIWMSMGYPMKRDIKIKLIFVYYEIIFVP GLSSSFIEDASNQFINLIGDRSLNIYDFRIPWRPLYDALYFELFPHPNKLARHSVNLA PSFLNVAEAAQRFFHPADVDEMLEEILPRLEPSMDSILATQTFLVHFLPISHCQKWLP VIFRLWHGLNSGLWDDQASDLMGQLAIAHVDPGKSDPSVINRIPKGTHNTPEEQENNP SVRRRMRNHKIRLLEVAGEVEEDEDGVNYWAKESMLPPEEMLADPNWVGIRKDVGIFS DQEFEFLMSKCLRSLNVPVGGSIASQNSMSVTMADGRTSKKILDAKKPIDRVQSLAET IVFSMSEDSPFAVLPSGFATGTATPMPSSAPTPAPVQPAIARLQNGSSMSRSGSSDSL AVAGQKSEANRRYLAGSKALDHLSKLLTSCETFFHPSNSGHWSVFLTTFLSHLASNFV ERWKSEEEPDCRTPVAWRLTPDIKREFVLCLRPLALTSMFNKDMNSVTPAISALKKLS LLEPDLIMPAMMERAVPSLQGLEETQRTPAVTYALAALSQPLTARQIWRFGGMYVADI FALLLPGIDLNDPAKTGLACMAISNMVDFIHMADISDNDEENNVTPGPRAVRKTPRPQ VADDPNDPVQHEMEDLSPEEVNGRVRFATSAFRDWVPEFLGRVLLLFSNLPEEGGKSG RAGGKTEALTLSSVLHTCGGVFAALDDKLFDAALEQVAEYATTTCRANAVDAVGELVR NLASANATKVFAKLFPICKQRIIHELKTGASSLRTTTTSIPLPADAGLHWWQSILIGM LIPGRITLSDPKIKDQYMELLRIMIDSTLSERGWQWTGKIVEKSVSSLTSIYFKDMRG LNDDVYQSEDFKLNHSLYWGKLYRPSEVKPDWRLPTAQDIEMAFDIIAIADQAAQKLN SLLDNPSYGDKVWSNEFCRSINVIDKILRGTYNLIAEIEARKTGGAKAPSFLPQEILK LPPPYKSGLILTNPDDPRYQHVAGFRARVGETLHRAATAMRNAGQSDNSVDTVKLLVT TIGTYLTAYGVRSKQFSSAQNAYTGMMATKKMYESQRKHHRSIFLAAASVHHQNRLTT LAYYRVRSELDDKLIVNILDFCLSPFVRVRRSSQSTLDTIAKLYRGTWILCFPTLFDA LQPGTDPDIMKGALYVLRYNHMGLHRIAKDWRQLLQLTQCLLGAHHENKASVQALVAK ATDELIQRIKEPTSFDMDIRTEKVHAAADSLASLLSYQPDRSIIDKIHQGTTDRLTHQ DQQWDIFVDKVLEIANTPGLNWRYVLSASRFLLTVMRRDKPTDKRLAGFFMGNVQNPH PRIRDYGTVGITRLLFHIALRSLCQGSEELLFLEEPIDVFSKEIELTDTSRAFTEKYL ASFREPLPEDESQALLQDRQETGWLAWGKKLEVSRLSKWDEEIWECEAGSVPGKELIE RIWKEEGWWKKVADHWAQESERNYPSATHIDFILALAQLYGPPIYHSIRPIVEGYLAE MESTKVYDRHKTRAMWEFLAGLVRGSMEWSGKDRKKFWGWVEGKLPELFGNIRHDTIK CWDISIEYILCDQDPRRFKPLVDFCINTALNADFQGGSAFDLARRVQLVRSVVRCLQW RFNAWADEFAELYFKSVACPYAEVRGLMASVLNAIDQLKFYPSYPSVAALTADILSDP TDEKDLMHIRSGLFMPQLQNIVDSLPKWKEDRPHGPKAVLSTHDTAALTALSWLSVEL SDVHAVATFPYIISILPAIFELRDLNDNADLQRTAGRLLAVITSITPALDLIEPLMGS LISILQNSSSWRTKMHSMPVLSLVYFRNLSLLSEPCKAKCLDVVSACLRDPNQEVREM ASATLSGFLRCSQRSMVVVLKDRFAREIKSTVLPKRRDAPGQINPEYQAKLVQLHGAV LGATALVEAFPYTVPKFIPKLLADVLAPRVSDPAPISTTIRSCVASFKRTHEKYQDKF TEDELSAMNYAQAGNSYCTFKFTYGVCD L199_001959 MLINLDNQLESNIPLLPPAKQPTTRPSYGTHAALESGAFSYDDE YYEGEEDIDIEEEIAKLEEEYLSAEEEEIDFQMGE L199_001960 MLVLAETSVGFVVFKLSNDAKIDSKDLWKEFETPEGANKALKVQ AIQRFTSTATAVEDLAAIQDGRLTDSLSKFLVDVAGGSGEADGEKKKKKKKLEEMLVV SDPKLAGTINKTLSIPVLSDSSTQDLYRGIRQQLASLLGGVDQKDLNTMSLGLGHSLS RFKLKFSTDKVDTMVIQAIALLDDLDKEINIYSMRVKEWYGWHFPEMAKIIVDNLAYA RVVKAMGFRTNASSTSFELILPEDLEATIKAAAELSMGTEISDSDMAHINSLCDQVIS ITEYRTQLSEYLRNRMQAIAPNLTALVGELVGARLISHAGSLMNLAKHPASTVQILGA EKALFRALKTKHDTPKYGLIYHASLIGQAPQKLKGKMARMVATKAALSIRVDALSDAE SRSEATSAEVGITNRVKLESRLRALEHQAGIQSVRKVTSGVNGRSQPKFELGNNGSGS YNANTDNLPLDSVHGMLPTQPQTAVKKAVEAVLEVKEEKRAEKSDDKESKKDKKKKRK SEAAVGDVTMDDADESMVVGETKEERKARKEAKKAAKAAKKAAEENGDSDKKSKKRRA DESEVGDASVVVDGEKKKKKKKRDSEAA L199_001961 MASSSKTNAGTGPLIQIFPSVNSLPLVNTKAGAWVCDLGSAEIS DQPYTGGIFVKDELAQDLDNDSFPVNEWKYILEGEWHMVQNGRKLIAKPGDVVFVPKG AAFLSLKNPFKAFFVTNRGGVDHKPYAKAKL L199_001962 MPNTITYFDITIGGSPAGRLTFELFDDVVPKTADNFKHLCLGDK TNSSGRKLAYEGSIFHRCIKSFMLQGGDFTNGNGTGGESIYGEKFEDEGFTLKHDKPM LLSMANAGPNTNGSQFFITTVPTPHLDGKHVVFGRVRSNKGLVRRIEALPTTSDKPNE EVKIASAGVLTPEDIAKEDEERKKAQEASGGEDIWEDYPQDEEGIDAEKADEALSVAL KLKDVGTKEFKAGQFATALDKYQKALRYLDVHPVLPDDAPKEQVEGFRAARIPLLTNA ALAALKCTPPAATLAMILTTRALAIDNLTPAEKGKALYRRALAEIQKKEDEEAEKDLK AALECVPGDAGILKALKDVEQKRKERREKERKAFSKMFG L199_001963 MGRRMPRVYLIRHGETEWSLNGRHTGVTDIPLTENGEKMVKEMS PKMMGKGKLINPNHLRHIFVSPRQRAQRTAELLFGDNKPLKCNFTTEPDVGEWDYGKY EGMLTKDIRKDKADWNIWDDGCPPGETPGESPQQMTERVDRVIAKVRAIHQAAEDAAD TPEEADYADVIIFSHGHFSRSFIARWCDLPIKTGYHFSAEAGGLAVLGYQHKTLKEPS LLGLNWYTEDALERR L199_001964 MVNKTSEIENERSSLLRTSSIISDNSSEDTVQDVNDQNQSNYGA VEDGSGPNPKIEVKKVGKKFKEIWPIFLGLTSAVFCAALSMTIVANLTIEIGSYFHAG SLASWLGTGFLLGLTAMTPLYGRLAQVMGRKGVMLLAVNLFLVGTIMCAVAPTMGFMI AARVIAGAGSGGILTVSAIIISDLVSLADRGLYQGGTNLLFGAGSALGAVVGGAISDR LGWRAAFWLQVPPVIFALFLIVWKVNVDREKGEDVGGDTTWEKIKGIDWAGSVLLMVS ISSFSTSSSLWTSSHYPLSHPLPLSLLAIALVTFPLFIWVEKKAAHPILPLTMLSRPQ PRLVLLGFFLTTLSNFSRLYMQPIYLHVTRGLNGSETGLLLLPSSIVGSLSSLYAGWH MKFWREYKWFQAGFSFIPWLQALSITFFWGPYTDKNELWIEMALGSLGGGVTITTLLT SLIACVEPSELSLAISACYLARALGQVCGLSISACIQQTILLSSLTSRIPDDLDSVRK IIQEPAEILPVLSESLKLQAKLAYLDSIRSVFAFVVCGGVGLSIVCLSLRGKKL L199_001965 MDYAYALLDKGYIPDSALRPVIRQLCRKRLREIDHGSFGANHSA KMAFVSDLHTRPIATHTSEANEQHYEVPTSFHALCMGPRMKYSSCLWEGSDPSGKNIK VKTLAEAEDLMLSSYCKDAKLGRGLRGILDLGCGWGSLGLFLAEHYPLAQIKMLSNSR TQKEYIDSLASEKGFKNVEVITGDVNVYDFEEKEQFTHIMSIEMFEHMKSYSLLLKKV STWLKPNGKLFIHIFCHRSQPYHFESDDGWMAQTFFSGGTMPSFDLFTYFQEDLILKH SEWINGVNYSKTLESWLVNQDRNSKKAMKELVDNMGEEEGRKTYYRFRVFFMACAEFF GLDGGETWGVGKYLFEKR L199_001966 MISFISLFFLPLLSLSLIHAAPLSTRMDAVEFTGYWPDQFVEIA GYNKGWIGGSANYTYTGILTYPGQNTTNETIIFENQAIRSYIFHFAAIDAYPFNSSFQ FRIEDAADSSLFDESPVIPIISKEQAFGTPSASADAPAETA L199_001967 MPPGPRPRPVDLPSSPKHKDPIVNGKMADVDVLMPSQGESSSGG ISRKQHLMSTFEAYRSELDTDNERRERLIILSRSITQLSKKLIFHLHRNATSPSPQQK EKCLREGRAKEREIWVNFGKIKDELKTIREGREEALQGYWRWNRQVSPGLEEYIEALS FLYYLENKSLVPLDVVQKTLSSEDGEPLVIVTPEDYILGMSDLTGELMRYATNALSTG DHNTPLEVCEFVRTVKAHFDGIPPHMLYKLSKKQEETTRSLEKIEKVCYALRLRLIEF ADKPEVLAMMAKRALEDAAEREEKGREE L199_001968 MTVIDKLKAVFSSDSPSQTSTSTSTPTPHPEGQAPAHPSEPDAK AVPETAVLSDKPVFDQEKVTVIFVLGGPGAGKGTQCERLVNDYGFKHLSAGDLLREER NRPGSTYGELITEYIREGKIVPQEVTIKLLENAMSSTLASPPSTDSAWSNGHGRFLID GFPRKMDQALKFDESVVKSSFVLFFSTTEAILLERLLERGKTSGRDDDNKESIVKRFR TFVETSMPVVDYYRKLGKVVEIDSSPPIDEVYQKVRVEIDQRLSTQAPAQAPAATATS NTASTTTETGAPTLAPAV L199_001969 MDPFAPIDQNEKRYVSSDVPRRIKHIQFQPFTPKDIVRISEVQV NHPDLYQISENGDKGTSPHGPLDGRMGPNEKGKKCLTCGEEAAKCVGHYGYVKLALPV FHIGYFRPTINMLSCICKTCARVLLPQNERATYLKRFRRPGLESLQRQSASKAVLASC KKRNICGYCGAANGVVKKSGPMKISHEPYRANKMAALKEEWMGTFKTAVADNSAVATH LNKAVEDLNPLKVLDLFKRVTAEDCELLSLHPDIGRPEDYIWQYISVPPPCIRPSVAS EAGNNEDDLTQKLSEIVNINNNLSLMMDKGQGIETIMTNWEALGQSIALYINSQAPGM QAMAAKPIRGFVQRLKGKQGRFRGNLSGKRVDFSGRTVIGPDPNLRIDEVAVPEKVAV KLSYPERVTDYNKAAMQDAIVNGSRLHPGANIIERRGDNGQPPMRIALHVMKDKEARK RWARDLQIGDIVHRHVRDGDIVLFNRQPSLHKLSIMCHRVRVRPWRTFRLNECVCNPY NADFDGDEMNLHVPQTEEARTEALELMSVKKNLVTPRNGEPIIAAIQDFITASYLLSR RDRFFDRQQFAQIASYLGDANLPIELPPPVIWKPVRLWTGKQIFNLLMKPNKSSNVLV NLEAKCRTLVDPDKSDRFPPDMSPNDGYLVIQNSEIMCGVFDKNTVGDGKKNSVFGVI LRDYGPEEAAKAMNRLAKLSARFLANIGFSLGINDVIPGPVLFESKDAKVEKAYEDCD GFIDLAKKGKLENAPGCDQEATLEQKISGTLSAVREAVGKICMQELSRHNAPLIMATC GSKGSVINVAQMVACVGQQIIAGSRVPNGFQDRSLPHFKKKSKNPPSKGFVRNSFFSG LTPTEFLFHAISGREGLVDTAVKTAETGYMARRLMKALEDLCTHYDLSVRNSVGGIVQ FQYGDDMLDPACLEGDATPVEYVRSWTHACRTADRSGKALLPYEVREIAQRIYPESIV PPSPQPQKRGCHEKYRETTYNFIIDKIVKTMVNQRVARGLPDADDKAMAEEYEDLLVS HDPAVRQVLENANKVTESQLNSFLENCRIRYLRAKIEPGSTVGAVGAQSIGEPGTQMT LKTFHFAGVASMNVTLGVPRIKEIINAAKVISTPIITAEMNIPESETAARIVKGRIER TVLGDIASCIEESWTNANAYIEIHIDMKAVRRLQLEVTLESIKWSLVAAPKLKIPEGS IHISEKTSRIRLWIDEPPKDKEKVVGGIYERLKFLKRAIADVQVKGLPSVDRGVVTKD EKNDKIHRLLVTGYGLSEVMGTEGVDGLKTKTNHVMETQKVLGIEAARSTIYNEIQHT MKSHGMSIDPRHVMLLGDVMTYKGEVLGITRFGVQKMKDSVLMLASFEKTTDHLFDAS LFSKKDEIQGVSECIIMGTPAPGCGTSLASIVTPAPLLPRKKPLLFESAYKAGQDRMK SYNTVLDGMNMNANYNGALGGMGMGMGMEVDVY L199_001970 MSRPTLLQSQTNALLTLLNLNQPPPASSSSTGPFPRPSTPSSTF DENSQAPLVWKVLILDEQSKDILATSLRVQDLREQGVTLHMQLHSARPPLADVPSVYF VSPTLANIRRIAEDLNPPLYSSYHLSFTSSLPRSLLEELASLILSNDPSGSTGQLISS VHDQFLDFLVPSHNLFSLLPRREIKHDTNGTTTSKKAVVNKEKEVEGKPSYVVLNDPK AGELEIDEEVERISKGLFSVITTMNFIPIIRCPRGNAAEMVARKLDAKLRDHIASNAS QRGGAARDGAYGVDGLNSLQRPLLVILDRNVDLIPMLSHSWTYQALVHDVLDMKLNRV TVESPENGRLQKKSYDIDSKDFFWEKNAGNPFPQVAEDIDAELSRYKSDAAEITRSTG ISDVNDVAQIDFSSNTANLKTAITALPELTARKHTLDTHMNIATALLQSIKERGLDNL FQVEETASKQSKAQIVSTLKGQTDDPEQTAHPTPDDQLRLVIIYFLSMMDTLPKEDLA ELSNLLKESGADVNALEYVKKVREITRMTMMASQPAIAAAQPQTSAGGEWTRGFSALG NRITDRLREGGITGVGLDNIISGVKNFLPARKELTITRLVEALMDPSTAATQALQDTD DYLFFDPRASRGRNPVGGSTGKGRQQYSESVVFVVGGGGYVEYGNLMEWANRKEGGGN KKITYGSTEILNPTAFVKTLAELGAA L199_001971 MASLRRPSAAIPKGQGDRGPIQSGPRPPLPGDNDKKKDARKSKV GDKIRKRMSMRYMGADQLPSESIPPLPGQATDFLDSDPYGGADFTPMPMADDGQGEQF FNQFGSPEFKQSGFGQSPVDTSNRAGFGSGSRLLGGEEGIRRRGAEDMTREEEFDLHE LNNEDFNIGDYLRRTLTGADDEEKKRFKAALMREKGSNKKELQKNVFRHYAEFVTISK EISTLENDMLDLKELLGQWKDLPQLMGMEDTLAPTLDKSGNIERRRTQRNSVMDLQNL YKSQLTQLWSTVEGSQKYLPLVPGRHLVFETHNFVELNAATYKAKQNVSMFLLNDLLL IAGRRRMKSAQSSSAGENGERERERGRMVAERCWVLADLVVVDVKDSGDLTNALKIRR GKEVCVYRTSKPEDKKSLLAAFRQVSQELGEKKRKESEKEQERRKSMWQGEKPGGLSS GTPALPGLMSPGRPLSTIGMSMADSKDLRWIDEYGDELTMAIARRDWEEAVKLVERGR DLLKTVSSNPSAYTLLSTRLDQLSPTLINQILHDLSSSQIRKTNTSTLISYLNRLDCS DMGKDTFLRSRKELMIKRIRSIKCEGDISIYISELSVVCFTIIRHTSDWFMNAFKENR MASAFITWAKEQIEMFADMFRRQVYAPNVEQNVVDECVRVTASHNRKLLRDVGLDFTY LLSTLLQLDPSASSPHPIFNTSATSSTPATSSLSQPPPQAPNMGRQGSGYAPSLGRSD SGYNGGYHLHREGSGTSMGRERSSDGRDRSDSRVGNTAPLSVPSRQRGAGGQSPLSPD SGRSTPLAGQRDDGPVLPPRSERRGISSQGRDSESLS L199_001972 MKYAVLALAVAGLTQAQSNTTSSTLIPSNITSSCTTFLESLNSD GTLSSCVTPLINATASFSPTASTNLTEDSINYTLASICKSNAGCSDSTIRGWLANFYS QCNEELTSTTNYNSDVRELYDILYVVNPLKGAVCSINSSNQEYCVNEIVASEKNATSS SNSTASANSTATSGSGVSNNTLFSNLAATAQELTNPIQYAAQNLYVEVSVAASSISKR FFNNNKRQEQSVNMVTVIKPNTATYKSTNLPFLFLQPSLASSALCTPCTREVLVAYVK WETQVPYALGLKQSPILGGQSELWNAINSTCGVSFINAIKSEVGALAQNFSSSASSTL TFVGQNGVNGLTLMVGATFVSGLVALFI L199_001973 MQPGIISPRAGIDSVKPSTLVFHRVSSHTAINAITPPYQTTSTS PVIPLPPLSLVLKIMKPMSFKSSGNSEDDEESSFGALSYHPAEYKDADAAVKAAYNED IMYHHLLNFQGTVLPNYHGLFVWPSSDEDDTQVPGLMAMLLEDLGAQIPPEDMDSIDV SEEECKQIRRIYDQLHDEAKIVHVFPQLWHILRRKEIKPGDPNHLVVIDFANARSLSD EALSEKQRGRLLKLDTCRLGMSFSTHKW L199_001974 MATSAIDDGIGSPLPLNDGGGRGNATLEFDVNVFKTYLESLLFP VMSATKQEIEDSLFEDPSFDEKTVRFATDPGCQVVYITKERYLDVDEDDAPIARITYR LHLPPTPPHSPNCISTLALIKISPTLDSLNPLGTQLHFLQLSSSSVAVPALVSDEIPS GASTAVTTPTNQVTPYDGLHSLVHWGVAPWFDSYVSSKTNLAGNQSNKKNQAGEASMG IPVTKKKFAELELSLLHLKQNVEIPEARLGVHPAIRKAVAQCHANGTRVSVDAVEPSS LLSDPAFLNKLQADVNSWIKEIQSVTKLSRDVSSGTASQEINFWLSMENALENIEAQL KGEEVGLAMDVLKHAKRFHATVSFLADTGLKEATDIVHKHNILMKDFPLDELLAATDL DKIQEAIYLIFGHINKKLKLSPYPIRRTLPLVEAISSDFNDQLLKVLGSQRLMYMDYA KFEEVMAMTADVFATWDENMKDFTNVAREVSRKRAEKFISIKINPAHAKLQERVAYLR GFRRSHEQLRVMTSSTRTFSGLGNDAPFDIDMEEEVRLSYESVKNVDVLDVSSEGSEI WYTAETAYNDRVARIENQIISRLRDKLATARNAQEMFRVFSKFNTLFVRPKIRGAIQE YQTRLIESVKEDIRRLRQKFTENYRNSQAYHMSQMRDFPSVSSAIIWARQIERQLLTY MRRVEDVLGKGWESYAEGHKLQVESASFRAKLDTRPLYDAWIGDITKRGNLTVTGRLF DVIRTRATATNAQGQLQLVVQFDPQVIALFKEVRALIWLGFPVPLTISHKAKDAKRVY PHAVSLMESVRTYTQTLDLIDRNEDVAILLASYRSHAQQMIERGIKMQWDHLVNAYEG QRYLPGGAADGRENRHFLFVREFASVISLLQDKTNALIEISTDITRNVDELSTCDFTA EAFSNLLSQIQKTIDHLNLENYSNLDAWVVILNERIDKLLRERLVQAIDSWCEEFKRT DEFSLLNGDNQQLGIKGGKSEVHIEPLVHEIRIRNQVIYLDPPIEIARQEWLGQLQDA LGVVCNLNRIRSSRYEISLQVDEAGVEETSYVGLLASFDDGTIEKPLALIEDKVQTVN AYVGKWLQFQSLWDLEAESVYSRLGDNLAEWGQLISDIRQARTTFDTTDTKKEFGVCV IDYANAQSKVNAKYDSWQRELLTRYGTKLGSSIKETYSSILKARTDLETLAIEGSSTA QAVAFITFVQDLKRKVVKWGPEIEEFNSGQKTLERQRYSFPNDWLYVDQMQGEWSAFT EILKRKDDSIKEQVAGLQLKIVAEDKVIDGRIGDFVTEWEANKPLQGSIKAETAINTL NVFEGRLTRLTEEYDLVCRAKEALDLEHTKDDRLQPVTEEVRDLKAVWTALSGIWGRL AQLRETLWSAVQPRKLRQELDAILSSTRDMPSRMRQYAAFEYVQETLRGLLKSNILIG ELKSEALRERHWSKLYKALRMPSTQATTMTLGQVYDLDLKRNETLIKEVVVQAQGEMA LEEFLKQVRETWTSYSLDLINYQNKCGLIRGWDDLFNKCGEHLNSLTAMRMSPYYRVF EEDAASWEEKLNRIHVLFDVWIDVQRQWVYLEGIFSGSADIKHLLPVESARFQNINSE FLTVMKKVNKSPFVVDVLNIPAIQKNLERLADLLTKIQKALGEYLEKERSSFPRFYFV GDEDLLEIIGNSKDTRRIMKHLKKMFAGISTLQLDEEETQLLGFASREGEEVLFRDPI VLKEYPKINDWLAKVESEMRLSLARLLSQAVSELQALFKVDSDLSLADLLAWIDSYPA QLVVLAVQIAWTNLVEASISAAGLTSVLALVSKTLDLLADTVLQDIAVLQRRKCEHLI TELVHQRDVIRSLITAGVRNNTSFDWLYHMRFYLNENIEDPLFRLEIHMADAVFPYGY EYLGIPDRLVQTPLTDRCYLTLTQALDNQLGGSPFGPAGTGKTESVKSLGVQLGRFVL VFCCDETFDFQAMGRIFIGLCQVGAWGCFDEFNRLEERILSAVSQQVQSIQQGLAQAA NNPNAEVELVGKKLKINTRTGIFITMNPGYAGRSNLPDNLKKLFRSMSMTRPDQELIA QVLLFSKGFRTAENLASKVVPFFNLCAEQLSAQPHYDFGLRALKSVLASAGILKRDRH LIGKGNEEQTDQIAEQQIMIQSVTETIVPKLVAEDVPLLKALLEDVFPDVDYVPVDLD ALKAKVSEICKERQLVAGPAWMEKVVQLYQIQNISHGLMMVGPSGSGKTQAWQVLLSA LERLDGIEGTPYVIDPKAIDKEALYGTLDPTTREWNDGLFTHILRKIVDNVRGETTKR HWIIFDGDVDPEWVENLNSVLDDNKLLTLPNGERLNLPPNVRVMFEVEHLRYATLATV SRCGMIWFSDEIIDTAMVCQHHLDILASTPIGSEDDETPDYTAIGDINTASNAQVESQ KQIVSILEPHFGPNGLVNSALDFAEKCQHIMDFTPIRALNTLFSLLKATVRNVLEYNA RHADFPLAAEKVEAYVTRRLLLNIVWSFVGDAKLDIRAELGEHLRRESGIETPTLGLG ASLIDYDVDVASSSWVAWQSRVPTVEVETHAITLADVVIPTVDTVRHEEVLYSWLAEH KPLILCGPPGSGKTMTLFSALRKLPDLEVVGLNFSSATTPELILKTFGQYCEYRKTPN GVVLAPQQIGRWLVVFCDEINLPATDKYGTQRVISFLRQLMECNGFWRTSDLAWVKME RIQFVGACNPPTDPGRVPLSHRFMRHAPVVMVDYPGEISLKTIYGTFNRALLKVIPTL RGHSDALTDAMVDFYLASQKRFTADIQAHYIYSPRELTRWSRGIYEAIKPLETLSVEG LVRVWAHEALRLFQDRLVAEDEKAWTDDKIESTASQHFPTIDQKEALARPILFANWTS RNYIPVNREQLREYTKARLRVFHEEELDVQLVLFNDVLDHVLRIDRVFRQIQGHLLLI GVSGGGKTTLSRFVAWMNGLSIYQIKVSNKYTGADFDEDLRTVLRRAGCKGEKICFIM DESNVLDSGFLERMNTLLANAEVPGLFEGDEHAALMTACKEGSQRDGLMLDSHEELYR WFTQQVARNLHVVFTMNPPANGLASRAATSPALFNRCVLDWFGDWSDQALYQVGLEFT QTLDLDMSTYTPAAPFPNAYRDLPMPPSHRQAIINAMVYVHQSMQSVTSKLSKRQGKY NHITPRHFLDFINHYVRLFNEKREELEEQQRHLNVGLDKLRDTVNQVEELRHSLAAKS SQLEAKNAEANQKLRQMVTDQQEAEAKKAASIEIQAALVQQDEYIQQRQEIVKEDLAQ AEPAVLEALAAVGNIKKQHLSEVRSMANPPEAVKLAMESACSVLGHQIDSWRTVQAII RRDDFISSIQNFDTKKMTKTVRDRMMRDYINKPAFNFETVNRASRACGPLVQWVIAQV RFSEILDKVAPLRQEVASLEQQADNTKKQAQVVTDTVAELEASIARYKEEYALLISET QSIKSEMDRVQSKVDRSMTLLKSLSSEQERWDAGSKTFETEMGTIVGDVLISAAFLAY GGFFDQRYRELMRREWMDHLAEAGIHFKNDLALSEFLSTADERLIWQANALPADNLCV ENAVMLKRYNRYPLIVDPTGQAAAFLQNEYKDRKITVTSFLDEAFLKNLESALRFGNP LLIQDVENLDPILNSVLNRELRRTGGRVLIRIGNQDIDFSPAFTMFLSTRDPSVEFSP DICSRVTFVNFTMTRSSLQTQALDKVLKVERPEIDQKRTDLMKLQGEFRLRLRHLERS LLQALNESSGSILDDDKVIETLEVLKREAAEVTRKVEETEVVMREVEAVTGEYLPLAQ ACSGIYFTLEQLATINHFYQFSLDYFLEIFDYILLQNPNLKGINDLQSRKAILLRDLF LVTFQRTSRSLLHTDYLVLAVSLAKLRLRSDTDEAVLDELDAVLEIPSGGSQAELRLT SEQRRSLDSSVGPEMAKVLEQDFEANAEKWKEFSISATPEKSVPWPWTEADALSAFAD LSFGSDLANQAAYDLQAVVINEVDPTHPVALASVPGYDASYRIDNLCRTAGTACASVA MGSAEGFTLADQAIANAARIGSWVLLKNVHLAPGWLAQLEKRLHSLSPNRNFRLFLTM EINPVIPVNILRQSRIIMNEPPPGVRANLLDSLKGIPKARITTGPAEKSRLFFLLAWF HAVVQERLRYLPIGWSKGYEFNDSDFDAALNTIDTWLTDLAKGKANVDPAQIPWVALC TLIKQAVYGGRVDSDYDQRVVDAFVDRIFTARAYDPDFKLVEHVDGGLNVPEGTQMSQ FVQWAQALPEREPPAWLSLPGTAEGLVAAAEGDAMMVKLRKMRTTDDEEDETGPAATS VGRPAWMTALKGHAEDWLTILPKTLTTPPNNHSPLSRFFAREASTGSKLLQRIRRDLS ELIQVCNGDLKQTNELRALISDLNRGTVPSHWKKFKMPKGTAVAQHISNFAERLRQLE GIANGQGGQGDKGIWLGGLFQPEAYITATRQAVAHDKGWSLEQLVLSVGIERTGGQSS FAIQGLHLQGASWKSDHLTLNDGRSVSLGPSQIVWLRRDERPSKGVNIVNLPVYLNGD RNDVLFSVDLETESEGQAIVAQRGVCLTAA L199_001975 MSSEVNAIAKPPSRDSSEESYPPIVPGASLLLALRLANRPILLI GGGVVASQRLYFLLESDAHITIISPGPLHPSIQHRINDPSTSSKITWLDRPYLGRKDE IKVKDYDLVMTAIDDNDLSKETCELCREEKVMVNVADIPPQCDFYFGAQLRKGPLQIL ISTGGLGPRSGAMIRDLILENLPSNIEDSIKGIGLLRADLRKRAPGVGGKLGQERMDW MKEVSDTWGLDLMKRFNDEELRKEILDKGWESRKILGPDDLGGKSSSWTQAIQRTLGT IDWTAGIGGFGLGVAFTSLGLMLLQRQGRL L199_001976 MGYTLAVLGCGTMGVAILSGVLSSLEARLSAPLTQKHHSGETEP PSGISTPTASQFLDAPEESLPSRFIATVGREETGRKLKKTFEGLGRFGSDVEVRAGQG NVQAVKEADVILVCSKPNIAKSILLEEGMAEAVKGKLVISICAGVTISQLVSWVPEST KVVRAMPNTPCKIREGMTVVTPVSDALSRTLILNIFTSCGRCRFLEEKYFDACTALAG SGPAFVALVLEAMTDGGVMMGLPRVEALELAAQTLQGTGRMALYAGLHPAQLKDSVTT PGGCTIAGLLTLEDGRVRSTMARAIQVATNHASGLGQDSKK L199_001977 MPSAISLSTSIIPDFKEIRSRSFSLPKSPKAAKRFFGKSEDSPP VPPLPSSSTNTTTPHHQHTPSSSSISSTASASVGFSGATVVRTPQEALEGIKSLTPPV LPQLPIAHAYTKPSPNGRKPPIYLHTHTNSLDRGRSNQMNGAVQSNNGNTPKIHRSQS ATEELRSPISTTSTSNPATPVTAPPCRGGIVGVKGVLKSPSYGYLRNHDVSADKWARE VLGSIRISTDNHNDVDVLGLRGKVNMDLGEGEELNSFIDSYDRNTKHDSSQSSVGLFP IPQSSSANSTTSLTSIQTFGHDDRFRGYQPSIVSTTASTTQGYTEDQYKPYIQPGSST TNNSMLMLDEDRYPPRSTSTLQHLEEVKFPSFSTPLPPPTESIISTTTKEEQEEEYDN IDYDPFKKIDTHTTRPICTTTSTASSHCSRSSSSTTTKEQTEVQDKSMYAPVPVPVPF MTPTYPKADSVESTYSPRSKPQQLPSEKEKQDEEDKSFLLALPTRDFTRSPSTHSMHP PSPLSTSTSTNSKSQGHTRSPSTPITSSSPQKTMIRTHTRSPSSPAEFSPSPLSPSPN APIQKLRRPSLSSNRSTVRPLPPVSRTSTRLSVVQTPFHATILEDTSRPLPSGQSDLL LLNLEFAYSLDDKPNNEKVILPIEVVRQYGSNRLLDWLDRYLTRSENGNNKKVISTVT TIPEMTDGASAEESDLESDNGLTALLRDEYLNSFLLPDSPSTATSLTTPNPIPVPSAV EGISMPSSPTKVKSPSLPNIPLPPTPASTLDVPDEIPTRLSSGDAPEPAPKKEGWKRG VTPRQYEQLQNIYPTPLSSLAPTSHDDDDDDQASKSPSDMETELKEREIDMKLEDKFN WMPPKTPPLSIRRHSKSHLPPTPTFTSRTTDQKEDPGQAQGQSNLRELRIFLTREAGA WHRIAHRLLSGKWGDMRGEIYKQRVMDELDWVGMTSLREELSNNFTTNFVGNGNLSSP PVSIGGIFSNRITNSPSPSMTQVPQTSMSAGFIGFTPLSGNSSPGIGMGGTKKIMRSS SSTSLMMLRNKGNRVSGVSRGGSGYI L199_001978 MAKVPRSFRLLSELEHGEKGIGDGSCSYGLKDSDDIAMYEWNGT ILGPPHSAYENRIFSLSIYCGDKYPDIPPLVKFESKITLPCVDQQGFVNFSKIPSISN WKRDFTLETVLVELRRDMAAPSNRKTPQPPEGAEFPPADLQIIAKQRNL L199_001979 MDGLLAEISAKRKALEVETGDSSGGAKKYMRRADIERLREEEAK KKRLEERAKRDKERQEKMKKEADQARHQSLARLAASPTPTASNTARGTPEPSTLVEKE GFNISPEECIRRLRSKGQPIRLFGESDKERRLRLRALELLEEKSGSGGGQHGRNDFMK ALEEMESGLDKKEIERKARELHKQSEEKSKITQNENDHTDGNGDKEGDGEGEKKDGTL KKRGQNMGILDLGLIKSDPNKLYPLIYYALKGVVKEWEEYMDMRPEEIKRSTQGRMAA ATQVQSAQNLKPLFKSLRSRDLPPDVLRLLAELVHHMQSRQYLKANDAYLRLSIGNAA WPIGVTSVGIHERSAREKIGQDNIAHVLNDEVSRKYIQAVKRLLTFSQTVRPPEDVSQ LMG L199_001980 MAANGFKSPAPVLPGLGLHSFHNDSPPFAGAATSEHGDPEYLSL ESSQTLLLSSPHDDSQLLPERHQHEDHHRNPQNNLTRLPSSSSINTIQPQPKSNTRIN APVPQRIPIPSNNSNPVDDDLSLSSSRSRRLLSGYLFGNPPSPMENKNLAEEVDAVAV AGGIGRRPSLDSEEEGRYPLPTPPIKDDRDSPPLSEAFKSYSSPNPSQTNNRTLSAQS SNSQIEKRTGEISLNDSPEYRPASSTEATASHEANSVGVTNQTGIIKLTNNPEQNDTP TRGQGTRSKSKEPGQGQGGYDPLDGLNDGEADLDLSAPQATDGGSGAYLRGEVEYSFP RHRLRKTMKDESKIPLVIVACGSFSPPTYLHLRMFEMAKDEIIESQTYEIMAGYYSPV SSYYKKSGLAPANHRVRMCELAVEHTSTWLMVDPWEAGQPEYQRTAIVLDHFDEMLNG GKNGEGGVIMNDGKKRRYKIMLLAGGDLIESFGEPGVWSEPDLHIILGRFGCLIVERA GSDVWAFLLSHDILYHHRRNVIVIKQLIYNDISSTKVRLFVRRGMSIKYLLPNSVIQY IYDNKLYRFTDVKATIG L199_001981 MTRPKSKQSPPPASSSSSEGYCQSCGRLLPRENKTDPTARKYCS STCRLHSKSPYLKGIRTALIEGYHRSLNDRPTNQVILCSEVEKIIFDPTSNKDNKDKD DKVDSNQASTLSPTEQREESRRAARRIVAFGFPSQGIAEEGREVEAIQNGKSVETSFA KGEWGIRWK L199_001982 MDKEQQYQASDGQWSSPQVQTQNQQTYTQQPPMKPTPQTIYVDG KQPPQQQQADAGKRTKFGMCCGTTSALGMGACYY L199_001983 MSTAPFKEDALNRFRITHSDRELKPLIRRLHRLPLLSASKDLSQ DDVELERELIKMELLKWRIGIERVLGSISNLDRQTECYKRQTRETVSKADQLKLRLIE EKQELANKRKLREHQLKCDEIVKRIQSRGKGRKDLDDHIESLTNSLKEHTESHSTYLS TTQLRFEKFNEIIKLIDECRNLKLPSEPTSTSMAITEEGQDKDNRMDIDPSSTSASSS STSRLNLSALEFQPTSRSASTSATNRSSAPPSGNTTTTNNNNNSLKPPSSGHMLPSKP NSNSTSNKPLSGGVKLSRQNSNGLPSRPSNLRSSTTPAALHTGSGSLEDGEVGPEEGE VDSPNTNTSSEESRKRARGSEGSQTRNTRSRAK L199_001985 MDPNYVPQLRQLLEATIAPDTNVIKAATTQLNTQFYKNPQCIPA LYEIAATTDNQSIRQLAAVELRKRISSGDGRQWKKNPQQLREQIKASLLERLTQEQSA IVRHALSRAVAAIADIELTVNPPQWPTLLPGLYQAAASPTKTHRETAIYVLFSILDTV AESFETQLQSLFKVFSVSLVDPESSEVRVTTLRALAKVAEYIEAGDKHDVKAFQELIV PMLKVLEQAIKDGDDEGVKHGYDAFETLLIIEAPLVSKHVAELVQFFLGVAGNKEVDD EMRCGALNVLSWVVRYKKSKVQALGLAKHIVEGLLPIGCEDDPEDVDEDSPSRLAFRT LDNLAQALPPQQVFPVLTQQLQVYMGGDARMRKSALMAFGVSVEGCSEYIRPHVDQLW PVIEGGLQDGEVIVRKAACIALGCLCEWLAEECATRHSVIVPILFNLIVDPSTQKNAC TCLDSYLEILGDDIVNYLTLLMERLLVLLENGTIAVKITVTGAIGSAAHAAKEKFVPY FDQTIKRLVPFLHLQASDEESDLRGVATDTIGTIADAVGADVFRPYFEGLMKAAFEAL TMDNSRLRESSFIFFGVMAQVFEGEFSQYLPQCVPALIASCQQDESADEFLNDGEGGS SNPSALAEAFTTGVSKDAGEDLGDDEEDETDLAALEQMFSKVNSAVAIEKEVAADTIG ELFSATKSAFMPYVEETVKVLVELLDHYYEGIRKSAVGALFAFIKTMYDLSEPAEWQP GAHVRVPFHEHVKKIVEMVLPPIFEAWKTEDDKSVVILMCAELADTMNKCGPALVEGH LDEVATFAIEILEKKSLCQQDPDGDDENVDVDADSSEYESALVSNAADVFGAMASVLG PDFAQAFGQVLPLIAKYTEPKRTQSERSMAIGSLGEVIVGLKGGVTTFTQPLLQIISR GLVDEEADVRSNAAFAAGVLIENSETDLSSNYQALLTALQPFFSPAEHSAPAVYNARD NAAGAVARMVTKNPNALPLDQVIQVLVSVLPLRFDPLENRAVYGALFATFRTQPQILM PHIDHLLQAFHYVLLDPSHADDTTDETKAELRALIEHLKSQVPDKVAAAGFA L199_001986 MDGDNEMNVDGSTGETSKAGLRRMRRLASRKPHLQSSPRGAIHP TTSTLIYPTPPSSHIQGYLTSSIFNSSHPKKLPTPPILQPGEQISHSPDGLWTVIYHP NPIPESTPGGTLAIYHSDQLLSPTTSPTSIIPLSTFSLPSSPLSVTHLYPPRIHLVKS RSKPAGPNPLEVEYDPVNGPSFVVLLESSIWYLYSTPVIHGPVTSWTMTYLTSPIHTR YHTSSITSSDGLFPGNGFKIKKGWTGWVPSNEGIWAGWETIEGERGVTRVEVGKDKYG KVYLQSTPMPTLPRVTDIPFDENQYEQELQGIIFVSLARNYGGELIKMEKENVSGMQL DGEGEGNKEDREVEKVGAVLVFNDTSRTSSAPVSRTRIQLHSFERRAIELAQGFNDIS SGQGDMVTSWDWSTVPDPIRHYASPTNTSIIALYPLLSLPPYALALAIISQPSGLSLV HINLSANQWTMVGDPIALGELRSEVDLDLVVSQGVTRGQMGLAALIGKESAPALLVVP RLEGQPTLDKLSKEVSMGSDAATSIILAEKDGIDWSDVIRAAISSTGVGKRKDLIANI SKQIYTLGMDLVEIDELNLLLKAQIALFSSTEDARLDLASDILRLNEASHLVDRCAIF EKDGKIGFDLDSIWPLIGMMEWCITTITTAMRSIILLGGQMEYSTIDLEDYLERPSSI IILIQPEYRQLVIKILSQLNQLIGSLDKLDGPILQPETKVLPPPLKRDAMATILARDR IRDVAYRDGMDLIEWGKALERVSTKEIPEESISSSLYNLSLIPLKDHLSRIINDLPNS SEMFLSATQSSLGDTSTTLYDSITYLPIATSTHSIVNGRKRISCERCLNSTEELTKPT DINAIARGVSSHSPWNEWKDFFKEHCLCGGNWVREKSIK L199_001987 MSSPPASAPANMSAFPVASSSRSNGHPPLHPYPAQETEIDVIPT RAGRKLCVRHKQMANQNVNEKLQRSLDNLSQSERAAITSMWSTFSNAPHGKRKLILEG ILTMCCFSQLSHLSDSLNQIIRIDPFSLLPRETSLRILGYLDAFSLGRAAQVSKSWKA LADDDLLWRRMCGQHIDRKCEKCGWGLPLLERKRLRVELKDRSPATLINHDHNHDHHD DHDHLGVGPSTSKVITRNDVLSGSIHANISPTEAFSVGGEIGLKSCDMPAMYQQPVSL KRSAPSTPESLPSKKSKVKDSDTEEELLQSASASTNGHLTRDVRLTRPWKTVYCERLM VERNWRKGRFNHRLLRGHTDGVMCLQYHTALTNPSYPVLITGSYDRTVRVWNLDSGEE VRVLTGHTRAVRALQFDQMLLFTGAMDGTVRMWNWRAGECLRVMDGHTDGVISLNYNG YLLASGSADSTIQVWNFRSGNKFVLRGHEEWVNSVVLWDGKSSPSDIDPTLPPSFTQA VSSRCSKAKSPGVGSSDAPQPDIDVGAMLFSASDDGTIKLWDLTSQSCIRTFEGHKAQ VQSMKILMVDMSEEEITERDRQRRQNGRQYTPPQGFITGNQYGSPPHQSIDINDLDAP EGFDPIEHRGRSRDTAIQPRVYVHSPEKKDDKRERERERSRGREKKAILATGSLDGTV KIWDVDSGKEQSTLFGHIEGVWSVDIDALRMVSASHDRTIKVWDRESGQCVQTLVGHR GAVTSLQLSDDMIVSGSDDGDVMVWNFAPNSNNNNSTSTPNQQSGNITPIPE L199_001988 MALSAIITLLLFVPSLILAASIGGLSISHPLSQQFPPVARVDQP FQWTFSDDTFSPSSSLTYTAFNLPPWLSFTGSTRTFQGNPTSDDVGNRLVQITATTRD GQSTISDHINFIVSDHSGNLTLGRSIEDQLNSPDSTSITSTYPYGQSSIYYPGVRVPP NWSFSLGFTPSTFLAPSRVYYSASLKDGSPLPTWLIFNNQTVTFDGITVPSADTIREV YEVILSGSDVYGYSDIQQSFTIVVSAHELVALGPMVVNLTTGYANDISLKGLLKSGLS IDGQDAINISDLTSATLDTSSLGWPTYSSGNMSISGNPPSDQQNVELPLNVVDKYGDT LNTTLKMAFYPSLFASDKIQQPQILEIGKSNAITLAQFLKNSTTSTSNINMTASFNPK EASSWLSLSTDQWTLLGNPTEDTDYDSVTVALRAQDLSTNAYSKSILTLSLMSDNSTI THQHPHMHSHGLAKGTKVAIAIVCSLVGILLLLFMLVQLRRRRTSARTREKGLDRYRS PTMEEEGKWSYEAAETPALEYVEKMGGDPATTQMLVLGIIDGSSSETVVATGGEHGMV PHLRMGSNTSTGSKIKKLFLTNPFSGKSSKSKMIPKISNPIIMPSLSNAAFQAQLAAA VDKAGIVNRNRSSTYSHSASESGGEFAATPSYISGSQIDRSQNSSKLSQRSDVSLDRS TVLTQDSDSRFGGHSAGSRTVQSQTQSSRASWESEPPFVWTTGDTPGPDADGSIRTSS NRSSSGTHHSTMTTTTIDPNAPTQRADFKVNKTNIPISSFSKSTSTSSGVSSMSKKIL IRDMMDRDRARAGSPIGSINSENEGISIDNIHFPTDSDLAHTETSSLSGDYDPNNGVI IQTASRIDARRTLDSPATESLASSRGSGNHTERGVSPVMTTHSRLVSFGKQKKVEVVS EHDNGGNNRHSMSHQAVIEAASTTTSIGLGIGMGIQSQASPIPLPPTKAITVTRTTPT PPSSLPSLPALPTLPSSTSTASKGSQKKAKARPTITASPQRILLGVSEPFHFYPPLSI TPSNSNSSNQSSSTAGEGQAEYLAFVEKPLPSLKKGGSIIIKLTELPDWLHFEDGELW GVPRQQDRGEVHVRIVERKGGDDRVVGRFSLEVS L199_001989 MSLSGSTAEDKSKVNDIGLMNNDVQGPGATTENPGGVFPEVGSQ PAPPGSMPGSGAPDHHGDIRGVFGNPQVFNDTFGHLSSAFTDAINKIKDESGSGIGSV GKILITKLESMRDEVDGWRMGKGLPEVEQAGGERNESVERAVGDGGGLYAD L199_001990 MDDFVKTGIGADKTDAVIRTVVTKPVWHLVPLPLSQINPSACKS LSIRGQIPSLRSSCLSVISKNLHLYTIDQFQAIPKVIIQKIISRIRNDRVYEDNFERG YTSRNPDEGTIWCLSALLDPQSTDDFQLALPQDSMLQYLTPNDLSKYPQHPLVELPTL YTTINPHPSVSLLTTLTLDGMDGVVTDQNIQSLKYCTNLTGLWMKACKVTDTGIKLLT SSLQLPGDMGDESGRGLWKLRNWSLGGCRGVSDRSMKSFSRYPGLVMLDIRDTSCTTS AIDVFNRTCQNIFQGYNPDFQPCTEGLLGLFYRSYTSSEIIDKLCLTLIKLPDNASSD RSHISLNIIPSFRPIEDRYLPESSTHTPSKKAWENKWDPVQKTVYRGNGVGQLYGTSV SRVANEVEDFRKRRKIAIEMEGKESELDRYANMSTNEKRNYTRQKNKEEQEWREARYH WKTKDEDEIKPKPKIYKGRGQKGETERSKSFVMGTKGEQVLIDKSSKDDKSLMLVRMV NDNWDRLTWTLNTGSSDISQQVKNVGFGYGLTKTSFSQKVKATNLVEELLGTTMSTVT SSQISNSQATPSSPFRSTPLKQTSSQPPISVPSSPINGFSQTPQSQSNRNPFKTQSVI SNSMGVRPLSSPATSQSSKPLARSTSPNSSPFSFSQNTQSEIKPFANTQNILKRNSLA EDGFAAGKLKDDLSFTISNSTRKRTFDGSGSGVEAKRGGMKMFSVGSQKI L199_001991 MHAFHQTLLPSSSIHHSLFLSNFTPSTIYPLPKPVNDTVPEAKV IGNLIVAGGENLRVFEIRELQQYPDIEHIQNGNAEGDEEMEEGEERLGDGFFDDGHSK RDPVKLETRRKLHLLAQYELNGTVTGLSALRTIESSVDGLDRLLVSFEHAKMALLEWS RGSISTVSLHTYERCSQMISGDLQTYVPMLRTDPLSRLAVLSLPEDSLAVLPVLQEQS ELDLIEGYARDVPYSPSFVLSLSDVSPKLKNLQDLLFLPGFHSPTLALLYSPLHTWSG RYQSIKDNFCLEIRTIDLSSGGTYPLLTSVTGLPSDSLYLVACPAQLGGVILVTSTGL VHIDQSGRVVGTSVNAWWSYATALKSDHQSESRKLSLEGSKCLFVNERDMLLILQNGD THQVRFEMDGRNVGQIKIDESSSTVPPPSSVVIAGDKALFVGSAEGDSLLGKINEDRE VLLNGDQSVKKEEVKDDMDVDWDEDLYGDMNDPSNAGLANGHQKENTGPAKISVSTYD VLEGVGKIMDIEFGIAATDQGTRTYPQLVAISGGSKKSTLNVFRRGIPITKRRRFNEL SSSEAVWFLPIDRPSAQKFKDIPDSERTTMLFSTERNATRIFALSNKANPEQIGRIDG KTLNAAPFFQRSCVMHVTAAEVTLLDNIVDGKPIQVICPKSDLAPISSASISDPYIAI RRLDGSVSFFVGDTVARTVTEVPVAGEGFETPKCQAIEVFSDTTGIYRTFEPAQQEPA ISVDAPSMETAMNASRGTQWLSFLTERGELQIRSLPDLRIVLQSDGLGSSAPTFTDDL VDGVLKDEEIEDEVKQMVFCPIGKGNVRPHLLALHQSGRLNAYEAQPRFTVDSSSQTR RSLAVRFRKVHTQLLPISGGSTKLPYTIIPFDEIEGITGAFITGEKPHWIVSSDAHPL RTYALKQAAMSFGKTTHLGGKGEYFIRIEDGSFICYLPPTLNTDFAIPCDRYDMDRVY TSIAFDPTSAHYVGAASITVPFQAYDEEGEIQIGPEGENLIPPTNQRSTLELFSQGSD PWRVIDGYDFDQNEEVLCLESVTLESPGGDGGFRDFIAVGTGFNFGEDRATRGNTYIF EIVETVGKGGKAAGSGWILKLRAKDPARNPVSAISHINGYLLNSNGPKIYVKGFDDDQ QLMGLAFLDVQIYATSIKVFKNFILVSDLYKSFWFVSLQEDPYKLTTISKDLQPVSPV VTDFLVHEGQLTFISNDREGNMRMLDFDPTDPDSLNGERVLLRTEYHTGAPVTTSKVI ARRKTAEEEYAPQTQIIYATANGALTTLVSVKPARFKRLQLVSDQLVRNAQHVAGLNP KAFRTVQNDLLPKPLSKGILDGTLLSHFALQPLNRQKEMMRQIGTDAVTVASDIAALG GFW L199_001992 MDESSAEQQIADQRTIDSAASSDVISDDQIKKTWNDTTHLHGNL WRSEYKNFRTTANAVQLKNIFNRQFHPAFTQHLQSKLTGTSSIAQAYPAEAADNSATD A L199_001993 MSLSPSQQDALHQLLAVTASFTPAQKERDERLLRENGWNVQATV EQIFSLGSSAADVPNDATSSSTRSSLSGSGSRPITSRLEVEDHPLLPRQPVGTRRLSG SQRSPRSPGGPGTSGVGLGLWGLVIWPVSIVWGIVGGIWYFIIRTFVPLSLLPRLPSF LLPPSQPSSSTSGNLRPAQDPTTTSLRFIRDLETYTRCSSSQGNLPDFYIGPYREFVQ HLRKEGKLGLVVIVSGEHENDEEFKKDVLTDEEFVRTLKEKDIVVWGADLSSREGYQV AQTLLLTTYPSLTFLSLLPVPNSSTPKLTILTSLSGSPSTTTSTSNILQTLTTTILPR VTPFLNRLKRERLTLEEARHLRAEQDRAFREAERRDREKMELQKQKEELEKIKLQRIQ REKEEKAKYKENQKIWRKYAKRHLLPSILSASARDGTVKVAIRTPLSSERHIKNFKVS NSTLDLFVFIETLLVDDDDDEVVDQPPKGFEDDKLENINWEFDIITSFPRKEIEPTTV NGEEFWKIIKNAGGVLFVERKNGSSWGMRDGERGDDEESDEEEIID L199_001994 MEEDKAIPSPASKAFINHLAKYAYSSNSNSTSPSKLAGPSRSVS PSKAKVPVVEIPASPRKRRSLPLAPVRQKGRRSIERKDESDSEYEEPAITPIKKKRGR DSDEIGTVEVKGKGKGKKPRGYAPPELYEHLRPVNDLLRDDLDRKKSSTMGHHFSHPT NKFWRSLHQSGLTPRLLDPTEDHLMPEYGYGLTNLVDRPTSEQSELSTLEMRLNVYNL TAKFLKYKPKIVCFVGKKIWDVYESVIKKTAIRSIGQKMTSEKGLGTRAQVKVEEEDL ISSDINKITSSRRVKLESTDDPDQDSSLSPAPEPEATVKVEEPGSERLVKIETDELNH SVHSASTSGKFSKRRMSKIIVEAFDATKPRIFRLPHHSIGNEDEVEGYTYFWVVPNTS GLERTQLPEQIINFTALKTFLGRIQSQAELQEGEWIDIDPAGVEYTVEEMKRIALSKK A L199_001995 MSTSDPQRPDGPSSQLLTFTTKPTPAEVTAIINPSNKRRLLITL SFPLLLLLAIPFWWYTTSIERLPLPVSRIDALADLSIPSYRGKILFTADEEAYPKSAP GRPVFENKVICQSLGKVVTDGVDVIYERKRPQKKRNWDFIYEGEDDPRNPTPFRVHIR RFQHANTSWPLEPYVQPPEKGLMTSGIKPGTLVIPVHPDQISDRNLKLHYKIAMINSI LSLYPPDPPTIPLRALKYSPNITLSFVLLNEDSTEGSYVRSWEIDDAIQEHFIPHLEP LKDIFNFTIESQILHHAPLTFDPTLSDAPAIGDPQLEAALEAAREGDEEAEEVAKELI QEDNTQTWLVGDEDMKVFVNSERWSLDSGSTNNPVLRFLLYVPKEKHRPMRLVGQDSP HSFLLPQFGSVYLLNPPPTQPSSSGETYHLPSSALTSSFHLFTQHLYFLLAIPELYDP GKIHLPPSSSPLLPRSQLWQPISSWQIHQVLESRLKENFEESKKTLIGIKRLVDKIKE MKVGKNVRDDVSGAVERLESISSVKSPLENFILSRDSVNLANKAFFDPSMMGLLYFPD EHKFAVYTPLFAPIAVPLLLGLIKEFIAWKKKRRGSIRNDDIATGEKVQVEEQSISDG TGNEEKIPDIPSHGGSTPSGIEPNHRVLRSRLKG L199_001996 MAHSANRTVFRRDQSTSNSASSSSSSSSAFFISDTSPLFSYGSS NGASSSSPWTAGYAKQSDGYDETLHLTSSSNSIISFNITASSLTMLVPAFDNCQATIS INSSSPITACSKAVSSSSSEVIPFTLYNLPLGAHNVVWDSGTVPNGQQVIFWGIDGVR PPEAAGYSNVTIDDAFNPIENVNVGIRYEGDWTHLNPNAHSDALSESSKLDGDFNKTL SMTQGKGSAVTFFGSGSAVYVYGTVGPYYGSASISLNGQVVAPSLNLTSPWQMTYELL WFQTGLDSSQANQVTMTNLGTKKMAVDFVVLTTDSTTLDQLVVGEADFLSSLKGKIIL GIAIPLTIIILLSLLTIWIFRKRRSTRIRRNSRESTHTLRPSTNGNGSPNHPGEKPAG AASNRSVASFDDVFVSYDEALQQRLSERWRSPISPNDTNSTGTGRTPRSPETALGTNT SGLASVPENMISSPERVRSLLGSQYAATNPPDSRRGTALPAYTPEGSYVTQFTPVTTG NDSTHGHSPTTAYETLTGTTMTPTSNDNIGAETLTNANTTRATNTSTSTPLQRFPTAS EEKAAQLALFKTFENDNNNNSSPLPQPNPFGPGPGPSGVAHSLSRDNNNRISNTPSDI LSIFGTAPGSEGRLSTLTDWTATTPNHQSTFLPRPGSELDNYPLPLPLPIINSTPPLK ITASPGSNRRPSPSTSHPPVRPKVDMTFLNSTNNINNTARNQGSGSKHPFLISPAQIH TNTKSSSSNPPPSSYINTPITPRQTSTTGSGSASDYSQSTGITQKHRRHQSTASAWTE RSAARPDSDIIPFENLLSGLQRAPTSD L199_001997 MHSSSFSSPESGPSSQRLILTSSSPTHTTESGYSSDPEHEQGSE SPTESETSIEEFSPESKPVKLPSNNQDVKPLASRYPKIFANPPLPPAPSARPNRVRGL SPLTSVSTTSNSPSSTMEKQFQPRLPKSQPLSRALFNRSLSDPAPHAQAGIFGGVGKK KTSQKVIVPTKSFRTTFELGLSASELARRA L199_001998 MSKEQRQIENESEKDQIGVVVDVAPEDDRDLKGDIVLASHHEVG LDLYTQNEGYEYTIEESKSILRKIDLRILPIFCLTQGLAFLDKTAINYGNLFGMKAGV NVTSSQFSWFASAFYLGYLVSAWPGNILLQKYNTGKVMGSICFVWGVICACTAACHNF AGVLINRLLLGCLEAAVTPGLGLMTPLWWKLDEIPVRHLTWYSFNGWAGIIGGLVSYG IGHATGSGIPTWALIFVVFGSFTSLWGIIILLFLPDSPASARFLKKDQKVVAIKRVAE NRTGTKNTKFKWEQVGEAFKDPKTYCLFLASVAAQIPNGVVTNFSSIIISGFGFNQLQ TTLLDIPSSVLQIISLIASGYFAGKFKNSRAIMMFIGNATCIIAACCLTYAPKSQTWG RLVAFWFTGFASVGFSLGMVMITANVGGYTKRQTMTAINFVGYCIGNIAGPHVVIGEE KALGYPTATKAMLAGYTVKTIFHVFLGIYMLYWNRRWDKEAKERGEELSEVERAKKAE LIGMTDATEWNNPYFRYCL L199_001999 MSSTPPSTLLTPGMAMINSSSTSSSSTSCPTIWTSPDDILTANF SWTTSLSSLATTMTTGGSNNSSPTDFAFEPPTLPTSNTFESNTIPSAPFKPEPVKIDS FNSNGSDNNSPFTPSGAQVNNANSYPFPGSFSYNASTASSFSSASGSGAGGSFSSVDA LPAISRDFVRPSTSETRRPATAGGALQSRSPFAGFMPGGSSEEGGQRFQRINQQRPTS SDGKMRLNTTIEEGGEGLFTNPFETSNKESQDAESKQVPSQTPTSDGTVDPHYIPANR RASEPQFNVQQSWGQHSPLAPPTSNPTPASLGLTSAPAHVPQAGFLQQQQMQGSQAQY NRPAFHGRPQTSDGLPSYPHLTGNVPLPSAQSIARQIPGISTAAGGYYHSPTPSSARS FDQDPKTGYMPFRDDRSNSLNALPPPMVGPPSSAFPGDRAYSIDSGLARPGQAQAIRS SYMPQSTGKPGEMSSELTFVQLGGPAPKKRPRRRYDEIERLYACGWNGCEKSYGTLNH LNAHVAMQKHGEKRLPSEFKEMRKAWRKKKREVAATNANAMYAANAAAWQQRVSVSSA SGTESDWDRRDSAASMMSTGSEYGGHRSSSSYPVGYAPWTGNSGPIDSRPSTSSSSIS SIDGSGRNYFAPPPVPGVPQPGYGSMGMGMGTINPINSRRISAPQYLPGMPMPHLEGF RSLQDDHPTPTAQNPFPQSQRPSGGFPFTTLTSPMAGNAGAGGTTITGSNGGEGSYAG GSQFAFQR L199_002000 MGLNSPLPVRLEEETRKAAKILKSFVDVNNNGLDKVIPRQVLER AAGFAIFTVFKAGFVLTARAGSGVVIARLDDGSWSPPSAIGLGGFGFGGQMGAEVTDF LIVLNSRAAVASFMSAGNLTLGGNLSVAVGPLGRNAEGSGSVNTKGHLAAMYSYSKTK GLFGGVSVEGSVIVERQDANRLAYGGNPNAKQILSGTFDPPEWAHVLIDQLEKSTGLP GGQTWIQDKDKHSEYGTGMSMGTPEKKGGGYVFGEGLGGGGNTPPTTQNGRRSRGNSL FGGQNQNDRSGNGSGSPSRPSSSRKGSSFNPFTTGSNSPRGARSPLEHSSETYSAGMG NGTLQGGRSRSGSLLKNGEVPQPFSYMSPSRSQQQASKEKDLLGDWDTPANANASDPF STGSLNRLTAGRKPSAGEKDLLGTWESNGHNLSAQFASLKTLDTYGSTNGTRSRTGSQ ARKEDSQAFDDILKYPSNQKKDEVDYTPRETESKFANIDWSTYLSSTSSSSNGHGQKQ SNFVSIASGNNKPNTSPTKKSRPFSMYGGGGDSSNGDKVSPNLGNGYGNKEFSPFEDL PVGRLRSNSNEDGSRPFESYLKYSNPKESPRNNTNGIDSNISQTKPDLRLKTGLENNG NFDGYARAIGLYDFITSTEGDLNFRNGQVIIILDKVDGSWWKGYSPISRKTGIFPSSY VEVVELPKNPKGGVGWGELRKRVGGNEFDQ L199_002001 MSSNNHHPTPANLIRPHKQSMAELKLRRLTEHNARLREDLARPR VRVSEASLGLIKYCTGTRDPMLPSIWGSSGKNGDPFSPPEKGCCTLM L199_002002 MGNQYPQQQGQPGMYQASDGKWYPVSAMPQGHQHGGGFYGQYGQ QPPMAPGPQPVYIKQQSGGGGGGAACACCAGICAAMTGLCCLDLCLF L199_002003 MNKPTYQPDQYQNQNPQQQMYQASDGKWYPTSSMPQGWQQQQPG YGYGQQPYGQQQPMYPNSQPVYVQQQGGRGAGAAGAGMGVCAALCGALLCFDLGACLC L199_002004 MTIHLYPENDIDKIPTYNHNHGRSTHQQIEAWVSHLGYDTSRPG TPSAPSVNPHTIHGLRKKQAGHSGPLKKVLVANRGEIAIRVFRTAHELAMSTVAIYSH EDRMGAHRYKSDESYLVGKGLAPVAAYLSQDDIVRIALEHEVDMIHPGYGFLSENAGF AKKVEDAGIAFIGPRPETIDALGDKTKARTLAIKTGVPVVPGTPGPVESYDKASAFIE KYGFPVIIKAAMGGGGRGMRVVRDQESFKESFERAVSEAKSAFGDGTVFIERFLDRPR HIEVQLLADGEGNCVHLFERDCSVQRRHQKVVEVAPAPHLDESVRQAILSDALKLARG VNYRNAGTAEFLVDQQNRHYFIEINPRIQVEHTITEEITGIDIVAAQIQIAAGVTLEQ LGLTQEHIHRRGFAIQCRITTEDPAAGFQPDTGKIEVYRSAGGNGVRLDASSGYAGAQ ITPHYDSLLVKCSVSGATFEVARRKMLRALIEFRIRGVKTNIPFLIRLLTHQVFESGK TWTTFIDDTPDLFKLVHSQNRAQKLLAYLGDLAVNGSSIKGQMGEPGLNTEAIIPQIR DNADSSKIVDTSVPCQNGWRNIIVNEGPEAFAKAIRNYKGTLIMDTTWRDAHQSLLAT RMRTVDMANIAKETSHALQNAYSLECWGGATFDVSMRFLYEDPWDRLRTLRKLVPNIP LQALVRGANAVGYTSYPDNAIYDFSKKAVEAGLDIFRIFDSLNYLDNLKIGIDAAKKA GGVVEATICYSGDVANPKKTKYTLQYYLDLTDALVKEGIHVLGIKDMAGLLKPEAARL LIGSIRKAHPDLPIHVHSHDTAGIAAASMIACAHAGADVVDVAIDDLSGLTSQPAMGA VCSALEQTGLGTGISHENIQALNQYWSQIRKLYQCFEANVRASDSGVFDHEMPGGQYT NLQFQASQLGLGTQWLDIKKKYIEANQLCGDIVKVTPSSKVVGDFAQFMVSNNLSKED VLDKAATLDFPSSVVEFFQGYLGQPYGGFPEPLRSNIIRDKERIDQRPGLSMKPLDFQ TIKKELRDKFGLHITDFDVASYYMYPKVFEEFQGFVEKYGDLSVVPTRYFLGKPVIGE EMSISIEQGKTLTIKLLAVGTLNEQKGTRECFFELNGETRAVVIEDTNAAIEHVSREK ASSDPGSIGSPMSGVVIDVRVKEGQEVKAGDPLCVLSAMKMESVVSSPVSGKVKRVLV KENDSIAQGDLTVEITH L199_002005 MSTSNLKARHYSALASRLRNLQANLTETEKQLEMMSDQLNSMAR LGVGCGSQFMTVSRLLDVELMKATQEADQSQPPQQQSQSDYDESTANISRSQ L199_002006 MKWNIGASSSSSSSSSSGPVLTDPSLPTNHPAIPTSSINPPAEC PMHRSTAPPPPPASGGGLAKCPIDHKNLDPSMNPLNNTPANLSLNRQPGQQLDLPTER TRSTIPRPSGENPGGEAYGTGSTWDYPSPQQFYNALVRKGWETPEDSVEVMVDIHNFI NEQAWYEVMKWEKRLPGGENAQLARFTGRPGELSPKARMHLWLGKFFPNTFNTEPPFD RHDWIVTRPLPTSTTVPKEEASTRYVIDYYSAPPDEDGNPVFSLDVRPALDSLESVRE RIKVSWEEWRNNNANGDGNGQ L199_002007 MYAPHAPPHFQTHLQHRPPTAPPTPDSDAPSWSTSIPPPPGVNV PSSNGPPGPGFMGGQNLLPPNGAGGFMYNDTRRSQPQPQGYGYSPSGASPLDGGASQN TRSQGQGHTPQLSISATGNTSTTPIPLPGSTNPNAAGGGPLLPQNLAQHLNNPPAPST TQNQGSNQQLSQNQSALQNASGGAGAGAGGKVLLMPNGAPPPAGSEEEKIYILITELL EPETREGALLELSKKRELYEDLALVLWGGYGIMSSLLLEIVAVYPALSPPSLTAHASN RVCNTLALLQCVASHSDTRALFLNAHIPLFLYPFLNTTSKTRPFEYLRLTSLGVIGAL VKQNDNSDVINFLLSTEIIPLCLRIMETGSELSKTVAIFIVQKILADDLGLQYICQTY ERFYAVGAVLSNMVDALVESQAVRLLKHVVRCYLRMSDNPRAREALRACLPKALQDNT FTPLLKGDMVTKRCLTTLLMNLNDRSEG L199_002008 MGLGTPKTILLKSSDEDLFELLNLVSPTITNLNIWINITHENTQ ICLREVLFKIPWKKLRCLRIFNLCVRRYAASDGDHQQIEAEDDPGKSFDPEELGDPYH SGEFQMFSEFNLQILYPPDMSENQFERQIQLDLGHLSSLARSMVKILGLFTSAGLTIG YKSETMDDIVKSNMYSRKMNDGLWNEMSVIRKKHWDKKKRQP L199_002009 MGLHCCSKPSRSTSRPIPLLPKRFQPQKTYPLRGRDYSSSEEIL DRNQRAALDEVHAIARRMFFTEASRQIIGAALQSKYENQAIQSTDFSPVSETEVEHVI PPIEPFALKIDKESHRMLERWSKECCYQIVVDGDLDPENRVAQGKRPTWAVVGPDQII HLQRRVSTSAVLNLPCLNPLYTINKSRRKAP L199_002010 MARRYDSRTTIFSPEGRLFQVEYAMEAISHAGTVLAVLSKEGIA MAAEKKVTGKLLDLSLAPGGEKMGGEGTEAWMGGGGEKIFLLNNNILAGLAGITSDAN SLVNFARNSAQKHLFTYDEDIPVEMLVQRLCDMKQGYTQFGGLRPFGVALLYMGWDPI YGFQLYQSDPSGNYSGWKATCIGSNHSSATSLLKQDYKEELSLEEAKSLCLKVMSKTM DSTKLSSEKLEFATMTLNPTTKQPLSKIYRPSELDSLLRDLELGGTAEDAVGAMEGTG GGGGGNVAIST L199_002011 MNTVQGLQTALRLTTSDKIKDRTQGIELVREIFSNRENLNAFGE TARKEGGAAWIAFYQCLFQTVVMEKKLVVKPGATSTADKRLADSISLIRWMAERTVHM ISKKPFLVLFNHMTRLLVFSNQIFPPAALDYTKALRTLLSYPPHLESLDQTSWKILMN ICWSAVLGDEVVIDDAWEDGDLEEEEDRNGGMDIDGYSTQVGSSRRNGRSTISQINTE LLTLIPILLSSSAAPLIPPLPSKDNLIAPIEKPGYTLLLKIHRFFNQYPTETSAHLSV FRSLNILLTELELNCRDDTLSAGLKLLPQLVSLWGTRNKALREQILISIRMLLPFVTH KTLVENKHATGVRDTLEKLMDGLSRETINKWGIEALDIGVLRLKSSQSMDGPFGLRGI GAGFDFSHEHAMTWAVLELYTDTSLYLYESQSLSHPATPTRNNGPSKRRRTENNINSL ISSARLGTVKNRLLALQVIIFLCNRHLSKLHNEAQLEIRRTMLDLLDEDDEQLQSWTF VGLSILAKISHEYLQTDQMESKSLLDSPSSRLAQKKSEETDWKKVWSYAIRKSSNSNV CRTACHAAYTLLQVDKLDWAQAIKDIHSLLRNVDIQGPVFPYDSVCALLSLALEMATS DVRLYALGLENKVLSWLEKCGLVEGPRGTSRMEQQTSADILRLFASISGFHHHPLRDI TTEEVLPDAVIVDRILEKTKTKPIRDFLLYGTFPQEKVKNGDTDQSTESYPQAIGLES LDERARRISTFLSSKLSALATDWESIGGAAVPAERIRRYVDLIVIALSFQATIQLNGS VPDSDCVHSAIRLLTAIQSTFLSNGYSIPAQHLIWRGLEPLVHSTIPREDDWPLLIQP DTQSGIRQDLLPTSRYDTPITSSVGDRNIVNGSKTESGSGPSQTLGLPSQIPLSGSYN LPPTPVTPSQSIINRPAPHRLVNQIWLLPTVSAALKDIFSLCLQLVSNLNAATNGNSA PAPGHIEDDDFGEIRNVETDAMPLSKEAIECQRTSASLLNTAIALRLKGYMLVSNLQK PYKDPQLVNALLVTEGSRFLEIGKALCVAVNNRWLRLGGDAVELVMDALEEMLRSYAY SRDTGLLSLCLAFIRCSMPVWLDVDGFKSDVGERAIHLVCYFASRIDKGTITSWKVKM GMLRFLDEFLHYDLAKDLWLQGMQDHVETDEEAENSDPLAYLSGSLLDVDARVRLRAV TSASSVFYRPILPLDQHFAFYFQVLNKQPGDDKHFDSFVSHTLWKLNCCIATAQQRSA AVFHLYEIPSITSAYNGHLQSGLEAVSCRLGLSSISELYLPYAIVIIRSQLLGNQLAM KIPHRLYGFPTRKAYSHACLQQVGPFMLAEGYIDFYTSACEASGIPIEDSIDQAFPST AAIMYSKVFNPDTATKQSVQDVVEILSNLPGGDNKKGLNKKLDSSIDRIAAHLWELLD LQLSTNEIAVVLNEMTPDQSAGTLFLELMTNDTLPIANTAIDPFAAAQNIIAAYQYLK KQNSLSTVKMVFNANIRLTSKINNVFLVSEQRRSLRSLSLLVVLHPKEFQDSTILQAF LREMLAILPQPDICGIALSMVAWGFRQLNSLQGSISNLTDLFVQLGFARIALSETSVS GREVGDGLEDWIIQSARIWTNNENTKVAFQTALALWPETLRGKMTEPYSPFYTDLVNL SKSKTMKNAGELCKQYLQVIKTGTKPEAIETFVQSLFWHLKGKLMENSDTDGINAFLE ILYLANGQIRAPPLDSMKLFSSYKNSNITISSSKQIKDPEATLRSSIVQEVARLIDEP SHQTRSIAYKALQGMLPMIEDLVSSSFLPTNVLGQISALTPIHIPLNNSDYPTLDESI NQVGWIKYSRSADHWCRELVKVLCQVVSTDDRFYQSLEPLLNSSTVSLRFLLPHLIQA SLTCGASIHPEIPFQRSKILSAHFSLIIQWPSASLGTIHSIIDTILHLRHFQPHYRNG ELGYNAWLEIDYVLLSEAAVKCGAYATALLFLELARDQEGGGVEDDDKRVQKIMYEIY SNVEDPDGFYGIQNHDVRDALLRRLEHEGQSQRAFGWNGAFIEAASSNSSNTFLPALH NLHSFGFNRLASSMTSQTRQEGVDEDPFFLELAWRTGDWDLPMSEQLSRTPQGSLYSA LRAVHRERDTQAALKIVNESIKVEVDRLSGLGMERMAQIKRTTTNLLCLREAAHWLDN DFQSSLENAASNVGGIAQVLGFANVDKSFDFTNAERLTATQLSLLHSGRQRESKNTLG DLLSPKAELLASLEKSCHLRLAEMAKNDDNIQASVNAITAVQQLEMGRTPSDEAQDAF SQVLWAQNEHGLAIQHARDLVHEVQSRKPANPGRLAVLYGRIAHWTDLAKLKAATEIK SIFDDAWKLAFHSKIPNAEQAKIYYEYACFADNHYTNLSKSSELERLKSYRERRAQES HILGSSKSSSRRESTSRPSKAAQEDQEDEKVIKGLESELLAYIKMALRMYAQALALSD AHDDSITQLVSLWLQHDESEEINDSFKGTLNRIPSYKFVFLGPQLAARLYRPTIPTKF NANLNGLLLKMSKEHPYHILYQVITLAHGVSPPSSARRKSTDAENQGRGPAALEILNV LAGSKDTLAHQAAKQMKIFVVASVNWSRYEERSQSQSSSDNGGGSRKPKAGSQYNLPT NCPLKHLTNLRIPIATSSIPIDKSLAYTDIPTLQRYGSTYMIAGGVHRPKIMRVYDTN NKQHQQLFKAEDEVRQDAVMEQVFTMTNDLLIRDRQAKARNLRFRTYNVVPLPERTGI IEFVEGTRGIGEWLKPAHMKYRQGIDISPAEFQAKISKIQDRDPKSADLPKKYQECMT QFKPVLRHFFVERHKDPMAWFTMKLNYSRSVAVTSIVGWMVGLGDRHCSNILIDQVTG ELVHIDFGIVFEDGRKLRIPEKVPFRLTNDIVDGLGITGIEGTFRRCSEHTLRVLRTS SSLILTVLEVFKNDPLYAWAGDPDKLQRAQGGLALPIGELIMHDANVKEKADRVLNKI KNKLGTGLSVEYTVNMLIQEARDVEHLARIYHGWAAWF L199_002012 MARSMVDIEDELSRLWGLVGELSDQLAQNRALVTQLKSRSENVK GQAAHVGTGFPLRRFNLDISNEEFQSELEAFSSHLVTENQQLQHENKQLNALLKEYEQ TLETVMGKFRGIAHSSQQHDLSLHSYYTSLLQTLQTAHSSAQLHDSTSLSLLLTRLST LLRSTLRSMGGEDSDNDLANLPGLLNLVNHPATISEISSSPKSTISNLKPFPRAPNKP VHFPGFIPGRSGGYNGTEGQSDWALEREMEILRLEEENKALRDLLNISQDLKLPALGD QDVVEEGKEDEGVKSPEGERSRKSSLTIEELEAGAAMEADQLAESNKIRDSNTLHQQQ HEGLEKDHDEHIESDQQQQQPVSTTPQEIRESVLGFETTERPPEEAIVDEPDAEAVDP NGE L199_002013 MYHIIRCSSIDEILYPWLIFLLPPTFALQRSSPIVGYTGRYLSR PTLLASPKERMNIYFEAVRVINLYSRGRPPQPARWGKILSDLPNVESISRGDNTLYRS TESGTANYECEYKDTIVLGEPHPRLRYPNFHTQGVDSSTDPPC L199_002014 MSVTPREAEAYELPSLRAESNSKGYDEGEEISQEDARLLGRSDE NKSGGEEESEGDPATYRRAVEDEEIIGKGTNIEALVARTVPSTDDPTLPTLTLRVLVL GSTFCILGACSSMVFYFKSNAPQFSQYFVILATYPLGHILANEKMIPRGKRIFGWELN PGRFSIKEAILVSVLSSSGAAAAYAADILAIMDLYYDTPVGRLPSILLLLTTQCIGFG LAGMLQNLLVNPPAMYWPSTLVTVQLFTTLYSSTSSVLSTAAQAMTTKRLRIFMIIFL ITFIYQFLPFLLFPTLTSVSVLCLMNNESWWMRTLGSGYSGLGVANWSFDWSSIGSSG PLYTPYWALGNYFGGLVGMIWVIMPLILITNFWSARSFPSPVSAGLYNSTFQKFDVTS ILKPDLSLDEVAYETAKPLLLTPYFAITYGLSFAALSSVLVHVWLWHRDEIKKALSKR GHDLNDVHNKLMRSYLPVPSSWYIGLLGVNFGAAVILVKTTPLQMPIWALILAMAIAT IFLVPVGIIAAVSNTQIGLNVLTEFVAGVLMPGKPIGNVTFKCYGYMAMSQALALTSD LKLGWYTSIPPREMFACQILGTVLGALANYVTLESVLESKRPFLNGSVVDPTGQWTGR APAIFYSASIIWGAVAPARFFSGGYEVLYLGFLIGAIVPLACWWGHKRWPGYKLNKVV FPIICSGATIVPQYPTNIILTSMIVAVLSNSYFAKRYPKTHGKYIYVISSALDAGTSI TALTIYVLFGGVIWTWNGPEWWGNARGDTEHCVPGT L199_002015 MPSEVSDQEIEREINTLRNLRRRSITSAGPGELPLDPDLPPPSP SSRPESSGSLYDTNDDITLSTPDGLSGEDAGLFWVPAHLHPELAPGEFRAFLKSHTHP DPTHADAAEAAEAPGLSRSPSWLSRNPSRRGSEGLGRKRSMLSRQYQPKPGDNVENEA PPLPTRRPASIYGGRSGEKGLTLNDLQKLEELVDEADEVEDDPEAMRTLLRRSLSMNV APGFLQDDIPQGGDTDDAPLIPSRPGSILRRSARTKIRGKASLSGDGGGHRFAATRKG RMTAAPQRELPVFDDEFDYSDRVKKSSTGTTSSMGGSDDSHGNEDKEVFHDSVQHLEE RRGSDESTDEAHIFDAYARDSRSSSMSSSSRDHSTSPSPEPSPPGKKLSLPPVITTSP YQQDGDWFASEHDHDRTPTQETVRDPLAGVRRTSPGAEMQLERPAIPSLVTSGPNLQV PEETSITSPQQHASQYDLPPGMAPPAQRSSLPPGMALQQQQEQKQQAQPAPQPVDQKM TPVNIETPNLARTDSGVSSASSTVSKEKEKQKKGGLFGKKDKKDKDGKGKKDKDRFLG SLFGGKKKQEEISSVANFSSAGPAAAAALLGSSKSAKSLGVPPSPSPTSPGFSSYARY PIHVERAVYRLSHIKLANARRPLYEQVLISNLMFWYLGVIGRNVTEEKKTASTTDPEK SKDEVKAPVKGTPPKPADSGSAGKPLPRTNPVESPAPTPALPPVVTPASTKKNSLSKP ERARDGRNNEAPMRAPSYGMQNAQVDHEMRSQPVNKQSPPPRPPSQQSIPPPSQNYSP HQQSPRPLPQNAPQRAMSQPPPAGPGPRSPIEGYHPQQQHRPPANIRGPPGGVPQSSF GPPPQSNGSPILTGLDERRDTRQRTMSNPTHPHPLPPPGPNSGGMRRVVTDGRGPPEG QGQGQVRPLYPQHSGPQPGQIFHHPGSNGGPASPFPQRPNPTSPGPQPGQLFSQPQPG QLFQHPPQGQRPPPQHQGYGGPGPGQRPLPPPGGLPPQQQGNWEPPQRLPPGAGSPQG YNNRPPPNGIGANGPGYDPRRGPPGQPGPGPQQLYSPQQAFYGQHPHPPPPRPQSQPQ VGQVYGYQGGGYAHHR L199_002016 MNHKTVLLSLTSLGLGLLTYQHLSLRSHYPSLPVPPQLSISNRS SKPYSTNHWTKCEVGDSWAVKVPESTLIRQLPLDGEDGDIGEKWNKAFWTTWPLRLEG ILLGSIMRMVKHRSNEENDQREEVYWSEDGYKIKVGQTVVNGLFTIESINQIPPQPPS QFTSTQITYSWGNLSPPCPSKSSSWISIPIRGGYRTLSVLSDSYLNSQDHSSKANEFS SRSKSGDDQWVYLIFTAHGISSTSASTSTSSNDQPGKQGMFDKLFIEFHKTYSRILVH LAIRQMGLSNVVEKVDRWP L199_002017 MDLLEKAQSAYVLISLSSIPLLLLAIIPHLFPSRLSGISPISRL TPPTISLAIWTISKCSSTILDRYVLKKGQAQDLFCSVDAILIDALTTGMVAHIPAIAL YRYRSLPTPSIPNPIPPTKLRNAICLIIIYAYSLLPCVPTLSKAISRRFSSSEGLAKW WGFYCIDSGDWFRTVRPFTLLAPLLLTLPITVLIFSVLYSTSGHPSPHIHPTTKHWAQ ASMFLLISAGIGGFVVIEQIIGWNDTWLFRGIEALGGPILALTLLFNLDTWHTYSCWI RFRRPPMTSSDNFHPNTPLLSDLQKKRSSSFYVDKIEITPGCGPGLMMERSVRFPPTP VQAEYIPNRQMSTKGKKGKIESLLPPPAKRKSNKGTEWLKSALTSHTYTHNTERGREN MDIDMDLERGLHPHPHSIDQNHSPPIQLSPEIVVRDVPRVIVQEPTPIHFNRPSRYSS STNASSPFQYQDLVTFYSTAPSGIEQEGRASSVFPCCDSVYSSTTLPYDTRGSSTIDK LRSKGSTSTEWSFDSNSGLGEIIGGIGKSPLPPVPAITTSNISNEGEDDSAYHQLPAS MAIPGPRPTITRDSGSLNQDHPPSVTSSIYSQPSIVDSYRVVPRPVHSRNTSNHSIST KRTLISTAEGREEDEQEMIKFG L199_002018 MTRTKKSAASSKRTNPEKRQQTAAAQGSSAAGPIDAASLIDKSH TLLAQSNFELAIKFLDRALELEPSNVEARELVGIAELEAGDEERGREHLLQLFPPHAA EPPSHPSPYLYLAQSASEPQEALGYYSTATAMLEKRIAEKARKGKGKQRGAEREDEEV DEDVQMAVTALVAMIEIWMSDLCFEEAAEKNCDSLIQRALSISPNDSEVKLSLASIRM SQSRFDDAKKVVISLYNDLEGREPFDPILPALPVRLALSRLLLEHSLHIEALDILSTV REEDSLNIEGAYLEGWALYLRAEAIKENPSLLTSTTTKAEDGEDDEPMSVEECLSESM RSLVECAKLYEDQEYEDEGIGSHVAELLEELTKNGVVPAIHDEEDEDGDVEMA L199_002019 MLRLSKSAFQSASKHLYREFSFEHYKKLEQGCSLGVGDITIVGN LHYYLSHVRILSLSRSSKNIELSDWPKLFKTFPDASRITKHDQILYGGFVNGIELFTF EYPVRIRISQPSSTTRPKRCSSRALAHHYGSVKIPIGWQEIISLCVEVSENYGLTIKD EDQKERTFRQDMMDQMGNYDSFTLTKFWNHSPISNKIVFTILRELDQKRLLDVKCLSF LRLDQDMLEIIRLLSRSLLTISQHPKDRTETVVTFEQMLALLHWKGLTKLSTIDLGNY RLRASSTSPMASMPEISILLRGCRVAKGSSTYWSFMIYLKCFSVTDSDAKTGKSAEQY ARELAKVSRQYVKTEKTTLKLSMTMVHQGPGYRYKTSIQIDKAFEKELSGAEVTTPDV ES L199_002020 MTRSGLQQDVINLYRQGVRNAMSKTPESRPNFLLHLRYNFRHPQ LRQRDYTAIEHQLRKMSRTLEMLSEPSTQRISISPEWQQWWDDEVRRSRSRPSSSAGS ASRTGLEQAPGGGVKAKEQVLKKEQSGRDRDQWGGKLPGHGGT L199_002021 MNSVLTQLQPAAPHQSRLEEDDEFERTDAASWGSMAGFGNLSRT GGVQGMSVPRVSDPTSFLDMHTDNMSSNPEAKIKIAHGTTTLAFKFQGGVIVAVDSRA TAGSYVASGTVKKVIEINKFLLGTMAGGAADCQYWETYLGMQCRLYELRNKERISVAA ASKILSNIVYQYKGMGLSMGTMVCGWDKTGPCIFYVDDDGQRLKGDLFSVGSGSTFAY GVLDQGYRWDLTDEEAQELGRRSIIAAGHRDAYSGNTCNLYHVKQDGWDFIGNYDVNE LWYEYEGKKKAERESQTAAAAAAASPMAVEP L199_002022 MGVPALFRWLSKKYPKIVNRVIEDTPKKVRTQDGEIEEIPVQYD GPNPNGFEVDNLYLDMNGIVHPCTHPEGKPAPETEAEMMVEIFKYTERVVNMTRPRKV LMMAIDGVAPRAKMNQQRSRRFRAAQEAADKAEEKREAIKMFEAMGHTVSEETQNQKH WDTNAITPGTPFMDLLSISLKYWVSHKLSTDPGWKNLKVILSDSSVPGEGEHKIMDWI RRQRSHSTWDPNTSHVIYGLDADLIMLSLATHEPHFRVLREDVFAQGNKGPQPCKNCG QTGHLTANCIGEKKAKDPNVVEVAKPVDPKPFIFLDVSCLREYLAVELNVPGVPFPFD HELAIDDWIFMIFFVGNDFLPHLPSLEIREGAIDVLLKIWRAELPRMGGYLTNHGKVN LDRAQVILEGLAKNEDEIFQKRKEDEERQEHHSKRRRIDDHRRQNEAKGNGGGQHTRF DSPPKGTMQLNGQEYVAVQPALTARGGPLHPSLPTRPAFDLVPKEEADKKQSDAVKKA MSNTASNSDIVKNRRAIRMANLSAAEALKAELLGGDNEPETVTVENTEDEEKEQLPKD EAKEILEEQGEDEGVDEEIVQPALVTDEDEGEAPIGDETIELDEGADESPSRPNKRKR TKADENDDDDEAAEDDESSSSSLDDDDDAPPNPEADQPIPKKKLKVNPDGTVDGYEDD VKLWEPGYRERYYEKKFGVSLSDTEFIQQITKSYMEGLCWVLEYYYQGVPAWDWFYPY HYAPFAQDFKDIGKLKIEFEISEPFKPFAQLLGVFPAASRIHLPEPLQELMINEDSPI LDFYPEDFEIDMNGKKMAWQGVALLPFIDQDRLLAALESKEKDLKPEERIRNRWGDNI MFVSNQQEYGLSEVCSEMYGLKFRDVHMPVPLNPKQSEGATGSILAVPNYIPYSTFES PIPEIEECPDLTNNNSISVRYYFPKQAHPHRSVILPQYKPGPSRLNESDKDWVRRGGG GGGGHRRGPRQSGGDNRTGGPGMGRGGYGGGYAPPGNAYNPPRPSYGGGGGGYGGYNA PPPAPAPYGGYGGGYGGYGGAPAGSAYSAPGQGGYGGYGGGSAYSAYNPPPARNPNPY AAPPPNPYGAPPRPAYGAPPPQGGYGGYGGAGGGTGAGRGGYNPYGGGNSAYQPRNGN AGGGGRGGRRY L199_002023 MAPSRGEGDVPSPLDLSYPSKLVQTLDPHTGPVNVVKYNHGAKY VLSGSSDRSIRLWNPSLGKEIKCYNGHAQEVLALDITHDNAKFASSGGDRAVFLWDVP TGSVIRRMQGHFGKINAVGFSPDSQVLASAGFDAKVMLWDMRASSRDPLQTLKEASST VSSLILPSSPQIIASSHDGFLRTYDLRFGLLTEDLIGQPISSIKLSNSNPEESILIST TPIGSSGEAKGEMKEEDKPKLRIFDRKDGSCLQTFSGHDTGGLARWAMGWGYGESTVL AGDRQGRIWGWNVLDAKSLALHPKQIHKKQITCLEINPRGKEMITSSLDGTIKVWHK L199_002024 MPVRRNGSGSPHHSFSSPSGLFRSTNTSSSQTQNQTQSQLNSGK ESVNQGQSQYQASTYDTSSINDTSSIMRPENNSPEQKAINSLVGRIVNKLPCNSGIRL AIMEVDPGVQATIGSLLQLSRSRVSLIVHSLMGALETLSKFTSPSSLAETSLDTLHSQ LYLLHILNLCISTSWQAHAAASSPSLQGDLPRCWPDPYPFEEVLARYMLGVLLIYTRL VTLDSGIHERSSPAPTKDSKGLGTSSTTSSTRSISSTYTTYTLGTRFIQQHSYPSSSS TTQTQPIKPKLLSASCSTPIATITQMTKYTSRVIFYISASNWNLVLSRIKNKIGYLQT TIEESPDVTDIKLLEWSNLNRNRLSQTIQEVSSTFIHVKRPAQTAISNSLRKGIWNWI EVHPMEYESFITSSRKMEGVENLFDALWSLSDSGFTSSNARRTRSFYPLLGMLLVLLP DLFARLVTSEVAGRSSNAVQAAFIDRVRKGLHSSKSMETCAMVYVNMIKAAMALSPKH EDSGIRSLVHDIQSDAKHALFGSSNSSEVLDKNVIVEGLVSLYKSDPELISTTLFPKL LTSSIEANKIVAVRACVVIAVEDQRLPWFSPLSNLRKTLAPSLRAILKAYAQSLSLRR RAAPDSPSGQTDLITEILTLYSIDPEFAFTGCTPDQSSSSSDSLHQFLLALSSLTVIP SPDLLTPVASRTACVLIDELRRKVVADKELEALATSAGGALWQILLDMGRQTLFAFHS ADSDEVATAMTALRETSTVILRLAEEDAAVLFPSSMAQPAAMVVSVAGFTTCAGPDNE QISLTLPMLSVFGKLTRMAHMSSMGQLTSASSGLVPDKRANAFEALAALPVSIGRQQQ QRQIRRTLRPLAISTPFTIGLWVGLAAVAQKLTAKVIAAEADTTMSSRDVRRRGLTAD IDGLDEEESKEWQNLIAWLCACCSVANYETKPPPSLCDIIGKGVLPPAYDQSIPDPHV PVEAFIKQCVDLLISSSVNVRETVKNALGSELPTNGCRMIVGPMIKLLSHAIGPAGVN ISEPFTIFAEQAVSVLRLMIDRMGPNDDVPTVQVDLGELFYLLAQYIHRLGKGDSALR LKSKFCHLVETSLRKPDNVSLSNGARFRNAFLDWMSEWSLESMRDNDVYVSGAETTSK AQRELDHACLRAMVPLTEGLVLKSPAEETDHSQGVLKSRLFYKNYLHLVKVLERSSFE EAESVGYTSSLHGNANNTSKENRPNDTPTLAILALSNLLSANVDVGLKHCLSLGYHED PVLRTAFMQLITYTLQQGTRFGGLSGNRMSTSTKNYLDLLAGPNLAIALAMVDVCPQS GNEVDELSTLLFRVFEGKGALLGLMRALIEREVALTNHESELFRANSITMRMLTIFAK TYGYNYVRATLQPLVLSLIEKPAECSFELDPSKASGTEDIDKNADHLRLMCQALLDLI CSSTPRVPLMFRALCHHIWELVDDRFPDSRHSAVGSFIFLRFFCPAIVSPESIDLDVN PDTRETRRALLLITKVIQNLANNVVFKEPHMKVLNNFLSDNIRQVTKFLSDIAIRPKT IDVQLATKAFADDAEKYQDLDGDDAIIHRFVFKHKGRIETSLSNMPKHYRHAAATTKS ARTEFDGRGALDNLQKIMDKTGPPPTTNGLSASAKSQVYEEFMRHNSGRNTDSVADAF YEGPASQNGRRIFYFIVSRVALVEYDVLAYHVFSILDKVTDFFDIVIDLTDFSPANEL PLPWLKRSIQMFPPGVLPSIHTLAIYNPNSYAKKRIRRLVSELLTISLAIGKNVIACS SPSELADSIPFTSLALPEYTMALAYEADHVFTNLLCVADHEMQVPVVVKLGHDSLQIA SWKKQELLSGIRSYVIDVVRLQDIDDITVGSGVPSDHLVIKYGQGDTLIFVSRRRNEM AQIIRAARARLRDGPNSERALRPSDVPATLLNVALLNLSSSDSTLRMGAYILLNELCQ FFKYDLASRVLKVSAGLSIPNNSLAFVGTLSKALAASVPHLTLEFLKEWTLGFGKADT PLKTACLHYVGPWLVNLDQFSRPTKEDGLESIRQVREVVRALIGITVTERRRLHLAIQ EQVWAILTTSHESLTDIVVVELIHSAVNAGIGSDKAECIGDILVSVSSTAVRGKVIAK LRKTLAQTYLKPSAHITENAAWPEICSLSRITLILGFNPSSSLDTQLFLPEIFHIITL LLGAGPVLMRQTIYGLLVNVIQSLASNPTSGDMDASTLQLLLKRIQQPRIMAAFGLTQ GQGSIELSGLPMKDETDLQLLERVEEVSRFLGEVLAAGAISIDCANAWRARWMGLVAA TCFQHNPATQPQAFTVLGYLASDEVDDDLVYQILVAMSTTLSHFQEGDSVLLISMLRC LSRIIKGLLPDSRYAASLFWLAVSILQLGYIPLFAPALELMSSSLKAVSETTTPHYIL RGKDLMDFILDTRRGITDQAKKLDQISGVSFDTDLTFALVAIIYKGIRHPSTKKMTIE SLMELLRLSAEPARPNGEDELLVSSGSIAYFIALLSTSVASGSGPGSGGEDVKRVFQA AGLDIDDTTLDLSNVAVFDMLSIPDNSTALLLISLVVALLNGSGGSDVEKAVLYRLLA DASAEVPEVVAMAYDILIPRIITTLTTTSNLSILNSTSIILERALSDPSYSLPSLSGL PTADSSTSLHHQHHGHGKIYASSISSSPSLTTNNGAREQVLEDVGMRGLNDLNFVQVK SDRLSMMAKWVAGLIEGFTI L199_002025 MSRIATTALLLSILSTGAYAQSSAASAAASGTTAAASAASSGAT LAQTGTDVDQSVLTMTATISAPQGFSIPALSELTSGAPTDTTVALDTTYTAGATQTAL SAAPALPTSALTIANYPALDVVPPTNSSEVQEWLSKIDMSKVPSYNTTTGDCSTDPTA ITDGRCWWTCGGCTRDTDITECPDKMTWGLSYDDGPSPFTPLLLDYLDEESIKTTFFL VGSRVLSRPEIVQSQYMAGHHLSVHTWSHTALTTQTNEQIVAELGWTAKVIRDVTGVT PNTFRPPYGDIDDRVRAVAAQMGMTPIIWTSYKEGTTDTNFDTNDWHISGGTATGASS YSTFDTILNEYVPKLDSGFIVLAHDLYQQTVDLAVGYVLPQAIASGKFQLKSIIECLG KPLSEAYIETSANATTTQVTSASDGSTYFQASVGTATGSLASITTGGAAASGSGASGP ASASASGSSGSSGSSSGAETRFVVDKFVPAAVAFTVVALGMMVVA L199_002026 MPTDINRLYTKGRILGHKRGKRNSRPNQSLVQIEGVDSKEAARH YLGKRVAYVYKAKREINGSRVRVIWGRISRPHGNSGVAKAKFRVNLPAKVFGASCRIM LFPSTI L199_002027 MSLSSSTLSLKFMQRGLARSQPSTPTSASTSNTKTSTSDAGLST PSSLSKPGEAYLSASASAAARGEKMVIQNEEEWFIPSSSSKRRGDRLIHSNIGGPVFE SSYVPFLIGSTSDAGPSNAETTSAGPSGSGGGGRMVFGGFGKDKDKAKVEKEDDNEDE DEDMDDDEEEVKQTKQERRTKMVKNEPEQSQRSRTFLKPAISPPPQSSSHTKTKSKPQ QNLKLPSERPMSVAEKMRQTISSSRNSPSISSTSNSNTGSPNTYTNSNSPAPSSELSK KTKNKNKNKRPASERESSAGTLTSPLVSKKKIKTEQQSQSQSPVQGQAMSLDEREKAI RAQKKKDKKKAKVQVQN L199_002028 MSLTPSNISSDLTHLLSLPATTLPSLLDTSSSSSPNPGLPKSTS GTEQGSIDVLNNFSPSQANLEDSQRLIKSYIREMHNSQELEKSEEIGRLGERIDGLRE RGQGLESTLSEVKV L199_002029 MVYIKNWTDFETATTDLYARSPSKVRYCVKFVPKSGQLVLKVTN DVKCIKYKSHSSIILNRFESLNLRLLTQMSNTRTKRLPIPNPASGLGTPAVETPERGG TPALEEVSTNDKDKSQGGPGGSNAGQGGKSGGGKKKKKGKK L199_002030 MSGFLNSIGRLRAPKRSPLNTPTSQTGFFEPLPSPGAEFTQNPL TSGSPQPKPLYLCQPFVKAALVKGSFKTIVAPPKYVDVNEWVAVNLFDFYHNLNHFYG ALTDFCTLQNCPTMSAGPTLNFLWPDQNQRLVSLPAPTYIDFVMSWLQKLLDDENVFP TKSGRDFPNSFAYTAKHIYKHLFRIFAHLYHQHFEQILHLSIEAHFNSLFAHFLAFGK EFDILVMKDLMTNQGMGQGVAELSEKWREMGILEA L199_002031 MDKEPSACERVWTIPTLHDTIISLVPPGCIPNLALVNKAFFEPV IRHKYKSCVQHDHERVMKQCKAKERKLLYRNSIKVLRLEKSKLASHPAKWARLFDSLP NLRRVTYKDTVLSKSNDQEDGNDGKTKFTYRYTFSETLPVATNSPIKRRRGIPSSYAQ EMIYNLIVLSDPMLFHRMEEYSQLVTKQRLLERIRFISASPRCLTICIPFSSLHLLDI YKKLISEGYSAPNWLTLSDCDRHLPDLINLIGEETRILSTSSCTYKRTQLTFEEFYNQ VDWNGLKNLDVLSIICRREPISEDVLQQQQKREDDTSNHVINTDQRAKEHSAHVGVRK DINRLDKLNRLSLGLLYPPETIQSPIQLENEKQYISQIASMVYSLVGWKILRRLDKDN KMLTLEVTYSRHDDPFLGYPKTAEFNDDLNKAFLEGIKENLEEEIQKMEGSGTGSA L199_002032 MPSAHSTSHKKGGAKAHAASPNLSNQSPLPKLKLKIRNHSPSAS TSSSSKAIRSRPPPHSKNFLSDDSSSDLTPPEDEDDDDNDEDYNENMITPRKGLHKNR QSPSTIINTQVTTPIKKPNANEKKKTNLTNNSNSKKGKIKNEGKKKHPSSAANSPLVK TTGPPSREKKKAIKLEEVERRRARDAKFDVDLDTTFGGDITPIPTLPHYDDGVSSYGD DESDLGDLTEDELTGLPAAFVGHEIEDLNYGAGHDADAEGQGVNFWDDTSSDEDEEEV YINQLSGSEIDRQSQSSVDGISDDSMSDSDFGSSSDDGLDEFGFPIPSASLFPISEEA DNPDGADPGLVLMENWDGQFVLVQPRHERSRSRHRGDKGSRTAGSVSGSTVVSGTDQA ALLIDPDADDGEFDTDEDSYWSGQSDEDDGGDTTDSMAEEDMPMLDSPALNELMEVQM AEAVLRMAVENGEVPLLDAGPSITVTDSTATDAQTPALSTTSSAGPIPGPSSAAPPQT PAPQGPVMGTFHPTTDDPAQHCVIDGTGGDTKSPFTHRRRSRRNRDVASVASSKRSQE ERKRKNSTATNDPFSPASTHAVFGLNKKARYSSIPGHPRYIAARRAAEALCDPNDRET TPTDSEEAFSLEDMLETSVLMHEMEEHQNGNGHGDDQDAEHLRHMIRFDRVGVSTYLR RNFASSGIIDQASPSGNGSARGNSGYVGGQLDDTLVGPMGGGRLLVSPVLGPTNRHQA RREKKKKRKAAMTPAHQQHQHLPVENGHIHENGMPALQI L199_002033 MAGSSQLSKQDNQGDYNRSPTTATATDTDLINKLPSWGMPAYLA TSPCTRQAILQTWMSRERARQGVQSSLPTTSAWDKLKFYSEELTDGEEEWESTSLSPW YARMFDEYIKALTHNHDHDFTKANVNANANLDLDEDQVEFKFGLIDERGLQMSREDPD ISKSRKEAQKYWNECAQQGLVKGGFGSSMARGISALSDGTTVIGEGEGEGENDFDPEA KCDMEEYHKMRTGFKDWFLNNGNNRSTISE L199_002034 MSTNKGKTACIPSTSMSRSWREVSIEPFRLDLTSYKFKTDLRSQ LHHRMRRRMDEDRLNAEGSVWEKLHAACEIYSDTIDQTIMRPSTSSNPNPSQGETGAE GDWGSMLAAVEREFERSSNGLSDQYRSILWHNIIGERLLNNNDDDNSTNDIESIIISK SYNKAQELWSQFDIIGLKKKDNSFSPSLAKGITTFEWSFEPDSKTIMKSKYEIDRTIL RLENDIKGLKGLGPSYLRPTLDGSDETREDVLAGRGYELDKMRSRRWFVDRFEYRSGS NAVIKE L199_002035 MTPNSDIHQPPVSRTVEYHRPSRNSAHYSAGTDVSLGMVSASAS SNTSSPRIPQAPQNGVGGGAPSAYRSPVISTPMGFDSPTSLQPSRAAPLPPGSRRESQ NYPSTSSSSKPSGGYKSSTNSPNPNRYSAGASYANNNMIMSSNNSNGVLPPLRPTRAG TLPLGEHPGASGGISNGWDPMSPTTSSRSPNPHITNSPSTMNSSSFLPVQQPPPLQHQ PISAPVNPYSTQNLEKSFEDAKIGLGVGVPLQVGEPKDKDLPKEPATIGRNRSGTGKS SKDKKSVFGFMSDLLTTSKPPVISTPYDPIHLTHVGFDYNTGQYTGMPQEWQKILDEN GITRAEQEENPNEVLAVVQYFKNRDAQQESQEDEVWQKMRNAGPAHTPDSPSLPPKDM TMSREGSSEGGALRGDQFANPRAAPAPPAKAGPPRMQAERPPPAPPGRLPPTELTKPA RLAPGQSSSSGSLPLDRSYSQRTPPTHPPKQKPLDRANTTRAPTTKPSASGSVPMGKS HSQQGQGQRGGPSPSGSGGAGLARNQTQGGTSRQQGGATPRRRDKQKENEEVIRQLQA ICTPGDPNAVYKNLVKIGQGASGGVYTCHDRHGYPVAIKQMNLEKQPKQDLIINEILV MRESAHPNIVNFKDSYLWKGDLWVIMEYMEGGSLTDVVTAHCMSEAQIAAVSREVCEG LRHLHLKGVIHRDIKSDNILLSINGDVKLTDFGFCARIADPLHTKRTTMVGTPYWMAP EVVKRNEYGPKVDIWSLGILAIEMLEGEPPYLNENPVRALYLIATNGTPKVKDWDRLS TNFRDYLKCTLTVDAEIRPNADQLLKHEFFKHCAPLTSLSNMIRAARKG L199_002036 MGPINHTSEFQSIFSERLSSLPPSTRSKSPSRINDPVRKGKGKG KEKDGVEDEEEFLKEAYRIYQHLTSLSHLLSSVRKPYLSTIEPPPLSRRTHNHHHDEG GDEMNEWKKWEKVKYLTDRERDEIDLRARMILRRCKERVGVLELGEQTRKSKTPSSGI SSTKSTVLSFLPSLLPLDSSSSSMTFQPLINAHRASVLWTLNDFLAKLTSTISDLQEE RFKRKQERMKSLGSNATLEASQLNTHKGNRKIPDGVIVGVDDPAFSTNSSLDPHLAVS GIGIIDPSTKESELSQEQIQQFENENNILLENMSSTLSTVLSAESSLLEISKLQNELI QHLTQQTEMIDQLYSEAIDSVGSMAKANEQLKKARDTNKESRVFLLVFLIGASLALLF LDWYAA L199_002037 MSITQETLHLIVNPVSGHGKGAEFADQTIVPILKHLSIPHRIYT TTSPGDAGDIGDKILSSQTVEGGTLKVGIVGGDGTFHEFMEGEGVSDGRVRWDVILFP LGTANALYSSLFPPSSTSSSLQSYKSLIDSLPSPPHSEGTLYNLLSLFSFLTKTTPVY LPITQTTLSSPAGAVEELRSHVVLSTSLHAAILEDSERLREGHPGTERFKIAAQQNAS KLFYASVTLQSRSNVEVEQWDPRKGDWVLPYTIKNTSQPSQTESTIGGPFSYFLTTST VDRLEPQFVISPLTTLNQDQNQGYIYITIIRPSRDRFISQAKPEERKDKLTKRAFEVV GQAYSSGNHVNLTLPLEDEVKSFELENKGKGEPVVEVFRCASFQWIPSGDKGKVEGLE NGNERLVCADGALHTIPQGGLAKIKVQDKKDGKGFYVFA L199_002038 MGKSRIEEVTCAICYDPLFTKRDDLDDPVPIATCDCGHVFHEPC ILEWFHTQSEQYLAAARDQGLDGRYGSPSLSDAPAECPTCRTECFADPETGQPSIHRL FIDFGENEHSNIGSSPPPSSQSSKYFSKKGKEVLGLARRAKGIREEVKGLNGDSEDDE MEGMIRRGEGLVEDLVGIKVLDTVQNYIDSLAKELKNLRHTLQTNPLIPNLRAKLAEK ETELTNLHRQSRLNIQRETKRVKEEEQARCERRVQKAVEERDIFQREYEREKVQRKAG IRAMEERENDLKRKLEEATEQLKRETEARRFKETTLQERNKQLKMFQKKVEDRKELKS RIASLEAENARLRASMLDGTMNSDVEGDGNDERVGGDESVQEISRSHIPSFLRKASRL GPSKSKYNRIKMEEEESLQVDMPSFYDDSLRSPSKLLPSRTTMKDLEAAEFDLDDDSE DSPSKHTRHKQKQQQQKQRMHPTARTIAVDFGKERRRSSSSKYFPGNEDEDDEKENHT TNARRGSGGTRDRDEPSPLKRSKTNPFMTTKASDEKRKELPSVEKMSSGTKKVNPVSK DTSIIDLATSSPESSPRPRRRSAIALAKKKENQRSVVDMLGLADGQGRPKKGVVSGQK VRRMV L199_002039 MLFNQLLTLLPLLLLGSVSVTARPQPNRIKRQGGQCPSFALQDY ADFQISDGVAGNAAAEANAIFVDPFDGCELSTVDATSLDNMSSMREAAEDAETELFNP QIDAATGAEADALQVGKIKNKVLKLTAFSQVLNIKIAQGDDASSTKLDEETTKLNKNI ATDEASAGETSQAAVGGGGAANNAAVADADDTESTSSSSASGSTCTCAN L199_002040 MTYLLLPPHPTSTYDVPRSPSPSPSPIFPTVPNQGKLEDPLELP SPNLEYPFLKDGLEVSFVIQHQEEEEEKSISDLDFGFGSCHGRYVLRLGYM L199_002041 MSFKTPEGQSSFDFGDHNINTSPWKGLRRALSLGSNASSRNSIG SKRSTIRSSRQLKGATTSSSITTATSHMLTSSSKGDHQRLQLSDKVISEITGIFEPLD DVDVSNEVDIEEEWHNNMVVWKEGESDSLNSQGNNSKSKSNSSSSYREDIPSGPSSPC DTDRFSFTFTNSSFPFPNPPNREEPPHLDSSPTDPGFSFHSTNNTLTNPQAHNTSSDE KEDEDGRITPISIVSTSSQPAYCPPSPALTPSPHPSFSNSPILTTPGVQSSLAPDSLT LGKPEQEQDKSETDIHTPKVDQHTERQIGIVSSSSDSPLTPLIPRNITPLSSSTPPLS FPSRLVTTSTTVPTSTTDDNNNNDNANQFDTLLERTISENNDLTLQPPIVLSTHNDKI SDGKDEDYDSMPFGEHQLQGREREWASYDNQDSLDPHPSQSVSSKTIPYQHHKAQPSV PYSTRTISNTISGGHNPRYSGVDPLDTLSPPPSYGNFSNSSSSSRRNSKYTAFSGSSG SSIYSYSTTDSSSYRGHNQQQHWQFGGQPDISTVFEEENSVDFGEQPQLDQDQAKKNQ YEFGIKNTEPNHQRFQTLNSQSSSNTLVNRPPTKGYFSRERSGTINSQSGTITSNHSG KSTNSRGAPHPFANAVVRPTSPIIPTSKSHNNFLSPQHPKRSESLQYPSLSSSRSSPN LTEQYKMSQPTHQLALVSTEDDKDDEETCPVCVESLSFTYRLPGEKPHIVPECGHALH EECFVTVYGDVPPEGSQKILGVCGVCRQPMKMADGVTKRDKLAVLMGQPGQNGTRKPS QSAQSTRSVGGRGQNTSPAPSDPNADDPIENGNTGLSRSMHSESSQSKVVVPSISIRS EFPSITKGHRKGKQVITAMVTVEVPSAGDRGKYPTSSRPPGMSRSVSAEDQISPQLPP SPRSASDSSVVPSTARSGPVSTPDPFAHVVHDLKHRVVDYKTSGLDQLGSLRLFDLLC VRKGQLVREFHVYLFQEALICVSEEKKSGFRGIFSSSSSVRSDHSGGSHHGRGVLKLK GRIYVRHVKKVIDTSVQGELSLTITMEDESLDSFILCFKDRSSHETWRSTINRLLEEV KGGQNKASRSMGPSGPRSTNAPSSAGGSSYGMGFDMTSPSTAGYAATPSTSTFAHNEP SPGDLAFEQPLGPIHTPVDLVVVLSLPAPSSNNTQLPLKVKLMKSSLTFLLALLGPKD RISLITCEMGVNGIVRKTPFLSPCRYESRKRLEAFVETLGSGRDSKDEFEVQVGREER YDVVTAVNVALDVVLQRKAKNPISGMILVSDTSDVIKRAQMDLVTARLDAANVPVHAL GYGRSHDPSPLWMISNHTHGTYTFVKEWYHLRDSLAGVVGGLMSIAMDNMKLHLSCQE NDFHVTKVSGTTQAVVSKNGKDVDIELRELRYGEIREILVELDLEVDLSSNEQRYSGD GSSESGVIEGSRPEGSQHGSSIRKAPSFNVERGLGLDTLSVGDANALRDVVYEDALID EVPVVEVDCSFHDPGAGRSVARLSHPVLLTVAILPPNAPPSSTPADPMIVRRRMELLA SDMITRALLIASRKNFTHASRILDITRNQKNCRNDSTVQDLDNLLDGLEEHKELFERD HRNYSAQQAGVLRAQRSWTTRTPTERTYCTKEIGGIINLSGEWQGRS L199_002042 MPRLELSPTRRRNNGTFNIRNIDWNALIHRARGMPREMVHRYHK LGRKGKATIWFITFMHFLMLALVIIITPTRIGLFFNNLGLKLREMGWKGMVLCGLFCI LSSHPPLFGFMGSLTLIGFTYGMMPGAIIAFVASMMGSIISFLSVRTFFLGYLGKNEK WEAFGNVMRAKGLPLVIMIRYCPIPWAIGNGLFASIDSVKLWHFALANLLIQPRLMIP VFIGSRLTSLTSETKDPLQFWLNLLSIGLSSTISVVTGIVIYRLTLEQMRKLRSTGDG ELAAEYIEEDALLGELSGGSDDEAEMLTRPSSERRTSRDRLKVDDLERGEGGNGRRRK SGSETPEDRGLV L199_002043 MANQPSDPRSSTNPSSSSSSIPPRQSLLQNLTTQSLLLTQLFTL LSSSPSNTAPNPGQGGGIEQIYTALQLSTLDLSNLLRDTYTHQEEYKKSLEKKNNLEN LEQRVRGLIRGLENDRIELEQMVENGKKVKQSIEQSEKNPINIPTLLSHAQNLSRYSS APISSLMSDVDKNQYQPWPTEMAMRMGLLFQMSGNEGMGGMGRTGEVGDETKTTEIIE QPQPAIVHEEPTRRYDPDAVFTLDLNSDDSDDD L199_002044 MQSDDIIWTVINHQFCSYKVKTSTQNFCRNEYNLTGFCTRQSCP LANSRYATVREKDGVLYLYMKTIERAHTPANMWERIKLSNNYVKALEQIDKELIYWPN FITHKCKQRITKITQYLIKMRRLSLTAQPKKVGIKKKLERREATRERKALAAAHLEKN IEKELLERLRSKAYGDQPLNVNEDVWRQVLDLDRKGKEKELELDMEDDESLLDSDEER EEEEEYEGGEREYVEDTDDESVGDLEDYSGSEFDEFDSEEEGSEGQEFPSDLDVSDED EDDEAHGGQDQPKAKKPPANGSGGLAGTKRKQPPKGSSTKKGARRPKVDVEYEMETEP LSREMLKNW L199_002045 MTSLLSQPTTSRAGALLAMSIARPLPLLSTRLPYPLSNRPFSTS KPRRISHFDTYLFVEKLEKNGMTRKQAEGVMSVLAEVVEESIKGMESGLVSKAEQEKQ RYTEKVDFARLKSELQLHEKNDLSLMKAENDRLMADVEKLKQRLREEVTRTQAGVRLD LNLEKGRIRDESSQQELKIKEVDTRIESEIAGLRTQIEQAKFSILQYLVGVATGSGAL LLAYMRMMR L199_002046 MTITPRLVIHTINLIFLVSLANIYLLFALLVKPFSEDKSWELVC WTGNWFWTYMQNHWENTLNAKGAVEVSGDEIPERESAFIITNHLGYSDYYLFHYLSSR AKMMGNSRYFVKKEILRIPFFGLAFWSMGMILVSRNWTNDQRLIEQAFKRVKENHHPC WIVLCPEGTRRTDSKILRSQAFARQKGKDELQHVLFPRTKGFVSTVQALRTSHITYIY DLTLLYQSPGNGNNKWKVPSLADQLNCKDLTRKGYRYKIRVKRIAISELPQDQESLKR WCEDRWKEKDDLLDRWMNDSKLNNGNGIEAKMGINGDNGFDHHIGH L199_002047 MKVISVLNLVITASLVRALNIPSLSNTTIIDKRQTTSTASSSER YVFAHFMVGFVNSYTQDDWNRDVALALSKGIDGFALNCDGQDTNAQQLQFAFTAASSS NFKLFISPDFVHYSYEDPGPVSELLKPWVTQGAYFRYDGKSFVSSFWGEGTDWVKVRE NVGVDLYVCPYYYASQAAVDTPGLDGLFSWRAWPGEGQDTVVFENMTTAPDEEYLSLL APLGKAYMAPVSPWFYSHLPASTGYAKNYYLYSDTLWPTRWQQTLDLVTKYPEQVQFV EIITWNDWTESSLITPPTLKAAECSGTDSVGEKPRGWDMAADSVFVAALTTGPATVTV TIGGTASSQQVDGAGVHTLAFPMSVGGVSFQMDVDGGGSAIGQGAIEISDECYRGVYN FNVLAGTATADGTSSGTSNTGGSISAPVSSAAMPSSTAAGSDDSTPSSAGSTPSDGGV ATTQQASSAYSTPSDSGIAATQQPSSESSALADTGAGSSVQPQPYSSHPWGGWRTGGW GN L199_002048 MSLEMSNGPTPYAWLRKDLISSGDALTDTDWLPVLDYTTAARSG TTFIEDPTERARHNSQMLTKLVANQSTTPYNEPSLYLDGQALKEYHKYVKGKLFASAD EYFSLWKDTRDATRLNKSLQSARNKDEASKQAWYSLQSTEYELPVYSPSTVQGFLSLK SARVEKRMPTWEEIVQEGEIAAYLCNLAETRGQLEDFHALSSSQRVSHVHERMILSLD TQDGNAPAQLNSFGMGHPFGMSSGFGKGNPYAEGDPFRARKALTQSTVRKDF L199_002049 MSSQGYIPYQWLKPNAYNADQRSNYLGVSDDELPQVTKEGGFIS DDTLRSSHNKAMIGILQQIVLNPQDDQRREYQTLRESKHHFLNSQYATKDEYTSLWID LKAEGVFKDQSRRGRSALRFCVEAWENLQSDEYEVGIPSYSSIKGFLSLPGVYSGQMP SLNGIMDAAQQANDVLHRLDSDSAWSAFDRSSSLKDLQAMSGQKDLSTANEEMIRSLD KASATDWMTSVGFSKYNALNVKAFAQSITPTPKTYRVSSADDPSEVE L199_002050 MTVNNSIKGDLNNPIFSVIDNVTASTDSCIGTTTPAASEDGRDL KGLSPKEPKPDEIAQVTSKARDLSWVDLRRKCEDLVATEDDHIRQGGPHWESTLLGRH RDRQSLSEIRSKQQLHELEIDTRGECLARVDREVRLKRGLASDSWSRFKSDRAFSSSI ARGATQWGENDNPFQMNLDDELSRIEKALQGISTNRRTEDIMEEPDDDDSKKYEMENS LMSKLRSKHCFLNSMTTWGDTGTGRSLDWHDV L199_002051 MPSLTKMIFGNGPLGPSLAPWIRQRPGLQKYWARWSNFYKNAAG YRQKGYLYDDLIVEETPQVQKALQRLSPQQRYDRVFRMRRGIQQSMGHKKLPKEQWTT PEQDVRYLTPLIEQVVAEEAERAEWDYMTVEKIQQKRAEKRNIFSKREGTH L199_002052 MGVIETLAGIGMAVGPPLIYADQAYSIVKKKDSSGFSHDVCGVL LIANIIRVFFWLGNRFEIPLLIQSLLLIISQLVLLAICLHYKTPSESSNYAPLSPLPT TPEDPSTRGHARQDSDYIDQPPTSMSGSGKSKRPFEFWQWEGYGSYLEFLAALILALG VLQVILGRWMWYIDALGFVALTIESTLPIPQFISNFKRKSCYGFRSSTLAGWFFGDAY KTVYFFIRGSPIQFKVTAILTLCWDSAVLAQRVMYGSNPPRETSTQFPSSNPEGSRSL RSDGEERQFSIQ L199_002053 MASQVPPSKNPTPLADRPLPPSAALSEPADYKNTFRSRMAASKY ADPCEAASKASLACLERTHYNRDECLDFFAAYRECKGKWIAQRKEDARKGRDTV L199_002054 MSLPTHNRNRSASGSGLLNTFASVIPTPITSIFTSPRISQGITL GVEEEGKFGESSSSAGGLLSKDAPEGLKRVELRVGGMTCGACVASIESQLKQPGIHSV QISLLAERGVVEYDEDFIDDQGKKWDDARIAEEIEDIGFEATVVEKSEVDEVELRVYG LENPEVVNSLLETTSNLAGVHSATLPAPYTHLALTHSPLLMSLRTLVDTLSARFPQLS FLPVSTRDDSQVASLQKHKETATWRRTFMVSAIFAVPVFVIGMLSMYLPHWLMGWTMW KLVDGIYLGDLVCLLLTIPVQLFLARRFYENAWKSVKHGSATMDVLVVLGTSATFTYS VLSMFFAMFSSDPDYRPQTFFDTSTMLITFVSLGRYIENLAKGKTSAALTDLMSLTPS SATIYVNPPAEGEPIDTSAETRKVPTELVQVEDVVLLVPGEKIPADGVVLTGATSVDE SMVTGEAVPVAKKVGDQVIGGTVNGLGTVTFRVTRAGADTALSQIVKLVEDAQTSKAP IQQFADRVAGIFVPIVITLSLITFIAWMTISLLNSTGNLPDVFHSPGASKFGVCLKLC ISVVVVACPCALGLSTPTAVMVGTGVGAQNGILIKGGKALEACKGVGRVVVDKTGNLT AASPNPNFEIDTPSTLSLTTSATPLQRHTVLSILSLAEARSEHPLGVSIAAYGRDVLS SAGLSPPNGEVIEFESFTGEGLEAVVRLSNGSVEERIRIGKSNFVLSQSSMSTLDEKT MEVELPVKMREFEEKQMNLARTVIFVSFIRSSTSIPVLALSLADSPKPSSAHAIRALK DMGIKVTLLTGDAEVTAKAIAKQVGIDENEVYAGVSPKGKAKIVGDLGLNDGGGVAMV GDGINDSPALVAASLGIALSSGTSIAIEAADVVLMRSDLLDVVAALDLGHHIFRKIKA NLIWACCYNILMIPLAMGFFLPWNIHLHPMMAAAAMAFSSVSVVFSSLTLKWWKRPIS SVMPDEPYSPGGTLKGLSKILFEFQDSMSSIISLGSEMTRSGSTLPLLRRLSLRRPSR SAYEAIPLDRSNTPIPISPV L199_002055 MPPTYGPVPDEDGENVPLAPSPQTPKKRKDSLGLSDASSTEFRD QLEVEPFDEKGDRFRDDPRLEDEVGNGDGDEDEQGYIVQPPQRLRSRQKSRKILAILI AILAFAAAIGVLAASGYSAPTFGKSGNQRITMDHVFNGTFNAWSKQLDWVKQAADGTF SHINKDNNIVLADVHNMTEETVLVESSKVVDEHGNRLHWQSWWLSADMEYVLFKTDHV KQWRHSSFGNYWVHRRSDSTTFPVITPSSHPTITKCVWSPVGHALAFVSKNDLYVITE EEMHSTGRPKSTRITTDGSETIFNGVPDWVYEEEVFETDSALWWSPDAESIAYLRSDE SAVKDFKLQYYNPSNDAFEVHQYATELDMKYPKPGTPNPLATVHTYTISSQSRHQLAW EGEMPLDNRIIIEVGWVADNGLLVKEIDRAARKGNVVLFQDGKTQGDIVRILGKEGEE GDDGWIDHGQNVIPVMGALEGYLDIVPNEGYNHIALFSPINATKPIWITSGEWEVTQI SGVNVDKGIIYFTAATPSIDRHIYSAPMPTSTTEQYDQTFTALTDNSSPGYYEAFFSP GAGYYVLGYRGPEVPWQRLLETNPGEEPINVLLEGNPQLNQTLSEFVRPIITRQTIES DGYEINVLEMLPPNIDTSGRKKYPVLMRVYGGPGSQMVHNRFERDWHSYLVTTLKYIV VVVDGRGTGFKGRRLRNPVIDDLGHWEVVDQINAAKEMAKRSYVDRNRIGIWGWSYGG YMTCKTIEADSGIFTLGMAVAPVTDWLYYDSIYTERYMSVPSSNQDGYVRSAVNNVTS FAGDKVDFIWAHGSGDDNVHYANSASLLDKLTQEQVRGWRFRMFTDSNHSMDKRQAYR EVYEWMTDYLKEKWGVGGKIHH L199_002056 MIKFILVQNRQGKTRLSKWYSPYDDDEKVRLRGEVHRLIAPRDQ KYQSNFVEFRNDKIVYRRYAGLFFCVCVDSNDNELAYLEAIHLFVEVLDAFFQNVCEL DLVFSFYKVYAILDEVFLAGEIEETSKQVVLDRLDYLEKLE L199_002057 MSSTTILAPPITAASSTSSSSKINRLSTSSAGSHASSSSNWTPS HDSIYSVSSDEWSTSLVTPRGEKNFDVEILNDVEEDNDDKVDYIDLTGKESWWNATPK RLSRQEKEKSVEDNRWLDDSPTLPSTCILPEIETIPPISLDPPISARKLGKLPTTLRP EISVASQSQTETNIFDVFSLGNGVQRQNDQHHQDMFASVPIRRPFTKRRPPIPFFSPN TSASSSSSPISQARPPPSRPPVPLRASSLKSLFDQATSDNQIARSSSPIPSHRPKPIR TFSHPPSASLKKHRRISPVLLQPISETPKDLDIPPEGSWALRRPNRSKPIHKSKSQPN LRVHPKTSEDMTIKFRLKRSNSSNGTSVDGGCGNGSDFMPLDIFPSSSRASGSMDVDR DRDREGRASFSDMARSFVSRPSSIPVPESPSRMSLDGRQSLNLGINTLKKSPKSNNFF SIKKNSGQMHSQRRPSLLGLPEWSPRPNSRSNSDSYVPQISHSKTFEALTLRTESDSG SPLRLSIDVRRRSGENLFKLHMNKPHINTSRHSIASSISSKINNHLEEEDLLAVLEAE QWNWPSPPFRVIKGHDTPTPGLSTSGTLESMISTSPQTPSESEFVLHGEIEYLAKSSR EKDKDKMFRPLSLGGSVESLDITL L199_002058 MSSNSYTQDDPRIRPIPPSRYPWTPLSPSSTADDVVKVSLILTS TVIAPTNMLTSFDTGEEKTELPCHAVLVEKGDEAMLFDLGLREDPENFPEPMHHTLLK IMGTRSAPGPIKTLQREKYNLDRLKSVIISHKHFDRPDSLKSIGPGYPKDSKGQWHSE WFDKYRFVELPSTDDKGEWPGEISTVIPSSGQRKWESIGCFDNGLDWFGDGSFWLIDA PGHCAGHIMALCRVTAQPDTYVLLAGDAAHHQNMYLPIPTPEADLRSPKPVIDGKVAF AEDPVQATYVVGQLTRMSMEDNVMVLLAHEKEAMGVVDYFPEDICEWKEKGWKEEKQK KVLKDAIKRAQI L199_002059 MPIKTPVTAEEVSAAALRLGFTIPPNHEEEYLALLAKTDAQCEL ILNTPDYKPIPDFENFPRSDVYLPEKKDNPLRAWAWRCHAGSTEKDSGKLLSGKTVVF KDTVCMAGVPLLFGTDAFENFTPDIDATVVSRVLDNGGHILGKAACENFSHGATSSSS PFGPVENPYAKGFTTGGSSSGCGALVGSGQVDMAIGGDQGGSIRIPASFCGIVGLKPT FGLVPYTGVLTSDAGIDHVGPMTPTVLDCAILLQATAGYDNIDDRQLGAPKYGDIPRY RDLLLAARKSSIGPKKIGVLTEALNGKLVAGSVKRLVLRAAQQFRALGMTVDEVSIPM FNLTPALSHIINKLASGGTRQGRQVGRRGLYLNDYWEHLLPWDQSKYDKAKYFVTGTA MSCEYAWLKYPTAYGRAMNLTRQMRDEFDKLFEQYDAIIMPTCPQPPRRHIPAHAGPL GWADHAPGTASITAAFNLTGHPALTIPVGFASPMPEDILSEDDQGIKLPIGMMIVGKM FNEMGIMVVADALERSVDWKSIVDVE L199_002060 MTNDRYTEDWLEREFVGYGFDQPDPKWPGGGKICVSFVVQYYMG AELNILEGDPTFCSEYVEIPARAPPTAMRSEANEMMYEYGAREGVPRLLDIFNKYKVP VTWNIFTRALEKAPYWVRPILDSGAELSCGGHRYRDNFYVHPEEEDKLIGKSIDVLQE LTGDKTIPKGWLVERRSNLSTKLYSLTHKERGLPLLYSSDSCADDVPFWIPSPTKEEN QGLLMIPFSYDCSDLRFKMKGSGFASPKDYFLHLKDTFDCLYEEGEAGEAKMMTVLLH PHIIGRPNRAFWLEEFIKYVQSKPDAWVARRQDIAEHWTKTFPYDSKTAFGQTKVPEC GQISIPM L199_002061 MTADHYLPPRDYVGYGLESRPGVWPGGNKIAVSFVLNYEEGAES TPWNGDDGSCPMLHELHYDRKATSGGKRDALVEDMFDWGIRQGLPRLLKLFRQYGWKW TTWTCARAFEVTGPYAKMLVADGHEIACHGNRWQNHGKNLEEVKAHINKSFDRLQAST GLSDVPTGWFVGTSHQSQKLARAIVHRERNVPLLYCSDTYAGDEPYWAPDPYAEIYGG EDKGMLMIPYSLCTNDHLFFVAGGAGVSAPDDWFEMLKAEFDALYAEGGRGSAKMMTI AMHNRFVCKPGRIMALKRFMAYLATKPDVWVCTRREIASFWREKYPYEEVGPTYKLHS EHLAS L199_002062 MSHSPSIPDDWSPTNRFTEQDGHSELTSSSSLRRTKPTKRQRCS PPRDQPIRHRFVRKLCSLREGIRSLCVRRYRHASRIYQIYCSIGK L199_002063 MPLDINSAVTDPESIRSARHTVPTDMTSAIMSIKMYRIRSRIHA ALPALRNPATSRELTVEFLTELEKWRRNIPTTQGDQAIPMQAEDRLRWRYFLCVLLVL RPSIIKANPGDPTLSLCATAAAEACELDRTVHKGPATTQTTISVCHTLLCGTTLLYCL YISPSVISRKTSSRAIRACSGTLAVYSQLFHEARPFAEVFEHMADEILTEASSNTQSL TNVINTITSMFQGNFVPLAALYDSLKSQSQEDLAPGLKMQTDHERTHANNANEQVQQP ELTLLQQESTGLTPFLSEPSGDQIDQLTNSITSDVTKSAVVAEDGLDLNWLNLDNALW EYMT L199_002064 MSKKKILVVYFGIGLALIISFMDQTAVTTAAPTIGTDINGSASI SWLGTSYLVANCAFQLVYGRLSDIFGRKMMLQAALSFLVTGNLLCSFAKTPVQLYAFR AISGIGGGGINNIAMVIVSDIVPLAERGKYQGLISGATSLGNAIGPFVGGGLAGANQW RWLFRVITILGIVTMAMIHLIIPLKPVTGSMSRKLMQVDYLGVLMSSASIMLLLVPIS GGGSTFAWSSPTVIVLLIIGAICGIIFLIVEGKVAKLPILPLRLFRLRTPCLISFQSF FIGMIYFGNLFYVPIFFQYCKGYTPLFSGALVLVYTLPQAVWGVGAGFYISKTNHYKR IIASGAALWTLALGLQLVWKPSTPLGVLIAFLEINAVGVGFSLQTTLIAALATTKPKD RAVVTASRNFFRTMGGAFGLASASAIYQAVVSSKFSQITSLSETEKLNLLDSALGNLS SLSSEAGGQVRNAYNDGLRMVFVAFTVFSGASLLLSLFIEEVLFAKDKPTEPDKSVQI DDGSTPSTGTDESQETESMKSTVLTDGLDIEVAAVPSAGSKVQ L199_002065 MLWYDNLKGAFHSRQAFVRYIEAPQPEADELINNDKYNIRWSNV DLAPSPPQDRKWTQWTFLAFWVAHAAGAGSWTAGSSLINVGLAPRDAYIAIATSHILI TILIVLNGRGPARYHIGFPVFARTSYGMWGSYMAIMMRAIVCIIWNGTNSYYGGRCVT VALTAIFPSYAKLPPLPKSASITSPDLLSFFIFMIVFVSISFIHSRDLKWFYIGKSIF VFAAMHAILIWWVVKSHGVTFAYIAVDKPVSTTAHIWLVLRAFNTGLGTASSLTVNQG DMARYADKPRSAIWTTLIGYPIASALPCLYGILVAAAGRKLTGTAFWNLWDVLNYMLE QYPDNHGARFGVFLCAVAIALSYLAVNLATNSLPFGSDVTALFPRWMTIRRGQVICTI LGVAIVPWKLLNNAAAFLTFLSGYGYWLSPIAAIMSIDYYLIKKGNISVPDLYDGSST SRYWFWKGINLRAAICTIVALLPCLPSLAWSIAPKSVHIAPGAQNLFYISFVMTWTIA AAMYYVSYLIFPEKNLEVNERKLAFEEMADELDRKEMSGTVYWNEGYDDASGVNGAEN FDEKPTQEDSDK L199_002066 MSTSPNSPFACLSSGTPGPCSTTGGNINLKSPYTPRFHPISIYT SSTHNSSSIDGSPFDGNPDEYHKRRAHSASSHIRPAMKKIKTIMLSSPHSSSAITSQY VHDPSFIQQSDEGRNNKHSLHKDGVEQTTTQPSADSGMKKAASTHCRQEKTGTGVKGR NQGNQTLPIPPKRPTWRTQASPISSPTRGSSTVSSTQVPYADDEVDELCDDVSIKEEE TEVLQNANVDRRSVRPTGDGNDVTPYGPIVAPLLVELNTLFDNVLGSTVSISPPPHSL DLPTSTGAITPTTSDVTKSSLTSSPSLDDRTNIVDTPFVKPVTPAVAPQARVQTISKV SSKLSCVEIPSLRRARPSKYKKFSIPSMPPDSEANQSIIENTLNLFSHAPPSSSDPNQ NYHSADILGSQISIDKKDRSKTKKRESQVEQDVEHSEGPSRKRTMGDQNTVEVKVEPE EHNLSPQEMLRRGRAKKFDDYWLSDGLSSDAKRKKIQIERERCRLLVRGHLMILKKKP SSYLRECKVLVNPSLFPSKTKKTIRKLVQVIRLAGGSIIGDFNDFASPNGRTSSVHVQ ISSSSHTKVKGEPGTQKIYRLDLYEFLQWFDRRSLDPSPSIDTSSKNKVRPTVDPRDL VIHSAKPSKKSRGKAPRPIPSDQFYGNPAASNRVLDVNRNSRALSGSRAELRHAKRQH ITRSTFTVRPSIGSSNSRSTSDIASAPTETPLDRLAKLFKRARAPRIRSEWLSVSESQ SLSEAKQKGNEAVEGFYNDRRLKWYKTAFLELTEWTGETDLLRQHVVYRVKGYKIASL DENRTMSRIIKNAGGLILKNEHPTQKHRRFAMVKPNEISQEIRTLAVQEEALLKTELE LCDYLFNRYLKTKSLPEQLAMMI L199_002067 MSQDYNVESCKQGGYCCCSFEGWKTTSAIRQDERGRAQGIVMNK DKTREIKALFIPYLIPSPHARMSELATPHLRPIVVDAMYTERKVDRQGRDEWDDTEGD VSLRFSSPIYAEEPSLISTIAVKRERDPTPPATARPPRPHKFRRDYWSPPSKFKPVTI PSSPPSTQQFSAHITQPSNLDVKVEVDVHNGQIHSMNENLAARSQSTHPRATPHS L199_002068 MNSGNDDNGHSTTPHPQQYHFQAPARSTTLSSRSPGLRGPSNSS RRKRDIAVLHGRRVETSHHTRNQQNRNTIISINGNAETLEDILSSSKRILNPEEVYES PTSQLRNEFFSRSIHESLYVTKWKDAFDSVEKLGDGGESLEGAIKAKGLIEIGMELLE RSISELSTVADQQQLLGNHRILIVGTWKKTSIVEELKDQLERLGGTVCTSEDELFSKG AKTYIQIIGPGFPGSAYISTENRRIERMERWNIQHCLVKLIRWCDTEALRNKSLDARL EILASQCKENRSNIGVGKEVFISDSIPDESKVLIRRICDYERFLIHHTVTLLETSEAR DRIVITASSSTAVTSARHDFMRMTMEDLDDLEYAAEKRFADTLPPTLATDAIYT L199_002069 MAQQDMVAFWQDHPSITYRLLRIIKKSKAYRKALFPKPHQRQPN ADVGPILEEICLKLFEKNQEWERYCLEMGWNRRARDQNWAMSGSGKENRHLQFTLIVD FFKHRLDENWYGHKYGINPSWTTFKDIPDRESRRSFRRLYPYYFLLLDLCKRNDASPP SLNGPAPEDVSNGDVEMNEDNDAQDDQSSDRENDTDNQDSSDSDKSHETTIHQPSKPK SGKTVYKDRLIHTKSLIKRNRQELLATFIGAKNISKRYRDCLVDRHVQKRLNFSPQQF LSRFKLECGIAGFIKCPKQSRKGQPLRGIDILVPANYRQDSVAADIVTILKSGGVIIC TDPSAFVVNHQREQYSLIQVRCEAYSGHANNPLGLPPITLEVCLRKILDGYHINTSPR VELEEALEQAVIDSQHRSTVGTGIGIRIAPEVPRAVRNQLEYIIRRENFTQYNKQKRL LEHPKGQGRILVSIKAN L199_002070 MSKSAFRLNWETDPSLTSTLLSLIKSNPLYQQVFFSTSIEQVKD KYLVTQKICIEFFKDSVWMKDAERRGLVMKTEGGEWKATKKWGSNVANPITFRDLRKR FDDGWYKTKCGILLNYSKIEDIPDRRKRGKSSLACQDQYRI L199_002071 MPLESNADKAYMHDLPKVSFRVITDDQSILGPAGVNSEQSRSYG YNDFSEFERPEHYIRYIEPIESELAVQVEYDMDEQDQEWLDAINAERKKEQSGAIAYE VFEILMDKLEKEWFNLSKRIPQPVQHLAAEDSKCAVCDDGEGENSNAIVFCDGCNLAV HQDCYGVPYIPEGQWLCRKCTVSPENPVSCIFCPNEGGAFKQTTSGHWAHLLCAIWIP ETGLGNAIYMEPVEGVESVPKSRWKLVCSLCREKTGACIQCDNRNCFTAFHVTCARQA GLLSSMKSFSQDGLLKAYCHKHLPAEEREEISDTASDFSSFSETIPKQTTKTKNKARR SSTANGQAAAVVPTTKKSAQAHSKSFRPGPPIIPRLILDKVLNYVAKVAIRKKQPFVE RLCRYWSLKREARRGAPLLKRLHLEPWTASSDSRQQTETEKAQKLKFLQMLRNDLEKV RMLAELVRKREKEKLRQVQVIKDVVDGFIFPYHGRLRVAFEKISALDRQSLYLNPVNR AEAPDYFEIIKVPMCWLWIDEKLEKNEYVDLADFKRDIMLVLDNAMIYNPKDNLYHRR AAKIKKEAEPILTELDNITETARAPYQTHASEENVILPVGDLEATSAVLSTILQQCSG SDHPTQDHLGSIFSFELEKPKEPIPPPPSKPVKAPRKSLSHAERKQKWEDRENAAKER TLAGSRSTRATKAAEIAFNQEAGIQPSSSAGGSKHTSVEPKEELDARSRRTSMREINA IAGPSTTPASNKKGKGKNRVKSEVVPQTEVTAESQTSTSVSLTTSGSASPTKASSSLP RRHRSQVGVVGTEIVPILTDKERRERERAMDIMIEEVGAQDQFTRFNTGWVLPEGMKR KRTERTSDSFTRAPSASSSRKPPSTAASKARASATPRTLRLSLSPIKSQHTEPAPISS GSGSDLSSPPPSAVPTPRKTTRQVEQGEKRKAPLDEETTSPRQSKRARTTRSAGNTDT HDDEDNEEMTPIPQDLNDKEISEDVEEVEDVEEVEQVEQPKKEDDKSSPSKARSNGKS KVKTVSVSPTKTKQELKKDAAQYEPGVLVHTYPYFPAMVVDPNEPEGVPSDVLAIEAR ERASAKAAGKKVWLVNFFDNSASYGWVMEDKLDLLGVDEALDALYLSGKTRNKNNKYK PHAIKMVKKGYRDALATLQTEEEDEEEEVS L199_002072 MRAAFRNTLRGAVASSARTRAAPLAARTYATAKPAASEVSSILE GRIAGASVGGDVQETGRVLTIGDGIARVYGLRNVQAEEMVEFSSGVRGMCLNLEADNV GVTIFGNDRLIKEGDTVKRTGQIVDVPVGPGLLGRVVDALGNPIDGKGPIKADGRTQA QLKAPGILPRRSVHEPMQTGLKSVDSLVPIGRGQRELIIGDRQTGKSAVAIDTILNQK KWNDGADESKKLYCVYVAVGQKRSTVAQLVQTLEQNDALKYSIIVAATASEAAPLQYL APFSGCAMGEWFRDNGRHALIIYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHS RLLERAAKLNADYGSGSLTALPIIETQGGDVSAYIPTNVISITDGQIFLEAELFFKGV RPAINVGLSVSRVGSAAQTKLMKSVAGSLKLYLAQYREVAAFAQFGSDLDASTRYLLN RGARLTELLKQPQYQPMPTEIMAPLIYAGVNGKLDKVPVDKIGAWEKSFTELLKSQHA ALLEKLSGGVLTKEIEEEMAKVIDAHVADFTA L199_002073 MPPRPSTNISSAFARLSLSRGLQTTAPLPPPTTPLPPSDIPSSS SSTSSALSHLPPILPSSSSSTTQPNSTSEAISLISSQSTSSSGRYVIARLYSRNYLLH PKDILTLPQLKPIQAPGSTLSLTKVLEVGSRDYALRSQASNAAILKRSMDWKSKRLSS FEGIPEGVVDCNLTILEHTKSPLERILKKKRRKGYKKTIEHKQGYTRLRVGDILLKGE KEI L199_002074 MSQVDLQALLQSTQNTEREARRDLSSASEELAALRASHAREIDD LERTIARKDREKRGLEDELKDSREELSRERETIRQLKQSLAEQSTQHITLSAQLQASQ MQLTSVQAEVERATLRVSAMKAELAVGQENAKKAEEMAVGKVKAAEEERDRRIAEIEE ELRTAETIRRKLHNQVQELKGNIRVFARVRPALAHESSSPEALAEIAYGDERTAQETG QSQIVVKSRSESATGQAREQEYPFTFDKIFAPRAGQKEVFEEISMLAQSVLDGYNVCI FAYGQTGSGKSWTMEGGQNDQDAGMIPRAIDMIFTVSSGLKDRGWKYQMEGQYLEVYN EVINDLLGSGQFDSKKHEIKTVDGKVSVTDVVSIPLNNPRQVSALLERASSRRAVAAT LMNERSSRSHSVFTLKVRGENPLTNERCEAMLNLVDLAGSERLEKSGAGENKDRMRET ININRSLSALADVIGALGSGKEGGHVPYRNSTLTRLLQTSLSGSSKTLMMCNLSPLSV HLNETLCSLRFATKVNSTVVGQVRKQVAR L199_002075 MSEDQENLAPMSRLPRLASSPARPSSIPTLSSAPLISSGNANKR KLPSSPLAPPSVKRAVSGSSSGLRSSQRGGFVPSAVQKPSSTGRPATAFGEPTNRRTG STGSTGSAGSSSTSATTRARTAGTVAKRPPVSASSTTTRNESTLGRSMGPGARATSRG VSPGLPGPSTARSAIGSGVASAAQFKSHDGRLENVERMVGGFKDLLERE L199_002076 MLRRCSNIPRSALNLSRPSIRAFSKPSAPVSASASQLSTKENVL FELDVKKVGHEIRKRGLTSSIGAGREGGMDRDTIIRLLYSLGSRHEVERYLRIFTQSS KDASPGGVLPEAKFAVLKIGGAILTNELDDLALSLSFLNRLGLFPIVLHGAGPQLNDI LEAEGIVPDYEDGIRITDPKTLSIARRVFLQENLKLTTALERLGTRARPIPTGVFTAD YLDKAKYGLVGKITRVDKAPIEAAIKAGCLPILTSLAENAEGQILNVNADVAAGELAR VLEPMKIVYLNEKGGLFHGVSGKKISTINLDEEYDSLMKESWVKFGTKLKIREIKELL DTLPRTSSVAIISTGMLQKELFTDAGAGTLIRRGHKLYKQPGVEAVGSTQLRQVFTER DPEVISGKRSVAEIFGDFKNTPTTIYGDEPLDVVAVVSHPQGETPVMTKFLPSGNGML NKIADNVFDTIKKDHKRLFWTANADDENRAWHFERADGSFTRAGRSLFWYGVADVKEV ERIIEGFEQSGRIERVFLPVGPSTPPHRLGATRAFSTSARPSLVNAALNGRRGYATAA EVPRKKVALIGARGYTGQNLISLIDNHPHLDLTHVSSRELAGLPLKEYKKSQVSYSNL SVEDVGKMAESNEVDAWVMALPNGICKPFVDAIDSAASKGGKGVIVDLSADYRFEKDW TYGLPELYGREESKRSTRISNPGCYATNTQLLLAPLMPHLDKSQMPSVFGVSGFSGAG TKSGEKDEEGRPKTVPKISAQDLGLSIRPYTLTDHIHEREASNHLSSLTSQQDFKLAF IPNVAPWFSGIISVLTAPLDKSFRASEIFELYQEKYQNERLIELGKTVPDVRDIEGRH GWKMGGVQVHSSGKRVVVVGTLDNLLKGAATQCMQNLNNALGYEELAGIPLDKL L199_002077 MPKDSSGAGVRVSWSPELTLKLLKFIKGEKYYRQVFFPRSSTLP KDRHLYARAAVMEFFEKVEWMRDAERRGLANWDVSEKEWRPTTAWGSHISNPINCRVN LLKQRMSDRYYKRQYQIADSSTSFDDIPSASKRATFRKLHPYYFVLKELCERGEKKCR AEALELRDMPKADSGKGQRRKRSPSILSDSSCSSSSSVGSDSNDTSTCFSNEDSGSSI QPTHTMKRFKTERCSSVPAAAVESGFGLIDESSPKPLKGILDNNTSPIPADTLRSKSE NQRTSSLCDTEDSESQSSASSSVIFLSSRPKALWSPEIHETTPSKQEKKPSKRVVPGS ITEGPKIGPSAGQKVELVSARSAHEDNQASPEDAKLITAWKEIRGRWIVDPKYLTSRV TIELYIGSNNPSTSIGYLGDIVDWLGRHPGYEAYLHSRARLELGVETLDRRLRNNPSS NHLLREYKIYIPQPYLDEPIVVKLVGCLRSAGAKVYHVLPAFQKCGLYKSFQLTSRLY PGQKLYIRAPLCTFWNFHECAHRIYEMCTAQYHAHGNLTSQEITQELQDFKTICTGPT FYDRIEDIPGEKDPQTRIHLDVDPKKPGDYSKSVVDGVTIMTPLYFIKWFNQLRERQR TEKRQQKRWSRLGLGNVNPKRT L199_002078 MGPKMAVRVGWPPELVLKLLQAISEDSVALQTYFPISSTQALGK LKQSKKFCALFFKNDNYMIEIARLGYAKRDLDEDDWNIEEWLKIDSKDTVYDKVVLLK RHFRSGKFKEDNGIRDEWRSFDDVPNRRKRDELLDKIPYYFLLKKLCNPDEDPSHSHP LILTQTPASTNTIRSKRRQRSLSVISISSLGSASSGSDAPSSPVRPPKSKRVRRTVPD SSPLMDDHMGDDSRRALTPLRSITQGLSDQLISHVQSQEENTDSDDDSQDSFTESESH DPPLHCPSPACSGENSTSDVEEVQPPSILAKPSKTRRSVIKNTVPDQTSEIPDGPGRG MQDAIDVDDQQPTVYPQELIEEEKPRVTEFVDELPSNASFQEILSFVTRNGAVDQDKL RETPMEILEKEMEVGKIILELLKPDVRAGIMEKCLTNGQKNRVLGRARMELGLEAIQR TIQTGLSQAPIEGYGFEFHSIPPGDYVATLLKPLIESAGGNVESEHYQIEETVDRLLK RSRRENRAPGNGMLVFVELSFGRNLKRNLNKLAKWNGFSVAYSEKYWIGEHQVASGSE RKHHLAVHVSMNPKPDRIAANGMTIKPMTPAGFCDYLEIQRSHAPKCKPFQRKSNPDR TRKQGKKRGKKVRPKSAKEWKGGATKQKNVVKRE L199_002079 MSRTTTTTEPFFESGIEDSSADKQSSVSVATDGNGAADPSLGDD GDGALSIPEIVAIAYLTSGATFTSLGSSGNTQRVKLTFPGCGTGLRARQTAPLEEDGS YGPPWHHALDFLASLAKDHRKDPPRLTDFRVDYCPGQSVESFLCRTTSNYFDYLHQVS EEWLDRKFHERKNKFDFNRKLVTSLEEYVRPFPPIERDSHEPMNNIQDRLLQFDIDGW FSRISEEFAGCTVVEPCVRWDEKEKAIVCTLSRTGNQISE L199_002080 MNSDTVTTKLTLPMSECHFVYQPNTSRKRSNPDAEMITKYRKIS SGSSKVTQEDSMYPPTKLIYLSLTRRPSLTDVSDDVDANPGVYLFKRGAFKFNMRLMY NPFAPSQARAGYLWKKSQTFIDALKQDSNRRTEERTAKQVADPRDLVVNILKENVELD QKHWYDPTLSWVTSKKSELGLTDEDFLLETVYTQTEYEEPEPITRIPDEYKPRSTQEL LEELKTFAGFTLADFHVPLTSSKAPVGSILWPVNRPYSFFATDSYPQEKAEY L199_002081 MVSTTSIFVSALAFTSSLVSSTPTLLTRDGQNTTSSSSGKVEFQ FPLSPKIMLISLFAPEDVWTESLGLTNNITLPGLSPLFPSIGCNDDASICHMTTGESE INAACSTSAMLLAEEFDLTSTYFLIGGIAGINPYMGTTGSVGFARYAVQVALGYEIDT RQIPSNWSTGYWLFGSNQPGEPATTIYGTEVYELNTNLRDKVMGYVGDVKLNDTQEAA EYRSKYDYSPANQPPQIFKGDVSTSDVYFAGNLLDEAFGNITSLWTNGTGQYALTAEE DNAIFEAMVRSHTAKKMDFSRVVLMRTASDFDRGYGGSDAVTAFQAEQYGFEIAIANI QIAYTPIIEGILNDWESTFSSGVAPQDEWLYNADIFHTLVQRKREVREFERMIKRSQI AKFRR L199_002082 MAYVAVAKALYEYDAQDPETELSFKEDQIFYIIEKEDDDWWKAK AKDDEAGTEGSVGLVPASYVEEIPPLNTTRAMFAYESTSPEELSMDDEAALHVYSVEE DWLLVKVEGGDEKLGFVPRNYCEPLDASAEVEVADAADTAAEVEAARQAEREKELAEK QRQLKLKDKVETWSISELDGKKKKKGTLGVGNAAVFFASDTDKSAPVKQYPITDLTSV SQPSSKTLDLSFATLGQPLQFHCGSSDTTKAILAKLENSKAAAGEALELINEARTGGY SSAEEETLPPPTRNVPPSAAVAAEPRGVRFAPEPAPAAAPTSSAGGETATVQYDFDAD GDDELTVKDGEIVTVVDKENDEWWSVRNSRGKEGVVPAAYVQLNDGSAPQAAADEPDS EDEREREAEAAAALEAERQRQARAAAEERRRIQAAAEARREQEEEDRRLAEAIEEEQR EKAARKALKRQEEDRRRREAEAEAAREKARSGGLQPPKITKRPSNNDVAAAASRLPSR SQAAPARPPENNRPKPNPNRIRTWSDKSGQFNVEAEYLGLNGNKIRLHKLNGVIIEVP VEKMSSRDVELIRRHEAKKRAATLDDDDVPLGQSSRRNGTPVRSTESARRAMPAEEPI PPEAMAMPPPRKPRFDWFEFFLSSGCDMDDCTRYASNFERDRIDESILPELESSTLRT LGLKEGDVIRVRKAIQNRFAKKTPEQQAQIDQDAEYAKQLQEFENSGRKGTAPQPPPG LFTGPGGKLSNNTRRGRPEKKSTGPETVDASAIAAASDQLSKATISSPPAAPTPPPMT VSPPPVIEEKKAPAIAGFDDDAWTIKPTSKPASPAPPPPPAPPAPPIFQTSPAPAAPA NNTDSLLAQINALRPASTGLSQNNTGGSGSFDRVSQMVGQPRAPSAPAQNYGLGVQNT GQPMNQLAGFQQQQSPQNTGPRGPLAPIPANAGLLNPMQPQVTGMFVPTRGLSPMTAQ QTGFPQQQQQMMPQPTGYQAGFQQGYGGQPQQMAMQPNFTGYPGVMQQPQPQSSFNAI ANMPPPQPQQTEQNKFAPSNIFAAMKQSDFGKPEEQQPQNANKYDALRPLTTGYNGAP SQMMPQQTGFGGMMPQQTGFGMMPNMTGFNPNMMGNGYMGQQGQGGQQNPYGYR L199_002083 MSRPNAPASRPGASKPSSNPGPTPAANIPRRNPGTLPGLARTAS SLRQGSPLTPSSSTLASLPAHLRNLSAPKIPSPLGRGTPARGVRQSLPSRTSKTTERH VLLPEDPQLAPLPKSPMGSQVNLLSSSAPPRAAPSTSTRFTSIGTGDERSDAEKMTKR EREENKLPRLTAYATAEGYRLKLLQAFLKREHGVGVVRVFDDCVYAVYNLPLLPGYGA STKVRSSPAVKSPGGVSLLERMTMAEDLGYNDSYFPREDPSEATPAEYILSATPPSPS PIAHGDLLNTLEHDGGEHLQSEVLGLSSREQEEIAIAQNTLEGELRSEGLDLSSEEIP IPTSEDEQRNLGTHEDNKHPGHLEHIPSQALEPSDLPSPSEHPSPTAESSYSHHNQQQ HEEHRVVSPESEFSPQPQPVKRPRRKSHTTQNVAEAVFFSYGVSVFFGFSELEEREIM EDCETASTWIRGLGEDDWEIEEFHYVYDSDAEQPRIYNDMFTFKSHSHLFKLSLAHAI AQSNKLSIYESVMQETLSLTASFPKELSTTGHLQLTRREALKMTGRLFKLRMDVNLIG GILDTPELFWSEASLFPLYEAIHEYLEIGPRIQVLNDRLAVAGDLLEIIHEYIEERAT HRITWVIIWLIVVACFVEAGEVIARLVFHAIPRETGEFLLYKAPKMIMAGASASGQTP I L199_002084 MPSDRAVRITHSVLFGLTLLVSIIALIISATLVAHYNNEGYPPV HTGAYTARIRILLVASVWTTFFGIILTVGFQLLGTHLIFGILSHLIPVAIGFILYLIG SASLTGLTAKIDCGKSGDSFSRCGVVKGLVVISWIDTIILLLTLIFIIVLAFVARGRY GVHKSTLYAD L199_002085 MPISPSTLLHVLSLAAVSLSPVPAQAQLANTFKYVGLSGVSAQQ LFLGTMNKVYIVDKTENNNATVNGHPAWATEYDLTTNTFRTMDVLSNSFCAGGTVLGN GTWLNVGGNQAITYGGVAMPTAQQSGQSPYKDWDGGKAIRLIDPCDDESCEWVDDPTM YMTSRRWYPTLETLEDGSAMIMGGCEWGGYVNYADNQNNPTVEYFPSKGQPFTLNFLL KTMPVNLFPLIWLLPSGNMLVQAEFQAEIFDYKNNIEYPINDIPDCVRVYPASAGTAV FPMTPENNWTATIIFCGGTFLEADQWTTTWAINTYPANQSCVKISPDVDLTWYHEDPL DTGRSMGNFINLPDGRLFYVNGAHLGTAGYGTEDWTIGQSYADQPLYQSWYFDPKAAS GSKWSKAAVSPIPRMYHSVASLLPDGSVIVSGSNPNADYVSKELNTTYTYFTQYQVEI FYPDYWDKPKPNPTGMPSQITYGGDPFDIHLTLSDLANNTMNINATRAVIIRTGFSTH TMNMGQRHVELETSFTTQDDGGAVLHVAQMEPNPAILVPGPALFFIVVNGVPSNASWI TVGDGTIGQQTIKAKSVLPRSQISAQLMAQYGGSYSRSGSYVVAGQSGCRLRSVLDIG QMIGLAVMGLGLIRFLT L199_002086 MTRGKKREREDDAEGVRRDDKTKIRMKSSKKQKVSHQIDFTINY PYSYNVENDHPRITYPTSFERNTNPAHHSDHHQNFPTIHHIPSVPSDTEQCAVMLVHI PKASSYPTSTTTFSSNPQPFNSSTTGRVGRKIELKRSSSSEEEGGDKKNLYVIPELQD EDDLPEVAMRSKDLLYHVSGEPGPGPVFSTPIKLPKFSNLAINSPSSSSSAESRLDTS DQTSRNGSSSDSSASCQMIITPQTRGNSTDAIAFEHHTDREASPEQDLCMGNSMFSPS TSLLQSAQSKETVSMLP L199_002087 MAPHNIPIFDAEVLLKCQNTLGEGVVWDSKTQLLHWVDIFASTL HSYNPSTGAHSIDKYPSKCLTYITPRTSNKGFLGTFEGSLMELPEPTTPTEVTPKPTI NQKQPTKVISEPLNEGLVKDETIRFNDGGVDPKGRVFVGSMGQDETAEVYPGELWRYD LDGTKTKILEQVGVSNGLGFSPDGKLMYYIDSRKDQIDVFDYDLSTGTPSNRRVFASS PPALDDEHPTEGVYDGLCLDGVGNVWVARWRNGRVVGINPQGEIIAMIRVDGSNGATI PCFGGPNLETMYITTASSSQGGPGDSAKYPNSGDLYSIDFGPDSPIRKVLGEGWKGAE RYRAGI L199_002088 MSSLNPSIYNLPSLPLPTNSHPTSTLDEQQETESLISSLFALLP PPTSDTDGQTTSLRKQEHVQFLASTFFKLPGKFVSLDASRPWLVFWTVHSLDLLGVGL DQGTKDRVVSTLLKFLSPSGGFAGGPSNSQLPHLLPTYASVCSLAISGHSGPGGGWEE LKDARQGIYDFFMDCKKADGGFVVCKAGEVDVRGTYCLLVVATLLDILTPELLHNVDK FISACQTYEGGFACSQFAFSSPSSDEDNLFVRASMAEAHGGYTSCSLNSYFLLSSIPL SAPFPANIDAEAALRWSALQQGEAIEGGGFRGRTNKLVDGCYSWWVGGGIPVCEELMK REKQVRSQGKKSKIEVVDEGDQEEDWVDEIPTPPLFNRVALQEFTLIAAQQDAGGSGG LRDKPGKRPDQYHTCNNLSGLSIAQHSMKHSSSVVSANRTKFDSSKGLPPIIPRSADG GWTSEEERQNARREVWANALGWVEDGSQESVVGGKGNRINTTTPVFNILGLRLKPFIN YFYGQEE L199_002089 MFDQLIAQLPASLVDTFRTILSKLTMDSSKPLLPFIPKPIKALL LLLFILHSPSWPFTWHIRVWYWGIKAYYLAYRKGRSKYLKDWKAQSNKLGGIKDLRTR IHRIAWLDDCDYNLHLSNSAYAKNSDALKMKWCIETLSPMFTPGAHMALGATHYNFFK EIPLGAEYVMEARCGGWDEKWFYVVIEFILYPKTSSKSSSRTQQATNAASKSISTVAE KASETISSTSSSSTGPLVPSISDPPTRSTSPIPPTNGSSSTTAATASKVEEIKRSWAV KRGQRADGGVVCCMTISEYCFKMGRVTIPPRIALWMSLQSPSTAEQDRARKVVMSKDG GRAFLRGGWKNEPDANTLGSDIGLSQGDDEKDSWVVKGRQGMESVVEGMSAF L199_002090 MVSSGTFETDFPIVAGHGGVGEVVDMGEEARKDGWKVGERVGAL LNSGGCGNCGECRYYSKRYCSEASMLGLKGENGYEQAAPLTCAGVTIYSAIQKLDLAP GELLLVSGLGGLGSLGVQIAKAKGLKVIGADVKQHAHDYLLSLPQKFQLDLLLNPKYD KDQDLSLEMGALRNEGYDSFDGVDAAIICTDSPSAILMPYLYSDPTRIIGTLNGTRDQ LKETTELCAREGIKSNILIERWDEGKGVERIMSGHGRGKGVVKM L199_002091 MNTILASLPSTLPGSLQALVAALKSNVMSSLFSSIPKPIKYLII ATFILHSPSWPFTWHFRIISSALKLKLKEIRMGRLNYINDWKRELDRVGGMKNLRYRY ERLAWFDDCDYRLHLSNSAYAKNCDPAELYFGMNMFAPALTTGCFLALGARHFNYFKE IPVGAKYVIETRCGGWDEKWLYMVSEFIIYPKKRSTKGRSKPISNGTTPISGTSTPTV NGNGGNIAKSKLEEIKKSWVSRRTHRDDGGVVCCLSVSEVCIKLGRITIPPRIAFWLT LQHPSKTEQDRAKAILMSKDNGVKFLKGGWRDEPNASTLGNDIYFEDSEDNWVKEGNE NMEMVVRGLSGF L199_002092 MLSTLILLPTSLLIFLIATIHYLASHSHSTQSDPTEKVWNVERT GLMISLYTSTLNSLPRSLLNKLNIKLARRLKVVYNAGIGFGLLGMTIALGGSCWATMS VWKSVMEEVNLHTLEKVQHGSAGNIVKRAYTENGGADVVMDGSWDFAGGLQPLIPGIT MPWTHLPTLALALVVNQLIHELGHAISAALDDIQPSRFSFSLYAGIPSMMVSFPSNID TLDPNAKMRLATSGPFHNLLTWFFFWLLTFGGVGKLFWYDRSNEGMVVKDVHWNSPLY PHLTPGLVLTHLDDIPLSVTLSSPDPWSEYLSSDKVGDEGRGWCMDKTTFLSQPEPSS PPSSEGEKGKMECRDNSTASERITFQSTYGPTKGSYRCLNPLPILNIKSTKCPCPDSR WVCIRPDPSEKVLRIGTKDGILLFTGPREEVFRDVRVRKEDARGWKGGVRWGELFLSY ASTLSLSLFLFNLLPLPLTDGSQLLESLLEWRSTVGPTNPMTPLKATINNNNDPSHPD GNDGGGPSMKLYREYEMDSDDEEQYIGIESELEPIDKPRRGRWKEVGWKRWVRRGIQW FSFSMVGLWGIGWAIVFLLRSS L199_002093 MSESVHSEQQEKTDDRPELSHLASWSVSSHKYGFGVDNLRDGND TTFWQSEGPQPHLIDLSFPKRVHISAIAIHMSHPRDDSYTPSKISIRAGTGLHDLQEV RHMEFNKPDGWIPIVLRPMEVTDEGEETEGPPIPCHHLRIIILANHLNGKDTHVRGLR VFGSPVQPQNPSAIPLSPLSETSSTTQGSGLKKTSGKQLLELGHDGLSGFTSTQFKMH EFIR L199_002095 MTRTRIHILGVGSIGTLVAHHLRLSSPSLPISLLVRSPNTFPAT LSSIRNSVKTISTGYDIESSISTSPPSLDKPIKSLLIATKTTQTFSALEPLIPRLSEN SVITLLQNGMGVYQEMISSFFQDEFKRPHFILGTTPHAVSPSDRRGQIYHHSAIGDGF IKWGLVRDPSKDIEDVTVESWIWSQRIEDDAVSSRDIEQLEISNGRDDLVHLKDTMAS LLDMKDLNSQLLPYEDLNHALLLKLVVNAAVNPLTAILGRGQMTNGKLKEIPYGINIV DQIVKESSDILLKYLNLESADPMYLEMFGYENLKKIVYDTIEATRLNTTSMAVDVREK RKTEIDYINGYLVKMGEELGCKTDINRMICDMIKFIEEEQKFST L199_002096 MSIHFAPQWVKPIKPSGTFLTPTTEQPASLKTNSSHSSTAPNVS FPALSQSQRGAPGGVTSPSSGQAPNNTQPLSYSRVTHTPLSPNFPSDASYFPYQEPNG NVNGVNGDNATSHPFRYGRDQILNLFDENKFKERPIELVEMAEGGGVLVSKSVNRPIG LRDLTEIEKKLLATSIHPPPPTRRQNTHGNASNVNTNTAEPPTPNGLPSRRAGGFARG EGGAFGGGLGGKIGTIGGGLISPGGLESKAPGGLGGGFGGVGKRLGRVRGDAAEIGTD GARSTGPNWRPARTASGSFEGVLGFGSTGPSALTSGNPLSPNPNADVSERWGTGQKKW RIAAGLSAPGDGDKTLEVPIANEPSSVSVIATPSATPVPERDATVLESVTAPANPHVH SQEGAQQQQAEEKQDLGAVEWFYRDPNGQEQGPFTGTQMHDWYSHSYFTDDLPLRRAS ETSFRPLAELKVATGNAVQPFLSPIRPRQLPPNLPIPVAALQQQVANGLPESFRNLNV SSPVAADPRVSPQPPIQSNPQQFNQAFLPERAPYSPGGYGQGFNGQLGSPAAFGPSPG QGWGMAPGAGPGGPRLNGPFGSIGMPSPIGSTPLPFMQPQHQQQFFSPQIGSPVRSGD LFSPSAGVGAIPPSPWGMAPQPQPQPQHSPAYAPQMPQQHQQAPPVPVWSNEQQQHHQ QTVQPEEQANAEIEQAVAEALSPVAPQDQVFEPVVSEITQAAVANDTPVTEQTGPAAT EVEQFAETPQREASPETEAPTPAKAPASVWGQPSSKAPSRKASITSPAPSTPSSAAPT TPATAAAPSISKLPPAPASLPAKPAAPVKQASTSDSVSAPKTVAPATPGPTTGTSAGE KPLVSVKPAPWAIKDEKDVKALSSPSLREIQEAETKHAEARRAALAEARAATSSPAPT PSLSTEDFPTSMAWGLPSSKPSAPTPAPSTPSAPVWGGNEAAPKKTLKQIQEEEEKRK AKAAQAARASQGAPGLPSAAGAVASQKRGYADLAANAAPTPVPAGWTTVGASGKPSAT TPTAARVVTTPASKPATPVKPPTSVPSAAAVVGAGTPKKVNGTASAAAEDPSAPSVEF IRWVKGALNGFKGDVDDFINNVLLQFPIDIPQSTRAETLEIISDGVYANSSTLDGRRF AQEFYTKRKADSQRKSTNATSKITSLADVVKTQAPKVKGDDFGFKVVKGKGKKKN L199_002097 MPPDSQESQALIFWRPKYLFFPPVQEPPPPTRVFRHIDLAEERE DLRREYERRRPFFGPDTPPSHTPNHEIIFPQLFLNDTFLRLIRNSPRQLPIIKFTPQI NSDYDTTPNKIHSDHSDATRQTEAYIEEIHEDNEEAESSLESIDETSTFPFATEQIED VVKKPTKITLKRKSQDMHEEDDVFSSNYQFRSTNLSSKKAKSDDDASKEQWRVGRRPG FQGSFVAPKRTSSGEDENSTASGNNSGKKRILSQNITASAEKVLKKPFKPPTRVSPSF KSGSKLQLRSRDLVEVSSPFTSPTETPDERIITPSTPQNKFPFPKIDPFFPDFPTPPS SSSSRSRSVPSRKVKPVKPFKTPIRTNRSSAPSPSSTNTPSRPERNAIYPTSKPTQST NEMSNRQSKNDELKDLQNEIMISKQAIKYLREDDNARLRELIDIWKNAGREVVEKLFG IVPEPVQSNNSTNINYHDSNHPVSSSYWNSSTSTSSMSMAIGEDQMEFIRNARRNDNG DIIDDEGNVLMIGEDEGDIDHFWNHLGINHNYSSSDTRNRSNRYQYNYDNYDLNHTKY DNEDQSSPYENQSQVEAQSNEQGWNYASLMKIFGVDPDLFGWDPVNEDWKEHDEE L199_002098 MDSVKIPTENVPPGLKQCEQILKRANELKKVEPVVAYWCCFSAA QKALAVKQRTKEDTLFLMSVIDALEQMKAILANNEAITSEAAGAALVEAFALKVFMSA DNDDRAGITGKATIRKFVVAGQFIEVLKCFEAGMTDEMEQKLQYARWKAADGAKALRE GRTPASGPPIPESENDMNPFPTIPTDDPADHSRSQTSPPVQGRGSFSSQTRPQVPSNS SNTSTPIISPRPSPSPGVRPTLPGIRTSQEDLRTSTRNHSTGSGAWSTVATPGLPEDE GELHFPTNRPDVILPSAPPMTPPEKGSPGDRKNVRFMGPDGAPLSPASTHITVSSYDA PPPPPPTDLASPPGSPKATPPKVVLQPPPQGRPRGDSSASSSSRSGGGGSNHTVSHAP HVNGRPRENLNSTNVPIGQNQSTSSHNSKPAPSSSSVTVPPPPPPSLASYPMHPPSQP QPHGLGLSSPQPQAQPQGYGPTRTNLSRREVETVQKHAKWAVSAMEFDDYETARNELR KALNMLGG L199_002099 MSSRTTTISLSEPRFHFTVKGYDPDANDTVTSLVDNNRSEEATA TSDGHPNPEARQGDEPCDVPVMLLYSYKHVHPYLEEVTELSTSTHGVYSYWPGHTRVG FRPIYNPGLTEKSRPGPWYKAAEEFTQKLIDELASGESIPSPPPQGIKANPGDPIPEI LESVNQADISSMNQAVRTWFLNKRSKAGLDDMLTYKIVDKLEDYEKPEPSMTIERFRP KNTKNFKRYCRRFEGYTLGDFEDPIESDFRSENWRWPVVKPASSHDQYQLALKRDIDG IFAKMQKTMISLITGTSQDSDSSSVYSSGS L199_002100 MGTVDFTQYVPPYMANSTTHRRLQSRTETQTDRSRIPVMLLFHY NSCFPRLEDVSVDNAQSPRAFLLEDVSNQWAFRLAYHSGDGDNVDDYLWQRALALNSH LNTVFTSGDIPQPNKTGMSRPTDGTSIFNMLENFVVSRHESCSQAITHWFKLHRRSVG MTKHDVRFKVVHDRSEFESAPPSLSSEEDVFPEYEQEYQDYMRSLEGYTFCDLKGEPL PSTVSKGWIWPVNAPSQKADVGSWYSWCSILPFTQ L199_002101 MSIGSSSPSNLGNSSPLPLDAPSTSTSTNTNSVANLDLSLSTSI TYLVQFLIQPLSSHYPHHVLLSLRDELTERLNHLFTSTWDPSRPQFGSGYRSLICSKH LGLPKPLCEAAKSVGVERETWKRAIAGIKSGDRGEEWQCWCDPGQVTWRWGGWEWEDV GYEPGKVIKEPFQIIWQSSSSLSPSISTSTPNAPTHTPARASHAIPIRAPIAVGPPTP GPGPSAPAVYAIPPTPSQHSAHTVNDNDNLLPAFSTLGLGHPSELGGGARNHTPSGWT SSTGTQSRETSYGDRSIDSYQTDSDNEEDHENDRSKAPSRGSHRGSESTSSITSSSSM SDSNSGHTQLLTPSSRPNSADPFLIPSLSLREKEKERSRKITPPSQTLTRGRTPSPNS TASLKENITPNTDTTLTPSTPTVTPYDGGNVTVLGGGVKLGGASRPGSVISSHRSPID RSRSPSISLASRALGGATSTEGGGGSTRKQRTRRRIMPTYLGHLGQPGVGGPIMGAFT MPQSFTPSKTTGTGTNGGSVGVGVSPPPVNVGGGRSVSLPTTMPRMG L199_002102 MTQSLPSFLILVATLLDSLPPSLPVSDTLLLQLHAIFGPMLLSA LQLVDKREVVRVSLPSDRYVYQVASSSGKNYTIHLNPPPPTTNLIPDIPSPQPTATPL KEEPDLLPAASMGESIPRTPSPPGSQIHTFNPLLSSPAQQPLTPSPASPYVEEEDLES TSKHMTSQKDMLRKERITRLANDLKSMYCPCAGWSYGCLAGEKTVLCKHLLAIIIASK TGREVRADVDLRGAAGLLGLS L199_002103 MNRIFGSNKAKPKPSLNDAIQSTDTRMGSVEVKIKKLDAELGVF KGQMAKMREGPGKAAVQQRALRVLKQKRMYENQLLQLQQQSYNMEQAAMTTENLKNTM ATVDAMKVANKEMKKQYKGIDIDKIESIHYDMEDLIEQANDIQESLGRSYGVPDEVDE ADLQAELDALGLDDEPIGENETPSYLQDSTALPDFVDSAPIEELGQTNTPTAEVAR L199_002104 MRFSSLLFALPLLGSVFAAPAPATFAETGLTLEKEMKFFSHPFD GYTLVALNHAQVLLSLIHSFPTTNPTPTPIPSQPNNTGTDEPKAEADLDLANLISNIR ARYKLLCSSLNVKPRLQVAQIVEVDPRSGAGASGVEAGSDGPAKGVDTTQLRF L199_002105 MRLAPLLCALPLIGSVFAAPTPIKENTIATRDVDVLAVVTQLEA DVLAAGSLSDLSVEADVTACLQIVVGAFNKCGDALGIAIGLDVEADVEIAAIAKRQEV TQEVAEVLARVVVQVNTLVGSISSDVASISVVAALLAQIDSALCLILKGVESILAGVL TLVAGILVDLKCILSPLLGGVLGLLDALVGGLLSGLLGTVGGLVGGLLGGQ L199_002106 MSDREDIPNTTTPDGGLVTTGPATDAQIPPGTTTALTENTSTNP TNSAFASSTDSETLMSTAVGEEASETTTTLTPSQSHISSSLIESNPDLLQSTSTGPTE PSTLDEPTTSSISSSISSLASNIASSQTTNTFRTTEHSSSAVTTDRSSTALTSSSSST TISTSESHGVPTLTAMTTSIPPVPPPVSLFTSSSTIPSEQTSFSSTPFTESTYESSAP ATSGQHDTLEPSQLALANLQVDSTSGQTSSSTSSSQVISIASTTPIGSNAANLEPTAT DSLPAEEGSATSDGTSVTPGADSAHSSSNPDTKDGGGGKLSSVAIVGIVGGILVGLIL LYLAWYQWRKKKAREALLDVSDDPMDEKFSPTMHPSRITRSSFGAADPITPYIYRQKG NRPGEVTDDDDDDWYDPNAIDQFVSYEYDNNAGSRQTQYMVTSSGPHACNNQNPFEDN LFYPSKTALPTSDGTTNYTYDTEAEMEMTASLAEDMSPELLRREASQRSHDDARIENP FVPPITNGKLGRNETVRTVRTIPAVPSDVDGMSIYESYTTIRQSTRPHSGVSEYTEPS TSNLLPWINKSNNPAHARDAQPVPPVPTKMNIDMIPNMTNLEQEIRQPPRAMMNQIPS KEVPKGYGGELAEIPIPSFR L199_002107 MTVKAEQDLYLDPSIRDWVLIPITLIMVLVGVLRHYVTLLLNSP PKKQPAAAVREQRALGRAALLRATAPLSPLPPTQYRSFSTSFAAALSSGEYLKPTPKK EGDDATPANPFDNGQMDTMMEGMKKQGVMMVPNMVIMQYINVFFSGFVLMRLPFPLTA GFKSLLSRDIPMPDLDVRWVSALSWYFLNLFGLNGVFRLILGSNNSAVDARDPSAMSS LSGAGAGMMGGPGQPDMSKLFKSEVENLALAEGMYKWVGEGIEDRILKSFNKL L199_002108 MSFADKKPAVPTTAPHPWPSPSDWPASKVRQTYIDYFVNQPGFE HTFWPSSGVIPFDDDTLLFANAGMNQYKPLFLGTADPKSDLSKLIRAVNSQKCIRAGG KHNDLDDVGKDTYHHTFFEMLGNWSFGNYFKIGALTMAWDLLTRVYGLPKDRLYVTYF EGDAKQGLEPDTEAQQIWRDLGVAESHILPGNAKDNFWEMGATGPCGPCSEIHFDRIG GREVPELVNADDPNVLEIWNNVFIQYNREQSGELRSLPAKHVDTGMGFERLVSVLHNV SSNYDTDVFTPIFAEIQKLTGGRPYQGKLGEEDVDGIDTAYRVIADHIRTLTIAISDG GVPDKDGRGYVLRRILRRGVRYASNKFNVKIGNFFSSLVPVVVDSLSGIFPEVTKKIP ELVEILNEEEASFARTLTRGEALFNKYASAALEEKRDVLGGKDIWRLYDTYGFPVDLT QIMAEERGLKIDQEAFEKARLESLEASKAGGKDKGVAGTVKLDVHDLGALEANDQVPK TDDSFKYQLDDIKATVKSIYHSSKFYSSTSELPLNAPFGVLLDKTNFYAESGGQEYDT GVLAIDGEAEFKVEDVQVYNGYVLHIGQMEEGEIKVGDEVICTYDELRRWPIRNNHTG THILNFGLREVLGDHIDQKGSLVAPTKLRFDFSHGKSITTPELVKIEAICNEWIKKAA PVYAKEMPLAEAYKIPGLRAVFGEAYPDPVRVVSLGYPLEEIAQNIESSKWRGTSIEF CGGTHVAKTDDIKDFVIVEESSIAKGIRRIVAVTGHEAHEVSRKAAEFERRLNRIAEL QGKEKEVAMKPYLVELGQSGISLIKKASLKSTFEKMQSELVAAAKAKTAADSKVIQDT IKTFFKENPNDNVYVGEFEVAGGNAKTLSAAVTAGKSLSKAIYVFSTDQETGKVAHTN YLPKEVLDKKVIDGKTWLGEVSKIVGGKGGGKDESATGVGSEPTKAKEAMVVAKNFYL SKVEA L199_002109 MTSGKKKYDPPRHQWTEKQTYHLLETISSSQTYLDTFFPPQSFK STSTKYELEKELCLLILDDTKWMEWMIQHSKIRRNGDRLEVLEGWEKTGNPVRSRLDL IHKDARDARRLLGKATSPDDLKHNSQERLIWNDYRNSGRYTWYFLYVQAQLVHNPNWI NSPQTTSGSRSGDSSTPGSEASSSSTPLRSISTNHPNDTPSRRTVGGDTPEGRSSAHS QHNISSLSRPTLASTSVSTPVRAPARPVVPSSSRSATTATKRTFPCHSIPRATPSSFS RSTPSSLDSRLTKRPRTSPPTRPSASRTTPLIQRVGNLAPDVISISSDSDSDSNSEPN DSSFDDEDEDDSTDLVEIQVTTTIRPDPSSNILFIPFPTNGHNLHTPSRTILDQAQNL EAIRNLSRPIMTPRNHDHSSDDSDSDREDEDETMEKSLRPSTPPFPPPPPSSTASTLF SHIGDNQNRHTLSGAIPEHFMADMFYDPTIRSYRLEALHRNLGKSPPPVQSAVLSIVA ASAGPVEDDGVLRLHAVEDQDEEEEIRYGLVFSPLIITDGTLPDFPIDLDLGSPKIGE RDKPIEISDSEEESDEEQEQVVIVQQKTNTQSSGSILGIDIRQTAYNHPRGGEFEELV DEHEDMDMDMDTDMDIQDDREFDDLNSECDAGARDELEDMDLCSDIEIEQRIDDSWEM VDNPGSCNSPNSSPPSTTIASTSTQPMDPVNMSSPSSRSLVHPQPDSAQDTPRTMDSF GPIIRILLLELKHTKSRALEDIEVDFRCRRSPSIKSLEDIVEIMGGKVVDIDTKQGEG KMTYMVVDSGVPDEGVPKGRRVTVGELLGVIADLRERELNGVP L199_002110 MPPNPKIDQQPTAEHRWTENQVCIRLHKIHLNPQLHQLFFLKHD DNSPSSIRMEQQLSLEVLRDTKWMEWMIKHQRVLKDSRTGGLVVRDQWPRGLRVVSRL FDELHPLAEKIKCCLGKVRSKQLKKDPLVHRLWKKWQDESRHTWNFKYVAIKTTLNPK WIYTKSSFSNSIGIGQDIQSGLIIQNDPGQSTTNHTHPSVNGNHNYGSISDPHSTVQL TRSKHRLDFDKILARVEGRPPFSATSPTHSNTLRPPQVISIGKDELQDGATCMSSPSE SPSITNRDISEVLTTESLSGYYNSMNDISLDPPLELAGNRSSATLIMNSDPDSTLVHS HLQTLSAKSQRYHIPKKEKEEGSERDQRLISALLIFIALEPEYLHSRCMSKLQVIYEG TLNSREEAIIRIVQQMGGQTREAREGDIVITVDEDRLKQRYRLVSGDHPTNQEKNRQS DQDHVAITLVGLVELIGECRKKELEERTMDLLTF L199_002111 MGPQICTLLTRIAHDETYQQLFFIKHNEHTWKMVLQEQKLALDL LLHTDWMKHMIKHKRVVKTPEGELKTTAEWPKKMRVISRLFDVIHPLADDVKVLPGSS STSAELKKQGYRLWCDWQKSNQYTWYFPYVAVKNRLYPRWLKNKPLTTSITQVELPKI SIDTSASTQSQASGGSIIKTSTSTITTPQPPPSPPPSRTTSPFLRSPSRSQHDHLSPY FTGQSEKQSQREVPPHLQKVKPKLAESLHEFIGNLKLDDIPIEPPPEGWDNGDLYPTP ATTPIPISGDDGLSRQDKVRIDLGYGMEVGYGDNEELVSAMMMLMIQELNEKQSNCMD KVTIAYEPPLNLFERAVLCLVIKMGGRLIPLGDREKMQEFYRRIVGLEDKSAYILWKD TSNFEMMLDVAEASRDILPIPISLVGVVQMMVGNRNREMERGMVDLITF L199_002112 MKNLSGLPAGTGPCSGSLPFHMWTEAQTCIVLDKIASNKAYFRS FILQSGEKKNFQKNKHEKQICLEVLRDTKWMEWMIRHDWIIREGNGHLTAKDVWHANH MAITILFKSILQPSAETIKSVLGPISSESDIRMGSRSHQIWTRWQESGKNPWYFKYVA LQLRRDSSWLRKSNTSKNPHNTNKPNDRVLSFNDPAGFDSDDEGTYRPLSSVTSGRPA IIAKNKRKTQQDWPGRRVSDRITWSEYAANANQEKDIPPHLRQVTSSTITTPSSSNLI EVNSKGSDSDSESTQVSNPRPIIIIDDQFDQTMLVHTPPHVPPLDQSMIIAPVVDIAR NIPLPPSPMLSATSLVETKEDRSYVDLVKVLCRILKLELDKKPSHCMDKTEIIISKHY YELYPYIPQLVTSLGGGIFIDSKSPKTLTCGVQRYAIDRDRSLGRYGSSKSNTLIDDG SPRLDFSGLFNLIRDLKEKELSPGADDNDSGDLLTF L199_002113 MAVPPSPTFCRGYILAHQHPSYKPETNPESPAIDPYAVIPCPHD VKVPQPVSKRCQESTGARACWRAKYCEMHWCGGCKKVNGVGRVKPAESKEEVKVQG L199_002114 MGMITFLPGNTQISLISTSLTCYTFYLLRTTSPAHVPYQFMLNF INVVSVSAYVPLILVKVFERFETFVRSQVSHSDSYSKKKGQRESLWRRWINNSEFLLC LTLLQFALTFGFIALSILSLCTHHPPPFPSSYSQSSAQSPDQPSRTYTLPIHRPSSSP TDLIGLLPLLLSFLHLIILSIQLLSFTTIIVEIRSSVRYSFVDRSLSGLLERDITDLI NLKNECDHRYPSKKWVDNAFTDGRSSSTFGLYSSPSRSNNDNGGEDGTPRRRSISTTS TVLGTPLSVPLYRDHPVDEGDIRFTDPFNFTNRSSSRGGNGNGNRRLSVRFDLTRHLN DNQTLITPKNGYDEESQRNDYFSLPYSSSFSGLVKEKEIIRPIPLSIIKSPKNTTALG LGFSPSSSNGSETLEDILEEGPDEVEQGQGERRDSWMDIKGEQSV L199_002115 MSFSRSSAQSLKQALRATAPKAAGRQVAKRSYSLLAREAPKAMM ASRLGATRGVKTLDFAGTKEVVYERADWPLDKLQDYFKNDTLAMIGYGSQGHGQSLNA RDQGLKVIVGVRKGGESWKQAQEDGWVPGETLFDIPEAIEKGTIIMNLLSDAAQSSTW PEIAPLITKGKTLYFAHGFSVVYKDDTHVVPPKDVDVILVAPKGSGRTVRTLFLEGRG INSSIAVYQDVTGQAKEKAVALGIAVGSGYLYETTFEKEVYSDLYGERGVLMGGIQGM FLAQYEVLRKNGHSPSEAFNETVEEATQSLFPLIGKYGMDYMYNACSTTARRGALDWA PKFKEANLPVFEALYNSVRDGSETRRSLEFNSRKTYRQDLQKELDEIDNQEIWRAGKT VRNLRPDANKDEL L199_002116 MSSDIPKKMKALRVLQAPCKYYSLEIVPVPRAEGSKVLLKIGAA GLCHTDLMVLEGSFGSNLPLVGSHEPAGTVVALGEEAEKIGKVKVGDRVAALLPKDVC GRCSDCKFGDWKYCGFSKYGGINTDGYFSEYALVEAKHCVVIPDSMSFEQAAPLTCAG VTIYAAIKKANLKPGEIIAISGLGALGSLGVQMAKAMGLKVVGVDARPEPLDLARSFA LSSDLVVDGGKTSPEEAVREIIKLRPEGYEGWDGVDATILTADPPSSHRFALSLTRRH GQVILVAQPPELHFDFKNFIFQDLTLSGSLHGNEVDLKETIDLCAKYGIESHIEKFSV DDHKLMIDQNQKEDRKGKIILTFQ L199_002117 MLLSTLLSTLCLPLVLGFSFSLQNTNPTQCSTANITWEGGQSPF SLTIIPAFDYPSTLSIPDSNYDSSSGKGSYSWTVNYPKDTQFVVMMSDGSGTGTGGVS PLYKVGSGSTSCNSRSDETDFLFYLNETSLTQCDPVSIYWDNSAVTPVSILGAIPGGQ VFQLVSVNDKTNSLVWNTNIASNTQIIIAAFDSGTHGQGGSSALLTIGSSSDSSCIND QSPSSTTAGSPTATGTTTGNAGGVKTVTAITTQTSLPKGAAGLSTGALVGIVVSAVLV VIALQGALLWFCCRRQIRSLIYHRREMKGSEVKPGGEVDLGLASHHSNSYEDYRDDHG NDPYAAWGAPTVKSRYSRSRDDDGYSNSVSPFIDDNITLPPLSLSSQGNGHGRHDSFA LSIGQSIPELDLTPSPSLSTNNGFAFGNSPSSPLINQYPSSSSRPGMTKAQMAASLSA SNPDRNENQFDIGSRLPPQEAPSGGFVRHEDAGSIRAPPEAEQPEVEDLPPLYRPEWE TESQRGRGNNNDR L199_002119 MNPTSPPSARGMLHDIPTESDDEQYDGLLAENEVIEGDFTFRAV SVGLGVGVILCMTNIYFGLQTGWVSMMSLQSALLGFALFKLLPHLPSLFPASRPLTPQ ENVVLQTTAVATGTMPLAAGLVGIIPALEMMTVKEDGREPIKLGYTSLVAWCLAVAFF GVFLAAPLRRQVIVKEKLVFPSGTATAQLISLLHRIPPPSQTLKPSAGAYRRLPRTSR SVSPPGYASRDDADEEPHNAAEKDSEVMRGSGWWALGLSFFASGSLTVLSFLFPIIFA IPIFDIFSLPFETSLAAGWMWWFTPSLSYVGQGIIMGFPVTVSMNIGMLVGWAILSPL SKHLGWAPGPVGSTTEGARGWILWVALAIMIAESIISLLPITISSTSTLIRHYKQRSG GPRIFQASSSPRTSNVTEDDYYDPLDEHEEDDPENEPPERLVPMSWVKIGLVSSAILG VGLVWAVFGKDGIHPWATALGLVLASMLSLIGVRALGETDLNPVSGIGKISQLIFAVL QPGNVVANIIAGGVAEAGAQQAGDLMQDLKTGHLLRASPRSQFYGQMIGSLASVFVAT AGYKFYTSTYEIPGPQFAVPSAGIWLNLARLLNNGQLPSHVIPFMLSFGLLFGIISAL KAFKRYLPPSSQKWIQYLPSGIAFAVGFLNSPSFSIARLIGGYIAYRASKSSPTGETP LLAIVVASGFVLGEGVLSIVTLTMASTGVRALSCFGCGIAGGGYCSGGC L199_002120 MSGPSSIPLQPPNYSSSSSSLPKGSVPTPTNGPEAMKLQLNIQS LSLDCSIPLGSNLGLQVAVPPAPGSAPQQHQNNPPTSTSQPVPSTSTTQPQASSSPPS APVFGPESILLGLANSFIQRLERVERDLDEERRVNVEYRSTIQRLEERLNVLEENANN GQNQKQNHRGGGSGDGACRNETPKNREYKLDPPSQIPIPISLPTRTIPQRYTATQEIE PDAIDERDTWNSPQPHSHQGIRSSREEEEEMDDPTGLGLDDDQAILYGFKPRNKD L199_002121 MSGNADPSFSRWQDKIKERFTILGQKIEAAESRAEEAEAENKKL NQTLLERDQEIASLQHKLSLAESELEKSENQIKELKSASEEGETHKTTGENLARKVQL LEEELDKAEKDLKDTTEKLRQVDVKAEHFERQVARAEQERDEWERKHGEAVEKYQQSK RELDEVVAQMESLVSYSPCVNSCHS L199_002122 MSSPSQNRGMVPPPGTWSSSSSTSNSIRHLDGESGTPRRSIDTQ HYPPEASSSSRRTSMTSIHNSPTSISSSSRHHIVGNRYNNNEHENGYGDRRLPRITTS NTDNEDEVGQGHGQGPRSAPITGSSGPLRPFTNGVNTTNLNGPLRSSSSPDPWTTTHS YSQRSSPSNSPIDHRPNFAGSGSSPSNRVGSPQPPSLGPPFPHGSNHRNVSANVNSSN RPEPSRIASDRERDRSRDRDRERTITPQQSSHSLSTDSHSHHTGPSSSSTVDQAQPSL VWPQPRTRREGGGNTFCGQCGQTVHGQFVRAMGKVYHLNCFRCKDCNKVVAQKFFPVE DGDGMYPLCERDYFARLDLICAKCDQALRASYITACGNKYHVEHFTCSECDVLFGPND SYYEHGGKVYCHYHYSTQFAVKCVGCETAILKQFVEMNRNGRDECWHPECYMISKFWN VRLASKTFNTPASSATIQPLTPSPNSEAGMTPGELKDRQEAMEMKVQQIWHVLSGYEE SSAALIGDMLRAVNERRLLDIILLAERFILHVETLFAVIDDLEAQFAQSGAKGMAHAR EAKQLCRKLVNLFSTMSQISPSGGQAPNNSELFTLITQLAHYLKILIRIALTGSIKLE RDHFNTTAMTNCLARLNLLAMDNGDPTVKKRGDFPDHTPTPRPSIKADETQGGTAASA GDEEQLKRSIEALTRHPNGYISSTKDIAYGYRSLAPEVTGETTLRGPQSEDFIPPEGC ANCKTAIEEDCVRSGMFNRWHSACVICLVCGETSLQPLPKEDTTTDEGSSHSHTDVAP SNTNNNHKPTSQQKRLPARADFFYFEAITRIEEVPSSIYCGFHKTNSCVQGFNAVSRL EQYAFLLHIALRRLYVHFRIHHDLPSVRDHGISDRSEHEVKRMKSVTLDRKLSSTARL PQRSMVVESPAGRMADANGQVVSARAETSQQQSLTASTSNLTITGSNSNIGGPEIVAI TSEGEPIDPDDPPSSATVDVLRPPFARNNTSVMIINENNDLDPTGSNLEADNLTMPNI GPEDDAITLGDIPMLANVTSRASSNNINNERHLPLLSTLNPLQSVILKHFALLQLSKS GLGHLIDLDDILELLEVRKNQWWNKIFKGTAKEKQKKKGIFGVPIEILVERTGSDSSL GASNAQLRVPEFIEDIVSTMRQMDMAVEGIFRKNGNIRKLQQLCDALDKDSTQVNLSD ENAIQLAALLKRFLREMPDPLLTFRLHKLFCAAASLQNRDDRKRVLHLLVCLLPRYNR DTMEVLFVFLRWVASFSYKDEETGSRMDLGNLATVICPSILYAKGANAAKDESFIGIQ AVQQLLENQDEFYHVPAELVFVIQENIYSIFAKELDLPPKEIHRHCSKYMQARGQALS SGLQPPQPQYTPISSNPKYSPVGGNTLLPSSNSQNTIQQSQQGQQQHQGLRERPSDPR LSTRGEMIPSNSDPSQMNSTYRPGSRPTSWIQNTRGNSQSSLSSPSNQQPNPTGGNWE RDRRPPQAPFQQNGSRQSSSRGSAPSSPGPGEEGRRSMNMDRERSWTPTNGLEYQQQQ QGQHPFAQQHR L199_002123 MSDPTIKGLPPPPVKYDGSNFRIAIVHARWNDAIIKALLEGTIN KLKEQGVKEENIVVKSVPGSYELPFATKQLIEAGKVQSANAAPSMIASSTTNLLSLID NNTSSQPTEPKQSSTSTGPLTTPFDAVISIGCLIKGSTMHFEYICDAVTHGLMRIQLD TGTPVVFGVLTALTDDQALERAGIGRGEKGKGHNHGEDWGLAAVELAAQNKDWTKGVL L199_002124 MRSLTPLGVHISSLEQFGSSSKAGNIAINPEDGTVYVTVERSSD DGGIEIDILQISTDNPEPEVIASFTSPVLAPFPKPSHQGETLDLHYLPDDRSLVILLA GGDIATLALEGEDGGVAPVEVVGSVDSGIKAAAWAPDDEQIVLVTGEDNLVCMTRHFD VIHEEPLRSDDFGEDKFINVGWGSKQTQFHGSLGKAAAKQPINSSQSLSHPTDSGLPY ITFRGDASYFAISSLDPYSDGESARRQIRIYSRDPNTGFVPKLSATSESLPGLEGNLS WRPTGNLISTLIRYGYPGGGEGREGKWDIAMLERNGLRHGGFELREDKQTWKDGKVKG MQWNSDSEVLAIWIERSDKDVVQLWSMKNYHYYLKQELFSHNSTSPRFKGFKWHSEDP LSIYLYGENFVQARTFVWDTYTARLPMPHDTASVAVVDGQRLLITPFRTQNTPPPMSS YHLDLPSVPVHVSLSDTTDSLAVLFSDDLVQVWDLNTRLPDLKSGSRLRGGGKVAEPR LRYSTNLQTEGKRLVKQLAFGPNGKVAVLSWAEKEGSIGCQVNVVDEKEETEVENLEE DIERLLWTGEGELLVLTTEGQLTSVASDHPIDITLGPHPTALSYSSSSDLIFALSPNS KLHLAALAPSSPISTPIASNVNSYTLTPDFLIYTTTSQTSHYAPLPTLRRLAHGDDLL PHEMVWDERRVERGSLIVTACESSMSLVLQMPRGNLETVYPRALVLAVVKQDVLSGNY RNAFLQCRKHRLDLNVLYDLDPAQFMKNLKDFVEQVPEVDYLNLFVSSLNSADSARVL YGDHSKDPSSSSSKPIDKVNSLCDSLRSILEQRGVEQYVETILTTHVCKQPADYESGL KVLLTLQADHPDIVEDAIKYIIFLSDVNRLYDVALGMYNFQLVLMIAQYSQKDPKEYL PFLRELRALDKWDQRFMIDDHLARRESALRNLKQCGPERFEEASSYLSRYELYDEAFV LYADEPEHLQVIHNLYGDYLYDRREFTDAAISYILAKKEDKAMKAYEKAHAWRELFTL AKKLGVSKDDTIQICERVTDHLSSRGRHLEASQVFIEHASDVDSAVDVLCRGTEFSEA YRLTFLHDRSDLIESMIHPGLEEAHEALMETFEEMEGQLDKEVNRLKDLRKIRENDPE SFFIVDTEPAIEGVDVATNATTAVTGFTRYTVAPTTVFSQTTKMTGQTAKSKKSRKRA TGRKGTVDEYEYLVASIGRLVVRVDDKSNEATSLLRHLRLATPDHFELSKSLQTALMA FREKLNQSIEFAWEGREDILKEVVESGGAGLEEGLDKSLALVRPVVGGWKGLGILVGQ L199_002125 MSSTFSSRFSSFARSSSFSSNLGPSKSWTSGGQSTAGTGRTGSN NSYFHHRPKTRNEGDKKTLDTLNNLKSTDCSPRVVRPGLIRSNSSISQSSVSSCRSES DINEKWIYRSMRRRSGNRWNFEPSPVLIGEGSVDIDIDQSSMWDHREEVEEDYGSERE SSEMVNRSPQSSSSAACCDSLPKQRANP L199_002126 MRPIACLLVVLACIACVFAWTKEDYEIFDLVSALEAAEGKGIDF YKHVGVDSSASTAEINKAYRKKSLELHPDKNPGVKNIQERFARLGVIAQILRSPEGRE RYNFFYKNGVPKWRGTGYYYSRYRPTLSHTLLFLVLLTSAFHYLVLNLNYRKHQRRID YFQNAARSSAGVLGVSSGQNGEKVTVPVQGRRRKVRVPMVEGSDQGGTLELVVVGNDV FIPHGDGTLEPIAGLAHAPSLSQTWFLSLFSSLYSKGLAKLPPSVQDSVPPFLRPSDS TQQADLILDEEEVEEDDGSTIDTPTPVNRAQRRHQNKKSKTSSASANATPKDSPATTE LESGDEGGHKKRLVGGKAGAARRRKMGLKK L199_002127 MQSEGEEDNMNLGGINHGDLGRTYRITPIQSPIIGASFQGAYLS RLPLAPPLILQLDCWDSDGDLIIPYDELPFLICHLSLQTPEEEDAAMIISSEGEQVSM LYGTLVAAPAEMNDTSQAPGIYFVFPDVSVRYVGRFRLHALLMRITGGPPLDTATTEP FDIVRESDYIAPPITELTRHFDSQGVVRFGLPRSEW L199_002128 MPPLSVKDLTMPAAAFTMAIILTAHVYNSINHARLDAAIARDQK LTEIEEKRRKRYEDSLKTIERREQEKKNQNNPST L199_002129 MADEILRSSAALNALKRHQLVSLSKRYGLKASGKNVEMIQRLED YAIDHANDLDFYIPSPAPTPGPNILSGPPSSEPPTPLASHTFQPFNSFNARAPTPLNH KDSMMSVQSRASDAWEMLSDSGASLISPKKQVGMTKSTSCSSWKSANNGEAMSEFGGH HNEKVSSTSSMKALATSLTRRGSKILLGRSTSASSHLSQAADPEPEPQPEGESGPVEE VLESLPPSPASTVGVPRRHSRITLLERPSTVRLCSPTPTSPKIDPQSDQEDEELPFFG KAKNIHNLKERRSMAPIRSSIGSTTALSQNGLGRKSMPALSGTTSASVPSIYPPLPVI PPQFMKATSPPVQTVPGSFPPLPPTPSRMVFGSPTDAGVSNHQFSEAAQAILKEMNTK LPGGVVFGEELLKGRKAEVGKLVHVNKELGTGGWGLSSSTGSSDRYADAHQKEFAKMR SISKTSLARKSSASRQLSSSSVNNLSTAPAVQAKRKHELTTSTSSTHLIPSAPNGAPL TATSSNEEVRHAKRSRLSNGPNYLGSLREAGRSIANLLGEEKGKSTDDMMKVMKERRD KRRSSLLRKKAGRGLSSRFGFLRNKRSSALPPAMPTAPAILSPPIPVPTMPRKTSVYA PRDPPHGKIPFDLEASLARKPTATRRRSTDLTNVIKPHPEVLEDIRSPTEHAIPKSQK DRGRSTSAQTVLSQSSSQAPRRARIPDFAPPGGMHKAASGSTNTLGLPKSTSVSSGLA MSKKASQAEIIRNARPAPPPPLTSEAARVGGTSTRPVSINRSSTLYLPTASSLARMQA TIKPNPDRPLPMLPPSAHHQPSTPRFKSTVQPFGSAQSRDNQSFTSNFNLSKPTTLKP KTSHGAIGKSQSTAAARIRARQSGVSAVKSKSNLREEMEVKRKRSEIKARMERREEER ELREMLGEVAR L199_002130 MRSCNHLTLLSFISLFLPSVVGFTFSTSTPVQCQNFTVQWSGGQ GPYHLSLIPTIIVTGGHIENITIPSGSTEYSFQLQQPAGLDFLVTMSDSQGFGTGGTT SVLTVGSSDDSSCVPSSLNYDFTFAISPDSNPTSCSSMSITWLENATEPVSLFGLIPH GTAFQIPIDQSSTSYDWTVDIKENTQFLLFMSDSGQYQTGGSTDLYRVQSGGNDSCIT SSSPTTASGDSMTYATSATAQPSASVSGVGGSSQGGSSGSGGGSSTNTGAIVGGTLGG VAFLVLLALLLFFCIKRKARSKDDSRSDSGLRAYGLGGGEKNGRRSNQLDLAEEGEGI DGDHTHTPEGARHVQVNGDVYEPSPFRYPSPPETPPTNNNHQGLSSIPPSSQNTPQML ALANEKANNRNSSLGVGVPNSPTTTTHTGTRTSGESGMGRPPALSEGVPETEDSNRAT VGHGGAAGRMSSIRKTPSSQQVGSGAAAASSNNAVNGHSNVSSGEREGETRYIQHEDA GVIDLPPRYDQLRARNPDQ L199_002131 MVAPAGLFSNRYKVEDMPDLTGKVAVVTGGSRGIGEALVGDLVQ KGCKVHILSSTKQHAEETIEHVSEHTPNARDLITFHQVDLGTLKDVISLTSKLSSELD RLDLLFLIAGIGVAPFGLTNDGIGNHFGVNHLSHMVITDGLLDLMKKTSETKNKGSAG LDDELEKFSTRIVSESSELHRAAPSDVKCESLDEFNHERDATVLYGRSKLLNILFIRH LAQSHLPSLTSSSPILAASVHPGGVATEQEKGAAQAYPILGNVLEAASKVLFMSREQG AESALWAGVGQTFAKRREECQGRYFTEADGKVDSESDQAKDDELAKKLWELSERVLKE KIGYEMKH L199_002132 MAAGRSVARVYANVNDKLGRSWWDYDNLVVQWGIQDNYEIVRKV GRGKYSEVFESIHLPSNSKCIVKVLKPVKKKKIKREIKILQNLAGGPNVVGLLDVVRD NQSKTPSIVTEYVNNVEFKTLYPKFTDFDVRFYMFELLKALDFCHSKGIMHRDVKPHN VMIDHEKRTLRLIDWGLAEFYHPGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLG CMFASMIFRKEPFFHGHDNADQLVKITKVLGTDELFIYLERYEIDLDSQFDDILGRYP RKPWSRFITSENQRYISNEAIDFLDKLLRYDHQERLTAQESQEHPYFAPVREAAGRQ L199_002133 MTLPDTNSTTPKDSDGPDPSESPPMLNGDRPNGKPTSQEVVILP FSFLLLICIIVWLLVFIEILILYSSIGYRKDASLCVSAFRTIFFFLSLIAIYLAFFEP GLDFEGRTTNPDYLSTGKNWLITFTKGGLVIFAIHGFMLHAEIAVVNGDRLIKLTL L199_002134 MRKGPGLSALSRQSAYSNSYSTLSTSISTQQIASLTESLESFKS TLIEFSLKHKKDIKDDPAFRFQFQKMCSTLGIDPLVGSTSTRGGGAGLWGMLGISEFE YELAVQLVDICVSTREKNGGLIRVDELIRKIENLRNGGKPMEGEGGITEQDIIRTVKI LEPLKSGYKLHTISSDRYVRSIPNELNTDQSILLSLAATTGGRLNKREIKVQTGWNDD RIDLGLRDCVMEQGLGWVDEQSISEEGGGKQIRGDVWIIAAAVFEE L199_002135 MLSITRSTTRSWSKSPIRFMKRVFSDQVRVEEQDPSILLREVMR NVAQPVAIAVTCVPSTSPLHGKARYHGATLTSFTSLTLYPHPLVAFSLRLPSRMADCL RPYRTHEDHQQPQPQQQQQRHPSSKTNTLQQEVIMNGSLEDTPMIKGITLPAKSELPW PLSKLPMPEQPPEWAKSLFAQIPIPNTLGEDTSQNPLRSTPSLTSSTPPTQHKTINTS HPTPLTISLLSKSNETMADSLSLPRTDHSSIFNMEDTWTTHNNHPPSLKGSIGSLQCE IVGSVLLKDLCGSAPIDAKGGEGSEMFICRVIGVHISDEKGLEPLLHWRRKYVGIRDE GETSEV L199_002136 MSLTSSPSSPRPIHQRQLSSSSSSPLTPLHGLPQSAASSSSSYS SPRFRSYTLNSTTTSSSTNGDGSPTKNGYFTKSEPTSPNGRVVIQGLTPIGNINGIQL DQYKSQSQPQPQARYGASQNGLMGPPTNIPSSSHPHQIQHHLPHSHSHPLPTSASYQT RNQNQVPRQITQQQQQQQQQQQQQQQQQQQQQQQHAGPSRSTTIPIPIPVPGHSAGVR PSYNPITSTAPFAKYKGRQIPAKPKHNVYPSTYRNGNGNVAGEDSGIDSDATVRPGRN KGSLSQPDLTALRSRRVEGWTEGLRAGSGRPIQVQHSAIIQGQGQRLEQTEGIPSGNG DTKRRPSNPRTKTPPSTNKPYGSAQPSTDSRESWLPASPTHSRSHSSQSLSSLSRKSP SNSPRSTPLYFPVMSPITPSGQTQLYSSSEDEGPPTIFPSNKPPAGLGPFIPLDRDNT SSSGGEADDDYSHSPSSGSGSGSITFSPKRTKLKSSSSGGLRNRFLGDKRYSPTSSTS TSVSSSTGLGLTLNDNDDNTIGTGTETDMDLDTKEDRTNSPDRLSNGKSRSSRAVGVK ILDKLENIFQQSTLLPLRLLAIIPSLWGICVLIEALVTGGLWVDVWPWGVDLTREALE RLVAGGEGSPGEWRKVDRGDVGLCVAWAICTAHFCFSLTTGLTHRWRSYYSLPSTITR LVSLQCLCWPATYLTLWFLGVDRLLLCWVVIGVTTGWSRTVQMWVTSNVIPPPPRPTD PDELAGGGAGDVTPNLRKMNIGPPEIPEGLSAWEAFRWGRKWDWDNVAREVGWKVGGL LLVTCAWLFWGIEKGTRVRI L199_002137 MMVDQDASDSFGSHEVEIASSGLGSSTNSKRPTFNHSSTSYATS NHQQSKTLDIDMNMNMDMVEEKSYNSYPPVGEPSSYSSSGESQLPTHQHYVYTTSRGT AHGQGRHRSVSLSLNEMWDKSRSVCSLRGEGGLGKSMILGWVVTTLLVLGVLGWYRED LFAFLDSLSQYLSSQGYYGHLTFFLLILITTIPPIPLYSTLIVLSGYTFGVWTGFVIS YSASLVGAVLVFGISRWKLRDVIGRCLSCSPTSSTLLSLLSSHPHLLLLIRIAPYPYN LLNVILASSPSLSLKTYTACTALSLCKLVLHTWIGAGIHNLSEVYGHGHGHGHGHGHA QSQEELDEEGQWPPHNHHHVHHHHPGESPDIEMEMDTSREDVKMYSTWIGIFLCVILF FYLTYLTKKTIRKAQREQEEQEREMRESGLREGLLSEEV L199_002138 MSCPHINNIAGSLRPPTVAQQVHREECTLCFDGQDDPEGVLVCL QCFNGGCFGEGRRHAYLHAEKTGHHLGVVVKRTRKEGKKRDSTEPPMKKLAISAPKDE ELYNVSTSLRCFSCSPVGEAIHSDDEKVKFVVEGIMKALSSAQQSEVKAWEEEILPCE HTLTLQQEPVINIGHVPSQCSSCDLTSNLWLCLTCGLANCGRQQYGGIGGNGHALEHF KDTGHMLGVKMGTITPEGTADIYCYACDDAKVDPDLPRHLQAFGIEVLGQTKTEKSMT ELQLEQNLKFDFSMTGDDGKELEPVFGQGLTGLKNLGNSCYMASVLQTLFSLPAYRSR YTSETAQSHFQTCPKLPAECLECQMLKLGDGLLSGRYSHRAKLPPPSTTDFDGDAETP RFQEGIKPSQFKALIGKGHEEFSTMRQQDSEEFLQHLLTKLRSEAKSQGRSEYNEPTR MTKFGMEQKLQCTGCKKVGLQVEPVDLASLPVDAIEAGTDEEGRKVWKEVQLEDCIQA LCGEETIEGYECETCGGKRTAIKSTKFKTFPELLVLHMKKFQLVNWVPTKLEIPAKVP DILTLDSLLSQGLQPGEEEMTIKSTSSAPSLPEFNATAMSQLEAMGFPTVRCQKALLA TGNSDAEVAMGWLFEHMEDPDIDSPIQLQAPSHAATSEPSPDQIAMISDMGFTANQAR KALRESDGNPERAIEWLFSNPDDQGEDSAPLGVTAPSSESKAEDAIGGSDSLPAEYRL KAFISHKGPSVHSGHYVATIRNPQQTGNEDEWVLFNDEKVVRAGSGGGEDMREKAYLY VYERV L199_002139 MSQSQPYESNPYYQSPPQQQQPQQPYQNYQSQNPQSPSNASSHQ AFQSPYSQTSQGFNPPQQGYSNYPQQQQPQQTPQQGYQQSPQQGYGQQQGYFGQPGGG AGYGQTPQSPPVEVTHSPFVRTDSSARLTFSEMARMAGRPQTFDEMYAVPESFLEIEI RNPMTHGIGRKMYTDYEIVCMTNIPAFKLRHSVVRRRYSDFEAFRDILERESTRVNIP PLPGKVFTNRFTDEIIEQRREGLQRFLEIVAGHPLLQTGSKVLCAFLQDPSWEKSQWV L199_002140 MELEGIKEVVPLDEDYEYFFTVQCSSCREVHPKTVSFNQKEEHE ISGSKGSAHFVWRCGNCKKEHSASFAPSNPSPKSKSKSTSPIPYSSTNGAFESLISLD CRGLEFTEFHFRGRWKAKAEEGKEFEIDWDELHKENEDRWDDYDDEAGVAVSVSELKS KIERA L199_002141 MSSPMDIPPDLVGQSGLYNSPDPLLRRIRLEEPSGKSIDDVEKY FDGKEVLVLYAGSEYGENNIRGFHRDLTTFAQKYKSSSVIYISTDVLPQQADNVLQGK AWLRMTFFDNSDFAPVGEPDEKDWSVGLEEVKRGEDFLQAGEVEMGVEKIQFGQEENE NDYVRPLSRAGLTVLMNVFSTPSISIYHLPTHSFLAKNVHMSSFKPENIDRNYQVWKG GESTSVKISDIIDKMKWTLLLLLIAVGYHLAVRIGGEQYNFIPRVMDGINGRLSGKV L199_002142 MLSFFLPFLTTSAWSWCIFHCNPSTPTMVDAMVNINWTIAPSYA KNFLENLIPDDLIGSMAAVNFTQIDNVHIQNRFRDRLTEVENVLVTIRWEDLPANTIK WVEEHPKEAAFIAMDIGLFIAPWLLTEPVLVGLGFSRLGPVAGSAASIAQTSNWGGAA LVGVGWKWLWGKKNDGREASSISTSVASVVGKAVYENTMGGGRGYRELKRFI L199_002143 MMFTTNFDLILPLYKGTKAAAIQASIGNVPSGSLFAIYQKAATG AMGITAIITAAGFILCREILEETYPQFSVWMLLIVSIGIEDVLTMAQEKKATGDEGRG HSEKVKDDGTTRWNSF L199_002144 MSETYASIKTLHSSLPSFQPSISTSLLPSIALISLLAFFALTFL FTTLPKSKVPIPEITTALLASALAGGGVVALFCTLGVYV L199_002145 MSSSNHLAHPARAETAVFQHSPSHDSKLKTEWEPGCQPDEIYDR YLPSWRAWLRRRLVKRLREEKDWMADWQKRVRNDSRDQFFYWTAIFGTHTFFMTFLPV LFFFGFPLKGRVLLHVVGMGIYVSSFAKDLVCTPRPYSPPVIRLSMSTHHHEYGFPSS HSTNSTSIALFFGQWLYELRGHVGMPTVIVGWIFLTIYAASVIGGRLYTGMHSTADII GGGLMGTACWLFWILVGDQTEAWVNTGTWLVPGITVPLTLALVHYHPQPLDDCPCFED AIAVLSVILGSFLGHWWGVVTNQALPALVQREPFGRGFFVGVGIAIFRLILGLGLMFA WRLVVKASLLRILPPIFRVISKLSGSHLPTRRFYKAATDYQEVPTKIAFRHIPSVVDL QIGETDSSTGISPVDSPLLNGKELKSPPYAESEGLRKRMNNSNTTEKEKEKKRKDEEI RVLVRMNKRKGDRAKYDAEVLTKVGVYTGLGLIATSFIPVLFRQIESRIFG L199_002146 MNVNAQKDKIIDGFDEAAAKELFANDAVSLFLLPHHHHYDSSTP LILFPYPFLSRDSCFMASLIIDTFLAGVLLLQVISYFTYQRNDKLYTKAIVLWCSLWS FTITCYYWVYMSYLFVDSYGLWLPWLEVRWLAMMPLFDVLCVCVVQSFFAYRAYLLVR RNKFVYAIIMALILAAAGGGIGVTIVFGSQPSLLGADKSGPTLITWTATTTAADVLIA ACILWGLLQSKSGWAHTDRMVTRLIRLTFEAQLPPTFLALAYVIEWSQTPSSLLGAVF QALESKAYTVGLLFTLNARLSFTVNTTDTKSGAAPQVYAMTNRKPTQLEVTVQQETYI HDDVYANNNNNQVKSTKGHVAKSDSLSDYDDNSHAVGHENGSRARLTFPEAMA L199_002147 MPPAESTHVRHRRSRTGCLTCRKLKHKCDEQKPVCGRCQRTVRI CTYPIAVNNNPSTSESALPSSSNQVINLNVLPHPESSRDAQLNAIINAIGTIPPAITL VDLLALSIPDVKERSLLQHFLCYGTVNLHAIPHPERPVHCFDISECFQNRRGSSMEID SFFLSIISIAAVHRSSMFLQLEKNYLKQTPMGRWGVPPLPSSNDPPNSSQIKSLRDTS IQSSKAAIELGKIALNLKFSDIDVSTNTPNEPDNNAIEAPGANAEIQKITDTILTSIV CICISQVMLVSTQWKEAYNLGLKLIKLRGGPIKLLEEAKKISLDHLNRTRTLLENLAI IDVWHCLASGAAPTLLEKEFQPWWFDFAEQNTDSTHPDSFQFVCGMDRGMLEVVNRIN ILVHEKEVLSKLPNPTYITIHGQKVQDMLLELDIWEVTIGTADRLPRVQLGNLIIAYT MRVVICVDLLGYPHSHPTVQTYASSALNHLESSRSSSCTVNMLVPTLIVGSMMFTPEG RYQAKRVIEALRSDASFSYDVEEALRILDQLYKLKDQGVQDPSWRTLLQDILLL L199_002148 MPAQSDIGLPPVGMENSSLSNLKLDDEVTGRPLEGGMSLSHWLA TVRNNPLLDYRSSEQLPSEADVVIIGSGISGALTALNLLESDNPPRSVVMLEAREMCS GATGRNAGHCKPDIWRGFSEYAERLGKSQALKVLANERKTRDALVDFVSKNNIDCDVW NGKTFDVLMDEEMVARSADNMRAFKAAGGDASLVDISRVKGAKAVYAWNASTLHPWKL VAFVIKRCLKLGLNLQTMTPVTSVSGSSNNWTVHTSRGKISTPTVIHTTNAYSAALLP ETKKSITPIPHMCNLVYPPSTHSGSKALSNSCSVIYKEGLYSVNPRSTSDGAILFGGV QPNQHYLEEYVEAKESRKYDDSLKDFQPITDCVKELGKKGYLWDEHKTPKTGFGVRYD RSWSGIIGLSADGVPYVGAVPGKSGQWMSCGHNGHGMARIFTCSRGLAQLVQGSAWKD TGLPECYQVTEDRLRGEEYRQHNL L199_002149 MSSSSVHTSSNIPDYGTPKDVIDGVQVQTFDSAEAPAENIFSNH AQRGLKMRHLQLIAISGAIGSSVFLSIGSPLTGGPLALLIGVILWSTVVWAISNCLVE MCTLFPVEGGFVYYATRFLDPSLGFSLGWNYFLCQVSLICGEFTSMNVLVAYWAPDLT PAVIISVGLALLLFVQVFNVRVYGETEFWISIGKIFMIFGAFIFTFITMVGGNPKHDK YGFRFWKDPGPFADSSGVDRAQAIWHAVQWAAYGIVGPDYIALAAGEVQNPRRVLPKA FNSTIYRILGFYVGGAFFAGINAPSNDPSLLGSTGAAKSPYIINMDRLGIPILPSILV AGLLISLFSSTSSMAFAASRTLYLLGLEGHAPRIVTRTNKYGLPYVCVLITLALGCLS FMALGSGSATVLSWLINLTAATQMITWISIAASYLRFRAGMRAHGLGNEFLPARGYFQ PWSAWWALFWAPFALIFSGYYIFAPGTFTVPDFMFTYAAVFIFIVLSIGWKVNMVLRY GQKWFGIRANEMDFTTGVAEMEEMTAIAEEKWRTEKKSRLDKIVDHIF L199_002150 MVKLQASFTLSLLIAILSIGSAQAEVAGARNGPRRHDKAPTAEK RSLASRATDPWANFKQFDWSTWQTNWGWAGHTLAYVKAWYYDEYGLNLPPGVYSWPQL SYYVSYFGTYKPTPSPSISSYGGANTEDPWVTGTLASSSTSSSKAASTSTSTSTSTST SASKSSSSSAAAASSSSIASSTSSSKASSTSSSAAASSSAASLTGGVVTSNGWTSQGC YQDAYPHLVPDYFERNTSMTQEYCGSVCFNQGKPWMAVEYGTDCYCSSALATSGSFPK LADSQCTYPCGGNSAQNCGGNYILVLFKYTGTASFPSSSSSSSKASSSAASSSTSSSV AASSSSSSSSSKAASSSSSSSSSAAASSSSSSVAASSSSSAAASSSSSKAASSSSSSS VAASSSVAASSSSAAASSTVASSSTSSSASASATPTVPSGWKVADTPCIADGKSGRAL LGSFTIDYSNTIESCLARCDAGGYPIAGIEYGNQCFCGSYLSNGASLSTTATCAVACP GNTAETCGGYYALSLYVSTKLNGAALSSDLSSVAATLPDGWSTVSKCMQEVNGRALTD YSWATDAMTVPLCLNKCASLGYQYGGVEYGRECYCGNSLDNGADLTKTSTLCGTPCAG NPSTPCGGWNALQVFNNPAYSYSNTIVNGYVKTACLQEVANRALRGAAYKDEAGMTVE TCTQYCADRGFNMAGLEYGSECYCGSALVGGASLLLTSGQCNMNCVGDATENCGGPNA IWLYINPNTLTASVTLPTGWTYKGCIGEGTSARALNFTATDLITKGTMTGEKCARQCS ESGYTMAGTEYASQCYCGNSFQGGATGNIIDTITDSTSQCNYPCPGNAAQMCGGGYRL SLYSSLATLPSTVTTAL L199_002151 MALKRINKELIDLGRDPPSSCSAGPINDNLFQWQATIMGPADSP YAGGVFFLSLTFPTDYPFKPPKVQFTTKIYHPNINANGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIANTYKTDRPRYEATAREWTRK L199_002152 MSSSYVTSSGPKIRYALFGITTIIFILSLLLNLLLPGFGYDSTG FINLWIHVFIGLNMLIYYPPAIYFALKNRRSRFDQAGGEVAVGVLITVSWLACVIVQL IFTSWELCDDPDSGFYTGEEGCQAGAIALSVFFFLNLLIHFGWTTWIIVLVHKRSNNK KERDEVYKISTHVLVRGGSTQIEHGKISDGDEEGLYNLRS L199_002153 MPSNTFATSGRDLVGHRIDNGRLEFLSTLGVGAYGVVYLAVDLH APRPVYLAVKCLLRAGLDSRQRHFQRREIALHQLASRHPNVVTLHKVLEEGEFIFVIM DFCDEGDLFGMITEKQRYLGNDYLIKKIFLQIIDAVDYCHRMGIFHRDLKPENILCTQ NGERICIADFGLATSERHSTDFGCGSTFYLSPECQGGLFERLESYSTETNDIWSLGVI LVNLTCGRNPWRQACPNDETFRAYVHNPDFLRTILPISKATNRILKGLFALEPRDRTP LKALREQILRVETFSMTDDELKTAHSAARAAAAAVRQVAPAPAPAPAPPVHVTPPAAS AKTSPRVAVPVTIDEDAELDEFAHQHAQRKHRANKPRYNNRREPEEAATSSSWSSGSS TYATLSQAQIDKHVFAQIGFSKVNVVGVNATANSSLESTETPALIAGEVQDPLDMYRT SSRSSSSGDISLPPTPEFSPVDNTSTRPRPINTKGNQQLTRPDLLHVNMNPQSPLSGE FIL L199_002155 MEKSETRVTNPVVAPIEFRSDLHFRWSASIRGPLLCNRNHLQHP GFDLFAAGAQAAGAPAAAGGLFSAPQSAAMGGYGVSAVIGAIQGTAALGAGAKLYLDK ASKKKK L199_002156 MSTLGDMGFTSEGVAADSAAADWQSDIGDVSAGSNFADNQSEAM SGGKQSSPSRRTIGRTYLFVRSK L199_002157 MAYAYPSGDLGGSSTSLNVSLHGGGHGLGHGLKRYEAGFDVLRE FKHKISEDEHYISFFQDRIRVEEQYIENLTRLYDRSVAIDTLHDEPGHNRKQNRTTAR KAWQEVRDYTQREIQSREAMVGALREDVMKELVKLKEEQTRIRGVLKDNMKLANEAYD DHARSQLPKLKKSYYQKCQALEDHKRQEHAIAMQARLLSTPSPPSPANTPLHEHPFAV PAGPSYTSPPISTPPLPAMSNPALPQPEAVHAGREDKKVNRLRAGSASGGESKGKDVL NDIAQQSKKGFSAFMQKLGGDKDKDKDKDKDDYIIVGGGDGDLGGSGSLQRRGTGTGG PNNSNQKALSAMRAVKVKRDADEADKAYRTGVFHLESLRLRREKLHASAMNSLETFND ELSERLRYALESYIDAMYGTAATNAQATEVAKEAIEGINLEQDMMLFRTRLRSLVSPP IAPIPYENFYVGPCKSLIFGVSLTDYDFTRGDSSDHGRPPIILEKAIAAIDERGLGLE GIYRVSGRHAGVQKMIQGIELDEEKFAFDERDDVASIGSVLKQYLRELPEPVFPLPHP ERVKYTESREAHIESNFSSLRARLRRLPPIHQTTFQAMIEHLGRVNQKSSANKMDAKN LAVVFNSVLFGQEQTPTDTTSLLQHHQGKDTVLEDLITFSDLLFGVDSPVVAPTALPP GPALSRSGVLSHHPIDEGPQPGSSRTKIKISQPPVESTDVKTSPIISDNSSDAAPTTN EPGTAKPLAVPQFADPDPVEIGVFTPDEKLDLLFDPKHIPKSMKEGLPDNIHIRPLAS TDLLRSHFQLLNSLRESPALAPSVYSAIFQHLKACPGTYYILVMVNKDNDQLVASGSL IVERKHINNGGASGHLEDIVVSDTMQGKGLGQRLVAGLRDMAVGLGCYKVILDCKEPK MPFYEKCGFHKRSAGMAYYVADHQPPFTSDQPTSVLSPSSQSQESSSPSNSINPAQPL ALTTDLPPGSPSLTSASSGTGVTYSYPTAENTVLPAWASEGLGSSPLGPPNSASPALL SLPGSQVQSPINRQEDDKTPLPPGAAPASTDAEPERLP L199_002158 MTKTVSEYKYEQTIPLHGSDITSTFTSIPSSSVSTMGPKSRVAV AGKIEVENLPDPDEFPGVKFILDTLNPPRLVSRHSHGLNPSPICRGRLRYFERDERHK WWFTRWFHPAPYWLTFFWYRSTAGTRVSCTREELCFAWQIADPIIKARFESTIENLAV VAKKWLSLFQEIDWAMGILYKIQLHRTIPLFWKCHPEEHNYDISKLSKWKHHLTCLST ARNLTGCFIYCVRETIRLDKKGYDHEFRQLATAIGFPGHKICKVPGIPDEMRYDDDIL LTGNW L199_002159 MKPEVTEPLNLPSPTELYGNGLQFALATVFPPILSERIYKDEVV SYRKIIEPNVYWYSGILNFFSIRRYYFIEFVDEKRYDQPLRPYPVSINREQLTKAWII GLNGYRFHDGLLEWISTKKTGDDPKTVEIKALERAERALQQIADQWIMLYSQVDIAMS LLHEYVNCLSAPRPWARQSSYDIKCLSRFDLMEHDCDREHIKAIFFHAALHLLELDKV GYNQEFLDLGRVLAEEEGEVISTGAGGPSTGEGEEELLIQL L199_002160 MSSHTHTLNPAPTALSNEPHEAVPPSVVASGTGLPAAPIEHAEA QQAATAPGSGGVVEQPNPNTEEKVTFKDQVNGYAKKFAGAVFRNDGEKEFGEKKLQGE A L199_002161 MPLNGPRANPNPSQGTYNQDPIPSYSSLDHPSDTPTPTYSYPHQ SSPESGTARLEPLPARGTGEESEAQEIMRDYRSSQYIDDGGDVGLLAPGRNQNQDDGY TQRYGDENPYEEGERDIQHPPRSISPSLGPWDSASQRSLPYHPNQFPSHSIPLSDAGR SGGHKDKAPSYGGLSYIDENGEYYHTNKPRPASTTLQMQDIERDEVEMGLIGNTNRSS GGIEPNPYYSKDGYGESPYPYPSSYTKDGYNSGSGGMRLRGPNTLYDFLLFPTGLDRL LALVGVKFGQYPIEQAIERKRRGIGGQRWPVAAWGLAIVMTALMVYEMVANYQAMGSP IATKPSFNVMIGPSAEVLINIGARFPPCMKYVDALPPAFQLACLNDTANPPTTSCSIE EICGHGGFNGQDPDQSWRFVYPIFLHVGIVHLLLNMLAQIVAGAQVEREMGTIPFLIV YMAGGIYGFVLGGNFSRTGIPSVGASGALFATNACVLVDLVLHWKYEERPKLKAFLLA IEFLIGFGIGYIPNAVDGLAHLGGWAMGILLGIILYPSISETKRHKYAIWVARVIAFV LVILAFVLTIKNFYTDDPNAACEWCRYLSCIPTSSNEHCKGTGITVTNTTTTKRSWDS L L199_002162 MSSSSKHPHPNTSLNHTLVSMPTRDQSDRAEALLELSISLIESS IDVLRSNITNDEQLIRQSTLMPGGTLGKHFRHVIESFRAFLLPFIPSSSSTHTPEINY DSIHPTSRRPIARSIQACLHALEEIRDDLITFGDNSRNLTYNVDGMGQGVAGEVGIAD KRNGLAQVMEMKVNVVAITPTKQVMGSTVGRELWYCSLHAIHHFSMLRTIAVHEHGLE LPVEFGTAPSTLLYRGLNWRPPTENKEVRVAVKSKL L199_002163 MFYVIGLGLSDEKDITVKGLEAVKRCERVYLESYTSILMVDKGR LEAFYEKEVIIATREMVELEADEILRDSDKVDVAFLVVGDPLGATTHTDLLLRARSLQ IPTQVIHNASILTALGSTGLQMYNFGQTLSLVFYTETWRPDSWFERLEENLRIGVHTL VLLDIKVREQSEENMARGRLIYEPPRYMNPHQAFSQILLTESLRHPKNPSSSSSDGGE TQVEPKLSLLPPSETLAISLSRIGTSTQKLISGTLEELAQLSEEEFGDPLHSVVIVGK KLHPLEFEYAGRFAVGGEEGGWWKVGREVYGVERETF L199_002164 MLDSPRLRSPSLGPPNEKGITPSGKRRSGLRSRGTQLLALRFGW VVLVIWFEVGDFFHSLSTCRFPDSSLRRSNPHLKSAPTHVVLLADPHVPHPVLSYPDD SRPWVNWLKQQIDELFMRKSWNVVTRLGRIDAVIVLGDMLDCGRGVMSDDEYEEYYNL FRSIFQLPPSVPMHFVPGNHDIPLGPNRLFSPHARDRYAKHFSPPNAILPIANHSLIM LDAVGLVEEDYRRYAAEMQFGEWDGVEGGVIEFVKDLGDNPPPGPKILISHIPLARPE ASTCGPLRERGRILKGAGPGYQNLLGSETSRFLLEALKPSIVFSGDDHDYCEHRHPQG IREVTIKSFSSSTGIRRPGFQLLSLVPPQPNGYAGSVTHADRPCLLPDQSGSYYRVYL PLAILTFLFLFGTNIRAAWQRWSGSSSKNGGGGSYYGDLKSRLSPGILSSETMPSSSN PGNPSLSTRRGMSDRPVPLTLPSRKSSHQLAGMGSTTATPGLGPNGGGARRFPSNPTS TSASAPVSPFASPRMSFVDEHRPNFPSGSASSFPSGLTRMDEDPELGQNQSQVFDPSS PTPSVSRRSSYIYMNDNKHHQPSQQYGLNDTPSESGPPGGSYFLPLPGTGNGNAIQAA GLGFTTPLGSTFPLSGSSTGGNAQQLRRVSSSTYSLASHNLPTGGGLISPAPIRRVTM PRLLSTNDWTSAASKKDKSLFNFVLSPNGRGSLGGLVDTLKRFVIWMWKARNGVVAKS WKEVWAVAWPAGIVWVLVNALFFLE L199_002165 MSAPTQSQQIKQNFLNHPYTQQASRFATGQVNALDAELNRYPLL RNLEQQTKVPKAYGVLALAASAVVLIFFNMFGLAQPVSNLIGWALPAYLSIQAIESPQ SNDDKQWLTYWVVFGSLNLAESLGVRAILYWVPMYFVFKTLFTIWLMLPATRGAETLY YHVLRPVIGNVKQKSQQTTGQTNPFAKESASAGFNPAGTTAPSSFERE L199_002166 MLASGSESGLEPDEQQIPSGSGSGSGSRARTISGNVASTSSSLQ NPSTPPNIPNTLDENYLDVSGKWGGEQETRSNAVRTSRMIGNRVGVSPPPPPGPPPSD PPPLPPAPSSHPFANSSRPRPSARLSSLSSLRKSGMISSRPSSPGPSGPPPSNDLPPL PTSSFQSKTPPTQYTPPKESAPRPNHVRQSSKGKSEWEKGNVTPPTVSPRSSSLFNNN ANQAGPSTMKNDSLGTPISLNKKLPEHVETNQSSSAATTTAGAGPSHSSKPPRTPSRH LLQTALDLAQKAVEMDKNNDVLGALAAYREAVSRLKAVMERVGVEPTGDKKSGSSKKG KSEEEGRTLRGIHDAYVARIQLLSSYETNPESDIPITVEAGPSSSSTQRRHVSQPSTS TTTSTLIPPSQASTEGTPRPSLDDGGMTGIGNLMLTDHGDQSFDNSAISPARPKASAP TTKPPSHVDPQPIAEQTSLSEDVPANQQYVNGDAKSDSVMGSSNLRQKGSIPSIGLGY PTSPPNMSQPPTAHTSTPMRSHVSTQSIGGESEISPSSSKSRLKRPNRPSMGLDMEAD LTGIDGVAVEEEVEMLARTPRESHMPSSLGSSSSKQSNRRSGPGHIPDSPQSIKSDID RPLPPLPNSASSITTEQRKSSLTGFSKPLPIPQGQGQGQGVLLVSPTTTQGTISQRRQ SRPLSGTLTTLLDQQLQQPQSQSQQQQQGTTFPVSSPSTGGSSSIPPVPNHQLRHKSS FSSSSQLGVGILPRQSFGLGENAGLRIETNQHHQGGLAPPANINLTSRSGAGISPRSL PPLPDTALTTNSGGTLISPLPEIQPSEVLHRPFHLLRVLHSSMDPEGSGSYLTGSIHI SSSIWNLSHSGGNARNMIGPPKIIAQEVKMRCLESLILHFESIRITGQGLLNGNGQRK SIRNSTGLTTSGGGGNGSKLAEEFCLSLDELDEEMDNSHKMLSKAGVGVGGWKGKKHS GTTKSWGSRISRSMDKMTNSSRNTNDKLGMEGTDKYVDLLANLCIGAQIINEHLLNFT SHGGECTPAYSTLSEKSYRNIESRLKRTAEFVGTIIVPFVLDDFKQFLLRYLKSGVKY LED L199_002167 MASQTIVRPTSLVDLSSMKTWGLEGLTKAKFTFDTKTTTATILT LVISLLLLEQLVYRTKKAHLPGAKWTIPVIGKFADSLNPTLANYKAQWNSGPLSAVSV FNIFIVIGSSNEMARKILNSPNHAEPCLVASAKKVLSPDNWVFLHGKVHADYRKSLNV LFTKQALSVYLPIQERIYRSYFNKWMSDPSPAKPYMMEMRDLNMDTSLSVFVGPYVTE AQRAEINEKYWLITIALELVNFPFAWPGTKIYNAIQARKACMKYLESASAQSKIRMSD PNAESECLLDEWVKAMIQARKAQDDGETTKLLSREYSDNEIAMVLLSFLFASQDAMSS AIVYAFQLTADHPEVLAKIREEQYRIRGNDLDRPLTLDMVDDMVYTRAVVKEAMRYRP PVIMVPYETTKAFPVSPEYTVPKGSMIIPAFWNSLHDETCYPEPDVFKPERWLPNEDG SAPLAESKPQNYLVWGSGPHKCIGGQYASMHVTATLGTASVLMNWEHEVTPDSEEVKV IAAIFPKDELRLKFSPRAPPA L199_002168 MFGMLIYVPATIGLTARRKQTKWDRAWGEVVYLGYQAGLYLANS IVAGIVGGPSYCSERLYESGRTSGVRKYPYEPIGSIRMLCNTMSAQIALSCIHVVSLL IWIWWIYKIITKLSLSKSEIPQNNKKLWNVSVGELMRRNIRLNPYESRDTEGNVTPFV HTTSNQQQEGEEQEPERDNGPYIPPPPYQYSYNDGGRGTSPTEVTDGTRTDIGTYQGV SPTISTSHSDRQSPLRSDGVQTTSPSTSNYQNSNNDGPDSPLSPTMTEIVNHKMNRHQ QNLPQNHNQSDRRTSLRRVMDEKEDSKEVVRRALGG L199_002169 MSSTPAPQGLLVTAGKVHTPFKTELLSAMASERFNNTPPKLIGI LATKKEDARTYAEFTKKACEQIGIQFELRLVGEAREGLDGEGVGIDVEEGILEANDDP EVHGIMVYYPIYGGRQDQYLQSVVSPQKDVEGLNHQFLFNLYHNIRFISPLTLRPIPS SHLPTPLTTKTPKSNEEVVPEGTVKSILPCTPLAIVKVLEHIGVYNKMLEYGDRARGK VITVINRSEVVGRPLAALLANDGARVISVDIGSIVEFSKRPSTSTTTSKFNPHHITTP LPEFTLAQALSISDVVISAVPVASYKVPTKDLKDGCICVNVAGEKNFEADVRERASIY VPSVGMMTIAMLQRNLLRLCGYQDMIKSAGL L199_002170 MAHEDLSLPELIGRAYARALPPKQFTKLIQSRFHETSEVQHVDD SISAAILPYLLPSPPSLVLSYLSHLLSNTTLLTSRTIFIHLLFYIHNHDLPSISSILS MTNILSIHPTGLDQPIPSLLSPFTSELSIQPDVGPSTSTSVVQNQNQISTLSLLLPLL RISSTNSPNSISSLNGYLSKFISVLSAFPSPTLDVGLEAGQLLSSLPEEIGTHLRGLL SGLMTDLDNQDLMVQANQQQQQQNQDIQMGDDNTQNASISRDSRKGESKLPLRQTVIF LLEYIKRMRIKRSSRSTITTEDESKERYRALIRVGKHITNDSEELLRVLLEVTIQDLV GSNVDTLQCAEHWRIVVEDVSNLLKWWKDNRIDDFGFPDDPSNVLSTLFQSLSPSMQT FSEQLSQRYSNLVQQAENEDDGSTFTPLEGWHLLSLQETLLSKLVQVGIISHEQGSAI APGVNIYTFSPGESLTNRLSSESHPHLPSLVHTIQYSYGASSTFSTEITQIIGTCPVT PPPENIFNYIASQPGLLACLTTQISPLALLDLMQERLLDLGVEEQSRNDDPQGSLTRF GEGVALVEAFVAHHQLPLPPLLQDARCAISFSHLDEKSKECMNGWVKAIFGSDGIEDA ILLATAPQKLYKLSPTLIQQAIAAVTASQIDLDTLHSGLSYFSQPLLSWCLGGVVAWL CKEIKRQGLLSAVHLVVLQDLILGHSCPEALIRVNAKGLNELLSPNSGLTPVFESSNF DLVGIRTKLDSLGLNASLPTQEIDLKGALNVIRQFDLAPARWEKTLLDSLDAEVALRG REGCLDIVLEQVEIPQNGDLAGFVPLLLALSTSAGGYTLLESLFGHSKKLLSPQTGDS LKRSLSHSSALTSNSGQIVFASLVYELEYLVSLPVKMDDELSQSQEEKIRKKRKTNQD GGMINRLNVGQRERLESLIRGLRDDESLRSKFGNGMIERLDRIL L199_002171 MAATTSNPKDRNLLAVIGDEDSVTGLLLAGIGHVDQNQKKNFLI VDAKTQTSVIESAFQDFTERKDVAILLINQHIAEKIRPTVDRYQAAFPALLEIPSKEH PYDPAKDSVLKRVQKLRGD L199_002172 MSLTSPHPPAQPLAPQRSPSLSPEKELPAPRKTSSPLSSPSSIA RGNDLPIDPDADLDAAPEIRSPATSSTLPLTDDEEEEEQPDDGDEDSELTEEEEEEEE EEEGVKLDNRTGTIRRSISSESRSHREDSQASTASLTPPPSDKSITPSPQPSPKITLK LNAPRAEDSQDEVNANDNEQEEEEDGDVEDEDDEDGDVTMRAQPTNVDESDSALDQSK DLPDETLGEGETEDASLNDSKASVQDELTSTEGQPPAEEEEEELEEEKEMGDKIVIDE DEVDVEPPVEIEVEVEKPQDGEEGEENENLAGEEEGEPIDQDAEDEHEHEDVEENDHD HEAADSPAYLTVPTHGKSSHSTHAPPPTTAAMRSLVQLELKFAALRDKLYIERMEEAA VEEEMILNGTHPALKYLYKTLSDRREKLHEVASRRHQQTLGELKRVREAEKHLIWSSW TEDRDRLHWDEFEHTWSKRRRLAREKNEIETPRIVKPVPKVGQPSTIRAFDWSAGATP SQLSREEGNHDLALMDQHTRRQLQTTRNASPAIFAPQYNVAGSSSQPVNGGTTIYSYP QTQQQSHESHQPRHNSTPSLPQQQSQAAHTNYPPRIASTSNTNAKSYQPPQPSASIQQ NQNQPVQRREGRTVPTTSDLFAGPRRDSSVQKVKSPEEEPMSPIGLWSRGINGNAKRD GSSPKTPSSLGTPTISHNLPPGNSSASSNTGIRPGSAGAGSGPDKKSPPISSVNESGP MRSSSTGSTQPRPNTAGTGSTLPAQPPRSNSNPTSSSSTTISANDRPGSGIPPNAHAR ERPDFPSRFASLADYLASSTGQPGNGLFGMGIGLGSMGLGVPMGVGKGVGGMGAGQNK GRSPFQTSNGNSSPFGTTQSGSLAAESNNTAKSTSPVPPPTMPPAGTVTTAGTGEGAG RS L199_002173 MAIFQSALAPFTVKGFYLITWGTALGTNVWNTVSGYRTYKTLPR QTFGTLQSRLQPLYFTFSSIATSTLLFTHYWFHPGLISSPRVPPHHNSDYPEGIQALL IIGSLVPQLLNLLVVSPLASDVMFERHRQERVEGKEYDEPNVSETLQKLNKKFSLYHG IASALNTVSFLALAGLGLHVSM L199_002174 MSFLRATTTLPLTTSRISYRALSTSAIRSKTLTESVKDAADAVN KKVGQTLASGLESAQHATESAKDTVNAKTPSQSEIDSKASSASQTAKETAESARQNAN QALGSAAGKARDAADEVNKRV L199_002175 MERLKNVFSSSSSATAKLSKAFKFNSDHNILRRTNTNGLGGGNP FLDGPILEEPSLPRSHLIIHVVAIFFTFLAICTMGAVAGFQAKWVGVSGGTGFTLFLL LLSFVLSTFLLVVPIVYDRWDRLKRPAQFLNQTRSTFILHAFGSFLMLLSAFIVTISA WTAKGCKNPDDDPNADLGDDFKNGLKDWCTTKKASAIFDWFSFGAWAALLVLTALVFR KERCSNRHREPAFIPPESTGVSYSNILQADDERYADKGDSHANETPITASNGYGYSRT NVPSSVGGMQDHNALSRPSVDAYGAFDGDMPGGTNQHSLLSDNSGQSRTMQLAYTDPY AQIRASLMSPTSSQSGYAAQQQAPQQLYGNGGLPNPPAYGGYR L199_002176 MSAIPTSNGGHGVGTIPEATDEEGIPDDTKTNQATSKVVNVKDL PYMFDTLKPPSSTHTHALGTPSLSSQNSIPESEAEPISPPNLSQLQHAKQDYHPSPLG GSEAHRSLNGGHDHAHPPLSSSLATVGSTDSPKVHHPQPGLPDTSFPFPNFRPLPSTT NSPHPGHSMNIPPPPVSGLTSAQMSRANSSASVYAGSHHTHEKHPEVQTRSSRAHTTT SKPTMLGEHGAKKSFLHKMFHPNEAKHEAKLAAHAQAQAQAEAKAHHENLSVDVSRQH SSSGYNSPRSRSPQPPSRDATRSPPLTPSTPPANVSDSDHSRPPSRAPSFRRQNSGQD PHVQPPTDRDRKGASTPPVPAPPLQRKLSGRSASHGSTGGKDKPVALVGGQPVAVANK QEVTSSSGNKFALKDLVGLGDNGPKLSRKASANGSQKGSDRASTKAGSEYGGDNASTA SLLKKYGICDKAAIGKGATAVVRLAHKWDRREEKLYAVKEFRKRRKNETEKDYVKKLT SEFCISSTLHHMNVVETVDLVQDEQHHWCEVMEYCPGGDLYAAIKKGGMSSSEVECTF KQILQGIQYLHSMGVAHRDIKPENLLLDGRGHVKITDFGVSDVFRMCWEKKTHLSKGL CGSEPYIAPELFDHKEYDARQVDVWAAAIVFYCMQFQELPWRVAKTTDTTFATYLSTY KGDGTTEKPPTPAPLNNLIPRECRNVVKHMLDPDPKTRWSVDEALKDKWLASVEVCVE GQKNSHTHTSAGMDVVKL L199_002177 MCRRQPGIAIGRMCEKCDGKCPICDSYVRPMTIVRICDECSFGT AAGKCIICSSPAISDAYYCTECTRLEKDRDGCPRIINMGASRVDAFYERKKLGLEKGG GFKKG L199_002178 MATKNEIAAEHGLAGHDSHVTDGTYTNGNNGNNAAPGYTGGGGP MARFITPGGHPVDTSQPAFPVFHRKFANPAPLGLLGFAGTTLLLSFINVSARGVTVPN VILGMALGYGGLVQLIAGIEEWACGNTFGATAFSSYGGFWLSFAVLYIPQFEVTAAYT DKGMLANALGFYLAVWGIITFLFLIACLRSSMALVGVFFFLDITFWLLAAGEFTESAT THKAGGAFGIITAFVAMYTALAGLLTKDTSHFLIPVGDLARVGK L199_002179 MEPILGESKRRVCYFFDSDIGNYHYGPGHPMKPTRIRMCHSLVM NYGLYKKMEIFRAKPATKREMSQFHTDEYVDFLHRINPDNAQQFAKEQVKYNVGDDCP IFDGLFEYCSISAGGSMEGAARLSRDKCDIAVNWAGGLHHAKKAEASGFCYVNDIVLG ILELLRYHQRVLYIDIDVHHGDGVEEAFYTTDRVMTCSFHKYGEFFPGTGEVRDNGIG KGKGYAVNVPLRDGINDQNYQDIFQPVIKRVIEWYQPGAIVLQCGSDSLSGDRLGSFN LSMKGHAACVQFVKSFHLPLLLLGGGGYTVKSVSRTWAYETGLAAGVELQKNIPNNEY WEYYGPTYELDVRPSNMTDHNTPEYLQKVKEAVFEVLRDKNAAPSVPLQDVPKLRHDD EDDNELEDTEDKEVRRPMRLWDKEKQNENSLSDSEDEGTGGRKHRQSYKNSNGSGSAS GSVHKKRRSKSPPIAPTTGTGAQVNGLGTSSTSTNGAVGGINIENWASSVPPSNSTSS AIPVPVSTTTETITNAAEVNGNGNGVGNGEDIEMADSSNQPEQITSTTII L199_002180 MSSSAYIDSLPYYDKHLDDPNLKSAAQALIDAELRRTPQINEDD ERLPKSVDVFPKSQGLSELLNQYPTKPIRSIDPSKYQPPIIGDSASLEELENAEKQSK VAEGHMALRLENTSLLSTYAPNAWLVRNFQLNSQITELTSTLDQLKEQIVDVNRSRRV YQEEKGGQLGKLESKWQDLISSNVQLEMACKALESEVRGLRSKQEGLEKEVESLEKGQ L199_002181 MHFATFLSPLLLLTLPSLVMSDPTPTTPNHDLDIRGYGKCYDLK TRDPQTCKCYPEYEEPKDHGGYWKKRQDAEAEAEAKKGGYHYEECVCPDYPNTELDGG YGGGGWEWKGHGGGGHPKCVCKGDHQTYNSNTRKCECDDGYQPWTPPNHYKRGEKKGG NKHKLICKPGPTQSASPGGYKRSPSLHEAVKMREQDERGVENLLGCKDQEKACESHGS WKCVDVSSLLWSCGACPGEGVDCGAVPGVSEVSCQQGHCVIESCRRGFTLINTPSIEY ISNTTCVSKNDQSPSKSWFVAQVN L199_002182 MSRFAGRSLDKLWFWFCAPITILLDLQTIYPKHWLANTPLPALF DYSISLARDPILGGALSGSKEFNWLRYFLYLEGGFQLPCFAIGAWGLWKNDKRVYPLL LAYGASTATTLIPCLGTIFTASPTPPFTNREIITLLSEYIPFLLIPLGMAIDMGVKLV KIVGIAQERKRV L199_002183 MILRYIYLILATLLAISSCLASNSNTQLDSQLSELFSNSTSTGR HTNNWAVLVCSSRYWFNYRHMANTLAMYRTLKRLGLPDSNIILMLSDDVACNPRNAFP ATVYANKGRQMDLYGEGIEVDYRGYEVTVESFLRLLTGRHDPSIPRSKRLLSDASSNV FIYMTGHGGNEFLKFQDNEEVSAYDVADAVEQMWEKRRYNKLLFTIDTCQANTMYSKF YSPEITSTGSSSLGENSYSHHNDMDIGVAVIDSFTHYILQYLETLGKTSTATLKDFFG IYDPVKIQSHPGISTSLSSVPPEEILVTDFFGAVARVEVSPKQAELSPLSNELRESKW EIVAKPTGRIGRKNEDVDFEEELTEKGQLDTNKWQKPLIGGKSELKKVLSSLVGIVGI GLLLYAGSGTQDKLKEA L199_002184 MSDLENELLGLAEDDPTRRPFKKRHNSGGVGGGKKKSKAFEESE SEGEADMDLESESSDEEDAVTKFASSSSAANNRNKAGGRGVGSNPYPLEGKYKDEADR EALENLPEIEREEILATRLEEMQKFKDSQALDAMFKTIGGDDDEEDDEGPSRKRRKHT SVTKEASRAMSDLKNKRKAKDERAQRRAARQSQKRHRSASPGSDHSTEDGEISHSQSQ SQSQSYSQRYSPTHSPGYDNKKKNLSPSKDSKEDLDGVPANRQEINSARLSRYELVDM MYKDGFEEVVVGAYVRLMAGEPDEQGRPKYRIHRIKEVDTSEKFGAYNIEYKGRNVRD ARGLLCSYGKMTRLFRIADVSNGDFEEKEFSRFSMTNKVDGVKLPKRSELKEKHEEIK ALRDRPMTDAEVNRQINTRKAHDPSANRSALLKISQLLSTRDLATRRNDVHTVEMINS EIIKLGGDPATGQLVNNGEQLTEGDDYELRIQKINENNKRKTKEMMLKAHQAALARKK AEEAMIKAKAATPEISTPIPKPDVPPASGLRKGETPQEYVARTIDLDLGDF L199_002185 MAIENQQTAAAPAQQESTSFFSSVMEFFVPTAHAEAPEESEEPA EEGGDEPAEEEEEEEEEPEDPAPAIREECEQKQCAEHVHHFKHCQEKVEAGNGFPGED CVEEFFHVLHCVDACAAPKVFKKLA L199_002186 MHRLVKARSQHFASSPRKTATPLITKHTLYNGKHPPKRRRLGSE AFVISRPVITSDSSDDEDISLDATEDDNDGLPRRGESSRSRSQKVLPLSSEIGQQIIN KSTKSNNNRKSISRKPKGKGKAKAEVVNGHGNDVFGDGNGNLENNEEGEDDENGSSST SPRKRKRSHIDPDSSADSGSWIEMEEDEEEPEFIAESDQHLIDSAPANALHRLRKAEL IRLWKVAGMWNEEDDVGSVTSVDDENDAGMGKKDLVDGLIAARKSFDRLISPLPSSPS RMSPRRKATIESVEIHNLRRSTSSSQQHSPNSSSSSGGRPVRDRVVGDTDATPRAIPR TRSRVRLAETTLIRTAPRRTKDRRKSMVKNGFRGRSKSMGDDKGDKKARFGDDVKSPG KALIDRRTRRSSTLSSAYTTTTDTSQDGDSLPPRQTPHRIKRQSGNRGYTPRQTRKSK SKSTPARKLVNGDRSKITKDADEETEDDEPTPLVNRLRSRGGRASYIKELSSEVEADD EENENEHDADNATVQGEDTEESNEEDSPAPSRNLRSRDKLIDSDVSMVSSKKGRSLPS RGAKKKAIEALKGGESDTEMEVDEDVEIPVEGDDDEDMEQDERPRTRARNKAHTSPPQ PSTPPRRLTRRLSRQSPTTADSDEETAEPPESELTATPDSPSPPPEEDILATPGQPHT TRSGKAFGVMQSRRKRLRQEARDDPDMEVDEDDEEEEDEETDEDESFEADIDLTDATV SSLTRLLRDELVQMCEARGIEVGGTKPQLAKALLEWRDEQNQGESVNSNPSSSATAKP SSSSGKERSIKNKKSKSKKIIHAIGSNVHVPGKTTPVLLRDHIHAEDPATPPISDEDN KPVASEAELNLDLQELGLEDSIIKPSQLIKLEKIGSGGFKDVFVGKLRGRKVAISEFR GHLSEMDIRELKLLAEFSHPNIVRFRGICIPEDSTHVPCMLVSELCENGDLFDYIRNV PCPSLRRVLNLMLDIARGLEYLHTRKPSIIHRDCKSSNILINRSGQAKVGDFGLARVK NSTRSMIRSLVGTVNWQAPELWHPHPRYDYKVDVFSAGMVYWEMMSGWIGDKKYPWEG HNEHYIYDVVGAKQKRPSVAGLRKHWGDEPVNLMERMWHQDPAERPTMTDVVADLESL ISELR L199_002187 MPANAPIPGKFEEDPRVHFDKTAGKWQYEDEDGTEYEWTGQAWI PLIDEELWKAQQAAYSVAGVDESTPANAVLARDEKRNRKRKKGEKDYTSNTSTLNAAS NGSAAGAGGSSTSQTQQNQAAKKTAVWVSNLPPNTTVELLSSVFSKAGVLLIDDEGQP RIKLYYDDEGNFKGDALIMYFKEGSVDLAITLLDDTELELGSGYGNMRVKVAEYESKE KEKEKHEKKEKDTAEHAYGRGEKKKLTAEEKQRMSKRIKTMQNKISWHSDDDSDDPAA PIGGAPSPLSNRFNRVVVLKGMFALEDIEKDPGLILELKEEVRDEAETLGQVTNVVLY DKEEDGVMTIKFKDPVAAQACVMKMNNRYFDGRVIYAGIYTGKERFRKSGGIHSVGDD EEADQEERERLDNFAQWLVEGEEENNKQ L199_002188 MSVPLYAVADFCLIPMGLPKPSVGPEIAEVQRVLEKSGLEYKVS SQIAFLPMFPKLTGYGTNIEGPWDDVVRVIGECHQAVHALGCQRIATDIRIGTRTDKS ITSGGNDSKVQRVVDILASEKK L199_002189 MPPKGKKTKAEEALDFLSNLDNLDAPGDEPNSSSPAAPNSATSG GSAAPTGVVEGTPRGSTDSTRKSTSNRDTASTNSANANAEGDEEAQKALDFLNAQINQ KRTKPLPLSSSTPRSSTPVSTSAATVPPPPVAAAEPANVPSQSPNSGGWGVSSFWSSA TSALQQASKVADEQYKKVRQEGVQGVTHQLENLGVKGVTVPNVVDLNKLRKGAEERLG GIVKGVDLEKLRQDLVNTTTSTLTSILDTVAPPISAHETLELWLTHPMIGYGGVEGVI YRAWVRILEQTESGELIIVWSPGEREGSSAEKGDHDEGEGEGRSINPIEGWEKAWELA KKDIESVKGREEKDPKGRNKSPNPQVPVTTVPIFLHLQPLLAPLPYPEPPIHASSSAT EAQPPKHLYFLLTLQDPQHSLSFTTITQPSPSDWMDVEYEQSEWVEERLVEILRTGVE VIAQDYVATRMGLKPSAPTAAAVTTALAEQATQEKGAESTGTEKKSD L199_002190 MFNAPRRMGGGSLSSSAFLTSPGLSSSGYNDPLASTVPAPGAGG FGDVDPWSAVPSPARSGTPRGNVDEMRDGITAGSAGREGLNGLINDPPAIYVSLFDQI DTSGTGHVSLASVQRLLTTSKLPALTVEKIINLTSRDKSSLARQEFFCALALVALAQS SSEDDEISIERLSASTPNLPLPNLKPSSTSHNTLSAPPTGYDLGGSPSSSSASHGLTP SASTGFNAWDTTPRTNGLSDHQISAGGGSGNQHISSGYSANGSAFRSDGVLDESQLGY WKDLEHVEVALIPEKEGWFLQKYMVESDKRSSGPVSRRYSDFVWLLDCLIKRYPFRLL PSLPPKRIGPDASFLEARRKALKRFINFVVNHPVIKDDGALNVFLTEGAFESWRKRTK VSTDEESHSKKLNTAQEMAIPSDLDEKLGILRDNLPSILTSYQKLVLLSEKSLNRLVN HSADSSRLSLSVHAIGESLNKSCFRCTNSTDEHGTITNGNGGSCSLCKGVSKGLEDVG ETWVRIAEETERRVTIITSHIESLKSTRDLYLSFRDLFIRHDKLSKDNVDGLRKKVEG RNKKIEQLKNAGKPGWEIEVDKLVAANDQDNSSITSLLSRRVFIKACMWHELSVVHHS RQAAQTTLGWKDFTNDQIEGIKRLEGVWEGLKDKLDTMPVE L199_002191 MSSTREKEKLAGDGTSQSEWIQIRDSWTKQAVEDGDIKSLRKLS ALPGGYGGNDMRKKAWSTLLRTHLLARQTARKINGDESPRQEENSGGNNDKTVDKGEE EDTKGQQAGPSKLKAHPNERQVKLDTDRSFVTYPKGIPAQSKLELQADLNDLIVGVLR KYPALSYFQGYHDILSVLYLTFIPPKPIPQRSRSSSRNRIRKDRSILHTPEALSVPAD SGQKAHEVKVEEADEKFSGSISASVDGEKEELGVSEGIHEKPPPPSYDDISRDTAEWR ELRKCAEMVSLNRVRDAMGSGMEGMMGLLRILKRILKAADPELSKFSSKISPVPTLPF FALSWVLTLFSHDCDSLQPIQRMFDFLLARNPVSAVYLAASILILKKPQILEIAHQLG SEYEEDPTLLHPLFVRLPPLYADTPSEPDPPPTLTPSPKTSYDDFEEDSANPYRPIRL SELFQLTDTLMEQYPWDGDVIRGTEIMGEGSVVNTYHKEQMEEEWSAEEMLRMIDVQV VKPGAGDILDDEELDEEPVKQKRRLPVRKPRNKISTLLAFGVVVLGVGIAMYGFKAGG NEASWNRWWSMVIRGWIGKEGRNFEGMLGRGIGWVKKAVRDVM L199_002192 MVYTGPGDVTLGRSRSRSHSSHGSRTPSLHQPGLSRRSSLSAGH PQGLKQVDTSKIGVPIVTPRKERPGHVRSLTGSYFPAQKGVVTMEDEWPIGDEKTWKT ALKDMEFDHEDEQKVANTVVRHVTTSLARQAYNIDAIAAYQATALSVRDRLLERWNET ALHHTKKAPKRIYYLSIEWLIGRSLDNAVLNLGMRNTYENATQKLGFNFEDLLNEERD AGLGNGGLGRLAACYIDSMATLNIPGWGYGLRYNYGIFKQLISSTGEQLEAPDPWLDR ENPWEIARLDVAYPIRFYGRVENIPNSDKAVWSGGMECLAVAYDTPIPGFNTKNCANI RLWSAKPVQGFDLNSFNAGNYEASVAASSEVENITRVLYPNDNMYAGKKLRIMQQYLW VSGSLQDILRRFTKLDLPWTALPDYVCIQMNDTHPTLAIPELMRILIDEEELSYETAW KITTKVFAYTNHTVLPEALERWQLDLFETLLPRHLQIIYRINYDFMQAVAKRWPGDMD RMRRMSIIEEGTPKYVRMAYLAIVGSFKVNGVAELHSQLLQATIFRDFVEFKGRDFFT NVTNGITPRRWLLQCNPQLAALITHTLGNDHWLTHLKTLKQLLPMSENEEFRKAFTSI KMENKQRLADLVEAELGITLDIESIFMTQIKRLHEYKRQTLNLFAVIYRYLRIKKASP EERKKITKHTAIFAGKAAPGYYVAKLVIRLINNVARVVNSDPDVGDLLKVVFIPDYSV SIAEVLVPASDVSVQISTAGTEASGTSNMKLALNGALLLGTVDGANVEIAEDAGEDQS FLFGHLTEQVEGVRFTNSYQPTPLEQRSSELAEVFKAIESGTFGEGNTYEPLLKTVYE HDYYLVSNDFGSYLAAEKLMDECYANNRTEWTKKSILTAFNMGDFSSDRSVQDYADGI WSVEPCEVPNGDNL L199_002193 MDPSKIMTPATGSIGSRFESQASIDEAKENKQKAWREAYARIGQ EPPPEEPEEEYDPRTLYERLQAKKDLKKEEWDSKMKLSNQWRGIDSEEQRFLMEKDQE KKEEQRKLEERDAEELRAYREKQAAKASPSIEPPATTSSVQPKKVVPTKPARKDVKSL MKGVVVKKKPKPTSTTGTSSPTKPISPSVGTKRDAPEDEDTAKQKDGDEVDEKKRKLS VTDGT L199_002194 MPPKFDMSAFGGSDSEDESFPPKRQLELNALKSKTFSHGITKKT KKDLEREAEEKKRKEEEEALRLVNAEYEEAFEGPSSYNAPLGGTKQPSRRPIGPGGGF VKAGGAPMDMPFQPPRGSAGHNIEQSYQPPRGPSAMGYGRPRPPPQMKAPSPPPTSGS STGPMRKGKRAMDSFLEEIKNNQIAQERRLGQQAKQAGSSVAALAAWESEKGSHIVGN QETTNLFISNLPSNITEESLGMFFAKLGPIGTVKIMWPRGDEDTSVGASMTITRRSKP GLSGFVSYMKRKDAERAVREYDGLDWGGSVLRVGWSKPVPMPLRPIYDLGSGRESRDK RGRSASPVRHRDSDRRKDDKRDYDDRRKGGRSRSRSRSRSRSYSPPKSSKYSSSRKRS ARSRSYSTSSSRSPSPPPRRNKSRRSSYSSYSSDSYSRSRSPSPRPRKPSAKDKWLSG ISEDQKKFIKTVAGRVKDNGRGFEELLRKKEKENPKFAFLVNEDLPEYHFYQLSVDSR YRIPTPPPDDFADEGYASLYSSDSAEESEKERVVRGKLGKLARKRFEAMLRAMSGKRA EIARAMEFALKKAEAADEIAEIVCQSVKLDATPVPRKMARLHLISDILHNSASSLPNV WKYRQAFESRLPPVFSHLSTVYQSLLAYSGKISADVFRGQVAAVLEIWERWIVFNSET AEYLRGLLDGTKTLAAPKGAKAIQEEKEKQARAEAKKTEEQEDSGRFKTSGFKSSFKP ISATAPIAPAKNGDDLDGEAMEDVDGEAMEMDDDLDGEAMDEDLDGEAM L199_002195 MAPQELILSACSSDPSSSTSAARQQSTPSIHLHDILTSTPVQSF KNSASSSHSLGYVQSQNGQGGGVFAVQEGKGILNIWAWQKDQMHTKIHLPEKMTCFAL SPNGMWAAAGSPNGQIYFWEIASGLLLASLTAHYRSLTSLTFTPDSQILISTSLDSST HIFLVSRLIDPEDQALAGKPYGSLTDHTLAVRGVGLGRLAGSMGGRIWTCSDDGTVKM WSLHPPFPLLATFTLPPSSSPTSLAIDPTERFFYVSTSQGEVYHIPLFRRKDTFSTAA EYEAVGGGGNASIPLKVEGSVVSLKSPITSMTLSISSTILLVGCKDGTINLYSLPSHQ HLRTLNSHNGPITHLSTLLKPVDLTTSSSGGSGAVVEQWPIMEIKNFERMKSQNHKSS HTITIKLPQNHLTAISTSTLFSQLEDLRPQTKKSISGGQGNGVEQDQLEALIAENKRL RTSLDKATKINEKMWNGIVDMKLANGDQ L199_002196 MLRSQTAVGTQPIKQPGPYDLFPRPAVASWLDGIQAKIQQGLNP PDSPGPSKSPSPVHEEEEEDVQEPVADVVQNGLSLVDQQQDYDETDEFGEEFDEDDDE DIEEEYHEDEGMRQEQGHDDQQVESDGDIQNVASSPDQPEPLFQMYGDDDEEEEEEDE ENDQDVQEVPDRYDEQDEDQDELFESRSQSEYDPAYGVVPEEDGAAYVGSDGDVYGDE DQEEEDEDEEDEHEDEEEQEDGDEEEEEEEEQVEQSHGEEDEESDDGIQYMGTSESPA PKSDPHQYASPPNTLYPTLPLPSPPTTYVESGQTPQSEEQISQLPPFSEDAIDPSLLA QIVQQVHNNMPEDDAGPSIFGGNAVSYQPQSSTYQDLLPTHAFLQQPSTAYDSGYGEQ DEEEQDQDEIALEGQEEEDEEEEDRDEVSYEGQKGEDDQDELSDEDDSQGSYDDQEEE QLENVKRPVFTEVIEIGSSSDEDEAEDEEEQQVEEDEDEEEEEGEEDGEEEEDEGEED GEEEEAEEIEYAEDRDHTNASDGKRNEIQQEAEDSSSDRQEAEKEEEEEAIVIEANDI IAEEVSEEVSDTFDETPKPPEEAIMYDGEVIEDRHFVPSEVQEPNYVQSEAVTPSSVL PMEMEVIEVVEVTEVTGVQATGGANTITGPEPIMTPSIHDPIISELIQESQIPVDQPV SQREDLSTSKEDAIESQQENLTTPQLTDEFTMDVDEPPIKDENLEEANFSPFDTPTAS NPLEPFHAEDFVAFDSVKAVESSDGPSVTNTPTVETAGIEFMTVGTALSDDIMANSID VLPDPRAGLPDSPTIMPEVSHIQQPLSAVSPFLVGEPSVHLPPVEIVTPVEELSPENP TDLPDPKLSPPDADIAMPFIPHDLRPSIDRSPSLAVEPPEKDPLPGDVPTAAASRSST PVSLPDPTVPPPNTSARQPLDIHTMVPQDHVTPSLVVEIPRDPIPADITSAISSRAAT PPALPDPGLPPADTLVTGPLDPHDLVPHPPSSPSLIVEPPGRDPVPSDILSAPGTRAA TPTELPDPALPPPDSYLRAPLTPHQLIPSEYPQTPSLLVEAATDSPAQTMSRNPSALG SFVQFSSSDVDVSSEGDDDIQVHVRWIEPETSTQSITTNVEEQVEASEGNETEDQSTP AEGQKMDGEEQHSLNTATEEEKEAKEAAKETSEAALSAEESPSEADIQVDVQQVSPDE QRDRPEEAQGPLPSSDNEADRTAKTLWESIQDDHEPSSSIMPEEVHQPVLSTNEERSE EKKTEKGSPQKEKPPPFLPQVSESTIMRLFHRHGSPSNASGPGPETTAHQRTRSRGRA STSLEPPTTRSHCYYEKLRVADDDLTAVILVPHCAIPGSKQLEQETSYVEGRSSESEE TEGMKLQMDHDHPILLPQLTTKIRRLVGNQLVDEGHCYVLYAKEDAKVPEIEENGTPK GTPLRGHRKRRSMGGSASRLSTGGDDEDSTVSIQVESYETPSKKRATLSPPATRSGRK RGTASASVEPELEAGATPARRGRGGRPSSSRKGKGRESSVTSDTASRMSNTASPGPTL RRSARMSIARGENIPELEEGTPVPAEDELESKSVTASPGPRLEESESPTKATPRRSTR MSLRGKQNASTATPEPQAEGQADTEVDDPLGVESATPLETARLRSPRKPISSASKIDE APYRPESEEEEEDVEEEQGGDDKPLSSARSTLSVEIPSSKKRKSRASEAQNANEEDLK DDSAPAASTRKRKARAASKEPDVIANPDEVTVDQGETAMRETRGMKRRAIESKLVPGT PVPEPETTGEEGEDDDIQVKAETSPTSSTRTSSRSGGWSGRLMKKFGWGR L199_002197 MAYFETPDRVLKRVQQLEDMELPSLPSFQHDDVDFDSMSGAEST SDDHQQYYHQSSIVDEPQNDMTTPHPLRKVMGPPPSSTVTATTRSSADSFSTASGSPF PPVDSSPSEGTSSPYAPTTALTSTPQSHQTRSMSGSLRTSTTDRTATTSRLSRHERTL SGTGSLGNSTHASRSQRWQTPGEMSGSFSGDEIIDRMKLGAEQTIPLKDHSDQQYDES HSQSLPELPSLTVPPEETPVTRRLSSGNVLLERPRRRGPSTAIHQLEAVPETPSGPPT PATRNVVSSQASVLTALPGSQNERIPSLSRSEVSATDVSEAASTPEGPVRNVSMMTPR ADAHQEYEITGEYQYEIDSAQEDHGENPTWEYNQENEYTTQEDGNVSVDPSQYSSPHK QMDTYSPAKQAITPSIAHFSTPGTIRGQPFRYNNLEASSAPSTILYDVTDSIQNTPSG PVTPSPFGMISKTSDMSTPRAPLDDAERRKSHVLAVLGSSSGPSTRVLRPHVRGTPHP LRRVSTAPDTESIAEEGSDVTESQRSIRRAITPGMISRLTVDQSVDQSFVSVASSADL TSDKRASHMHSRLSRGNTSFPTILLPTNHASPGGGSLKGLSDQRADGIKIHKHLNAMN KQLLETNADLAREAEAWRDEADRLRGILEGHGIDVEDVDVLGGHNAGSTSANASQQLP EWPTKSPNGRNSTKDDHSELISQLSALSGRRGSSPRANKASTSAQDLLEGLSPEEYAA VMQEMSEKLDNLEEGLNEKDQLIAELEDQLERARQADTPEQQELLDEIEKLSAQLEEA ERARVALHSDFSTKTEQHAQQFGEICSGFEKQVRSLAKDLSDARAEVDRVKNDKSRLE RISSVEGGTEEQHLRKRVSDLEEMLQQATTDNHKQLIEVESLKKHSAHMLEEKEGLMY RVEDAETEIEELRARISEMQDEGTGSEALETVKGELKTACEAQIVAEDKLTRVEQELA KAQYTISHQETELGHQHDHIEELNRVIESLEADLAVVQNTSRANGEAEEELRHEQHQL KLVNEVLASKESEIESLKGKLEVANIATQAIRTSQRRTTSPASTISPTTNAAGTDNNS SNQDSFVAAMEERLDEAYREIGRLKHELNATPHRKSAVEVRDAKIQALEREKATLADR LASSSRSMTISASPAPAGVKDAGSPFKRPTPLVHRAIASLRTPKTPGPLPELSWLQST IGDANEPILQAQLEYLQKELQDANDQLDHNFSRLEAAGLGGVALAEKLAAAEERISEL EDEIRTLVQRNKASLALVSAQKEERERDNEGRMQKALAAVHEQMEQLKSDIANERSRL QTDNGRLRDLVSEMRLKSQAEVESFRSEMERMAEKAQGDLKDTRDDLSRVIRERDQLK KEIESQRIHIVELERDIAKEQRAYESLSRRNVQTTQSAALQTELTQKARTIESLQLSL RDAQRESDDLRNALSQRTKTLEDTKSRLAKFQIERTHVMKELEEFERDLQTQRSESRE FGLQLSLLKKEQNNASAKHRAELDALERELKEARNNERWTMKDLNDVKRKYDDLESWR INHQCDTGLSQALIDQKTHFKTQSRSLASQIRYLKAKFTRESTFRNALALQKRYLLLL VGGMSLDQQSTLRAIAQMGYPVPEPSRPRRTFKAVALAVCSIIRARNTANDWKKEKDL KLTISSNGSGERRRVSART L199_002198 MRPFHPPTPQSHRSSYIPSTPDSPTVEKESHIGGTTPDVRQARE AADGVVRDYEEKRDRLAKAIDSSLYLLSDLKNFNGEQWTVRYPHLKPIDSSSQGSPST RPGMSRRTLTFADEPSQTTDVVLNSTPTVRRTLKRSLTLAPSAPCTPITTVNSTVEEP KNIDENEDDFSILRLNLNMGAARHAKGLISHLEKSSISALLDTRISASLDHLTHLQKR IFDAQSRVLVTGDLNAGKSTLINALLRRDEVMPTDQQPLTTRFVEVVSAKENEDKEEI HILKHADKYDPSDESTYSTEDVSKLYEMLVDAEDDASSPSLRVFLKEADANMANPSIL HNGVVDISLIDAPGLNRDSIKTTANFARQEEIDVVVFVVSAANHFTLSAKEFIWQAGH EKAHLFIVVNRFDQIKDKARCRRLVLEQIKQLSPKTYEDAADLVHFVDSAKVALGYGE TEPEGDELDEAFSHLEHSLRSFVLVNRAKSKLGPAQNYITHLLADVELLAAANSLVAG KERDAAREELARVKPVLEKMKKGKEGLEESLVAEEEAATDKASGRTKLAMERGLERVG RGELAAPAPGLSLPAYPGLLGAWDFAAEVKRVLLASLDFAVSLAEDDARKLTSEGVEE VTKLGDAHLPADVERTNRKFNPQAMFSPRAIRRQSSVTSIGLGLAGQSQLVEVNVTDI FDLQHHIHLARSVLPSTASTSHELVPFSTEVGAASLAVGAFSMISGKTLGLRAVVEGL VHFSEFVSNPATRRWIGPVVGVFTAGAIAYVIYDLPRSIPRNVGRHIQSTLTISSGQP SQVDDASVPFAEYQATRVSKEVRKVMRLAAWDLRERFRAAVEARGEVVKASEEIEKKA TKALEWFDHVEKRVENIREEVGIKV L199_002199 MGIVEKIEEIEKEMARTQKNKATEYHLGLLKAKLAKYRAQLLEP EKKGPKGEGFDVMKSGDARVCMIGFPSVGKSTLLSKVTKTESVVGAYEFTTLTAIPGV LEYEGARVQLLDLPGIVQDAAKGRGRGRQVVAVAKTADLILLMIDATKSAEQRKMLET ELEAVGIRLNTKPPDVVFKQKTAGGITINNTVKLTKTDERTLRSILQTYKIHNCDVMV REDITTDEFIDVLLGTRKYIPALTVINKVDGVSMETLDSMAREGDGRTIMISCEIDLG LDWLLEAIWAELGMVKVYTKRRGDQPDLSDPICLRQGATIEAVCHGIHRGLASHFKYA LVWGKSSKFNPQPQKVGLSHLVQDEDVVSIFTK L199_002200 MPTFHPPLAHTSHVPIPQTASHAYVQLNFFATFTDGEDESGSWE LWTDLPRLDDQGNPTSQPGEWRAAQFQPYIPPSPQDTKQKHKHQQTNGDATASPLYLK ASAGYPSPTTPGQKTFYLSIVLPALPDQQYSYTYRHITSSGETQWLGGMGGNGSIKLN GDDVEPKIEGPKVGSWSENLDGVKESGWYGIAIELQKSNGKLKPIVHTIPSKAQVTAH LALLTAQQPLHSSTLSTLTTSPTATFPSATLRHLSILSPSSPLDISPSSIPSAPADSF YGLTTGSTAVEALRAAFKAAKAKENIFHLAEVSADHEDVSAIFISSGQQEPQQAYLII HAPSRAYPVDIAVTLPQDILDPVPIALFTNSKGPVSYLSNLESKSGNRKIRLTLEKGA IAEVIQLAEFTELRGSGGDDPIWICAPDAINVEFGEEEISQPEFTAKSAPVSAYNEVT PVKIRDEEEEVMSKPSQTQTTDSQSATTSEEEENSVPVQPHPGPAEPTHGWWLLRFIG RFFVDIWELLLSPFRSSPAITDGTSQAEQREGEQEENDPDVPANERTPLLGSQSMSRE TSSSSTAFDPLATPTSITTVKEELKPKYPTDGSITPVGHNSSPNVDNIIVADEFPMIN SIRIRSYAQMTFNHLPPFKFLLPPNSIDITSKLKFTVREKAAQRWEEVEPSFEDPKNH TQCQEVIVEGKNGTGGTDWEVQVERI L199_002201 MPRRKNAFLSDGSDSEASNSGESIADYDSQEDDDSRAERRLFEH KGKRRKTAGGRSGKESAWEGIFGEEPEEGHGGRGLGSRSKGASSSKRTDWTKAPAFVS SHPAKVGEDEEDKSVDEESDEDEDEGDSADSSGSEDEDEDVEEESRQPSPRIRDEEEE ENYPKGQSGLGSANGFAPARTIPADSKAEPSRSSGGRGGFGSSSRGGGGIGSSSRLVD NASTPTETSREGPTGGLGSSQAPVQPIDESAPPTNVPSAFGRPPPSLTASSGPSRKQQ SFLSRPQPSAAAKSAELTAAERVHFSKISSSFGARMLAKQGWEAGKGLGVQEDGRAVP IQVGKVFRGQGIQKGMRTEDSKREARRQGHVFSDDEDEKPKRGQRGRGPKVPKETKEE VEQGWKKQKRVKVKVEHKTYEQLLAEAGDTATSGGVGLVLDARGGELKEVQSLSSLSL STWTPSSDNMKLPELRHNLRLIVDVAKGDVEGLIREGKSVNERRRWALREEQVSRAKV EETEKRLARITQIQALVENISSIAVSQSVAANPSLAPLTDDFNALINDYGDEYKSQSL DDVVVAAIAQVQRRAFSEWEPFDVSTDILLSSLKTWRKAYNLPRSDDPDEFALAVNGA DGIGRAAHKQENGERTMSAWESLLWSLWLPKVRSAINNDWDPSSPHAAVHLLESWEPI LPAFIRDNVMDQLILPKVKAAIEQWDPRRSKYGKQAGSLASIVFPWLPLLGDRGDDIL EGAKRRIRSVLRNWVVKDGVPDELARWKKNVYPSSEWDKLIIQFVLPKLGASLRDDFS INPRKQDLVPLEDWVMPWHKLLRQSMFSHLLESEFFPKWLDILYIWLVQPAYKPDEVA NWFVWWKGRFPEAVLEMPGINRGFNTGLELMDQAIKLGNDAPHKLVKPDFKPLPPSSK TTSSKSNKPKNTIQEIKRPLVESIPTEITFRSIAEDFVSQHDLIFLPIGKSHAKTGKP LFKISKNVDGRGGVTVYIGDNAVFAQGEDGDFRAVSLEDMVKRAGA L199_002202 MTPDSQLDLLFDPSLIPSTIQEQLGPDLHLRPLASDDHKRGHFD VLSVLTTAPLLSPKAYKENFDELKACAGTYFIIVIVDVASEKIVGSGSVIVERKFLRA AGLVGHIEDIAVSKAVQGKKLGLRIINALEEIGRVRGCYKIILDCSEKNIPFYEKCGF KHKEFQMVRYMADPKDVAKSPTPSKL L199_002203 MSQYNNNPNQGLSPSSSSNTNHRRPPFLGLAGPSISSPITTLSS LNAVPGPSNHTPIKKERPPNQAGPRIIRTFEEIKVPVKSEEWYEELECAICSQVLGAT QSIVPCGHSFCGPCSWKWIKSGDHPTCPSCRIRVSESTPFIPNIMVDQIIERKLAHIC DSAEKNAMDIERKEKAQAWKVIQASMPAPKPTQPKRPRGLDDIVHEFIDLAAPMPIIR SGHARRASRQIIELGAPIDAMSAEEANALSRARTERIQERLAEMRRLREERIRLLTDQ VATARPVSEDTEMEMANPIRNHQQSAPANDRSLQRGSPSRSDHLRSPALARRQARGRD RGTREDPLVVLSDEE L199_002204 MTMTPPQSTSDQYELGCILAPPHQSDVKAVLAISDDLIASASRD QSVGIWTRSGNTKFELKALLGGHHAYVNSLAHVPSSNDTDTEDLLASGGNSSLILLHS LKTFSPESEHCLIGHSLNVCTLSYSTKRKKLISGSWDQTARIWSRSLESREWVCERVL EGHEQAVWGVAIIDEGPKANCYVTADRLIHLWNEEGEILQRFKGSPEPVRSLAISPGG ETLVRIWNFGGTVLNRLKGHKDYVYQVVPGKMGVELVSCGEDHTARTWTITGGSDGGI RVWSKEKDRIAEQDVREAYMKLVKANMPSEEADSTSQNQPEQTSLTIDIDLSDDDPPI PLVFQIGSDPRSTAEAFGQEHGLSENYINQIEAFIKAHLDALGSQ L199_002205 MEPADSSSPWRFAQCFGDKGDVEDITEADIISTVEFDHTGDYLA TGDKGGRVVLFERNEQKRGCEYKFYTEFQSHEPEFDYLKSLEIEEKINRIKWCKRQNA AHFLLSTNDKTIKLWKVFDKQIKVVAENNHSDGYAGGGNGPSQPPLRLPRMTTHDSIT AAVPRKVYANAHAYHINSISVNSDGETYISADDLRINLWNLNISDQSFNIVDIKPVNM EELTEVITAAEFHPIHCNLFMYSSSKGTIKLADMRDSALCDQHSKQFEEEEDPTQKSF FSEIISSISDVKFSQDGRYILSRDYLTLKIWDINMENKPVKTINIHDHLRQKLCDLYE NDCIFDKFECTFSGDGSQVLTGSYHNYFRIYDVNGDNDVVLQADKSAFKAKKIGGARG KAPGKKEGMQTEGIDFAKKILHASWHPKENTIAIAATNNL L199_002206 MSKASSLGASTQGQPHRRKPLQQIDPVMSAALNNGGLKELWNDD EDSFEVQVPDFHFDWELEKGNGVKSQGTVDEPPVSRFSSMSLGKTNSRPSSLSPPPAG MLSSSSTNTRTTPPPRNIHSARSSLSSVQVASLTDASTATGSPLIPTPPGSGFSSGAR SSSSHHLDSGGSGSGSGSSSRIYGGSRRFQRVVSAPVTSQKYEGDENGMLTIEESSNL SSSTTSHTATMRPGSTHASSASSIPHESSSATLTSTSTYMTPGITERTLTSTARSTGR RLGGLSKFGGPARRVVPALEPGEIQEEAERGDPVLIESPPRNEPLPLQEPDSAIPSHQ QSSSTSGTHFAHLPPRNQYRGSPVLQQSKSPVIPEEDMFTSQRSQEPFQGRLEQVHRS SDRRSSLQSTNSNIPDEEDRPFRPFNSQVDRGNGRSTLIPNYSQPQQSQYDPSYRNDV GISAYPQPIRKSPPAPDRYSPQPQPQPLRAVRPAPAVNNIQNSQTSLANPVTAPPKLG QQARLDVQGPSATPVAAPRTSFVVNSIPYERLQRLGKGGSSTVYSVLYSAPPKKRIIY ALKVVQLDRADSETYQSYTNEIELLKRLRGHDRVIQLIDHQITFGQGNRPHRLMMVME CGEIDFAALLDEQRGKAINMNFVGLYWEQIGTVNYMSPEAIQRMNNQKVLKLSYPSDV WSLGCILYQMIYGNPPFQHIGGGPLAKMQVIANPQHVIEYPEVAVPKATVGVALDGHP IDPASLAVSVAPAAIDTMQRCLSYRKEHRLTIPELLHHEFLKPKIRVPAVPPGSTPIT QKQMRMMVNFILRENGLPERSDTDYTAEDLFSQLQAQNALSSGE L199_002207 MPPQLFSNLSKRACAYHITDSGGKLYDSDGNVCESLGADDKIFL AIALSICGVVLLILGGIYLRRLQKSRAQDRAMQISSEPKMSSMGTPLMRHAGSFASLS RQVSRAPPSPLSSDSHSSQFSSPNPGSPYSPSSENFHFPILSADGHLLPPPPASSRKS PVELNSVGVDRPLPAAPASMAAPLYAPVPKSSQRWSYRTPSEDSHGYPTGLYSGVEIR GDGTVEVMRDDFGIETTNVRSSPTISRVDHSLGGYAPQPQRATFYQSLSAEGDDYSVY DYVSDESHRRY L199_002208 MSTEQQYKQASIFTADGVLFDMDGTLTDSIAAVEAAWTAKAEEL GLEPEEVIRATHGRRASDNLMELVPGLRKEHVEREVEKFERSILAFADTPPHSRKGSF SSARSRQSSMTSGSTRRSSIAPMTPVSNTPDVARHPSFQFSTADTLNLTSLKLSKTSV TEVAVEDESPFADEEAEDLIDMSVRILPGVRKMINSLPEGKYAVATSGAKTYCHGCLN RTGITIPKVCVTADDARLLRGKPFPDPFLLAASDLGIAPTRAVVFEDSPSGIKAGVAS GATVIAVCTSHTREKIENLGAHFVVDTMDQVKVDHMEDGQLRFTVAY L199_002209 MVVKVGINGFGRIGRIVLRNAIEHGDIEVVAVNDPFIDLEYMVY MFKYDSTHGRFKGTVETKEGKLFINNKPISVFGERDPTAIKWGEAGADYVVESTGVFT TTEKASAHLKGGAKKVIISAPSADAPMFVCGVNLEQYKPEYQVISNASCTTNCLAPLA KVIHDNFTIVEGLMTTVHATTATQKTVDGPSNKDWRGGRGAAANIIPSSTGAAKAVGK VIPDLNGKLTGMAFRVPTSDVSVVDLVARIEKGASYDEIKAVIKKASESPELKGILGY TEDEVVSTDFTGSTESSIFDAKAGIALNKNFVKLVSWYDNEYGYSRRVCDLVAYIAGV DAKAQ L199_002210 MAQAIRRDTYASPGALGFIYPAPRTYNATTAGTRPCGVASVSAT RDYVPLNGGNLWLLSQAKVENIQLNFANSEDPTQQTDFNNLGMAMTGLTTGDTCIPLP DFTDLGLMLGDKITLQVAYALEANSGSKLYQCADIELISAHDYEAPPYSCTNTSTTWS DSEASSTDGTAATVTVTSSASTGGKVSPLAAGWIGAVVTLAVVAAALGLAYYCGILVF RSQRRAKLRYSGPNPIQLQSQPNLSADDISLHRRTTVDHKGPL L199_002211 MKFAHALSVAAGLSVVLAQNSTTLTTCQQSCVDSTLASNGTCGS SYTACACDNTFVQNVKICLYQGSCSSDVATWFDTATSACEASGQDANYTSTHSGNYTY SESSGSSSTAAVSSAAAATSAAASASASSSGSSSGAAQQYGAVGTAALTLIGAVVGSA MLL L199_002212 MSLSPSAEEKINPSEAQALAVTEAHHNEEYEEFLVLEKQMDGAP HRKLMRKLDFRLLPTLSVLYLLASLDKANAGNAKLFGFLDEIGMTATQFNYALMYLFF TYGLFEPVCNVCLRRLGPKIWFPIAVTTWGLITTLTCVVSSYGGFVAIRLFLGAAEAS IYPGGYLLLSMWYKPRELATRMALFYGANTAAGAFGGVIAYGVGNMDGMHGWRAWRWL FLIEGLITVFFGLASYFMLPPFPHTYKAGTKNSWLTPDEAKYARLRTKYANGPHAPTY VFQWKDVLAAAKDRKTYFMMMLFWWGGSIPTYSLSYTLPTMVKNLGYTAVKAQALTTP PYIFATIVTVTIGILSDRFRRRYFAIMSAYSLGLTGIIILWITVHHPHLTGVSYFAIF LAAAGYSAQAPIVGAWTSENVPNPSKRAAAIGFLMLFGSIGGGSIGSNIYLANQAPYY PLGFGFSVGATVLGAMIPATIHWTLLKRDNMRKDRMDEAEVLATYTAEDLSAMEEYSP LFRYST L199_002213 MPLILDQPKVEQTPVSLKDINKARLSRPKNDDGSPLYPDYMPFY DPLEKVEDLGEFEHFDPGHRADPSFPNLLAGATKFFDLSPHVGTEIHGVQVSKLDSKG LDELALLAAQRGALVFRDQDFGDLGFEKQKEIVRHFGPLHIHGWAPHPAAGSVEHMII YDHKDDLRVRRSWAGKSPVQWHTDQSPEPQTPGTTFICMLESPSTAGGDTLISSSVQA YYSLSPKFRKRLEGLTAVHSNNDGAAAELKNGKDAVMRREVLSTEHPVVIVHPVTKKK ALYVNPVYTKYIVGFDKEESDYLLNFLYNHIATRQDFSCRVRYEAGTVLVWDQRVTNH SQTLDYPVGDRRHAFRLTPLANKPIPAMVEEDDGECAGDVQRMQLNLC L199_002214 MVRPLRKGIYVPLPTFFDDHEDLDLKSFTSQVVYTAKAGILPVV CGSMGEAVHLSPDERVELVKTARKALDENEMEDVPILAGIGAASTRETNVLARRLAEA GADHAILITGGYYAGGLIKKPEAIKKFFVDVSEASPIPVMLYNFPGVTGGIDMTSDLV EDIAKSAPNTCGIKLTCGAVGKLTRIAATVNSKDFTETYPRKDPSAPFLVIDGFIDFL LPSVAAGASGTITGVPNFAPLTCMKLWEYCTRSDLHTPEVMREAQDLQALISRADWAA SNANIPGMKRLLQHLFGYGHLPRGPLPEMSVDEAEKLLINHPSIMNILEYERRLQREG YKFCSDK L199_002215 MQTNSEEYGPRDLVGYGFNPPNPEWPGGAKIAISLVKGSEHTLV NGDEVSETLASELGPGVTPHVNQRDVNMESLYEYGSRAGVWRILRLFQEKSVTCTAYA VGQALEMNPEVGQVLKEHGHEFASHGWRWIDRSKWTVEEEEENVRKTIRAIGKASGAP PKGWYYGMVGSKASPRSRALVAKVFREEGIPLEYWCDDYSDDLPYWIPMPGGQKEEGL LVIPYTLDTNDYKNATYQPFTTSDDFYTYLKDAFDELYREGTKGSPKMLSIGLHCRIV GRPARIAGLRKFIEYAQSKQGVWFARRDEIARHWKGKFPYDPK L199_002216 MQRMKSDVVALQKRMREALRRIGELESASTYQMSVQRTGVGAAD SRPSLINNAPPNDTSILNLPDLHPVTVQQSFSPQTAHASTIAGTIKNGTFSSAPIQTL RGLLARPPDDNTDTTLSYPSRNREVQSTSTHDGSQRNDPISRGLLSTDEAQRLFDIFF EACHELAPCSWIAGQRDAEKTREKSSFLFTSICLIGARIRSTHNWLHPRYPSLVSLFD EHIQLLLLHPSSRDFTPEAVQSLLLSIQWPAVDIDVSSTSTSTSTPKSRFTDSYAWLM IGLATRFAKYIALEEVTHVDFGDPRLDEEKLQKMRVWLNLISVDRQYPSLTLTAGLPA TLVAPPTSVLRAFGSHPKAQSGDLKLAGLAELVAIVHRASISCGDVSLKKLDSITLSV ANAELDSWEREWNAIFSGSGADPTFKQQMPFTALRWYRLALNALPIGVELGDIEKGTM SPTPAALKAGVDAAFRLLWQYSTDAVGERPERLTAMVDGSKYTMNFTALSSFMFAVDS YWITHAYAAVFLVLVYDRGCIDANLNAYSFTQSGPPPPVPNSSPLYRIISFAASLFDG ICQGAAHHPSMQYRSIVANALNTLEQYQLAREESSMVPVADGIDEMLNALFDPGQDWA FLRDMGYSQ L199_002217 MTQHTLTQDGRSDSPQHGTDEEQKLPDASLAQGQLDTEKMRHQQ IGVTRIEALWRHFGNNRTVLSLLGIALFLVCCVFSLDSSTTYTYETFGASYYEDHARL LGVISTVETIINAVSKPFIAKLADVTSRQTSYLFLLVLYLVGYIIIAASNSGNAFAAG RVISTIGSAGLDLVTDIIVADLTPLEWRGFFGALTSLPFIWFAWISGNISEPILSNSL SGFRWGFGMFCIIAPACVLPVVVLLYWADLRAKKNGELRVAESPFERRWREEHPGEAK PNLYHHLVFWAGEVDAIGLLLLGFAWTLLLLPFSLAPKAKGKWTNRESN L199_002218 MLTIGAILLIVFFVYEWRYARSPMMTRSLLTNRTFLLCAGIDFI AFLASNMRSLYWSSYVYVITDWSIGNWNRYSNAETVALTVFALLCGLLIRWTHRYKGY QIVGLAVRIIGMGLVVLAGSRDTVNNAVFAFIPVLIGFGSWMTVGVRVASQGSVPHQD LGQVMANLALWTRIGGAIGSAIASSTWQTHMQANMRKFGVPSQDISSLYASIKTAKTK YAYGSPERLAVVRAYNTTTRPLYIAGACTYGVSLLCTVLMPNFYIGKTHNTVEKTDIA GRVVEDDKPVTHAEREAYRKETEQLIGKRTLVQRVKGLFFGSE L199_002219 MSKIALILGCGKGIGTTIADSFHSAGYNVASVSRTPRTFPTQDR VHLVADFADPDSIEPLFDQVENRWGKAPDVVVYNAYANVLTRNDPLSACSKDFIAAFN INTASPYSAAFIAHERNNSVRYIYTGNALNNLIDPNITILGVGKSASAHWIQAAAKAE GLRPAQFYYCDQRKPDGSPCYTGLRGDAHAELYLKLAESKEQKEPNIVFRA L199_002220 MPFFLTPSDYLTLAFPDSQERGLPLANPPGNVVMYSVPVQNEPI QFLHLARCLQHSRGFSLESDALLLSLISIAAGHKSSLIAQQEKKYLDKYPPIRWDVLS LSNTEILNSLSASQSAQRAISDHFSTTSLSICQTAVAFRASGEGLTTEMSNLLLTSCL AIIIAQCLNAGRLWKQAFDTACGLIKLRGGPSKMLDEARDISSAEVTRIRLLLENFVV VDVCQCLATGAAPSLMKEPFALWWYDYVSEDADTVHNSYGVDRAVVEVASFSQQTEGN LRVMFGNRVMVNMLKVVVYVDLLKTPHCDEEVQEAAWTAMAAFQEGKELDHGVGLLLA AIIAGMFADLVQLYRLRDDGAVDPSWRLVTNSGILVF L199_002221 MSRPAVADFVDSFFARTLFQPDDSLAATVLSAELAPDAAINKYE TRGKADGKVLKQSATTIVKVDEIDGKKLITSIWEAQTVDGE L199_002222 MPTPLIISPSDPIISHPDTVILDASWLYEPDPPTRDAYKEFLEG PRFPGARFWSLDDVSEPHPEGYALMLPSPERFARFAGEHGIGQDSHVVVYDSEGVFSA PRTAWTFKVYGHAKVSLIDGGLPRAKAEGVNLEAGPPQEYKIASRTAPLEDGTVLIDA RPAASYESGHIPTSLLFDFPEALLKHPAGYTYLREPEKLKKYFMEKIGVQAANKVFSG ESTVVNIKIIGPNPL L199_002223 MTIPIKPTVLVIGCGPANFDPNPPPCTVSFDKQGHAVALTKLER QGKPAPSPTPMYAGVTANTPRQRFADKYTDYIRLNRVVTRVRHAPDGHPAVKRWVVEW TPSYTSNSPDIGKAFEEQFDHVVVANGTDSRPFIPYIDGLWNWKGEILHSRWYRTPEV FAGKTVMIVGGGPSSADLVREIGMLKVNKSPSQPGKIYRSFRSKLRYDTELEAGWPEH INNVSPMKILEGPSEHSKTGRITTVLDQVIDDVDRSPLWGLSARLRSKEVIVSDQEVI IANQSGVHNLDSHQLFYLPDPTLAFLLLHAEAIPWPFSEMQARVVASYWTGTPLELVP HPDEDNDSHSVLVLGHPGEFEYAEGLLKLIGEGGPEEVDSQGRWGAWPEWKKHIRALI D L199_002224 MSETQTEQPVDPGDIRWKMAVACDVCRRRKVRCDGERPCSRCKK GNRECTFSLPRHIARKTQDPGSRSTPSPTEDAPPPKRPSLRASSSRRASQSSQIVYSG PSSGMPLFARLGLLRTVEVSESEQHGNEFSSHSSSAFGITSAVSASRDYFDMCLQRCP QELMNSLIGHHLSTPVFFPLLHAPSFLSEFIAVTERRLKCTPQYGAMLMSILAVTARL VEGGRGLVPAADRAGEAYYEFAQDLLRVSKNKLDIRHILALYHLALFAEGRNASTGVA SSFVAEAIGLAYATGLHRSTDDFRMDPVTMQIRTRLFWALYSLDVALAYSQGRPALIR LSESINAPFITISQRFSLGDPPRRRSEKLARAQICLDEIEQSLPPYLVRSSLPSDTSL NLIFLQSSRLRSTLLFVRTLIARQSLIDEFERSPGPSADPSESTLVACHLSVDIVKTY SRLRHFGFLQYCNFTAVSHLTAASHTLIACMLRSPNLAFEHRPDLLSAIDMLILFSSR FPCAKTVAQLLVQLSRTLDFSSGDTSGTSSEALAIRVLARKMAVSPGDVQAMAKDREL PPSPLRSQTTPEQYDYDWLVRAATGGTPAASFSNDRSLPPLSEAVGEVNGFKQGTPRN VPNVELPWDGPVAEELLPDWGDNFSFLNDGLFGSL L199_002225 MSLLPQSAGYATILAGGAFFAILMNGLTWVQRRYTQYDPSRIEE FSSASRSVKTGMMSVGITSAWVWAAVFLQTGTLTYLYGVSVPWWFGMGGFVEIAAFAF ISSKVKVNAGGASTFLQVAKVRFGVLGHLAYMFAAIVANFVVGSEILIGGAGVISGMT GISQYAGIWLLPTVIVAYVLTGGLRATFVADYLHCCILFTCLLVLVLATYTRGDLIGS PGKLYDLLLTASETTPAVGNAHQSYLSFRSDGGMYYAVTAATSFFGLSFCDQSYWQRS IASKPAGTSKAFIFAGCFFFSVAFGIGSSMGLAARALESNPAFPTYPNPLSLTEIGAG LAGPYASIAILGKAGPAMYTIIAFMATTSALSAQYVAVSTIASYDVYREYFNKSATNS QMMTVNHSIVVAWAIFLAGINTVFAHIGLDLNFLFYFMAVCTSGSVFPIGLLMCWKRL NKLGAITGVLGGLIVGFIGWLVSAVKLESSINTTTLTASKVILSGSLSALGAGAILSI GISLIKPASFDFELTRAIGSGHLPRQETPQVGGSSEKSGVVDATNAVDYEPALAKVEG SGRSAAADAEYAEGVIKLEKSQSRFRLITAGFLLVILVLIPAPLAGTGVVYSKGLLTL QCVAAAAFVFFSTVAIIFWPLVESWGELQVVFGRILRNERADVVRPEQE L199_002226 MKPTSQLYKTAAASVKLSPALKTLVNLPSALPSSIPAPSQQVTT KLFDSIRSNAPSDLSRHAWLTVGTAALLTVNSPEAICQLWDYAGRNKEDAGVMREVGL KCISFNGIPRTINGLGALREHLPEDVKQALDTKAYRQPDESNVTRITKQSRELWDAIY APFHEKLLDKLAASHPDLPVHILNSHYGALLSDPPNLPSPRIGRVLTSVIAITCLRAQ RGVGPQLTSHVFGLKKAGLEEDAPREIAGGEWLCSDEGATWVIESTDRVSEVVTGGRI TFGGPGE L199_002227 MSADTASITPFGLARSTVQGKPLSAEELRKTDAFMRASLYLCLG MLYLKQNPLLKEPLKKEDLKTRLLGHWGSDSGQIFTYIHFNRLIKKYGLDGLFISGPG HGAPAVLSQSYLEGVYSEVYPNITEDEEGMRLFFKQFSFPGGIGSHATPETPGSLHEG GELGYSISHAFGTVFDNPDLIAVTMVGDGESETGPLATSWHSTKFLNPITDGAVLPIL HLNGYKINNPTVLSRISHEELEALFIGYGWKPYFVEGSDLETMHQAMAATLEQAVLEI KQYQKQARDSGKAFRPRWPMVILRSPKGWTAPRDVSGHHLEGYWRAHQIPLADVATST EHLALLESWMKSYKPDELFINGKLIPELKELVPQGNARMSANPVANGGHLRKALRLPD FRKYAFDVVPGVTNAPSMSNMAKWLRDVVAANQTNFRLFGPDETESNKLGAVYEAGKK VWMADYLPEDEDAGNLANAGRVMEILSEHTVEGWLEGYVLSGRHGLLNSYEPFIHIID SMVNQHCKWIEKCLEVEWRAKVSSLNILLTATVWRQDHNGFTHQDPGFLDVVANKSPE VVRIYLPPDGNTLLSVMDHCLESKNYVNVIVADKQDHLQYLNMEEAVAHCTKGLGIWE WASTFPDEEPDLVMASCGDVPTMESLAAVALLRTYLPDLKIRFVNVVDLFKLISHLDH PHGLTDREFTAIFTDDKPVIFNFHSYPWLIHRLTYKRPGHNNIHVRGYKEKGNIDTPL ELAIRNETDRFSLAMDAIDRLPGLKGKGSNTREKLHNEQIVARNMAYENGIDADKYRN WRWEFGEKKEGVLDQVQKGLGIGSGSDAPPAAVVGTSE L199_002228 MSTSTLTNVLSKSKSPYLLQHKDNPVAWQEFTASTISLAKQLDK PIFLSSGYSACHWCHVLAHESFEDPEVAEIMNRYFVNIKVDREERPDVDRMYMTYLQA TSGGGGWPMSIFMTPTLEPFFAGTYFPKTRFKALLLRIAELWDEDREQCEAMGKGAVE QLKEMSGSANFAESLTTILSTSPATKIYTQLVRLHDTRYGGFSGDGSRSRGPKFPSCS MTLEPLARLTSYELSEEIDREKCREMAMKMIRGIWKGGIHDWVGGGVARYSVDEKWIV PHFEKMLYDQAQLVTGALDFALLSNPSEGEVADQVKSLEEDRKLCFDLASDILEYTLR DLQSPEGGFWSAEDADSASEKGGKKSEGAFYIWAKSELDEVVGKDAELVECFFGVKDD GNVELQHDMHGEMTGKNILYQAREYAEVARKFDKTEDEVREITKNALIELKQRRDTRE TPGLDDKILTSWNGLMVSALAQASIKLPDIYPIKSQCLPVAEKVVSFIKQRMWDENRG ELARSWREGKGPGGQTDDYAFLIRGLLDLYEASGKEELALWAIELQKRQDELFWDKQN GGYFASAPDEHVLVRMKDAQDNAEPSAMSVSLHNLSRLSLVASDNYELYEKRAEEAYL SIGEELKQMPRAFGYSTCGLMDLERGYREVIIIGSPDNPLTRQFLHLVHTIYAPNQVL LLINPSHPPKALAEHNGVVKLLIEGDTTEVTLRICENGTCGLPIKDVEEAKKVLGVV L199_002229 MESKRSLNDYQLPPGKTAVVIGATTGIGAAVARKLSSVGCSRVI ILGRNEERGLKVINRMKQLSEEKVQADFVKGDISCMEGIKNAFDSIKSALSDVQVDYI VMCQNGPPTGTINLNEDGEGTEFTIQVTSRFLLAYLFASHALISQGGKVMFIANPGLS YDKLDISDLSLKKLAENGRSRALLTVDQSMRDSTVLDSVVLVFNERYPQYTFYHVHPG MSVQ L199_002230 MKLWPYLENLRTARAHVNAGTDHRSRAVTNHSIPLNPDDWLIDL RPGTNHDDKPDVRMYLRLLGRASMPHLRHEKDQIEAGRSYLAEEMKEERGVFVLPSDL SSSPHNALNNPYYLSPSPNKLQWTQLDSRGWWYFASLTPVMMRARCFMDKQGGRPGEK FVMTRMTNVTNFKEKNPGLKFEYVKTNIRAPLLPGVTPPPPGTDRFTLLISQLYRTNI HPALRYHFLCVADIEEIEEHMDAMLDMYNFYDVPSIWPDGGRPGVIMRGWYPQALEPN EGWEAVDKNRIVFLDGWTEKEREARAKEIERVRKYDLDD L199_002231 MPLFNSRKETSSAAPLTRQPIDLQRLLAVYDDYPNVTRELDDTT NRLEEAEEAARLAYTKRDDAEKDLQDERKGRKADNERAASEKEKAAREAKKEKADAEK AREEKVRNELNPKIKDLETKLKNVTEDKNRLDKELNEKKRQMENWITSLEKLSIERKS GYEKERKAVEERWASEEKTRKLDEDILEGLKKALKPADTASISEGKKVDGI L199_002232 MVVNTSDTSSRASVSYIETYPFPAFILTTQHAHAGPSQSRIGWK NNKWDQWSGGETLEELMQSETLEQFEQWVSADEEDTVFPLKARQKHLNLIKTIVPRED DLNSLCVITSLPSDFKIASIHPVAPDAPALIQSTPLFDPEAQHEPTYLSLDNNGSSEV LPPSLGCKSLLERTDWSKTRLGPREKWSSVIEMMIEVVLRSPTQDALWLGDDFQMIYL FNPTRDTTASVLARRRQETMRDLSEQLLTARTTNEFYNGIVEVLEQNPKDVPFLMCYS VEDGSEPNHVSLHLESSIGIPEGHHAAPMDLDLPLSADRFSRASFGMKGNQLSSPTLS AISALSSGAGRMRYSYDKQSWPIAQAISTRQAVVVDDCTDLIKGFPLREWEALPESAI IVPISSESSVETPQAVVIIGLNIASPLDSVYEDWIHVLRAHLTTSLGTVRAAEAEINR QIERDRMERAKTAWFQGAAHDLRSPLTLVAGPLDDVLRTTLSSGQRTALSLAQRNLAR VQRLVNALLDFSRIEAGKLTGRFMPLDLGTFVKDLAMMFKPAVERRKIDYKIQIEPHE GMVFVDPTLLETVVTNLISNALKYTEKGVITIDLKYYLTHADIAIIDTGIGIPAAELS AVTDRFHRATTALSRGTEGTGIGLALSKEIVRLHGGDLFITSQTAEESGGPHGSTFTA RIPLIERQVVQDSLARTDFGAYGKAVVDEAMHWISPSDADLESIGSENNESTSTRAEG YLFDRNDVLLLVDDNPDMRHYVKNIFSPYCRVIEAVNGKQALELAQKTPPHLILSDLM MPIMNGQELLTAIRSDPQTRMIPMVLLSAATDDELRLAAFVEGAEDFMLKPFKPKELL ARVHLHMQIGKKRAHLEMLYAQRQQEIDVILDYCPSGIVRADATGKIIYGNDTYRAYT GIPHDVDLNNWAEYVDDSIRDDLEFKWNQVVHGDERETTETWKWSNGTSVSGTFIRLD KIDSSLSGVLGCLSDVSYQEEKLLEAERRRIEAEESKQQQELLVDLTSHEIRTPVSAI LQCSSLVKENLTALQDQLRAVGPNGFKATPELLAEIDEDLEALDNRAGIASIKTDHVR LHQVATNLISNAIRFTASSKTRIITVKYEIAFAPPADDTCAPSSTEETAITLPVEEDT KVWLFVAIKDTGPGLGPTEQAGLFQRFSRE L199_002233 MIHTKFGGSGLGLFICKRISELLGGRIELESQLGVGSARTGQPQ KTLEDTISTLKLTAPAPTLSYSPVKSITPGPVNIHFLIVEDNIINQTVLKRQIVKAGF TCDVANNGQEALDCIHDSTGKRVYDVILMDLEMPIMDGLTAVKHIRQSEAQGVLKPQL VIALTGNARQGQIDQALAAGMDDVIIKPYKLPDLIAKVKGKIPLSNGHANLT L199_002234 MFFNAPLTTLLLALASVTDFTTAKPAPRCSTNAECIRRGLPIRS PSKRAFHNSNLRARQAPSDALSFDYTGAVATYTIESAGDYFFTVQAGSGGTTEAGDYD SIGGSAAQVNATIFLNANTVLNLVVGGQADTASSGFGAGGGGGSFVYTTDNDLLIAAG GGGGGETSYPNTHPGADANSDFSTSASAGSTGVAGGTGGNGGSALNEYGAGGGGAGWL SSGSTPVAQSYGNGGSTKPSWAGGATTVSGASTAGGFGGGGGGGSNGGGGGGGYSGGG GGGQYYAPGSGGGGANYVTAAGIDSSVTIGHTGNGVIIITQLTVGAP L199_002235 MSTDTPREWIEPSGHRVVRLSDESGSSSLYFNFNAYTPQGDYVV INTPTGISKIELSTLKLSQVIPISEPFFLLFVGKKYRRAYYRLNESKALYWVDLDTSE THLIGREPLGDIQTINCDETYLAGVEVDPTYKSDILDLFSKRDPETDQFVYVSNWPDG TPMTYADAKELRLAQRLEARVPMTLFLVDVKNGQRTDVYGATDWLNHLLFSPTDPNLL MFCHEGPWHQVDRLWTLRLDETPIIPHKIHERRMNMEIAGHEWFSHDGETIWYDLQTP RGEDFWVAGYELKTGKRTHYHLDRNEWSVHFSSSPNNSLFCGDGGDSEMVAHAEDGTW LYLFTPKGIPDVAGLKSPDSANLIVPGYFESKKLVDLKKSDYRLEPNANFTPDGKYLI FRSNLQGALHVYAVEVKPR L199_002236 MATANNNQDAIVPAIDEKPHIDHMEHYDADGKEVDDVITKSPFE DLPWKKTWRVFWKAALMCIFASFSAAADGFQISMTSNIIANKGFIETYGTAIDAKTGG PKLDADILAAWGGIQSAGQGFGMLTQHFIADRFGRKVAFYTLWVSLVIAVALESFGRD WRSWLCAKLFSGFGVGSVQFMTGMYGIGQLASSLALKVMNDRQPYNYLTPIYTEWAML GLMAIVYICIPESPFWCATAGKHDRGRAVIKRLNGGIEGYDVDYHYNIIKRSVEKEKS YQKEIDGDGHGFIQELRNVKEVFIGVNGFRTLIAFWPACVQQIGGLAVLSNYSSYFAQ QAGFGDPFLFSLLLALVAIVCTLVEASLIDLIGRRSLFLLGAVTSWVMCMVVGGLGIM SNRSATVNRLVLAFSLFWRLGSTLLGNLGWAYVAETGSSRLRAKTAGIAAAGGVCLGL VFNTSVPYMLNNTGANWGLKTAFFFAGISTPFVVASFFLIPDTSRRTAAELDEMFRKK VKPWRFRSYVSDAQKALNEERERGQQAHATA L199_002237 MSQVAPTLDLTSAPVPPPALPGGIRHSDEEKKDSILDADSGVAN GHTLDSEEDVEPTDEEYKTLRKVPATIHWPAVALCLVEIAERASYYGSKKPFANFVRG ALPKGGNGAGAVAKGAAGANQTAGALGMGSVAASAVTSTFTFLSYVIPILGGIVADNY WGRWRTICVGVAVGAVAHVILVIPAIPSVIASGHAFPPFIISVIILSFAAGFIKPSLA PLMCDQSPVKKPVVRTTKSGERVIVDPQVTIQRYMLIFYWCINIGSFWMIAESYVQRL VGFWLAYLLPGIIYILVPIVLVVVYKRLYFAPPQGSVLVETLRVWKGLYRRNGFWKAF KGGDEAWNEVKPSYIIEKYGSIDDKKIIWDDRFVDEVRQTLEVCAVSWLLPIYYLADG GIGNMENDMSAAMKLNNIPNDLFGNFNPLCIIVFTPIVGLMYKWFEKIGRPIRPMTRL SIGFALASINMIIGAIVQWRVYKTSPCGYYATDCELGVSSVPLAWQIPLSALPGIGEI FVAVTAYEIAYTRAPARMKSLVVAITLFNQAISAAIGLALSDVIKDPYLIWPYVALAA ANFLCIFVCPTVFRHLNEPIKEFANIDRQAGKDQPNYHKEAAAARIEEVENGNGRKSR WNIFKSGKA L199_002238 MPSKNRPRPGPISISSPIPRSPDGLLATPTSQHRSYPSPGGSGS RSPRTPTRGGKKAKPRVYDEYDLSPTHAYLEQFNSYPSSPDPSHMPIQSPSPMDSPGL NNATEKDPVFGQNQFSSNHYLAPTLHPHGERNRPYPATGKKNRNNCIPTNPTKRKLLF FGVPVILVIIAGIAIGVVVGTQHKPSSDNSSSSGGSSKGSTSNTNGGTGGDSQTTWNQ YIKPSSGGDGDTVTTDLGVHFKYVNKFGGHWAQNPYDPYSVSGRAQSWSPSLLEEWVW GTHIARGVNLGGWLVTEPFIVPALYEQYQTSTPQAVDEYTLSQAMGQDLATKMEEHYK TFITEEDFAAISAAGLNFVRIPLGYWAVETIEGEPYLPKVSWTYFLRAIGWARKYGIR IFADFHALPGSQNGWNHSGKSGSVNWMYGVMGIANAQRSLETIRTITEFISQDGIKEV VPMFGLVNEVMAKTVGTDVMQKFYYQAYKTIRDVTGYGTGNGPIIFLHEGFLGVAAWE GFLNGADRVGLDQHPYLAFGDINTASHSEQAKTACGWGGGTNDSMTNFGLIMGGEWSN AINDCGLWLNGVGSTPSFSLAGNNCDEYDEWMNWTDDFKKGVLSYTMANMDALQNFFF WTWKIGNSTVKGYQTSPQWHYRLGWEQGWMPKDPRSAGGYCKTLGIGGNQFAGTYPAS ATGSFANGTPTIAATQLASHTLWPPPTLGPSFAVDQVSLLPTLTQTGSIVVLPTPSHP SNATNIGDGWTNAQDTTGAWTRVEGCDYPDEYDAVNATVPVAVCTGASARKRDGLVPI PTAI L199_002239 MLSILLLPLLSILALAAPLESRAPKYNVTSMNEALKRGSGILGS YSKCSGSLCSWMSKVSDTTKITDMSIPGTHDTASWDYTLLKQLSYLKYTEIIYPSALY RCGTQSIFAQLAAGNRAFDLRVGFAPNGKDLVFFHSEALLDINARFEDVIQGFYRFLE ENPTESLLISVKDENATWGTTQALQQSLYTTLTSSVAQSYVNPSTSISSTALAAVRGK MTILRRFALDHLSSSQQSLGIDLTNGWSDNNADFSLTTPSGDKVFIEDLYEPKADKIG LTPNVNLKLNATTTHISASSSKSKGDGLYITFASSEQAYQLLFPQVMAQGLIVPGVNQ GLKKWLTTGQGKGLKKKGIVFTDFASETSGLVEALIA L199_002240 MQFKYRPSEQRGGADHGWLKTFHTFSFASYYDPKYESFGALRVI NEDRVAPGTGFPTHPHREAEIFSYIISGQLSHKDTMGNVETMVRGDIQMTSGGTGIAH SEYNDHPSEGVHFLQIWALPNRRGLKPKYFTRHFTDEQKKDKIAHLVAPVGYEGVEEV RECSGLTPIHSPVHFFSSLISPTISLTHKLLPSLNGKPNKLFYVQLVQSSGYNTESAP KDGKGPLIKVTGGGQEATLGEGDGVFITGGKVGEDISVENVGKGIGEVVLFEMDEE L199_002241 MQAHRNGPTPPPLPRNPSRSMSTSTVSTVQTNYTKESGLMPPSP IGGPPLPSPVSLNGRQPSYSNGPGGDELDKLGYTYSLRVAVLHHHLTHPPPPIPTHRA SVASAFSLHHTPPPPVPPMPSPPLQGTSPNGSRLTFSSFSPPGSGHGPTTPEMGGSPV FPNTTTPSPGPPGRRKSSGFGLSLGRNKSDDGQSVKLPKEFLLEFWGILANEDGDGIW KSTTANFLGLIKKGTKTPSGLNLREVPTLLEAFTQSIPPSTGPALSPAHIHQSHLLQL LYNSLPRSSFFSPLAKPQTEKDRDFLFRLRAEVQSYMLAPSPNPELDSNSSALSMSPT TPTSPTQPPLNVRRKSSGIGLGRISTHSQDSIKRKPSPIWDGDVNEMVDTVGQVWSVR KDILDRDVIDIKRSGVLEQMYMSDLKRAMTAISSQPAPLTPSQKNRQAQLSQSISNLL KDFPDLATPGSPNDFSTNISAPLSPTSPRSFSGESFFIPPRSIEVFGRLASKSVEVVG HTTKSRDLLERCRDIWGIASRREKEKELEIVLKRWGDSIGTKEEVGYAKSISESVKLM SYGIRLGDPLSPILSELLSTLLSLLTTSLPTIFPTTSNPPPSPPPSLLIIFNSAPELF EAQPYAQKIIDNASDELKGAAIGEYVQAVEYLTGGVGQQDDGLRTVGSDGKDKLVEGF ENVARWILKEVTDVKRVWGKGIGSTLNPSAIIISRQLPLFLAELQVIDKPRGVASDIF SLYETTGKLLDLWDDLCPNQEHGFELDAFFEPHVMAWLKDTETSQVHDWVSRAVGMDS WVPEVENKHSQSVIDLFEFIRSSAQVILHELPLSEYKRAVFLIDYSKTVSLAVSTYAT TVLALFQHDLNPAKVSTPTSEIQNKLGSKAGNWLAKGQQAVKNLEKKKVDGFAVPPAA CVKLTDMSAAKQSLEDLMYAMEAEDTARIVKSVKSNTANDKSTRHVFTVTVLRGENLI GRGGSKPADGFVIVTDKETGERLIKTRTILGAEDPRWEQSFEISVGSIKVLELTAFDR QLVGKHDLIGGGSFKLDSRLFAEQPIRDIVLPLTTSNRGGIVHIRISMEGGEKNDVAY HLSIASRALDRSQRDMSRELVDKMAEYIRSQLSSTTLGTLTKPLKDKKKAKTVLTDQD IESSLGGLFDYLNENFSVFSVTLNLPTRLSLMLSLWRRIIDILISLLVPPLSDKPYHG PTLGSSEVDVVFKWLGMLKSFFNASEGGIEHGVPIQRLQSGNYKDLIMLGQYLDLPTP TLKDRTSAAVKVASKHTGTSTTGGSTGLLGGFRSMRIDSVSSTSQDVEETSNENERMA EILLRIARTRPDMGEFLSHEIGLLNRGRVEKQAGVM L199_002242 MTSSLSLTDRTPLANQVAGHDGVMSDASGSLVIKPALAREIAFY QTLNSSDREDPIRRLKPFVPKFLGTLRLEGQLSSSGDVERLEGKDEVPESVVLENLSY AFTHPSILDVKLGTVLYDPDASEEKKQRMDKQARETTTHELGIRFTGCTTWHSPSQSY IVTPKSFGKTITANQLPEGMVRFFPTSSDIIKSLIQPTTDKSASAPTTTSLDLSTGVP PTPITASNSSFSPIPAEAQTFKDHSIPSTSLVKVLDMIVEEIDRLVSVLEDIEIRFVG GSLLIVYEGDPLKLEEALDRYQLQKLKSTTEEGVDKDRSAFSDDGSIPSDFDSDEESN EDDEEEDEESRASRRCPPVRVKLIDFAHTWLAQGEGPDKGVLKGLSTLRGLLQGRKKE IEGVV L199_002243 MPLPFLSSKLPTTSRGGRVTDDMSTSKRSRRMRIFLSYAPDWAL TIVLWGIFYLLDKVNGYRRLFDITDTSLAHPHADPERVPVWLLAVLCGVVPAIIIIFT AAIRRTFWDAQSGLLGLILGLGLVATFTNIVKITVGRPRPDFFARCILPDDLTENPLH GLTSWTVCTQTDDSQLQEGFRSFPSGHSSFAWSGMWYLILYLAAKMRINNRTGYTYKS WLLLAPLSCASLITISRTMDYRHHATDVIAGSLIGIAGAWYSYRQYYPPISSPQSYKP YSPRIPKDEEIPLHHRPNRRSSAEHMLGGPNGIIEGGSHHHTSGSSQGTTNGQPLATE GRHGWSTVDIGDRGAYGVGNGNGGPRDNDYGEGKETVQRPVERSV L199_002244 MPSPSPIPSSPTPSINGSKPAGSDRWKLLSYSLSNTIPYSPFFH ITSIIIAFLALVIIALWAAATAGFEPYTVFDTDFNRTDDKHWYTPLLPNSVIEGQKGK LCDPVIFNAGSTIQTNGMYKRMSYTIVEYKILGVLKEDGAPSYASVGYMGYRLDVCSV SSMSFIVDVRSGLVTATAHITCPTPWAVVLSTTYTLTSGVTETGAYKSAPYLGGLAID LVYRVGKLFNNPLYAKENTMGTLNNGTGKWSRMSGDLNGRESSLPNSTLLTEPVFQIQ SLKLSNPEDITSDTSSTTGQYNGVPPAIHLDMLVPLSNFVSAILSTIHSDLGILDQNI FLSETALHRFISETDDYVNTSISLGDYDLHYAHKMLHPASGTIIDPWVTNRTYSALTV ANRTIATVYPSHITRSKGLASWLVSVVGLTFSIWGGLWQLYLLIVSYILSKRKNPTTI GVDVTPPSPSSNQAVASKESIPLLPSRQVGTSDNSLIRRDTL L199_002245 MSSAEIPRNDSTCSDKTLAPSSSNDPANGDVTDQHKVKLSQSRK WGLLAIFSVAQYLDLSAVAGLFIFTDPISRDLGILYESSTWIVTAYIVTFASFLLFFG RLSDLFSAKPVFTWSFFILGVLNLIISFMNDQYAFFVLRALAGIAGAGTIPSAFRLIV SIFEPEELNVAFTIFGLSAAIANGTGLIIAGLFGFITAGGQQSAWRWFFRMFAIICIP FSLLALGLVPKIKGESATGTSTREKYKRLDMVGTLTMLFAIILIILGLTLGASYGFKS AKFIVPFLLSWPLFIAFFIWESRLPEEYALIPSSFWKIRNMKVVMALALGGFTWWNMY QLVLVERFLKVFGESPIIAAIRIFPSGIAAVAVAMVMPRLLSTFKVQSYRWIISFGSI IAASTYLLMIYSKGEIYDNAYWRWILPALLVGSASAMAVYLGTNITVMTSVPPSMSGV AGAILQVFLQIGNVTGLSVQAGFLTLNEGSVTNFSNVQASFWFEFGWLVLNALMAMIL FKNNKVEDVEEGKKGENEVSMVI L199_002246 MLGFPTLFGVAALLAGVSAGDPFFIVQHGTATFTTRVDPIVNPG TISTHVHTVVGSSSFKNEYTYENNRNGKCTTANVIEDKSNYWAPQLYHKYDNGTFELV RMNRVNTSGPDEEVHEFPEGFKMLAGSSKRSTYDDNDYTNKAISYTCLDYSGPGKPDT REFPEYSCPQDLRANIFFPNCWDGVNNWLEGSKHVAYPASGGFDSGGPCPATHPKRIM SLFYEFHFSDKYEYKAGARVWANGDDVGYSSHGDFTNGWPTGLFPKVFEAGSSCDVGF SIEKCPVLEPIFTGGGGDTCTPDDPSVIIDEEVGENGLLTALPGNNPLWSANGAPATN NASSFSSVNSTTPSTSASAASSATSKVPTTSSATLLVTPIGAIQSSAPSSTSSSSVIG EIHLSPTPTEGASAGGQCKAKKRRLSAQF L199_002247 MLSSALLSLAVLALFTNQVNAWTDPFFVIQHGPDIITSRIDPVI SPGGISSHVHSIVGSSSFKPTYTYENSIAGKCTTANIAIDHSNYWIPQLYRKRDDGKF DLVKMNRANTYYLLTYCERSDETVCCFTYSLTTPPNLAQSGSQNEQVYDFPPGFRMVA GNPSRTTYNASNYADKAISYVCLGVNGAPETGEFPKQSCPNDLRAQVFFPNCWDGVNN WLPNSAHVHYPLSQGYNSGGPCPASHPKRILSVFYEFHFTDRFTYKDGARVWATGDDV GYSLHGDFTMGWPKGFLPKVFPYGETCAVDFSLENCPPLKPLMTTNGSACRPDEGFQI VNEDIGTNNPIAKLPGNNPVWGRNGAKKPDPNYKETATFTTSTIPVPAGWTKLGCLAE PKGSRALTGASMTDQTMTPTKCMNFCSSKGFSLAGVEWSVECYCGNTTTKTTLSAINN NLACNMPCNGLDYSAGYCGGSSLLTIYQKSGNSVSKAASSSSSVSKASSISRASSTSK ATSTAAKATSTARTSSATSKAPASSAKRTLQAKGTSSTSKPSSATSTSTAKLAGHKRR EVH L199_002248 MSYPNAPPGPKHQPSSYSSGSSDPFNTQQLPYDNAHHAGGYTHP DAVNIPGAGVAPPGQGGQYAPVFDTEAEMRGRMEGGGRAVETWASDSGYSGNDGYYNS SEYHGQQGYVPSRASTPTFTEGSRDGHRPREPYPAWTQEANIPLSKEEIEDVLIDLAN KFGFQKDSSRNVYDFLMIQLDSRASRMSPNQALLTLHADYIGGEHANYRKWYFASQLD LDDAIGAVQNPGLSRVRSVARRGKGPKGKQAPATAQEKSLDSATNRWRTAMNNMSQYD RLRQVALYLLCWGEAAQVRFMPECLCFIFKCADDYYRSPECQNRVEAVPEGLYLRAVV KPLYKFLRDQGYEVVDGRFLKRENDHDKTIGYDDVNQLFWYPEGVSRIVLNDKTRLVD IPPAQRFMKFDRIDWNKVFFKTYLEKRSFFHLLVNFNRIWVLHISVFWFYTAYNAPSI YAQTGSTKATTPMAWSVTALGGAVASIIMIAATLAEFSYIPTTWNNTSHLTRRLIFLL IILGITGAPTIYIAFWNQTGQVSLILGIVQFFVSVVATAAFATLPSGRMFGDRVAGKS RKYLANQTFTASYPKLPRNNRFASFLLWFLIFGCKFTESYFFLTLSFRDPIKVMVGMK VQNCHDKYLGTGLCTNQPAFALTVMFVMDLTLFFLDTFLWYVIWNTVFSIARSFAIGM SIWTPWADIFARLPKRIYAKILATADMEVKYKPKVLVSQVWNAVIISMYREHLLSIEH VQKLLYHQVQSDQPGKRTLRAPAFFISQGDKGVKTEFFPKGSEAERRISFFAQSLTTA IPEPIPVEAMPTFTVLVPHYSEKILLSLREIIREEDQNTRVTLLEYLKQLHPIEWDNF VRDTKILAEESNMFNGGNPFGNDEKAEAKKADDIPFYTIGFKSAAPEYTLRTRIWASL RAQTLYRTVSGFMNYSKAIKLLYRVENPEVVQLFGGNTDQLERELERMARRKFKFVVS MQRYSKFNKEEHENAEFLLRAYPDLQIAYLDEEPPRKDGGESRIFSALVDGHSEILPN GRRRPKFRIELPGNPILGDGKSDNQNHAIVFYRGEYLQLIDANQDNYLEECLKIRNVL GEFEEFRVSNQSPYAQNGHQQFEKFPVAILGAREYIFSENIGILGDIAAGKEQTFGTL AARSLSYIGGKLHYGHPDFLNAIYMNTRGGVSKAQKGLHLNEDIYAGMMAFGRGGRIK HSEYYQCGKGRDLGFGTILNFQTKIGTGMGEQMLSREYYYLGTQLPIDRFLTFYYGHP GFHINNILVMCSVQVFMLALVFLGTLNKQLVVCKYSAAGDILPGQSGCYNLQPVFRWI KRCIISIFIVFWVAFVPLFVQELTERGAGRAILRLCKHFLSLSPVFEVFSTQIYMHSI LNNLTFGGARYIATGRGFATTRISFSILYSRFAGPSIYLGLRTLVLLLYITLSVFVPH LIYFWITVVGLCVAPFLFNPHQFSYSDFIIDYREFLRWMSRGNSRTHANSWVGYCRLS RTRITGFKRKRLGLPSEKLSSDTPRAPWKAIVIGEIIGPICLAILFVICYLFVKSFTV DGKTQPGLLRIAIIALGPIVWNMAFLITLFLISVFLGPCLNSYTNQFGATMAAIAHFG AVVGMVAFFEFLWFLELWDASHAVLGIIAVISVQRCIFKILIAVFLSREFKHDETNRA WWTGVWFNRGLGSHALSQPAREFVVKTIEMGLYSADFIACHLLLFLLTPPMLIPYFDR LHATMLFWLAPSQQIRPPIYSFRQRSQRRKIVFTYSIVYVLIQAIFVALIVLPLLFKG VIGLKPSDVPFGAVI L199_002249 MALVAALNDWNLPSTSAQGARQYEQLAHALRVAGDYLYPRDVTS SRSAVKPIETPDVTTAFQIASKDGRGSSLYSEFLDAVESRFYLIIRDVQRYIDHLTLV EEEDQQVGPVMIEMLERLAVWQRAWGVPLRAFHDPKLIGIFTQVFHSLLHSTLSPLFP KYLLSFLSESLASLPSHLLDPPTMPQLFQSVPVNYHKVPPPSPHLSRLGIFPRYSGTL SRVAYKEIEKIAREEAEKGWDTRRLTRARQRVGDGVANWLSGMFEGNESAQAALRPMF SRFDYYLCKCFFDIRTDELFDIIVDFPDSMAALEDLKECLFKVDQRLELVNKLNAANL KRLLHPGAETKLVLSIYISTIRSLRILDPQGVLLHKVATPIRKHLRERSDTTKCIVSA LVEGEELGDENENAGLIRDGAEDGMEIEDWSDPKWDPEPVDAAPEFRSGKAGDIVSTL VSIYQTREVIVKELQVLLATRLLAVRDYDAVKEIRIIELLKLRFGEQALQICDVMLKD MADSKRIDDHVQGDIKSTVHPLVISKMFWPNVPGTSLCLPPKLLKAQQEYESAFHHFK PDKHLRFLQSLGTVHMSIELEDRVVEVEANPIQASIIELFEGQDILTVGAIKEKLKIT DKLIKDGLGWWKEKGVVREEGEREGWRLLEIAEDEE L199_002250 MKFSTTPILLTSLALSSTATFGAPLPPVPNSNFNGNAALDVGTN SKLRSPNPNTEDYTDSPRPGGGGGGDASPLLGIGVNTLGLDVDLANYRNTNGPVNTNG GLGLDVNLGGRGGGGLIGMGGMGRPNKRQLPSVPSVPSIPSPSNPLIPANAGLPIPTS VPMIPQAARSGGDITGLPQGVTTQAFGQASSTLGGVTGQATGLLGGIPLVGSVSGGGG NPIQGLTGSVGGLTNGLPLQGLTGTLGGLTNGLPLHGLTGTVGGLTNGLPIQGLLGGL TSGSPLQGITGAVGGLTNGLPLQGITGTVNGLTNGLPIQGLTNTVGSLTGGLPLQGLT GTINGLTAGLPLQGVTSTVGGLTKGLPVQDLTSTVQGLTSNGPLSGVTQPINGIVGSL DTSNPTGVINNLSQDVNGTAAGSTLGNIVQSLGSNTYLLSTGQILKLTSDVSTTTGTS SSTAPVLNGLRTIEVEGKMYIINPLGQLVGTLTSPTSTVGLYNDPSHSFTGDDGSADQ QDNGDNNDTDGWNSPYPSLLPLASQPDAIDLVAQSMKGYEPPKPYLSSSTSTSTPSAG DGATQDGSDTDTESLSQVIPQPDSTWGQMTGSRMTTSLPIPIPTDPITAIPTATPAPG TTITSTATATATLTPAEGWGEWVTGVPTASPTAYGNSNGDWDHGAVGSEMVPQTSSGL A L199_002251 MRITFPNPLGPPITVDTAYLNSLRGNGLISSLQRRPHFKLLAFI FLVALLFTVHPRPPFPPSYAVEWRQEASLPQIKNDIGVGEGKNGRYVKFDVPRGTGFN HQLQRVLLQHHLAVLGNRSLAFEPYVEDDTYLPFVVSHWPWRSARIPLSAYISTVISG FEKLYKSPRAVPAHYYRNVCPSYKEQLHNIRNDLNPDGDLELVADGQSRIHQIQVLLA GSEQSCVRITGEPFDDDFFDSTASLDLYDTFVKSPVMKHFTFSPTVLAIINRALHSLS PESLPYDLSAVSHSTSTEPHKTTVWKHILAMHLRRSKNWGEVCNEKGERAAPFVSFNK LPKLPGNENVPPPSSMVEATRMGLYKAKCLPATLDIIARARRMRKNHPLLRSVYILTD ADDNWINEIRMWLQSEGWDNVWIGKHDIYPEWQDKEVGVAVDMEIARRAGVFVGNGFS TTSSNIVLLRSRDGIHPDLTQFW L199_002252 MSYSTPPFKPTIPLEPFTISIPDKDIEELKTLIKLSRLPKETYE NIDAEKKGFGVSRKWLEDTRKYWLEEYDWRKQESRINAQPAFKATVKNADGLEYKIHF AALFSKRKDAIPIILSHGWPGAYIEFLGLMEVIRAKYSDDELPYHLIFPSTPGYLFSS STPNDREFSIEDVGYLYDQVLKGLGLGDGYIAQGGDVGSHITNELGRSHEGCKAIHLN MRIVKPAPAGTPEHSQPWGIPEFLEWLQKYGYNLEQATRPSTIGLVLGSNPISLLCWI GEKFVEWSNETPSLDTILTFISLYWFTDTFPSCIFSYRYGFGSKRNVHTADKEYQHKP TGYSYFPKEITPIPVHWVKTAHNLVWSREHKAGGHFAALEGPEILLDDVEDFVKQVWP EVSK L199_002253 MTTKPKVKMNGHQPQPREIVIIGAGLIGVSAAYYLSKHLDLHPE SKITLVEEENVGTGSSGYANGLLVKDEADEMSMTGYDLHRSLAKDFRGELKWGYKAID LYDANLDESSSSSSTTSLSFLPPSTSIHHRSLPTAICQPSDFTRHLCGLFLTHPGASL MIARATSLTFFPPQSNGKPKERDEGSVGGSGKERRRINGINIVQFINGVEEIVHLKAD TIIVSTGIKTPLLLKTFLGQEIRTTTKEIGQKEWEGLVIKPKERLKPCAVKIGQGEAE GKVVMVVREDGTVIISRPLSSLSSSNNRDKPNLITYVESLSPKFASSNGTLILSQTKW SSPIIPNGQPLIEDLSSIPGGVDGLWVGIGGNTIQGPAIGVNLASRILDGNTG L199_002254 MTSSPINAIPIFSPQTPTAYSPRERSDTILTTSSSDSFPHLQTP QTSSILGVLNGHQAGAYKPSVVVESPTGALAISRRRQNSYGFGSALISSSPETILQDG HISSSLVEEPESLFTNGISPQLEPPIELNNHSSNDFQPVSAISAASSTNAGLSEPDAS RLSISTDGTLDHSDTTTTSRRSKLKAVVRRKLERSKSSLRSLRRLKEDDGNSTSSRPT SLLDANGIAPSSSINNTVAVRPRKSRLKTLLTISRAPSSTSVRSTHVTSASHSPPSSE QAQPSHQLSHHIGHHEITVRDFAHPPSAVFPASARTRHFSIDGVAQLDQFTSPAQAIF KYVSNSTQDPIYQSNMAGDSSYIHPVRITRPRATSMPLLDTTAADLMGDEPGHGIAEK TNYFDTVLPRELRLLVMKTLLEVYKAEGGIKRWSGETGGRRELIKLSRVSKSWQQLCL DGQLWSSLELTPYAHVLHPNTLKRILSSSLPFITTMDLRGLNRLKGSVLIPSLSPFDW TPSPRSDSLTVWLPNLRVLDLLGATRLSPSDICSIILGSPGLKFINLKAVQACSSEVI RTIARSTRQLEYLDISKCKDLTLGDIIFLVNAMDDVQASKLKALKFGGLKSYGRHASD LLPLLAKTLINLEVLDAQGCTHLFDDDFEKFALALQEVGRKSSITHLNLSGCTALKGK FLVHLAGYLPQLRLLELANLSEMFKDNHDDGELDLVKFLKTIPKIEKIDLDQTGTHGG IIDRVLDVLSRCRSDETDETVGKNLKELRIGGSKDVTSEGLCRLIRSCGNLEVLEIDN TPADNSVLRTFLKYHPKGNISVIDCRSITSSELDKLLKNTRTRVGYEGWDFTPFEYQT KELSSQGGKATVKSFQGWRNTTIPRDWREIRNDLDKVQHAKDEGVIERDKEKEKKERK NTWWSSTSTSTSSGETAVTGTMDLDGVWDGEGDGEGGGRGCVIM L199_002255 MTDTLKASTKINTASWSMFIRVTKGPSLSDQGYEDNSPLELEGS SGKQGIGYDRYELFDGMFIRIRDTASFKYTQNGGTKIEGTVPIIERLEEASKTFSIGD NEIRITMKPHPFDVQSSMPDYQPKGTIRPFSIGVRDTRTDDETTGAENAEEEEGSKCT VL L199_002256 MFRRSSYQVSQSGGEKSTCHTTDSIPDTKPAGSANTSGNRYMMK LEVTKLTSTVKPRIATHPIHPNNRQTTEGGTFIRKGDTFDWIFWPSDREKDKDNNASK ELSTPDENASSSSSSTRAIPTIRGTATCVPTYIALPEGSQPEAQKKEEKNKEVELGYF GRLFSPRLAPSQVQTPSIPFKNPEAEL L199_002257 MRSRPVFLRALKIQQQPILRPRVLPRSATLPLSLRPLSTSSRLL EEAKQQSKENQKKRPPPEDNAPPQSPWKVFTQVLKEEIEKNKGWQDNVKQLQGDVDKM ADSAAMKRARDLYEKTRITNLIKNNPRIQAAVGDLQKAGISVHDAVQHALRDSEVLKA ISAATSRFVSAATSATQPIRDTKTYQVIAESIEDAFDDTTGMSSRYGGYEEKEARRKK RELRAIRAAKAGKKVVKKVEENPEAGEALVLSDRPEPVSRFGFIKESPTYQRWLETYY ESDSPFISALRTVGSKVGSLFEENETAQVIKAMKEIDPSFRMDSWTGELREYIVPEVV DAYLSADRESLKQWCGEATFNVLWATMGQYIKQGLVSDSKILDIKHVDVSSGKMLENN VPVFVITFATQEQLLFRSAKTGEVIVGSERDVEQCRYAMVITRVEKELENELTGGWKV VEMARRGAKGGL L199_002258 MSEDAERKAKAERAKKLLAQRQKKKKAEAAGASPAATPSSPAST LADTANVPSARTSLSLDDTARTEVLGDVKPGDNDVKAETNKTTEEGKDGKVEPEDGDQ DKVDKVDQEDREEEKPSAEQETSEGKGSKKKKKKGKKSKDQAEEEDQEEKKVEEDEED TPSATQPTPEDTTNASRPEITSTQPTETSPAPAAQTETQPPKSPSDPTSELRETISLL IAERSDLQNQLASLQTQLTAAKGDSQLLAEGRTLISKLEEEKSSLESRVGEMQVEVGK VSSLEEEVQRISKEVDALRHERDELSHEKNRLESEMKDVSEKEKDRIKELEKALERER ARESGLENEVGRLRQSNSELTTNLEKITNELETLKSSSTLSNKELEDLRSAHKILEEQ HHSLTSEHDSLKSTHDELSTTHQTLQTTHGDTSKTIKTLESSLTSIKNELELTKSKLM TATKKGETSEKKKLALQKENDELMRQLQEVRNKVVEAMEEKATMASAVESWETKSKNW EKSKLELEARVEEGKTLHDQVTSLAEENRSKDEKIIELESKLSEIQTEFKGLQDSLAE RDQTIAKNELSISEKDKIIEKQTGTIEFDETTLEKAQSDVKRLEGEVESLKEELKSTK NSLETSQSQKVESSSTENVQQEDKTSSASVSRELEISSLTSKIRQLENQLYDSENDKH NLQKNLTDLNLDYQKIKKEYDNLSISSPRGKGGYFLSPKDEYPSSPRRIPSSSGNAGP SAVDAILPASVRHKRQVSLNALKARMDYQIVQTPSKLSTFNEDSQENDIDGIQQKQTQ KQVRKKHQQFGDEIMFCCPACEGDLITL L199_002259 MIRPIRIPSSTVDTSRFTWSRSRRFASSQIPTPKSQHRSRSSKW ARRGAVAVMVVSGLWVYDNQLNASAVTRSVRTAYIGLLCTLDYKINFSPSKADQIEAL HERVANRLKWVIDTNQGLYLKLGQALGLQAALLPKPYREAFGHVFDRAPAVSYDEVIG VFQKDLSLNPLDVFETFSEEPLASASIAQVHKATLKPRLGGEGEEGRVVAVKVQKPAI EKQMEWDLFSYRSLMWMCEKLFDMPMYFVAKYVSSQMRLETSFTNEANNARRCAELLA QTPELKDDVYVPRVYGQAEGCKESDRIMVMEWVDGCRLNDKKQLEKWNLDLRETMDLA ISTMSAMTFSWGFIHCDPHPGNILVRPHPMKKGKPQIILIDHGLYISLPREFREDYCT LWRSLFVLDVPKIENIARKWGIALDANMFASAILLRPFQVNKHKNKKQDKLPEKSQYE QQVELKARMKKMLENEQLIPRELIFLTRCQRMMQANNQLLGSPSSRVNLTARWASIGY TNSLTGSRSLNSVGLSTWLKDRLDAFVFRFTLSIVDLVFWFTLQKQRFLPKEKGGWED KLQKQFEAMAKEEFGIEIDDTVFLG L199_002261 MGNKQSSPSCPNHPPKKPKKVKKKDFNILMEHRPPGYTPPRQAN RSGMDLHSDKIRRQLEEMDAMRSTAIARGEGSPSYEASMIMK L199_002262 MSNNDKEKEVGTSEGSTSTTTRDSDRPYRSKYLDPESPSVSVSD SEKHDTFDSSFPTTATRPRAASRPKIPHLGTGSTTQNYNHGDKLSRMASGLSRMSSHQ ANELEEDLRRHISIHGKRRHSEGILEDRAVVDLGNGEEEVIIVDWIPDDPDNPFNWSP ARKYAILLTCVFITFTGAASLVSVGILATWGTDYFHVSREVFLLQLTLPMMAIAFTPM VLAPLSEVIGRNMIYQITSILNLLLFIPQCLSKNHNGVLAARFLQGMTQSVGNSMVGG TVADMFYPKQRGIAMGVFSVMIFCAQGSGIPAIGWIGQNLGMRWSYIIQTIAAALNVI LNAIVLRETRADVLLSRRAKKLTKKTGKKHICAADLQKSSFLSVMRISLIRPFQYLLT EPIVSALSAWIGFAWSCVFMFGSSVILVFEAYGFNPAQAASFEVTLAIAAFFGFACQF HQDYLYRRAATKNGGKAPPEARLYWAAWGGLMFPIFCFIYAWTGRAGVVHWAVPAVCL VGCYTGIFMMYTGVFTYLADAYEVYSSSAQASQSFVRNLFSGLFPLFSRQMYIGMGYQ YASTLVAAVALILAAAPFLLILYGKKLRKRSKVCSTLYKDD L199_002263 MRFFLLTILATLSLVLALPTHPSERETSLLGRFTSSLFGRRSIT LNGYETEDEHSPPLPRDHDQDENRVERRYKTSSRYPRCKKKTPRTGFAHYSGWKIVGN DLSGALPVSPRDNCINLCNNYGDACGAIYFDDKSYRCFLKGVKTESWKFVETNNEGDA VDLVGGCAAWSDLVPEDMDDICCRD L199_002264 MLRIILPTLFTVMASLRFTSAGPSINPIFVGCINDYTPGSYVST TSQDSIAGCNAYCYTQEDGPYTYAGFVPEYNPGGIPGGRKRQTVSLCACSNEAPYPQD YGNTATEDGTASCYSNQAAVYALDSTYTFETCVPSGDLYDTSNGLPTGAYSPGTVKTP EDCLFACRPYELAAFQPDPMTNDYGCYCGPESSYDTTTSGTCQPNAYFVYTHTANTVV SSQFAKRQLKERLLQAKREKQALCPKPLTACKVNGVADSFECLDTTSELESCGGCANG NFNANETSFGVDCTQLIGVAPGAVTCTQGQCKSFKCLPNFTLAANGTCIAA L199_002265 MFTLLAPAALVALASVKTVRAVYPNVYVGCSNYYPDNTVYIDET ITSNEECAAACYSAEEGPYVYSYYQNGADVRKRQVQSTCRCSNEAVPASFYVESTDTA GTCQDYNWAVYITATTYNFDGCYTAIGTQNGAPDGVYLAPDQTSPEDCLQVCASYQVA AFQPGTPMSETAYTCTCGPTNAFTNTGQNTCGISDYFVYDHKATGGISSDFAKRQVKE ALIRARNGKKRALCPNGLTACKVSQYQSDSFECIDTTSELESCGGCVHGEYNNANAPL GEDCSALPGLARGGVTCNAGQCQAFACKKGWTLTTEDICVEA L199_002266 MPYLPPNSDQVQPIAIPISKTHQLIIDNIPESDSSDPRTSEDIH TPKPDNHPDAISIPTKESSVESITSGITSTSLYSNIPTPPLDHEQDKSVDANINTNSP AASSIVSLPEQEPDQGNINVKTSRSDSSLRRRQNDINEVMEEEEEEGKKGKGEDVVQS DTDTNTTTNSNTNLSSTVDREEERNAFALYRQGLYAYTHTLWIQAKLSSSRAERRRQS VSSQSQFGSKQSGMEKMAAKKALAKRLNG L199_002267 MSLLTLTSLSGLLSLLSTVAADPFFVVQHGNAVVTSRRDPIISP GGISEHVHSIVGSSSFKSSYEYQNSVCGKCTSANVNVDKSNYWVPQLYRKLGEGQLEL VKMNRVNTSGPTEQMYEFPKGMKMLAGNPFRNTFDANDPAQEAVEYVCLGTDDTPMNA FPERSCPDDLRAQVTFPNCWDGQNPWLEGSKHVSYPASGRFDAGGPCPSTHPYRIPTL FFEYHFNDKYDYTPGARVWAQGDDIGYGFHGDFTMGWPEGLFTEIVNAGESCAVLFEV GKCPPLAQYFTGVGGGPCQPDDPSVVVNEEIGLTGPVAKLPGDNPIWNGGTAPNKSGS SGGSGSAATSANSAAGNNPVPGSGSSSAVGAAASSPAAAVAVPPTGSSTTPAPLASSA STSVPTPGATTSSKHGGWGGWGGKRRLGRSH L199_002268 MSTKLDSIRPTDIGSEDNMKEHDGRLYNGVQDDYALPADQEEIR RLNSQHKALTMLFNGLIPKSIQTSLLAQSSPRILDVGCGTGIWSIQIGEVITQASVTG VDLVSIHPPDYPSNINFEKLDILQEFPDEWEGSFDLVHARYLIAGIRDFSLLLSRLTK LLKPNGHLVVVEPQARFRTVDNDIKEVCPMTSRISTVVCDAMLKLGIDPVPGKEVSTY LQQNLNLEYDEVETQTLDMPLSPWSYDPRLHQIGQAHLPNSLSLPGAFRRLTVGSGMT TEQEYDELTKGCQEEMIKAEGKLVLPVWLIWAKKK L199_002269 MSRNETAIRAGGYGKKRRYNTTQEHYVLPVDQQEINRLNTQHRA VTSIFEGLLPHAIRSIYKADQGKGKRVLDVGCGTGQWLIDLVAEYPDVEEVIGIDITL MHPPSYHNKIQFITHNVLEPFPKEWIERFDLVHLRFLITGIKDFPLLLERLSALLKPG GHLVVVEPETKCRSMTGRGLKEVAPAMASFGELSYKAMQRFGIEVDATQNIPRYFENC GNFEDIRKELREIPMSDWSDDPKLKEIGFIQMSNSLALPDTIKRLIISSGLIDETGFE IAKKEFQDEVRNGKGKAVLPIWSIWARKK L199_002270 MQGLVHYSGDSSPEPEQSSNQPGPSRLRDQPTTASPSLLYKAKT RPPSGIVLTPRSPKRPRRSSPGISTTSLSGNSTPKGKQPSTPVPENLTHTAQSQLASS SKQSSKWGVDFEGLNEDEIFKIVTTPDDIEGVDDWGIPPEVDPKEADETLRSKVEHFL KLKYERGEHINTRLLSSSAFANPHIYSKLVEFVSISERSSNFPSSGWLTRRNLEGLIP TYGPEALSSQQKAKQEAVKASQAIGQRREITFAPAKHKDKERDRGKDRNGWDKDEKRS HKHGHGHKDRDRQRDRDRDRDRKRDRDRR L199_002271 MSEVTSIQPIPEPPSKRKNANAIELISGSVGGASQVLSGQPLDT LKTRAQTAPKGQFKNTLDIFTTTVRNEGFLALYKGMLSPLLGVAAVNSLLFTAYGASR RIVSPYPDLSIPQVATAGAMAGAANAILASPVEMFKIRMQGQYGGAGDKKLSGVVGDM WKEYGFRNGIMRGYWITFVREIPAYAGFYAGYETSKRWFAKHYAPNPVPIWALLTSGA IGGVSYWIACYPLDVIKSRVQLSKTPPLKGHWLSGGYIAHEFRAILQEGGARALFRGL TPSLLRAVPAAGATFAAYEVAKEYIINHNLL L199_002272 MGFQFKIPKFQLEVPGSEGREKSLENDDLLPIPLERRTWTFWTF NIFWFSAVGTVANWLGGGTFLTYGISVWDGILCNFFGYLLISLFMVVNGRAGSVYHIG FPVYCRSSFGIYGSLWPVFNRALSACVWNGVNTVTGGQCIYIFLHTIFPSIAKLPNHM PSNSALDSAQMIGFFLFWFFTCTALFLSVPKWKILIHIKLVAYVLSCIGMLALALKTS GGVGDTLTQGSKVHGSERAWLIVRFTLLSAAGCSTFASNASDWQRNATHRKDPVIGQI FGFPMSNFITTLVGMIVAASSEKAYGTLIWNPLTYLDRILTENYTPTVRCGAAFISFG FIYSALFSCVFENVLPAGNDISSLLPRYISMKRAFAICMIVTIVINPWYLLGSASIFI SFISSYQIFLFSIIGVLLVDYYILSKGRLDLAWLYTANKEGPYWYTYGCNWRAFVAYV VGAGVNFAGFLNNMGVKGFSTGVIRSFYFAFITTGCAAGLTYYLLATIFPQPNYKINK GTKFREWTEEEVELYVAGSEWREKGEMPPVIGRGDSTPELGPVYGSGVVEDVDEKKIE GVSTAVLEARN L199_002273 MPAPNTTYQLTAVIGFTLPPNRMDHDPSIYMTWQPGRSKPLEKI RVPVTDLRPELEGTPVEPLEQLKTRGFGIMKHESKWLSEIPSEKGTEAYLKECEGILQ NILGCDKVIAWNSVCRKNDPNEKEKKVEKQKEPEKGFIPTERVQPIAGVAHVDQNAEW GYELCGKAAGKSMSEYKRCQIINIWRPLHGPVTNAPLAMLDPKTLLPEDIGTHASQYG FGHDLHHSPGQEWAYIRHQMPDEIILLKCYDSDQGKNGEVLWCGHVAVQVDNDTEGIP EELIRERESIEVRLVALWE L199_002274 MKLSSLALLGLPFLYLTSALPKITRTGKYLYDESGSRFYIKGVA YQPQGEAAAESEANAANGGFPEPSSFHDPLSSPQNCTRDLPYLKRLGVNSVRVYSVNS SLNHDECMKTFSDNGIYVLLDVSLPLNGSIDRSSPSWSTNLLDEYISTIDAFRNYDNI LGFNIGNEVITQVSNTNAAPYVKAAARDIKAYLKSVSSSALVGYSATDGDADFRNSLA EYLTCGGDDIAVDLYGLNNYEWCGDSSYNASGWNAIVSGMQDIPVATYMSEYGCIFSP PRLWTEVAALFSTPVSDVFSGGVAFSYFPTSDGYGMVTISSDGNTVTTSDDFNRLSTQ YNATSPPNSPAKSSVTAGQTDCPSESSSLVASNTLPPTPNEQVCNCINENALSCLVKS GTANSPTIVGDLLNYACQLLGSSTNGTASCDPIAGNGTSGTYGELSYCSPAIKLSYAM SAYYEYNPVDTSCDFSGNATLSPTRPNTAEDASSSASQCLSSEPSGGVFTPSPVSSSG ASSTSSSATGSRSASGTAPAGSNGGGASSGASVASSGSVLMSVAGVLGAVVGGALMVL L199_002275 MPITPQELDAIPIGYATPSLGLNPAHSLEMKFQAMAQNGWKNVE LGFGNYVAWVRSQVDLPPSTCPEEWKVDDEPSPSDHEIWDALYSHAPKLKFLAENHGL RLLMLQPLNQFDGWPEGHERDEWVKQKAKKWIELCKKLGVEYLQVGANDQPDASGGDD KTAQDLAWLADIALPIKVAYEPWCFSPRYPTWEQCLEIVKKGDRPNLGICLDTAQMAL SPTYGYDPLSSTPPSPSNFEELIKRIKDVPKELIFYLEISDVLPPNPALLDGSPFDEW HKSQAPNNPPRSSWVLCARALPYIGRSAGKGVRDDKKDLDLGVARVGEVTKAVFSTGF RGPVIWEPFEALVMESEDKDVPELYAHAAKISKEKLWEEVLGK L199_002276 MLTRGTDPHLAPKLPEYFRNAGIFHDVDSIAFDWPLTPWSQDPK QRSVGSVMMRDIRQFPDTSRLLIIDAAGISPVEYDRIKIRFLQEIELDYKIVWNLWSV WGIKSD L199_002277 MSKRPTSPLTEDAQRARPKPRAKSYGLGLLLRGEDVEDQASNVD SQGRGTSDTTAAVTNDDTNDTKSPLPSWLPTLPPHTSIQEEETVLPFLQPPSTPLPQP HSQPQPQTLNTMTAPTHTLSPTPSTENSTLPPLTPNTQYAMGGLNGSTGPPSPTGSAF TFTSSMQHAVFREDEGRLYNAVQDDYALPADTKEIQRLDIQHHAIRLLLGGNYLPHVG QHLRTHSPHGKDMRVLDLGCGTGTWCLEMAREFPEAEFIGIDLVPIQPDTLPDNCSFA MDDITKGLPYPDGTFDLVTGRLLVMGLRDYPSLLRDIARVIKPGGMYVATEPDINLIL PDGRSTAGLKGWVGWEHGLQK L199_002278 MFHDLRRHMPFRQEEGWRYPEGWEDFSCPDPSEDDRSSPSTFTF TSQDESDLFGDDPDQNEIPPPKYDDIYRSQDTFSHSPFKSLAEEELSQSADEKPTHNI GFDKSNHPSTTSTIHAESKSSSNSRPRPRVRRNIFGDLPTSFPSYPSSPYYPAYNRWY NPRRQININPDTHRVRPYPYPVQLISETYIPNNPHPHSRNIQITILEDDDEKAKQRST ARSTESYHPPAIDPEGITIDNTDYSYPHINLNLPPSSPSLSSSMHLSTSASSAGSPKF DFSLSLSISSGSSQEIAEGLERVSDGLKGIKEALKGVGARSEGYLTV L199_002279 MPRRDLVRIVLVGDDGVGKSSIITSLIKESFVNNVQHVVPEVTI PPEVTPENVTTSIVDTSSNPRSRAHLLSQLTRAHVICLVYSISEPSSFDRVAEYWLPL FRREGINIPVILVGNKIDLRGGQVTNQGLEDEIAPIMREFKEVETVVECSALLPLNVS EVFYFAQKAVLHPTAPLYDSREHTLKPKCLEALKRIFRISDVDKDGLLNAVELNQFQQ KCFSTPLQYQELEGILDLVRSYDPSAVLPGITELGFLYLHTIFIQQGRMETTWTVLRK FGYGEGLDLREDFLTPRFDVPYDCSVELSPLGNQFLTDIFESYDKDQDGALSQSELDE LFSTSPGNPWSASGFPDSTITDDMGRVTLQGWLAQWSMTTLLDHRTTLNYLAYLGYSS TPSASTEPPLSTPTALHITRPRKQDRRQKKVTRSVFLCYVLGATGSGKTSLLRSFVNK GFRGHEDGSPGGYGYEPTTKVLSVVNSVEIEGQEKYLVLQEFGSKYESETLRNSKKLD MADVIIYVHDSSDTNSFSYISNLRQQYSLDHIPAIFVATKSDLDLAQQRHEVQPDSYC RRLGLPAPMAVSARLGPMTNLWVAITRVALNPTSSLARGPSSTMSPAQRVRMIASITL ATTTFTAVVGIWMRYQGYTFRGIWGWIGRISGLGRGGQQ L199_002280 MSTSSRPQSVRGLYTPPAEEWVFLPPSVQPPSSSSSSPNPTAPS HVPSSFSAAVEDDENLAIPMMGRPFNLFLSEYLTTAMGMPFEVGKTLLQVEYRPRKRY APEEDVEVIREKEQFELQDDQISNPEEADMYFSDRLAQPPAPLAPPPEIVVETDASGY LPDLHPSWLLNDDPEVSRGNGVWGMIRRIRYTPSEGLPGLWKSQLVSTIHSFLSNILQ PSIHSFLLILTPNSPVNLDISLSALPNPAIPLALQVTSHLFTHLLLSPLELIRTRLIV LPTSHPSTPSSVTIFKQAIENEGGFSNLYFHQNLLLPSILEHTLRPLLTLSIPLIIER QFKISPDFSPITYSLIDLSLGLSSLLVLLPIETVRKRLQLQSRSKGGVKHKEMKSIVK LREKNYVGIVEAIWRILQEETGVRRKRKMNERDEGGWFAGVRQLYRGFGMAATAHVTV FGLGLVSQMLSGNDGGGWKEI L199_002281 MYTSALLSLLPLLAGIGSLPAMALPHHHQQPKLPLPALLRRQAQ GQGALDLPPSLNMPTASLSLSITDDIRPTPVPVDLPSLWQINTVPQTLLAQAQPQVES VTSSPAASVTSSGDLYVQDAEDVEVAPTPTAVNMATVEYQGDSLLQPTSISMVMAGMD MDMSTNTNTNMDMEITAAAAAAITSMVGNLPSGVNGNSETITSFASSTSTVYSTTTSS AGTGASTTSMVSTTSCSSAIVSAKATVAEAAGITSTSASTSASTDTSPTPSTSASDDK SKKIRYKHCSDTKGTVTEIKVNPCEGGKGTILDPCHFQAGKNYTITLTYVSPEDSTSP RANLVARDKTMSDGQQHFTYPGQSFDACQYTSCPITNQETKSYTYEVVTTNNRFDQLT FNMTNGLDGDSLMCAYFPVTFMPSLAGRSMGRNVPFGGLGARW L199_002282 MSQPRDQKINKLMSVLHLIPQSLPIYTFFYPLNITPHLTPNLYE KYPYFSVTREPAGVSVLAALPPGEEGVVVDGEVRGLKELGDGDAGRWFGPWKAIKIRG PLYIGLTGILHEFLTPLRSAEINIYAISTWPTDYILVPSEKLDRALDVLKKDGWQVVE QPNEQTDETGKKVF L199_002283 MSSHSTPLEPLKRIPSRMATPTSAKRQRVSITQYHKYMTPHPSS DIDIEDGQPIKYTAVPVPAPIRVLSGGPLTPSTSTVAFSSSPNRRLMMSSSSPTKHSQ RQQVPEAKFDHELVLEEGMTLIFGRHRHKQSNTKSSSSSLSSTIPSHLLNLFDHPESA SRVIHLSKDASHASRVHAAIELVKQSTIRIIVIGQNGMKVKITKKKRGVRLLQNQKFD LTLSSTQSVELDFFGSKVLVRLQPQEKEEERERLFSSSPVKSLRMDMGMTSSMPPSSP PMVPMEMDDDDVLSEPEEVVLPRQPHSLEAVIQAQSQKLQEDEEDRQSRQSSPLSPPS EPRHSPLPQLEDEPIAVPVTEVEEKQVKAERIESISHASHGHGHGHGSRANTPVVTPP VPSDLDLPAIIASTVVFSGSSKLSLPDLVKHMLESQPSLKEHGSEKIWSSWIHDALEG NRMFGKVERHGKDASGHPLLPHYYYDPSSDPDTSRASELGALVRPLRTAQRTGGKTID WRPVGRGRRS L199_002284 MSADADTDNTQAKRLNILIVGAGIAGLSFVYALKRSEIYRKGLV RYRIIEKKQAPSTDLGYPIHLSSAARQALKDILLPSDLARLQKAQSTIPVYHDGLTVC NYKGEPMWRAVRDPGVRPMIEREDLMGILRDGIDENKVEYSKELQSLDDIVNGAGVEV ELSDGEKFKVDLVIGADGMFSHTRQLLYSSRNLLEKLPWTIRNSRYTSPEVLGWCKDL NGINTIVGDSFSATIIPLGHQTQTQAQSIEEDREDLRNQSIAERIEDIDPVGNFTDEN NREKQQSIDNITNANADTNHTNTNSSSHTAKPSVYVALTVPSRWLEPSYCTKLSKCTC EPTIHSLFLRQLENSDGWPRRKGFQMYSMNKTVAGRGKVILLGDAAHGMPPFCGAGAG SAIVDSVELVKVLNKGIVDNLNSSLGDYMTQSQLRKDPLIKASKRLLWLAQGHTELSM IARKVVFWGLEMKERLSGSRQRAEVELRKVIDESRKGGNEGI L199_002285 MRIFQNEMTVSLFLILTLAIQARKNHDIPDGYEDDGGEYDASSD SEDNDLGSGSGYATNGSDDHGDMGYTGSSENDGYQNGIKWISPSSGDILPSGQALTVT WSSPQPIYSPSFSLCTSTSSTSTAADCGNESWPNVKDNEDGTYSAIVIMPVISQSIEK LYLSMNNPTNKGRTFNSPVFGVEGDSGVPNAYVASPLNPTTAVIPTSMPTASSPSLTD AIGDELAGTGTASATIPVFTSPLTLSSTVAIPISGSTVKVKPTVMKSIATSITPTIMA TPTIYSNTPTIQAPLQATYSYPYSYTQPPQLSANANANPNANNMTANEQPSKPNIKAI ALPISICGLILIAALIFCARSRVFRKTGLGKDVENDWQSVIKEKAAAASSLAVAPSGS KGGVEVRERKEVVADGIGVVPILGYRGRQYSREYENGRSLSREERFTQVPKVDYERRG SRNQRFMYDERDRGGGRDRRHKHRHRERREREIERERDESYYTYPSDRSRRSSARDDY DNFSGRSRGYYSTNRRSSGGIGGIYDREYPYEQSSSSYGKSSCGRPSIAPRESYCAPL SNPYDPPTPTKRTSRPLPEPIIRSSTNSFARSNMYDHHLQEEVMMPRQKTLPHLSGGL RDDRDLPSNVGGGGGRERRGRGESGREREFESDTEAGWEMDLANQGRYFTGEEGMGEL YESLRRAIQRG L199_002286 MPALASKALRPTLIAPLVRGTRGHAVAVDPPYLPPNPTANTSSQ ARPRYVDGDVRHDWRRSEIQKIFDAPLMETIYRAATVHRMHQDASRIQLCTLMNIKTG GCTEDCKYCSQSSSYKTPTKASRLIDIEPVLKAAREAKENGSTRFCMGAAWRDLAGKK SGFEKILKMVSEVRGMGMEVCTTLGMLSPDQARRLKEAGLSAYNHNLDTSREFYPEVI TSRTYDDRLATIEAVREAGISVCSGGILGLGEQDEDRVGLIHEVSRLPQHPESFPVNT LVPIEGTPLEKNDPVGVHTVLRTIATARIVLPRTIIRLAAGRHTFSETEQAMAFMAGA NAIFTGERMLTTPCSGWDEDKAMLGRWGLRGQRSFEDSESVSTTPMMTKEQQALHGVS Y L199_002287 MSKEFTTCSKQDLHYLPSDQPVFSCKGCSCVIALQDELCSKGFT GGSGKAFLINSTINTNLGKKEERKLITGTHTCADLLCASCGASLGWKYLKTPTAEQKY KENRFILEQAKIVKENNW L199_002288 MKRPRETSLSSSHRPHTRPRLTGSNLEPVNTNAPRRFPHSAPVP IRGYSTFHASSFESQTLQDLQVDDLSAPGRIEDENTQYDSISNPLTGQDFPSDHQVDI STPELTRSPTPPANNEPLPAYIVVDRHSLRPLLGWTTYKRLNRSTGLFEDHLVGVSET NIQVMGLIPQAFNGMDEMKTALWSIFRPCGKILSVMVFKEFEYHSLYTAQIDFEDRES ALRGLRVNNGYFHNFTWHPLKISRRAYNHSLWGIVKSSELSELQTRLLNSNKRNIRFP LELETLYGDQFFTNFDLPMAPLHLENYRSFDNLGEEFRPTTIALDQEERQEHELASFP LSSKRTEIHRRLLMERNRVKEHVHPELVGLVGYNWQTGKLDSLEEQQEEEQGGEGYEE DAGMEMDEDVEHGTGAGEGEDGWMELVMDPWDERM L199_002289 MVHAPEITDYIKTRAKAMDEVSDQIDEVNLLKLKQNAKAQLEKS QQGVNDFEGESKFDSEKDKSVFRQYENACDRVKNFYAEQHTKQTLEYNLRIRKEFRET VRARMGVWEALELLNTLVDESDPDTSVPQIVHALQAAEAARKDGKPEWMQLTCLIHDL GKLLCFFGADGQWDVVGDTFVVGCKYVDEIIYGPESFKENPDYYNEKLQTDLGIYEEH CGLENVMISFGHDEYLYHICKTQSNLPKEGLWMIRYHSFYPWHRAGSNAYRHLMVEED EQALKAVRAFNPYDLYSKSDAPPNVDELKEYYQGLIKRYFPEEIEW L199_002290 MPTLPILCFNDVYRVSQKYNPQPGAPPTSSSDPQHINVSQFAQL LFSERDKWADRAETKGKEKEPVKDGLVLFAGDVFNPSVESSISRGSHMVPLLNALELD IACVGNHDFDFGYPHLTKLIESTTFPWLLSNIIDEKTGQVPETLNKYWITERCGVKIG VIGLVEQDWIATIPSWPKTFRYRPMVETALELSKELRDPNGPHQVDIIIALTHCRVPN DIKLCNELGAVADKPAVENEHGVDLLIGGHDHIYYIGKGFTSWEGYSGRHDAPGTTED HGVRLIKSGTDFRDLTSASLELTPTKPGSIRKHLITSLKGKHLYVLPSSPSSDSFDTM VKSLLASVSEAIQKPVCFTLSPFDARSEIVRTQENGLGNWIADVLLHAYAESMLDKNK NGNGTGNTPSNGNTPPANGGNGEDKECADAVIICGGTLRGDSQYGPGKITLGDILEIL PFEDPVVCIEIDGAGIWDTLESALSKWPSQEGRFPIVSGLAVKWDHTRPPNQRIISIH KTKRPKRQEDNDDDEIENPQDFVDFKEQDDGTRVVVKQKKLELGEEIKRDNSKVYRVI TREYMALGYDGFTDLKDRKYIVDDENGQIMSSILRSFLLGSSYIFRHKQLESAAAAHL SSRTDKVLSRARAQHQHSPSSSLSSSPQSNKGFLSPNSNLANSHHGVLSPVSERSASS AWGVLRRHVVQHDWGTIRDALHVAKHEHMSSVDEVAGQAMRQHRQATPHMPGEWKSRA PTPLPGSEPAKPAENADDDLLVNQEKKELESLSDDLAIVCPLIDGRMRDVSEGKP L199_002291 MDQDAFRNLLSAPRPSAGGSSSRGVLGAPAPKRGWGLKAKEGYE KKKEDKPSKPEFAPRKYHKREPPPESAYKDRAALRREGRNDEEYENVSKLLEDFEKRK AEARRPEEIEELEKQRAYLGGDVEHSVLVKGLDYALLAARKAELAKQKGEEIDDELDV LQQGLGKREEKEGKKKEEKVESLGKGFKSIAQKKAEADAAANAKGEKKKKKKKKKVKA EVETEAQSESIAGPSTEIKSEVQAIEIEQPKSSKKAEQSDKPSVISKAEQTAPPSDDD EDIFGDVGEYDLGAAAPDSDSGSEDEAMDVDPPSRGRSRSRSPVYRKDGLGPRSPGYR HDRSRSRSRSYGKRRSRSRSRSYDRRRSRSRSHSRSRRYRDRSPVYESRRRRSSSYDR ERRRSPSPYRRRYRDRSYSRDRSRSRSRSPYYYRRRSRSPSPYYSRRKSPSPRRGTYR PRSRARPPPPRRRYDSKSISRSPTPPRPVRERSYTRSPTPLLHEPIRSPSISDDEDDE QGPGRITKLQPLSSSAIPSLKSFLQAENEAAQAEEKRLKKAKWRAQQGLSAQEGASEL LASEGSKKEGGEKQKANREYQLLMNRMNKGESKDKDDNKDKE L199_002292 MSNPLLRIILCGKTTSVGKAVTAGLKPEIEVVRFITSLEKARAE IPLILSNQHQDLPQPKPSEEIIGTQNFKVIPDAVMLGGGYDASDAQILDGENKIPIFL ADRTKPAPPLATGEYGKAILKRAKDSLLDWEKDTKKGERNNPIWY L199_002294 MSSSDDPIPNESSSTWYLHHLDTHIQQSKRQILGQRSILVPNKR NPFPTVVPPSNTVWTPEEKELFFASLSRHSRYRVDLIASELKTKNQDEIEWYLDLLEL GSEIVGQVDRKRRDAGLERLRWDGVRSWRKGLSPSAREVSDSWINKEEVLAEKVIKER EERDNEERDALMKKERRAQKKQLIDEISPVEEWKKDIELTPYLRNKLIENHPAYKKMI NQWEVDDYLMKMDGEKLTVVNNLMKPDWSTWYSDRVKMVSTSTSTLSPNKKMVSPEDE ADEDDGEEEEEEGSEMIGGIPTKGDPRGKITIDQQNYNEIINIPKKERTPEQRKLLSK IINRRRNREKYRIQKLIEEGLTRDEIDLAGGADAIFQSREPVTQTDSDQAPKIPQKRI TKRNDTNVQTAHLRRIGTYDHLMMSGLEVFNYEMIERVNRRLNLTDPRGMSFSALQGI HSLLVNQLRRLIYSSILIAEQSYLQHPSDDHDGPLPQISPEHVHQALLKEGLVHPSEL ILEFIERLFDNEPNDNQDEQDIEVAEGDNQDKEEEEVGVRIGRYHAAILPPGEIQWND IPYISTHSNEEEEEDRQGMYDDISDAATEIEDKELDEALYKMDEAHDKQYEKSLWVAV DDGNDDVDRSEIWTKDRKNETKSEKEYINLLLSTDSARRKRKHKENIHQRYPTTRIKK LARANKRMKSNAWIIDSDSDSSEDEGNGYVWDPNEGDVGDDDDEVTDTDQEDGSSEDD ELDGEDEGDAGAEGEGLAHEEEDELRVYEDEEGDEHEDEEEDGYQDEKGEE L199_002295 MTQDTKPLMPSLSPEDDLDLPPPSYDTALAASSSSSSRPDAGSS SSQQGVEERTIPQHLLHLFSGPPNGEPPIGRDDVPPEQIQRIAWGQKGHDIESWDPKL ADPEVMYDFIRAQAMVPPTIKIRCRGQHMETLERDQTVIENGIETSKKRGESYCVTDF DFTIDLSDIVNHPSNNNHIHLRTVESDRAAYRGTHTTRYGASFAPDHGTRSFSQGGYR SLNTEMEYGVTDVGRQPTSAEQSDIDAWNVYRAKKGIPGWVNMQNVAEFWDTRIRSKL PSHTDVESARTVRDHDNRPSLKEWCKVYCRDKGIFKEFWVHKGVYGWEMESVQTAIRT AILSTGYQSNYLTVTTDITPLAIVVRPNNIFSRAINNGFLYFLSWITLIWPLIWIFKR VFPRFLGAPWNVAYVNYALKCYPPLPSTYPAETILQAQDRLAALYKLHPELPENPTLQ YGPKGVHYLLGRKEGEWFREWEERIRMGVRMKFRGQLEGGQQGEQDAGQGLDGY L199_002296 MPIGPSLPPHLAHLTGNSPTSPEPHTEGPARPPPAPVEDEDDDD DDDYGPALPPHLAASRKTPAGPAGPSLPAAGPSLPSSTTAAGPSRPPAAYEEDSDDDD VIGPMPVPTNGEEDSAGSAVREFLEREERRRKILEEESRPKEKKREEWMLVPPSSGVL SNVDPLRKRPSTFSKSTREPESVDHSVWTETPAEKAQRIADEVAGIKRKKAKAGERIM SFDEEQEERRKRRREEDIRNTLQAHNRGPSLLDQHASKLSKKKKGEDDEAPAIWDHDR DMGVTGRLLTDNERQKKIKDARGLGDRFGHGKAGAYSM L199_002297 MDQNIIRRRGVGTRGIDEKTVLDGYTVIAPLTGKKVYLINNAGD TVHTWNLPYRVGRYARLLPNGNLVVGMKDPEASAPFPFFNKYGGGVYMELTPEGKVVH ELRDPLGHHDCFYDGDGHFFYAGLEALTPEQQAALPGGVEGTEAPDGKVYADTIREVK DGKLVWEWKVSEHLDPKVFPLQAHYPREHWPLINGIYPLKDGNILASCRSVSAVIIIE KSTGNIIWHLDSTVVAQQHNANELPNGNILIFDNGAFRHRESFQWSRGLEIDRKTKEV VWQWHASPKETFFTPFMGSAQRLPNGNTLLCESAFGRVIEINTSNEICWEYVCPHFAV YPEPEAAGFYPVESNALFRAYKYSKDQIPWLN L199_002298 MSDLVVDHPQYYADYTPEEEKRAVRKVDFLVLPFIVLCFLMLQF DRTNLGNAQTDTILKDINITTANVNIGQTLFTLGFVLFELPSNIVAKAVGPHRWVPVI IFIWGLLTLCQAFLKNKGGFYATRFLIASGEAGFIPGMAWYITRFYQNGELSLRLAIF WAANSVAGMVSGPLALGILKGLNYKHGWHGWQWLFLIEGAMTMFVAILAVFYLPATPT EGGKSLGFPILNRRDAEVLSARLLADDPKKAIVHGEKIKFVDIKDTFLDWRLYGHCIA AFLSSVILTPINTYGPSVIKSLGYAGFTANGMAAPASAIGLVFSVSLAWSSDRTKERG IHIFVAMALSCAGCLWLALAPDGVGKRVLYGGYLMTAGTMGCGQAINASWLSSRFEER KRPIALAAYVAFIQMAGFAGSNVFKPKDAPRYKHGLIICGACAIAGGVVMLVWKALYA WDDRRKLHQETETSNLGVQQLEHGTRAGDNKYYEEEK L199_002299 MSGRKAYTCVQCRLKKIKCDRHRFCGQCKKRGETCEWPPNGVPL EIEGSIPRSSASPTTAPVVSRRARHQSSGSTPPTVDVPHTVAHAEQLDHSILNDPRGE PVGWGFGSSQTPRRAFPFDSAGSRTDYMVTADLMRTLPSRAESDTLLRVYLERVEWIH HPLHLPTFLAQYNRFWNMDIARRCETVHARWLALLYIALCLGDHFSDDELTTDPTLET RLVTACEDTLAYSDFLNQPSTETIQTIICLNIYLNNKNRVTAAKSLLGTAIKMAICMG MSRIPDEGQTSGEEGSIDREIGRRLWWSLVCQDAYTASNSGFSYSINLAHSSTGYFAN VEDEDIRSGSHYHSRPMSEITVSTYHLCKINFALTVRSFIDAVNANFPDASYEEIMRL DAQFRSVYNALPVPLRPDLPQAFELSFAGSRRYLVEQRIFMGITLHNRVMRLHRSYMV RGYDDDRYAYSTKVCLESAYATLELVKQSPQTLCRWWVVMVHVWTSGLIISADLVKGV SDVDIRRKQRDGVRLAISLLEPISRTSPVASRGVKVLKALLDTDDAQLQPRKRKRVDE QPSNTAAPVHNAISSMTDLEQLLRDVTSSVPTTTYTAPTSEIVNEQTLEFWQSLFQMN QW L199_002300 MTWTSSQLLDAFLTTTSEKIIPLTAKGVASGSKVFGAAIFRKSD LSVVVIASNHETSSPLLHGEINCIQHFYALPADQRPPPSECIFFATHEPCSLCLSGIT WSGFDNHYFLFTYEDTRDAFNIPHDIRILEEVFKVPTAGESSEDFAKRPLYNKSNAFW TARSVAELVNDLEGGEKQQMEERVKKIKQDYNGLSGVYQGSKGSAGIPLA L199_002301 MSSLGTSASTHTQAVSTSGSGFFSRGDSVTSGSGMSAGSARKKR KFEQYETRGGEHDSGFASEVEEEDDATKEQGETKRYK L199_002302 MPGLRPTQQDIDQFVAITQANPEDAVHFLESGTTLEAGIEDFLA AQTASEPLIPHQQDEEDAELALGSPSMSDDNSNNAPAPTGGPRTLGGAAASEPLPAGW GQPERPRFGRIGESRDEEEEPRGGDDPEELYAGGGRSGLAVQNPDDQRGSGNSLVDNI LRMASQNGPRSPSAGGAPKPPSAFRGSGHTLGSDESPSTEVPATGSAPSGSTPVPSIP SVAGVTPSMMDNLLAGMFGRGAGAGGNGPPTTVEDEEDDDDDDDDENVQTRRLTFWRN GFSIEDGPLLSYDDPQNQALLQAIESGRAPPSVFGVKFNQRLNVEVAQRRREEYQPPS KKPMKAFGGSGNRLGSPAPEVVTASSSSMPGGLPQGILAGGSGTSTPSASNTSTSAAP ISQNKFEVDESKPTTSIQLRLGDGTRMVAKVNLTHTVGDLRNYVSAARPDSRSYVLQT TFPSKELSDPSETIEAAKLQNAVVVQRFT L199_002303 MAEPPSKRSKTAGDSSSSTPPVDNPYLAHRNMTASSSTNGYATG TNGTSGKVDNPLNGLVPRKVSVAQAKAIMDGDVNPFKGLSPFSASYRKILEQRKGLPV YQKMQEFLTVFSENQITVMEGQTGSGKTTQIPQFVCYSDLPMLRGKMVACTQPRRVAA MSVAKRVADEMDVQLGKQVGYSIRFEDMTEPGTTFLKYMTDGMLLREAMNDPLLERYS TVILDEAHERTLATDILMGLLKDIAKRRPDLKIIVMSATLDVEKFQKYFGDGQPSGIA PVVKVSGRTFPVETFFTQEPENDYVEASIRTVLFIHQAEDEGDVLLFLTGEEEIEDAC RKIRAEGEELSNKGMAGPLLVVPLYSSLPPHQQQRIFDPPPPARKDGLPGRKVVVSTN IAETSLTIDGIVYVVDPGFCKQKVYNPRIRVESLLVSPISKASAMQRAGRAGRTRPGK CFRLYTEKDFVKELEEQTHPEILRSNLANTVLELIKLGIKDLVHFDYMDAPAPETIMR ALELLHYLAALDDDGNLTPLGQIMAEFPLDPQLAKMLIVSPEFGCSNEMLSLTAMLSV PNVFMRPASQRKEADLAKAQFTHPDGDHLTLLNVYHAYKSNEGDAKNWCWQNYLNQRS LAQADNVRTQLKRAMEKFDLELCSTAWEDKNYWNNIRQALTCGFFMQVAHKEGEKGSY MTVKDNQVVRLHLSCGLDTTPEWVIYNEFVLTTANFIRTVTEVRPEWLLEYASQYFDP TSFPENSETRRALQRVLNKKTGKYADGGSGSGVDLKKKKKKRKAE L199_002304 MPASPAKKSQWSEYTNSEGRKYWSHATSKQSVWEKPDELKTPFE KALAKTQWKQYTSKDRPYYVNTVTKETKWDLPPELKELKAKIDREEERRAQGLTSPER SRSPTPEDIRELREAAANAIAPYGTLPPSSTESPSSRRGSETPQVQQQSQQTPSVPAL PVIVMPPGGFADRAKAEEAFIYLLKREGINEQWTWDQTMRKIIMDPLYKALDTLAEKK GAFEKHINTILDSRRQAKQLRISKLRPIFHKLFASSPEIKSYSTMKTAEKIFNSNRYW REAQYDERAMILEEYVDDLRRIEETAERESRDRNIHFLSDLVRTLDISVSTRWREAHD LIVSSEEFKVDRELQKIETIDMIQVYDTYARQLEIEHEEESKKLKIENVRKARKAREN FKVLLHQLQEQGELNRSSKFKDVYKRIKDDERYLTLLGLQGSNPLDLFMDHIDDLNEE FETASQKLSRALNKDGKEIKLETTFEELDEWVRELKIENQFDEKLRKDIYELIHGKLK QSAEDELRRAERRRRHRIDDLRYALKKVGRHIDLEMTYEEALPHMKDLPEFKEVVDEE DRKVAFEKFIKRQKEKLKEAESSDIGSTRDRERDRDRYEKDRKYSYSNSKDKDRDEPM DIDEKDRDKDRSSRKDRERDRDREDRDRKDKHRDRDRDRKRGSIGPDDLKDKDKERES KRRRMSPSSHTHSRKDKDDVEEGEI L199_002305 MISPARVTSILRSAPRCINQNVSLQSTSSYVRNRSIRFFNSMSD PTFTHEFNHSAFKGKVEVPTGLYINGKWSKSLDKNAKTIDIYNPSTGEVLTSIPEGRQ ADVDEAVKQAHVAFNTTWGLHAPGFKRGELLIKIAELIERDLDILASLEALDNGKTFT AAKAFDVTESARVFRYYGGWADKIHGKVIETTEAKLAYTRHEPVGVCGQIIPWNFPLY MFSWKIAPAIAAGCTVVIKPSELTPLTALYMTKLFEEAGVPPGVINVVVGYGNTVGSA LAAHPDVDKVAFTGSTPVGRLVMEEASKSNIKKVSLELGGKGSNIIFEDADFEEAVKY AAQGIFFNHGQTCCAGSRIYVQKSIYEKFVKAFKEATSRLKVGDPFEPTTYQGPQVSQ LQYERIMKYVQHGKDEGATVLCGGQRHGDAGYFIQPTVFGDVKPDMKIVKEEIFGPVV VVSPFEKEEEVIESANDSVYGLASAVFTQDISRATRVAANLKAGTIWINCYNELHPQI PFGGFKQSGLGRELGEYALENYTEIKAVQINVSGKCGIPL L199_002306 MSNLDKPTNGVISTSRPESTLPTPALSPSNSAGPSPAFSTVLQS PAVSEFELENPFDKLQSQDGGDGWKIPECWGHRGASASFPENTKASFVEACKAGADGI ETDIHITADNVLVLFHDPELHRTTDGEGLIHKQPWNGVLEHVRTKKAPHQPIPKFTEV LDILLQPENINVKLNIDCKVENDPVKLFGLIKDVVQGYEDWQERLAPRLILGIWHPKF ITPACTILPYLPRYAISMSLPQCRKYFFDNCHGFSILYEALASSDGARFRAECAAKGK FICAWTVNSEEEMRQCGRWGVRSVISDKPDLWRSIRKEIETDRVKALKPTIQSYILPF LNPRYYWFYHQRLAREETEYLEREGGTFDIHLPEISLGISKPPHTTTI L199_002307 MSSTTSSRTFIFTPPPDGAPVGWVPSNADDTSTRGGGGGLSKTV LAIVLTISGVVGFWLIAMIYWIYSIRNLNKTKVLAQHSTEGRRQTWIDSTNIPSPPIS PMTHTFGIGIGRMMNDTKSAQSTSSSSNGDNSDHRQYITSTVVGSEYTTKSSEGVKKG ILKTENREKEKEERRC L199_002308 MLQTIHLHLPSPLPLQALHISPQSTISDLPIPSPFDDNDDVYLR TTSSILSPSTSILSLQHNENADHPINIHVCARLLGGKGGFGSQLRAAGGRMSTGKATN MDSCRDLSGRRLGTIKEAQRQAELLESAPALRAKLQAEEKSKLEALERKLGISQPDSP EDGEASGSKRKVDDVNLEELAAKKYKFEDNKFLEESREINESVRNAVSKAMLLKKKKK SSTTTTPATTASTAKSDPKGKAKVDELTKKEKERLSMPPPALTNVA L199_002309 MEVNKEEALRCLSISSRHRANSNLPSALKFARKSVALYSTTEGE AMVIAIEREIESGGSGSGSGTSTPAPAPTPNGTSTPTSNGDAGGSKGKATGVEEHITS AHTRPGHSGTTSTNKESTGSKKSYTAKQLEVVKRVKSCKHHEYYEILSVEKSCTENDV KKAYKKLALALHPDKNNAPGADEAFKMVSKAFQVLSDPDLKAAFDSNPSYDPTQRNPG MSASSGMRGFGGGGGGPGMYQTEINPEDLFNMFFGGGGGGFGGSPFGQANVFTFGGPG GFQAHYGGRPRRPQPRGPATAGGANESSPLVALLPIIILFAFALLSIIPSIFTGSSQP DPAYGFEPSTRLNVNRETSNWKVPYYVNGQEWEKSEIYSSIPDSRKGKTNEGLYSSKL RGFERGVENVYARRLQNECQYFLDRKQQRINENSGFFGIGANTDKLKEIRSQKSPACE QLRKWGLVSQGAW L199_002310 MVASFDPLSPSFASSSGSGVVDKSTFKTLTRERQFRHPPTTSSD VPALDELVQPHIQSFNALIEDEGNKGLLQLGVEDIGEKVVFDGKEWDNDPTGFGSKIT YRIDRVALSKPLVPEKDKLAIERRIFPAEARERLTTYRSRLTVNIRWTVTGPDGVSRE HEEIKECGLLPVMTRSIRCNLQNLSAEELISHGEESTSFGGYFIVNGNEKIIRYLILP RRHHPLNLYRPSFAKRGVSYTPYGCQIRCVRPDQSACTNTIHYLSNGGATLRFAWRKV EYMIPLMLILKALVNASDKEIFEGLIQGEYDNTFLTDRVELLLRGQKTWNLQTGEQCL DYLGEKFRVVLSCPEDWNNIQVGSFLLSKVVLVHLPNPRDKFRMLIFMLRKLYSLVSG ATCADNPDSPQHHEVLLPGFLYGMIIKERFDDCLNAVKLQIQQDLRQGKARSFSDPKY FTSVLAKTNWDIGAKLSYFLATGNLVSPTGLDLQQTSGYTIVAEKLNFYRYLSHFRCI HRGAFFAELKTTDVRKLRPESWGFLCPVHTPDGSPCGLLNHLSHTCKIVVGQLDTSNI PALLSAHGMTQIFASSIDGRRMVCIQLDGRVIGYASPQKSKQLANLLRKLKTEGNPKV PIDIEIGYVPVTKGGQYPGLYLFSSRSRMMRPVTYLENGKLDHLGTFEQVYMDVAITK QEIENGVTTHLELDPTSMLSVIANLTPFSDFNQSPRNMYQCQMGKQSMGTPSTALNKR TDNKMYRLQSGQTPVVRPALHNHYGFDNFPNGTNAIVAVISYTGYDMEDAMILNKSAH ERGFGYGTVYKSDIFDLKDSVGANRNSSKPRLHFGLGRDIKDEHTCLEFLSRDGLPRI GTRIKSGDPLAGYIDDTTGKTKFHKYKGDEVAFVDEVRLLGSDSGDSELQKIHIKLRI PRSPVIGDKFSSRHGQKGVCSQKFPTIDMPFSESGMQPDVIINPHAFPSRMTIGMFVE SLAGKAGALHGICQDATPFKFSDSDRPVDYFGEQLKAAGYNYYGNEPMYSGITGEEFH ADIYLGLVYYQRLRHMVNDKFQVRTTGPVDPLTRQPVKGRKRAGGIRFGEMERDALIA HGTSFLLQDRLMNCSDYSTAWICRSCGSLTSLGFEDTASNEGMKEYCRICDSHLHQQD QQDQTKKESTGTAVGVRMKDGVRKGKMDVVAVPYVFRYLCAEMACMGIRLNVTVT L199_002311 MDFNALGSISGKSSMRRKSLLQAFGKSSSSSSYSRQSAIPLPSS STYTGGRGGGGSLPGSAQSTPLTSTTSAFNHDEIYSPSFSPEYQNHPHSHADTISLAS RTTASHTHGPGPGSYGLGGGYPHGHGHGAGGRSTSANYSIKEKNTNNEKGERNIKRPE DVFRLVRERIIGWSYLGEWYQGDTHWLNTVRIPRTTLESSIGPKQLESRARNFHILGI SLSSLFDIPSSNEFLKALLKLLDEWETFSDSGGGKGVKNLFRGQRNNRKVTAGGTVMS DFASGMDSAETYLLNVNMPFIPDFYQTHSTLCSIIRDIYKKLLGMFLPSPPSDNFIPP PFTNSSLLHPSTIIQSAPLEIPFGMGNLGPTPKSPAASIITATFANQQPQVTSPTSEG GHGHGTSYFGGTAQPHTQQFTSPALQGDALQLFIAGELPSDRTLVGDGQKLTPQIVDM FSKVDTKFKKQFSLLLREGDTLARKVLDDELQIILQSFNPGSKSLKFDLNATVIGSGN GWINSASNSSNNNSGYMQGTVSGYGGYNGLQGLMEEDRKERDFGTI L199_002312 MSSSSKIARTKVVAASSRPQTRGLADRTIIAANKNQVPIRTNLR GSALLNTPRGAGFSREERQIFGLEGFLPYDVHSLEKQALRAYNQLLKQPSVILKHAFL ASLRDQNQVLFYKIMQDHLKELLGVLYTPGAAEAVANYSNLFRRPVGCYISFPNQDGM RAQLEGHLLDVNRTADVAYDSNNPHDAIDLVVVTDAEAILGIGDQGVGGITISTSKAA LYTLGAGINPNRILPVVLDCGTDNHALFSDSLYMGWKRTRIRGKNYDQFVDRFIKNCR ELFPNAIIHFEDFGMANAYRLMEKYKHIPMFNDDIQGTGAVALAALIAAIKVSGASLK DQRIVIYGAGSAGMGIAEQIKDGLMILEGLTEEEACRRFWCVDRNGLLVESMGNNLRH AQLPYARPDEETEHWAKSEDNDGTWLMDVVKNVKPTVLIGTSTHSRAFSEELIREMGK HVERPIIFPMSNPTALCEVDPADALHWTENRALVATGSPFPPVELGNGKQYTVAQTNN ALIYPALGLGAILARSNTISNSMLMAGVNSLASLSPALSNPEASLLPDLADVRNVSVD VAAAVVRQAVQDGNAKDENTIKVVNGKGPLPLEEYIRSRMWDAVYRPLELVD L199_002313 MSSKSDDNAKSSMSSEQTSKPATQESASTATSTTAGSSSNESSR CQPAPKSSSSGCDHQSRLDDAYGEQWADEVGAGEPTLVVPLVYMHH L199_002314 MADFMSAIPQHHEDEGDVCRVCRVEGDEADPLIYPCKCSGSVRF VHPDCLKQWLAQTGKKHCEICGHKYTFTKIYPDQLPERIPPTVYIRQTLLWLYRQQLW VARCILVVVTWLIVLPSLNMFSLRSLLWIADHIGYTGPDTSEDAESSGLIQNVTDFVT SNTTEHRTMHITIDNNTSLANSTSNSTSGGPVDTLFGMLRTAVERWMKGDESSAISFV LRGQILSISLAAVLIGLILLREWITQHNWQEGARPQIVEEGEINPDEWMIFNGMARRT TDVMAAILGKAREDRERRLHRLDREQEEREEREQREEAGMRLAQRVLEAAGREQQDED NLGEDNSILMDLRREAEKVRNERSEHGQDEEPPYGYRPIPTQPDAIASALEEYRKNHR GREELQRLEEISGLQDELLGIAGETSQQAAIDIQNSPTWSTSSEMFEGEGDAGPSRPR LDRIGTGVEVASVPMEDRNDSLKKSKEREEVRYRAPEMLKKEDAGEENVAGPSGSGKV EEGNISLFLPPSPTRSASSSGSNNVFRAGDTIRFDQDGNLVHDLPRPTSPARDIPIIQ PPPEIAQPPDGDEEGDWEDEPDGEAEIREIEDNEEEAADGDGGVPPLEIRPVQVEFMD EMEEEPWDGDDWNGILEVVGLIGPLHGLFQNVLFGVIIMSAAISIFIGLPLLIGKMFM STDIIRTVLSTAGRTLYLIRKVTDPIVDIIFEIVKEVVALPMITSIRAAETILARKLG LSDGGARRDVLSKLTSIISLNTSTTTSSSAANDEEQGRHIGLLGDGLAWVGQYAYDFY TAYVAAKRRISISHSVSHRLITVSSGYGVAAAIVGLIALPGENGGTISKELKKIVKDH AMFLKLAFFMILELGAFPLGIGLMIDGCTVPLWPGATLLGRLEKLRAGPFGVMFLDWL VGTMFMYQFATLLSHIRKLCRPGTLFFIRDPADPNYSPVKDIVEKSAFSQLRKLGTSA IMYSVIVFTLFGGSCWSLASMPYIKFLPLRLDPTFGPLTSIPFDLLFLHLVVPPTVTY IRPRHRFRKIMTLWWKSTINLFRLNTLMARRSTTTDHTKLDTGPNKFEKVWPILDTLY QVMFGKYKNESTKARVPASDQVILLPPAQRKAEGGVFIALNEEGVPNTPEDKMRLLKQ DRRAREAARDPHRDYEVIHLPKYWRTRVHTFIGTTLLMAATVLAVGAFGPIVVGRLAS TLVGNGKGKLVHDGYNWLFGAYIIYLSLSLGLFARRHIVTLSKAGRLRRSARSTRIKR TLIRYLAGAYGLLTIYGVIPFAVGLLGDIYGSTFSWTRKGSAGGRIVVHFWDTWALGT VVCSLGVGLMSNVNRMKPPRGSLLDKLKDQFRNPFPGDLSTTHKIFLPVIGSLLVIIL TPFVVTLIVAGVTKGNYGDEVYQAILQAIIPLLLRLFAALAIREYFTSYWGKMRQTMI DAEYVVEERVENYDPSKEDEKKKKRKQKKTDKKKDNEVEVDEEAEDHDDEDDWEDEEQ EERVRDDVAVVE L199_002315 MRLSTLALLATPALAAPGLLNFQSPSEIASQAIDTAQSWLQDSV SSAKEKWDFLENDSVEESLKVENIEKDGIEYLTLSHSAFPQHKLRVVKPELCDPTVNQ LSGYLDISETKHLFFWFEESRSNPKKDPLVLWLNGGPGCSSITGLLFELGGCNIAEKG ENVTFNENSWNNVANVLYLDQPIGVGYSYSDGGEQVNNSPAAAEDVYAFLILFISQFR EYSKQQFHIAGESYAGTYIPNIASVIYKNNLALDLAPTPGVPKLNFASVMIGNGLTDP HAQFGSVPDWACDHSLSHYAPYEDPEGSECVSLRAKAPRCQNLISSCYRTNSKFACVP AALYCWSLFNEMQQLGLNMYDVRRKCDKSKDADGPLCYKEMSWMETYLNKPEIKKQLG APDNVEFQSCNMQINQNFLLQGDATHNSGALLNDLVNSGIRVLIYSGEADMLVNAIGC SRVVDNLETKYSSAYAKAHKKVFVDAKNVTAGWTKSAGKGAGNLAFVSFRNAGHMVPH DDPVGALTMISRWIKNEPLA L199_002316 MSMSTSDQQVWKKVLAAKNKYMTMPQIEASFPTMAKKAVTQSTA NLVKLRLFSTSKSKDDDKTILFHAHTAEEAKQKSAMTPEQKIVLQVIKQAGERGIASA QIGRQIGHETMPQAILRKTVKNLESSGHIKQFKPVNAPTTPYYVMADAKIPEEISGGI WFDNNQEYDQGLVDALCQVLQHRVYQLTYVDNRDRTGKADPATLKDTKESLLVPYAMT LSNSKNYSLLTPMALRNFVNKSKLTSAELSVKNIMEVMRALELDGLVESIKPFNASIT FQDDDDHLDEREGSSSKRRKLDLDDGDDDEGMDSEEEAKAKEKAKQKIKEKKRRERQK EKKKKMKEKKEKKMEKKRKEKEKKKKKKKEREREKAKKKKSKKNDTAISDSDDDQLLS INDESSSKKRKKRSRSSSVSSVSSISSVSTVSSVSSVSSVSSGSSDSVSSVASDEIDD VLLPVKSKSYSTNNNSLPNNNIPTFFPGGLGGGITDLSDQSIIYKATNRLVNLLKGQN EIPCGKCPVFSFCEEDGPVNPKGCTYMSQYLDDEVGGWDRRVLGKMRPDLAEPEPEAE AGVQIEGVEDEINGNGDYDMDEGH L199_002318 MESLSLSSTSTPLIVPSDIPLPPLPIIQDQDIQRKVFTHASFIV KPKYSIELFEDDEESRDNEKLELIGDSLLGSSVLGLLQDLYPNLNLNVGQSTKIKSAL VSNPTLREICRRYHLNEKLIAPPEQLPTLINGEKVLANLFEAYIAGVYYSYLKHGPTP LNLSNSTLPTPPRSPNQHARSLSPSSSSSSVYMSASGEASSPTRGQAIDHLDLWLRPL FQPIAESMLDQLKQQQIAKHLLIENDEDVNTDKNAIGANSRLNQWFISKESGMPDYAS STAGMQGWKTLCTAVDRYGKNWYGEATRSTKKAAMAVAAYKVIVQFEQERPDFKA L199_002319 MTKSDISDDIAYNPYRSTITKSLSLQWPRLPKIENEQLKSYATT HSSIPLSDIDSRYRYERLAFVGEGILFSFVTSLIQDLFPGIDRESASISYLRYPPFQD SLSTCPLIHFHPLLATFQGDNQYILEPTRNSIKATSEMFEAYLGGLFYSYEKHFQEGM IDPALTRNTERIHGSSVGEEQGQDTKDGKMNKKRKLNDATFQNSQASQSHSCVNCSSA QSDADAQIQPFLLPIFTSLAKKLYDPNECEHKKLLALSQNSKGELHILLGKNKLPMPI YTQDKVLPSDESSNEGGAGSGDGSPLQNSSSIYKCLSALREVLKIWWVTDIISGLLHY SREDGVASNLKDAGNIAAYLALKNFREIMLDQGKKGK L199_002320 MSSQSDALDNIFQRLASRNEDVRIQAGQDLRDHVTSYTQEYPGH DGMKGVWAEVFHKTFDYTRSNNQFERLGAIVAIDHLLDLTNDDTPDRAQQKVLRLYEY LRPLTTCSDSTVMMAAAHVIGDMVRSAPALHNEAFLLKEVGQALTMLEDSRQEVGRFS GVLLLHAFAVNAPAVFQQWVPKVIEKIWIPLRDSRTMVRERASKLLSACLDIIKTREK SPTETYRKIFEEARSGLVKANSSDAVLGSLLSFGAMLQNQQISMAEYYRSICELTLKY RDSKEVVIRKAVIALIPSMATYDSDEFEAHYLHRSMAYLLQALGKPTDRDIAYVALGH MAVQLGSKMRPFIDDIVKIIREHLRMRGKKNAPFEAPIFQCLAMLTTSVGPMLTRQMH DVLDLMFPWGLSEALFHALEVIASHIPPLLRTIQERLLDSLSMILTGHAYRPLGAPAP RAGVQRDLSLLQSSAGGQSPESLTLALKILGTFDFSGHTLNEFVRDAALPYLEHDSPD VRKEAVLASTQLFINDPICHQTSSHSIEIVSDVLEKLLTVGITDPNPLIRRTVLENLD EKFDRHLAQAEDIRCLFIALNDEVFHNRELAIGIIGRLAQHNPAYVMPPLRKSLINLV TELEYSTNAKQKEESAKLLELLIGAAAGLVKSYAPTILSVLLRTASSAETSVAVQAHC VMCVGELARVAGEELIPNVQTILTLVIDMLNDQSSTLKRDAALKTLGQVVSNTGEVIK PYIDHPQLLGILFRFLRTETSQAIRLETIRTMGMLGALDPFKHKLLQGGADDPNTENA SSRVNDIVLLNQHNGSVNEEFFQTVVIHSLVNVLHDPTYKDHYEAVDAIMMIFRTQRL RCVNFLPQIVPAFLNVIRIAHSSRTELYLKQLAQFITIVKQHIRNYLNDVFDLIHEFW NPNSTLQITIISLVESIAKAVEGEFKAYLPKLLQQILRSFDGELTAKHLPELRLNTLL HILRAFYVFGSSIEDYLHLVLPVIVRSFENPLAPDSLRKAALRTTGQLCRKVNFSDHA SQIIHPLVRTLGNSSEELRSTAMDTLCVLVLQFGPDYAIFIPMVNKALVENKIVHPGY DQLITKLLNRERLPPDLGPVERFANDLSSEQLAPAEQMQLKVNQQALKLAWDCSHITN KVEWLTWITGLGHEMMRESPSQAIRAARTLALSSLSFSKELFNVAFYSCWQELFESYQ EDLWHNLELAITNPSVPPDVVSIILGATQFLEHDEKEVSIESRVLGDYAAAFHAYAIA LHYKEQEFFLDPSTAVVEDLIGINQKLQQSDAAWGTLEWAQSHMEMTHDVMWYEKLGR WEEALQVWNERSEDPDSTYDESAVALGKLQCLHALGEWEELSDFVQIRWANSTQDEKK LMAPLAAAASWSLRQWDLMDDYIAAMKNDSADRNFFKAILAVHRNQFSSAMRHITKAR ERLDGELTSLTGESYGRAYDVVVRVQMLSELEEIISYKDHADEPERQATQRKTWQTRL EGTQRDVEVWQRILQVRSLVLTPNEDMDTWIHFADLCRTSDRLNLAEKTLTSLVGFPY PSMDPESRARAPPPIIFAYLRMAWAKNLQSDEKEERLATLQHLRDFTDQLSADVGLGA RDQYGRLMLPDAKMYGEYTKLLARCHVELGQWQAALRESQTTSDPTGILQDYSLATEL DPEWYQAWHTWALANFEVISQLEVSQAGLSSAHFTTYIIPAVEGFLRSIALSPGNSLQ DTLRLLTLWFTYGYQHGVSAAISQGIHTVNVDVWLEVIPQIIARIHTPRQAIQQLIVR LLHDIGKAHPQALIYPLTVASKSNVPARRAVAQGITAKMREHSANIVDQAELVSNELI RAAILWHEMWYDGLEEASKHYFADSDIPGMFAVLEPLHEMVERGPETLRETSFVQSFA HDLRIARDHLRRYKVHGDLTEIQQAWDVYYSIFQRLGKQLKLLNVIELQYVSPKLMAV RDLDLAVPGTYQSGKPVIGIQSAIPTLKVISSKQKPRQFSLRGRDGKEYSYLLKGHED LRQDERVMQLFGLVNTLLNADQECARRHLSIQGFSVTPLSPSAGLIGWVPHTDTIHVL IKQYRDQRKILVDIEHKLMQQMSDESYDSLPLLNKVEIFQYALDNTTGQDLYRILWLK SRNSDVWLERRVTYTRSLGLNSMVGYILGLGDRHPSNLLLDQLTGKIIHIDFGDCFEV AQQRDKYPEKVPFRLTRMLIHAMEVCGITGTFSRSCEVSMEVLRENRESLMAVLEAFV YDPLIAWRLNATDKRPGGVPEGDDLDDPAAYAKQRKSKANETEILIEAERAEVKNDKA LQVIERVRRKLTGRDFKPDVVLDVKEQVEKLVEEATKVENLCVAFLGWCSFW L199_002321 MVNLKRAAIVKVFSTKHSLTLPASALAYIEQVLLENEIPEDEWV VGLEFWAREYLKGEDSSSLVSLPALKRAYESLQLGTTDDTAQADPSEVNVESHFSVID SFDMPPVHFDAVRGGFTTSRPKPSIAGQASSRSAFLRERWGIIKEIILRNENFTPPAI GGHDRSNYLKLTSTRNLLGRAGQLFLLFGMLSRDPEGKLCLEDGEGRVVLDMEDAVPG EGLFTEGCMVLIEGEYTIDETIRVLAMGHPPSEKRDVARGLHGHVDFLGGGAMSLKEE QKYQPSILANTQVSFVVLSDVWLDHPRTLPALRRMLEGYAEAVEYRPMAFVFCGNFSQ KGWEGEGGLKRYTNGFNALTDLLLEFPLLHSTHFIFVPGPLDPWSSTTLPRPAIPSVF ASRLTQRLPKARFVSNPCRLRYFGMELVICREDLMGKMVRNLVGVKKEGQADMKRYLV QTILDQTHLSPLPISIRPTLWEYDHALRLYPMPSALILADKYERYELTYEGCHVFNPG RFVGSGGEGGGEFEWSMYYPATGRSERSALTLDQ L199_002322 MADFTTGEEAAAKKASRSFKKYSYRGVELDQLLDLSNEDFIELV HARARRRFQRGLKRRPLGLIKKLRKAKKEAGPNEKPAMVKTHLRDMIIVPEMIGSVVG VYNGKTFTTVEVKPEMTGHYLGEFSITYKPVGHSRGANMKDSRL L199_002323 MRSSTISLLSILPSITGSALASTKSCSAKGTTGTSVIGVAAVAT DGTTSVVAPSSSSSGDPTPPVGEIVSTSAVGDESAVSSVAAVDTGSSSTSASAALPTS TGGSNSTEGNSNDPSISSGSHPTFEFKQPDKEDCKCGYKVSGLGDIYMPFKFQFNFSD IGDAGPFSGPDDLKQYGWRINQGHHAGGPSSNGTVWDAASGKLVDEPIYQCLGYPESV SVQGGNLHLTMKGGQTPSGEMKCPEIIHDNATLYGIFQADIQLDNTPGTCQAFWMNHT IPGQYADELDIEALGGSMLEPTTEQPLPGLWSTNWEPNGNPNEPLVLNHTTGTDGKSP TPFPNDPTADFNSYIIAWVPGEYSPRYYNGKEIASPSQFNAIHPQEATFNNWSNNNKW WSGLVPQSDVTMKVRSVLFYYRTEEIQSLIDGCKEEDVCTV L199_002324 MSMRKLAAEIDKTLKQVAVGVETFELTFDKLGHATNATQKEKTE NDLKAQIKKLQKMRDQIKAWIGNNDIKDKNALLDNRKLIEVQMERFKALEKEMKMKAF SKEGLIAKSKLDPAEKARRDMIDWVGNTTDELSRQIEQTEAEAESLQATRKKKASGDR LSELEELNERRQWHISKLELVQRMLENGTLPVEDVENVQEDIKYFVEANAEEDFDYDQ GLYDELNLQEEEDYIHDYGHVDEGSNVDDASVADVTESVSTGAPKTPAKEDKDSSKKG TPASKATSTTTHEDAPSSPVSAKKVPSRKTTMETKPKSSESIPPVPSVPTPAPAPTPK TAALPPIRYAAAAAAAVASSTPASSATAVSQPGPETSPSKAKDSLPPAQLTETPQEEA PTPAPAQSPPKVSSPDQVDGQANGHSPAPPPGISATQSPLPSTQQSTQSQTPAVPPQT AVAPPGYAPQHAESSRAAQQPPQAAPQPIQPGVMGNLMHSFEMAKEMSKRRTNDVHEL NAALDGSYSNIPQQLDAEPPRYYHPKNPIKTPSYYPQSRLPILEDKSIYSRLDLDQLF YIFYYMTGTYEQWLAARELKRQSWRFHKQYLTWFQRAHNPQAITEDYEQGGYYYFDWE NSWCQRRKSDFRFEYRWLSDH L199_002325 MATITSTYSSPTPPAHGHRQRDPSVHSLVDHSHSRNRYKAQRAR SRQTLSSAFNDEGSDVDVTLDGSSITPNKGEQHMAVDYATVNCTERPENDSMADFGTT TTYKGICPSYQDPPDHLLQSARPRLVGSGVGAVNRHLNGSTPGRASKNVNVSSFTARA SAPPRAINHTKGLVPPAVDTPPGDLNRSPPVETGNMLEHLPDARDRSMVLSNLRGGVP TFTPGAYHHPTAYLHSASSLMVPSAPFLSITPKSHLLPDLTRFASAEYIASLIAASPA ATTPAGNPITRPFSLAPLPYTDEDIPIPQPYNTEYPHPITAYASPRVNPETVKRSGYG QLLKTLVEQDLPLTLGSRLYDAGFRDLDSSVYLLFSFEGSQGNGIPESLWSKLENVTS SRLPVGSNGVSPFARSTTATSNAVEMPPRFAQLSNQAAIEFGMLTPPGSTEEVDYFTK PINNRLPDYDPTPRPSPDYHSIKHASSMANMRVIDHCWDQRGSGHRDQCPPPSSGYQP RTDNSATSETTATGISPFYKTELCAIWQQTGKCKYGGQCQYAHGVEELRLPRHLQNAA RISPPAKEGMMTHPPYSHEANKGMVYPSPTRSISLRPHARGTASGFSQTQMQARSEPR RSSCPPQQLAALAEGVEDDHSLPLPARHQSLAVPAPIGAERPIPTSTTSNNTPSTRSS ISSAEKWEDMPPFTLAESSFASTQLRSESSRMSLSLSTSSSSGYSLFTDYGEKSTTYS PIEGDITISDHDRFKYGYSSQPFGGMKEIEASNNGHSLWK L199_002326 MSATMVAGPSTSTSSSSTNLFARPDTSICSHLSSLLNSPSNSSQ HTLGTQDGSSGSVPPPATAMRKHEVEKRFTEAVRWGAVAEGAKRRKTIPPQCHTCSTT LTRPWACMTCSYIGCLPFTGVSSSSSKNCMRNHWQQSNGQCGFAVDPSSGAIYCSSCS DTIYPDQFESILRVVKIRTEEMHDKSREPGAVGGGRGRGRGPWKAWNPSNMVKIEESE VAKSSCRGLRPLLNLSQTCFLSAILQSLIHNPLLKAYFLSDKHNRHVCPNGSKGLSVG KPFLGAEAPNGAPGIDREKGCMCCEMDRAFEEFYNEDNSPYGPITMLYAMWHSSAELE GYGQQDAHSFFLAALDQIHAHAKGQLSSCNCIAHQTFAGSLLSSVTCSSCSHTSSTID PILDIQLDFPYSPLSGSSTATITSNASTSSSEGIGGGSNGNSQLTLAGLLRRFCASET IGGSESGGKGYECSKCGGGPGTHATKNLTIKKLAPVLSFQLKRFAHMTTSSSKIETHV RFPSTLDMRPYVDTPSPPGSASASQSTEEDIKPTIREEHDILPDSLFMYDLFAVVTHE GKLDNGHYWADVREGEEWWHCDDDKVTPTTLSSVLSQKAYMLFYVKRSLAYAQPMSKL LGTTASTTSLPTLNNISSNI L199_002327 MSGSNPLLSPPFYVIPGIDPNAPISAQTEQIDQLNTLLLQEIDA NFARFHQIVTSRILPEIKRFAIAGEPTREAAQFWRSFFEAASSIRTTGLEEPSIPSQQ DISAQYEDQTMTLRRNHDDSSIISHNDQSGSSFIFDPPATSSTPLPNAAHKGKSKVNE SWEDSMESPFDRLDRKLRDDLKIGNGNFVNDQNGFSSSDLPTPSLPSGYSLPHLGSTS TSNTSNSASLPSMNWNQSQEDEQEGYSTGTVDPQDIQRSQHTFNPSRPNSITPKANKI ASASTSNHPFGPNFNGIVDIRSTPLNAKSLSKSKKTKKPPKQSILPGIDDVESSDEEL PFGMSPPVTMKFSLPPKAKAIFDMSRTPGKKSISSQTQINTVDVYGTSTNTNVQSQNT QNRDQSAITGDGEKQAKYMIDDLLEEMGSELSPRLDTPEGLGRYSIMPGELQPSEGRL LFSGQSQQQQLQPVLQEAEEEDQTYNPTVGAGAGAFQQGRMRLYRRSTGANTSYGSDM VDLPVGQVYSADDSFDNEDSFASDLNEDDDGVYSQPTVTATGSIAHTHTATGTGTISS VPYSTHEHILADDSYLSSEGDLTTTSEAGVIFGKQPNYLPQAQQHRQSTLAGVGPVQG QAPRKSHFELMKMEDMNTYHGGRLEDAAGHDVANSPTNALARGRGG L199_002328 MWSPGLIVSIVLAARFGLSQQLAFSSSYNEPQDPSHQQHVPQID TTDDDISTSAESIVSILSSSSQHTITLHLLQRAKCIPLLAHIGNATVFAPTDQAWNDW ADKHKPKQKEEGDGDVVEKGGWLGEGGLEEWLKSEEDVLSLRIAQSAEGEEYQRKLMD NQNWLLRQHLLYHMLNYTLPPSAFLASSIGGNITIETSLLYPLDEEPKLPPTPEPGPP WLPRGGEGLLGGHGQRIRLAKITGPDSERGSIGVDWNGQNGVEFWDGKGWEDNDDKPD PNRNPNKTDSEIEWTSTMILNEKERDNLKGVRYARNGVVVGIEGVLDMPPSIEETIRN HPSLKYLSRLLTFNALPSPLPDSFATSPHLTIFAPSDEAFSSAFDDVEKGYLEGPYGE EGTARILAGGVVLGVGKGHVGWSDTLGNRSLEASSGLDLLVNSSGPGQLAINGTNART VDIFASNGVIHILPNLLIPENFTLLNSAEKMLLSLNATRFVSLLRSANLSDAYIGSSG SDGHRENKEGEGWTILAPTDDVLDIMDKWGGGWGAPIPHVWAAAEQGDVDVTKGVGSL EDSTTTDSTAKKPIQDASPLAALLQYHILPGRLLPSDIKDGMLLGTELRASSLGGGRQ RLRVDVSERFQRDRNDWEAIGEGEIRFGGAVVLGRPVKSGKSIIYLLSSLLSPPDDVL QTAVSDLQLSTFIAAVYAAELDKAVKRTPATTYFMPRNRAFNQLGLTMRYLLLPEGKD ELRKVIKYHAVNEIIYSPDVEYGKKVYTTLEGGMVVVDRTKGKNGSISLRSPTKWEGY DSGESLPSNGELRSARVWHPDALTNTGVIHTIDSVVMPSDVKITIAKLIRGSKQNTMG DLMMRAGLGWILEGRKPASGEMSIKRLKGLIKEDDDSSDEDDHEDKGKDHDKEPDIED LALPSYTLLVPTDKAFSRINLTHYLNDKEALLDLLKLHIIPSTASSISAGTGTTDSDR NKESIAPPRDGQPISLDDDLVYKTLLSSDKTKFGDLAFRATGDNSFIVGIKGARGNGK DIGVGNSARIGQTGRATVRWKKNHASHTDDVHLLEDDHEPTNRGKGKKDDRDEEDEES KRLWKDGMTLGGGVLMIDSVLIPYQPSWFSRWGWLVITLSGIGLVILIAAVSMGWWLM TREKDENQYEPLEGEEEE L199_002329 MRILLLFTIIALPLCLAISPLPTPTIASASPANLQSSIYASPTA APLVERCDEEHCSFGGSESTLQASVVTSTILSTTSVPCYITTYITNSETVTETIYSTE IITSTMTKEGTVFIIQYSPTPVLMSTPITSVMEITNTWWSYWLTSSGDSYQVTSKGGE TIYGGDSNTYTRQGDGWNGNDNNDGWSSSGSGGWSSKSSENGWGNTGTGSAWTHISNN NNNNAVSATSVRVGGITVANSHGWGTIGSNGVTGTGTGNNGLANWNGGSSRISTPKGW ETKVTLAIAVAMVVVWEIDHFFL L199_002330 MAARSSLVTLRAAARTAVRPALASRSFRSAAVLREVKPTAEPSV APFTEPAGINPADKTAPLSSPLHEYGQYILTCLPKHVQQFSVYKDELTLYIPPTSVVP TLTFLRDHTQCQYKQVMDITAVDFPSRVNRFEIVYHLLSVAHQSRIRVKTYADEVTPV PSSVGVFNGANWYEREVWDMYGVFFSGHPDLRRILTDYGFEGHPLRKDFPLTGYSEVR YDEEKKRVVYEPLQLTQAFRNFQDGNSPWEQVGAGNPNVRPEEFKIPPPPPPKEEPKD QKK L199_002331 MSESPSNNAIDTQEDTKPDAVTLSNGTVLVATKDEEGALAEKDE IPIEEQPKGDLTKITSAEDNRPASALDGVEDEKERHVDGISTSEPSTEQSSIPQEPIN TDVPSKTESSLPPTPTIAEPPLSESHPSHPSPSIRAATPSSRTSTPPLGSTALAKKKF SSVNVNQKFLSKAGSPAPIAGPTKISSLNGRPSASPVPISSSSSRLLSTKLTTVPTSK SSVSPNPPSSASSSPWAKPVVPLPTEPPAPASTPPTTTTTTLHQPAPTRARVLGTTTA PAMGAGLVSAMVPPKPAWKAVSGETRKPGLGISRDFPTAKEVADGKKAAQIAAQAQAA HNQAILQELNTFTQLDPGAHRWDEEDEDDELLDFGDGTGEHPQINPSVHDVPESQPVS KSERFAEDFDRSWPRKPLPSLEGQQGLSSSRRPEVDSNRVLFNASSNRLETSRHPPSS TMQPTRLMSRPTDSGTRQPPPHIAGGRDLDRPLPPHLSGQAGDRALPPHMSHPPSEPR PAQSISAAPPPTRSAWNVPRDNERQLPPHLAERRDLPPQSSAFAQSRSPEKSLSDLPA RRSFGQAARPSVADIAPPAVDQALTSPTIPVDAQSAEMHTAAEKAKARRLAEEADREA AAERARRKAKELEERFKSTTSNHEVKPTQPQPPAEIVKEIPQITLAQRPRPTHPERPL PPNLGLPSRPEVGDRHISGMSGRAAESSWRRGPQSSTQAEEASHPAISPLAAHIRSSR PTAESFFEAEMGDVPTVSITHITGTTPPKKEAVFDDMLARIQAAMAEARATSSASTDA GPSTQDAPQSPEAVRIQSRPVSHNIASTPVISNVQEYFDVSYPEPPKSPPPAWRTYTI KLPKPQPARIPIPRARLSAAEGPRTPNPHGWLMSFNPPLNGVNGLPLSRAELLLPRPI PNRFQRSAPVVSISPRHLEPFEKKIKKKPSTIEAARATSDQPTASAESLLPAPTTLKS QSLRDQRTRPDDWRQAESSITVEKPIPLPAEEAPKVEPPPRKIKSPVKTSAAAKAERE GRFAFDGVTIGAPEKERMALSDKPGVRFMVSSELEGDSLLDEVNKMSLETLGEDEKAQ NGVGVETAKIPGAEAPKTPPLPRPASPNTSTWPSTSLSYPTSHSPARNSSQHDHNAIK SVWEAQQATPKQSSELNAAAPMYPSLNAPSAADPPAVQQATGGGMKMAFSNSQTFSSP GAGPSVPSANPYGSLRPSPATHSQYGQFSTPSPDNPNHHMIGMNYQSMTNPRAGTNGF QQGVWSPTAFGTSMASPGYGYTVPKSSMSIDQKSPVAMGYSNAKSPESMVYPGYSTTA NGYPQQQQGYGVTPGNYGRGGMNQSMYYGYGAPGQQTQGVRPVGAGQQQQGRLAQANG EYGTQSPQAQQQYNLDQGGYYGGLSSHQTQQAMYSNNGGAYAHQSHGAVGQNQNGRAT MGGTRKMW L199_002332 MLAISTISSFAVLSLLLLGDLTNAKPYHSPLERRMTKHRRRGPV DNSISPSPPPVILIPRGESDDNVVWVDITSTTTVTASSTQETSSSSDSNKGDGDPPPV VGAVLAGQDGGSNEEPNVVYVDVTSTVIRTVQHGDSGGAAQPTQQQNSQNQPPPTTTI TATRSKAVPTVGAVAISTGKGVTTTIMDTASGTAAAMSTASALPTNGANEEAQGPWVK YHNEARAKYQVGALRWRADLVNLAKEKAELCNKKHTVAAENLQWGSGMGTPESAVTGW MSEDKLYNWNNPSYSDATGHFTQVVWKNTTSVGCWIAECPQGSVVGPEYDQSFQTACE YDPAGNFVGEQNFRENVLPAAY L199_002333 MEMDSNEVTMMGQNQMGDYSFFHTKKFGICFLALCLNVMIFGLD QFIITVAVPEIVTRFESLEQVEWLNTGFFIPCAGCILIFSQIMTIASPRWTYMASLAI FEAGTAVCGAANSMTMLIIGRAVAGLGGAGMWNATYLIGGEIIPFEKRPSLFGLFGVS FIVASVMGPLVGGAFTDMGPSGWRWCFYFSLPIGGVTGLLLLFTLPSVPKLPPFDGKP DNRPVLIKLLRLDWFSAMITLGFVTCLGIGLQYGGITHDWNDPNVIVTLSLALALFLG LIGWSYLMGSKAMIPLSLFRSRHFSAGTWVAFLGYGVVVLYLYYLPLYFEAIKNDSAT RAGVLLLALQLTMGPFLIFAGKLGEKTGQAKYPMITGSCLLAISSGLLTTLKKDTSVA KVVGFMITGGTGLGLVMNVMVVLVQAKFLKEPHLIPHVTNVFNFWGFVGRIVSMSVGT NIFNNKLRLNLNRLPGFSKSLVIFITSGPKAIWTQVPEKDLNSVLDVYSASIVKVFWL ALALSIACLIATLLMENINLKEVAEEAKMAREANQNSAYPMQSSASLSKSKDTNESHT PDGTLAELQPSYQGWKQ L199_002334 MLIARILFLLLPLLAHAKPEHCKSKSASSTATALMNQALVATYG RGHRWGGRPTSTASAESEAKSKSDSTPLSSAVSSPVSSQPSSTVAESANSTAGTASPT IDTSSAAASSALPPVSTGGSTVSNSTQIPSGDCSCGYILSAYDNAYFPKALVVSFDSV SSVAELANLGLRVQDGSQIGSIAPDGGKCQASADNVAIDGGILKLTVPGGQSAGGIIS GAEIETMNPVLGGVFTMNAQLSSVHGTCQAIFTYTENKDLYSDEMDIEMVYIEPGLQL TNHDPSGSGLNDFENNPFPNDPTADFNDYTIGWFKDGPKYYYNGAVLTGPTQYPSVNP SKIVINNWSSGKPTFTQGPPDDDTVLQVKSIAYYYQTESLASYPAYPSGCSEADACVV L199_002335 MSLLPDSRQRAPFAHQSSSADLRSAQNLSSLRSPPSSSHPQAPW IPSSISPEPQSNQHQQSYRPEKSSSLWGAPLTRKDSNDLSPGGYADNPYGLSVPGNSY LVDGSTGQRRNSISASSSRAPSPGPFSLPQFSIPGFATIRFVSLCFLWYTCSAVSNNT GKVILNNFKYPVTLTIVQFFFVAGCCIICSRPELGWTPRLRSPTRAILKGILPMAAFQ VGGHIFGSLAISRVPVSTVHTIKALSPLFTVMAYALLFGVSYSPATYLSLLPLTLGVM LASSADISFNNFFGLICALGSTVIFVTQNIFFKKIMPTPGSTETGGATPKLDKINLLY FSSGMAFLLMIPVWLYSDAWRLLDLWLHPIAKSGGPSVSFYFFINGTVHFAQNLIAFS LLSSTSPVTYSIASLVKRIAVICLAIIWFKQSVFFVQAMGIALTAVGLWMYNNAKRDV EKGEKKMRQVEAVREGMLPTTKADQRILEGRANLDPLSYGGKASPKPTYPTDYNHQMP LSTSTSFKKALYTQPPPPPTSNGLTQTKTAHINAEASYPSPPNSITSSPPAEPVYTNS HPRHRRLSESKQDGFRLPPAVTARSSTIEEEGSGMSMDTAKVGIVA L199_002336 MIRQRLPQITRAARRSFYPGRIPCSHSLTTSRISLTESDGVSSN ARIGRPQDISPRASNIPTISSDESRTRVSWPDGRETSFDNYFLFDHCRCPKCFHQQTK QRLKTLSEIPTDIHPTSVEVDKTGVHLTWSTSDSHESSFPLEFLRRSAYDPPLASYRD EMESRILWNSQIEQSPPSVRYSEIMSTEKENDTSGRAILKLLNRVHDFGFCFINDVPA TGEETKEVIEKVATIRNTHYGGFWQFTADLSHGDLAYSNEGLPAHTDTTYFTDPAGLQ IFHLLSHPSPPGTGGATLLVDGFYTAHLLSTLYPTSYSLLSRMRIPAHASGTEGTMLR PPLSQPSFRHDEKGQLVQVRWNNEDRGVIGQGWTPDEVKGWYQAARRYDELNRSEDAE YWVQLKPGTVLVIDNWRVMHGRSAFTGSRTMCGAYVGADDWLSRRAALTKKYEIRKKS ILDEDWSVGW L199_002337 MSFRATRPLLSAFRNAAARPVVARKSGSISLSLIVGIGSMVVGY TLVQNQKIKERFTLHADSDSGALDLRDDPNSKTAFRVDPDTNIQFPLDLSPTISTPSP ILSLVGLGVRKVSFLRVKVYSAGFYLDEVAIKDLHNVEGWHNFTAQHLLTPPTKVPSA SDPLNAPQLSGEELMKNLFDRPVAVAVRIVPNRNTDFGHLRDAFTRALQARQKLARAK GELTNADEQRITQSIQTLKSFFPAQTVQKGKQVVLLRPRQGGLIVEFEGKVLGQLNDP WIGKQLMLTYFADREVVSEKLKEDVAKGLEGFMKK L199_002338 MSHHPPNLQRRAQTYDAYSSDYADGAGDRTTGSVRSKSMRNPQH QPDIDPDDPPLPSYSALMASESAAGGGGGGGGLSHSPQPMTDSASSNHARVPSLDQTP YAPVDYGPPSSGYSSRDNYGYGYDGNPNPNPNTNRLSGSSIGRRPVSDPNNINFNQLS LTSNSHGSPPNPPLRQQTAPPHQQFPPRGPQPRQRTATGGYPADNMDGAASVYSLDSG MGAYSGVGGQLASHHPQQQYQAPNYDPYAGGYAPPQAQQPGPGPDFSNPYYNAANDFL GLPPGSEQYAPPVASSSSSRVPTRQQSMTTLARTNTSATTLSNASSLSLSRNVTEQVG ASSTRRRGTQAAVDLNKPPYTKQYVDDYRKRMKDDPDPEAQFAFAKYLIEAAKKIGDE ISQTDSKLGRKYRDVLLQESLRNIKKLAEGKEPYPDAQFFLANLYGTGQLGLQVDHEK AYYLYLMASKLNHPAATYRSAVCNEIGAGTRKDPNRAVLFYRKAAALGDTAAMYKLGM TLLGGLLGQPRNPREAIVWFKRAASQADEDNPHALHELALLHERPNNGGVVPHDPNMA RELFTQAAQLGYAPAQFKLGSCHEFGTLGCPIDPRKSIGWYTRAAEKGDMEAELALSG WYLTGSEGVLKQSDTEAYLWGRKAANKGLAKAEYAVGYYTEIGIGVKQDIELAKRWYM RAAAQQHKRAMQRLTELNNAKNPKGKGNRPTRHEASAECTIM L199_002339 MAHPSPQAVPSLDELINLFATSSSSPATLAPPSAPLFGASSTKS ESQPTKPVENLKPNLIPVFVEIPADLLTPVSAYLKIAKDSKYSFLLESVIGGENLARY SFVGADPIKVIRTGEGFDVEGDPLTALEKELEPYRFVKIPEIGTFTGGAVGFITYDSI VHFEPVTKPKEPLHNPFPGMPEAFFMLSSTNLIFDHIYQTIKIVSHVHLPDGTPPSQI PALYEECVARIETLRRKLLSPEVPLPPQGPVHLGYEATSNVGKEGYEGFVTTLKDHLF KGDIIQAVPCQRFTRKTDVHPFNVYRQLRSLNPSPYMYYINCSDTQLVGASPECLCKV ENRKVQCHAIAGTRRRGKDAEEDERLSKELQEDDKENSEHLQLIDLARNDVHRVCKPE TVKVDRMMEVEKFSHVIHLTSQVSGMLRDDQSRFSAFRSIMPAGTLSGAPKIKAIQLI MGLEKERRGPYGGGVGVFDFDKNNLDTCICIRTMVFHGGEAHIQAGAGIVIDSVEESE FLETVHKAKGCMRAIETAEQYYHSLQQSS L199_002340 MSAEATSSHIAPGGATSGLTINLHPLPILNVSDHFNRSRLTSSG STRFIGALLGTESNREISIVNSFELVIGSPNGDVDMGESSTSAAQSSNSTSLNTTFFE TRKDQFKQVFPTLDVVGWYTFGEEPTAEDVTLHQQFTDIIDTPIFLLFNPKHPPSSQT LPVTIYEAALAEGGKDDSTDGKFVELAYGIETGEAERIAVDGVSRGGMGGEGEESTVV ANLTTQRNAIRMLYERVAVLLQYITGVLNKTAKPDHDILRQVSALVATLPTMDAKEFR DELTTEYDDVQLTAYLTTLTKQLSALSDYADKHNLLHPPPSDDFGGSHGGSRGGRGYG GFDYVGGGGGRRRR L199_002341 MPPSAITSSPLKYRQPVTRQRSAEPFAPPVPAKPIDLNSSTKST DRETGQKITRRAFLCDIVVENQGEGIARCDPDPQTAKVAVHIDEEGLAENLPIGEDAP LLCIRLQPMTGGLCRFSYSTVDAVDEDRMVIDISEIIDRIVTTGRWARMLRAQGYNV L199_002342 MAAPPPDAPPPETPPLLPIPFLDYRWVHAGAQHLDLLPTPITSA STTYKSFSQSESLRIEERWIQLSDDERRKVIREWGSMEGEGAPARTKKKEKEKDKARR ESVTSVHSVGSTSSHPLDDKVKEKEKKSNGDVPDKEHIRSGEEQSRAEEEYSDSTDQK YKEVISKVQREYDDYEVIRGVPVSQDSLFEVSLPTLSLHPVFWAHTGSRVPVLRGTWF VENETRPCSWELAEEIERAYLEIQPWQPSYKHELATALSLGTAGEEKLKYTLPSKFGH GLGIIFEDGEKGRLITTGALTYLTRAFWASLRAKPSGTYVYRGFGPAAAASGKDKDKM ETSPGRSRPHSRRGSNSSQRSGIMEKSPSMHHRALSKDKSHRDHLGDRDETVVGAAVK GLGLSAGETLSGVKKSLDDLKDDERRKRPVGLSADTRDALEEENAPLVDAEEDDAPCT DLILVIHGIGQQLATQYEAYNFVYAGNQLRQVLRKQSSNPALASIIRDRRCQILPVQW RTSIDLDDEKTDEDREHGMDNRFTIADITMNKSIPYVRELTNAVLLDIPLYMSHHRQK MIEAVCLQANKLYRLWIARNPEFEKYGKVHIIGHSLGSALASQILSNQPTKMPTLTQL PKQVITQTRDRFLFNTSNLFLCGSPLGIFLHLEQAQLMPRKGRERTMHSPQDEALDRA GKYGCLAIDSLYNIFYYTDPVAYQLNAAVDVKLAARRPPLAITSVTAPFYAPVTDSFS SISKYLPSYLVGTTSGEKKAARPGVIRLPSGIEMSGPSGEERLEGTRGERRFSALNPH GNVDFYLPSAGVSEYLDMITAHLSYWTDPSFAAFLLAEIFSTRLDLMRTGMGLAEQVM PEGTA L199_002343 MPDIQLIAAQDITAQAPLKPTTSIVKKEWNVRVSPAVGRSRNPI RETLASITANQPSCSKTPINLGLGDPTHYPLHPPPPAAITAVAQAAIGGGANGYLNGV GSTEARQAVADYHERWDQVKYDISDIVLTHGVGQGLDLLFSVMIPPASVEKSNILLPR PGFAQYTALLANLDAEIRYYDCVEDKDWEVDLEMIDQLCDDNTRAILINNPSNPCGSN YSRQALKDILVIAEKHKVPIIADEIYGHMTWSAPFTPLASLSNTVPIITLSGLSKRFL VPGWRFGWLCLHDPLGIASSIKNGMQCWGNRFMGPNSLIQAALPTILATEPEWYDEVI NKIEALAKIVYQGVSDAPGLSTNCSSGAMYSFVKIDLAAFPTIKDDVAFATALYNEQA VFVLPGLCFGMPGYFRIVLGTPSGVMTEVIERIQQFCNKHSVIDQDQ L199_002344 MHPSSSRSLADRPNRPLVIKCAFDGNTRKVTFPSAATCRLDSLR LRVEECFSLSASPFSLAYTDDDGEEFHIRSDRDLTEAITYFISGDDDAALSTYSGSTS GNLMYAFSNQKITIRLDVLVEYDGPSLSDTSSVSSFRTGTGSYDETKSQSEGSWRSGG YEQSSRSSRRYSQSDYVQESEIASGESTIQQFSSLELDSQPSGSRSQTLSHERTNSQT ITPFGDHDEDPPSLLTASDLGTRWLREQSRLASRKLVSAPRPVARSKRYDDSDEDSES DDERPGDIALVRDARGRYYYSYHTDASSHSSHSDFGEGPSNRPFSSITLSTSPPQTPL PHELVRIAEPAGPPILAPDCSACGIRLDYMRYVCQSCGEGEFWKENTSKGAKSILWSS DSDSSSDTTSRTSTESRTVYNVATPSQLTATQIQSSPTTATYRYGLTPPDSPLSPNGA LLNGRRPKPRGYELCADCIEVHGIAHTRATAAKAKSSHAERRRRRQGGDVRHTFREKT WAAEGWVDVEYSEVNECTICRNPLFSNRYKFEEIHPAHAFLSLPDVPSSTNTSLGLEE VPPPRHEIPQPTRHPGAFCHNCLQDIVGPRFHCAVCAVDLCIQCEAVVLDVNGTSHTA DHIMMKIPVPISSHQVDAVSRRARDRWFHQDRTMATGTMSGDGETSSRSSSPTNDTVY APTAARNPAFSPPRLAVTTRDALDHGLKCGNCNEWIMGRRFQCANCPSEPEGYNLCSI CELRSYRVHDPTHVFFRFDRPVHVPIRSSRPILPPLYRQPVGKVPTSALSTINARDPT AYLKHVLHRETLCDVHGDQIRGVWLRCCHCAAGYDVCQEAERASGHDPTHVFVLFKSR VDMNAFRAFADLGASLPKPLLKQQVYIS L199_002345 MPAASSSRQQSLLSSTSSNNRSVPAQGQPQLPLWKSATDEDGPA DEEMFAMDAGPSDYWKRSPSPSSAQELAPINWRMPSDKPFDVETVEDGPSDHFGIPSS RQQTSGCNTPSEIARYEQGLQNVLNDYTSPPRTPSPQSRFPNHGYSRTFQNIVGEKAG EVDGSSLGDEEFGRLNDSVGLDKDSDRTPSIISNNLKKKSFLPSPSPNKRASFIHPSI SRLRSHMRTTSNSTQHTTQPPQLSHLRTASHFSQISETKSETFSVDSNPSQQQPEISS KDPAFAFHPLRRLSVNLFSREETPAVVDVRGMIAGGTDRGTVLVYGFDQNLKYILPNE GSVALTLPQVLSGRREGHLQNSRILHIGFVGARHTSIVSGDEHGRAFWWSLGKVIGVE SNDVVRMLGSYPDPEKPLKRPTTLFAALPLPLSDQLRPVDSLNLSALLTPAKLVIVGL KPTPKTWYRKMRDNLGGEYGGSIGCAVWSPLAKEPILAYAWGRSIRLLYIHGKDPEFE EGKTFETSEPVRAMQWYDDNHILVISSNQLLLLSTNTMAPVETTLLQTRLLTSQDSFS HMAKSPSFKPLESLAGSTKTTLQVGTLQHWNDRILSHVQQGDFIGAIQTALAYYENRA TGNTINLPEDIDTRREVIAKRLHELLLASLRWAFSPDRLTENTHLGQGIDLTSLFEGL AAASIEACLSMQDLSFLFDEGYEHFAQAGIQGIFLDLLEPHILSGGIRQIPPSVFQAL IKSHEDKNQLDKAEAIIWNVDPTSLDINHAITMCEAHGLWDAMIYVYTRALQDYVAPL VKLINVVRDIQQDRLNRPSLVGDNAEHLEQWAPNAYKLYAYVESVLSGLSYPSGEPLP DDQAHTARTDVYSFIFAGRTISWPTSTDLILTGDGPEPPYPYLNLLLRFDTEAFLHSM DIAFEDSYLNDTSGAMNRQSIVNLMLDVMDPEYFHPGDITFLHIFVARNLPKYPQFLF IPPSTLHRILVSLASDPDQSTREDRQLAAEYLLSAYTPHDSDAMLELFDQAGFYRILR TSYRQQHKWGKLISTLLRDPESDDQVFADLDDIITSASASEEVFEAVSEALPQLLTIG VRQTATLLDRDLPSCHSSAIEALSSAPHKQMAYLRCLLEPETETRSDHVDQPLRHLYI SLLCQNDPDHLIPFLDSQEPNYFDLSQLATECESRQIFEGQLWALNRLGKTEETFDMV GNILRNLGLDLGEAILSDDLGTIHMAISNIQSVSRMATRLCREHSFSRIITGEVEDMW LGVLHAIIELVHSVSALRPMQDTTSANAAVAALRSTVQETLASLVNSSSPSLSFPRLF KKLVDASTTGTNTGGQKGRTYAEFRTILTGMLDSYRAEGEMLSMTTRLVETDLFEILA ILKARRESGWTLVSSDCGACGETLDGEETVVRANGAGLHRTCVDS L199_002346 MTDRAASVEKEFNAASTWLSSAPSAASLPNEIKLELYGLFKYIN TLDGPNGSRPSIFSPAPRAKYDAWAAQHTKYSSKGDGGKQLARQRYIEIALQIGWSGK TPTEEEEDVDLENLDDEPIRNKNYGREDNPIGGVKVSVMSGEIDEDDHDHSDTHPLHL AVSDNEVQQAELLLNQDKTLVHLRDEFGYTPLHLAADRGHIEMTKLLLRYGADRDAED EDSQTPLQLAEISGRDEIVKLLRPV L199_002347 MHPRRSDAQHGIAVKALETAKSRSMTSLSALLAVLFIWIVYHFY QRDLQESGSWGCEMSWMSPSYQLVEWQDNPIPRYQVYLYREQGLEMESSLIGHPVIFI PGNAGSYQQVRSIASSAVRQYQEQSSFRRGMMKLDFFTIDFKEDFSAFHARTLSTQAD FIRHTVRRILAEYNHLPSSERPSQVTLLAHSMGGIASRLAVTVEDISNSVDAILTMST PHLTPPLTIEWDMEQIYQTISHPIRPLLISLCGGISDTQVVSDSCALPSTLLSEDDGF ATFTTGIPGVWTGVDHQAIVWCHQVRYLIAKALLQMASIQSRIDKLSIARSAFLGDYS LRSSHVDTHSLPITSTEMTIIAEPKTEDSHINLAVQHCTDKCRDIPLTHSLVPRPRNI NAPFPLPGEGVKRDEKLLIVDVNPRGVDGVLEVRTDSHRIIEARSRKVMTSQTSTWRA NDSSRNATSLMLNFPKTRSSSLLVHKVRTILAGSCDGLRPIIQHISIPSYPFQNVTSE SRSYPNTKSGQSIFLHSHVESIPFQSGESTASGVTLRIVQQSDCRVEQLQISIDTFGI LAKIVTRYRMTFISWPLGWIAVVLLMQLQVLRREGRFPSFGDGLEQVGRRWLLGCCVL LGVVNIVQACLGTTLHLNTLMLGTVDLALLPLVIFMALWTFSLTCVAWFGVHFINASL RTMVLRAFGRGSQESNHTINTHPSRSVFLMCLIMVLVYLFIPHQLVFVVSVAVLWASL IWKDRIDISDEFGNTILFLMIFLLPFKATTLLVWGRSLWTNWQHPLSIEHNILYVGPA VLLTVLCAGGSSPKKRNITIQICRMSLFTFAILAFVCGSRWTWMLLPMAHIVLLALVG VIW L199_002348 MGKTKKVRKQPISAFSPGPDAGPSKPQIPSTSTASTARGRLRSG AGNRTVVSRKITQSTITRFHTLLKQQANLKRNLKAGREKDGDTEVQGIQRQLDSIEQE MNELGGLNAYQIASTLGQSKERGGDSSKVLVKWLEELGIKREKMAQNEKLRMLEIGAL VPDNYASCSKWIDNHPIDLHSQHPDILEQDFLERPLPSGEQDTFDVVSCSLVLNFVSS PADRGKMLSLIHQQLRGESPSFLFLVLPLPCLTNSRYLTLNTFQEMMRIIGFTLEKEQ WKPGGKVGYWLWRKTDSAAGDSDQYRKKAVIQDGPKKNNFAVVLSS L199_002349 MNSHDSNDLNDLTLPPNNPRALPVSPTPPSSSSSTHQPSSSADF GSFNSAPLLAPPLQQQQQRHHQDPPIQLHSHPVSQPTGIPVSNITSLLDDDDLLGSFD HSTLERANKSSSQRPSQSTTPASMEVDLLGGDVWEDVTPHRTHDNPRVPPSTPHYSPQ PSRRSMSPVHIPLPPRPSEISPDYAPPPPLKSPRRMSSPYFSLSLSSPPIVTDAGNDI IFHPAHQPKDDGAAREMRKVQQHDPGITITGSSNPSSSSEGSDLRRTITRSPPHHSKL LDTLATTSKIASKWRSAITNSTFTPPHNIVPTENQHIASTKHAEPVPIDITHQSPFAT AEQVAGSYRAPSGAPGFDPRQNASPRYNDKDDEWGKVTLSGRRDSTEAVLTSADADKL RGHLPPRQRLSGNWILLFSLDQHGASLSTLYRLVERYGQTHRTSGNLLVVRDGRGNRF GAYINESIMKREGTYYGTGESFLFKLDAVSGVRVYRWTGRNQYFALCESGFISFGGGD GTYGLLLDSTFTQNSSATCPAYDNEILSESSPRKSSKASLFDCVGLEVWGT L199_002350 MSHSQQTELPDQVVADLEPSYMSNNTTQGPLASEDSDKEKNIEN DGSALPRLSHRPPTTEDGYDNQYKIEDKPDEDIQEGVREAEALTLTWTRKWLIVAYAI MFLLYLVRAFESSVTSNLGPYIVSGFEAHSLIPIISIVADIMGAVTYLTVGRAVNVFG RLKGLIVLVGLATIGMILSATCNNIATYCAAQVFYAVGFAGMIFCVDIITADTSSLKD RGLAYAFTSSPYIITAFAGSKASEGFYESNWRWAYGGFAIILPAVATPLIAIMFWSKQ KAKKNGLLQPRKLSDRTFVQSLWYYAVQFDIFGMFLVAGGLTLFLLPFSLVSTYEDSW KNGGIIAMIIIGGLMLISFAFFERYLAPVPFIPWNLLVSRTVLGTCIFDFIYLIAYYC WASYYTSYLQVVYDVSISVAGYISSASDVVNGVWLIAVGILIRKTGYYKWLMWPSVLL YVLFTGLLIYFRNPNQSVGFNVMCQIFLAIAGGTLVICMQVAVLASGSHNDSAALLAI LSTFGNVGGAVGNSISAAIWTHTLPNALASRLPADTIDLLDDIYESLDVQLSYPIGDP TRTAIIEAYGVGQKRMLIAGTAIMVLCLGCLLFIKNIKVSEIKQVKGMLF L199_002351 MSEYYQFKQPIKRVAIIGSGPGGVPAARQLRDAGLDVTVFERQD DVGGIWNWKEETTGPLSVPTPPPSVGAFTPTWGQDGVYEDPKRTRRNLFNPPNPCYWN LTNNVPTQTLAFKDFPYPPGTPDCVPHWELANYVRRYWKHFNLAPITRLNTRVENVSK NSEGKWELKLRTLEELEGGKVKETSYKELFDAVLVATGHYNAPLIPNLPGADKWYERW PEAFLHSQGYRRPEVYAGKTVLIIGAGTSGMDIARDLTPHASKILVSARVSTSAPSGY QAFRAAQRSRSTEKTENLEEIRAFRSIESVETIEDAHIELVDGRVIRGVDHIIFCTGY QYSYPFLPQFHRDPNSPQVPNSSEPLVECGDQVLNLYRDVFYIPDPTLTFIGISVNTS AFSFFEYQSISIARVFSGKARLPNQEKQRDALEKVIARKGKGKFRHFMGQEGEREYVR DTVAWINSDAEWSGAEKIEGHSKEWLHESDQIEIKIAAKYGVQPAGLADLGGNADPVA VPESVNKVEVGPIECGIQLTPKLIAAPA L199_002352 MLLFKRNCATILRNKSSPIRSARFAWNISFHQGIPRPYAAIRNM SGTDSSGATKTVWLERDDLLSKPPSYPQLTQDTKTDVVVVGGGIAGLHIAYELLSSGM KKVVLLEDGKIGSGETGRTTGHLSADNEYNEFLNLHGAEGTAQIAAAQQAAIDRIATI VDKHKIDCDFVRLPGYMFHGLPTSSREFRLDTLEELYDAAEKTGKLDVSIVNDAYIKG FKSGPAVRFGNQATFHPTKYLQALAKVVSDMGGEIYEKTRYMNYQEENGGVTAILDND KKIQAEALVMATNVPLQKLVMIERVEAFRTYAVALKIPTSSVSSNGEEALWWDLADPY HYVRVTPHKQEGYSLLVVGGEDEKVGQHDDYEERFQRLESWARERWTAAGEVEYKWSG QVLDSSDGIAYIGRNPGTNNVYVHTGDNGDGLTYAAIGGLLIADLILGKKNPWAHTFS PSRQHSGSHLKQALKTLPDMVKENLSDQIYYTKWAVACTKTVKDIEDLVPGEGDVVRE GLSPIAVYKDESGGIHKMTAVCPHLKGIVAWNTAEKSFDCPVHGSRFTCKGEVVNGPA KGPLQPK L199_002353 MITITIDTLVLLLITCLGVVVKADNAFAGCFSILPSATTQVSGT FTSATACDQACQSNKHSFYQKSSQSCFCTDKYPSEGYFQYGTADTCSDPTYYDARITH TSFTWSPNCYSTAPGGLTFSTLTGPDTCLKNCGTSLGAVFYVSSANGNYQCGCGQPTS FGSTTQCGPGTYFYYYHTAAQASQGLSRKRRLEEARRRAVPAYCPRGLTACQVGETNG AYECIDTTSELEACGGCLYGALGSGNATIGQDCTTMPAVMGGVTCENGQCVAYKCQSG FELVNQRCVESRSHKSKKRW L199_002354 MVSPPSSLPSPTPSSSSHSHFQSMSAMQPPNPPRVPSAASGHSG HSMSSKIQYPNGVNNYEQNPSPTGYGDYNHQPGQQPYNPNIPATFLPPVTSSPPPHPP PYVPPPQHAMAPDPIHSIAAGGPGMMGMGMGSISPTGMMTGSWPNHIGPIPAPGPNGW RGQVQGGYPSQSQSQPIYGRSGLPNGGHHLPSNPADIYGGVGRSSISGSSMGGLGPRP NSGPGESRERRRDRERREKEEDRDDQEVISTIFVVGFPDDMSEREFQNIFTFATGFEA ATLKYPSGSNRKEPAATLLAELTQLAAAQAQAQAAGEPFDYPLTQPALEEAMASLTMA ATASTSSSTTPSAAVSLTPSAPSGPMLGNPPLPTRRQTIGFARFKTRADALAARESLQ GRKIDALTGATLKAEMAKKNLHTKKQTSGEELVGLLLRSGRLASIVNPSGTQIGPGIP SQIIPGASSSQPQPSSASAREAWDSWPNSTPSERERSSTDESVVMLPPPQPYPSTDTS SMPPPPPPQSFIPSNNYSQSNPSASPSLSVKSPNQRPTDSKALLALAEEADELEGWSV NGAVGIGIMDGYQNNRSLPYTSSARGQGQNQDGMGIPPSIPSYGGNGLGIGRNGQSDA TYGTSPPGGTGSDRLSDNGRALLSGNNPADQNPPINTLYVGNLPAISPPTHPPNFLEE SLRGLFSRCPGFKRMSFRQKINGPMCFVEFEEVLFASQAIKDLYGHNLGGLVKGGIRL SYSKNSLGQRGNAHPSSLNTSMFGGIAHTVALAGMSMTSPTTTPAHPIPNNNGQASQG PVSAGPNTGYGLQLQHGPPQGQSQPPATAPIPDHRRESNGNAGQAQSTSLSPTAQPFN VSLPDPTSPRSRYFTPGNSNKVPSPSDVTSSSFAGGSSAVPIPSANSTFQPFSSSNPS SGFSPMSSPIRTPASFSWKSSGAPPGPGSGAGGFGGFDFASTGTALSSLNGAASAWGA GNASSGDRRDQQ L199_002355 MTATKTNGHTLAPIQASSLYFTPLPPTHVVPPGKESHGRYMLTI PWNRVSGWGQPRIGPRQDLTFDPLAGVLQYAVTCFEGMKCYKTDEGDLRLFRPKKNFD RLKRSAGRLGLPCEWDNDELLELFAKLMALEQPLVPSTDGSNLYIRPTLLETSEGFGI KEDAFAAEALLYVVTTLNLGLGLYPSSEGDKGIKLDACNEYIRAWPGGTGSYKLGANY GTVNISKKPGYAMSLWLHGKEDFISEAGAMNVFIIKEAPDGYLEFITMPLSNGIVLPG ITRESIIELLQDHASDKQDFPLEGVPKKIRVVERDFSMPEIIKGLEDGTLKGMFGCGT GVVVVTVGEIQYQSRTYKIPSNPIIKVLRDTMTGIQRGKIDEGRSWSFQVPEWNPQGK EGEQEEHVTAA L199_002356 MIRYSMLTCLFISTFTSSSAQGIPKGFIGCHKLESDGLPYYSYP SDPSLPFATVDQCVANCLQINGGYNFALFWHTLDPKHPGKTVPNCRCYPYTVNIPYVP SDPYGDCEFQGIRHVYAEFKTKPSYTFTGCWSALSSTAPTPFTVLGIAGCLENCIFRD TQKTLRYAIVQSDPRGDWFCRCSHAESDLLPVSQRTRANCDTRKMYIYQYPGNVGPSQ AAKRREKYMGLEKRNDEEASCPLGLEACPISVEGHHGDYECVDTSIELESCGGCMIGI SPTGVDCTALPGVSPESVLCSSGTCQVLGCEDGYVLIDGTCVS L199_002357 MFSPIPILCLLIPLAVVVNAQDFPAGYTGCYTFPASGQITGLNP AEFNLPHNNRGECIATCFQSEILAPHAFFWYTIDPTNEEVAIPNCRCSYPPPPIDTWK HGDATRDCIVEAVGDSYGSNLTRPKHELTGC L199_002358 MYNYISTVMPSQAVRKRQHQRRILSGGKKEGDLCPVGLEACPVL RDSQKGDYECVDTTLELESCGGCVFGSDTSGVDCTTLPGISPAAVLCSQGECRALACA EGFTLVEGSCVSVLAE L199_002359 MTSSFNETLYREQLELEARAKFENNQGISFGPYVFGYAADGFAF GLLALQVLQWYTMSHATERKTIRILVWWTLFISTGYTVLSARWMINLFAFGFDVYRNF FNFSWVSTFFLLDGLIQTPITAFFAHRAHVLLGRPKWFLFTIIPSLLVTFSTCLALKI KAPPIATDRLSERSRLFTGLLLSWLVLTVFIDLIVTGAICWSLLMRKSAVKIFETTDG WIKKSLIVFLEAQVAPTIFSVCFLIAFAAIPQWNLSAFFLCTPKVYAVSFLGILNVRH FLRRDLAPQGVFYINRPVFPRLASYKSAFAAKMNLQAQPPKRFPAQYRSANGTETEIH VETETIQETYHLQPYPIKRSINRDPTYETSSEIEDLSLEQELVEELGDILLQDQIETI DIGPEIPRQRGKPLSSALE L199_002360 MRSVSDRLSGLTASFKSLKSLRPSRIVGRSKCDLRPSRQDWEHS ISPPTPQYLTYDFDASEVSSRSRRSSWRENELASDSVDSRRSYILPLQEWYRAQSTLI YQLRNQLSASDLVERVEQAESQLSDATSFRRLAKTALEESSSRTEGYSERDVLSSRVV HAEAELREYEARESYDSAQSDWAMSILREERLNNDYRDLAQGCKPRRRDDDDDWASQD PDHYSYLKSLDDIASRLGLEDRPAERSYNIDRPLTAPPIGSSHLNVRPIEPCSTASST STLSRENPAADRYRHGRLSIDSEIAKGNFTMPDWAIDTSKLRDFTHPSPDTKQLFNGI PLRLNYPSDCDKSSSSTPTSNDPNQRLSLPLSLSLHATGPPHTGLLLLTAGPSNDSED DGYIDYFPQRPTLYSSSSRPWTSEGFLYKPLSEFDPQKGDYQGGEIRTAKTASQEDDL SSISHWFDDRGHRPTETRMKSSLTSGLKSFVNFVSSRATKLERFAESRLDNILSH L199_002361 MQLSSLVALLPFLAIASASPVDRSLGKRYNGVRIKSNRNGQCLS VPGDAFGDGVRVQTVDCAQAQKWNINPGSGSVIHVASGLALDAGTGRDNNEIVKLWTS YPTLFQQTWYLTGDNRIAITGGDQCLDQGSEWEGTQTWQCTTGNTNQIWYPIDDNGNS LDPNGGGNNGGDNGGDNGSSPSPDVPVKDVYPDPTDGSHRLHPNGRDDLCVTVDSAKV DSGTQVNIAYCAPNDSDTSKYQLFWVTGGQKGEIKLREFPDKCLNSNVAGGNGQAVWI DDCNKASETQRWDYTGDKLRVDNGGNTCLDVVEGSGKTAQSPYDIEKRLQTWECFEGN TNQIFTIKY L199_002362 MVNIQSLLTVTVIYLLPSTLASPLTKRYSSVKIQSYRSLKCLSP VALPAEWEDGTRVTTVNCTSAVEWDINPGSDSILISGTNWALDAGTGDQDTAIIKIWQ SYPGLFQQTWYLTDDDRIAITGGTQCLDEGINGPQTYTCIDGNTNQVWNIIGTTPSVP GVSPSIPSGPVYVDHPVSEGRRIHPYGRTDLCVMVGNGVASVNQLVDIAYCLPNTSPY VHLQLWNITLNTRAHVYLQANPTLCLDAGETPRNGTRLITYGCGSSYNRQNWLWDGTI LALDSRNPSEALPLCLDVELNSPTTPQQPYDRLERLQTWTCFEGNRQQVFSVSGDEPA DDC L199_002363 MYFVTIISLLTLTLVSATPIKRFSGVKIQSYRNRRCLSPVGPTA DGAQVTTVDCSRAKTWDINPGSGSVVLHENPGFALDAGTGRDNNEIVKIWTSYPTLFQ QTWFLTGDNRIAITGGDQCLDEGDNGSQTWRCTTGNTNQIWFVVQNGNPPPAISSSST SAQPSSTSSAIQPSQSSNNNNGGGGVFKDPSNTSRRIHPNNQNALCVTAGNGNLELTY PVNIGQCYANNNTISVAQLWNLAAGSKSDYIRSAFKPNRCLDVGSNPGSGSRVFVSDC AAAGNKVTKWNYMADKLQVDGKNLCLDVELNSGRTAGKPIDTEGNLQVWECFPDNTQQ IFTLYPLQAAR L199_002364 MVNFRGRSVFPSPSSSSISSSAGSMSSNSQTNGSLPSNRGSSWF DRSRLPSSATSALNQFRTKLARGIAPSGFYQPANFNSDYNSHPSTGPSLHIHGDIYIY PSRDSDSSSDSSDPPSEADASSTSNDAPYQIDDRSALTPYSRQSPFFRPNRPSGILNA YNGGRPPESWGSKSSSYAYAPQSSFGGTTSALTSSNNNYSSWTGNYSLNGVQPKKGGY GFDWGPRLGTGRVPVFGLNSSVASGVDSQISGLSDAISELSKMMKSRGGSVTITFTTS THNQNQRG L199_002365 MQPPTLPRTLILDYYDSYTNNLLCLFTQLYSDAEVLNKVVVIKA DKYTWSDFQEQVLPNIDCVILSPGPGRPDNPSDIGFGLDLLRSHPVPILGVCLGHQAI GVAFGAKIINTPRITHGHVVSVSPVIPSKGLFDSPFWQLSGKENDFEVVVYNSLTVDP STVPDELEVTAWSVPTSDRPSTAQGLRHREHPIWGVQYHPESISSTRGSALLQSFLAK VNQFHSSPTSFPALPSQIVASCAYRVSAASKSRPSSALPTPPITPSASRAPSQRGFRR GGLSISEKRMGDLGKQLRTQDVFESLIRQNRGSRKGKEKAIGEFWLDGQTPTRSTTTS LATPSFLLSYSLSTRTVTLHRPSLPPSQLILSDATTFWDWFSAGSQSLTSSLSATSSQ GFMGGWVGYFGYEMKMESLQGYQRAPRVDRSDGLVEEVDACWGWVDCLTERTSEGQWI ARGVLRDEGVPLEGKNDEDDEDVSMLDWLRSHEVSLGASRQRWEDYIESIKDVFSNSS SDHAKPASSFPTFRPNATGSDYRKRIDACREAIRQGESYELTLTTRFSSSCHPSLDPY SLYLRLRTFNPAYYSTYLHFPTLITPRGKGLSVLSSSPERFLKIDKSRRVEMMPIKGT RARVKPGQCVCTPKTGCEGQDKGSEACVEEGKRVDERIGEELRLDQKERAENLMIVDL IRSDLLSCCTPSTVSVPKLIALESYGVHNLVTTVQGTLAANVGSVECVRRCFPPGSMT GAPKLRSVQMLDDFESEKRRGIYSGCLGYFSIDGVSDLSVVIRTIVAEGEQLSIGAGG AITWLSDRDKEWEEVLTKVGSVVGKVDDVQ L199_002366 MSTQAAFALKASLRKSMLRTLKGMSDGEVEKQSQAVFRVLLDQP FFKEAKSIGCYLSMKHGELRTNGIVDHILKRGSTLYTPFIPPPPSRSPEAQPSSSSQD MRMLRLYSSSDLERCPLDKWGILDPGETRNDLEGEDREDVMNRSSPPLDLILVPGVAF DEECNRLGRGKAYYDRFLLSYTSKRPSPLLVALALSPQILEASERVPTTEHDFRLDGV ISPEGVVWREKN L199_002367 MQQRPFGVPSFGQPSFPSAFSQQSCFNTPRSTFFSSHAPPQPQS FFSNGWSRPGHSSFTGGPYSQFTQPFPGFRPGFPRPGYPRSFGGPHPNVIYPNQSVQP TGATSFTGGIQSGVHSEPFRTRSQRSFTSNGHSRDEDGLDGPWKRGSVRDMYRDHLHA HRDAQARQAEQAKKHSGDCFDSVKAAKIEVRLSQSKPDGCTVETLMEKQDELNRAWSG FANSLQERIEHEQNISELNFLMGKLDAKRYNEASVADLKKNFERYGPISKSDDDGKPV KSPWSVFISEISRDSTFNDDDVIPFSDDSDESESDLPQFTSRGQGSVPRFNQGIFGGM NGPSMGSSRFGGTRWTGPSFYPSTSASGWNNNAGFEYRPSTGFTSGWGASQQPSAFSG WNGHFRGVGPGAGFSAFSQPGSTAWSRTFSFDR L199_002368 MSFSSSQEKSLSSPEAEVTPGGDHVLGVPEFYIDGKVNFVGEQG GNGAQATIQDVSGAPVESQNPLGYSVGWWSALFLNITMLIGTGIFSFPYTASSLLKSL GSVGLALIYWPIGLLISLAGISVYLEFASYFPSRSGAEVVYLEQAFRKPKYFFPVAFA VQTVILSFVSSNVIVVAEYIFKMTDHTPSDWESKGVGIAALTIICLPIWFSTNFSLRL SNFCGIAKIITMLLIIIPGFVALGGHLKAVPDPKANFRNAFEGTRNNGYSLSNALVSI IFSYGGYTNSFNVANEIKNPIKTIRRTANTAVIFVAILYMLANIAYFAVLTKEEIKGS TQVTASIFWEKLFGDRAAKGLTILPVLSASSNILTTMVGHTRMIREIGRQGVLPYPRF WVTTWPFGTPTGAIIAIWVVSFIIIVAPPAGSAFNFIVALQNYPSSFFLALMTLGLFI VRRDRKRLNLPRPEYRSWTIVVLFFLAANLFLIIMPWVPPSGGINNSSFGFFYGASSL AGLGIVFLCAFYFVLWSKLLPKLGGYQLRQVVISLPDGSISHQLIKVRNDEVDEWDLK HDLSGRSLREYEYRA L199_002369 MTTTLVESIPSLQQATATLRLSGGEEPPAQPEKKVNKVPPPDYK YKRFLPTFDRETKYDPLTPFEHHDPGLDALKHENPREFLDGATVTSLSPNFGSEVEGI QLSKLDARGKSQLALFVAQRGVVAFRDQDFIDQSPEWQLNEWGKTFGRLHVHPTSGAP EGYPEFHLVYRDAAPKKGLPSYNPNKLSSTGWHSDVTYEEQPPGLTTLFLYDSPASGG DTGYVSQVEAYKRLSPPFQQFLETLSVVHSGVAQADFSRAGNRGGVVRREPVENVHPL VRKHPVTGEKALFVNKQFSRYIVGLKSEESDAILELLYTHIAQGHDFQIRAKWKPKTV VLWDNRITAHTAIGDYSPERDGFRHGSRITPQAERPFL L199_002370 MSPTKSTGTGSSSPRDDNSLKSGIDDVALPEEYHIGNDRKGAQV TVGPAPLAAGVSSAEVDKAESALGSAVLRALKLRPPLPKDAPDAIATQPSIWDGDNIE ELKEKYIRPDWENVEAFDPNFRWTVREEQQVRRKIDWKIMLWACVMFSALNIDRGNIS NANSDGLLKDIGLSQGDYNLGNTLSKLFFLIAELPSQLISKRVGPDRWIPIQVCIFSI ISGAQFFLSGRASFLATRCLIALFQGGFIPDLILYLSYWYDGRHLPIRLAWFWMSSNI CGIITDFLAVGFLKLRGFHGYAGWRWLFLFEGIITLAIGIASFFLMPTSPAKTKSKWL PKGYFNDREVKIIVNGVIRDDPNKGGMHNRQALTLRMIWDCILDYDMYPLYALGLLFG IPKYPVGNYLTLSFKELGFSTVQTNLLSIPYEVISIFTQFGITAISELANNRSFVASA EDWWLLPCFIPLVALPDPINPWTYFAIETVLLSYPYTHAIQVAWTSRNAGTVQNRSVS ASLYNMFVQASAMIGANVYQASDKPRYKKANKGLIGLLCFNVIILYPGTWAYYKWRNR TRERIWGAMSEEERQHYLKTTTDVGNKRLDFRFAA L199_002371 MSSPHSHSHAVPIPRPAIVTTSSGSFRTRSLAVSSQNRFGSSPR SITSDTSTIHGEQPFAAAHDIEANVLSPNSSNEPLSPISGRSGLSGSGAGGVRHRKTH KNRHHKKREEYRWLSTTAAGGGTGDEPGVDVKSKRDEEAYGHLKNKTKVTVVDYSSNP DEDNTNLRCEFPGERLREWLDSEHGQRRIGEDGKPTGVRWIHIDGLNWQVIKTLVLHF GLHPLAVEDALRATNTPRSKLDFYRNHLYLQILIHHTTPSDEIRLSIAAEEMAHERES GMMTDDDQSVLNESTRSAPRRGLRGRLSGIFGSGEDDSRETQVPKLPEGVEGVFEPSL VTPRHGGHHTFEKQAHTLTVNELSAKYMVPIRRGILSLFMLRDGTLISMDAKPTREVL APIYHRLEDESSLLRRSGDVSMLAQAILDVAADLAIEISQTFESELLKLEASVLVNPQ METVRHLHILSSQLIRLRRSLTPLLHLCYIVRDQDAQRSVAASAMVPTGTRGTGTGAA TPGIGLNGNHHTMGGGMQHTHLGLNLTPQQSNQSQSHGYLGQPISPTPSRAGTPLPGG GYNRSRDRATDGDDGASVLSMFGVNPSQAVHVGFFSPMTKVYIGDVIDHLEITVGSLE QFVNTCDHLTDYVFNVLSFQTNESMERLSIVTVVFLPLTFIASYFGMNFDDFDELHQS VVYFWKVAIPCTTAFFVIFSFGYLRAFAETLYRKVRRWRWMMLLGGKSGSRTVRPRMG NGIKRS L199_002372 MDVAKELADYAAANTTAVLDRPNPIVTDAGLLAAFDNTPVDADE YGSNLNTHLLALTLTSTQALISSLFTLPTKSSSSGPITLLPAPSTLLPREKPLPKPKP LTKWERFAKEKGISHKKKEKHVWDDEKQEWVARWGKDGKNKEKEGQWLTEIKAGEEAD QDPSSTARSERKARIAKNQKQQAANIAAAANHSSDATATSSRGGVSTLSQEQKKNLRE RRKEELKRSMILSKTSTASLGKYDKAIKDEPKVKGLKRKFEPTVTNKNDFKGEKEHAL DVLHKLETGVGKVKKSKKGGEGDEGGLNVRKAVRFQGKVDRASGGGSSAGKKGKRK L199_002373 MSRKQQPEDCLEEARRRLGSNNIDDEQVDEMELPYEGIISSNTD KTAKSKSLDDDDDVGHSDRMEDADGAELVITRTNRSGRSFKTIYTARKGDHEMEVLSS GSGSGSGSDESLGSQS L199_002374 MEKELPAYSIRIAKTPEDIQRCMQIRKEVFIDEQGYDIKVETND DDHRSTHFLLVLSENDQAVGTIRLIDENNQLGRFAILKQYRGQGLGVPLIEALHDHVR EQGGKEIWCQSQAADPTQGGVDATGFYKRLGYVNRGEKYIKEGTVHQDMVYTIPQ L199_002375 MLPIKLLSILSLLGASLAIPFERSIDKRALPRLGGVNLAGCDFG MDTNGGSGATYCPGTDQISHFVSKGANIFRLPVGWQYLVGNNAASTSLDATFFATYDN LVKTALATGSYVMIDIHNYARWNGQIVGQGGPSDANFASLWSLLAAKYKSESKLIFGI MNEPHDIDINKWATTVQTAVNAIRSAGATTQSIALPGNQWTHPEGWTSGSNDPLLSIT DPADSSGSLLIIDAHKYYDGDGSGQSTECVTNAVDVYTAFRTWLKQHNKKAIISETGG GNTASCQTDVGQALKYISDNTDAFIGFTVWSAGSFDSSYALSITPVNGQDNDLFVKAV QPYLPGQTSGTTTSSSTSKAAPTSTVVSSSKSVTSSTSRAPTSTSKTGSATSSLVTSK TSSSAAATSKTVSTSKAPVSSSKAPTSTVLSSSRSPSSTSVATPSTTSSAGTNLQKFT GALGGIVAPAVTQSGSRYMTNNQSYNFLIDAINASCYVQSNNCQNEANMTGNKGELTV GNCNTQVQNCLKVASSRGL L199_002376 MSGQQEIRYLGVTPPISTDPPKESDVRSSEALMGDLVSLNQFES EQERKVRERLLSNIAQLVTKFVHDVSIKSGMSEKVASEAGGRIYTSGSYRLGVHGPGS DIDTICVCPRHIYKEHFFGEFQDMLRAWPAVTEISAVPSAFVPVMKTVISGVEVDLLF ARVNLAEAGDKLDIEKDEILRGVDDASQRSLNGPRVTDMILNLVPDVATFRTALRTIR LWAKRRGIYSNVLGFPGGVAWALLTARICQLYPTAAPATIVGKFFPIYYQWSWPQPVI LKKIETGPPNMQHSVWNPKLDRRDMAHRMPVITPAYPSMCSTHNITASTMSIIKKEML RAMQITDEILKNPGSSWMDLFERADFFSMYKTYVHVVASASTSEGIKDWSGMVESRIR TLVQDLENTDNILTAHPQVGGISNVFYCLTEEEQAAASQGEVSPELMNRKEEDIKDVQ LKEGENGDDTGAGPRKIWTKNFFIGLEIEKKPKDGQGSRVLNLFYPSKRFCSACQGWD KYNEMEMSVILRPAKRSDLPPYCFPDGQPKSKKKTKRGQQNGSTDVGMGDTAEESSQG PSKRSKATPSEELSHISLTTTTETPRPTSSPLTNGVQLPNGADPVPPLTTTNGSGSAL PPPPPGIENLPPLSSTSLSGFATAANGVVNSADRNTDGLVVLNANSNTNEAQAAS L199_002377 MQRPPKRPPSSATTTYEHNYTNDDGLFQTYSPSLSFQEESDTSR RVEFRLSNHSPDHYVYPSSSYSQQPIYSAESIQQSYWKDDHVSKSYYDMTLSQPPYSR GQPQDIPYDQSYQQDRHTYQQPDELGSQDQQYDDYSQREYPHEHNQHPQTDHTYNETH FNQAQLPFDSPRESPQIEAYDLPHRTAKRFEISTTQVVDPEVFLSQEGGVASDGNISG MLDRWNGVSDNAQQLDGLGGEVEDREIMDSQEGGGKRKSPRVLVVHAPDHDDLAAAWY DPVKRKIEVLEDTKDTSGWDLAMLVIEQVQPDQIVMSSRTAQSLTDKIAAWDEENPLE RSDSDVASTRLSILSYKQCKHSAAIATLACVRLPNQSSIVQSTRPLASSASTNTTSDQ PVANYGYENNDAQEYRLGLTKLGCWVNIDAPLAVVATGILINQVKQSAMAEDNNSEAV GRHYFELNSLESMELEQHLQINKDALTSLAIFDVEAHAYMHARNHKQALSVFGLLDTC VTPLGKKLFHTWHLRPLASLSQIEARHEAISMFTANHNSPITDTLIRTLRKIKNIPYI LHKMRNGTAKFMDWRHLIESFEAIVEVRTNLIGLPWPKRVEIIRKARGNISLEIETLR ATVNQCIDWDTSRAQSRMAVKVGVSVELDEMKEVYAKLDGFLSEVARMIHGEIPSGTA RDFNVIYLPQIGFHAVIHTEEESSPPKILDWEARFSTTDKHYYKNQHMRDLDAHYGDI YVAMTNLEIDIVQDLTEKLQEREPMILAAGDVISELDCLLALAKAVHQFDLRRPHMTN DNSLKIKGGRHILYDQVCHTYVPNDIILAGGKYGDNHNMMIVTGANGSGKSAYGKQVA LIVFMAQMGSFVPAEQAEIGICDKIFTRLQTKESSSKHASAFMIDLTQVSQALRGATE KSLIILDEFGKGTITWDGAGLLAGVIDYLQAGPCPKTVVLTHFHELITQRFIKEDFGV LFAHMKTIMGAANELHFLFKLAPGSSHTSYAAECALQHNIPKEIVDRAIFVTECVSKF ELHKIQNTHITRTEEAELKANEVLAARFLGWAIDPDIENVRTKVEDMLEETDVSLFAT DATTDNRVGNGHDNGAEETARLEDRERRDDQEVDELDSDNDMAYSAETD L199_002378 MSDVTTSDPNTMTGSCNCGSVRITIPRSDQMVLCHCTSCRKSGG SMASSNFSIPTEDLIVEGSEPKQYKTKGTSGGEVTRNFCGECGSPLWTAVADPSSVFV KGGLFDPHTVPQPNAHLFAKNMEDWEIVHEGAERLETQ L199_002379 MANETVDNAWEERWKEGRTGWDQSHSHLSLVSLLRSDLIDKMGI PKKGKALVPGCGTGYDVYTFASVGLDAVGLDLAPTGVGKAREWLSKQPSTSGSTQVVC ADFFEYQPQEKYDLIYDYTFLCAIPPTLRHSWASQLTELSNSDARLITLMYPLPPVYN EPPPWPLTVEKYHELLDEHWEMLLDKEVPPEEMRTTGAKGGERIAVWRKRP L199_002380 MPLGAFASSLSGGFGGGPGIMPMPSSYMHPSSWYSGNSMYGQPQ PQGSFQYQNQQQQPPRNQSYSQPGQSQYPSDWSAPPPWSGYGYANKYQDQSNSYWYNG DGAYPSEESFRSESPTRGASTPVSEEPTLLNNPVWDSSSPWTGSSTVQGSFMEYCQEA YRVKSASKAESSRIREKIGQALLVNNHQMVKEYKALAGSFRDITASLPEHVKGDLGLT RFGELLGDLGKSIQSATGLATGLSEKTHKEVDDLESMMELNEMMAQFNGAETKGSDVR TAKGLFGKYGSRVKADQNDEAIQSPFEVYLHSRYQNAPDDESIPFYTTDPQTQIPTPD ATATGTGTGTGTGRRTNIFAGANAGNPFAQWSNSFGFGNGFNNYNTGNPFGYGSRYHN SAAQNPTLSELLSSLYNRSQNQNLGSPYGGPGVSFGPGSFANLNRFGAL L199_002381 MIVITGATGKLGKLVLQNLLTRGSPSQLAVSVRDSTKASHVADQ GVRVAQATFDDPSSLREAFKGGEKLLLVSVDNFENAVKQHKTAIDVAKEVGIKTIFYT SHIGADLKSPFLVCQDHAETEKYLSESGLQWYSLRNGFYNEGLPMMLNNPIESGAIYA PEDGPTSWTAHKDLAEATAILLTDESKLPEGLLVPLTASDAVEFQGIAEALAQVTGKE INRVVIPDEQFLENTVNYGVPVFVANAILGIYKASRQRGFNKVDPLLEELLGRKPETI LEWAKRVYG L199_002382 MDVPIDVPPDNKVQDIQVDRQVNHRALSSALRKLDLFLLPIATI AYFLNFLDRSNIGNAKAAGLQTDLKLTNRQYSIALTVTYVPYIVAELPLTLAMKRVGP HILIPTLVVSWGLVTLFQGFVHSYGGLIAARFFLGLTEGAILPGLVTYLSSFYHRQVL GKRLAFFFSATSLAGAFSGLLASAILNMEGVSGKRGWQWIFILEGLLTVVCGAITFFI LPRDLSTARYLTSEERDALRLAHEIDGGATAAHERFSFKHMVQAMRSPQLWMVFAMFF GNGVTLYSLAYFAPTIVQGLGYKGISIQLHSVPPYACSAFVAVVACYMSDRLRHRGSF IVGASIISIIGYAMFLGSTNHHTLYGSLFLQVIGAYTMAPLLSTWMPNNLAPYYTRVT GIAFGFISTNSGGILSTWLFPTTDAPRYKKATWTAMGLSISIAVLAIMNSIFLAIQNK NRGTVQTVQENLEDSVDSLDDLGDKDPRFRYIT L199_002383 MMKSSVLILIGLIASVSFSIAQNNAWIGCYAIPSEPISGISISS PNTWHPAGCAAECQTLPGAGFSPVTYSFYSPAIEIGEPISTHCLCTNYQPDNEGFEGP SDPEDCRNDDNYSAWLVDTSLQFQGCWATYDPSIVVHDALVDTFQQCIQACPSYRWIA INHPSTGGHLRCQCSPFNFHGSGDAIECDDTASNYLFYTNDVSVQPSARAGKRRQGQ L199_002384 MFLFNSVACVLLGVTLIHAQSPVSLGCFTLPPNGPPPGTLLPTP AMNGVDCARQCDVPTPTHERWYGFFLPSSLISINCYCSATPPPNGDYTSCTSSDVYEA YQAESIFTFDGCFSSVCASNFQEFYVDSFDECIGNCFSNNWIVINIAPDNTIHCRCGG SYEIPPYNRQDMVDCNNEPNNWRVYRYFNIGPSGIARRGQGNRRSGSQNKRQELCPEG LTACQTSNGVGTGYECIDTNAEVDSCGGCVHGVYVQDQCHPTNGTTGTGQDCNALPGI LPPAVDCIDGRCIAYKCDGSNGPFAPWLFPLIPRS L199_002385 MATVTFENIFHGDGPDIGKLRFNAAGFGWKTYASEEAPTTFSGH DVRHATWLRVARNFQLRLAMRQAEKPRITFDGFKREDHDKVKRTLDEFFNIKMETRDT SLKGWNWGKAQVQGNDITFQVQGKTAFEIPLSTVGNSNIAGKNEVALEFNPPPPFPHD PKDLSKRVPDELVEMRFYIPGKSMKSKGSDAGSDGEETDLDEDGNEVSAADAFHNMIK EKADIGAVVGDSIVVFEDILVLTPRGRFSLEFYPDSLRLLGKSTDYRVPFTSIHRIFL LPKLDDLHVQLVLGLDPPIRQGATRYPFLVAQWPKDEEVDAELNLSDEELAKYPDLQR KYEAPTFQVISRVLKALTGKKVTPPGSFRTAQGLNGLKANVKAVQGELYFLEKGLIFI AKQPILIDFSKTESISFSRVGGGIASAKTFDMRVVSKTEVADHVFTAISKEEVQPISA FLKQKNIKLLNEMEETVMDIDEPLSDEDEEMESIASEDEDSGKKSKKDKGGKKAKPSV QDDDDESDDEDFQSESSDGGSPSESDSDEDESGMASDASDPLMEELKRKQAKRAKKDS GSGSDAEKPKAKKAKKGD L199_002386 MSSSSNPSSTATLAPPVGEQSTQQPQQPQTQPPAEQSNPTPTPS SPRSPRTNRAISISAPHYHDHNTVHHPLGRIPTGASRRSTNDAEGTGEPLGRTITSGT TASRRHAPDLAFPYLTTDISRGGITDEYRTETRQGFIPMDDPDNGLRPVQSRLSTLHG DRFVLHDTEKGAEALKNKKLVTWLENDPENPRNWSNFRRWLITAIVSCGVIQVALSSA IVTGDFKDQEEYFGVSSEVIALTVTLTVCGFGTGPLLWSPLSELLGRRLLWAIPTFVY IIFNIPCALAPNIGCLLASRFICGFFGSAPLTLAGGTIADIWGPEERGFAIAIFAAAP YTGPVIGPLIGGYIGKYAGWRWLYWVNMISAGVVWAASLFIPETFAPALLKKRAKKYR QDFCDDSYVTEQELFRKPLNEIVIETLIRPFEMLATEPILLLMSLYISLVYGLLYAFF FAFPVVFGEDYGWDDAKTGLTFIPVFFGVGLALFVTPWLEKKYSAKGDQVTPEDRLPG MLIGGPFVPISLFIFGWTAPPYVAPSGGSWVGPCSAGIPFGFGMVLVYFSANAYLIEA FPDYVASALAAKTVVRSAAGAAMPLFISIMFRHIGNGPAASILGAAAIIMALIPFGFA KYGASIRARSKRAAI L199_002387 MTFNPRKNPNSLHSDGSGTVLTVTKTYNPQLIRFVFNGEVQTIS SYRRTVIDETQSENGQFTDQYRFEGLKKTDTPTEWLSYCQSQRSGIDEAISHAHKALR WVESDQQSSVGGEIPWETTDNVQGTIAWRSIEKTPNLPSFDRSEADRFIVTWEKPRWP GAGGKLESVNAVGVFKYRELESLSRNPPEMMLGVNPEMWNELFQHVRNEVYQSSDLLV RQEPGGVPHSALKDSRKAGTSTVVERRRKSVSWPENLHEPEYRIGQGCAQRSTVDDRS NGLQEIRDFMDKMWKSSGINLDEQ L199_002388 MSITSDMFSVGYLTGEEWQTIQYRTHEEFDPHRRGTWKYFQVRG LEPAWALSKWHLPQEVAQQEATLSPNVIKALKGRRFWWKSLSRGENTSEELPAIDEYE SDRFLLSYGGRSRRDPSNDHAILRFQELESFALSHGEGSNGLWHKAYKVIRKHMLGFT DDREEADSGTFTYLPLTYPRFYRSARPSGSILPSEVGMSPATVVPVTWGSDVIDNEQT EHTVYPVEGTRPPGWTGTEEDLDTQE L199_002389 MTSSESATVNFNSSTEGSGQTHKRRTRLRNIHKQARQEFKQSSR LELTSSVAEEGYKNIIASISSLRFHKRLTLELGKERRALFQRLAELEGWTIRSTKRSY FEVRKDDGFLRPERSAKNSELFDSWMKSTGNSRRQTWTKSDWAYQNGKITEECNKSKR TNAILRDDIRSLKSIISQYHPYAVTNDIESTFDTPSLTPDIPFPGTSDGQTRYRLRMH W L199_002390 MTFFGSSHLGGFRQCLLTKRPKLKTILEHTHHNTQEEVWYCDNL VQSCKELLSERRTQNRLGNQRTALLRELALLQNHDIQLFKIDFLDWRKKDGRPSTHRI MNDAYLFADYAAWDQKVCEEKKCLIRNEVERLRLGNEGLLKDSSFIEKMIDLIRTRSS SSHSIREHTSGINGREWLMKNGDSGLTLHYAAARIMECYSGNCDQEDVEIDEDGHLTI GFIQAGAESELEQGEDKE L199_002391 MSSPIHSYLFSPPPSPPRRAMDSDPNPGFTSIKSLLVPTDFIPR SPHLDGGLKTRSPRTPQQSKFTLDNVYPSSFPSRSKILDPEATPIATYSTPTTPRRRS YEKTVSSPPYIPSNVPSAPIPIPSSLPKPLIRLMFLASLLLSSILLLVFVPSARLPSL RAAGMSRRLALDPNGKAFIDVEGITSWSDARDKDYRPPQIKAPHMMRRVLEEKAAPAP HPRASRPALIPRPLPSSHELLALQSYLLSSAYNVIPSHVDPSAPIDANAVLGVGVHKL GPSGGDLEQAWLDELKGEREDEVVVWYGGNGRPNLPHDVLDFLASTHGSSRKPTLIPC HARSDRLTLLSILDRLSLPLRDHPIIMIGNKPIVGDLRNLEELRLSGELESMLAEIGW KKEEKSAWKPKYAKVQKRTLTEVEEALKAQVQAAFEEELEDDVL L199_002392 MIIQPQAQETQMKVAVIGTGPGGLAAIINLLRLPFVELSAYDQA SELREVGAGISINENTWRHLKLLGAAEAIEQYTKRGDGSKIDTEQRNGTTGELISRTF QKVDPEAAPRSRIERYKLQKALLGQVPPDFIQLSKKLAEIVESSRGIELTFQDGSRAG PFDLLLGADGIRSVVRQHAFPEHKLSYTGKVAYRTLIPQSAVAHIPNIPQSACFWHTR NTHVYTDPLDNGLFEIATRADEPEEEVSKVSWGKKVKKDQVVHHYSNYCETIRQVIAA PDEWLEFAMFGGPRLESVISNGHIALLGDASHPLSGAFGSGAAFAFEDAYVLAQALLY THQRQKPISEALVLYDEVRSPHYKGLYEILNGFGVNAKELDAISPPLDEQDYINERTR RNWGAENRWIYKYDVTKVWKEYAELADVGDDFSALTLKRNKEAEKSVPVISVEA L199_002393 MTVNLEPAHTHDFAHPIRTVAVIGSGPTGTPAARHLQDAGLKVR LFERQSQSGGIWNWRPAASLPLSVPTPPPSVGAFTPVFRQSGTYDDPDRKEREHFNPP NPCYWNLTNNVPTTTMAFKDYPYPEGTERNVTHKFLADYVHNYARHFGLDKLASYNTR VEKAEKVDHKWRLNLRKVVDEGNEKAREEQWSEEFDAVVVATGHYNAPYIPPLNGVDA WAAAWPTKVIHSQGYRVPEPYAGKTVLLVGIGTSGTDIARDLDPYVEKTYLVGRNKLR GPPAYQRQRKLQRFMVPEKGESVAEIRRFVPPNPGQPIEEAEVELTDGRILKGISEVI FATGYQYSFPFLPSYHSDYQGVRSERAEKHPLVTDGSGVLNLWRDVFYIPDPTLTFIG LSVNTSAFSFFEYQSISIARVLSGKAKLPDEATRWADYAQLVKEKGEGKFSHLLNKDG ERSYVRDTVEWLNRDAQWSGAELIEGHSPEWMAESDKMQELLAVKYGITPEQLRQISE EINAGDGQNQDAISKDFETAAEETNARAIEGLARRLGAVKA L199_002394 MSNSRAGYRSPEHIPLLDPVDHIVEMSGQPAYPFPEEPVGDLEA HDKIQHVQKSTWKGRIWDTFDRPPLERKLLFKVDAVILTFASIKNLDQQNTNTAFLSG MKEDLNMYGNELVTSTSIWTVGYVIGQIPANLLLTRIEPRWVIPTLELGWGIATLGSY AVKSYKSLYALRFLVGLFESGFYPGIHYLLGSWYTPAEIGKRAMIFWLAGSLGQMFSG FLQSAAYNNLSGIHGIAGWRWLFIIDAIITLPIAVFGFFFFPPLPLQDRKSWWLTEDE FELARTRLQKIGRAGKEPWSWRKLKKLFLSWHTYFLPLLYVIWNNQWPQPAIGYWLKS FNSKTNPPVPGKTYTVSQINLLPLPQTAIFVVCAASFAWLSDGVFRGRRWPFVYIGAV YSLIIAAIMRTYPLYKNINTTFALYYISQIGQGAGPLILTFINELCSDDTEKRALLVA AANDLAYVVQAVAPNFVWKTTDFPRAKKGWTWSLVLNILLIVWMTAILLLIRRDHKKR RQEESDGSSQGDLHERYDGKGLDSVDESQPATPGLTFENNRTTVLH L199_002395 MSTIPYVEEPVGDIHDKKPPREIETIKPTWKGRLWDTFDLPTDQ RKLLFKVDAVMLTFASLGYLKNLDQQNINNAFLSGMKEDLDMHGNELVTAVTIWTVGY VIGQIPSNLLLTRLEPRFVIPSLELFWGIATLGSYAVKSYKSLYALRFLVGLFESGFY PGIHYILGCWYTPREIGKRAMIFWLAGSIGSMFSGFLQAAAYTNLNGVHGLAGWRWLF IIDAIITLPIALLGYAFFPSAPLQDKKAWWLTQEEHELAQWRLTSIGRAGRSKWNKAK FKKLFKSWHTYILPFLYVIWNNGGGQQAMGYWLKSFNATPAPVPGVHFSVPEINQLPL ITRGVFIISAIAYAWLSDGPLKGRRWPFIYFNAACSLIFAATLIKIDLYANITGTKVL YWFQDFGSGAGPLILTWINEICSDDTEKRALLVAAGNDLAYVFQSIMPNFVWKTVDFP EARKGWTYSLCLAVLLILWTALIQVLLRRDEKKALKAQAIGSVDTVPIPDSPEGTSSR DEKDVYTPQAEEYKNEAVLNKV L199_002396 MAPIALDTASPAAAPPAKSSLEDITALKAALKEAKAEIVLPPDN TLRRYQKAGIDLSGGYPYFPPKPDYVQEVGKIRENLREYKDPGLRADKEKKALFGAAK EVRDLTKWIGTEIVGLQLKDLTDQQKDELALLVSERSIVFFRDQDISPQQQQELGIYL GDGEIERHPQAAQVPGVGGGITLIWEQGRKDKVYRGRSHRVPYGGGQFGWHTDLVHEA YPPGYTHLHQDTVPEVGGDTLWASGYAAYDKLSPAFKKVVDGLNGVYRSAHSYKDEND PEADKKFVERTHPLVRTHPVTGWKALWINQSMTSRIEGFDKAESDAILNYLYNVYERS TDIQVRWHWTPGTSAIWDNRSTIHTVSYDYDGERHGTRVSSLAEKPYYDPNSKSKAEA LQLKGWLNTPDVASRY L199_002397 MSLSGVLSTFDAGLYKYPIFTSGDLTSDKAVVFIGGLTNGLGAV PFSYPLSEALGKAGWKFVQFHWSSAYGGYGTGSLKRDREEMEALVKHLRETGLKTLII AGHSTGSQNVIQYLSNPSTSQSSELKVEGGIMQAPASDREYLDLLGSKEWFEMLPLAE QMIKDGKGDELLPKEFCDKANFGGNPLPITAYRLFSLIGVGGDDDYFSDDVPLDPQEP FTHSLSSSFGKLSAPALVLYSDADIKYQVGDVTEKLQRWEKASNGKLEWKLLKDASHD VEQAEAQQVLCGYVEEWLKRFE L199_002398 MSSATFSNSFNTKKRGYRSEPGLSRRQPKQSDDDNWEDLDNNSR RQPKTPERVSNRSGRNSPSGSTISSPSRRPKHTSGTTKSRSTPRKPIPTRPQPSRQTR PAIPSPTPASLTDTLNILLLPFRLLLAPLHILLSPFLAHLANGVLLLTIGSLAAYFIL PLLPSIILKLLGRAIRYLSNDFISSTLGFAQDSDIILGKELLLLPAKTLATPTCLLTG LFCQTSLLSHHLNGTNIPARPFWASWGSGEEQDEDPVDIGTYARALAQEARGARDIFD SVRMLGQGGVAGGLNYVKIWELAVTVNTGSTLEGKEIFAEQIKDLGDMTRDLSDEIVH IDSKTVNAFSWLQWEFHDLVNLLSLPPPTRPTSTVLSRKLHSLLLRLSTELDTIYALT STAAQHASQASIHGQSLDSELSRTATGLRYEKDRSPGWKLLYDKSSHFFVGGEPSKVE LVERDLKITTKTIGDIRRLSRNLEDTRTKVKIYRDQLGMFSASMMGFHLGSSDDVGLG PEEEVRVLAEVVDGLTRAVGMAKTEQRKGRVDVLEIDQ L199_002399 MSSPIKISDTGESYIPLKVPSRLGVNEEGDLRLTPWKEDDLDDL IELFNLPNIENWGRGRPSPFTRSDAEDLIPEIQSHLSFNLSPSLSTYPKTRVRLSPLG AVRTEQGKVIGTMGVKPPLKPESEWEVTYNVHDDYAGRGIGNAMVGVAVDLARWLGIE RLIAHTERGNLASNHILRKNGFVIQAEIMVEWPEHRGGGQKEAYEWVIDL L199_002400 MFSRLPTLFITFILLLVIVRVEGKTRRTRDTPKYIAVSIPDYLD KWDFTTEFNNLCTGIFPDDGESSSPILKETSAEAAKMNRFQAQLQSRASIPGSRKMKL TGTLFTVSCLYRYPDGENHQLGSYIAEQLGGRTVDIENELAHLERKNKNKNGSGKARR L199_002401 MSEHPPSIPPSPVQQGPDGPYIQIPGYDLRLTLWRETDVGDAVE LFNHPDVGRWACLRPYPYGPKDYQFIASILPLHRTLASSFLDATPPPLSALESCPMFP LSALRDDQGRVVGSANLGPSQKEVGAWEIAYDLHPSLQGRGIGRIMVQKLIEFTRWLG VKRVVAFCETSNIPSSSLLKKLGFTYVGEKLQQYPEDKGGDVRIIHGYELKL L199_002402 MTLTISLWLFFSIFITVSARPLGSGNSQQKPSPYPSPINILLPS NRADIWDFGSEFSNLCSHLYLDIDNPLEPRFVDAKVERTLLNDDYHPNEALASCIYQY PSGEMVQLAPFVAEELHCFTLSPTNVNKLREEVISKDKNRGSKVKFSRDVYYANDRDT MS L199_002403 MLAIQSIIAIFFSLALRGMAKSVDPQPNYPEPEFVLRPDDVSMH DFEKQFLVLCPKYYGESEATDRPIFIFQTFQERFDKDVWHGHKAAVQCIYQVPTGEYW NIGASVATDLGGSALATLYADAE L199_002404 MISIQVALTLFTSFALAASANPTKRDFPPPVEVIRPENKTTEQF KQEFLELCAKYYGGSEVTDRPIFVFEDFQESFDQDQWAGRKADVSCIYQYPTAEYQNI GVDVAMALGGDGIITIDPIETGDGAQ L199_002405 MKFTLTTLISIASLMTLVRGQNDNYSNPILVAKPDCQSMDQFQS TFEKLCPIWIPPPTASDGWYYDSASFVRGGSDGNSPDYLATVNCLYTTRGGQKNVAFE IVQSLGGAIANEGI L199_002406 MFNKLSIVALLLAVSSPAFVNASSDRIKINKSSCIGDINVFGDV FKAYCPTYKANDPAYSFKAVYFNAPEGETEQAYAFCSYTEVASNTTELFTEEVAQALG GGKA L199_002407 MSHRPNGSGEYRTSPPNHRYSHLEENQPSPAGGQARYDVEMGDE TSQPLRQGTSLVHSPAPYQDHHQSPHNYPSQPYHQNSNQPYPQQPPPPGHNVGYEDSP DPNASTVWSAYDKVDPDTYVTESKAKLYDDVEAEADGMIVKEKRKKVHATEVIATTRA RRWWIRITWLLTWWVPSFLLVKLGKMKRQDVRMAWREKLAIFEMIILSCGIVLFYIIF FGRLLCPNSDKAWNTSELATHQGEDDYMAAIAGKVYDFTKFYKGQHSDFSGYTTSSDV MLEFAGQDLTNYFPPPMTVACPGLVTNEDLALMRANFTPIVSYAVHTSGKLQTINGTK LNAEDWYTNRLMPDLKQYYKGSYVFDKGTIENGANSDSKQWAIYKKKVYDLSDYLYTV QYYSGSSGTDLPNYSFLNEDISGLFQSSSGQDITKSMTEILEKLPQEEVDNNLNCLDN AFYLGEMDFRKESKCLVQNYLLLAFSIIIMATIGAKFLAALQLGSKRQPELLDKFVIC QVPCYTEGEESLKKTIDSLAALKYDDKRKLIFIICDGNIIGSGNNRPTPRIVLDLLGV DPKLDPEPLLFKSIGEGSKQLNYGKVYSGLYEFEGHVVPYVVVVKVGKPSETSKPGNR GKRDSQVLLMQYLNRVHFEAPMSPLELEIYHQMRNVIGIDPAFYEYIFQVDADTTVTP DSLNRLISCTSDDQKIIGICGETKVANEKESLTTMIQVYEYYISHHLTKAFESLFGSV TCLPGCFSVYRIRTADKGRPVIISSIVIDEYAEPNVDTLHKKNLFSLGEDRYLTTLMM KHFPTFKMKFTPDAVAHTVAPSRWNVLLSQRRRWINSTIHNLAELLFLPEMCGFCLFS MRWIVFLDLLGTIILPATCGYLIYLVIVVSTKQAAVPVISLAMIAAVYGLQALIFIIK REFMLVGWMIVYILAFPVYSVFLPLYSFWSMDDFSWGNTRKVVGEGNQKTVVYEDDEP FNEGMIPYRTFREYEANAWETASLHSEKSRGTTQTSVSRNLHPYPISNRAPTFHSSVS ELPPGADYWRDSSPLGPQHSSRNLRHAESNPSLRAGFQPRDRVQSMAGMSMWGNGSNY DVHGHGGPPGGLNPMFTGASVMDNGSMRGSTFYPPLPQPQQYGSPMMNPMMMPFNPMG MPGHMSPMNGMMSPVPTGPHQGQGMMGMGAPRNTIMSGLGGMAGGQNNQSRMSSYSLA TTANPLGGGEKDRLAGLTVDERSAEEIDDEVVLEKLRAWLSKQDLMNVTKRQTRETVY TLFPNANLQSRAGWLNENIDKILSES L199_002408 MSISSPPKRSSSTSAKPLYASQSTLPHLPVPTLNSTFHKYLETL KPLLTTEEYSRNEGLVQSFLKSDFSKVLQKRLEDRSKEKESWLSEWWNDTAYMGYRGR IIPDVSYFYIHKRGLGQGASQEERAAELVRATVEFKKLVDSEILEPEKVKGQPLCMNS YQYLFNAVRTPTKPADIPVNHGPDHHHIVVLRNNRYFKVDTKGRGKQDLVNAFKEIKK IADAKEGSGLGILTSDNRDVWTDARDHLISLSPSNKSSIDAIDSAILLVCLDDGPAPS TDDSRAWSLWAGGHDRSPSRKGFNRWFDKHQIIVDADGESGFNGEHSMLDGTPTLRLN EFTLASLQAGKIPLELPGNEKSKDPMPKPEEVEFVLDEKLKGIVEKSRKGFGEEMGLQ DLKMVNFEGYGKNLIKTHKVSPDGWVQMVKQLAFHRQFGRPGITYESCQTRKFLLGRT EVIRSASSQSKEFCEAMLDSNAKDTEREGKFRKAIERHIQYSSWAADAQGVDRHLFGL KKLVNTTQGETVPEVFTDKAGLRSGHWELSTSQLSSKLLDGWGYGEVVEDGYGLSYSI LDDKITWCITTKNNDAEKFGDALCAAAEELKEMMERAKKSAGEKGSKL L199_002409 MSPSPDAPDPPRSRHPSGRVSTGRSTAPDPLPGGRAPDPTPITS VSTQPARSVFPEHEVDERLRPLEGQEVYTPPPSPGPSGVQNDVHGDSGSRRSSVVVGT NDDMDESTNQERYITRIPEEGEVHNQQTSREGSSMDMDSDIDQNPQQQYTSLPSAQEM EGRSPPDAPRTPISRDFSPPSQTSQEEMSSPTFHIEHEHGYGHRHRPVPSGPTSPQFL DDSHIPQGRDVQHQDKMEAEDEPKDHMRLRGGGFSETLDRWHGFGEFKDLRRANLSDQ HRYRKRAPLVSLPDDPPIVLPNFAQKRAEFLAAQKAAAEGEDAIQEDGILSRRSSAET IKIAQEKTESDDLEVLSYSLELPITALFSQGDTLSERKLRLRGGSHFELSSDSGPSTG QQIGNFLGQQTDEASDDRNSEEQESATYKGSKATVPILKYRPPKNSPVHPKPPSGQVT IPANHGSKVQGIASPAKWYTRPFSMVKRGGLKAFNLLFSSRLRGNTHSPATPQAGPPE RPRRFGSDTERSDDPHSSSPTTSTAAQGQIASPTSAQGTVISTPPEQHNALGYDVEPP AVPTSPIRGDSKPVQSSALTPTFSPMTDHGPGKSTRPFGEAGDNHPGTERPSTSRQTS APPETVAPGPSADSSHPPPQPLRRSTSDVLWMDKLRGLFRYSRNRSETDTSATRTQGE SPTTIFQDPSLVEGRRARGLSLTEGGTYTTATTATSSVPTIPSTPARPPGPGPSTGPR TLEQLEEEREAWCCGWRAPRLKQSTAMERSSGQGPAVDIGSRDSRLIRLSEFLLPCIK PRVEEAERRVEEAVSAGEDDEERSEESSESGGKGKGKDKAKGKGKKKSPGHKSPRDGG GDGDCSGRPGPDGGDGGGSPPAGGGNSRGQTPPKSEHGDQPVPTTGHGTGSISETGQT QPQRDSSSAARESESSSLRGSTDPSNKSSSSIWPLIGPKKKPESFPDSNKDPLIAAYL SLKPPPRCRPGSSSGRPESTLLPPESADDSPFETNEDLLPTHTGITTSEMVESASGTS GKTFGKGAQTPGTGPRQNVPDLPIAGRSDEGMLRSSSDNTGNTPLQPPSPPFLDQPST QTVLGPVVRGIPSATPTPTSPLGMGQPGSPSIPSSNLGETTDNPHSTRPQPTADVAER VGEEHSESIKARKKGEQEERIEPSAGPSGTSGTTQFLFEGPVGSVRPDPDRNITPPQD DISSPEGSPLSSGGTSPGPLMTSGQLIHPLNVPEQQSSLDPRSTFDPETPQGSMGGLS SISERSGEGMSTHDSHGTMPTSESITLIPRPNEEPMESIGQSVDAAADRLAERGRQME RERIAAGTENAAIISSVGERPPTPVDKETLLDTKGTKTADPPSEEYSQSQEPSSSTQA QRPTFPKGTTDESVVDVGTTANTELPTGEQGPSPTETAAGYHVGAVEGENTAGNIYPP APAQTGQVPLVIKRKWYKRWWGKAKRMLN L199_002410 MAPPTDFFSSLRPRSRSRRQGKGSETLKSSGTAYTLNNWVDLDH NGRANHIYIDDTLDHSSGHETQRYNVSRMNENEENIDPRSGSRRSSGSKLKRRSMMFL RMVERKASSNRNKEEDKDELKRSIRHPTMDGSTLYEGSMAGPSKSTLSTTPPHTPLTT PSLPSDLYHPYHPQTHLTPNHAEYPGWIGDSDSSGNRTTLKPHRSKVPFNTPEDSPLG IFDVNRNIHLNHLNSPGTGGERPKVKMTYEELLDLPLPLPNGEPSRYHHSQPWNIHDY EESQNSVYHQQSSSSNHQKELDGGIINLDNLVLQDQEDPPAQTIFESCSALEALWEYG SSTSSCSSLAMAKSTKDLDLEVELDLDINAEEYPLPPGMGLSNLPTEMSLTKMDIPPQ HPKTTGRSIKSSRRSNLNIYNDLPSPLSDTFSLEEEEEEEEEPEIKAAIKYRIPLKYQ KEEEEIRSNPGTDDVI L199_002411 MSHYTKPKPRRTPILGGPSSSATNQIHPSTSSSNNQHRANGLAS SLTEDQRGEIKEAFELFDVDKDGAIDYHELKVSMRALGFDMKKSEVMKLLKDHGGEDG LMDFQAFERIMTEKILSRNPETELRRAFELFDDDRTGRISLKNLKRVARELGENLGEE ELQAMIDEFDLDQDGEINLDEFLAIMLDGE L199_002412 MSSTSFPKEIHFTSPIPQEAQHILSKDAVEFLAVLHRTFDKRRL ELLENRKQVQAELDQGKPLSFLPETKHIRDSPSWSCAPPAPGLEDRRVEITGPTDRKM VINALNSGSKTFMADFEDSNSPTWSNMVLGQVNLYDAIRRQIDFETGGKQYKLSEKPA VLIVRPRGWHLPEPRLLIDGKPISGSLFDFGLYFFNNAAELLKRGSGPYFYLPKMEHH LEARLWNDVFSLATSHLGLQQGCIRGTVLIETLPAAFQMEEILYELKEHSSGLNCGRW DYIFSFIKKQRAHKECVFPDRSDVTMTVPFMDAYVRLLIQTCHKRKVAAMGGMSAQIP IKNDADANERAMAKVRADKLREVTAGHDGTWVAHPALVKIAMDIFNENMKGPNQYHIR REDVTVTDKQIADPSVPGKITEKGVRDNVSAALSYCAAWISGNGCVPINYLMEDAATA EIARVQLWQWVKYSSKTDSGKTITPSYLQTIFSEESSKVSKLPGIDPSHVKIASEYMS SQVKAEWPSDFLTSDLLVHLEGVGTVGGATKKSNL L199_002413 MSNHQRRGVNMNRSPIPRYTPPPSPFLSHQTITFLASWRGQLLV CTIVLVIGAIYFFVRPPIDQWHRRRKEALSRKRELELMKMAEKEEQSNKAEEKDDIPI KAGNSGSIKDRGREKNKNQSGNRKRINSHLKPPTTDTGTGGSPIESSPAPAPSKSLSS PTPTKPKRSLSNTHPNNASSSKLQQKSDPKSSKPLPLSTPSRQKPPPPIIVPKPCINS TDPWNIPLPPSPIAGPSKLNRVNGVNGLGMEEISDDAGSVSVNDRTEEEPIEVPAKKK FEGFSIYPDEGYLPPAVQTPGNTNKKKKRKNKTPTGISAGINGSTAMMETSSSEKNPI NNSDEIIENHINGNSLVTISTSLTNGGRRVRHQHTRTSSITLLPNLNVTELREIVERR DETIDQLRAEIGMAKAEESKAKEEAVRARMGEEKIRGEMERSKRGSYVRSDSQASSVQ GQGSGQGITGGQERRREAELQSRLAQMQQLYTTALSRLSTYESTLRDSGIMLPPLPSP IPMLHPQSPLPPMPTSPYVPSPGPGRNTPIMGGGFIPYPSPGMYPSPMLHPTHTHYHH HTHSHSPSPYRRTSSFVGAPNGNGEMISYPIDSMDLIGGPMDIGSSTMPIGLGHLNGH GQEGEKDRERRRQSIESSVLKRKVQHLSAVAVENDTDNGNGEVVEEEEVESSDKPTSS SSNGNQNEGSIGESVESVLSTEERNLSFSVNGNTNTNTDTNTGTVIRVMLDSKRGNVY YHDGVVTDNENTDAPEAEIQGDEPESESREKGEFQPIFASLAHTPEQIEEMRQISRKQ QDQKRERGTSVSSSLSSTKGVGMGAGLGNGGLLTPSPCKSPVPLRG L199_002414 MSTQPLLQRTAKKRIALPVRVEPKVFFANERTFLSWLHFAVVLG GLAVGLLNFGDKVGKISAAMYTVIAMAVMLYALVIYQMRARSIRLRTGAPYDDRLGPT VLCVCLLAAIVTNFILKAVYE L199_002415 MSWQAYVDDQLVATGKITKAAILGKQGGVWAASSGYNLSQAEQD AVVKTAFTQPDQVRANGITLNGFKFMTLRADADEVIGRKGERGVFIIPTNQAILVAEY DAPTSAGEANVVVAKLADYLKSVNY L199_002416 MPLYKLTEKRMKKRAREDEDGITDLKAAMREMGEDVDGSESGSG SEGWSESDSDDDDDDDEEEEEEEEEEEEEDQDEDENEDEEEDEDEDGDLDVDIEGLES GSDEEDEDVDEEDDTSSSSSSIFPISLESALTDPIYPSPHNPSENLCVLCPDKVLKND QMTKVHLESKLHKRSLRRYSVRLTTNPPPGDSDPREVVDEILAEMDSGEIDVEPKADK KGISKGQRKIGNEGLEKDKKRKRSNKKQRQEEKAKKLISTNTKDTNQQKEGQEEGVKL NRKARRLLALQNGEIQKTQRQKQK L199_002417 MAAGIQCGSFLLFAAFALLLVSTLSAPVFRQISFLDIRTGSQKL AFGVFGYCTNVNGNGNHGCSARALGYDIASVSGEVSDFTYVNDKLEHVTKALVLHPVA AGLTFISFIIALLSDHVGFLFAALVAFLAFLVSLAAMVIDFVMFGIIRHEINDNTSSS ASFDNAIWLTLAATIILFFSTFIVCFETCTHRRRRSKDVNRAYNEPYAGNGGYVGNQG PMMAQNGGYTAAPKRHFWQRNRY L199_002418 MSSSSSNTKSKNGKNRNQHLYRITPRSFQELHPVHYQILDILKE DIPVTLIQTCRSLYDELTPKIYEKIEVNENTHDGITNIEADSGSEQEDDSEDEDDDRI SSEADTATSTLLTPSLRNKALFHNRFINFTDVQGAIDFVKDCRYCHDWYCNTCSKNYT MQGQIFPNVEHIRLGGTLVCLLAELELESHPPFRDCWESHRSDEFLNLVSECMTPKNL CLDWPEDWSSGGWYYNPDDEEDEDDDWAVKRGMTELLFNISRTFHSVTHIVLHIDIED FHLVTFDLEPTIASSMKFTFYISKEPKTKSAKVVQTLWNHFKSLKNHQWTFEYSVPYF KKYKNDLKDLWKEIRSRDLERFAAFKQRLAIEGEEYFFKRFDILGPMKSSARG L199_002419 MSSEDTSYPCDITAEKFQKLHPVHYRIFDLLKKEIPVTLIQTCH QMYDELIPKLYERIIITEENYDSITGGASIELQEWYLEEREEMAEQEGISEEELEQWD EDLPDLKDKALSHTTFLSFTDIRGATRFLNEITYCDDGCCEPLKYHLRSVVFPNVKVV HLGKDVVKSVVDYHVDEDLFWSTNAGLDHRMAELLDVVYDGREPYTICIDWPEDWNQK DWPSRDEEEDVDDDDEEEYNVDWALEQGMKKFLLRISSFECVQHIVIHLNLLDLYHLV TGLKDITHHSDLTFYLTIDEKNRKRFHNHAVLEVIYDHFRYLSYKYDIEYLPIRYSLP ILSDFAKSVEDYGEDVNGEEAEIYDILKERLGIREEECECRIK L199_002420 MVKSEPFNPPSADAPGKPKIADWVPPPVTKETHNFAMLTSIDLS LLDSDDPAVVQDLIEQVKRAIREDGFLFLENYGVSLEQLQRQFSIANHLHTHLSDEDK ERLLFHPDTGLWAGYKHPYGFSRHRGAWDGIEQFNWYKKQWENWDLVPKCVLPFMDEI EAFSKYLSYSVNKRLLTLFSRVLELPYDDWLFDNVQSKGSPSGEGYFRHAIFRPVDEN TDKSSRGVRMQGHTDYGTTTLLFSVPISCLQIYGKDEEWHYVPYKPGALVINIGELLE ILSGGHFKATLHKVNRPPADQMTFERLSIVQFNSGIGDLRLQPIKESKLIQREGTLDY QGVYHEFKRLVDAGLPIPTNGQWREIQISQTVDPTDTERNKVGKDFEIINGKVYQVRE FHGVRTVLPV L199_002421 MSIERLQNDEFPPKPWNVPAVKVPGPIIFLAGQTAKGDIKTATQ TSLDNLAKVAAIGGSGPEKFVKVNVWLKDMGDFNAMNEVYIKFFGSNPPPRSCMQVGK LPGGDEVVIEIEAIAQA L199_002422 MAEASTSQSPTQQIQQLQQLQQPQQPQQQQISPQAVHISPQPPT PLHPFQCTVCLRRFTRHENLKRHAHRHRKPEEEVKYACNHCNKVFARSDLRRRHIRKQ HPEFAPSPLPSQRSNSQSNINAIGNTDISPISITHKNVPLPSTNCADPQGDARQPNHS FSSDLGQMDSSFVPTTSGQHERTGLESGSDTSKGYQVPPSFDIESLLTSRLSEATANA AMSGMNLSGLSPDFTYDRRHSTVGPRTSPPSSFTTEIFISQSTIENGISLYFEKIAGF FPFIHQPTFELATTPEYLLMAMLCVSLQFTQSQPNPTSPTEAVEESGYKLAKYCFYRS CRLLDSVEQNEDDSRGGLKLFMIQAYLLLEVHALCFARGSESSWGLRFHHRLTELARV GGLGDPYPPQQVDKGDLNALWRQFIKAESHKRTLYAAYHLDTLWYHTLSVPRGISHLE IKLDLPCPEAAWTVNSAAEWAFYGLVNEQMQNPTRYLNAIRICLAPEAAEKVSSLDTY GCLALILYLISGVREMSGWSTVTGKVPIERFEALYASLVAFESIVQKQDDYSPLFILM KATWHMAMIDLLLWSPSHTNGVVEINLEAALAAVARLSNSAITFSSSSVAATVDHHLR WFLTYLDKTQDVTKEAPWMAIYSFKAVLIAYQQVSSGNNDPLDTLGLSNSDDMLEWIK GIFEKRSYWSVGKIVLDSLGELDHDN L199_002423 MSTTSKATPPYICEWEKYSSTLNLNTFHKLLPVHDNILKVLGQV IPATVIRLSKKHYNQIIPILYRHIKFDSTNTQRFFRGWTVPEHPQEEIHWSEMQKEMM IHVKTISFLDEKTMLDFNINLLKLHRLIENDGILTSFFAQITQLQLDQQLVIASGRNW SEAEDVESPSTLVILLRSFEFYLDRYHVCFNVPEDTCAVEPKDLSPDTKVTEEEHNRT CHIIAFWNGIHAKFEVVEDLRNKPQCYIFHWPNSGHTMTSGITNIITYRQHHFLKSPI ILDLTGMDDAIACQLPLYLKPSDLFWFVDHKGDKQDTNIYVIAPNNEEMATMMAVVSR STNSDVRTRVEEFLNMVSDFEKRVIYWKDEKKEEGWECPCGQGHRKPSEEGEE L199_002424 MAFDEAHSESSLPVFMESLSFSNEHPPAPRLLPVQHLIFDILEK EIPLKLLRVSKPLYSRIIPTIYKQVTLTNDNIVPFFQGLIIQKKQDGNGKTTFTLGER KLKVLSHVESIKFIDRPTAEKFSKMMKFFANQVHHSSESPMVLPSVVDVHLGTDLVDV LFTTFDDMTRPETISMMLSVWIPMFLFALGKHAQPSIFSIDWPLEWSGDKDIDFWDEP GLPLPPTPSSKN L199_002425 MLLHAILDHYKLVTYDRGNEERVIKNLEGRLMYVLPGRKGLSEQ LDQECQEGKEYEEVMNKLKEMIVFRGNDLADGEDGEVDSEEQD L199_002426 MRNHQFDISFARLQPVHYLIYHYLRTDYPHALLTVTKKLYQELV PIVYRRIKLRPDGLYSLFRYLVVKNKDSQSFGTVETILNARVVKALNYTSHLEISDIK QCEELEEGMENWMVHSDELIFPDLKHLSLGENVIIDSLSPTDEGGIYTLQPKFNIITS ILKLSSPTKLCIDWPETYGKERSSDTDEGGSLIDWFVPELFHNLDEDTCSIKEIRIHV RYNNIGHMISRMMGYGPKWRPKIKLNMVNPPEILLEGILNNVFWSVVNAMNALIEEDI HFDSTMIGEPFSFNLVDNGKISDAIDTVAEDVWDDYENLYDTVKDAITWKKQDNEDDW KS L199_002427 MAKSTFFVLATTLFSFLPLITAQNCNSTVSCPSTAPCCSEYGFC GTGSYCLGGCEPLYSFQSTSCRPNPICESQETDFTDLSKVQMNGTKYDGNATAYDWVV NTGTLVPSPSGDGVRLTLRESDQGTKISSTHYVHYGTIDFVLQSSKWNGVVTAAITMS DVKDEIDWEFPGATTDKVQTNYWFLGVANYSATQGASSDISSDASSNFHTYTFDWQED YMNWLIDGQVVRTVLKTDTLSDDGSQYKYPSTPSRIQLSIWPAGISSSAQGTIDWAGG MIDWTDPDYTSNGYFWNTLQSVKISCANEDDQSNSTTGWAYQGNDTQGIPIVTVTNAS TLLSKSELTNVPVQNSRVLALVGILSVFVLSGITLF L199_002428 MHLPRQQASTTSTKLSNPTASEANIGGVSAADLIQQADSHWDVS ATNILAAWVACMVGILLILLLYSTVRLKWRRIYLPRWKLRKPSQPREEKEEDRLRLAQ MSKRGQKEYLKKKFKEEPEYYPGFIKKKHPGDEKGYRWVEKEDDGVWSGKAPKAPISF FGWVRPNWEDTMTELMAILPKGFCCCWSVLGLRFCVQKRGQKTRKTILEQDIKGLRML GLDAVIYLMFLRLLKYLFAVISVLAVCMAFANYYINTKTIYGSTNALSSSSSNDSTDN DTKSDNGNMTNVIDNPNLLTAANIKSNGLLVHVSFEIIVTMLVIIFVLKACTHYARLV KEWAKMNRNEISSKTLFITNLSISKTTTTGAKKQITNLVLGENLQNIPCEVWFAVHKL NPLEKRIEKFKKEAFSKAIEAVAMETFYEGQEGQRNHVYDSCWDRLMGRSKNARTKVN EALKEKHQIEEVQELIRYEQGGSNWKDLNGTVTAAFVTLPTARQAKTILFQRKVDLAH AGYTLQKAPRTHNVLWKNLEKDAKAKHSSEKFAKLALIFVCFLNTIPVMGIVFLTNIG IAIDRYPKLKQMQEDSQFWKVIFMIIEGVLPAAVAAIFAYFLPYIMRELNRWSGSITR GQLDKDQIRQVFIFLLVSNFVVFGLIGVLYETISGIYSKIGEESIGDIYKSIGDLPAK ITRAYISQSLYWFSWYPIRSIVMWLQLLQVPRLILKTPQLLKFKTPQDLAEVTLAIHF ELALEVSFIIFAMCVGLIYAPLAPIVVIGATIHFWSAHIVHSQALKFVNDTKETDGEC WWVIINRLLMGTVFMQCLMVLTVTLKTQSPPMAIAAALPIFLIVLFKLYLNKHYKDDN LNILYDGDSEIGQGEKEILEKYVPDILRYDWMPRGKTVRNRKLMDRAKKKIPGLKELM MVGTNTNVDNKSRQRRRMFRKKN L199_002429 MAPIKHHDTLVLHKHRSPHPFFTPTYLSFIDPHTGERKGSWSSR RARKGRYSPKSHTIHYAGPGFSSKDEGEGLGEIRKIESLTTGRIKREESKFKPHLKAD VSFWLAVSFTLGSMVWVVNGYLVWFPIIRPSLDTEPFSKTAAATAFLGGSIFEIGAYL GVVEVLDRGREINFGTALGKLLNHRRSVPRQTGLKLDEIKSRSHSASTPPSTSDSGDD GDGDESWLSDKKGFIWWGKPMWHDMGYLAAIVQLFAATIFWVSTLTGLPGVIPGFADG GGSQSIIDIFFWTPQVIGGTGFIVSSLILMVEVQKKWWLPNLLEIGWWVGLWNLIGAI GFMLCGALGYASSSSKAEYQSGLATFWGSWAFLLGSFCQVWEVIWREPSDKDT L199_002430 MDSLLVVHPSTLAIPTLEPIPQSVAPTPSLRESPPNEVVPSTSN PAVPVSSEQPSPTPSDFAGSSRRSSISSYYPIPGSYFPAAGSSRYQCAASSGTNTPSE RPRPSPINIPAGTPDEPLFSAYPDTGSSKPDVKGKEKAFHLPLKPAFNLSSASLSRRR KGEEAEESSADELKMPLTSSSRYPQPHQHPHHSHHSPSHSYHNSPTRPRSPTSSRYPR KRAATVSSSPLETLTRGHRKSASTSAAEDQKQSQASGRSTLRLGSVPRPRSLGGFRRR RASVGSASAPIEIEFEPPGSTNQWKNMPEEVAHKFDSPPIPFSYQQPTRSDYTLQSVS TIATVRPFASGSAGEGGDMVPVIAPRRSSLSGLEPPVVLGLTNISRSLPEGNVEIVIP EDMIMPHDPPPRRSSLEYDEPVLVEVKSKVDPAVSASASAIIVDDHEQSVLSASLTNT DTSTSAFSPSASSKEHPLSSATTSLSRSAKSGKEGVEGENNEASLSAVRLQRSLEWEA KQNRLKRKLEKRIMIILELAETEVAFTEDLRTLCHVYLPQLAALPSVNERNAKMIARN TDELLVFHTAFAGKMVDILKEEGLGYDSPRNLEVGSAGQVDRVSRRLATLFVDDITHF SLYKDFCAESIIATTLVKHISERVDYEGFEKRCQIIGAAQSSTTLRDLLDESAPSVHK HRSRLHFKDYLITPIQRICRYPLLLGQLLDAAGSTSPGSGSEYYDEGFDVGVDLERAL GAMRGVAEEADEARRLKDAEVKSATVLDRLEAHPNLTPSFIRSLGTCRLIGSLDVLHH HPSLAPLVPPVKVKYLAAFLYRGYLILAKVKKGKNYEAKHFLPLEVFELIDITEGFLP HSIRLTLREHNFDLAASCEAEKEVWAAAICQARDESVIPPFELPASVSPFPLRSRRSS TAFTGDIDIYATPHPVPKRHTLVGAPSELDEFSAKAANPTTTPIHTSGSKPSTPLISP IKSTFGFTPERKSNQAGTILLRRASNGQRMLVERGLSDLFSDICSTVRSKAQLQHRTL FLPDLPAFPQSESGHGDGMSARDSSTMLRRRKSFLDHRARKESIDIAITGEVKGSVIE LRPSRSTGVQYRTLPSSCRRRTGSISSVTRGNNEGSGDDESASVTATAVSDFGTLGRT GNMDYSRNNSVSSLQSYGSPMITPRRSLSSLRGLTSVSQTGDIGEETLMIRPKDRDAT NGSGRQRFHSQPPRSKSYRSEISTSASDRKNKHNLNLSSISSTPNTFRARSTPVSPIL SPTDEVPPVPKMPPLLQKSKSDESSRKLIHPIQSANPPQRHSRDLKSGSTVDTSGQGE GMMEYFIPPPHGLAIGSIGSNTPPITSTSGSAGSGSNWTSKVNTVDPDKPLAASTWGT LRRSMSFLPLRRGNSVTSMSDEFGGGANLENSASVSGSGSGSEESHDYRATNEIASNE DQASISGSGGYTENERDRGEGGGGGMMTRGWNSVPNTPKRKRSLRLFGLKGFTPM L199_002431 MALNTLLIILACPVIISTIAQTTVPTGNGLSFQCSSDPSAIPAF AGRSYCDSYLTTKAGGQWPLANEVVTGSFSKAPTSYYSTAVGDIYLVCNWQDPILAGN YFGCRYNAGAASGDTFATGIKYNQGGQGFQQSCPVVQANKPRPSPGLYYKKRFGPVTH SLYSLSSTGQTYICTYRTTSPGQSQCRYDANGQLLPNSATVPANVNCLLSQCQAVQPY MRKRGLPQLADKRQTSRQRIIARQKEISGTFADERL L199_002432 MSQHPTYPYGYTQQSTSNDHPPSSSQGQPQPQPQPQATYNDPSN LLSVYPFMTYAPTARVAQHLSPHTTTYPPTPSSSDLYPQYSDILSRLDNPTTVEDWAM RQAAEARLREVMSNSTSHRYSRQPPPHTPRSYAQPPTPTSANAYGAEGPASHTLPLPY SFLPTYSSQPISNGQLHTPTSTSGHSPVSPQRHPPAFQNSPQDYLNNFVNNTLARNTE PNIQTPPASSSSRSQPIVAPQPVPITSMPLQAGPSRERRASPRRSTPNLPAIAASSQQ TQAQVGMSIQRRTSPRRSSASMTDMRSPNPPSSVASTPTHERIMHSSPASSPDPLGPS PTKKSRNNNNGYTDRSSSRDMSPSIFGRKQTPDMEISSLSVNDRRSSSSEETPRAKSL GKVIQVVIPTRKKISKEDQASDSNNEEEDDEDDLDWGEDKDGDWIMDNHQDGRYKSPP TDTVVLPRGSGRTGERDMRTFWQKLINLLEDIFEESDAFPSNPNSGDLAGSRYFATTS RDGGLPLLSFETVRKVSSYVTRVQSARKRQPSGAHDGGVGQWDNEVMGSILRLLERSM RDMESLTVFPEDRKPAVSASAQASGEGKKKASIKKKTEPINPDDNDDDQEFTLSEDAL QKHERTLVRVRDGVAAAESVLILLDSEGLSKQMYSEDLLTTSVSIIKEQMEKVIFPIV EGLAGEKISSNYLAHIVQAETMSLKKGKSKALSPYFAHPSFSAIATSIISSIPRLTSM IGRPQLAFSDSLVIQTVYLAIGPLFINEPVMKRGKKDGMGKEGGGVMKGLRMEALGCL RGAFARYEDQRQWIVEEILSSLVRIPEQNHVQNKFELANGKSIHTISALLLQLIQASA YGTIDRIRKLHSSAAEAEMMGMSDKTVDVPEEESRICADTIESALRSARIVAGYLVQK STSTKATKTSHDTEYKAILDLFLKDLMLVLYRPEWPASSLYLTVFSRILIVSLEDQKT GPEATAAKGIALDYLGDIAARLKGLGLEMNGEGKIATLDEVIANVDMAGLQKLIEAQK AIMTFLSSAAREDGSLISSRDMACIIWAQELQSAIKKTTNVVEKLASEKGEEAQETSQ SLQNITTTLKNTLRNVWAGDEGLFEVHDPRQGEIATSASIAISRGRQLQNAVDPILIA LLGVLDNPVVALRSKALRGVGSVIVVDPEVLGLPQIRQALEDRLSDPSPAVRDAAVEL VGKYIVQKPKLAAEYYPHIAQRALDSGLGVRKRVIKLLKGIFPNMEIRDIKIDICCRI IDLTDDQDEGVKDLAVKTLTELLYTSGEDAAGLLVDILGDFRGSNGLLERAMDGVSNE CDSTNHKDRFGQTIDSLITRLIDATEQLDFDSLSHVRAIWLLCTSDPGQIDTQKAGVL LSYLRPPANSDDQATNELLLKIFRKCIPQMPRTASTFASDLTKKLMPMISKPAGGFQA LRETIGCFCAVINCLTRDWTRLINVLRACEAKIRPIRSQFLSTGTTTPPSQAASMMLY ITALIAEGCNLDKVALEDDMVDLELRKMTSSPISVYLYEVYLDFAKLPSFQSAATICI GSLFRSYPFLLQREETSEWMSDTFNSQNEDNRAQLLGVIHEFLASDVERRIDKGPGSG TKGKKRDKGKKELGFEMLRGDAKELQDSDHSTTIVQNNIEQIFECARSQNSVTQNAAL DVLGFVVNQGLYSPVHTVPILVTLETSSDPQISERALGLHQVLHQKHASLVTVLYMES AKASYTYQRSLTSEPSGHRNGVALLQNWYNLLSEKRVWKIDFLKALSRGFDRDLIGDL DPNFVLYLAENLATFEYKLQEEPMTIIVSLQKIISTCTNLISTMEKINLTQIQAYQPN EPVREKTIRIGDNDQENTIKIETMVESSIIISLAVVLKNHLLNLYHLPEDKCAIHIPG KKSAIGDKPTQRRGSAVLDLTRVPYVRGAQTFGEFRGLQCSFLRLIHEDGSLAELN L199_002433 MPPKKHQPPKAEPKTKADNVDEAPLQAVILADSYNRRFEVLCSD QPRVLLPLCSTPLLAWTLESLSLSKVRQVFVFCGVHSDKIRAFIETSPYRHTLDIQCL SSQTAMSAGDALRELDDMSVLNAENPFILVHSPIISNYDISKMVEAHKKRREEDKNFI MTMGVGLGGRRHPESPIMLVHPPSSRLLHYVQNPLSPSQPRLSIPSQLFLDPFPANID TYEIWDLGVDICEADVPALCTENFDYHDLRRHFVNGVLTSELLGKKIAVHLVGKDELN LNGEEEKQKGDVRSGNGGTYVERIRDTRTFGEITRDVLRRWAFPLAPDNNEPGGVQYE LRAGNVYIAKDNVVLSRTTTLSGPLLIGPRSALAHNTRIHQSTLGADCSVGPSTTINQ SYIFDDVRIGANCTLKECIIGEGVIISDDVIVGKGALIGNGVRLGRGTKVPDFARIGR ERYRGEGYDSEDEEDEEDEDERENRLSTLGPDSIGFQWPNEEEEPASDSEDEGEDPYE HPRNKQLLQLGRRLSNISTSTVSLSTLSKASSSEASSPLSVASSASTLPDLAPLSLNQ GPREEFYIEARESLIRAYEEDHKVENAALEMRTLVMGENAGQDAAREEILKFFLSKLT LSGGAGEILQSTVGIFGKWGQLVRSFSTTDYTLIAIDTQKFCVDNESYRPWFGIILRG LYDSDTLTEDELIDWRDSSVSKGEGTKDENEKKIWLEVYGKGKPLVDVLESMDSDDED DEDDEDGDEEEDSE L199_002434 MPVPWEALIPFGLLTVMFGATGTLFNTAKRLTNDGKPPRYNLDS WETMMMERDRRLTGSLRGQSTDPIAPKEFATNSVWDTERVH L199_002435 MLSNTKSNLSISTSSSTSIPWSHQSPTPTSAIEKIFSSLDKSIT SLIYDLQLDERSRPRPKAGTMWPTDVDIVVRRYQPTLDSITESEKPSAYRVKKQEQGE EEDWREFLDGMGVAHTIGVL L199_002436 MIGSITSLLRPSSIASSSRFTLPLFPKPPTASIQQVRYRGQLAP KRTKYKKAAKGAPGTQIPIGGSLKGTTLHHGTYGLRACSSVRISAAQLSSCQMAVRRK IKPVKGAQMYLRVFPDIPVCVKGNEQRMGKGKGSFEYWSCRVSPGKVIMEIGGGGIRE EIAKAALKLAQARLPLQTEFITLSSSPRLGKISHDNLASPSYARPVPNPIVELDVKDE GRAKDVVLGREAEEVDELSRRLDGAVLDDVRPAAGQEVRA L199_002437 MSYNIAGRAIKNEYLVLGTIAATVGIAVSSMGGGDKSSSGSAPV PVKEDKTITGETPEEEDFIRQFVAEAEGSDKKH L199_002438 MLRQSASRLLTPALRSYRSRPLSTTTPSLIKIRSSNPKPPKPPS SNDQPSPAERPPTVPEDIPTSKPFEPITSSPGGTTPPKNTAAGPTPTQVEAGADGVPL TPPQPEGNSPPKATEASTATPTPEAEAEPATGVPLEPPTPEAAAEELPTDYSKIKLPS LDIDPEAQASIAEPESESEKREKRTGAGKREDKTSAEKTRRVWIRYGYAALAVGGIAA VLTKSSDEGATGSTPENQEGIVTKFTNNISEIFDYFNKPAFKTLLPDPLPPPHQRPYT LVIDLEGLLVHSSWDRASGWKTAKRPGVDYFLGYLSQFYEIVLFSSAPLYTAAAVAEK LDPYQAYLPYRLFREATRYVNGKVVKDLSYLNRDLSKVILLDTNPEHAELQPENSIII KPWNGEPRDKGLVEMIPFLESIGIFNPADVRPILKAYEGKNIPVEYAKKEAEAKQKAI EDWERMHPSAISGAGSGWLSSMFGSVAASGSTRPNQPMTYLEQKRAQAQKIYQEEQKY WAEHADEFKKLIEEDKQRQIAEMKGSILGMLAGPKPQESEQKK L199_002439 MRPSSRLLASHIPKVESYIVSSKRTPFGAYGGKLKELKASELGG LAGKAALAELPSGVEVDQVFFGNVSQSDNSTPYLARHVGHLSGLGPTVPALTLNRLCG SGFQAAITAAQHISLGEADVCLTGGAEAMSMSPFTMSGLSRYGTKYGVDLKLEDSLAA ALVDQNPGGVKTPMGVTAENLAKKYGITREECDNFALMSQQRYASGLAAGAFSGELVP VKLKPVKGVPQTLEADEHPRPQTSIQSLQKLPSVFIKETGVVTAGNASGICDGGAANI VMSESSLQRYGVKPLARIAAYSWSACEPEIMGIGPVVAVRNALEKVGKTIGDMDIIEV NEAFAAQWLAVQRELELPTEKTNMFGGAIALGHPLGASGARILANLTHNLHRLDKKWA LGAACIGGGQGIAVVLERC L199_002440 MSDDLHPDLDEFYDTVAGDEDQWVDEDDGGNYQVYIDPDDFFGE EDDEEDDDGDYIFEDDIGEIDDEDEDEVGESDEDMGDARLVIDNEDDGSAAALAEMQN LLQRRRAQELVDELQIEDPEGNLDISTLLQNLIRRGIVTTGRPSGNISSGLRGQQEEW RRRERERNDPKWKAPKESQAAGLELLRSGEFGRVGQWRIPGKKRPRVTSGWMNGWTGP KKNSPHSHAIVPNTPGTIVASYPAVPYVGQFAREDYSLFYTATQYFTLHLYSMNESRK NRIGYTANSRKRSRTSRSPTVPTLAIGTPAAAAAEEEEEEEDEDEDDDDYETEESDDH GYSWGRGGQIVSEDTSLKKIKKVQGVEGSWTVTDCDADRKGEKMIYSSITPYVHMLYT AESDQEHIELDFSSTRRNDRFGYDRFGIWSIRFSADGKEVVAGASGGKIMVYDIDAQR RTLAVSGHQDDVNAVSFADESSTNILVSGSDDGYVKIWDRRSLSSSTPSGILVGATEG ITYTSPKGDGRYIIANSKDQAARLYDLRKMRSPNEFEGEKDAVIKYGQQRFDYRSMQY PRPNRLAHPKDCSIMTFRGHSVLRTLIRCHFSPRESTGQSYIYSGSADGLIHIWSLDG RVLNRGEALPLKQQGEYTDPSASDPPLPSSARRNLDQPYSSYGMGFTVRDVAWHGYEP TLMSTCWETNGHYRAGGNVAKHEWKGLGKNGLRRLEDWVEKKRSEVEETERMPGWLGI VSDWDM L199_002441 MIISPSSNSISSPVLVDLTPLPGEEDKQIFYPLDINSIDQVERD KPLPIPPTTATAPSPYGNMSSANHQGDAHSTEFPELPPVPPSRVIGQGYSANNPVPTV QQYKAEQKQHEAEAQAYADIVERRRIEAEQREKQAQQEKEKPTAQDGQEGGGAVRAGK DEETNAVKAQKDKKDEPTPGTGANEKSRLMEQMNANQLKPTERFQKAEKGQRRVRDPI TGAEVIVKDADPKDFDPSIPATRGTNALYHAFPPPRPVSVDAMIDKLKLLQYVVFGSM FVIWLSVSFGSGFFKLIWRSILCGTVGFVLMTLISLVERGLDKEIERVRQDMGRQRGE AFSPPIPESVEWLNGLIKLVWGLIDPALFISVADMVEDILQQSLPGFVDAVRITDLGQ GSNPLRITSIRALPDQPGDEGYPKSGWINQGNENINSKDTAGKDITEDEAGDYYNFEV AFSYAALPGQGAQLRAKNIHLLIEFFLGLYDWLHIPIPIWIQVEQIFGVVRLRVQFIP EPPFVRNLTFALCGVPAVEVSAIPMSKHLPNVLDLPFVSSFVKMGIAAGTAELAVPKS MTLNIQEMLSGAAVGDTRAIGVFLITIHHCEGLSAQDNNGSSDPYVVLAYAKFGKPLY STRIILGDLNPVYEETAVLLLTMDEVKAKEDLAVMLWDSDKMSADDLVGRVQVPVEEL MLNPNEMKRREDKLMGFEDANDMPGKIVWSIGYFEKAPLIKDLERGPTVEEAKAAPEP SKTAPEMEMLPQDAAPNPAKKDLPPPPPDVQKTKPDPKWPSGVLSIILHQVNNLERQN LEGASGDREGEAGQDTDQPSEQSENLPSGYGEFLVNDDMVYKTRVKQYTTNPYFEAGT EVFVRDFENTVVRVVIRDSRLREADPILGIVSVRLSEVFAEASSVTQVYALTEGVGFG KANISFAFRGMQTTLPKNMRGWDTGTLEVSQVSATFHQDKTHLFEPKASRLRVVTSEK VETLPKKDAEVQGNSMNWDLDLLRLPVYSRYQSSVVFEIGKGGGPLSALGVGAKPDAV AVLWMQDLTDDVEQQVKLPILVSSNIQNLRQNAINDQTKKFHDFEIVGELTARLKLDS GLDEDHETISQGQSRRHALEAHDHIEGEAEIARKQARFDDDGVIDKNEQKQIDRAHKR QLESRGRGLAQVKAYRSAKWMMRGVKDRLPGQKKTREPTVQTEA L199_002442 MSISGGYTDAHPTDDEMFKRRYVAIQDVINELEDENNLIAYRIA KMRKDRIDKERAVQEQQHQLQKEKERKNAKQSKSTSKAKPKSKTQIKSEAEAAEVGSE INEREAEIIDVDVEGNEHELEQRKGDVQVEEIGEKGDISDDQQRSSIPPDQDQDQNLK PSSPIPHEYENGIGNGHEEQSAKAEDEDVEMDDY L199_002443 MPKKVKIFVLTSALMTRLASAKPLKNRDGVVSTVVAYSTVIVDP TISESVTPDSSISVATSSEGTISGASVTTTSASSIESTAISGVAAADVGATTTVSAIK ADKTSAPLAGNEGKTGCEQLWANVPSEDWLFATLCSWSSADASWPLVNFDYAWEFKDP KNLPAVNVSTYDDALKTRDPLMISYDGVKGNGNSNANDAWWGGAFRNALLSSASLSIV DSTGKFKNNDDDNFREWAVYHALVNLLGMYPVREDPSQLNQVIWEMWLDKSSFSPIII LSKTANLKCLKDGDRYFSIIKFDKDSSKVTLWDPTSGGSSGYFQVDSEDLRKDTLWLF HLDWPRYEPTPRKIKSIS L199_002444 MNINTSSSTSAGPSRPSPTTRITNTNQEDGSGTGSLWTEILGST DRQKGLGRKNLIVLSERHHGRTHLLSQLNVSSKKKKSFKSSRNGKKGLALGYEVIDIS DGDEDSVPPLSVFYPPSSHPSLLKLVSKALPPRSLSDTAAIIILDWTKPSSMIKELLT WLSWIDQWANHNAERGELEELKEKLQSHIQHYTEPSPTTTSGTTTYAGAGALLPLGQG TLTLNSSGIPITIVCTRADLMDSVGEEVGMKGGGWEERTDWIQQVLRTICLAYGASLF YTAPTQSITYSLLRSYLLHRLYTIPPSTTESSTQHVTSTRFPFPHRANVLDRDAVMVP SGWDSWGKIKVLREGFDPDRIGKAFEISLKSSQNNAEGDDAGETLEGIWDEMIPDVER GVKPSNTSQPTIPETEQSFLSRQLDVLLKDPNRDPRQSFRHAAATVVGPMSGSEGLNL PGVEKALGEMEGLEKGEELKEKFARLSRKDSTRNGPLSPTGPAPGGTTNAMPNEALHN FFQGLLANRSKTGTPSATPAKSSETK L199_002445 MSPTKRPIDYTSSESEDTNTDIKPHISSTLPSTPKKEIIPKKTK TPTPKKVKSSAANTSNNSEGWSPQDRLNLFEAFVSTVEVKWDEVAAKMGPYYTGKQCR EQWQRATGKRIRKALGEE L199_002446 MPPKATQQLTQKPDPQEVPIIFQRYRTELQNLAQKIGEIESEME EHALVLSTLRPLTKSDPERTCYRLIGGTLVKRNVVDVVPSLETNFSGIKEVLESLVKN YKNKEGEFEKWRNEMGVQMPK L199_002447 MADCIPIAPELPFRQQVIELASHLARSLPNSDQASYREFVGSYE SQVNKEGEEDVAAEQKTEIVKSLVAKVGELKGALDGLKESDVENSHLLLQYTLSMTFD PSSEEYSTSVKNVVEAVKKGGEASGKQSRVDVASRILNNTYNYLPSTSSLRPTVLLSL LSLLSTSSDISILPLSSEVLSKSISQWAISSKEKVDFLLSLSQLYISASELHKALDVL IIALKESVDTKIVEKAILVNLAISDKFELDEILKIQGVKENLGNAKSVVDLFEGDEIE NVKKGQEWAKTNAAWVEGAGVPGFTAESVVRKVRLVALLALAARSETRQLEYAPIAKA LGIDESEVEAVVIDAVRSKLLSARISQPLSLIKIQSISTLSSSTRRFGSDEWKLLEKR LNEWKVSVTEARSVVEEAQKLAEQPLSGSGGDKRRGGGGGGKRDNRQREEQGQGQQDG QSTQQQGEEVAAA L199_002448 MDDPLSPNNINPSWSDIPTDHTQSSIFSPTPSQSHVISQSSSSS EDYSREPKVFGAPGLGLVSPPPTSKDGMMKSSITTAAKEPPSPFLRVRIGGLERNRKD LLIRFDASTNLPNFRTSIYRNMQRSYVEFQKFAEQAQLCCPQTIIPALPLPSTSAIAD EEDDRLVRIALQRWFTRICEDPVLMKDDELRSFIESDFGYTPVPPPSARKSSAASAAT GVFSAALSKVVRRGPLDEDDELQSAKNALDKLEERWGAAATAINGIGKARRAFAASNA DVGAKLVSLSTVESDPHLAAAERKFGRTWEHLSGMIGAQAASENVILSDSLGYQALNA RAAKDALLQRTTLLEDSQTATKSAINKRRNVERLKGSSKIDPVKVDDALHEMEEANAL ETSLTNRLNAISQNLHLSIRTHSRHAHEDVAVALLEAARMSVMYHKQHLRELEALKPD LKKIGTSAPVFVTTPKIATGTPKQANTVLPPQVTPQAGRPPPQVQAQPQSQPPMAHMP QGFAPVPPQVPSNPPLMPGPPQIPQQAHPGLQPSFNQQQQPRTPNSYPQFAPQGLPYQ QAQQVPQGYIPQSQQSGFPQQNQSGYNQQPAPVPRGYPIRPPPPGPPGPPNQGFGHMN GTQSMFLPPPNQRPNSAGPIPGPGQGHPHDPLSAGGMTQSMMLPQHARPHTPGGGAGT MGRGGRKLDERQAARMLAGGF L199_002449 MDVLLHYADEYVFDSVYNYLLPHPPIPSSSSLPDLYNKTVLPSP YTQSTTYHPPDLSIGGSVTGSLWARDNIYRQGVSIFTIALSGAYIMYFLFCSISYFFF YDRRLEHHPKFLKNQIRKEIKSSLIAAPVIDLITLPWFLGEVRGYSKLYDNIGQYGWT YLVASCFMFLLFTDLAIYWVHRLEHHPLIYKHVHKPHHKWIVPTPYAALAFHPLDGYA QSLPYHIFPYLFPLHKYAYLGLFVFVQFWTILIHDGDMISGHFLERYINSPAHHTLHH LYFTVNYGQYFTWADSYWDSYRAPEDKLDPIHESIKAMKKKGLIDEDGNPIPQINKKD L L199_002450 MSTASDEDVIKSWRRLVLIYHPDKKWLGGRHFGNPSVNDDDEND DDDNDIRLINEAKSILLDPMKKQQWLDSFSSTSKITGANEKEPTKTGPHIFRNISLDE FTPHYTCQNNHNQDEDEVEDEEDQQPEYFTYPCRCSSEFKITLEQLEDNVEVVGCEGC GEWIRVGYEVVEEDDQHQAQDQNQDRNQDQNQAQS L199_002451 MTDNAPIDTQLTKDTPSSDVASSPVPAPINPSASPSTQPVSLPP ASASSTEVKSPTETKAKTKSKGKGRKTKARPRRRVADSESEREDGAASDGSLTDPSSA SDSEPESEEEEEEDEEEEVKKKQDEPQPKEETGKPPVDTDKSGSPAKVTDNAKGKGKG KVGPNGVKREYTEEENKRYEEMKARRKEKQKAKRAELKETKRKEKESAKAGTDGKVKN KEIEADEENTVPLVADGSPPSQSPVLPNQKGRRGSKSQRRQSVVDDDPKVVPRQGKFW THDQRSDLQPQSQEAYSAQGGRGLPDWRGRGGFRGGFRGRGGRGFANNPSAPFGRFQQ QNGTAQGQELTAETPVESEKEDGDEPVLAMDRLEKELAKKERSQSATTTAPTQPKEKK WGHEAFEQIQTEKEKKPVVSPAVAPRGGFRGVPRGRGRGFGPRGGHFGQPFRQPLSSL PFHPANLAANAAAAAKSASSASVTPAPAPASAVAPTSASTPLTQTIVPLPKAEQQSTR PDADDLLGESSQAVTIKLPGSTNTVEVAVSHPSEQNSAPAEVATESVTAAVRTPELNA SGQAILYTSPVPPPQPVPTQQSQYQPQVSAPPPPTSMYPNGSAPSPFQPGSENGSMSS AGMSHSQFIPTHPYPPQNSHLQQNVVPSPSGYSMGSEFVPSSRPPQVHLNGNNGPSRP FYPPGAPRSYPAQNQNQTQRPPIQPFYPSQPQSFEYAQSHSQRGSFSGSQQFYPAQQV HVNGYIDGRGSPYNGGSLSPYPTGNGQMNYFAPARPSQKIQIKSPSASTSGQANDKGD NIPGQPTFASLTTTSSNHTDMDNMIQGGYYPQHYNPYQTQAANGYDERYYGLQQQQPA YENWQNGQQQGYTGYEGEYGY L199_002452 MTLKSTEPSSTDAVPSGSTQESSEALHSWNQYTSTFQLSTMEPL ESIRHLIFQILIDEIPLTLIRVSKHFYETITPKLYQVVELDKNNTHKVFWGMLIQLKE KVDNPNSGPNQPKTSDESTGKKKEKEEKNWKIGERKLNLLKMIQYITFEDSQSVDKFF KKVKIFDSVDHLNGLKEYQRILTSVKHVRFGKDLVGSLSTDFTKLRRSFFDRMTYYGL VVILKKSCFTVKIPNNPFPSV L199_002453 MVTMLSGYLLAVFGLCATALAIPSQIPFSSIDNVRKIDTWALNP TGDAAVTRVVSGSQHELHLLAINAQFTVPSVLYGAANAQARYTFLDDQTVLTATPQSE GHEGAWDLTTQTLNYTTLPPAYPPSASKANKLGEVALGNDLKELVHSEQAGVLTVVLP EDLVLIEVAQHEEGWTIKEEPRIVKHKDLIYLFDLRDTSSSPVLLRHSTKSQLTSTAF GDGENIAWLSDHRLWLSVGRDQWEIQLNFDLSSEKITFSKDGKAIYLVAPHDDQQSLF HLWAPSRSETKPITPVRIPSNGTIHSAIHVGITPLDHAHLIGIKSDSAKGEGKELWVI SHSPHEDPTYNYENIRLTYFT L199_002454 MSASTRGKDFSLDGLFGVKGLDVVITGAGTGIGLYMAKGFAVNG AITHIVGRRQAKLEEAKETILHLNPSAQVVIHAADISSRSEVDVLAKSLKKVDVLINC AGIVLPDPPCTHLTPLPELQKALLASPPETWSQTFSVNVESVFYLSVSLLHLLAAAPD GGRIMNVSSIGSTMSDPNTHQPAYQASKAAVNHLTRLLASKFREHGVRVNAISPGYFP SQMQNPNNPNGMLARSKELVPLKRGGEEEDAAGTAIWLASRAGSYVDGQIIPLSGGRD WGY L199_002455 MANHEHESEPPPSMSASFMSERTALLAKARHKREEPGFMSRLDY FLVMPALLSNTLLAAFESTIAASTQSSIGAEFHASDNIAWVATSYLIVSTALSPLYGR ASDLFGRTTVYLFAVIIFTLGCLLCGLSKSLGQMIAARAVCGIGGAGLITGAQAVTWD ILPSRLRPLYQAFNNTIFGMGAAFGATLGGFMADTIGWRFAYFVPVPLGVFGMTVFLA KVRPKLQELREGEKRGQIGASDIDYFGSGLIMTAITLLLIVTNLGGHEIPWKSPLIPI ALSGVVISTLAFFRHEKKAPLPVLPLNLLGDRHMTSLVLFNFFASMAIFGSLYLIPLF FQSTLLTTASVASRRLLYPTLSAPIGSVLTGIFLHRFREHAYITQRLGAFILFSGTLA LLALSFEDNNGKGELQFGVHLIWVHLGMGIGFISSLMNVLDMAGTEHASASSMVFLIR SLGGVIGIPTSQAVLQNVLLQQLRSNITGKGSKRTIRAIRESLSYLNSLEPELRAKAI NAYVVACRAAFTTLAVVGGLGFVSLLLGIGAYKTHPINDDWEEEERDAEGNPATNPPL SSGIPTLE L199_002456 MADTLPTTTKDSPSASSSTTPTPTNQSLPISQRVVTRFQNLIDA PNSTNLISIYACFLTGLTSSPSFTACYIWCGFQTGNVAQLGLAIARTFSPLGERTYGF QKPDQQALTSLLSFWIGTSLGRLGDKIGARRRTWLVLATFIQALLAMAASLAAHYCGQ DGIATHRGDPSWTNPLGMTALAFISATMGIQGIVGKRVASPMNTTVVLTTTWVEIFND PLLFAFKYTPSRDIRIAGGLAVFVGAFVSRAILDGGGQAAALGALAGFRMIQCIWWFF IPDKPVKVDKA L199_002457 MAISYPIPKWDRPAPTSYDLNWADLTELPLDLFDTPEGKAELVK RVKHALEVEDLGFWSVTNTGFSEEEIEHQFAIGQAFYNLPLEERKSNSIDAKNGGYLG YRAAYERTINGTDVLDNMELLNVPKYTKDFADTPRHEIIKAHEAEISNFHRRCWYDVA RKLFILFALALELPENYFVDRHDYEKVSQDHLRYMMYHPRSEEDDAKCNNLWSWAHTD YGSLTLLFSQTVSGLQVKFADGTYRDIKPKKGSIVVNVADTLSFMTKGYLRSTIHRVT RPPPDQAHIHRIGVLYGCRPNDDVPVVVAPSPLLDRLGYLTPEDKLADEKNAVTAGEY VAARVKAVHGANTYGNAPGTKFKHGNLEVLENFGEVKNGSGVSI L199_002458 MSSVDSSEVARLREAEKRVVRKIDRLLLPIMLMTYGLQYYDKSV LGTAAVYGILKDLDLTRTINGVTYTTRYSTATAAFYYGYIVAVLPMGLLFARLPLAKT AAVCVVIWGLVCILTVVCHNYPGFVAQRVILGFVESAVSPAFVAVCALWWKPQEQAKR IGFFYSATGVFSMFSALINIGLGKTGGTHPWKSMYYFCGSLTIAWGFVIYFFLPDSPL KPGRYFTEEEKQILIKRFEENPWGNTQQTIKPRQILEAVLDIKSWLYLLMGAAIYICN GSVTAFGARIISGFGYSSLQSTALLVPGGFVTVVTIAFFSYFADKYKNIRTLLLPLSC IPVVVGALVVWLAPWHPTVGPLIGYYLVASFGAPYVLLLSLASANTAGATKKGVTSGF IFVGYNVGNIVASYLVFAQEKPIKYRSTWIAVIVCMVFASAGSIALRFMYIAENKRRD ELARGGNKNANAIEGNGSVESAEKLAGEGHTDIPIIENPDRYQDKTDKELLEFRYTL L199_002459 MNQLEMEFPESGPSRIPTTPRSDQISNGNPNSADKGKRKADGQH RPKNLQACDRCRTKVSRCEPLDTRRDLCQACNSASLTCTFDLPLTASRTKRIRNGLYQ SHGAVWSMDDIARSPRALEHSDEGRMYVDRADSELRQSTPTVIPRARSVLGLTPLRAT STRREGPTSISYILHSTPTLPISYLTEYDEHHNLSMIITPPDSGNGYMQVTTPNRPSP DSSDPPSHVIEALRSPSWTELVNRLAETFLVHVSPLIPIVTREDMPEVTQTLCHAMAA VAAARRNCPKEIFDCLNYIVTQEMYEQDTLSDPSRQSVQTLLVTCLVDELALQSGAAA SESISRTRLVGAIRLAQDLSMDQSDTGYPDAEADRRIWQCAVILDQWNAARTGVRPIM PSHSLPSDVSGVAGRRENTFFHHLFTLTLILSDILIKIYGPNGINMTKNQEIQDIRVK LLRWKEELPPILTFNGAWSSLPAGILHLLHTTVTFLLYRPFMRWSFICPSHIDLSLDI PVWVNLNPATRHALEWATNQDELCDLLFFGPYALGLMGLVQYHSYARRREWDGVVILE KFRETTNRWIEGWGQSRMPLQSAQLEVISLLYSCAQKASQEGFTSYDPVDTSRRGLNP IPGVLNRLPETVVHGVTFLRDPTHPKGGVLVATQKAAMEIKDLPPDTVIIGGHPNSGS AQLPDLSAILGSAPTTTNLSSSSGVQAVSSSNISFNTANMNMNTAGDGGITMSTPDWD AIVSSLNYPLGNNPDAN L199_002460 MTTEKYTTKTNNNFDSSNAEKGISRADTGATATSQSLPFKPHTA PSAKVLDALGANASKGLSESDVRKRLEQYGPNRLKPPKKPSIFNIVLRQIGNAMTVIL IAAMAVSFGTMDWISGGVIGALVVLNVTVGTITEWQAEKTVASLESVGAPQATVIRSS DGRESTTKVIAVEEVVPGDLVLLKNGDIVPADGRVLEGHCSNLECDEAFLTGESLPVA KQSDPVDEEDCPVGDRLSMVFSGAQVTKGRARVVITTTGMNTEIGKIAEALEAKAKKT DTGFAAYWYKFKVIMGVAETTPLQIKLNKLAYFLLGCAIVIAIIVVASTGFKDVPLSV ATYAVAAAVSILPASLIAVVSLTLARASTDLAQRNALVRRMDAIEALAGVENVCSDKT GTLTVGRMVVRKFWVPALDPRANESAPLNTRRGQAYSFETGSDPFYPRGEVRSDREEI SPGGAVLDLKRKPQRKLSGDSTPENDPDSQEIALQEQVILVDELEIGLRDLALCASLC NQATLSRPADNESNWEANGDPTEIALQVAAHKLGHGKPFLTHSRPNPNRADSVRSGHS GRPPIAGSRGHYEQIIEHPFDSTVKRMSIAYRFVPDDNKEAHVQCFLKGAVERVFELC TSVHGEPLTDERKKDIMVKVDALAAQGLRVLALCGKRLPSKSADEVKAMPRDQFENDF AFLGLAGIFDPPRKESPGAVADCLRAGITPRMLTGDHPATATAIALNIGILEKAYSKE AVMTGQQFDALSEDEIDKLPELPLVVARCAPETKVRMVDAIHRRGQKTVMTGDGVNDS PALKRADVGVGMGTGSDVAKQSSRIVLSDDNFSTIIRAIRKGRSVFKNLAKFLLYLLS GNLAEIIVLMIGLAFKDENGQSVFPLSAVAALWINTLAAGPPALALGLEPTAVDAMEQ PPTSFHQIFTLEFYVDLVFYGVLMGALSLVNFVIVLWGYFPGDLGRYCNEGDSDICDP VFQARATCFSTLVIMLMIHGLECKHFTKGIMQVNLRDNKVLLWSVLVLALGTFPVVYI PVINDKVFLHGSLKWEWGIVFGMIFVYLGCTELYKWCKRIYIRRTHVPTPTRGPSDKT LKMENTIAPV L199_002461 MSSSPPLHPSTTHYEDEPQPPPPMTPLDPPDVPAILRSKRSWKR QILSLDLPRPMQIALTCFSIAISAVTANGVYCWGTYGPVVAKLLELDGTQAQTIVVGG ILGVYLCAAPLGALTDKYGPRTGSLVSACLGIIGYQSFSAILRKASPDTSLVHLYLTA AYFLVGAATVGSYFAALTCASISFPSHPTLALSLPLSLIGLSALVLSSFSSLPIFQAE GSTDLDPARFLAFLGILTPSINVFGWLCMKVIPQPELFGEIKLPEDIESRQHADDDDE DDDSDDGSDLGEMSESIGQLLRLDERTPMLIGGIEAAWEEVEMMEQGKDSWTAKDLVM DYKGFWTFGLLLALIIGPGEMVVASIGSIITSLLPPTSLNPSSLIVNLVTTTDQSPLA LRNKHVFLLSLTSTLSRLITGVLADYLAPPLTATPNPAHRRDPTQHSHLFIRKRPVRL SRSAFASIAGSTLGLVFAWSAGYLSGKGEDLSVLSGGTGAMYGAIFTLVPAIVSHHYG PTNFGLAWGMISYFAALGSVVYSYLYAYLSVPESGNPSDQCYGPHCFRITFVVCAISC FVGAVGVVILGRKWKV L199_002462 MRPIVRFSSTPLSRGITTSAPVLAVAKPKKAAAASKGGKQGFNQ KKKDAASGGSGGSGQATIALKFSMSGQPPDLSDLKRLQPSNYKSENVGKSSTFTKTSF DKLKVFGLSKKVERELSSNGGPASVIRQLTIDLSKQLDGDKGKSSKDARYVLTGERGS GKSMLLLQSVAYALESGWIVLFNPKATEWTNSSSHYIYDSSTQTFNQWQASQQILSTL LSNNKDKLDSIKLPADISLPQGKTAAKGSKLSELVSVGSKDDRVATQALDAVMGVLER QTQYPVLWAIDESQTLFTTSRYRTPDYTPIEPYHLSAPRLALDFMSGRRSFAKGTILT SLSLSDPTNLPSPSLIQGLALSSTQPLTPYTQLDPYHLSHASSNLKKIEVPYKMSDVE ISGMYELLVKKGLTSENQSDGLFMELKSSSGDNPYELKRGLNRLRASLTI L199_002463 MAPSKTSKASTKPYERPSSSSSSSAKGKGKAKATPLNEVNIGAP STLGQSSRKGKKAWRKNIDITVEEGALERGREEERVTGGPVAQKSNNDLFTVDVTGDV EVGKKARRAHKPLRSLSILNERSAVPSLTSKPSSSDTKTKAKSHISSAEKERLRRIAR RSAVHPDDRISSADIRKIDPSELTKDVWTETQEEETVVKGGFGEETIIKKTVKVPTTL AKAREIYLNSQVENGVHLEIPQGGLSYNPTLESHQSLINDAVQEEIDLLKREEEQLKK VEELGGVVENRRNNWIPSEFAEGMAVGPGELSDEDDQSDDEEGEVVVKKQSKRKTTAQ RNKALRAKMAQQAAKAELEKRKLHKSVSSVAAYKRELEKKMKEQKDKEMIAKLAKAQK AKMGLQEGEKIGKYRLNKKRVEVQLGEDLAESLRQVKPEGNLFKDRFLALQKRALIEP RVPVLPKKRVTKFKEYEKHAYKRFE L199_002464 MPPSPGKIHQLPVKVLYSIDTSSQSYLTVLHDRQDVYVHPSSPN YATSEEQGKGLVGSCTLKAIARGICYASPECIPNTTSLDFSVYNLDPTVRRLSTSSSS RAFPSSPSEHPSSSTSSWVGRGFLSWVLSENGNGSTLIKGRLVREYEFSSIHFAPEGG LEGLMAMANSNGGGTDGEDSDGKGWGLEVGISLRQLNPDGKIEFQNRKEFEEMLSKGK STSISASSPIRGNSTIGGGSTPARSSPLINSTINRTPNVNSTPQHPGPSASSTATIRP PPIAQPTPVPAQQQQQQQQSGQSSRPSSSLSHRPSSSSIPPSSLPPLPSSSDSNVPSS RPSSSIGESRPPPPVPPQQQAEAGPSRNREVTPPPVPRSKSPPPSTPSRHKLHALLRA DGMMSPELARHLASNPVLRNLLKAVPSNSNALTALRNITGANKSPTSNANANGAKRST TNDKDKEKEDSPEATTPTPLPTPQRTTRSTQQGQSNQDGCCNCGTMVSACWRVKKMKD GTPRKVCDDCGLYFNEHKKMRPPELWSQSFKPGQSSTSSAQQQQNGGGEKRKHAIELS DGPASGLRSSPRLNRTNSDQQTHTQSQNQSQSENQNQIPESPRKRQKLKSHGNPPPPS PRRSTRNSSKNDNVDFGAEVFGFSPSTSNSNNNSIFGTSPAIPITSNNSQDHQQQQHH HQMQSFGQSMSGHTHGNGHNGNGSGNGNLEELDISAFLATFENSLAHTSHHTSTNGTG IGTGTGLTDGQGVEENNFNFDSLFNGDSSMGIELSQEMQDLLNGWESQLNDPNYLAGL DVNIPGLGDIGNEPGQ L199_002465 MNPPSSSSAPYLRLNTPRFSHNNLSFSPYLENRFALASGSNFGL VGNGRVHVVDIDSNPPGGSGLRLVRYFETRDCVFDVAWNEQHENHIVAGCGNGAIKMF DVTLEGLPIQSWHEHTSEIMSVEWNNLNKDQFITSSWDSTVKIWSSNRATSILTLPST KPQQLYNATFSPHTPNIVMSCGANGFIDIWDLRMGPGVKSPTLSISNSSSGSGQMEEV LYCDWNKYDPSLIASASKDGTIKVHDLRSAGRPGSARVVGRHDLAARKVFWDPHRREG LASSGYDMTCRVWNINQPTPSPIHVHSNHTEFVMALGWSLFDPGLLASAAWDEEVHLY RV L199_002466 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG A L199_002467 MSFLPRLFLRPSLTAIAGPSRIPLPASSSPFSTSSINCVKQKLK SHSGCKKRFFANANGMAQTGKSHLNTAFSTARINRLAKSVYVTKTQGKKLKKMLPYA L199_002468 MKFTSIALLAALAASASATPLRIYTLTSDPLPPLDEIKTLPIEV KKPCHGHNDQPSGPLGSLLAKFGLISPRPSHRHGHAFDEKMESIHESLMDHFREHMNE VEAKVIPFLEGGSVRLHPLENDLTEQESELTLSSAPEKLKIWRYLEDDKWLIKSGING EWRVPEEDEIPPKVVVHHNHENENGNGSEMEHPHRHGHGHGHGHGRGRWMAKTMTGRL HRALKNLKPIESICLAFVIGAGLGSIIHFFFMLFLLSFRYFRSGCPSREERRARRQAQ RERRKASGSVRFADQVEVAEQEELLPPYEAGTATDVVVDEKAESPRV L199_002469 MSVMLETSLGELVIDLEIDKCPRTCENFLKLCKIKYYALNAFFN VSKDFIAQTGDPTATGTGGESLESFLYSQNPSTSQQTKPSRYFTPEIINSLKHQSKGT VSMAVAPTNPPGCGSQFFITLADGIEYLDGKHAVFGHVIEGLDTLDKINEAFLDKEGR PLQDIRIRHVEILEDPFPDPPNLIPIPSSPLRPPDSFSSIRISDTEDVHATLPEEEVE EQRRNTAAASSALTLEMIGDLPFAAVRPPENILFVCKLNPVTADEDLELIFSRFGKIL SCEIVRDKRSGDSLQYAFIEFDERESAEQAYFKMQNVLVDDRRIWVDFSQSVAKMSVS QAISGGRGRGRGRGGGGGRGGYGGGGRSGGGRDNGYGGGRDSRGEDRRYIASTPRDVR GTEGYGMVFDQSSGRGSERRKSRSPRRHRDRSRSRDRRDDRRHKDDRDRDRRDRDDRR DRDRYRDRSRDRHRERDRDDRR L199_002470 MGFTQSIVLGSCSFLLGMVFVCQVVDIPLLYMPVTDEAIQNAYT FYEIWWESPGAVKALFHVALGLPLIALLIKLHKWNESAMFFDGSCIAMHVATIILYLT VHIQSLRTFLPESTTLTTYSILPTPPPREIPPTESEKIEAVRVLSAANALVGLLTLGV IGMQIGQEYARRQEEKEQREIDRKIAVETETKKDQ L199_002471 MGLAITASLRKALSIAQAYRGGWMGMLRYWGECGVGGTINMEDE VAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKR GILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKQNREKMT QIMFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVTHTVPIYEGFSLPHAILRL DLAGRDLTDYLVKILMERGYPFTTSAEREIVRDIKEKLCYVALDFEQELQTAAQSSQL EKSYELPDGQVITIGNERFRCPEALFQPSFLGLESAGIHETTYNSIMKCDLDIRKDLY GNIVMSGGTTMYNGIADRMQKEITALAPSSMKVKIVSPPERKYSVWIGGSILASLSTF QQMWIAKSEYDESGPSIVHRKCF L199_002472 MPLESCMLILDNSEYMRNGDYPPTRFQAQAQAVQTVFTAKTDSN PESAVGLMSMAGNSPSLLVTPTNDLGKLLSSLSKVNISGISSLSTSISIAQLSLKHRE NKNQRQRIVVFIGSPLSQEADSKENLVKLGKKLRKNNVLIDVITFGDEGRENDGKLNA LIEAVGGDESHLVSIPPGPRFLSDVILSSPILFDGDTNAAGGSGDVGGGGFGGDGVDG IDPNMDPELAMAIRMSLQEAQEAAARANPQAEAQPATIVPANEPSGPSGEPSGSATLL PSALTQPLSNANDQDIPMVPGDAGLSGEQQGDKEEDEDEELRKAMALSRGDDVEMSDE DEDEDDEAAIARAIAMSLEEAKEEDDKEPKS L199_002473 MSPLQLSSSISSITTTPSSSSSLSSSSSGMRSFPNPVAVFNDRF IFTSLTERQVQAHGRHPYVHPETGRSVLLFSLDEEMHYTPFAKDHGPLNLAFTFQACI RIHDRLERTEARKKPLCLYTTTEPEKKSCMTLIVALYFLIVGRQPPWNAFRPIAPLEI MPFRDAGNGPMDYGLSIQDILYGVDKAMNNGLLDLANFDQEAYQKYEQVDNGDLNILG PFIPFASPMEERWLKAIRSSTKTTSTPRGTVKTTVKTVEKARITSNAMKCVMEVFEKE NVGLVVRLNDELYDRRHFLDLGMDHVEMYFDDGSNPSDEIVREFIRLAENIIEVRGQK VAVHCKAGLGRTGVLIGAYLIYKYQFTAQEVIGFMRIVRPGMVVGPQQHYMLINQMKW SGWAARDQLLRELTAEAAAKEPVNPLATPPTELTNLIPTTSHALQDAEHAQNIILQEA AVKLSESKTSPRSSTPTTKVMHKAGDTAGQPRKTPARLSLRGSHHTTSSPAREELSVI LEPASPPIVIEDSPMDKSEPAIQPVMETQSTPEPEIGSVPHSTGSMRGTKRAAGRSSI PSFRSSPPRVTLAVPAPTLSRSSSSASMSSISSFDQDHRPPKRRSPTGSPLAKNGISS PPPSRESSPAPLPSSPSSHGDNENREEKMMIDGEPDPEGRPPSTPSSRITNKLRRRVI SPSSPSPPVDTSSTTPPPTSVSLLAPGETAINALSGLTSLPKAHIPKRSFLPVRKNVT QNQYFDPKTVTLVSQPPVPVSIPSTPSRKIDQGVKSSTPKRLTANAKRSMLTPPRITE MWGSPEP L199_002474 MSGPLGSLPGMTYDPIRNRYFPTPKGPIPNPTEEDTRPLPPPRS QAGPSGRQQPHWRNPSPNSISQRDESIDPRSNARSRSQDTRRFAQPEYQARTRLRRDD QMRNIESLTLPQPDCFIHASKRARSGSVSLDLMDKSKLHRVGKLSKGRFGVRYGGSGE KTERNLSLQNQILSNLELDVEHHACGCHGEIITSYKTFGDEAYYATTDHGKVIMHHKN GNTAIFSVCAQNLVGMHCDIPRLTMIAIAGGSEPHLHLFKRDPELLDHVFMTHSELDL HRAEIYGVSSFDDVCTLGGAKCLTTVNYTSSLRSFPRRLQSDALAVHQISRDLVYVGQ RSGHVTLEDLRTSPRNSNIVASTMRGKAVVGVKRLQDSAVPWGVVVSGMSHEMLLFDA RFGDKPLRVFEGHFNTFHSNVALAASPDDKTLFSSTSDRRIKAWSVISGDPLMPTTSP ATSSLSPGWDNEPLSDDNPLNKVYEQRVSHLDINDELGLDVVVRGDLYRYGRK L199_002475 MTQPTQAEIFAALSAQSSSSFNRSQPPTSTYQSFEQDQNQDPVT QLTIAESENGKKANSRRIYCPRDGCGSVILLDGVGEWIDVNEGNVLPHDPSSPFPPPS SSHASYWYIPTGPFSFENVGFSRPDQTNFNPLPSHTPKDIQGEITGKVKYLICAECDL GPLGWSFDGGKAAWLDVRRVRYGEVKK L199_002476 MSDPSSTTLPPLPSDHLDHPEQWTTGSEPATEKQKGFIAVLEKQ NPGLVPDEGIAKGDLGKSEASEIIDSLKKGEKVGSDEKEVEAEEDTQKKENEGDTPKK EEGEEGGGKTGEKRKDHPSSQEDIKEGKKGTTVTLTQESEKDELVSSQEIDVDDEKDP KQTTLDGVFGGESEEKEEEDRASKKPKLDHSSSSSTNGKANAAKTSNPSNSTTTDNKP YDEAHPPVQADSGATYPKPTETDTIPGSPTHLDHPENWATGDQPATDKQKSFIKVLEK QKGVSGSENVEGLGKSEASEKIEELKEM L199_002477 MDSDPWADAPSTPKLPSQPSSPVAPSTSPGESSTSKLKPPSSPP APPPEEEDGFDDFDDFDEPAVPIAGPSGAGEADEDEGFGDFGDFEEGDFDAPNDLDGV QNGISGVGLVDEPIEQQERWHALNLRPFPPKGELLDQLSTLLSPLYSSGNINQYLTDD QPRIGNGLSQVLVSESSRDAYAQLTTAPMLKPLDWTRSRVRREHLISMGVPVNLDEVD SHRLSALPPLRITTHASSSDRPVPRRAESLDVNGRYSSSQKGKSREVSPNAQTAPNSA GAKGTRGIGKYGLGVKPEFDVEKAEEYCGLEEDQLSLLPLAALQRLQADIAQTSALAS SRLAWELQLKDAQTQDAATYNGMISELIANAAKVKSAQVSSGGVFRKSSVKRPQSVSG TVTPRRTGSPSMW L199_002478 MSSKDTLWSNRVVSRFRGTSSQTQSQPSTIQSNDPPSPEYINKV SEGHSELLDFIHGKIPNPPSKIAPFYLGGARSNPELSEVSSIQSIANILYEHMKNSND PSDHARAVLALDTEKGIRLVRKRYYLGTQTECECTEPFLFNHLITNLAYSLGKNDVEY CRSRLYELEETASEAWRDKLNCEKTRDCKLFSERCQDVTFDSQAMKTINNMGVEQFKG MCINMASSLIGHKYQLSSCERDLHYKEVDDPFHMDDLNTIHELQAQKYLTPLQAAELS ERCDRRRKVWMENHTGMNSHHFNRIPREERVFD L199_002479 MLATSPKAISPVNDHIPSSPPASKERSQSTTYPRAFLLSLAWPN EHQDHPAAAPRKISRRLSSFTPILRCSVALTDSDWGISEDQSSLFDNQPISRDLPIPS SGERHPCDRSSSGVSRRNSSDDKYGYGMSTRDSETLFSYRSMDDKEGKLPILVDFDNP FGTNENGQIRNNVNDSDQEKDKVHKGIVNGKERDRESIFPRSVNSSTTSLQHLGIRLG PREDLVDQNSLVGLGGKLSRSNSDSSITSSKSVLNWRSSSPFGGRGHGSNELNPFAPP FPLPNTTNAHGSGQLVHPKPISLNEAIQRRISTSSDRSNPRSQSNSPEPLAVAGSLPL PKNLPSSLPAKPSPLPPVFVKRESAALPQPMALPDIAPLGKDWHGDNSLSGNEKRRRA SLLHAGQAISRGSSPLSRVMGGGSAPNSRRGSMVGHDEVTIRARSPAAGDRLRELGMV GMGRRNSHSNKERW L199_002480 MSIRDNNKNNKTRWNRRVSDFMIKSIANSAAMGQGGIAATSPQQ TTTPTSISDYDSPANSPEMPKRPGGLSDGPNARSKTVTVADGEDTFGVLNRHPAVSDK QPSPDKSNDQITNRVTFRVGVSEDKNKRCRRTMEDAHSFVYDFAGVKGQGYFAVFDGH AGKHAAEWCGQNFHEYLLDAILTHPDQPIPDLMNKTFHVVDSRLSHLAQAGKTSSGCT AVTAFLRLEKGDGSDKRGYINDGLQARGLMQGKGEDELEAQTALQPGSRRSSMGGGTS GQMGGAGAPGNGSSSSGGMVRKMSSKRIKDFVKSLTGSGSSNSKDDENALAEDEDDVI KAEDGTKVEAIDPANDPNLRRVLYTANVGDARAVICRGGKAVRLTYDHKGSDAQEAKR ITDAGGFVMNNRVNGVLAVTRSLGDSSMKEFVVGSPYTTETALDDQDEFLIVACDGLW DVCEDQEAVDLIRNITDPQDASKFLLDHAMSNYSTDNLSVMVIRFTHA L199_002481 MSDEGSELEISSAKQTVDPIKSFLSGGFGGISCVLVGHPFDLTK TRLQTAAPGTYSGAVDVVRKTIAQDGIRGMYRGITPPILGVTPIFAISFWGYDLGKRI VYSLTPDRTSQTLSISELAFAGGFSAIPATLVAAPAERVKVLLQVQGQGGQSLYTGPI DVVRKLYAEGGLRSLFRGTIATLARDGPGSAVYFATYELLKKQLSSSPETLPNGEKAP APPLSLPAIMAAGGTAGVAMWSLAIPPDTIKSRLQSAPHGTYTGFMDCARKLIAADGV TALWKGFGPAMARAFPANAATFVGVELSLKAMEKLW L199_002482 MAKSKSKAQPEAPQKSTPSTLAEAQNQPGSLPTDPEQVQLSSSS SSRPKSRSSKKKSKNIPIITPPIFSEEQIIQYHLMHPSVSQNTISPYSIDPITLSSKK RKREGESPRFSHPLPVIWFEGPSHSSSSSGKKKGKKDEKTKRIHLSTRYMQVPDHAVK IESIGNSAAFWLGDLNGSSSVSTLSTNLADSTNNNNKKVEDGKEKENGIGNASDKVKT KTKSKEKKTVSEAEEGMVKGKGKAEVIDNSIKRSGKTNSKSNGNAKSKSKDQDIPQPK PKPTSLPTTTVTPKAYEPVDLSDTSDSESESSTSSSSSSTSSSSDETDSDSDSDDESD SEPTTDPSKTSNYNSSSFDRPPPQQPDPPQSTTNDNKETPKIKTNGNASHSTKKRVSL LDPSTPVQTLPPKRLEQLVTPTTTTVATPTPSGEKKKNKKRESTGGKGKKGPKWVTET PKVK L199_002483 MTTPNPPQRVTRSMSNHPPSTAAPPPAADTAPGPPVQARSTSLN QVDTNPYKVDFVIPYSISVDKSQDRLQAQQEIKDGYEELLRVLESEDGLRISTRAKPS NNSAKGQEEIWVFVGAEDGKVVELVEREKSLDQAHNLPPHSNPTPPSPSTRIRLLYNL LTAPAIQDGLAITPGQGKWKRVKSIMALHDELADKEWVEKWTTGGDWRIGLLKGLDGK EGQGLGEHQPPPVHLYFNFLTTYTLSLLPLSILSVLFYLFTPSDSYPPLYAFLLSIYS TTFVAIWRIKERKLAIKWGTRGCESVAVGRLRPEYVANLGLDKRENKEDAVDLVQAGN DIKRDIKVTASIPIIIACGVGLGVVLLGIFMLEAFVGQAYDGFGKEVVPLLPTALFAI IVPQIVAAYGVLARSMVKWEDHPTPVGAEKSLTAKTFAMNAIVAYLGLFLSAYIYVPF GSFIMARVQHKLTKQETISVSDTPEKAGLGPRTSGKEQKGIKAGRLKGQLFAYTVTNQ AVNAFLELGLPFIMRFVEDWRAGKTTLKETIKTRSNNDSTEKVPRDDEEVEKRFLEKV ERELALPDYSLFTDYAEMVTQFGYVTIWSIVWPLAPVFALINNYVELRSDALKICKHV RRPVGDRVETIGSWLETLSIISWIGAITNSTLIYLFRPTSYHADQTPNPNLTPIPGSA SLHYMIDQYHISHNLKTVLPLLIPLGLIALTASHGYIILRWLVDGLSERLLWRNSEEE RLIQKLNANQRQSNLNSTASTLTRREKPRVWERDEKLDRFWNGGEEGGREIGRVLKAE L199_002484 MLRFRPVRTRIRFPPNLAGQIRYRSFASRGGPSSLAQIHREPHL NRPAYPVISSSYLHTSSPTPAVSPFKLHDIGEGITEVEIIRWYVEDGQEVAEFDALCE VQSDKSVVELTSHANGIVKGIQKSAGEMVKVGQTLCEIYTAEETSEQEAPQDSVQPDQ KPGTEELPDSKPIANQVESSAVKAAEGLTETLEKSQEPGNTNPDRPQPLPEAQEHDPI QLQGEASILPSPPRPKQFSLDRAEAHQDRSEEYDDKNRKGNIKTSPAVRTLATKLGID LSMVKGSGENGRITKQDVESHFSISSGSYPSSETGGKVRSYRDDLPESTRVEFGRTRK IMFRALGEQGKIPHFGYSHTLNLTPLLPYLKASNPPTSNSRDKQGYIASDIPSELVTN PIIEEKGKTTILSFLVKGLILALQEHPIMRSRLKEDGEKRFLEIGRDGVIGVAVSDPK YGLLTPSLPPLPPTTSVATLTSHLHSLRHAPNKPSTPANVTISSVGGLGEATGAMPIL PPGGCVAICAVGRAKWDMEWDLAHGDELRGKSVWEMDKSMVERGRTRAVLRVPVGWSG DHRILEGAELISFTETWKKYIEQPWRWINVRSS L199_002485 MEPDRVSHDVRNVAERLISLESDQYGNALDQYFEDNVVYESRGI HLQGLNEFKKYLNLGSIISFDSHLVGQPHYNEHSKVAKFSFSRTIYLPTAPTWVPASD SINKFLNKQHFRPIFDTELHLTAKGQRGNEGIRYVVSQVGPTNRRNATFIERALPFLF LRPLITFLVLIFANITSFFQRHPYNQENPVNLALSAVAESWATYRGQSIDRENYPETI KQAQKLSEKIGQAVTQTLDFTTATAHKATDQARSIGLPIDQTQHLIEMGLHVPSAALH TAGNVTLSALHTAVIIEQASVSAVQSLALNAIGIASGTAHVVEDQAKDLGLPVDEYRE LTFKRAHEVGEWFGVLRAKAEEQGRRGIEAAQETVQQVEQATRQGIRQAGETTQRVAE QGIDVARQAVEQGAEATQRAAQVVKEEAGPTADKAKDVVEEVTPGGDGASTSTDQGGE DIVPRLSSGGHDPTASGSPSYAAAVQE L199_002486 MSMIRSSFARLCPLVRGTRTRFISTSIRLSKTNDPFPLPFSSPD LAQAQNRLSDDAEEWAMPSPLDRTGEDEQTLRSRLIYQTRKRGTLETDLILSTFAKEF LPTMNVEEMKEFDKLLDEPDWDIFYWSVQKREPPPRWKDTSLLKKLIQHAKNEGKVVR MMPELMQKEPELQKSR L199_002487 MTSEIYPPRARRYIDNQLVLPPRFYPDPGPEGFYPELQYPVQIR LGIRFNPLLQKQVYFQMNAVYIAGMSLDMTKDKLKSHLSGITDNFIFTEFKKHPHREV MLACMEFASLKDADDVICFVRAHTRIFGGNRVIARYSDIQPNAESTRDILLSRLQHYN FPFFRLMVVRACHSSSVKN L199_002488 MYQKYRPYASLNPVKSHSGGKPPFSPTISSSSPPFKPVVCKTDT LDPSFKNEFKTPGSREIPGSLPRKPAPQGSSNKSDHNVSSDLGPSSCHDPPIPTGPKS LIERISFTPHTLSSFPLGNFNLTNSVVAKTPETGVPIEKMQSDQLSKALSALAALSHK LKMNSSNLTQIAEPTRANRGHRFHSEDNERFSVGSVHPIRQTYRRPEKGFSTSPRRRY TREDDRRRSSSCSSFHHEGHSGRFSQRRSSYRTYEAYYSTQNRHRHRHGHHNHNRRYD HYRPKSDYRPTTNIIKDELKGFEHHFKVDEEEEKTIEPKYIGISEDGRRLIFGSKRRE VELALLAAHKDEDIQGAQSAGELPVEENRTGCSPSERLGSPLRGDHESVSQVDVVDAG VVAEPNENRDSNERGLSGAIQAQTVLRCERGSESGPGDQEEEQQAEESELSTEESKEG GEISEALDHIDNLNNHAFQSQTEVVRKCQLVGKTSRNALTTDTLSRFYSKSSSPNFDT GNTLTPKPRVYRISDLSSDILDLMGIQLRYAFKNDNLNRSDRWEDILDGGGKGKVLRL AGRDLGIRLGMSNSEFEIDGILRNGSSHSVDDESDRACKRSRSRSRSADNEEEVERLG RKRKRIII L199_002489 MLPYIDRQLSSYIPFLFVLVVTFAQFTSSTSISLPHHSQEKLPG RFVPFSKLSTCPSLPSRKPPKTSKDVRPDDFKVVIALGDSITAGLLARGSRDDLSQLS SKQRPMGIQRIPDIVEWRGISYPIGSDDGAITIPNILRHYTRNVTGVSAGHHRPISCL SVGCGAIHPEGDGLNAAISGSTSNSLMSQVKDYIIPKMIELDVKKEDWKYVNIGIGAN DVCAFCLTPNSTAFPFSGTPQHFAMDIKKAVNELRKHVPNMIVNIIGLFRVSDIYKLT LKDPYCQPPHLPIPHLALECSCALIPGPVGDYTRQKMDELGQAYDQAVWDVIREWEEE ADPSFAAIWQPGTSVDLANYPIEALSKIDCFHPSELSHQRVAGGLWNRLTLNMKDKYR PIPWEEEPMIRCLEEEDRIKVGEVSRMLN L199_002490 MSSAAISSSNPAREPGYSEKDCLSCRIIGASAFIGLGGYAIMQA KKQNAFARVRPAGGPMIAPKVTGILGTVFIGLGIGRLFMPPTRA L199_002491 MSSTIQSITNAIPSLGRARLLINSADDVVVVSACRTAITKAKKG GFKDCLPEDLLAAVLIETLKRSKVDPSKIEDIAVGNVLPPGGGANVARMAQLYAGIPY TVPINTVNRQCSSGLTAVAQIANEIKSGEIDIGIGAGVEHMTAHYGAGVLPERMSDEV LSNPEAADCLIPMGITSENVAKQYGISRDTQDTFAANSFGKAADAQKQGKFKSEIVPV KVKWSDPKTEDEKEIIVDADDGVREGVTKEGLAKLKPAFSKDGTTHAGNASQVSDGAA AVLLARRSVAQKLGLPILGKFVVSAVVGVPPKLMGIGPAFAIPKVLQKAGITKDDVDF YEINEAFASQAVMSIQHLHLPFEKVNPVGGAIAMGHPLGATGARQIATGFAEAKREQK KVFVTSMCIGSGMGMAAVFVNEQ L199_002492 MATKIVSTSSRLLPISRATFASSSRSPRPIVRAILTVLPHPPSS RSQPRHLPSRRYASAAAVATPSPSADVHPIPSRPSIKVIPPSLEEIKEEGYLDDDVQL LPAEEAYLNITPDALQQLVNITSREPPELIEQDKLALRVGVESGGCHGYQYTMALTEE RGVDDYVLQPEGVHSIPVVVDLVSFGLLKGATIHFATELIGSSFRIQDNPQAKQGGAC GCGVSWEAA L199_002493 MFTQLFALLPLLAALPAANAYFILAHPVLETTRLDPIVSPGAIG SHVHSIVGGNNFDREMTYESTQQSTCTTATVSVDKSNYWIPQLYYYNPSGENYQAVPV SYVNTYYLPRYSPGETTVRAFPDGLRMISGDQNRRTFDNDADSKAISYVCLDYYNDHS GDAAWAQRNSFFEHNCPQGMRAQVFFPSCWDGVNLDSDDHKSHMAWPSGGVDGGSCPS THPVRLVSLFYEFIYNVQNFPYNNGTDPTWVWANGDTTGYGLHADFLNGWPSYNNGTN VLQQALNNCNDDNGVGGELDNCAPFVPYLDSASASACSPLNDQVDEDVGFGHYIDKIP GDNPIWIGNSTEKPSYGNYSDDGIGYTDFKSVIPTGYTDVGCIAEGTTGRALAAASFA NDNMTRSVCVSWCQDRGYPLAGIEYGRECYCDFAMRNGASNTTLLASSKCGKTCANNT NENCGGSSTLELFNNPSLYPVSKLPTGWSSNGCYTEGSGSRALTGYSFSTSSMTQETC MTTCQAKGFAIAGIEYSSECYCGNSFATGSVPATDNCNMVCSGNSLQTCGGPSRLTTF KYSNATVSASASASASASASASASASAKVSSSSSAVVSSSTSKAVSTTFSSSSVSRGS SSSARASSSSSIRSSSTSSVAKITSSSSTVKSASSSSSVAKSSSSSAAKSSSSSSAAK PSSSSAKPSSSSSSVAKTTSSSSSLAKSSSSSSSSSSSTAKSTSSTSSKPSSSSATSK PSSSSSSTKPTSSSSSSKPITSTAAPAPAVKIASTQKASSSVAASSTSSVAASSSSSA AKSSTSSVAASSSVAASSSAAASSSAAASSSSAAASSSAAKSSTSSVAASSTSSVVAS SSAAASSSAAKSSTSSAAASSSSAAASSSAAKSSTSSAVASSSSSAVASSSSSAVASS SSSAAASSSSSAAKSSTSSAAAASSSTSVAKSSTSSLVPSSTSSATPSASASAGAYMA YVGCFHDTSGGRHLNGSTSAGSNMNNEVCTSYCQSKGYAYAGTEYAQECYCGNYLNLT LAANEAKCNTNCRATNPTTGAKEICGGGMILSVYTTGQNANAKPYGTKPANPVKRLTS AKFRL L199_002494 MKSLRLVGNASKARGSRPLHSSVVRMDDESIRLKDLYAKFQDPT SHYHIPPGTNGPEHEEDHSSSRDPRLISRFKAGRDRAQSKPSSARLGVPETRPSIQWS SPSPDGGRSTPHDRPWLEDGSESKKKALEYFREQGYDTAGVLTWPVAWGDCDTYRHVN NVRFLEWIQSARIRYAESWAGEMPEGYIQKMTAGKGTGFILKDVSLKYIAPVNYPDTV MVTNQIHSINPDKASYGHKHLIWSLRDARVAAIADSTIVMYDYDNLKKGKMSDEFREL LEGVVDSYGK L199_002495 MAKAAKSAPPAVAAKDNADKKALKKSKKDGEKVASAPATAKEEK KSKKSKKAKTPTPPPSESSESESESESEDDSSSDDSSSDEEEAKPAAAAAKEESSDDS SSDGSDSESDSDSEEEAKPAAKVEEKKVEAKEDSDSSSDDGSDSSEESSSDEEEETPA KEEPKTNGKRKAEEEEETAGPAPAKKARAEDNEEASTNVFVGQLSWNVDNDWLKSEFE DCGEVVSARVVFDRDSQRSRGFGYVEFADLEASAKAIAKNGHEIDGRAVRVNYATQRN PQQAVEKRAKAFGDQQSPPAETLFIGSLSFSVTEDQIYEAFGEHGDVQSVRLVTDRET GAPKGFGYVQFGDVEQATAALNALNGQAIAGRPIRVDYAPPKRNDGERGGFGGGRGVG GRGGGRGGGRGGFGGDRGGRGGGRGGGRGRGGPPRGGARTGGIVKAEGSKVTFD L199_002496 MFSRSASRSVVSSLRSLQAPVRHYNSAFGSSTPTSAFAGKKGSD GNYTVTLIPGDGIGPEIAQSVKEIFAAAKAPIKWEEVDVTPILKDGKTVIPEDAVTSI KKNTVALKGPLATPIGKGHVSLNLTLRRTFSLFANVRPCVSIQGYKTAYDNVNTVLIR ENTEGEYSGIEHEIVDGVVQSIKLITREASERVARYAFHYASENGRNKVTAVHKANIM KMSDGMFLTACRAVAKEYPNIAYDEDLLDRVCLRIATDPTPFADRVMVMPNLYGDILS DLSAGLIGGLGLTPSGNIGRDASIFEAVHGSAPDIEGKGLANPTALLLSSLMMLRHMG LFELADKIEKAALSTIAEGKAITRDLGGKAGTREYTDAILAKLK L199_002497 MSYFSDTSSTYSAAPPLTPSPPQHDSQHHSNSYSSPTPYDPTSP PASLRNYSSALIRQMSAGLKEAMKDLKDDRGGPERKFDVEYGYDEDPIDRHVRLFLTP RRNLENQKLQTRGKGLPELGLFPTATVPQPSKAPQHQNQKIPATEPRPTSNDNMLISS SDTKDQDTLQRFQPGLIHFHRTNSTASSSSSLDPPRPGFSRMSSSMSSGSAVSISSEA SFEAVKAEDIISMYGGFATSTSSQKEDPFEYDYQQEEEGQEEDIELEVGLGRTSIFST TSTITVKPDYPQSQQQQQYNQPRLSPSFAPQQQQRAPTSPSRPSLFRKRSRVHPYETP AESPSEMEEPPWLANRTISEQMVAQAGLRVQRQRAAVGVRR L199_002498 MALLHILAYAGGLAAFLFVTLSLASGLLWLAELIEEHSKYAKSI GIRAIYVIISLHVLLYFTDSLPIIPVLFSIACHLVYLQNFSSSWPYISLTSLKFILSC VLVIADHFIWFFHFAHLAQESKRNSRITPSNKYRYSNQNRSSTVGSGPSFGDVAAFFA ICVWFVPLFLFLSLSANDNALPHLSTLSSGPASPSPHSVDLSSPGPSSGGTSSPTHRQ IRTRSSTSLVKSVLNPLLSLLPRVRRSGGRKNEEGLIAPRTPIRGSPLHSPVIMPQQT PSYFPWGSSEDSSSSNSPSHLNLNLGANGNRSVTPPPPRRIQSELLISKNNSTTNPNP RGIATRNGQPVSPTPAEQKTEIIERPKRSSPLGSDNGLTRRKAD L199_002499 MSNLEKSLFQLKFTAKTLQRQAKKANKDEIAEKNKLKQALSKGN TDGARIYAQNAIRKKTEGLNLLRLASRIDAVASRVETAVTMRGVTNSMGSVVKGMDKA MESMNLERISLVMDKFESQFSDLDVQTSYMESTMSDTTALTTPQDQVDNLMQQVADEA GLEIQHGLGEANVPVKEPAPSQTESSLGNKEEDGKLAERLRALRPAT L199_002500 MSSSSTQVERAADRSYHPKGYGMSPSLQRARKPFVLTNVLIGGT LFAFAVGVYVYSISAVKQDDFSDVEDLLPPLEERRKIVSIEDEQRASKSLQSIASALP LSSSPPPRSQSANTPLPYATSTGSSPSKDIPQPDLSGATLPLLESRPGSGSGWGIKRI SELEWIRKRGLVDPNGNVLVWGAPNVDRIGNITADKSGKRLV L199_002501 MSSLPRIASRIRSLPANTPVTPLVRPTILTRKPILSTASSPSIS PLGKPRTISSSPRSTSSSSSKRWFNSSVRVRDEEKPKEEWPERVLPVLKDSDVKRLKR QRNVGISAHIDSGKTTLTERILYYTGRIRDIHEVRGRDAVGAKMDSMELEREKGITIQ SAATFADWVSPPPPTELAEGATLHGTGSEEGKEKYSINIIDTPGHVDFTIEVERALRV LDGAVLVLCAVSGVQSQTITVDRQMRRYNVPRLAFINKMDRAGSNPFRVIQQLRGKLK MNAAALQVPIGAEGDFSGVVDLVRMKALYNEGVKGNQVVETDEIPESVRALAEEKRAE LIEQLSEADETLCDLFLDESPITGLDIAQALRRATVSLKFTPVFMGSAIKNTGVQALL DGVCQYLPDPNEVHNQALDAALPPQAPPVPLVPAADAPLVGLAFKLEEGRYGQLTYMR VYQGELKRGGVIYNARTGKKVKVPRLVRMHSDEMEDVESIGAGEICAMFGVECSSGDT FTDGSTTFSMTSMFVPEPVISLSIRPEGNETPNFSRALNRFQKEDPTFRVHVDSESQE TIISGMGELHLDIYVERMKREYNVACVTGKPRVAFRETITEESKFNYTHKKQSGGSGQ FGRVIGKLEPMEMDPDTNKDTAFENRIIGGNIPNQFIPAIEKGFQEALDRGLLTGHPI SGCRFVLEDGSAHSVDSNELAFRLAAIGAFREAFQKSKPVILEPVMTVEVVAPIEFQG NVIGALNQRKGTIVDTEVRDDEFTLTAEVALNDMFGYSSQLRGMTQGKGEFSMEYKNH QPVMPNVQRDMMDAFRKKQLSK L199_002502 MISALHAPSTSTDQNIAPTTNDSKDKGKAKAKAIVDDKGDELFY RTFRNEQDDLPGMMSLVEQELSEPYNVYTFRYFLIEWPHLAFLVFPSSSLTNPIATII CKQDVHRGKTNRGYIAMLSVDRSWRRRGIASKLVNLAIEEMARRGAHEVVLETEYDNA PSLSLYDRLGFLREKRLHRFYSNHKDAFRLILPLETDPPPPPETEEEADQARWAVGAG SDTGLGSIPEEGHGEPQRYFTEMDGDVAMRTPKPPPKEVSDWGMYI L199_002503 MTGDKNEQEQSFNILPHPAKSNNPADLNSEPAEHGGLQSASYNA YNAKGPHIPSHEIQQGLEKPKSREELKAEAEKLNS L199_002504 MDILSDEIESVLFVSREVMVYQVPPRTSASGYKAADWNVEAFLW KGRLRVLEIGTRCDIRLEDSSTGELFAQVNYVSPWSQVEPVLDSSRYFVLRVEGEGGK RAYIGMGFQERGEAFDFQVALQSVAKRSSTPSSSTNNTSEPSKPAAPPKDYSLKEGQT FKINIPGRENKKSTPSSTSSGGGGGGGGGLFSLPPPPPPGRKR L199_002505 MSTSEHTDVYANHPDEYYPGIRQRSRPGEAPKEEIYDKTKDVYG NVVTDKPANRAILASQRRRLEHESRLKAQRTHQQEKRLNDNKRSKSTFRSILPIILFL PLLSHFLTKSYTFGLSPYFLPPLRNYWNESPLNPYKIQLRTFTPQELVLYDGSRDDRP VYLAIGGVVFDVSANRRIYGKGGSYNMMAGRDASRAFTTGCFETHLTHDTRGLNEAEQ ASLQHWKSFFTNHEKYHKIGYVLNPPLTDQDPIPEPCRVAEPDTGKEGGQHAPGEQAA KTGKHKPGPVQ L199_002506 MDFEIDNLTVILLFLLATLAIYHRFFSTPQPLVHPLLLGKQSEV SSVRKSGETGIYRSWATGQGAPLTVRPANSVKIVSDVANGPKVTDPTKQRWILDVPIT DEGLSEIIRLLPIGLSFLFPNLPSPSSIISLLPPSPSTSLPLLLLSIASTKDKPLVIL PTPKLFSSSLRSTAHPKAGIVVIYVNLLEDVIEEICESRGGSSVGILLVGDPQKKSES VVGQAKSKGITVKYWEEIWEVAESLEAQTQVDDITENAYSDVHSYYYSEQGGETVITK VTHINVTAGIASLLSLFPADKRPSAALHDTVASAVPLTTPLGMTIALASVWTGASFRL IGNHEPTWSPEEVDHASELEVLADNEKGLPKPTILFISPKHHHALLNRLQYTFTSHPF ATLAARHKGHSIRAGHIDRDSLWDKVLWSGMRENVLGGIAGQRLRGVILVGDAPPPDA LGASHLLLSLPLTRLHPSPYSTGPVFVTHFYDLQSPGVAHILKGVDMWENKTSTGEKE IVHSGPPASNVEVVLKGDRVDEGHKEEGGNEPIKGRIWIRGPSVLDRVDREEEREREN DGWVDIGEYAKVQTNGTFIVDHSGLQVALTKQ L199_002507 MPVHSLASAFGNISPSQLMLGAFIFALVIVVKAWAGGRKCTWER DWKGKMILVVAPPTPTILTLIDTLLHLPSPPQILYLPPLPSPLPESLLTILHTIRLSA TSSNPAAQLHCEPLPPTPEAITAFMRQWGSAPVQMVGEGGRRIDSIILGKGWEVTPSS FVRSKDGEWGNDEFKFHFLTSLLPALLRSPAERDIRVVQLISPTWSAALPSLMDITAE DTQSKKRRGIRKDDLVNSTGRRNLNSLLLFKHFQLILDALEAAQRGKIKPIPNPDKPE ENLKVRDKDVRSNVKAVSVIMPWARDEVLKGSLVSTWLSRISWILFYPLILLITPSPI SSVQSILFALSAPVRHGEIDDTPKVADQGKEVVDQRRSGIAGGDVVRDCAVVDLPPVL CDPALAKALYDELEKEVEKGVKRSKEQQKQDPTKVDEKQKMGKAD L199_002508 MSTKQPQTPSPAQSSAALQSSFQTLLDNYQTTIPARVKLIDSFL LFIFMSGVLQFAYRVLVTSYPFYAFAGGFGSTVGQFVLLAGLRAQVAPGRDGEFKEVS QERAFADFCAASVILHLFAFNFLG L199_002509 MLPSQPLNHGRYWWGSPLDYSRFAWPAPPPPPAAEAAVGAAEGA ASHLASGAAGGVPPRGPWGGYHHHYYGGYGRYGRRWGRRPGGRLFFLLLGIGGTAWYF KAKEHRREYERRMIESSSSSSNDTSSSGSPGQPGWGRGHCRWNQRHQSPPSPPAPVDH ETPVYTNAGSPQIQPQATADENKMAWGWKSWKERKQAREEAWKAARETYFQQLQSSPS PSSILSQEEIKPQNPEMSSSSNSYQGEMNKLREVVEKLWEEKKGDAKVVQDNANEKAK EYAREKLDKLSAALDTLRESLKTGSETKGRESESGKKWV L199_002510 MTPTRYIAMIYARPAPTTAEGLLLTSNHITMVRTRDKDLLHRIP VQFNPFPFGLVSPVDFEYNSFDITQVCGMAYSLSHGYIQPPKYGGFYPRPEPFSEWGN TAIFCGGINPKIHHGILKRIFGIVGELDWIQTLHDGSSAMIKFRHPLDAERALWSLQG LVVNGRELRLNYGNPKIRGVPATPPPSPVSNTYAYQHGNDSEEMIDSNGDLLGDSTDR DTEESTSGGGSPYRYLAELSPEFDEVVSAEWISRECPFGAIEQPSI L199_002511 MQQGPRMAMSANPHMQHPGMNQAMDPATMQQQVAAMNAAAAANG NGPEYGQQPMTDQNRAYIEQQLRMQQQHQQQQQGRDGKVAAQRALGVGAQVQNPQQML QQQQQMMMQQGIRQMTTANGSVYVDAQNRQISLPMGWQPQMSDPRQPPASMVSQAQLQ AFYQQQQQQQQAQQAQQQAQHHHAQQQQQQQQMLPPQQALHYQRQQQLAQQQQQQRAS QPPSARPPHAPPPQLGPGQSPALLQVPPPPVKRAVSRAASRSASPIPPHVRPPSAMGQ PMQASSSAMATTGAPPTILPLRSATVPVTNGEGSQIHFAENTIHPRPTAVSAKPTDSK FARLQSTHLSRPVQYSRAGRVQRYEEKTSRLQRIVNQAQAALIVAQKEAEEAKAAQEK AKVDEDPQIGFDTALLDYSDIAKLHPSTSSGDVTLVETTEEAKSTTISPNKTGSPVKA PVSATNLQGETPSQSKSSPKDEQTPTDSIFGPEKGESSSPSKTSDDRIKEEKSSPHDK SSTGQSPQTATSSNGKSAPRDLGDLYTWWVIFQDSKRIMAKRNAANKATASQPNTAAL TTGITPSSAVAARIAMANPAAAVRAASVDFAGQRGQAGPSHHPQDDPVPVTATLQQVE AMKRDQAAKIQAEQEAHRRSMEMQSGMQDGRQVAYQNGQPQGMTPEQLQSIYQQQQQL HAQQHHLQPQNTVLQHPPQQQMYQQLPVTTPGGTIRHMPPQMMMQQQNGMVGSPSNSS PQGQKRGADGSPLDNQSIKKAKMVGKQPTPEDHRRQALELAKSVMPAPGILQLPGQQQ RSMSQQQEVPRPSLEAQRQYSQTQAAYAKQQELAVRQHIANSPAQMTGMSPAQMTPAQ MTEQSPMGMTSPSMQHNAQFEVGMNGIPTQQETPTMGNRGKKGKPGAGLTVDTETAAE APEATPTGASGKRKASANKKLPKSATEKMPKGKNAKGGKGGRPSTADASNPQIDESPS APTPSQGYGIAQTPTGNNPTGGEMNYGPGPGSAPGSSRSQVFQLPPQQPQEQQQQPQM QVAQPQQVVQQPQPQQQQQQQQQQQQQPVDQQPAFDTNFGFDDTADFLKSFDFSAGGM QMPNSADYGSFNFDDFNFTNVGDNQDDWTSLDGGMS L199_002512 MLLANYASDSDSEGESSSSRPTAAPAPPIRTTALASSSSSTAAA KPAIPKTKKPVKITLGFPKTGADDDDETKLKKNGDDVDEDGEEREVKKPKIAGGKGSS SLLGMLPPPKRKLPSSSTSTSKASSLKVNKSMANPPSSSASTSKINIPKPIPSSAASK TQTNDDDDDEDDVQGRNDQLLPPSLARKAQKKKDDEQLDLFGLSSSTTSSIPTSSSSS SKTALKPTLVSSAPLAPDYVPPAPTPNDLYPGYYQLPSGEWRAYNPAYYASFFAQQKD EEEDDDGRVGKHWKEFNDGSFKDDLVEVNATRGVEEARREEERLNLMKKRKINENEFE YKPIGQVKGLASQRHQLTSLLNTAYTQREELEERIAQNKKNMRMAGTKYGKSTF L199_002513 MPPQPTHHHHSRYSAFGSHQTARGKPVDRNLLESIPSMDKPSYY DAPASPSSSSSTSSDGSIETAPRNILSNSGNKLNPHAKFMRRGKMYAWAPRYEDAKSD KLVRKRLKLCLQQILPEAATEVGAQPPQNIIDAEQKKKNRKRKRGNEPDYVLPHLRSP SPPMSTTKLAPMLALPRTYLDILTSPSMRHTLGNDSMETGLQRTAGELLEGEKPLMQA LGRTRDIVRLLQADVPVVPKAEVPPTDGEEDISATTRPDQPQPSTRDPNYIPPLPHIS DTDNLWRVTQELIGPPASGNPTSIPPPTITYTATPAESVTPNNTDPNNTNTTTTEPEP APTPLQRLFTCPDGITLRSVPHPAHPGFHYPAGHSLHPQTIRYNLDMTNQCRAVDDAL ERIGELLADCNEYKERLEEARDRVADVARVRKKVWNVVRERGGWELDRRELGKDA L199_002514 MSELIATKRVDLLAPYLALDQGSKVQAEYIWIDGDGGLRCKTMT LDKAPASVADLKEWNFDGSSTGQAPGDNSDVFLRPVAIFKDPFRGGANILVLCECYDN DGTPNKSNYRANCKKNMELAKEHQPWFGLEQEYTLFDADGQVFGWPKMGFPGPQGPYY CGVGAGRVFARDFIEAHYRACLYAGVNISGINAEVMPSQWEFQVGPCEGIDMGDHLWM ARFLLLRIGEEWGIKPSLHPKPLKGDWNGAGCHSNYSTVEMRTPGKGMAAIEDAIKKL EKKHLEHIAVYGEDNDQRLTGKHETASITSFSAGVANRGASIRIPRHVNAQGYGYLED RRPASNVDPYRVTSILVETTLLS L199_002515 MASLPIYHQVPTDEKSAVVFYHDEQDISKIHPASIGNGGIRLPT EADLEKKKKVLPKWKKALVALALVWFTYTVFGHIGGKGHGHHQGVDQWKGYEYGSKDV FSPCHGDGKFAPQEDELKVIPTVYGTSISLEDNRQIANASFSIPLHRRKAFNVHFGPN VDANIIISKSEITSQDDEESAHGQIIVESSYENENDVQGVEMKSGEWFDELSISAEGS VSHKVHLILPSSKSFISSPISISSAQSLNFEIDSSASGTTFRDLKLKSDSGDINVPSI VGARVELESTTGTVGGIYNVSKALILKTVTGNIDAKVHVLPPWNGPSRRPPPPHGGDD KHKPKHHKDDDDEHKPKHHDHDEHDEDEGEEQHEEREHRHHKKSKHHKKRQHHKKRHD KHSRRSWLSSLLSPFAKPPPPPPGPPGPPPPKPAFIGANSIKGSVNITILSQGSYTSS EIRASSTSNNVTIQHAENFRGFYDISSSIGKYNVSVPEKYKDHHVLTVGETEHGGFQH GLVGFKRPKGEKPPKKKLPPGNETDFEVDMDSEADMEMEKREWNDQPRDGPEGEHPPP PPHGPPSPPKGDHPPPPPPPPHGPEGHPPPPPPPHGPPGPPKGDHPPPPPPPGPPGPP KGDHPPPPPPPGGEHPPPPPPDGPPRGPPGPGPFPPPGPPPPPGHSRVFAHADIGNVQ IVL L199_002516 MFFSIQTIALVASTGLLAAQGASAISIEERSTSLCNQANYGASG YPWKSNSTPGSFCSQTKPSNNRYWKQMPFSDSYDKVKCSGSSRGRYNVCNGGNKRTSL PKKCNPPHKFPWGYTAPKASSTSSAAPKSTSSAAASKSSAAASAASSAVASSIASSAA ASPAASSDAAASSAAASPVASSAAASSDAATPASAAASASASAVVDPLSYPVCETTYQ VTYQNYTRVAANGVWMGLTMGAAAQDASYMTYTLSTSVDDCLAACDQIEGCVFVNTYY DVNEEENYLPKHTDGVLTCAMFSTCVSSDNNDNWGGQDDPNTIVNSNGYCKSSACGAP A L199_002517 MNGTEGGQTAEFDNIRLPLDRATLDAALGKRKVQAIDVDGHLLY DEEKITTDKLTEQLERVWNEYPNGLLDINEKRLERLPPDEDAVEKEESKENPEKERDS FRVMSRGDMDQLRTEVHEQLNAARNELWFVLELAKTLSVSSSFTNQAPPPPKQQADAG PKKGKQKNPKANEADTKTSTLSTSVPQEPPVLPPGTFSVTPSSQPTKPSHTQVHELEL VLKAKQQALDECSALINSAVSELQMMASAGDRFWRDVRLLKDGQNGRDQWATVPKPDF GRTIVEGEKSKDIIIPYSIDEAPRATRSRCLAAFDLDPTKEVALTFGSRSHSRLRATL KDVSGAVVGSSPIIVGDASDVRAQMEAAQMEAFDEDLFSVLRYEASQMSKSELESKSV SLPAAEYTLAFGLYDTRTRSQIPTSPLCDLIVSSARSNLLCLHRYRKTRLVSPMSSST ASPTTSPTILQPIVHALRYRQLCNTINSTLSNFASIFKTAGLEASSTCQMIAGQSSSE AISEFLVGLSNTSKLSGTYRLGVPGCRGVKIDAHAPFRTSVTLTNATFDLSNPEELSH ILSEEFATQLLGLLYSELRNRISDASIRSRVFLDELEGMIHLSQIGLIRISIPPPFHT ILINVDQSPEVRLPATELPQGYDARRDGHLQTWIDKVVEKLIAG L199_002518 MAVPEEVNLLLLIELAAVVIGSSAFLFYWNRLLGSIVAFIIRLY TWRNYNAYIVIGSLQIAPLAGRISFRDVEYHSSNISVRALHGHVTWRYWKFRIRHETD SQSTNTKRNKLPCRITVFAEGVEAFVYNRTPAYDAIVERMKKHEREEAAAKGSPRSSD DINGDPDSTLRSRLKKVVKTSTRGSATTKAATSDNGFADHQPDHHNVNLVKPTPVKPA SEGVNWFREALPIDIRIVTGSLILGSDATPMVLIGDFKRAEGMMEVTDSRSTLDLYKT AINLTFHNASVLMRTNVDYSGPLLAHGKKVYDELLKRQPDLTSKPPSALSIFTGFHLL SKQFKFIHDPKFSTPPVAGLPTDKIWKGLARYREPESGEVKGPKREEREYAKVTTLLE TPKLEFTYYADTPGEVPLPSEAPYIDDQDQIGNVDLPPEYGIDITIHKGNVKYGPWAD KQRAFAPSLFFDSEIKPKLQPGDTRVHTTLVLHLLLQDETVMRIPTREPSKDWQYDNV PADVERRYGWLDVVVGPNSSISYTQDQIATKQGYDSMLVLQLDSIGISSSVNLDTFIK AKTCKVSHL L199_002519 MTMPTPLEWDAQRDWGMDVTLDTPSISLLRDHVTLISDLSRDWS SGATGGDYHHFVPNHYNFRVSLINYDLHLYINDYNIVDAPWSRDSNAVASTQYRPESS TVPFSVSLSDARVELCVPKWDTHRAFGPDVTEIGKIGDLTAKGSYLYYSIPKPDHQET LDLHLEGKHVVFKAFGWVLRRLFCVKDNYFGTFTQFRTMQEYLEKFDHDPDSVGDPIE EKYRPGRSDPFAVFVTMNVEESLVLMSDEIYNCRKGLVIPVPQLQMNLKSVEHFMELS LDAPPTYVTASPDLDGVFAIGGCPSITETDIIFIEGIEVKANRLFGPQPQATTYLCLW EIVIPKVSAFVSPELVSILQAAGRSVGYTFSDPENGPSEIYVPKSAPDAGDNAISVEM PSGLSLDTSTMGTRSYSSMMGVSLPSLIVNVLEKVPKTTWQSVGSARAGAAIDIFKAP SGWREAVARQQDFIRKEDEETSRIWYMYEESKPSVQLHVNGLYLPQPMRQFPEDDESV NEESERSTIGSDHDEIASSSASSTGNDVGYHDFDQLRLRNRRSRSFATARETPGNSTV GEESDTDSSAISIVGSISSNTAKAYGDIASAMDAKLRSFHTIYLNKESFVNVYESHSL IDDSPSTVRSIDDGTIIRIKSKAISLDLTPTSIPAISTILTGLSTKDEGFEKRLDALL AEQLSAIEEESKVTVPTVLDLRLPSVGLNLSTGGKRQISLATQLQGISCHLSHYAPRN KQATFDISAKVSIITLAALASTSPISDISLRDVSDLDDEPFGGLPVLKAAMQGLEIAA HHSQGVRMHTKVSHATIDTVTPAFETIFSLIQPWQESIRQIQMSKPSAASDAHVLYTI LQKVIEHGYDAYLPAFAYERAYGLHVQDFRNVRTQTGWWLLARFRDWYRRIPAQQPVE PELPLDQMAEYTINQLCRVEDTVHGAENVIRDQHFIQRVFGNTIRESTNRKQKEKAMD LFLYSENLKISHHGHSLGSKKISSSSIVIDKASFGGSKATGRTDDRPVTQIQLVVAVK EVRTEIQDSILGSVRAALEQMPEMAVKTEHSNLKSTDSNLVIVADGHIGTINLDVQGG GLRLHFGANDLHLTRLARQSVRPNHDSPHRSSKETVNATCASIELSVLQMEETQKQAD RSSDRIIVGLKSDGLSTLYDNYHSTSRKSPSPGTKIAVGLKLLDFDSRPQLRAFYAFV QAWKDKELPINEIRSIVSAKIPSRPESGRPSSPLHLAAVDVAIEALHMQVRAAKALWL RWDIGKIYASRQDVNDNVRFAIRVAPQVVGAYASIRKHKSTDSSALRLPSITIIGDTK STDGRAHVSANIELGFFTGVLKPVILDRLLSLHQQLAADITQFVNDWHNDVTRAINKR HTKGISMASIDTASSVHADSPGLLFDIHIGVAGLRLGLRADDVATTLLFEALAVKGRA TNLLTKENALHWRAKIDHFGLSLGHLGSQALSNDTEPVRTHRTAYMILDAEVQEVPPT PQSTSRLNINLSRVHTVMHPEALSELTDLLKSWTSDLHILRDHRSAEVAEVKVHTSRV LKRLESAEKVEHSEKSWFANRLVFVEVSGIGIAIPLVEGAAIGDTIHSDLPALLYSIR VISFQNRRNETARFKVQNMVLQFIRNSPEHFTGDFHESVNRMTLPSIDMEAQMSSTPD IWQLSAHCSATDFKLSLSPEVADGIFKLIDLFHNGKERITKLEAHYKSEMAKHPYESV SAKYDDPSSPAVVRPSQRILVRMSYTFNSGIVELHRGLSESERKTLNADMKKSRQWHD TVVLPTVSLWMNYSGPKAMNPASDDNGDNDASLLFNASRNLLRPTILPFFVQVINRME GRAKHKVAASTATTQPQPEPIPASISEQSVHTVSRTPMEKIRLRFTLRIDKSRLRLSC APDSNAYVDLKWESGGFLASTTIGGNDVTTVAGTISGVTAYLRHEFAEEGRSCIEAGA KDMAFTIAHRPDDGNGHQKGLSIVLDTQLSGQFRLEQFSAWLTFAAVWIDSAPPLDLP PKSSIVEAATSSAPALAPIPSQQKLAIVALIRFRSIDLDANVGVTNAKLEFTPIVLRT ISNGEFTEVDLDIGVTQLTAKGDISGELRSEHLNFHTSRRSSRSATQAVPTVLSMAID AGDLTGSLLLQELKVIIFHLEPATVKLADDWKAFNDDQNSQVNLSFTVQTGVFRAIAR LLAIPSLLNKVYSITNTFDSQERVASQRSNIYKSTKLRKSTEPSPMAAAILHTARKAG QSMNTSGSVRTSQTMKFDLGGIELGIFNAPVTDEHRGDFYRFMIGKCETDLKRRLSKE GLPKRDLSVLVSFVSWDTSDGPRAAKDAAGSRVVKEMIESASKHGKREIAWLPLMTMT MHSIEEPRPPVIVYDFDLIWGEGDGDVAILPYFFEQAYKTFDAFTKGLEREQITKAKR RGEDMPVRRNTSTVNFEIKHNSNNGADKVKEEEDDDGGNEQLTFRTRLAGTRPLPVPR LRLLGEGTRQAMVIIPRINEFSEQLPIMVHKGITSPLEDGMDLLLKLYEKQLPDRAT L199_002521 MHDRAGVVVLLNGYPGVGKSSVAKELLRILPNAKLLEYHSMRAV IDPLIDKEKDTDRWMEMKKALLQTLLSTLGSNPPSSPSSQPPIYILTSHLCATPRRLS VLHSHLSSLPLIHVLLNCSTEENLRRLGSPSRKGLSEIDPNINSSRNGSVGFSTKGYT GKKITDESTLYELRMEEELGRFYAYNSNGLKSTGGGGGGIEELKRKGLLGEYEVNTES LEVNQTAMLVSEYIIEACREMGWYIRLNPQKK L199_002522 MTAVSLPTIPSSLDNNTLLSSMLNNPIEFSKGIHRQNVNLHNKM KVVRPGPPSPPPRFSSSSKQLVNGFSKSVQQEKEEGEVEEEDNLESRNQSDNEDEDDQ KNEDKDQRVVNGTAKLPNGNSQIQLPTPETTQQPSSSTPSPLKKKPSTYQPLINPDDL YKRPIELTWPESILSVKKPAAGLHNPSMACYANATLQVLLHTPPVLRIAMEHEDGKCL RASQHRFCMLCQLRDMATGSHWGGRSYYAPQVHSHLKDIKKGFSRNKQEDTHEFFRFV TDALQLTALAGTKKDLPEKIKHSTWVYKVWGGRVRSRVICSRCQKPSDTFDWFLDLSL DVNRSGSKSIKNMMNGFTREDKLEGDNKYHCDNCKAKANATKSFKIQEAPPILTLHLK RFSVDYHSYSGRARANKYNGHIDYDEYLDISPYMVDPKVGGTKYRLFGVTCHRGVELR FGHYTSYVRGPHGQWFHADDNDVSVVKRQEVLNDRTAYLLSYIRVSDDHVPTPTATPL SSARKPIANGHLSSPANAKIGDKRQRPDERDDNVNVLKPKRSVNGLIGPVRPMSIRKG TPPEARNGILSPSQQNHDELEVDLDLPPELPTSSSKKFGSLMTPKNTQSPLKMMTPTH TPNTPTSSSKVIHQPKPIPPGQFYGTKSPISRPHNPRPDLDDNDDEDSLNSRTPYSNS NSNSYGTMESYRGAGKKLSKKEKKALRRMGKSSFRSAGGDNPYKAGQLGTMNRNKIKK SKFDRMGRKPLS L199_002523 MPSVALFSLLTLLAYSASPVSAEQKPITPSSIRGLNPALYDKYE PNPAGTFQCLDGSKIIKYSAINDDYCDCPDGSDEPGTSACSNGVFWCKNEGHVPGQVL SSRVNDGICDPECCDGSDEWATGACADKCAEIGKKYRDEEEAIRKTRKTGAKIRGTYI KWAQGEKKRLETEVETKKKELREKEQEVERARVALEKTESKSKEELEKKKTSPLYQSL LTHRLTLTRLRGKTQRLQDELDTLHSILDELSKGYNPNYQDMAVKAAVVGYEELTGKA AAPSEEEKPHVEENKEDEEEIKDWELDELERKDLESLLWQSGPEDEADADDDEEEGGL LWKIDEYIPDSLYDSWESVRDTAIEWMIRLGLIGKKKASKTSIAEGPHVAAARDKHRG LSNELNKLKNAVTNTEDTLEKMDKEYGPQAEWKKLDGVCVDKVSGDYTYELCFFGKAT QKSNKDGATNHMGTFSEWNTAAEQGSFEYYTKQLYKNGARCWNGPLRSVSVDLSCGTQ NALLSISEPEKCEYRYKVTTPALCWPDEQDVGGSVKSEEEEKIKEEL L199_002524 MSLPKSTSSLPRLINSRSAVFAITRPRLPLSTPIPTTSTSLRAG GASSITQSRSISTTRRRYATEAKFDPDSVERATDEVDVCIVGGGPAGLSAAIRLKQLE QERGGDELRVVVLEKGGEVGAHILSGAVIETRALDELIPDWKELGAPLNQPALSDSMR FLTEKSSFPMPHPPQMNNKGNYIVSLSRFTAWLGEQAEALGVEVYPGFAGAKVLYTED GKGVKGVVTGDVGLDKDGQPKDSYEPGMEFHSKVTLIAEGAHGSLSKEIQNKFDLRKG KDPQTYGLGIKEVWKVRDEVYEPGKVVHTLGWPLDYKTYGGSWLYHMEDNMVSLGLVV GLDYENPYLSPYKEFQRMKHHPFFANILKDGQCIAYGARALNEGGYQSIPKLNFPGGA LIGCSAGFLNVPKIKGTHNAMKSGMLAAESAFESITSSSSSSEDASESETAEPIDMSG YAKAMENSWVYKELKEVRNLRPSFHNPLGLWGGMAYSGLDSLILKGRVPWTFRNNWED YETTKKASQVKPIEYPQPDGKLSFDILTSVSLTGTNHAENQPVHLRLPEEQGARERHT QANVSDYAGLLGRVCPAAVYEYADAEGSEVDAVGKKFVINSQNCIHCKTCSIKTPTQD IKWTVPEGGGGPKYSEWD L199_002525 MMFSSSRPMGHYSAPQVKMASMNLANVQMNLERQKRLPVNAEAY LDILNRLLEPLAIVQGPMGLRTWLAEVQYFMGLMKQRSFTGRPLTPRERQVLVWYSAR WRELRGGPCDMGRPEAQIVLIALGELSRF L199_002526 MHVLNLTLQAPSNITTAVVGSFSGTKGQEILAVRGGTKLEILKL NTSTGQLDTICSTEAFGTVRNVVGFRLAGMTKDYILASSDSGRLSILEFVVAPTPHFE SLYQEVYGKSGSRRVVPGQYLAVDPKGRSCLVGASKLVYVLNRNAEGKLFPSSPLEAH RNHALVTHIVGVDQGYDNPLYAALEMDYSESDEDPTGEAYENVQKYLTFYELDLGLNH VVRKWSEPTDRRANLLVQVPGGQNANSDRFDGPSGVLVCTEDHIIWKHMDVEAHRIPI PRRRNPLAQRGEKSRGLIIVSAVMHKIKGAFFFLLQSEDGDLYKVWIEHEGEDVKALK IKYFDTVPVANSLCILKSGYLFVASEFSDQNLYQFQALGDDDGEQEWSSTDYPDNGNI EGPLPYAFFNPRPLQNLLQVDTLSSLDPITDASVMNLLGPGSDTPQIYAACGRGPRST FRTLKHGLDVSVLVSSPLPGVPTNVWTLKLTDEDEFDSYIVLSFPNGTLVLSIGATIE EVNDTGFLSSGPTLAVQQLGDSGLLQVHPYGLRHIRGADRVDEWPAPPGQTIVAATTN KRQVVIALSTAELVYFELDPEGSLSEYQDKKALPGNATCLSIAEVPEARRRTPFLAVG CDNQTVSVISLEPDSTLTTLSLQALTAPPSSICLAEIFDTSIDKNRATMFLNIGLANG VLLRTVVDPVDGSLSDTRLRFLGAKPPKLVRSTIHGQPSVMAFSSRTWLLYTYQDMLQ TQPLIYDTLEYAWNLSAAMCPDGLIGISGNTLRIFTIPKLGEKLKQDVLPLSYTPRKF VSHPYNTVFYMIESDHRTYSPQAIERIVKEKEASGSRVDTSLLTLPPNEFGRPRAGAG HWASLLRVLDPLTNESLATFDLDEDEAAFSMAIAYFERGGGEPFLVVGTGVKTTLAPK GCQEGWLRVYAIKEQGRVLEFMHKTKTDDVPLCLAAFQGFLLAGIGKSLRLYEMGKKA LLRKCENNSFPTGVATINVQGARIIVGDIQESTFYCVYRSVPTRQILVFADDTQPRWL TCVTEVDYETIVCGDKFGNIFLNRLDSRTSETVDDDPTGATILHEKSFLMGAAHKTEL IAHYNVGSIVTSITKIPLVAGGRDVLVYTTVSGSVGALIPFVSMDDVEFMSTLEMHMR SQNVSLVGRDHLAYRGYYVPVKGVIDGDLCENFNLLPYSKQQAIAADLDERSVGDVLK KLEQMRTSSAF L199_002527 MAYDLEPLPRTETPSDYTRFKFDPANVGDKRIVGLLACQRDPLL RSLKTRIHAVREASIKTAPPPKGKGNKKKNDTPQETAKAEDRGKLYEVELLDTVIFPE GGGQPSDTGRLNILDPNGGIRQSLVIESCLRKKLDSVHLVRIPPGTEVDMKEDDEVEV VVDWDKRVDHMTLHTSQHLLSAILDTMNLPTLSWSMHPHPSLEAPYVELPRSLTQSEA EEVERKCNELISEGRKVWVDVSIQGQDGAAEVTTEVDDGAVEERLKVGKGIPEDYDGG VIRHINIDQTDRNACCGTQVPTLSLISLMHIIPPTQSSSSATKLYFVAGPRAVRYLQQ SSRQLSNVAKVIGAGRADVVERIETLEKNRKDQFDAVKNLKNELSKIVIENALAEGRK EENQGVIWIRRDNPSTNDFEFLGSVSTTFISTAQPQAQKDPLIILTSTPPSKDATSHQ NLMIVHSTNNDLAKEANEQIKKGLGSRMKGGGARGKYMSKVDGKWGSSEDNLIQGIID NLKEGKIRMNSRSG L199_002528 MTTNKDEKPIIDSDGNARHHSASEERRSLPPLSSSPNTNTLSID VELSRKRKERDGDELLSPGTPNSTSSFLMTPQFNPAESSPNHKRRLSIIEHLALQEED KSFNGPSKLVSIKGEEPFPWYKSSYDLPHFQQEPITVFPVQYQIYQQPNQNQNTNGSA QPQGTDNTTGGQQNNNNNSVPLDPTLSSIRSSVAPNSNGQQTQNLIDPSLGAAPELRF PDAETLASATASPSKDIPGEANTQAALAAVNTPTLTAEDAAALLSVPQTTDAQGEGGG SKKEQPFSRSPELRVSHKLAERKRRKEMKELFDELRDELPSDRGMKASKWEILSKAID HIRQLKSGQEQMVREIDHLRREVDIARGGTGAYTHSYPTYNLAGTYPPTQNNFTSTPA ATTPVTANAGNGQAQQPQQQQQPHQQNQQPQQQQVQQTPAQQPDQLNQIKADVPQAAT Q L199_002529 MKASPPLSPSTAEDIRAYNDATINGGVRGLFYGIGLSLPTYYIL NSRVTAYRNLPSPAKAFGFVMLIVPCISITAEKSGEAFTRSQYEGVAKRELDREAQIE HERWQSLSSVQKLADWAGRHKYGLVGASWVGSLGVAWALVNRNKHQTTSQKVVQARMW AQGLTVGLLMASALLTGFDSSKTEDPRTPHEDHTWRAILESDPHLNEEERQRLHEIKK AVVDRKEQLVKEASTSVSK L199_002530 MIRTTTIPSIASRSFPLRIPRTIRQYTSYNVAVAGLTESQEEFR NVVHDFAQKEIAPRAEEIDKSNHLPEDIFPKLGEMGLLGVTVPEKWGGLGLGYLEHTI AMEEISRASASIALSYGAHSNLMVNQLNRWGTDDQKSKYLPKLLSGEHIGSLAMSEPN AGSDVVSMRTKAELKGGKWILNGSKCWITNAPVSSTFLIYAKSDTSVAPSKGMTAFLV ERGFKGFEVGEKLDKFGMRGSPTAELFFDNVEIPEENVLGQVGKGAAVLMSGLDFERL VLSGGPLGIMQAALDLTLDYTHERKQFGKKIGTFQLIQGKLADMYTKLSASRAYVYAV ARACDAGKVSRQDCAGAILYSSDRAVEVAMEAQQCLGGNGYINDYPAGRLLRDSRLYT VGAGTQEIRRMLIGRGFNEVYEEAEGRGIAQ L199_002531 MSDKTFKIAVLPGDGIGPEVVDQALKVLSTISEYSNLSLDLKKY DFGGAAIDNHGVPLPDVTLNACKEADAVLMGSVGGPKWGVGPVRPEQGILKLRKELGL YANIRPASFASENLLKRSPLKEEVARGTDIIVLRELIGGIYFGDRQETDSNGVAWDQC IYSIPEVERITRVAAQIALAADPPLPITSIDKANVLATSRLWRKTVSELMAKEYPQLK LEHQLVDSAAMIMIANPRKLNGVLLTENMFGDILSDESSVIPGSLGLLPSASLAGAPD AKSTTMGLYEPIHGSAPDIAGQGIANPIGTILSAAMMLRYSLGKGKEAALIEKAVQKV LDSKDVGGYDFRTKDLGGDAKTEEVGDKVVEALKGLLGQ L199_002532 MVLSYSSIAKPTADTPNIISIASSSSSTPVPSSSTQVEYDGSAA LPSSPGPSTTPVPSQPQHPIASSSTTSSSTIQHLILDAGPLLSLTPLRHLAGSFHITP MVLAELRDPKAREHWERLGLTGVEVKVENPSSEAMAAVTAFAKKTGDFSVLSSTDLSV AALTWQYEVKVNGLEGIRTEPGQRIKKKSTTQKDIVKEENQSQEQVQDEIAESADGQD EGEGDDLKQNIEEEDEGEVAQVAQSIEQVLLDSNAQSTSDDLSNSDTPTTQPPASAPA LTKDEPVQEIDNESDGGEWINPSNLTTHRSRDLGLITPSGSGAKPPSVAAMTGDFAVQ NILLGMGLGLVGEGGKRISNVKSFVLRCHACFKICKDSSKKFCPSCGNATLLRTTVSI DSKTGKQNIHLKKNFQYHLRGTKYSIPDAKMGRAKGQQKGGSGLILREDQQEWNDAVK YQDIQKQKEDRRKAKGVLEGWNDPDWLPEIISVGTSGKGRSHSSNMPSIGHGRKNPNQ ARRKR L199_002533 MLAFALIYLSYFLSLFIVPYFLTLFFSIHFQSVEFGEYCFPTIE PEEEETTVGDIQDQIYEDPPSSSEEDNEGEVEGYEVDFQVFMDGYCGPQSASLDISND VPQLNRVAANNQHPPPIMPSRIPDAPNLPQPSDGPSIRSSEHVFTPTLSVPPQAFYPY MVTPQGALMPPIILYHMYPFCNMPLDYFKKLYEQCRQQLIVLALSNDDSHTQRVKLIF GETHRPFRLARKEKESSRKVNRYYPYRRRSMNERSRLRQGIKGWPYSLERLWGFKFKS KSNRRTKDSPVLKTSDILLEQLLPENLLRKKRLESPPPPFPSILNNAYAPIPSSTPNF TFLHPPKIGITQPLAKALGKRPCRDWDHGNEENSDIGTKQTRRFTVEAELRVKSPSLH KSKSVEFVFTKPPTVLPDKRRYSQRRSPSPPLGRQAGSRKKRRLTESQFLEISDLSNE ENKKENEEKEKEQTAPCTPPRNSDSTPELSDTPGGEEEMEVLVTPPQPSSTVVGEEIQ VVSPISEGDVLSLTSPTQLEIESTPVQAPRRRKNRPAPLVFGGYLNPAGDTPAASPTR AEPRAVTLPQQPQMVGRDTLSHFMAPSLDGYTHSPTTAMFLDGPANMAGGEVESESGD EPGQGKRKWKPNKLRRLMTHLPYLQPTSPSPATNFLHNRRQALVQHIQKLLAEKPVVP EAVSVVPPPLKQPDREDPPLPISPLASDTPNATRALNPYDLKPWPRELPKQDPASVNY TYEFLRTLPELTRFKWAKEANYARVLRETFHYRFLMHKWEKEEREAKEEYGEIGDFMR ALQNTSNGDALGMDQSSGGRKQLRRTPSSTSIFGENAQAGPSKRLGSRSGPSTPTMAL GSPFQGDIGSIIASEYALACPSIVSASVTETVSSSLRDEDSLPAGTPLPSSHRPIAHL TSVPTAIPSPAPPNDLILSTTTASSVEMPSEPVQESRDLELSTHTRSSTPSPHDNASI EPQETIFISPSSESTVEAKDVSLKIAEKESIAPEGSIPASENSSEEVEEDENVEKDTH NDGLAILSSREEQGDESRSEEIGLTCAAPSIVELQNDTQTSIASSEVEPKDKDETSTS SISKSASSPSSANTSIIASRALETSSTDPKIAQESVLRAAVTEESPQMKFTFSFPTTS NQTAPIPSVAPAPPSPKPQAAVVEASETGSNAPFPNALKSTFDFLTRPVPPTPLGPIS TISRAIDRATSSSRLARGKARSHGGAIRGELGGLWAAHAARTANKVNPPKFTKKTDGG KMERQLKTALKEGETEMQDQDISEVVEERQEESMEIVPSDIQGSFRPTSAFFPLLASS FSTVHDQRVLPSQHQSNEIIRRQPRSPQPLSIFERRRNASAPSEVEDEMEVDEICETP PNYASSTPPAYTHRHVLSPTSFNRFYPIAPQSAHHQASHQSPSSISSSTNEEVEMTNE DFLSNTAAHNQRGSSFAQQMYMPNIVSSYLAQLAHRPPPAPARFMEDTEMQEAILMDT PTQPSFPSHPVTSNFTHNVAAPQPHLIPPTQATRVEPLPPHLQFRQPAFYDADQADED EFEEVEIPDPPSSAAITNKMVRETESVRQGISSLSISDPETVLLPNLPSVDIVPEPSR AAIVDPEVKITPPPSDASTSSRLPPSQVHIEQPVIVDAAFNWADPPASPRSSTAEMNG AEDPVPTSGTPAESNSTPQPILSTTLGNVIPTPISATVTSPSEDPNMPFSQPSILSTQ GPFEADLTFQNALEPRNIKPLRASGYAASAKMGLRMLASIAEEKEEIDHDMIDMKAVE DVINGDEKVEESGRRSSWEEKGKNKAVEVEGVPEGTYKVEESTETLSYGDRELIDMLT SGPLPSWLAPESEPTVYQEPSTTFFDQQWYNPTQGQYFIPSCLNSSFSTAPPLFDYNG YPLRRRPVRSQEQFAIPPTSAIAPPSSPPSTPPPPAVEVATKGESDFIPNAKSGKERV MAYINNTSALGKISSRSSSGHSLFSMSPVLTRTQMGKIDEEIDLRNFKNEEYDKANMP PPGFVTFPKQRFNQGSSGTSAGNLALPPAIPSSSGSAFKPVIGPSKSTLITFPSSSLR SSEAVQDSGSWELKTSFQAAKRGNEKAQEEELQGRADIPIFLPVLTPDLIFEDTQGPL TRILARLPARVRRALDAAATDAQQAPTNANEVGQLGYPPSSTSSYESYGTWTGQRTSS ISGDLGDFSVRPVDIPLRGS L199_002534 MESSRSPILTPLSPSSLHSPPPLSSAGLSSRITSSINTVHPRIS TLLPNSSGYLAFFSRPKQETFQKNKKRSNSLSSYFRSLPGRLHRSFSSTVSRGGPKDR LNSTARPQEYVRREKEKVGVTREKEWKDVEDEWKSQAKGSKEGYMQCLNGAKCIIITD PIGDLTDEFLQSTVIISSSQEPCPHVSDIAHPSSRRRSSSTPEVRRPILPTLPGKSNL SPVPPSPASYTPLKSSSADHDGIHRDGSHEVEMMDDNARILDVRAGTASSSTRRVPTQ KSVHPTLGNKSTENGEMVNHHSIPEMDDSEEEDLKTPRQVDEDVFEPLIFPVPRVKQR IPDHGDITFEVDYASASTTKADSPTTIYSPYNVYTPYPPSRPSAPVPPLTTPSERASL VLNPDEYEYLLPLQSIDIINTPISDHHTSSSHHHYSTRIKRSHSTSTPSSSTARPIVR PNNTLNNDTPVYSLQGVSQSLSVQLPATTSTYQPNFQGRTRDVYREHLRRAVVQQYND SPTPRKPPNQGQGDMLVVTDRRGQGNGLRRALGRGLWYKRSRNGI L199_002535 MSLSSDLDKQIAQLKRCEIIPESAVKELCQKAKEILMEEGNVQY VDSPVTICGDIHGQFFDMMELFKIGGFCPETNYIFMGDFVDRGFHSVETFLLLLLLKV RYPDRITLIRGNHESRQITQVYGFYDECQRKYGSSNVWRYCCDVFDYLSLGCVVDGRV FCVHGGLSPQVTRLDHIRVIDRRQEVPHEGPMCDLLWSDPDDINGWGMSPRGAGFLFG DDVVRQFNHENDIELIARAHQLVMEGYKLMFDRKIVTVWSAPNYCYRCGNTASVLELD ENLRQEYKVFDAAPQDARSIPQKRPMMHEYFL L199_002536 MATSRLVRLPLRSNVLLTKRFPNSVRPSTTFKYDGATPSGNSYT VLPTSIAGLRSASGSISYRSFLTSSSVLRKKTTTNDGGLLEPSSEDYLDLPSPTQRVK FLNGIKKDYGDEYQNLTVPTAQLLDALRDMQSWRQLTPSTSKSTDYRDALRSISLLAG PDGGISRPSLRLKDIESVLSDENGVTWRLIRDIIEHGLVPELEGLDEKWKAMVKINRV HGFGKIKAQNYVDNGARTLEDLLNAKDKEYGRKVSDAQKLAIKYHKDMDLMIPRSEVE EFEKLIQNALNKVDPTLGYAIMGSYRRGEYVSSDIDMVVWHKSFPKRDREDKSKKGGY APDSLMGKVMNALIQEGLIQEDQLFSRGEKKVLALTKLPRTNSIHRQIDIRFCPLESL PYMLLGNTGDDTLMKTLRYRAIQKGWVLNEYAMGERVEGSQGVWVADGKEIIVNSEKE IFEKLELPYLEPTMRSLHKYRHILQIK L199_002537 MTGVLNGNGPSAELAPGHFLFTSESVGEGHPDKICDQVSDAILD ACLAQDPFSKVACETAAKTGMIMVFGEITTKAQVDYQKVVRDTIKQIGYDSSDKGFDY KTCNVLVAIEQQSPDIAQGLDHGALEKIGAGDQGIMFGYATDETPEMMPLTIMLSHKL NAAMAAARRDGSLGWLRPDSKTQVTVEYKKGEDGAMVPIRVDTVVISTQHAEEITTED LRSEILEKIIKKVIPKNLLDDKVIYHIQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWG AHGGGAFSGKDWSKVDRSAAYTARWIAKSLVAAGLARRALVQLSYAIGVAEPLSIFVD TYGTGKKSDAELVQIVRQNFDLRPGLIVKALNLQQPQYLKTAAYGHFGNPAYSWEQPK QLNF L199_002538 MAPTLTATAVNGRARRVRAAAALAGVELNWDSKFDMKANWKTPE FLAKNPFGFLPILELEDGTVLRESGAIAEYLAELGANSTLLPSDPKQKVEVHEWQCTA DQELLIPGSLANRQLKGDLPYNKPNFDGIVKKINERLSVVDNILASKTWLVGERITLA DVFVVSALSQLFATVIDGEARAKIPNVIRYFETFVNHPQLLEIFNPTVMIEKVTPPAA PAKEQKPKAEKAPKEPKAPKAPKAKEVDEEEEEPLVPAEPKVKNPLDDLPKSAFNLEE WKRQYSNLDTRGAGGSLEWFYEKFDREGFSIWRVDFKYNEELTQVFMSSNQVGGFFNR LEASRKYLFGSVGVLGKANDSIISGAVIIRGQEIEPVINVAPDWESYSYKKLDVDGNA DDKKFFEGAMAWDLVVDGKEWADGKNFK L199_002539 MYNLISKATSPSKPLQRHLNEREELFKNVLELPLDVKRDLVDKL LWSLPRNEIIKLNEQLNGILQRDIIGSLPPELSILIISKLDLGDILNCGLVCKAWRCI VEEQALWALLCVSSSPPIRLSQPTWSDIQTTRSILSQPRLSHSSDEDEEEEEYDDRFG YGYTEPSSGNGNRSNLDPLGLGMKSGLRKNVWERNSSTEHNGSLPIYLHPQLSMNNIS NLEKVGGKKDKDKGTFSPIQSHLPIPSTKPQANYKHLYIVHQIINRRLTTPRPIHQYN TFNLETDKSVFVPKPLTIDMITSVKNGGLPGHSEAIYSINLINHEMKFDLNMNCMECH QPTPTSNSPFSLSPGPLLPGQKIPTRTSTSTTIKGKEWLLTGSRDKTLRLWYIGMNGS ALGPRVIRIFQGGHSGSVLTHCVVKVPMPIDIQATTSPTKGIEGINLNGSRSPKKNRE NNRLVAVSGGSDGKICLWDVENQHQGASSSVNPEKMVQGHKDSVLCVRANEKYVVSCS KDKTIKLFDIHTLGEKLVIGGSGSGLESDDQLHKGAVNAVGLTEDYIISASGDKTIRI WSIHTGQLLSVIEAHSRGIASIDFSSEPTSCEPLLEKGERWKGSLVTGASDASIKVFH LIERHLDSIMDLNSDSTLSDLDGDGIRKIEDSMDIDTTSSNDTSPEVQFPQRTPINGK LVYLKEDHTMWAPCVCPPGLGVSRPNLSDTDSHSFERCRRCGNRGHTELVRTVHIGER VIVSGSYDSKVKVWDRQSGQHLIDLSGSHTGRIFSVTSDKSKIISTGLDCRINIWNFA YGLDTSFVEL L199_002540 MRSWGAEKMEAQLYEEEDQQPVASSSRGTSIVPSPAREEREILL KSDKKRSKWDNDDEVGSPPSSSLPAIKRRLIRPKKIRRPDEPDQPASPPTDQLQPEVD DTPEDNIIIAPEPTFNPNPSPIVRPHRPPPPSPPSFQPQHKTYPRSKYAPLRSSHPPL ISCRSVFNYTRLNHIEEGTYGVVFRARCNDTKQIYALKKLKLDEEKQGFPITSLREVM ALMQAGQHPNVVGVREIVVGDTLNQVFIVMPFIEHDLKTLLADMPHPFLQSEVKTIMS QLLSAVAHCHANWILHRDLKTSNLLMNNRGQIKVADFGLARKFGDPLGEMTQLVVTLW YRSPELLLGAKEYTTSVDIWSIGCIFAELMQGEPLFPGKGEIDQINRIFQLLGRPNDE LWPEYSSLPLVSKINPIGPMFSTLRQKFKHLTYEGHNLLSSLLCYDPKRRISAEEAGK HPYFSENPLPKHPDLFASFPSQAAGEKRHKSLISPSAPIRFDRIEKDNLTDLVSFV L199_002541 MPAAVRPRPSSPSADKPPAHKRAKADATTNLHESINLPTPQQVH AYREGYNQATPYKYAAIEGLLSDDLLEAVVEESWTYGIRGEEGSHPGWGWEQKETDIY SIQQTPDLSSLDPAHLPDETLEALPMTTRLKNALYSEEFRNLVREVTGCGPLSGKKTD LSAGLYTTGSHLLLHDDSISTRLISYILYLPNSPLDAPKADVELTPSADGKFLKGWDP KWGGSLELFPVENGEEVGPPGTKRVAKVDVKWGQIVFFEVQPGRSYHSVEEVIIDEGR QRMGVSGWFHRPTKGEDGYEPLDREKLKAELSSLAQITAAPTIPFTPYTSEPPVGLKP SDLTFLADFIAPSYLTVPTLEKLSGQFAEASEIVLHNFLAPAVAAKLKAETESVDKRD YPNDLIPAQDLGEGDGWVIQGPASKHRYLNLTGNSASTPVFQSIHQVLFPSEAFRAWL SVVSSLAPLGRRTEGRRFRKGLDYTLANGEDGKGDARLDVSFGATWWADVPSGSDEEE KLVDHGGWECYLAAPDEGEDPAVYQSSHAKKLAKLEAEDNKGVRPIESVAPNQEKKDV HPVEASTAITEHIDEPHPVEAVTASGKTHHAHHHDKSKPHPVEAATADKDGQGPSISI NGTELEFDPDQFSPSDFDSDSEMGDDDDGPLLTQPVSFNKLLLVLRDPGVMKFTKYLS ARAKGSRWDISGEWEVGVMEEAEGEGEKEEDMA L199_002544 MIKPSRRSNRSTKKTLLSLLLASSIASTSASSNLQPKERQVRHR ELSNRRHVLGERDDAAVPGGFKIVGDSGVSAQMMFLGTEKTVYILDKAENNSMQVTNS DGLTHPAWGTTYDLTSNSATAMSVSSNTFCAAGLPIANGSWVVFGGNQPVTYEGVATK DANGANPYLNTDGGAAIRLLTPCDDGNCAWQEGGDALTMSGKRWYPSVELLGDGSLIV LGGDNNGGYVSTFVQNNPTYEYWPKKSSGGIHMDFLNYTVPVNLFPLTYLLPGGKLFL QAAYKTILYDMDTLTETPLPDMPYAVRVYPASAATAMLPLTPANNYTVSLLFCGGSSA PFNKSSDGGAAFNVTAVPADDTCVRISPEDANPQYVDDDSMPEGRSMGNFIWLPDGTL WMGNGVNMGTAGYGDEKYSIGQSYGQAPLYQPAIYNPSAPSGKRWSRDGLGESIQERM YHSSAILLPDSSVLISGSNPNKDVTFEQWSTSYEVEQWYPLWYNEERPVPSGFPTSLS YGGDSWDLIYTPKNASSDPSNTKVVVIRTGFSTHAINFGQRYLELETSYTQNTDSGEV TLHVSQMPPNSNVFQPGPAMIFLTVDGVASQGKMIMIGSGQIETQPVSAASVLPESST TTSETKTDDSSADSSDSSNSTTSSSQQNTAAKSAASLSAGLKLSTAIFGGIVGLVGLT LTVL L199_002545 MTWTALTRASQTIYRSSRCNTNSHLSVYAPKRCNSASTAGNTIS NLVRSTVNKIAITGFGEGTNDLYDRARPSYPSDALNKIHQTISTSASENNGWKIIEPG SGTGIFTRLLLSPPTPQYPTFPIDTLVSIEPSEGMRKTWQNGLQTKVPEQDLEEKTVN VVEGAFDDLSNVQQYGMRKGGVDGVIIAQAWHWCDDHEKALREIASYLRPSAPLILIW NLESPIPKWQGQVRESYEKYDLGSPQYYKGWWRKMFDTQAYKELFEEKEEWSTKWMKG ITEDELIDRLFSKSYLTSAYLSPTDREKLENHLRGIIKNAHHEWVDEEKGIFQYYYNT DIVILRRKA L199_002546 MTAQPARTNGDIPHSSTEKTTPSSSVPPRVVLAGKAGRILCVAD IRGDYHELNRLIREHEATAVIHTGDFGFMNADSVPRMGDKILRHLITYSPLIPLGTRN QLLSEELGLDRQSLIEQLNNSSVHFPLSQFPHLLSGAINFPVPVFTVWGLIEDVRVLE KFRTGEYEVNNLFIIDEATSRVVDVGGVKLRLFGLGGAVTPHKMCEGFATIAGGSGTM WSSALQMGELIDTAQRVYDASETRLFISSAPIRNGLISLIANALKADLTISGGLHFRY PVSFNEYSIHEHYEQYTQKLLTASRHFTEVYDAVRDKVDSSMSEQQQALLRKITAAVT KMPIESDQTWTNTWNWILSDASCGHMVLSIADCRVSAETKTAGLNFAHRSGQGPSLPS APIPASTTAPVARRPDATEAPKTIAPTRAPIGPGSATASKAGQPSGGPPTGPNSFKNG INRTNSARPLPPSATTASPAPVAPANTTSNANGSIPVKPPTQPRGRGGNIAGQAFAAA GAVKDKIVEAVKPGSNTSTSKSPASAPAKPPTPSMSVKPTVTSDKTTKDETKKTAPAT NGASTNGASSEAGNTSTESKEGAKPAHSREGSGEVRTKKANSLYLKGLPEATTEEEIK GLFKDQADKIAVVKIISDRMTNKQKGFGYVDFSNEEDMNAALKVAEGAKIRDKVIQVE VSNPPTRSFPDSGFRGRGGRGGPSGFRGGRGGRGFGSISGGLGRKDGVAGESKEGGAT AAAAAVSAGEKKE L199_002547 MSFGNFKFGASSLTQPAAPSLSVTNPTPGTTPATAAAPFSFGNS TTSQPATATAPTSGGGLFGNLGGQQQQQQQQPAQGSTSLFGAKPATTTGGGLFGSTTN PPQQTGGTGLFGSTSTQPQQPATSGGLFGSTNQPQQQQQTTTNTGGGLFGSTTGSSGL FGSTNQQQQQPQQSSLFGSTNQAQPQQTSSSSIFGQAGQPQSSSLFGQTAPNNNSNVQ NQQLQTSTSNKSNNGGISKTTKFSELPEGVQKYIEQMDNVIKSQKAQGSGMNIEGLGR AIWQTSLDVKAANEEYSAISHTLKSISSSLTQLRERMISEGRDVERVKEIWDVYRSAE GRMGQVRLGAYRDFPQEFFAKIADQMEERVARYKKTISQLNRAIISLSSEAHTPSPQA IAQTIQNHQQAMLTLAAQLDGLQLRMNGLRSAFAEEWREKTGSVRDPFEIAREEKAVK A L199_002548 MPIDVTPTPIYPHSLPPVSYHRGWSLMPATGPARVIDILPPKPH MPGSVLPNGLRNPWAPYSGETYIPASESVMAMQNQVGGSVIAGGGRSMAGRSVIAPSF AGSGMTMGREDDGWNGSVGRNHMAHAPDPSSVGAIGPTPAVGSNGSQAGWMPASWGNH QRMTPTIASGLAHSEVYPPSPTVGYGYNQPFPPRRRRGSTGVGVNECEECQLTRSQSQ PTRHTRKVSFSTVNNSPSPLRKL L199_002549 MSKGTHFLTFAIPSIILYFLALLHVLPVPLLSQEIADQILPVLP FWLLVAFGSYSLSSLGLGLVRFHDTPEAYESLLREISQAKDELRDHGVSVD L199_002550 MKSINRWIYGPTPEEKVRGWQAKLRQQERQLDREIRNLEVATSK SRIELKQLAKKNDVKSAKLLAKEIVRANKQRDRLESSKARVRSVGMQLQHQLSMVKVT GAFQKSTEIMKTTNALVKLPQLSATMREMSMEMMKSGIMEEMMEETLDSVNDDEELEE EADAEVDKVLFELTDGKLGQAGKVGDALPENKEDEEESEEEIQRMRREMQELLG L199_002551 MWLIKATGPVHGQSRFTFALRQGREYVVGREESCDIRYESKQVR PREGTLVVDHWDPTQPNVPPTLKWRVEPKKSGSFGTMKTLNLIDISDIGSTEREDYDV TEIKDSQGCYLEKEGVHGIELAEGMWFTAEWKDLVIQYDKFKDESDEVKETLRQYCIG WTQSFDTTSRPTIVLSVTYRSNVECNYAVCFGIRILLPIYLHAIISRLKACWKKMADS QDSFNLPDQDAEVFQPDFDNALQASRKERKAWLPDKRRETLFKGWKIMGLRGRTQSAE KRYLIAMGADYQDMDVLTKPISTAQDFADRIAPWLSYVDNHGGREQAVVVWFAPVKAD LQKKGIDYGAIVPATCQKLGVYHSHGGILWSSVNFGKVKEYLVSTASNLPQGASSAQK DRVPSSVPDTQFTVTPVPIQPQSSQILPTPASSQAGLSSRPEFIPSTFPDETERGMGR TARSPSLESRPGRLQRRTRQGTSPLPKERTPEPETPATVKKPLRRRAGKPVDFTTIPE SPPRSHGNTEDENSQASQPLFSQPNFVQDSLPAFSQVSAIPDTQRTQTQSMVPDSIMP SQSLAPGRTASRLKRRARGVQPSLIEEIADTSINIEQSIKDEEKAADIRQLYEDTKTG SFAPMTLSTKRPRVATRGSGDSESSAAARRREQGSAMEVDGESYGRSVRSKRAASEES IVPPSAQRRRARSPSEEEEQVEHRSVPPSKSLKSSVNSLTKSGKSKSQNSTTTPGPSK DEAFLQAIKKSTKARSAIDELDKEFNQLRIPKPNGSSAVVRANEWNASVPDYALLNDF DDDLKGNFIQIVRKDLFRRDKNDIGNREVVRVDDGKPNFKKFKKKNIIRREPLQLALA GPTIQDAEMGEPYWPTQTINPSRGRGQATQVEDEDEDMPLLPRSRKRLLGTQVTQEDD EDPPLTSRSRQRSRVPDTQPSQTQTPRVTTTQSRTRASSVLSEAESVATNVSASTPTR TRSTRNTMTGGRGTKKQPTVVEDSDEEIDEGLDWGTSTGTRTKTRSNKNATATQGKNS ATGTGTRTLEGDDTPSTSTGRRRTTAAQAGTQASQFGRRRLLPADDDDDIAFKGLGKK RRLR L199_002553 MSGQELTIRINDDSEEGQSCEYHAYGTGYAPTTSQRATQASRSS NNSRSSSGQPPSTKTSTPVGACDHAQYTLSAQENQTLQPFEDTLNRLWSSNHRGESVD RGELSGIIASFNGPLKHCYLDEHDYHAVRDLLNDLSKAV L199_002554 MTDVKMDEAHLPSELLVLAHKLLIAAQGAPATEIQKLIDAGAPT WYQDDSLGWSALHYAAERREPQILEILLKGGAVWNSVDQWGRTAGEICISLGDEEGWE IIRNEGIRSEMLHHALAGPSSPQSDSSTNMKLKVEDNTSAGDNLTFLKSELTWDIGKD GKERVLDADGNGVMMGWEEPLMVEHVRLMTRDHPNAQPGTEGMTIMNVGFGLGIVDRL FQSTQSPSHPKPLNHTIIEAHPQVLQYIKDKGVDKLPGVRILEGRWQDFLLDPERLGE VLESTPGGMGYDAIFVDTFAEGYEDLKAFFEVLPDILEPENGIFSFWNGLGATNATIY AVSSSLAELHLEDVGLDTTWHDVLIPESLREEVWKGVKRRYWELPGYRLPIAKMKFM L199_002555 MTQEGSDTGRSSKRARQSKGKGEGKQARNDSVETSNKQVDKTIS ERTKRSWETRRAKSAREGIEEMVNAHGDGRDQADENEAGPSNYSQSTIPLPTSEFLLS IHHHSSEFYTSNELSFQPCKKGRTVPWGSKKRLLILQDADPGSKNDSRSSGSTSTRSK SRSTWRTEEDEEQVEEPEEDELDDENDKTVNVKKELVDEYGELLVQGDRQTNRSESAN GNGRKERSKGKYKKRDMYKAIEGEGLMALGILLQQHIIQSIHTAGYRKLDPHAISAET NSSRSSTAPQSTSKGKSRMSKKRKGAPSIEEEEQEEREVESEEGQESDSDSA L199_002556 MTTPSTPIPDIVTTLTSPDSTKGVWNDSTLIPGGGPLNTLSEKE RSSNDVGRETIRDDAPGPSSIHELSIHALAQRGDTPSISSLLRENPSLDLSQRDEQDI TPLHWAAINAHMGTCRFLLDNGAEVDAVGGELKATPLQWAARNGHLYVVHLLLVHGAD PNIMDSQGFNTLHLITHSSAVMPLLYMLHQPVAIDEKDSDGHTALMWAAYQGDAISVQ LLLRHGASVHLQDNAGMTPLHWAAVKGNKASIKHLLEAGANLEIREESGKTPRDMAEE LKGLIPFEKGLEEAGYNSLGMKRYGKLSDRNTTLAIFVLPTIFLGLIFKTFDYFPAYV SFPLAIAEFMAMQLTVTHYLLRHIVSEYKVSSSNHFTSIIIASIIWVFYSWASRLVFG TPGHAFTNLVFWLILAGCSINLFKAIRNDPGFVPLPVNDSEVKEALEDLVDQGRLNGT NFCIECMARKPLRSKHCKTCGRCVAKFDHHCPWVWNCVGYKNHRSFLLFVLFLIAGIV TFDGLTIDYILEKSPEYIPPIEPSPGITICDLSETLCRASSYDSFLLSVALWSTLQLT WTIVLAVSHLWQVGRQMTTFEVSNLGRYGYMGGRGGSSLRAQSGALSKPSQAFSVGAA PFPGGASEEAQGLPSAGPDGTSSFPPPPPPSSDDIPGHVHGPGCKHGEAGHGHSHGIG AICKGLGKVISGPLMNILGLDRFTKGKALGGMKKAGRDQNPFDMGFVQNCTDFWIPSR DIDYTQLYEIPSEGWRAYRRKIAMQKKLGGGSGKGGYAAVNNVEEEV L199_002557 MKITIHSYHSVAYWKWDISSDEPHKLHNHLDLDDIENGYINPDD PATMEDDDEDDEVCGICQNAFEGCCPECKVPGDDCPLIWGECTHVFHMHCLLKWIDTE SSKQQCPMDRRPWVTADRKPDKLSTTSTGQPVAQVAPGPLPTEEEGGVVMLADLSGEA DMGESEQQEEGESMEVDGR L199_002558 MGHTVQLQWSFNPPAGRQISLADIILPRILESYSLHTPARSTIQ FRTYRSTFPSPSSSTSTIDTSSIKTTSRYLTTITTLPNPLPPGINPNIPQDDNRKEED ITYLFLDDRSVVVPPPQPQIQTSVNGTDQNTNQANGSTTTPHQTSQSQSQSQQIDIDK DTLDEDGFEIIDMPKDKPSNSNTNGNTNIPIPPGSNDAPQMQSVPEKESQQGHKESSR FKCLIVRPTSNVQPMLQSLLSPFVLGYTKSAKAAASTTSSPLPTPTPLPGTSLLLTVL TFNPYSDDGSSGYTLRLKVFILPNPNATSIFLQVEYNDTSDLDSNEIENQNREQICKT FLAGCLIDGLNDHRKWVSIYKSIDAPDELQRNKQSIFTLTRSLRESGFI L199_002559 MDEETVQQQQNFSFPVLPQADLERVAQTILHLFSPSTSSNPELA KHLQRELQQIQSAQEAWGLIAGLAGHDDPNVRFFGAHTAQVKISRDWETLPEDLRPAL LPLLLGTLGNAINPANQHSYQPANGVVVRKLFGSLASLLLRLAFPHFLHPILTVIQTI HSAFASSTALPPSAPGSGYNTPGAGPSQPLFDGVPPQLKHRVRLLALEWCAICIEEIG RAGLAEQQRQPLRRHIESDLSVVVSTITDAMSGDPNLSPNQRLKEAEAACKCAESWID WGLSPEELNILLPALYNLLPMPAASSALVEVLSESIFKYGKGTKVLTEPMLAWAIGPS GQALIASVDEEPSEELIGYTKLLAALVEHSSEWLVARIQQNDVQAFLAIILRLTGWQG LGNVEENLSELTLPIYPMIQEAIMDAPMFSAPHDSSADWAVAKNFFRELVGVTRRKVR WPGEGDSRGSIGGLDKEDRENFESWRRDAGEVIVGAYYILRDEMLGNLTGIAGQQIQN GASWQDIEATLHCIRYSSEAVPLGEDKSLPILFGEQILGQLAHRPIRGKGEERLRLTV VCLIQSYEEWFKFHPSHLPPVLSYLVPSLTSSTTISRSAADALKALCDMCRKKLVEHI GAFAELHGKIGDLGPEEQSKVIQGITSVIQALAPADAVGPVEGIISPILSRIAQALQQ YSVDPANAQPALVQSTAALTACFKGLSPSEDEMFDTTDEGDEAAREEAIALTREDPRI VELRRGIENAVEGLVGVVGRGEGDAEVADAISSLLKHATLSSSTLISLSPLPLLSLVC MACENSPSALWMSLASTLTLRVNAPVTSFARKKEKTEEVKKQEEDEQVGKWNVIGDVA SRLVVIAGRYLDGEGMKEHPDVVEGWFKFCSSLASRFPGVLLRLPPQIVEGYMSLGLM GLATQERFSLKSVSEYFVALLANTRYPSPLEPLSDPLFAHFGPSILRALLLCAGSEGP RSVIPNMAELLAALVGRIKGEDVSRWLDQILSQEGFPDPRATPTSKKKLKEAVLKSRT ARRMREALHEFALVARGLDGTTYGNATAV L199_002560 MSSSFWSSSHCLHWLTTRPSLLISRQVDLQYCTPKQLYCLNIFF TQLIQKLGKRLLLRQIPIATACVFFKRFYLKNSICETNPYLVLAACVFVAAKVEETPV HIKSVVSEAKVVFNEYNIKMFPAESNKLGEMEFYLLEDLDFHLVIFHPYRALLHITGR EPADSGKFPMSRVEEDQMLKKKELEAKKKRDEESRKSNLSVPARPSPSPIPATNTGNG KDVDEIDEDEREAKRIRRLMGRGSTEGIGEVDEGVLQISWFILNDTYRTDVHLLYPPY IIATSAVYIAFCLTSMNNNNNNSNNSSSTTSSTRTRTSSSQVQPISTSINTNQQLGLG QPPNSAAEFLSGFQLNLSILFACIQDIICLYSIWESFEPATIRQQTKHLANNTHHTNQ SLGSVGEEKKEKEKEKFGPEEAEALVRKMIESRMIDMGHPNNAGFNQTSKRSTTANIV DNASTTSSSGIGKKRARK L199_002561 MSSANGHASSSTNPNAPARSESLLKASDALSYLDEYPRGDGLSL NELMDSRKNGGLTYNDFLMLPGHINFPANVVSLQSKVTKNIVLNTPFLSSPMDTVTED RMAIALALHGGLGIIHHNCSAEDQAAMVRRVKKFENGFITDPICLKPDSTVGDVLDIK ARYGFCGVPITETGKMNGKLVGIVTGRDVQFQDASVPVKSVMTTDLVTGSSGITLEQA NNLLRDSKKGKLPIVDSNGNIVSLVARSDLLKNQNYPLASKVPESKQLYCGAAIGTRP GDKDRLKLLVEAGLDVVVLDSSQGNSVFQIEFIQWIKSTYPKLDVIAGNVVTREQAAQ LIVAGADGLRIGMGSGSICITQEVMAVGRPQGTAVYAVSEFASRFGVPTIADGGIGNI GHIAKALSLGASAVMMGGLLAGTTESPGEYFYHEGKRVKVYRGMGSIEAMEHTQRGSV ASKNAILSGSADNAATARYFSETDSVKVAQGVSGDVADKGSINKFVPYLYTGLQHSLQ DAGVKSVTDLQKEARAGGVRFELRTASAQLEGGVHGLNSYTKRLFA L199_002562 MSTAQRLRDPTHPASLCKLSTHNPTLVHSLKNRVRPEFFVHVAE KTSEVIKIAPAEDADVNMLSPPATPTKEQYVDLNGKPVEWWQQPKGEEDDSNADLPEL SEFIRGLVVQSNVQMPTLSVTLVYLERLKEKLPTVATGMKCTRHRVFLAVLICAAKYL NDSSPKNMHWQKYGRFFSLAEVNLMEKQLLYLLDYNLRVEEPELINHLRDFWNPAPVA VVKALPVPAPTAEVRMPSPPLTPTNLRVSVNIPGPSKSTFVPPSAGPSSQAAAISSWS ARTAQALVRSRSDDVFQASPASRRSSTYATTPSSSSTLAPSPAGYMYLDAPTPGLARR DSCDSTSSISTTPGEAWGSNYGSLSGAIVHSTSNGQLAVSKPGLPRKASYTAKPGSGS ILIVDTAQAQQTSPSVTTSPTRDLFKKIRPPTSLRSIRKQIQL L199_002563 MQGTLLGNESETRPLLSPSAPAADYSTQPRSSSPNGSDTSEDTV TKQHPTKGKITPLPKFQLFIACFVRVTEPIAFMACFPFINQMILELGIVDDPRRTGFY AGLIESIFAVAELLTVFQWGKASDHWGRKPVLLIGCAGAAVSSVLFGFSTTFPMMILT RTINGLANGNVAVLKSVISELSDETNQSIAFSFFPLSMAIGTILASSIGGYFPHFAQR FPAVGDAFPIIRSHPYLLPSLVAALFPLSSGIVAFFFMKETLPPKKVKSTNHEEHEHD HDDEHDGPPVGLRDLMTPDINKLMASFGLLQLQGICFLGLLPLFCFTPITAGGLSFRE SQIGLAMSIRGIATILVQLIAFPFLQTRVGTVRLYKFLVVLFIPAFAILPVTNVFALK GQAWAVWTGLACSMGLYSIGNMAFACNLIMTNDAAPNRRSLGAINGLSQAVSSLMRAI GPGSASALFALSVDRQLLHGHLIWLVLGLLSITSASFAMLLKSDYRKKSI L199_002564 MPVPLARTRRSKPKSRTSQSSSRSNGSKKPSRQIPNSKIVSFLN PFDNTSNSPNFVRSTPKTEYISPTTTPPSSPPTFPSGVRKVQVNVLPTVDYVSPSSRL LNSFSVSNQTQKGESEVPVPVAMPKPRRLFKEELASPLLKGRYVLSTIKTQDGMSILR RSFVIGRSNEEIEKVKFDVSMVARK L199_002565 MRFTKSAITALFAAVLANAAPAPVPAGGVGVRPNDTAPEYHTMT DFDFQSLNLALNQEWIELDLFNYGVRTFSVEDFAAAGINEEEISLIQFMANQEVGHAT LLTNILSAYGRTPAKQCTYAYDFYTVRDFVNFCQRLTRWGESGVYGFLPHLDSRPSAQ LLLQSISTEARQQMAFRQLAGAFPMPVYFETGISQAMSWSLLQNYIVSCPAENPRIEW PIFPNLNVTNDANLLVDGYNAAISHNRTSLTEPGRRVELTWDAPKGNVSYDGLYNTTV GGNVTDPTKPKYVAWINQLNATYTEFNQTGNYTGYTFQPGGVVFNNTDDGIVNGTSFI ALTDSNPYVTPYNLSLLNDVIIAWGLYQAN L199_002566 MYAGAHQRSSSNPRSYVAPDASSLSGRRDDPASQGMYGNDGGYS AYQHAGYGGVSNVGGYGGYSGGMNLGGYAGASSSPSAPSGSRRTSGPKLSTPPQVSAS AADPSPSSRYYPTAQGQQTADASGHHQSPYQPSSAPPQVLSHHHSSMSGQPQTAHPYA NQYQHYYDQQGQHQQSSNQWANYPSTSSHSYASAAPRSNAGQSNTTPTLPQSATLGDN HHRSSAAAGSYEYPSTTSSYQSWPTHEQPRSTSGQQQQAWQQQPTSSRQPQTQTASSS SLQQGAWQGYGSHAPMSQTMPPHHMASGQYGWQPQWNGQQYVYPPAPAHTQNTHAQQS AQPLPGAGPASATGLLYPTGKKPKKEKKEKPPKAEKPEKAPKLPKPPKRSKEDLAADY QGLGKRAIESSTEEEVEGKKDGRKKKGKKDGEKEKEKLPRAHPKSHLHPPRQAQSAWQ LFFTDELNKAKAAASQGNSPGGTPHHVKLNVAQIAKDAGVAYASLGDEQKKYYAQKVQ ESKEQYLKELAAWQATLTPEDIKAENAFRAQQRKEGKSRKGNLKDPNAPKKPLSAYFL FLKGIRENDDIRAKVWGEESETTKQSVLAAEKWRSLTDDEKKPYLQQAEHDKQEYEAA RKIYEEEAAARARGEDVPLRPPVMPESSTHPAPAPISLLKDDTKPYQSGEHSSSDPVK PSSPAGDHPPSSEPNFAGFENPDNNESNENTPAPNASGDFEMDEFKGFTDPLQDMDLT GLEGITAGGDGNEPQWDELQKLMGTTDDGYDNSSEEKPKNEAIENPNISIAPTVAEAQ AASNENQQFAGIKSEAEVQNLATEAEGVSVVPQTLPQVNQEGSGVPTEEVNELPPAGV PVADDVALPTGVPTTTSEVQPAAEGLSAGPVVDGV L199_002567 MPSTQSDIAPIGTTEDHTPNDDQQFQTVDRPMTMVSSSATLCGL ADNDNDGDTSADKAKSTDVEVPSSIPEHPNPTSDSPHPQQPVSGRKRSSTLTHTHRRD TESGRHRSHTVTHTPNRKFSLRRSNTIDDGPAPPIPAPGDVIAVLDPASVGGGGPLKR IETARSERYEQEAKERAEREAMGLPPDGTGILKKFRSFSTGSARSRRRPTFSTPLPPH PLDDEEHEHEHEEDNHHTRYKLRKHKPTLSPTHSRKSSVVVTPGARSDEGKEGYFGTS SSSDHTPSGDEKDLERQSRFKEYEEHVYPDGGYGWVVLVSCVILAGCTMGWNMNYGVF QEYYSTNIFPGQSTAVLILPGCFNGFFMSSSAFLSGRMGDRYGFKRVLYSSAGLFWLG LFLASWSTELWQLALTQGVISGFGQGLAMPLFMSLPSQWFYKKRGLASGIAIGGAGLG GGTITLVARQLLTTVGYKKTLLILSFVELCFMLLAIKFLRTRPTSPEARSGKTAPWVD KDVVKTGAFWSIMIGTIVGTVGYGMPFSFLAQYVRVNYTITDPILLALPTTLLAYMVC VGRALVGFVADRIGPLNTYILVFFLSGVIQLCLWLTAKSFGATLAFAVMFGLIAPGFS GILPQIIVQLFGPANLATNVGLILISQAPGNFINGPIGGGLYDATGRTTFKYTIIFGG AMQILGGVLACYARYKTSRKFIVKV L199_002568 MPFITRFIASQLKTLPPLPDVDLGGMVYIITGANSGIGLEIAKH LVQRRASKIILAVRDIAKGEVAKVEILKHAKKDRETEVEIWKVDMASFESVTDFAKRC ERLERLDAVALNAGVIMKEFERSIDGHEMSIQVNVLSPVYLALLLAPIINRSSTLTGQ KGKIIFTGSETTEVANTKSLSPSKPLATLDDKKTFVASERYYQSKLVLQSLIKPLIFQ FPKLIITNVGPGFVNSPLYRGGGAAAIAARRIGRTPEQGARNVGFALLTLDKSTDWYV DCGVAKLHQPWLDSTDGRLFSQNLWKEALREFGELSSDLRIE L199_002569 MQNFPGQLPHQGYPPQPPHIPYNAIPPAPLAIPSIAAATITPGP YAPTPSILPGPFILLLLLPAIPLLLFSLGARPPDTSHLPFTTSDVFTTGAFVTVTMTI VICLGVYPEAGGAVWAWIREGANGEWDMRYWNDVQSWTGMASTTTDIMKQSSKSVGSV AEQVQVQSQMQWRWKYVFDERYRRWIKVKVKVPKIIEQEVRSGNSHIRPNPKGFQALS IKHVSALRKSLPDWYSEYKPKLIPSLLVIGLIVFLMILLVGQILGSAYDTESSGEKRG SSSSGSGGGKMSSWVEREIRKRKEEKSNPEKTLKRIKDEGKKELEGYKKKGKEELKKF QRKREAEKELLKKELKKRGLPLVGLEDKKKDKDEKKKKKKKDVDQEDDGKGRKDESES KSDKMKKLLLGEKASTKNNAKKEDEKAGPGWEIADSALAQNTLAQSQMNAQQMAEKLK GGG L199_002570 MDDLVDLNWSDPSKPVSKTTSPQPTASSSSSFDFLSLSKPLSAS SGGNTPNYYSSTPIRSSTPSQPLNPRLNGTSNTNSKPISRSGTPLQNSTPQLGAGAGT GGSDAFSSLLSMPSSSSSAVNKNMSMAERQRALEDEKKRKDEEDRKRFEAEGHFWDNL GSSSSSTIKPPAKTVIQQRDDFDDFLKPTPVPTSNARAKSPAQPTSSNDILSPTLPSG ASNPSSSAGTFWDNHHDSDDLLSGSTSKPMQAQTSISPAPPADPFDFDALSASITQPR NNGNGHTSDHDEFDILGDLGKPVAPKAPKNEPGPSRPSKPPSSSSRSSSPPPHIVGQI VEMGFSPTQARQALAKTSTGLDVQAALEILLGGQNPQSSSRLDNRDHDNFGEDDEDFV ERERQRREEEERERRRRRRQGPSRDSVQARSAEEREQEKEASAQEQAEKYLAQASEIG TNMFNKATSFWNSSKEKAMKVYEEQRKVMEANAAAAAAGSGGEGRKPIKDGRPKWMTE AHDEGWNGKPSKEEKGGFRDDDHDENEGFHTQIRSKGKQPQSNGAGPSKYPVSAAAIP SSGSSSGYKSSKERADLLFADEAPRYKSPARHPKKPATPAPAPVPAKPLPSRTLVSAT SGQLEKSASYKAKGNEHFKLGRFAEAESSYTTAISQLPEGHLFLVPLHNNRAAARLKL GDSSPAVEDCSLVIELIGPSYHPSKEGPLPPDIAKEVKLFDGLVKALSKRAQAWEMGE KWKNALEDWEKLIGMDLSVLGAGASSTKNLAAEGARRSRKMLEGDGDGSAKPTSTGGI SLTGVKPKPKPKPTTATQGVATARPADVDKSAAVSELRAAAKALEAEDDQRLALKDSI EAKLNNWKNGKETNLRALIASLDLVLWDEIMKTSGMKVGMHELISEKQVKIKYMKVIA RLHPDKLNSQNTTVEQRMLANGAFGTLNEAWQAFNK L199_002571 MTIEPVASTSSFSQQVEEDEEVFPKYTVNDNIVPIHSKLGGYDF YRSIGSPKYVVAPMVDQSELAWRLLSKAPLPPAIAGPSTSITTSTGRKLTRYPGGTHV SYTPMIHAKVFIDAKGEGNKRGDGQFNLTYEEEGGEGTISGIEGGDRPVIAQFCANDP EVLLAAAQKIEHRVDAVDINFGCPQGIAKRGHYGSFLQDEWDLVYKLINTLHINLKVP VTAKFRIFPSLTKTLAYAKMMESAGAQILTCHGRTRDMKGQFTGLADWQMIKKVKEHV NVPVFANGNILYYEDVERCLEVTGCDGVMSAEGNLSNPAIWLPPSHPHFHPSITMLAH RYLDIVEDLQSHTSRSAIKSHMFRLLKPVLDTNEELRVKIAQCPVGEGMDKFRELLKE IEKILEPAIQESGPSFHPPPIDPSTGYRSLPIFCAQPQIRAKPVSTEIGGTEEFVTRP SSPSAESPGNPVAPASSIPGTILLSRSARHDVKNTDRCINEECTGVAALRCPTRACIT HCRIVKAVESGYTEQEATKEALSGGLVGMGCEAHEEKERARKERMDRKRKNKSEAKQK AKQRKIENEQKPKKGTNGGEARGGDS L199_002572 MGITSFFSFTQGMATFTQVLLALPMTLDLLGPPSFLLLSLLFTL HHFLYSTLRLAFKNTPLAPIISLLAFLSPFISSFLVLLTLYWYLNPPSSTSTTILPPI QHYLVNVLPFIYAQILRWVSPLFTLLEGISTLLVVQVVGRVGKGWADEEENNDENNIE WRSLFGLILAALVYCAGLAGIIKAFPLSPENSFPAFLLGCALTSVVFLSLIGFTLRRT NVLETSLVFVYVVYSAWLSGVEGAMEPRTYGSGWLPSPTSSLRQPSSHIPKSLDSISA LFNYAIHSTFPSFISVSRTLPPHLLLSLIYRVAVLHMAARIVPVIRKSSLGWDDGLSI DGNGVGEQKEGFWDGRSLGQEPPNMRITTVVLSYRRAILIAVYTHLLLLDGGSQTWWR WINIALFLSVWSLELLLDAEGDDNESVTRWKVD L199_002573 MSSRFLPRSTSIRPPLLSPRTIRPIPLPLTLRPIPYSTSTSSGT TPPRIRTTAFLSIAVLTSAYLLYQYETTASPSSGSSEGGKGKSLNEQYGSKFDIRIRT SRNGVQSYEFIRKSEEEVERILTEHESGNNQVGRKGNPVIRWDTNWVGSNEPCEDRFA SNIIPRKHSSNSPSHKASTDITGGQGEGEGGKDLMLFSIIDGHAGDATSKLLEKTLHP TLSVALAGLQAGYVPNDPQGKSWYDKLNPISWLYSYTRTGSWNPENVTRTLQHAYTEL DDHICQSPVKLLQTLKQPSNPSDYPTPRQTLVALAQPAASGACAITTFVDSENQDLYV ALAGDCRAVAGWQTKDGKWRCDTLTEDQMGENPKEVERMQKEHPASERDTVIRGGRVQ GGLQPTRAFGDAVYKWTTAQGNAIAEAVREEGDKPRGVRPWNYTPPYVTARPEVTYRK LKNEDGDQLKFVIMATDGLWDRLTSEESVLLIASYLDHSKHSDISKTELPNLYPLLPS KGERPYPVQDLPQPKDESWAYEGDTNAATHLIRNSLAGANRKNRAELLSLNGKVSRWM RDDVTCTVVFFGDDSEKKE L199_002574 MPDDNSKAQPSTKPQCSKETASSSQPTTSGSGILSRMIQSLAKI ADPRNGVPGDPDGTMIAEYYTVHERMRITDQPIVGDQ L199_002575 MNVFADEATEERGENARLSSFVGAMALGDLVKSTLGPKGMNKIL QSASTSQITVTNDGATILKSIHLDNPAAKILVNISKVQDDEVGDGTTSVCVLASELLR EAEKLVTIQKIHPQTVVEGFRIASKASLEALEQSAENNSNDETKFRNDLFNIARTTLS SKVLSQDKDYFANLAVDAVLRLKGSTDLEHIQIIKKPGGKLTDSYLDEGFILDKTIAT NSPKRIENAKILIANTSMDTDKIKIFGARVRVDGTGKLAELERAEKEKMKAKVQAIAA HGVTCFVNRQLIYNYPESLLAESGIMSIEHADFEGVERLALVTGGEIASTFDAPDKVK IGRCDLIEEIMIGEDKLIKFSGVAAGQACTVVLRGATSQMVEEAERSLHDALSVLSQT VKETRVTLGGGCAEMLMSCKVEEAARTVKGKKALAVEGFARALRQMPTILADNGGYDS SDLVTKLRAAHYEGRSDAGLDMEKGEIGSMKELGVTESYKLKKQVVVSASEAAEMILR VDNILRSTPRRREAH L199_002576 MTTKDRYPGLGRSPAMFTKSSLPTNEELPFLPPLPDTPQHAYKS YLSEDHVLKAKTPLTRQLWEDRSNSPVETIVGGMKRTESSRKWDVEVDYPVLTTRQSV FNTPLPINRISSGDYFRPASRTPSPPKAKDQSPTPEIIDKQFIQHDQVLTPHLQINSG IPDTEKQAGDHLIPPSKPIDDIQPVSSPVKATEPAQQQPRISASFNLPTYIAEASLLA EESLMGGAASSADGDSFHLGIDKLRPKRASSEETEGSEKEESPPTSDTSPKPLSTKST FLNPLQQQNPLDQSTLLPRSPAKTSHLLETKNAISNVEPPWSDDSQLYGSSISSRENS ISPEKYSSPYRTLSSLPHSTGMRGFPTSSSSHSMITTLPKIKRTFPPSSSGQSLSSVC EDEYHFEGEVSTLLPVSPMKTAHPLTDAELISKEKLEEQESSFRLPVPTKATPYKPSF MSKTPRKSPPAKMSPIKTIVTAHKYTHPQRDVRDEQGDITLDVKELLAKVNKPKRASG TEESFVDLLHDEFMPDGLDASMIGPDESMLPPSLRPRGHRGNSTSPIKASSYASPIRP TRTTAAALSVTSRTIEVAETSSPIKHFPSQITHNLASQAEEPSGEPKQQTISRSKSLS RVAEIIERVKSERAATQHTQPPKAVNNHEKEEVLAASPPKTAVRTRTYTTITTPATST ARPRNSMMPPPAASKRISLSAGALPMAGSASHKSVDLPPARTAPRVSTIASKRPTTTT IATRPTANTTSARLASTGTARAPVSSRTSMAPPTSRVDPRVRPGSTSSTVSSSATIPS LPTSRTTRPSTTVALPSTGSTATTISSKVARLSTVSRLNGLAKPADGPTARSAPAPVP RSTRVSRPFGTDASSTTNRTSAIPPRSSKLSTGPSSSTARLAEGTKSRAMSTPASATV FKATTTDRVPPLPTPRITRPSTIITTAAREGMKKSSLPPPLTGTNAGVQRSRIGSTTT NTAAGGLPRPSTRPTSTAGKSSAPPTITGTRPGTGTGLSALRERLDKFHARQVR L199_002577 MVIPNTISREQAYISSLATQPKDIHPDRIYDKLEIIGKGAYGAV YKGQHKPTGHIVALKIINLDTEDDDVGDIQKEIALLQQLMLGGGGCGGGNAGSSTTTP VPNVVKYYGSLMEGPKVWIIMELAEGGSIRTLSRAQPLKELHICLIMREVLQALAALH KNGVIHRDLKAANVLLTTSPPRILLCDFGVAALLQSSTSKRSTFVGTPYWMAPEVVTE GRLYDSKADIWSLGITLLEMAYGEPPMSGQPAARAIMMLSDRKMRAPKLEGDSWSKDM RDFVLACLNEEPSDRSSAEELQKQKWIKNNQKTPLTALNELIVKYQAWKQSGGQRQSL APGVGASVDDDEDEEAMNDVSRDDWAFDTVRSRMSMMVDQKAQEGDIGLSPPTARPAP QSLRRLFHDESSSEPDPFQSFAMQQPSTPQSSEDGGSSSIEQVGRFPTPEPEETIHLP PDSPDSESAKGTVRQRFIRNLNSHGSDQSSLDIPTHPSSDSQAPTPIAKTNTNEPLLK PKMSIDTLSATSKSQPEDSNRPKPAMKRPGGGGGGSMGDGLRGFQFPLVSKGPVPPGG AGSSEPSALAGRVQAPTLSRMHSAAPTIPIPSAAGESPNKPGLTVLPGGLPPRPQMMR QASVAVMEGRAMLNNQAHQQALALAQQNDPVGGGSAPLLSPNKLLNIPGAGGQGTGLG LGRPIGPGMTNNPVGGGIGMMRSRSGSRVDEGHAVGLRDLLKLSANVPDLPDLLPPSP SAITTIPNKFQFTPSPLSNPQSQSQGINPIPMQNNPSNHTISASSPTSPVGGQVQSQI SPMPTTTFNSTTTHQPTSATGPHIKPLRLDLDSDQVFQELMANVDDLGGWLGIVNKGL TDVLKPLEVMNVDG L199_002578 MPPPPLVFRNSPPPERYLSEDSQFIPAYVPGVRRLAQPIPIDPV LPPTTEPHSYSLGSQESIMSDISDSLRPSPPPLTVTSDLPTPYTLRTPAGTNASNATI RPIITSPVSPSVDANMEDGDSALVRVKSFPHTGDLLGSSNEASSSRRATPPQVEIEDV VMNDAEDNVEEGPVPSPRSETGSQIEEEGTVRLGSLAPRGSLTRLLRDIGNPTASANS SSQAPAYLQPLLEDRARVLGSRWSQNPQSISSESSDRADPHRAPSTRSISDRSQGQAE ISQSDLNELGPLPSTDDSLNNFQSFQYEAPHQPFPYAQPLSYSPRSSGGFMPGDWGEA YTAHTAPPPLNREQQDTTEVSRIAPPRLRQPASPTDFRTLPSPIAHTQSGSPSTVERR LDTIEARLDRARGRNQRSPPTPPMLAPMHAYEGMRNRSNASRPYDLASRSRPSSQIAE EGSRRSSYTRPSSVRPSWMTDSSSSSSVTVPPPRPRPTASFGWESADINTSPYSRSFS NSDRPSPTLFRRPANEDENGRITPVFRDDQGVRRETIRSRYLQARMNMLDNLRTGYTT RPYSSSPWTILPGQDRADNAIRTQDDDNHAQHGSNSSVYNLPTFLGEAPSSTRHIWNQ YDEEESDGGVPFFADIPHPSADIPHPGQHRPGNRRWMSFDEGERERSSSRTRQGDHAV NDIVGTGNNVDEQNSLNRDVNEVIGRRLSQPRPRARATSITRRLRDPQGHHPNWEDTR LPNTSPSSAGPPGPSRDRPLARSRIGNLFGELDDNSRGQPDNTSRADVRDLRDPLYAL FNQRERRIAMNEFTAPDASGRVRESARFGSAAGEAELLFLERLRSSIPFQGDGGDIVR IGRNGPESLSMGLLEGIMDIGSPDSPFAMYQPFRRFGNGAEPFGNLKITNEMDEKEKM KIVRIVIRSVRTLPHSLRKKGAESTLKSIKYEEFGKEENQACVKGMEKDEYCSVCHDD YEPQSEITITPCKHMYHQGCLDTWLNTPNTSSCPMCRRDLAALSHLAKMVPMKTMEEA LPFWMAVVS L199_002579 MTNTTPTDEELQSAAHSLRENHPSLGITKLLSQLKIDHPEWAVS EKRFKKFITPSTSSATSTNNGSQSSKGNSSAEDGLIAKTGIDPLLDISSIAPKIKVKM FNVQGKGKGLVAKEKLQVGELVWQEEPWIATNDSDLTPYLSSREMCSQCLTLFPQPNP PLSVPCKYCAEVHFCNRRCYAKAVDQGTHNDYLCPGQNRECKALLSFINQKKARDIQG VARIIAQWRKYRDLGETKKAEEVEKRVWGVMARISQRVKEAERREWPYIAEDRLEEWR LTHLLILRALNPSNEEDQESYKSFQRFLSTKKKNIPPLSKEEEERWFSFDSFLELLGL IGLNQESSGGLYALHSHLNHNCEPNLQVRNLPKNWTPPTTLPADLPPPMTAATRGTNK ISTIVKKTIHPGDELTISYVDQRLSRVERRVKLREQYGFWCGCNRCMREKKEEELAEK KEKENN L199_002580 MSSFFKRVDPNAPAARRAGAGGPGGGPAGNSPYERLPADNGSYS SLPPRQSPAPPQQQRDLYGQSQGQPQGYGYDSPQRGQQQQPPLPPRNPPSSQQGPGGY EGYYQEKAEYRPTPPQQPQAQQRGYPQQSSGGGGRGMYNIAPCPSDPLALTNRLVVNP NDFPQDVEFVLMRNKFIFSIVRDPTHTLPPRHVGPSKIIRQWVGLSAVGETVDLEPYH PGNGDWASTVELEVGFRLKRKETSDLFDSEEMAAAFINAFPSLPLTPLQPLVFDYRGH ELKATVRAVSTLDGNDGGTGIIMEGTEVIWVKDPASGIKLKNSSKRGPTNAILAPNFK FEDMGIGGLDTEFAAIFRRAFASRIFPPGLVDKLGIQHVKGILLFGPPGTGKTLMARQ IGKMLNAREPKVVNGPEILNKFVGQSEENIRKLFADAEKEQKEKGDESGLHIIIFDEL DAICKQRGSTNSGTGVGDSVVNQLLSKMDGVDQLNNVLIIGMTNRMDMIDEALLRPGR LEVHIEISLPDEAGRFQILNIHTAKMRNNGVMADDVDLAELAALTKNFSGAELGGLTK SATSFAFNRHVKVGTVASFEEVENIKISRADFMHALDEVQAAFGVSEEELQQVVQNGI IHYSQRVNDVLNDGSLLVEQVRKSDRTPLVSALLHGPSGAGKTALAATIAMASDFPFI KLISPESMVGFSEPQKIAQLHKIFSDSYKSPLSVIVVDNIERLLDWNPIGPRFANGVL QALVVLFGKRPPKGRRLLILATTSNRSILSDMDVLSAFDTDIPIAPITTLEGIDHCLR EVKLFSSSKDHQRLLSILQESHVNDLSIGVKKLLTLAEMARQDPDPINKLASSLIREV A L199_002581 MSSSTKRDVPLLTRPQIAQRICEGQQLIILHSEVINVSSWSPYH PGGALALLHFVGRDASDEIEAYHCDATLERMKKYVVGRVEVDEKGWKPLTPPIALGLI RHPNGVKNNWVKEGMVTLGQSILQQQQVNIPITLNDDKAPIPTISASVDPSEIQLKET NVDIYRLTVEQLEPPNSELDREIEYKRSKAFQELKKRVKDAGLFKPSGWLYGYETEII RYIALALGAYGLFYYTNGWLGQMTSALCLGLLFQQLAFVVHDAGHKGITTDYFWDRMI GMTVASWIGGLSVGWWCDNHNIHHLVTNHPEHDPDIQHIPFFAISKDFFGSLWSTYYK RVMALDGFSKVMISVQHKLYYIVLSLARFNLYANSYMYLLGPKPKRDNYWRYEIAGIA WYWFYYLSMLKSLPSWKMRLAYLLVSHIAASPVHVQIVLSHFACSTEDLGPTESFPSR QLRTTMDVICSPNIEFIHGGLHLQVTHHLFPRLPRHNLRAASLLVKQYCEEQDIVYKE YGWLNGNQQVLTVLKDVANQLELLKKVADGEIQERMMK L199_002582 MPDIKLRNQPFDLAFHPNESVIFSSLLTGEVKAWRYDDEDGSTS SSWSVRPSKRTARALALEESGKHIWMGGKSGTLFQLTTEMGTIVREQEKAHDVPINRV FCVNENLIASGDDDGIIKLWDPRKPDVIREYNQHFDYISDFTYFEDKRQLVSTSGDGH LSVIDIRSNKAQPLTVSADQEDELLSIVQIKGGQKAIVGSGLGILSIWNRKLGWGDSV DRIPGHPASIDAIVALTPDIIATGSEDGMIRVLQVLPHKFLGVIASHEEYPIERIKLD RNSRWLGSVSHDECLKLTDVSDLFEDSDGEDEEMEVEQEKQKKKKNKGKGGLGDLGRG GAEQENADFFADL L199_002583 MSSLLKNIPSLTHLSQKSVLEITGPDSTKFLKGLSCKDVDNLGG GYSGFLNASGRVLHTSFIFPTVKKSILKNGNVKEEKSYLITHESPIDHPAPLQDFLPP FKLRAKVRIRDVSDQWDLYSAYASTSKGKGPERNWKFGSGGAAESQWSWKDGVQDMKL KENEVGCWDLRAGWGEGGLGRQVLVPKGDKPSLASSHDTASIDEYHFRRMTLGVPEGP NEIIPGQALPLESCMDLHGGVDFRKGCYLGQELTVRTYHTGATRKRILPIRLIPLTTN TNTSLSDYLSTATPTQIPIGRTDEQLEITYTPSSSASSKKPRSAGKILSLHPSSMGVG LGLVRIEFAERSCWSTINLNQSIKDWYENEENHRLTTKIGDQIYGVYVDKGEAYSEAL KAMDRQAGDL L199_002584 MAETATYDPHHPLSHPKAAFTNHIGQPAAGGSRDARIREREAQQ QSNTWQQPSDSYVEPNGSEDIQMDDHFEAVKLNGSTSPRINPFHLLSHPNSTSPLPPL PPHLQALTTEPHSDESQQQYFQSNNPNNDSITAPVHITKVTPTTVEVTSHTPEGTHKR VIKKISSVFKRESNDPISPPGGRSPVMQGPPPSPPLSENGDSVFASETTDTVDHHDHH QPNQDEILLSPVDEMPDNNSGIPPPTDSPSIANTFTTEPIDLGGSPTSLAPPVLSATR RVSSGSATSSRAGSLTIVRSGRLAPQRDQSETSTSASTSNGNIGLGLSKNLPDLPTDQ DRRGSDGSILSAPKPTRRSTNPSPVVPSSPRSPLPHSHSHFSPSPLPQNSMTPIPGLE GAALASDILAQTELLRQKRMEKRQKKASAQSQNQTQGGTTQMEGDVVQENDAQQLPPQ QVSQQPSSRAEERPKEPETKVLVGNLIGEDHVNYVLMYNMLTGIRIGVSRCQAKIKRP LTDEDYVARHKFSFDIVGNELTPSAKYDFKFKDYAPWIFRDLRDEHFHLDPADYLLSL TAKYILSELGSPGKSGSFFYFSRDYRFIIKTISHAEHKFLRSILKDYHQHIKTNPHTL LSRFYGLHRVKLPRGRKIHFVIMNNLFPPHRDIHETYDLKGSAFGREYPEDKARQNPK AVLKDKNWVNRGRTLELGPEKRALLSEQLRRDMEFLKRVKVMDYSLLVGIHNMERGNR DNLRENQLQMFHPEVPPPRRKPSAIKQSNEASNVRKAVRRSDPKMLDVTSQLPSSDSA DRRHFLFYQDEGGLRATDEANQNMDVIYYLGIIDICTPYNSLKKIEHFWKSMTEDRHT ISCIEPVTYGQRFLNFLSSVMRGGDKSLRPLGLEAPSRAEEEEQPVGEVTQNQTHVPN QSESQAINASPVDVEKQHHPRVRSESQISQVNLNSNVHKPQPELPQSNAQQPQLNGDA SGNDGRQREKEANEEERGINPVARDVEKNGVEFVVSS L199_002585 MTDSTTSRQPPGSAPAAPKVSNPYTHSRFGTLFSRQLPNYTGIY PVGVLDVEFPIEPQTIGSFRHKKLHTAGQAGIEIDTVLFSLFYPCDLESASKDSKGTV WFPRASPTVNGFLKMAGIENSLIRALAYAGALSAVHGLKFPSHQRAPILPHPSNDKWP LIIFSHGVGCSRLMYTHICGELASRGYIVAAVEHRDGTGPSAKITSEDGKERDVDFLR WTDIDWPDRPEDQQPKDDNTLRHDQLKIRMVEMESTIEIIRKITEGSLGDSKCHLLAS RTVDWNKWKGQLEVGDGKVCLAGHSFGGTAVIAAAANPRFKPHSIIALDPAIERLEPW DSTIPCPMLSVNSEEFVLSEDYQRLIRVSRTVQNDNRHVFAIAGTTHPSFSDVFLITP GFVGSLTGLSVPPYSVFPTTVQAIEAFLDSNAEAGNFKLDSLGSPIEVMLSKPIGKPG ELLSQILAA L199_002586 MSNIPVLGPPIDATHHNVQSNNLGEEDREPSINNEDVNSEQPEV RLQLSPNQSTPTSQITANDNATLTTTGSQRRQSDQSKDTTVDERARDGERDRDGERDR DGERDSESTVSVPEEAKTGSNGNAKRLDSSSSNEDDELNHGDSQRQDVAEEGDDGDEW NYGSQLKADQKLLESRGLAAHHSLALAYDHLSVRGQGGADDVTYAPTVGGIIAPWTNR RYKKKAAKLAKARVEAEEKGGSDDAGAGESGRGEDMRWKEGDPDPKKGEEGLRKGERY LLKDFNGLVKPGEMMLVVGRPGSGCTTFLKALAGLHNGYAGIDGTIYYGDMSGDKSLR PYKADVIFNSEEDIHDPNLLVGRTLDFALRMNTPSPHARLPEEDGGQPMSSKLYQDKT KGELLKIFGLEHTHDTKVGDQYVRGVSGGEKKRVSIAEVLTTKASVQLWDNATRGLDA DTALKFNKVIRTLTDIERNTSVVSLYQAGNGIYNLFDKVTVIAEGRVIYYGPREEARK YFEDLGFVHPDGGNTADFLTSVTATNERVIKEGHKGKAPTSPAEFSKIYEKSDIAKKM RLELDEHLKNDQKSQETRDTQDALQKEKHRLAPKKRSEKVDYFTQVRAALIRDYQMRW GDQWTLWARQATTLIQALLVGSLFYSVSDTTGGLFLRGGAIFLTLLYPSLISLSETTA AFSGRAVLAKHKAFSLYRPSAVLVAQTIGDLPIFLGQLIIFTLIIYFMVGLKVDPGLY FTFLLFTYITTLCTTAFFRFIGYSFGTFNNASKVSGLMFSILVTYAGYIIYTPSMKPW FSWIRWIDPVYYSFEALMSNELAGEQLQCIQPQLVPYGQNYMGTPAGCAIAGAQPGST TLDGQAWLNTALDMYKSHVWRNFGIVVALWFFFLGLAMITIERLPAAGSNKAILLYKR GGGGKFIRASNQNGNAPRDEEQGNAEMQTNEKPGKKGDKDTPEGVAAENTTFTWKNLT YKVHNHGKDLTLLDDVFGYCKAGTLTALMGSSGAGKTTLMDVLAARKTEGEIHGEVLM NGQPLPVSFQRTTGYCEQVDVHLPQATVREALEFSALLRQPRSLSDKEKLSYVDVIID LLELHDIEDAMIGTPGAGLGVEQRKRLTIGVELVSKPSLLFLDEPTSGLDGQSSFLIV SFLRKLAAAGQAVLCTIHQPSASLFAQFDLLLLLKAGGKMVYFGEVDNLSDYFSKHDI EIPKEVNPAERMIDIVSGDLSRGKDWAKIWSESEECKARMKDLEDLKKDTSGKREHSE EDDKYEYASTTGTQLKLVTKRASIQLWRDTEYVTNKVALHIGSALFNGFSFWMIGNKY ADLQNRIFTIFQFIFVAPGVIAQTQPKFIANRDIFEAREKKAKLYSWQAFCFGEIVAE IPYLLVCALLYFAPWYPVVGFSFKPSVAGPVYLQMTLYEFLYTGIGQFVAAYAPNAVF AALVNPLLIGVLVSFCGVLVPYPQITAFWRYWIYYLNPFNYLIGGLVSRIMWDVEVQC AEQEFGLFDPPSGQTCSAYMQDFLSQNPGYIDNPDSTSQCRYCPYSKGNEYLQSLNLG DKVDGWRDIAITALFVLSSYGFVFLLLKLRSKASKKAS L199_002587 MIIYSLPLEHPGRHRNPSGSNLTLPTSSTFRRLPTLARSPFRRS EKTSTPPPTVDITPPNNGTAETVNHHRGSTFPSLSQVVVDDSSVSSAFFASTSTTENK ESSVSESGLLPPSRSSSLLNTPDSSQPSHQPPVSPFTNQYQSPSNLGASPMINNRTST PPGTESDLEPITYPAHMAQMRPESQPVASASGSATISSRTIPRTRDFNPTASPSTITS QPQLQPPELGPIHRTTNGPPVGVPRRKESIPGNSLEDVTGSASGSGNPIDRLRDGETD SGTEDTATTRKRSNTKPSLPSASTSKSRDRDRERDSDRSLTVPAPASSSADGSTVKEK DKDKIRKVSSKTSRQPISSSRILEPSLPNSKNIGKAPASSMYYSPVPFHGKPPNQALR AHSGTLVGERIWIIGGVDKSSCWRGVAWFDTESLLWSTIETRGEQFPPLRAHTTTLVG DKLFIFGGGDGPSYSNEVWILDTVTHRFSRPTIGTPKTPIPPPRRAHTTVLYKNYLIV FGGGNGQAALNDVWALDITDTNNLSWQEWKTKGDIPQKKGYHTANLVGDKMIVFGGSD GHASFADIHILNLQTRVWTLISTDVKHNRLSHTSTQVGSYLFILGGHNGQTYAQDVLL FNLVTLQWETKSPKGVIPPGRGYHVALLHDARIFISGGYNGESVFDDLWTLDLSAGAY LPQVTTFEVDETAEQVRRMLESNNI L199_002588 MVNETDPTTTSDYFQVAVEDDQQAIEQQGESSTSTGTNGDIAAL DKAAKAEIRRQRIEAAVQRSKSEYKSEHAYTERGWFNYGESQRNVLSKDKVDKQHLEY ITTSLYYASPPDYTEALRLIMKNFDPNPTGSKPKPMGGLNRELLDTALRCSLAIRDAE SAVKLADSTKNMWKGQFAGVSALASDAYLLAGRPIDALAPLFVSISSFGLHQPLLTRL STILQSHVSVPSSSSAGKQTSTYENIHRLVGILDKVIVWKSIHLQKPLFADTSPTSTI QSQNTNTNIDMTFTDQPLNPSGILLELGIDEGSKDKEDLISGLKGMVNRLNKGFKNDP SEDVVEKSVREL L199_002589 MDTIRITKVDNVVLEHYIPPTTSEGGPSKVRKTGTIHLTPHHLI FCENSTEVSTQRKNETDLKQDKNKTEEIWIPYPTITLLTKLPQSIQGAYPLKIETKHF KNYVFLFEKDRSMGKSGGAEDVWQSIKDSAVKTSVEQLHAFFYTSPPSSSTASTSVAS TSIGWSTYNPRTEFARQGLGSKTKSWRFTDINKDYSFSPTYPSKMVVPTRISDSTLSY ASKYRSKARIPVLTYLHWGNNASITRSSQPMVGLKNSRSAQDERLVECIFSSHLYPEA AYSAPVYGATTTNLIIDARPTTNAMANVAMGAGTENMENYKLGKKAYLGIDNIHVMRN SLKIIIEAIREAESKPAGSAISGSGVLDRTLLRKSNWLKHLSTILDGSLMIIKNIHLN ASHVLIHCSDGWDRTAQLSAISQICLDPYYRTIEGFSVLVEKDFLSFGHKFLDRSNHL SSEKYFILSENEISSDDEEEDTPNGAAAAKAAQAFFASVQKQFTNSTQSHSHLKEISP VFHQFLDCVRQIQRQFPERFEFNEKYLLDIYQHLYSCQFGTFLFNNEKQKNQYTSLTR SIWDYTNQHKERYVNKEFDSDLDKKNDGDQGVLLYDPKDVKFWFRLFRRGDEEMNGSP IVLNQQAQGVDVVGPISTNSQDPVTLPSNPIRSISPLHDSTGQTPIRRDMSTSPSSGG GWNWQQLSSGAFNAVQNAGRQIKNISQDAYNQLKAEAGEIDGSDPWDSGIGRSGVMGS GPITSASGNGNGLEDRKEYKPYTYTPRTNLRIPSESNPWSIGNTTSTSALPSVINPNF NITTTIPDSNDARPTVNRLNSNSNSNPWSTDKPPATSTLPRPNSDKVERNLSPSLADL SLNENTNSTPKSTTTTDEEMIRAAMGEDKKAWDPLGAL L199_002590 MLPVHRRAAITASRRAFLNIRSQHSSSSSASDRVNTSSSEGQNE LTGSAKLFADSIEEESISNTSSRDHLIHSQGEIWTGDESTHDAVLRMLLDANKPLREP GGIKDNSSEDKIKKYIKSLDLNPALGTPLFSSPKVEEGEAEKPNPHRTTIPPHLHRPW HSTYTGETTLKSAPQIKYGTFIKSNKDGDSLTNLLELTLPPGADGKTRSRVKEARRSG KTIRRLDNARENALDYKLGIGSGEQVLIDIGEDEEDETFRGNRQIKGSSVLGAQKGSA SGMRAWGGLVEDRIQRAKGESRLSGIYPPLRITDITLNLSEAGFFKITNGKGKPIPKD PEASNPHLETGELLMNRIVKRQGALPPWIELQHSLDSTLAAFRSTLLTTYTTHLVRNV ISTNALSPLPPLHSIPSQDEAWEARELKFHQENIKQINDLVRRMNAQAPSPARRNLLT LEGELNRLRGDVLKNEVWNEIKKRAEDAARLPMKPSSSLPPFIFDNEGWNALKTATRR SFGTIAAPVSAVIGKGRVGGVVDPTRQSSGDDAGSNGPSSNNSEGTSGSKPLRLAVMG GVGLGAVVYFYRRPVKNDSAVEMDYISVQPDTEGPIEEDIVAISPSEPPLTILRVIQL YVLEPFATIFRFFHLAFLFGPVILTTPMLLVGKPERRRRRSGKPVSGDEEENWGAIWW YSFLVKQMERAGPSFIKLGQWAASRADLFPAELCDKMSKLHSNGKPHSLSHTKRVLER AFGMKFDEIFEEFNDEPIGCGAIAQVYKAKLKPSVFIGGAASAKQARVPVDESHTRSV AIKVLHPRARKTIRRDIAIMSVFANIFNAFPGMEWLSLPEEVAVFGDMMNSQLDLRVE ASNLERFEVNFQKRGRRVTFPSPIKLGQNESKDVLIEEFENALPLKWFLTNGGGDYDD MIAGIGLDAFLEMLLLDNWTHGDLHPGNIMVRFYKPTPTDYLGPLLNKFSRHPQTPPS DSPPSSSISNEELVESLASVAHDHDAWHERLSELYKEGYEPQLIFIDAGLVTSLDDKN RRNFLDLFQSVAEFDGYKAGKLMVERCRTPEHVIDEETFALKIQHVVLSVKSKTFSLA KIKISDILTDVLNAVRQHHVKLEGDFVNTVLSILLLEGIGRQLDPNMDLFKSALPILR QLGRQMGTKEVINNTPKGNLLAMIKLWVWVEARQVAGEASILDQWIKYDRLFPAI L199_002591 MSVSPPIHQQRQPSASPDRSTRSEQEFSDEPWKSLAKIIRDVGP TLSPDEELGSLAAAEAAVIAKDTERNAVVDETRNELRQSSRQLAQASAAAQRPSSHPT AMEHEEQVRQLEQKQYSVGKQLNEEQSVVSKKEAELGKLKLEKQEVEKINIGEDDEDG QSDWMDGKIIRLKILSESGFSLVPSKDNKTASKILIRNDLKDDIHTVPIDNSRSKTNT ANLIWSLASEGI L199_002592 MSSTAALPKRHTRNRLGERRAARDPSSSRDASEEDNDNIENEFS DVGSTISTHAETVSSASTADSPRMQSTTTASPLHVAASGIITAAGPNATQPLTKRALH QKRVEELSEQGSMSEGLDSPTYDGDIESSSTIGGTPVHPSNLHHHMRGAQPTSPTKGS LGLQSDQPAGSSPYGTNRLPTPKASMANLALSDSPPSITPQLPYERPTDVPVAPSKAL AEPRRSQTLPLSAKPSMSSLGPPKMYTRPIELSEENIKGFVERAIHGKGAEDGVERWW KTNPPPEGKVVRVYADGVYDLFHFGHALQLRQAKLSFPKVHLLVGVCSDDLCASHKSR PAMTHAERCEAVRHCRWVDEILPDAPWVVDQEWLDKYDIDYIAHDEEVYPSKDHEDVY AFAKKNGRFVPTRRTPAISTSDLLERIVRGYRDGFFDSKLEKNGHPELLAADVDWDSS ASVEKRERRREQAAHAHKHHQHQHQHIKK L199_002593 MTRQTDFGSEKPSDFDPPQASATARSSSNAATTGSDSVGQSGFR DAGTSFSGGTPSTLTQAASGTDALQRSFVAPLSQGSNTTTAAASAAARSSRLAAGEHN PRINMIEADHARVERVTSGENKDPEAEWLKGANLYK L199_002594 MEALGFNIATGFLEGVVRGYKGALLTQANYHNLTQCENLEDFRL QLSSTDYGNFLANEPLPLSTSTIADKATDKLVAEFNYLRTNAVEPLSTFMDYITYAYM IDNVILLTLGTLHERDTHELLERCHPLGVFDTMPALCVATNVEELYHSVLVETPLAPY FRDCLSAQDLDDLNIEIIRNSLYKAYLEDFHKFCQTLPSPTSEIMTKILSFEADRRTL NITINSFGTELTKEQRARLFPTIGRLYPEGNNALARAEDIDSVIAAIDHISEYKSFFD KSNTTGNTGAAGEGGDEGGSSLEDEFFKYDVELNKQSFLQQFQFAVFYSFIKLKEQEV RNLTWIAECIAQDAKDRVNDYIPVF L199_002595 MFVTSKASSSRLPPAIYTICRSFTSTPAMSVKRPQKPYEAPVAK IDAYGNRIPIDFHSGDKAEIKRKEFLESLSERKSKSHIEKYGNSDKFGLRRSSNRNYR RDEDVGDRDRNSNSDGGLHDELEAEDWRNRIGIKSSYYTTKSLKPGRRKFDRAMESYM EEAKRLNPNADQIKLENEYKRDQKKGRKQRIPGLPPPEMQNNRRDPRLTSPYSIGSDR KKFNNENDEDDKGSKLFLKSPKKTFGLNPFTSSNSRVGGHQGGNDLKADNPSSSLSEP KQPRSSSEYWRPTKKLTYSAMAGLRTLYSMDPEKFNREFLSEKFGISREAVTRILKSK YRSDSTDDEGSGLGSGSGLVIPGGNDKLKGTKWDRNPGSSEEISPVPAILRAFGRDK L199_002596 MLSASTRSRGASSTIRYILQTRSQSTSDTPSPAPTRPAYSKANL ASFAAEGELLTTAHKASPRISPATPQAQSSSATSKPLAFPRPVLKSNPYANNYTVSEA DLDAFEEPLRLNPTPSPLESQPSSSTVTTASTIIAPDHEPPSMPRKGGRTVRAIRRPK LKSKHIRVLTDPELVYQPLELGYEETVELQDGYIIYKNPDVPSAVITWGRLRDACTCK LCRDPSTSQKTFTTGQAMREAYPSGTDVKPLMEVVDMTRVNGKSSKKGLKITWNPTTS SGEHHTTYLSRFKLRLLTDPQMYKEVFHPPLLFDRKLWNSEEVLKTDGLRIPFREVDT KDPAILLRMLEQLHQYGLIIVENVPTTPMEDKDCYLRKVMGYIGEIRNTFYGETWNVK SMKQSKNVAYTNLDLGLHMDLLYFSSPPRFQALHCLRNRVNGGTSYFVDSFKVAQDLP DDVFRVLQHTRIPYVYDNDNHLLRYSHAVMSSSSPEKNRMVYDKHYAINWSPPFRDMK GPDSSRPAPRSPALKAKQEQQTLEAIAKFESALSDPQYKFEFLLKEGDLVIFDNRRIL HARTSFSDKSPEELKQAGMELVEGEPTRWLKGCYLDGEVVWDKLAVLKKQVAESEKKQ DRNEAKR L199_002597 MSFQDQAQDENERLFAFQRLLDGSQHASDPVVDLPTLRRLCGRG IQNHPPHLRPLAYSLLLETLPPEKRLWKPTLQTQRTRYYNLVKTFMEELESQPSSSSS PHDKLILGISKDIKSLKSSFWRKRTNPTKSSPFHPISSSDPDDLAAQSSQAEGYGDDA DEEEEALLDSGEHQPPLLSRRALFKRIDLLNQMEHRGGFGNTHNAKQKEMETEKDATD RKQKEPEEEIMSPKITLSIDSTPTAPILSRLDSIKPTPLDTSSASSLQPNAIAIDQDD SSVSENGEPNSPITLLSPKPLPNNSTSPSLAGYSGSLYHPETHLESLTRVIYIFVRSN QQLEYQLNFVDLISTFYLIHAGGGGSTTRTLDYIEENTFWFISSFFNEIDQCAINTEY VLTKLGNRLNWINKPLYDILINQRNLDLKLFAYRWFNQLFLKDLPLGIIPRIMDFIIS EKLSTPSKQPKIDLMVDIGLAMILLVKDILLEKPKSKVRYDLGSRRNIGLWEQPIEDD EEDENVLFLRSLKILREYPVRYVGGVEVLLDMADQLRRARLDAERNGEIIDLPSIPTI SHSPSKHHNPKMNGSTSIKGGSSSSWSKAIGSFWTSSTTIKQAPSTPEKNKHLASNIH NDGSSDLQHNVQIPTSPRDRSDTLESTTSSIQERLSALTTSTPPTHLKSASSPSSLPR PLLLSSSISARRSSNASAASPSSRFSMARRDSSSSVISNSSSPVSSSKRNSYESFTNG NGTLSPPPQNLRSPPPLANGTAGGGGVENPIGNGLYRIGSRQRSRSSLGDQLPRSPRN IVQRDLNYGESQIQHSNQTPETEHEVGSRATTPRPFSLPSSTCELETR L199_002598 MCDIATLFNTQTNADLCCFEQVECANYLCGEQGVTVENGNGTNY CYLDQGRASENYDEAPSGVCGGNMACALRALSTEPSVIVNQELLPTSYSSASPSASAS STTSSLSSSATPVLNQGMMGIWVVAVLSLAVFGKKLHM L199_002599 MSTCYRPTLADNSTFDVCCFDNAECAEKVCGSFDILQQPPNNIN NSVVQANGTQYCYGWADLMNQSYTTFQNATCGNTGFQCTIGKQSLHSSSTSSSTSSSA YTHTLHPTLLGIWIIGLWFLARFSEN L199_002600 MSYTTTAVEHLPSKEEIINRVSRLRLVGDHEDKVDTKKTARPGP KYPQYLPVWDKTKFPDWEEVPYTDPGSRATKDKKNLFIPGSTHRQITPAIGEEIEGVQ LSELSPEGLDDLALLAAERGLLVFRKQDFKDIGPEKQLEIVRHFGRLHIHPVSKQMWV LSFSTLADTQFDTDYEGYPEMHVVYRDPKDSTISRYVKPNEVQKISSVSWHADHVAEI QPPGITFFFALEAPPAGGDTIFASATEAYNRLSEEFKKRLEGLQVVHTNKDMLAHSEA SGGPVRFSPKETLHPLVRTHPVTGEKILAIHGGHATRIYGYKQEESDYLFNFLLDVLA KGHDFQTRVHYEEGTVAVWDNRTVIHSALYDFKGDERRHVVRIAAMADKPK L199_002601 MSKAFQTIKGQIFLNDPSWSEEKGKERVLVRRLDIFFMSYLSLS AIVKYLDQQNISNAYVSGMKEDLSLFGNELNLFTTYFNIGYLIVIPISSYVINSVVRP SLWLPTLELIWGVLTGVLASAKNAKTVFGVRFLIGFCEGTAWPGTMILLLSWYTPAEI GLRLAIFQSFTYLGGIFAGALQAALYTNLNGHSGLAGWQWLFVVNACITVAVAGWGYI GCPDYPNRPNPWSKWLKPEHVETGLRRMGHQGRALPVGWSWKTAKSLVSRPQNWAIWA GYTIYSQGGTGNGYFNLWLKSLKNANGKARYTVSQINTIPIACSCINIVSLLIVLTVS DRFQTRWPFLLFGATNGLVWAAVLAGWNVPDGVKMASFMLINFSAPGANLFVAWVGQI AKHSAEERSAIIAAFVTTYYAITAGMPLKVWPAKQAPTYKIGWKYATSMYAAAIPVIL IVLYLEKRQERIDARKKDIEVQDETASTSSEKTDPSSPYDDSTRKDIPADQALSEPIP RL L199_002602 MESIVDVTTTTFQAILSVCVVFTAGYAYTGKHAPYLSSTLVPFA RTILLPSLIFTTFAGTHVLSAKGLLQLWPIIIIALLTHISSLALSLVAVRYAKAPGWV AESLTNNNNGSYPLLLLYSLYPIGWGRVLDHLRWRSMDTIATVIERATVYILVNLVIT EAARIVFAPLIATKHSHLEVDILDEPISDDQIPSEIVEDEEDADERTPLVGFKRNIKS THSLLAKTRSPIFIATVLGLLVGLIKPLQRFIVGTDDGDTWLWGSIGLGLRFLGGAFA LVEVIGIGAGIRAGEKHIDPEYKTPPTLGTVLTLVAWRLIAIPAIVLSVVYGFRKIPS TKVFLQDPAFSFVLALTSITPPVLPSKLDPYQSSVLFSTFYSTLITALPIAVAVAISG RGVSTHIDFDLVRALKSAGGGGLAGAAAMVVQVLTLMPMRTIMNYQYRYGGSLKHATK TLWDDGGFKRYYAGLAAALFQGPLSRFGDTAANAGILALLESFTWPVLVKTIAASVAS ACFRMTLTPIDTLKTTQQTQGGGAGLQLLKQRIRDKGIASLWYGALATAAATFVGHYP WFGTYNWLSAVLPPPHNIIQKLARQAFIGFSASVVSDTASNSLRVVKTYRQVHEGDVG YLTAAKEIVASEGLLGLFGRGLPTRLLTNGLQGLLFSILWKLFADLIAGSGK L199_002603 MPFKDILLNDGRKIPAIGFGSWKIPKDVCAGQVGQAIDVGFDHL DTAQVYRNEEEVGQALKESGLARKDVWITTKWSGVDDKDPKTSCEESLQKLGIEYIDL YLIHHPRLCKGDIEGTWKKMEELKKLGYVKSIGVSNFTKENLQELLASASIKPVVNQI LLHPYVIKSTTPLLQYMSSQHIVPEGYSSLIPLTSKPGGPVDKPVNDIAKRLNVKPEQ VLLAWSKAKDAVIVTTSSRKERLEGYLDVGDIVLTKDDVKAIDDEGAKGELWEERKKQ AGVMAKYVAAAGLLGYLLYTRL L199_002604 MLLPSLILPLVLLPFTILAKPPRNYLLPNSVISTVQVDTNVGCG AYDRPYIPSQNSTTYEWWYFDAVSADGRSSVVLIPFSGPIVGTHHPQFLLQIVTPEGE IFNQLVEYGPKSIMYVSTKGDGSSGVIGDGDFIWVGKPDLGKYDLKVDLGRYNVSGTV TLISAADPFVLCDEIGPEASTSSFWFLNWINLMGDAVAVVDLQVGNERVAFIGNGYHD KNWGPVHFNQHIHHWYWGHGRAGDYSVVWYKMVTYFGTIKSGAWVSKDGRTVVSACVA DDSVDVVPFGNNVTIPPNRPNNTDDIEGFNITINGEGREGDLYVFVFEANVWTPGYAG TYARWIGNFTGGKVGEEWGSGVGVTEQMGPFVGPS L199_002605 MTTAWSCPNLPSEGTDSICCFANSTCAEYVCGHFGSNITIDTSG GLLMHNCLVSNGTEATELYNNPPANGTCSFNTPGNGCVIRTIANESTTTSGSASASAT TSAPAALGSASASASGTSSAGVAQLGGINQMVLLGIVGMTWVIKRVCH L199_002606 MSFDPPPRRTPAASAPSTRPTTPSASDPSTPTWKDKVKARGAVW GKKAMDKGVKISDNIGGRVNDIAEKRFGTEAFWPVTGDFPKEMDKCARILRAFTVDGI VTEEKEKPDPSSPDDTKKKKKVKVIRKIPPSVIASAKGLAIFTSMRTGFAPFGGAGGA GVVVAKLPDGSWSAPASISPNNLSAGFLIGVDVYDCVLVIRTQEALDSFKTHKVTLGA ELAVAAGPYGAGAAVEAGKERAPLFSYVKSRGVYAGVEVVGQVFVERFDENGMMYHWP GVKAGDILSGKVKVPIEAASLQSALKDAETGRAQSLKGDSLDIVVQESTDLQLNEGET LKLPPTPDQTDGHEHESDPETERIHHAIQAGSHNPSRTNSPPLPLVAPVPQHAGGMTK GKGGRLVPPPLPGRNPNRPNLEHLQHSSQSRYSDAMDGQDMVDSPSSIRYDAPSGLPP DHLLPPARIESHHPSPLGAPPPPSATSTLVPGYDDAPPRAETEEFPPAYSDDIGVVAG STTAYPQEKKAPLESGLVPPDAMGSDGQPMSESERREWEEFLSSGGDNHASSGPSASA GGVQGLTTSMEDHHLYENNDRRRHEEREESLQNPYGDEEEGGSGKGKGKQEREDSLQN PF L199_002607 MIHPEGNQAVQEQWKKDIGDLHLLYDYDAKNPETGAPEKWRYEM WFSHEDRITYAIHGGPMAGRYNYQKADYQCIRPGELWQCNWLEETGTIVSLVYDIPNK KITTLLAFSIGHWSKPESAHGDKRNSEDFERWRGLAKIGDSQTARFLLNDQADIVEEF RGKGDLQPIDPSWPTL L199_002608 MYSHTHILRLSRARPPGSIRLYVRYTSSATRAVEEDAPFTGWSV RSPRDLYNHLSKYVVGQEKAKRTLSVAVFNHYHRISPRLPPRESPPPPPPPPRQSPPI ILDPPSSTSTSTRPVWDRPNRRDDLDPMTWDPSRAGSQIERDATKVTGADGTDPTLTH DLLTLRSREGQWARDGYFSAKPPPPLPSLLGQTMKKRKNDQGGRKKKETIGIEVESRS SSFAEEEVPGSIEPQEEVAIEKSNVLMVGPTGTGKTLMARTLAKILDVPFASCDATTY TQAGYVGEDVENCVLRLLQNAEYDVNRAELGIIHIDEIDKLARRGGGDFGSWGGARDV GGEGVQQALLRLLEGTTLTLQAKGPAISSTPPSPPSSSNHLGGAGPSSPGTTLGPKAE SKAAYGDPPGWDPNNPMNRGLGGKKSVREGLPGFSSGGGSPGGKGETFVVDTSNILFV LSGAFVGLDQIVNRRLGKGSIGFGAPLPKTPSTPVSTSTLPLTGLSTPDLTTYGLIPE FLGRLPVISVLSPLTLEDMIRILVEPRNALIKQYQALFEKYGSQLRFTDKAIKEIARK GLERGGGARGLRSIMEERLGDAMFEVPGSSVRYCLITEAVIKQQEPALYFSRGQRMGF LQAIEDEDGVNITAQEIEDSEEPERLSATG L199_002609 MLVKQLLNFIALVTLVRAQSFIGCVDNMPSDTNEVEIEGDCQST CKNQGYEYAFWSAFETDCQCGNSPPKTFMYNVAQDEYGKCLPYDREVTKIHVPLQFHL CAKTIELSSTKLVKATSTIVGTMSECFDLCPEADYIAIAPQWVKTTYECRCGGMPISY NPMICGEGTFYGYSNPSSKGNRRKGTAGKKSKPQRLGKQQVEKVEKGEGRPNKYYGAL L199_002610 MITINKHVLYIILSSRIVLAAQPYLGCVDSYSEGDFAYDIDEGS TCLATCTGQGFAYSFTNFGGQGDCGCSNSPALPLDYIDSGSTDSSCTSGYLTQRTSSR FDHLDCTGPNGEVFFDQTETLQFQTIQECFDNCQSYTFAVARPNPETQYFSCFCGNDQ GEFQYTPQVCDANSEYIYQVAGESPSEVVGRRRLNERRELIRQRKMAAAGKAPKCPKG LTACNVPGVEDAYECINTDVELESCGGCVHAKFGESLMGRSKGRGVDCTTLPGVSLGA STCYEGQCEVYGCKKDWYWKNGACVKR L199_002611 MSSAPTNIPSYHLPPPINQFHDDSSSNTHLPSTHHALSPPKTIW HTGRRASDTAYTTEQSHRKTHPNSKPSSLPHPSKLSRRGSSPQKSPLRRLFHRNILPI SPSTTSTFSSASTTRSYEICLFDYGSHSVIRFEPVISSPQTPSHVLSNSMEESPITGY GIACSKKTEKEVVLTCKNDRGENVANLETSFRGTWIGIMGEEGNAWLEEGDKGSVSQK LHLDGAIYQWAETTGLSILVDLETRRKIAKLHFNVLARDKLVISEEGLDKLPLIIVSA AKLWSEHNSERDFRLK L199_002612 MSAPVCREFMNGYCRFGTACKFYHPPRGQGGVQPRGVSNGSSKY QFNEDTIRQDLTIEKPIYFVSNYAAAKYEPNLFFGYDISPEELRWKSVIALREGKVQD YVNEENTLMNQANSTITQAVANLSATNKVAEQLHNARFTGNSAPGDPRPKDFSEAAAL GTPSSNSAPNTSGFGSSGGTGGAFGGGNKPSAFGGQSSTSGGGAFGQSAFGQSNKPSA FGSSSFGSSSTPSAFGGNKPSTGAFGSTTAPTGGSAFGSSGFGAIPAGAGSAFGSSAF GSSSSSGSAFGSTSNTTPAGGSAFGQSGFGAKPAGSAFGSAATSTPSAFGSTTSPSPS AFGKMSTPTSGFGTSAFGAKPPGTSSAFGAPSAPSAFGSTSTPSAPSAFGSSGFGNTS SPSATAPSTGSAFGQSGFGSSAFGQSAKPSAFGSASQTTSAFGNSSFGQPATSTPASG GGGFGAFSNSNNATSPAAPASTSANPFGNPSTTPSNPFAQSQPAPTPTPAAPTNPFGA KSSSTSAFGNASAFGQSSAPSAFGTTSAFGTTSAFGTSSAFGSTATQQQQQQQQQNTG FGGGAFGGGLQPEGDVPPGWSYDDPWSYLLPSENGNEKGEKLEEDAIRAFKDGGFELS AIPLLPPPVELRA L199_002613 MSSSKSKSKHQEEDLKAKRKAYLSKADPSTIEQLVDSDHLGREQ FREGEWRPSWANVEFDEDACLQNVMFVPADGFYVPAGSILPLGAQMPEMTVLQYGGYF PEGTSFPGGVMVPMHARMVNILPEQTIKPTVPIASESMCSIQ L199_002614 MTSTHHHHHEDLHSKHDTNLVPSKATNQHSQVSANHPDSEKVSQ SRHHDHSHPHRHKHRQHEGTSYEHTWSIQITEEELGYLKFFQQMIPHIFSSPYHYELA IAPALGYQRAKELSYAMVTPITHDTFSYVSPDGKKHIGQVDREHPHTIIMEMHLSPLY GGSGGIMRKEFVEQELPPNGDIP L199_002615 MSPPEHKMAYFPRITSSLPSEHSEFRNVLWTGESSQLVIMTIPV GGEIGEEVHHVDQHLVFTSGTCKAVIAGEEKEVKAGDLVIVPQGTKHNFINSGPSPLS LFTVYAPAEHAEGASHKTKEEGDKLEDEGKDEAPEWAHKG L199_002616 MCFVPNTPSNTITDSRCCFGSLTCAQFICAVQNSTIVNEDNNIW CDMDGLVNDRAYRQDNGTVCKEGDRGWCLGGFGVQSSSDRMVKRSARERVGKVMVLVL LGLGIWNGIFA L199_002617 MPRIPTEKISKIPTANEPRKIGSKKVRPCDNCRRQKHSCHITIP GKPCTDCAARNKECTFIAPPLKRHGKTPPRNTPSSIAGPSSRPIESERRTHTMSALIR SSRLPNMEEEDEPDGSDDAYYDSLDMEYDDCEESHYLGPSAIAASSLAASLSSGGGGP GQRFRQVSDGPVPALFVRNPALLYGRLGPPEGTQPLLQECVELVGQEKAQELTQLFKE TTLLAFPVANRMRLEAVIRREPGSGTYSPTFLAALMSHAIYTYRPMERAVATIMWKKV LAALEDEYRLPRLVTLQTTMMILLCNPHENHAQNSMALGRAVGCAYVLGLHVECIKWK LPRWERSLRRRLWWSLIIMDTWRSYIQGRPPYIHPTDHNVSLPKMWDADWGNDTSDEP RQSMLSFIGMAKLTVILERITSNFHTLQAAVSPPRDPYRSVLLESIANDLDAFQDWLD PQLDLPIKPKLTSRAPGVRSMQVAHLGLKVALIRLTLGEPGETSHDLEGTLKAALKIG KELVDFLECLDPVDYQTFWFPYSAFNILNGAALLLRIAVKANTLYPMINLESGDVLIR LVTVIKAGYISSWTTAITAKTHLELLLKSLESDLPLAQSLLSILADPPKVINALSQDQ LLDPSMTGVIGTGTGSNPEWSLDINNLFAPMTDVDQQLWSSLGWLWDTQSMQS L199_002618 MSAHQVNGNATKDWPFPNPPVVREEYLPKLAIKPWLSAHTPQRV LKNCKVVDPASGKLLDGLKSITIAEGKIVSVEDTKEQDEGVDVQGAYVCPGLIDCHVH ITATPGVKTMLELVKLPDQLTSLRSTFVLKEMLARGFTTVRDTGGATKIIANALEEGL LEGPRLFQCGKALSQTGGHADFQSAVSGGNQTGCCGGHSESLGRVCDGVPQVLKAVRE ELKAGADFIKIMMGGGVSSEADPIDMIQFTEEEVQAITKTCDRMGNKISTAHAYTPGA IRHAVENGVKAIEHGNFIDEPTANMMAEKGIFLIPTLACYGIMARKPFEDFLSEVGRP KNLEVMNKGLEALKIAEKAGVTVCYGTDLLVSMHALQTEEFTVRSQVLDSPTILKQAT TNAAKLLKHEGKLGVIAPGAYGDIIVLRSNPLEDITVLDRPEDNLLAVIKEGRTSMSH IKGL L199_002619 MGGIFRDAPPSNTCEPFIPPDDQVDQVCFVNMTCATYICDYWHA GIARRNITLDHDGHYAERQFCYVPNGTLADERFDEAKREAGEEVCPGNMTTFYKNSSA TSRLRCGIEWEYGEEHGWKSRLCLLGMAWMMGVGVKLVVDGMGWGDL L199_002620 MSSSFPNNQSVSSAPYYTSPQAGHLRPGQPPQSHPSPNLAPNYA YGYQNQQGGNIQNLNGGGDQHYFTHQPQPPTVNYNYTNQQPQPDYTTAYPQPHQPYTQ AHAQQRIYSVSPQPQPQLYQNSPYYQPSPHLSVSPITSNSPAPAPIPSRSYLSPQPVY PRPPFTPPAGGVDPSLVWSQPPPSQSSHAFNPSPQSFTIPPSNISSAPVSSSPRKIIL KVGSRAVAPQVDMVGQEETMYTGRPRRGASQRAQAQIVSYREDDDDFEEDGQGEEDAE GEEEDDEVPVNPIPIVSLKPTRSGRTTKAPERYGDDDDFENKLMPSSPPEIDPTSRRT SGRARKMVMDPDDEDEEDYVPKPPPRNAFPPRSTRTSLGSINAVEPPTAGPSYTNGTS KPAKRNTRQSSRVRHSSADAEEFEPTDDGSVSDEHASSDALGNYEDEEEEDDDLISRS SQDSPRKRKPKSTRRTTRSMPTRRSTRSTNKRADPDSDDEYGGGGGRRNLRTRTSKPN YHIPTLDDLSKEISMAEAMAAAARPNGRPAGVGGLSTGVRFGASGGNKGLPWSVKGKD LAQAMGDPDTSDSDDFASPLKSAGAGAGVGPSISTAGPVQRTNAVGPSDVPNYGRINP KSNMADADPLGVDMNVTFDNVGGLDDHIDQLKEMVALPLLYPELFQQFGITPPRGVLF HGPPGTGKTLLARALAASCSTGNTKIAFFMRKGADVLSKWVGEAERQLRMLFEEARAC QPSIIFFDEIDGIAPVRSSKQDQIHASLVSTLLALMDGMDGRGQVIVIGATNRPDAVD PALRRPGRFDREFYFPLPNKAARKKIIQINTKKWDPQLSDEFLEKLAQLTKGYGGADL RALCTETALNAIQRRYPQIYKTADRLQLETKSINVSAKDFMMSIKKIVPSSARSTSSA AVQLPSHLVPLLSAPLERIRKAIDHVLPPRKNATALEEAEYEEEEGDSFEKHMMLQSL DKLRTFRPRILVHGEAGMGQTYLGPAILHHLEGFHVQSFDLGTLLGDSTRSIEAAVVQ LIIEAKRHQPSIIFVPSLSAWETTISDTARATIKTLLDGISPSDPILLLGVSDATIDQ LPIDVRGLFGFGPENRIGLEMPTKSERTAYFADLMAALHRPPTEFPDGMPRKKRVFEV LPLAAPLPPRQPTAAELLREDEKDQAARDMIFQSFVHLVRDFVKRYRKPAATIKDDAI AYSNYLAEQAAAKAAAPAPAPVSEVAESDVAAVIPTTDGDVAMAIDPVIPPVDIVIDP LPAADAPLEAAPLEQPTVPVEPVWQAHPIDVDTLQYKLLKHKYYTPSDFLSDIAKIEE NAEKVAEPDRMGRIQEMASSARYHVQGFDQKWLPEFERYKERMIAKKAERKRQKEQAK GVDKEVIANDGDEQQQEQVHGEMEGEGSSLKRIREDDHDQDESQGREKRVREDGMEVE VENGNQPQPQASHDDSSATGLTVNGNSNGDSSSSDVVPSVPPPSVAHPPFILSDESIR SLKLDLDLITSTFNVDQLEQLRASLFDIIWRGRGEWDRTGMVEKMRERVVEWGQEVER WKDSGRV L199_002622 MSFSLSRDKGKFEWASDDLWALFCQASNVYKPRVYRMMWDILRF NLFAVDLLSEKGESETLSIGEYLDREGYSQAFKEDYLLPLTAGIWSIPPEKVALDFPA MALIRFFHNHQMLQLYGKPAWLTIKGGSKTYVDYIISKMDPSKLHLNVGIDTVQPTDQ GVWVTTEQGKRELFDKVILATHTDQAVRLLGQNISEEEKMLLGDCQWSANEAVVHYDE ALMPTRRRAWTAWNYLTSTAYVHPSHEAKTSASDVNSISITFNLNILQSLPISKHGIA LVTLNPPVQPDPSKTIARYVYHHPELTPSLINAQRKLDSIQGKRGVYFSGAWTGYGFH EDGWRSGMEITQRPEFNTQTKEEILYVDGREVKFGMIEKTLRVVVGMIDWSVKGLMGW MIWMICVWISIVRRLSGRNTTNNPAHNGRKKLD L199_002623 MPSSSKYSTSSPSRSRLEAELQEATEDRDWNRVHELSERLASST RKSSSTSSGQSRKKPDSDHDVNMNRPTRTHFRRSDDSSSSSGESFSIGESLLSGLFTG LGVAAGASIFSSLTTPRYECSTFSLPIVRTRTVVYKPVRRYWV L199_002624 MPPQRSHSPPVDPFPPLRRAGSSSSSNTPSYASIAARSSSHHTS TDQQRSESERSRRITDFERQLRERHTPRAWGAEDVDRQDNLDELNMNMNIHPEPEPEP IDIDPSPPWRSGSRHRNTAASIEQVGSGVTSTPSGSAQNRTNARSIAGGARNPPQDEE GISTPPAAGPSRPSNRSPPSPSPNRPARTNPDPVPATRLAPMFTLEELLRTQPPRRNE PSSSNTNPNTTTRPTGQTVRAVLNDRRRRREMAYNFPWGMQMERALPSGAGAGAGAGA DLEGDVDAVLNMLDDSTDPIVIPFPTDNRSVTRTSTRPLDGSSAGAAPGTNPSQSITS FLRRQRRNPAPTRSNFQFAYDPDEVLPANYPGIEVEDDNLDEDSDEERWASRLRIHTF SDGGENEFDIDGPAPARAQNPGRSNLHRGGNNRRRRSFLERIGAPPDLRFPEEFEDSM DLLPTPRGRTEATGCEPTNKETAENVVPLIVSSKGITRPREEEQKGSSTSSSSPIRKK RRMGKISSDSLTSATYSPSRPSYLSHSALPADTSLPSQFIAPLPRSHLAISTHKSAAH SPRPLITFVGCNPTRRDDDATALHTTVPIPVACGIHYYEVEVINKGIEGFMSVGWVKE GINLKRLVGWDKGSWGWHGDDGRSFEGSGRGENFSETWTTSDTVGCGINFLTGKAFFT KNGKMMGHRFSKLASGLHPAVGLRSVGESLAINFTGPFKFDIDSYVRSVKDDISLEVR STKVVEIPRLVDQVASSSTTINEEVVEVAKIKGENNIKSALAQALQKELKEIEVPPTE KQKQEQKQGIASESTQTVSDPMAKATSAFVLDYLQHNGHSKALSLLRTGMLNKSGQPG STSTSTDATLNAQAEPAYTSKSREEVRLSDFQSKIQAIQWLHTTMTQSFQNPVPTLLL QDLSGSNNFSISVQASLEIYKFLHLLYISTQSEASGNDSALEKVLDKGRSIRVEMSIW NPDDRAVAEKAFGLMGQPEILDDVFWKTKRQELADGLVKVLREMNNLNQISQLEQAIR QTRVVLRTLAEKSPKSGAAFVDMKDVLKNS L199_002625 MDYEEGEIMNCSPISTSTSTFTTHTALSPTSSTEVEDILLVSRG SDNRQPPSKSTQKIYPSPLSLLVPQSSAQGMREGHRPEDNMTRRDLLSPHQSQAYSDH PSLLYPDRTFRRVSNTTSRSSFPLEELREILSMPMPMVERCISIWELYESRSDIDMEI SRIQEAHHKQLSVIQKRFDDRSLKIRDELVNLRKNLETSQSKLQKETEEHQNTKETKN HLMIRIDGLTEVIRYLKKEKTEENQKNLNVHSDLREQLRMKINHITEMGHQISQLQTD KRMLNAQVLGITLSIDNSHQLKINELNGKLEEEKKKDKSVLKHLQQIAQLNRDQQLLK REVSKLEVSLEQEKARGDILDKELETSNRSRIESGERAEKAILSCEEIKQELCEVKAE LCERTKSLEGKEKQLTDLGITKDRLVRELVQTQTMANKRLEVEKNNLTQQFQVGINEL RSQLERLTVEKGIINSSLTTVQSQLRTCQSNLSEQEEENRELKMKIQDLDKDITDKTK REKDWQGKMKRLKVLNADVENLNEEVSNLKGQLTKQTIPPEKTIPLAVRKSPSSGYDS KSVSKEYRLTSIVSNSNSLLRASSPLSSAPPSPDPTTPRSIHNSDSAKTLAKERSTIV SELACDDHEQRRLVVISRSKLDELRKQLQAAKLENERSKENKNSTDGKDLDSHGIATP SPKSEISSTSRILPPNRNHYCFTDKWSKEMREEPDWKKRYKMQLRELVGIVEGKRNEV VLNILKRPRQVAEVKTKTNN L199_002626 MEAITSHPPHSLPQRPKYPLGQPPENSLRYARRPIDCWRAPLVN PSSNVKSKPDQHAGPAVSEETSISATSLHEDEYDPSLEVPGMTTYKRSREANCTGKEM ECIEEEGTTVICGSMEDDTPIKKRKLPIKRTPQSSPTPSSQLDGLSMTVEHTETVGTF IVPQSCDGRSYTVQPSVNHYSLPTPRTTPITTQFSHDFTADTVAHTAALLAFLSESHL QAAVSLLPSTLSPAHRQALVDLEGRMSKVDDDRSFLTTKVSSLEEKLDFKTKELRDHE IIYAGVESELKITKEKYRKLKILYEKKDETVQSLKKSIGDMEDRHEQHIQRKVKDLEI EHTLNLASRIVEIESQKEEESRGKVEELGEKHQSEMIEKLKEVDVQHKLEIALIKSDC AAQVKDWRDQAESLIQERDQLRVNAMAQEVEREVVDRNLEKSRKDEAHLSEALKQSNL QNQANRLEIDSLILQVMELGSAFTSKEGKIHCLETEKDVLEKLIEERDVEVDKLNSKM TSIENLSNFLQQQKDTKVTSLSVENEALKVSRTNLEAKIVEMESKAKEQHEKSLKTQE ELSIYISKAKRYEQLKKDFDSLAKDMELLDKEYKHCQSENQIKSQQTVNLTSQIESLR SSVAQRHSQVERLNEQNLTARIKIFSTEDEKKEWMKLAEDRQRDNMELQKKVERLEKQ RSEFCTPTVARSSVRSTKELEMIRKEKSALEKELKEIQSERVWFWDVINGYKDKDASA KADLGQIEELNRRLEEELDEAKNSCDHLKASLELAEMKEQESEVQLEQISAENITSNN TISMLQEQIASLQEKVKKLEKCRVALEKSEKERETESGSERLLTVQSPSTDLFEKRLA NSQQRVTDLQLEIAGKDDEIGKLKDLKKNLEVRCNNLDQSHKDRDRRLYLCITKIKEL ERSIAIGEKEGVIANLTQEVGVIRGLKLQMEERIKEKEETIRMLNQKLVEFQSDLDRY ISAYQLPRQDLSIPNHILRNMTQLKTRHEQSTSFRSKIMERVANRANHLQDLMTDHRS MKNERSYNVSKREYEHCSHLLNMLKDLDGNERQLGKLIDEMKA L199_002627 MLMSGQVSLHAYRSSLPGRADVGDTGLESAKLPTKNDNPHVVSK PKKKKRSWKKPTQSRNHPTLNPSFTTSSQTPISTADSSLPKLRMDQIIESPRLSHPGN TASSVRKWDHSLFTNENSTPRLAYRPFKEVLPKNLPPRPRLPAWFPVQHLSAKREKEI SEEKDRMARELRQKERKYQEISQEKTNLLTRLENHQKEYDNFDKKYKEQAKLNQVLKA EVKGLKKGNATLTKKVTDLEKRNKNNNAHKKNKGELNRQIEDLKSQNVDLQSEKQLLA RTVIEVSESCEELEEIKRRLEERVVKKDHKSEELDKFVRRIRFARSKYHDEIELKNGA NSDSSKAQFEELRRASCNIWKTPNLVSTRERKRQDRLLKMEMSRSKIPQKLDWLDYWI RLIDFALKELEDCSVDQLASESSQDDHPVID L199_002628 MSRFVRSSKYRHVYGAKSKVNYENAKISGSAWDTDLVTAGGKYL AVNWQVSGGGAFAILPLFSPVTPPQPAGFPNKLPDIIPLARGHTAPVLDTAWSPFDDN IVASAGEDGKVLVWKVQDSLFEGWGEDHWVPEDLSPELRLSAGGRKVGQVIFHPTSSN LLTAASGDHLVRLWDISSGGDSPKITLKGHTDSIQGIAWNAVGNTLATTCRDKKLRLF DPRAGTDAVRITDGHAGVKGSRVVWLGDRDRIATTGFSRMSDRQVSLWDTAGLTNLET TSLDSSAGIIMPFYAEGNDVLFLAGKGDGNIRYYEFEGDSLHFLNEYKTSDPQRGMTF LPRRALDVKENEIARAYKLAGGCVEPLSFIVPRKAESFQADIFPPANSIEPAQTAADY FSGKTARPKVIDLETRATSTNTAPVPEPVKSALAPTPAPVPAATPTPPPSAPEPVKEE TKTAPAPTPASTKKEIDSVPEVTAKGLEIEQPDKEDEKVEEEKVEPVKAVQESLAGLS VTDEKKENGNEVPAPTNTLLIKKLSPAATLPTRGSPLSAGYDLYSAEEKVIPARGKAL IDLQISIAVPEGTYGRVAPRSGLASKHSIDTGAGVIDADYRGPVMVLLFNLSDEDFTV KKGDRVAQLILEKIVLADIAEVDDLDATSRGSGGFGSTGGFGQAIKDVASKIL L199_002629 MFSRTFFTLLPLLPLFRAAPLPAPLLGLDNLPILNGLTDPLNSQ NNLPTLPSGVPNTPTDLLGTNGGLVDSLLDTVESITGPLNISLNANVDLLGINATVGL NVDLGDDEELICGPVIGRWADQQYNVPCVCWSENRGIVIDAQLGVDLGLGEVDGLDAF LEAQIRFGGKQFTYPVYSMPTCDGDGGFNCPGGYQPNGKCTKFLAAKPRPRVLIQSVS TPTPTPTPSSDPSVDAVPTATDLVINSVPPSTLDGSPTATPVPTSAPSPVATIASPDQ PQVQAQEDDGVVSVNNVAWTTSTSTSTIVLPATVFVEMITTTQPMTIWATETQTQTQT QTQTVTSTSVQTQWATQTQTQWSTTTISNCASDDNIYVNSVPQQIENAGFTPSPTSSS TFSPVPTPTITSSSSSIVEQAIPTPTTSNEDAPAAPSSSEVDDDAFVPPPRVIRLAKP QSHGDLECSNGEEFKSTMCCRVDQVEVDGECKCADGLENVLNLNLCLSICLGDRLPSG ECSVLGLGTTFNLGLGDLSL L199_002630 MSNIGGSQSRSPPPPPREYPPAGEREYDDRPGGGFSRGGFAPIP HRHSDLPPIPPPPARFERDDYDRGRRDREFPRRELDPDPEDRYERREWDEYGRRGSHW DDDYDRPKRRRSPSPLGPSHRQRLHSPSPPPLHSRYSNNLPDPASIETLLSFRQFAEW FRASHPQTAKADEEETRRHRELIESGQANEHEAKEKVGMAKRYERYRKEFHSRQLYAL FLTHKDTIWFQERYSHLPEFVAFRRRLNRQGRVPLAEKHLEELRSGVWDTVEFDMAES ESKSTDKNDNDEPEGLDRALGDGGNWAGDDTLRAEIAPKPKQVFVKTAPPTTSRKELE ELFARVPGFQWLAVSEPAQKKSFHRVAWAQYADDVDIGDVINKLDGQKIDGFTFHMSV NSTPTIGRLRVTPSLSNTLNRLIGDGEKAKALALKLEEELLGDDDDEDDKAEVEGETS AAKAENGEKKVAGLREKVSDTVEETVQRLLESNGLVGEHLDEDKKLHRAKIILDQWLA YLRHGLATCYYCVAPMSFAEELHRKCIGHMRPHPSTISEPSSEEVNEVNGGDPVESEQ QPEPEDANRNGEGGEDAGGDEDRELRETEQQTKESSTTFNKEGKEQGKKQFFPQKTQD EKWAEGLDHKLKPLLEDVDVVDYGGRDVEAETKKLCAPLIKQEEASKYRCKDCNKLFR APEFVIKHIIVKHPEITKSKIDDISTLNNYVLDPQHLQPSLSTPAAVDDKLLSSSIPI PIMPIPQGAFNPSTNTQGGAPDMNMMQQQMMMMMQMQQAMLMGMPVMNPQMGFNNLPH HSHSGRGGGGNDLASRMGGYAPPPSSGNGSRDGDGSGGLPSAPPGGEDPRARRGRVSY TDLDEPSAGGGGGLPY L199_002631 MSLTTLRTASKRVPRAFAPLSANIHTSHPASKGNKVTPGGVTAD TDPENDPKKGGDGFFGSLLYGSKEAKAAGLIEPSHQGQHSKIVGRGKYVHEKITHNVI PSKRDEYLAAAENYFRELIDHNGEFGRVKLTGSWESVVGTVGEFTHILEYEGYRGYDE TNKALRGSKEMQSLQSQILPLINSRQHQIVSEFSFWPSSPPHDSGYPDGGIFEMRSYQ LQPGKLLDWEYAWRRGLEARKRFVQPVGAFFSQVGQLHEVHHIWQYPDMETRKHTREQ AWSVGSWSDTVQETVKLAQSMKSTIMVPCPWSPLK L199_002632 MSQPRTPSDRPYGAKPPYIPPPGPFYSQASMSQNESSAFPVSSF PPSRSAEYTRRPSRPVGGFVDANEEVLPNIPGRSGFPSGPVHTNPPGRTSSIHDVQPR QQAEPQIRQQANTHHQYETNAQTQARQDQRHAGSPIQGRTHSANRSQPSRPDHGSSSG FPAVGADQAPLKPALKKTQPSSAEDFIKIKQENNNQSPSMVQPTRGYTGGIQNTYSQP KASPLQERSTHDYPQHQSSNPDLQRLKRESSMPPLGRSSFYEEEKEEDLQSTAYDSPE PRGRRRTGRQAPTTDSQPTLGTSVKMGRSKSGINEGGSQRKNVHSSAYQAQHQGERQE FSPSENASSYNTDNEFGSIHQSIEEVLYSARDHFDELRIKEQWYPRAIACRDQEIARL VGTNGELEQRVRGLIAAKARSETNAKREVEKAHAKVKIEMQHKSEVERILKESREKLN ELLRDEDVQAMKNFKEQQIAPLNSSVEVLGTSLAGIHTAFNEIKDDIALSSKIEDDLV EVREENKRLKSALSQRESENTKLQTKVNQYESSVGQSLQKVDDYVANLKSAKSSDEAS LKILVERNITLNERIQQTEKELTKMQLELAKLQSTIESYETLTQESLDFFRDKGLEGD DIKDMLAQLDTKHQSQLQEAKDENKKQYENLKFRYDKGIQLLETLQKEHDETTKQMEE NQAVFEERDRLSQKVVDLRDKVKQLESTINALQAEKGRAEERCGEYLGQVQELKTRCA QHEETISNLQSRVDVREKQDLTELKNNIKALGQQIKAGGQLDGVVESEVVTKLKEELR ACRQELDHTMAELQELRNKPQEDVEAAVQRYKDGTSTASEIRLHDWAEEGQQNDFADE RRKFKNDMKSQLARMEKLKEDGAAKDKEIETLRKGVTGASSKPAAAPSISQSPQISTT PGIARSTAKEPNPKKRHLDQYPPNDKLNPPPASQKRSRVDKTQQDDDHYFDDIKDSDL PDPGEYGKDATDKAKGKQSKDVTMVVDGSDQSSELSEDELESTQPETPSQQPPHSQAV DTTKHRQGRPSNTKNAKATAEVTSSKASTSRSKSIQDEEDDDDWTPPNEKVTKKGNKN DKKNGKK L199_002633 MPRNSTSSNRKKVLIVGAGAAGMSCADGLSNHPDRFDVTIIDAQ AYCGGQAFSIPIDKKHGASWMNQGVQGGSYIYQHTFHHFRKCGYEEEPVELQVSFGKG DKFWTNLFPTNLVAQHSSDIKKFNRFLKIVRWTELFWALIPIKVSCKIAGLSEEFLNF MLFPSLALFLGTGNATPDLPTVMMERLYTSPTYGMWYPCDPKSMSSNLPPMVVFPESS EFYRTWQGKLEERGVTVRLNTELAAITSRDPVVKVMLRPRRQEEDLHNTDGADQDLPA TEEVYDEIVLCTLADTAKRVLGKTASKREKWVLGNTKWSDDITVTHTDIDYIKKYYTI EYDESQAVENINGRDDSQRVRKGEQGFQPMYLIKQVPKNPRLLEMCFDCTNFQYQLDK NLPLDEHIFQTIYLNKRDQQTWTKDDIKKDKIIREDWWHQLCHSWTHYAFVVPFVWLL NRNKKHTTYAAAWTLVNAHEVAVISGTAAAYKLGADYPEDLYKNDFARKAFKSYLFLT HGLTLAKNRIRKSSIQA L199_002634 MDPTKAQTQATFAHLKSQKANKQCFDCGAKNPTWTSVTFGIYLC LDCSSVHRNLGVHISFVRSTNLDSWSLQQLRTLKVGGNGPIREFFTKHGGANLLPPAN TDARGRYTSRQAGLYKEELARRIAEDAARNPHGIHIDGLELTPLASPSKAAGDDDFFS TWDKPSPASSKPASPAPGSTSKAAGPPSIGSGPKPAASGPRTITSSSLRSGTAASRPV ASSRLSSSTTAGGTTTSSGGGKLSKLGAKKAATSINFEEAQRKALEEEERIKRLGYDK KKEEEEARALKEREAEEKRKAQAASSSRSSTPLSSSAPRKEESKPAPVRLGFGQTAGQ AAPAQTKARAAQVDDVHVARDKFGNQKGISSDMYFGRGTYDPQAANEAQTRLRDFQGA TAISSNAYFGRPEEDQEDDGYGGPAGSGGPGGDGLMDNETIQGLERNIRDIAGRVMAN PDVQNLGDQIRMGALKLSDYLSSFEGQGR L199_002635 MSSKSPSQPTRPSTLPLIPQQPHWTRPPPSPPSHIPLTSSFPRD ATLALSSLSISQQPIPLPTPSPGPLGSTQTSTKNGNTLSPPTPAPSPSPKDRRAFRQD ESSDEEMVEQMWKGKGKGKQKQNDVRDPQGLLHSFTTLSPSQRFTFLNSLIGELRLNE ALVVSRKIEPLLRRDFLRELPAELALHCLSFVDEPRTLARAAQVSNYWNQLLQDEQTW KDLFDRHNFPNPPPPTSFVNSNRPSIGIRRRSTQTSGSFPTTSTIKNSSIVAVAVAQT PDGSQSQSYIKRATPFGFERRVLNLASSSTTLASRLKEKENGTFKNRFKNAYLTESNW LSGGRKLANHISTDDAVVTTLCFDDSHIVVGMANNKIHVFDAVTGTYLRSLLGHRQGV WAMVLVSASPNGEESDEDESQDLLGGSGNNNNTTYSWTKASDSYRDNGQSRRSSFAGG PTTERHPPLSTSSSSGSFGFGLNSNLPGGIFANNQISDYQSQPIRPNTAMGFSSTNSS SHNNYTGLMGLGIGGNDHNSYSNAESSTYKEEGGEGRKQRSSDPCGCARGWKGNKNNL VVSAGCDKEVKVWNLDTGELIHSMRGHRSTIRCLKVLDRRPIAISGSRDHSLRVWDIE NGFLLHVLEGHEESVRCVEIAGNKAVSGSYDYTSKIWDLDTGECLHTLRGHYHQIYSV AFDGQTVITGSLDSTIRVWSGATGECLALLQGHTALVGQLQLSSNRLITGGSDGRVII FDLNNLSTLHRLCAHDNSVTCLQFNDKFIVSGGNDGRVKLWDVKSGRFIRELTRPCDA VWRIGFRSDRVVLLCQREGRTCLEVISFRPGEGESSRSR L199_002636 MSDYGNYPIDLNQIMWMSLSSNSEKAIWWSTFALVVQSFIISSI ISKTFQYFEYFKKTDNVIFLYFIGLGTALNVGTLCVTTAEMYELIYYAKNEFHTIFRF IFLGDNTILFLGGIFNFTGGIYYAYRVWRMCNRKWWVVPPLAIGLSGPFGACLAVVVK GYQLPILIPENLAKLEPYFADFVHTNKIWGGMTLSMDATLCVTLTLLLLRSKDSVFAN ETRLFHRLIALMYESMFPPVIFLIIAEASGNMEGAPTTDWRKFVVTCIPCLYFHSVLS ALVSRQTIRGILNSKLASEGVNVLSNGTSGGGGKMYGSYSYPSPSTSALNQVHSKQSN TFTRSNVEEGEGGYEMKSEAGSVVTIPGPMVKVRVEQNSAISEPDSYASHHPQLSPLG EFSTTNRDRFNSLQ L199_002637 MSSLTDQATAGEGSGSNKPSRRVAYYYDQDVGNYTYYLGHPMKP HRIRMAHNLIVNYGLTDEVEEDAPDVEGEGKREMNDEISNGNGNHVPEHKFIKNSLTG SRSKHMQVFRPHRATKAQMTRFHTDEYIEFLENVTPETAEAMTGGGVRCLIGEDCPAF DGLFEFCSISAGGSLGGAERLNSGAADIAINWAGGLHHAKKTEASGFCYVNDIVLGIL ELLRIHPRVLYIDVDVHHGDGVEEAFYTTDRVMTCSFHRFGEFFPGTGDVRDVGMKRG KGYAVNVPLRDGITDESYHTIFKPVIQHIMDYFRPSAVILQMGADSLSGDKLGGFNLT LKGHGECTSFVKSFGVPVMMLGGGGYTTKNVARAWTNETAVMCGKTLPEDLPYNQYME YYGPRYKLEVLPNNTDDHNPSEYLDKIRTQVIENLRNLPHAPSVQMRQVPTQTISQAI GLTKDNDQIDPDDEIEIRLKKYMQEKQLHGGYSPHESDDEDIPMNGDSDHDDSTYSIP GRNRRQGGLNGLPRRTLTSRLNGNKRSTNGIANGQSDDEDEDPCGITKRKKRTFFSKK QTSGIQGLVNKKLNGLNGLNGITVGNGNIVEFWKENNGNGNSINGGLGGMSRGESPMS IA L199_002638 MSEVLAYMSGPFFLGFALESFGMGIVLMLSINYFMTLASQPKSP HESSRTLGVCLVGISLVLNIVQTIVDLIRGWNMFATNFTNIAGFLTSSPSFFVSPFLG LIQTTITQLFLLRRITLFISSLDLLWPKLAHPLVKYFFASSIGIAILACFCSGTISSV LVWKSGSLISLGLPGNTGFAKAESVWLATSTAVDTLLSLVLSIELWWARQKLGMQGGV MREIVTRLILVTCHGGLAVSGLQLSSLLLYNYWRYNAFCYLPILFLPKVYNITLILSL SVPHSTEIAPNPSHMFSLPTILDTQVPTNEPNRRFTSPIPDHYDGTKSRNDIEKQFQR SDQRST L199_002639 MVKRERPSSPVSSDESNSTLTPYDSSSVKDERPLVNNKKVRGSP EKYNGGKGGTKVPWSIEEDEALIVILDQIIKTQLWPAIKSSGNTHLIQRGSYGAQYHT KLLLKRGKTSSPQARK L199_002640 MFSLTLTTLLPLVPLLASAQYTATYQVGSLPQYSEEGQSGTNQC GTTSSQTSNCQNVFVNAVDDFCLWGPPDTHSEEGDGTSKIGNVEQIVVAYCLKDGYGT RLIPEGTIKGAHFVKVESDKVSYVQVTGNGDLTQLLIPAGDEGGELDPHSWTGLGNPQ GGLVFTNAFSGSYEQTHEWTSFMSSNEFCIRACRDGPNAAAYCEHIYDVLSCSFTIPG DMGDGFDQCLGAPTDEAPGVYDGVTFHQGDPTTPAPHPAGATSQCTPYSAIGGGTANV AAVTQLASIQSSSTSASASASASNSSAASSSASASNSSSSASSTSASGSSSSSASVSA TITSSAVSSRAAASTNAAAASAAAFASASASSVNSGAGRLVIPSLGLAVVLGAIGLCL L199_002641 MPTVLLTGISGFLAAHVALNFLEHGWTVRGTLRSPSKKDEILAI PEYKPYVDSGKLELFVTGSLENGDYTEAIKGVDAVVHTASPVEFGDAEYRESHLAPAL QGTTSVLEAAAKEPSVKSVVVTGTFGSVGKHTEHPHTQKGLVLDEKDWNPYTLEDMDK IVENGGKSDNPVFPPGVLFYMSSKKEAELAAWKTQEESKKNGGHDWSLAVINCVMIFG PPIQPLKSLSQGGMSTEVVYALAKGKDVPIAPTLFTYYVDVRDAAEAHYQAVVRNAQG RFITSAGPYDYQEFADIARGQFPEQADRFSIGNPGKYAYVDPGTYTLKNDKIKKELGI QFRPKEDTVKDAFAKFFELEKKGLN L199_002642 MSPPPAVPGSPYTSNHISSPTTTSFTQMPNQPKVSPQADGGNDK KEQRLIVVSNRLPVTISKDANGEYHFKMSSGGLVSALSGCKKTMSFTWIGWPGKDIPL ADRDHVNKRLLAEYNCYPVYLSDELADRHYNGFSNSILWPLFHYHPGEMNFDAAHWLA YREANMRFAEVVSNFCQSGDMVWVQDYHLMLLPMLLRSMISGESAQGEMVRKELGRVK EGVDDEVVKDVLGMQPGVAQSGELDDEGVEILDDVDEGEVLHMKDKPAGAGSRRPHFP RGLSTFQKQEMVAKEKGKDGIRIGFFLHTPFPSSEIYRVLPVRREILLGVLQCDLIGF HTYDYARHFLSSCTRILGLQTQPNGIEFEGRYAQVGTYPIGIEPMQFVEGLQKEKVQS RLKALETRFQGCKVIIGVDRLDYIKGIPQKLHALEVFLTQHPEWIGKVVLVQLAIPSR QDVEEYQNLRACVNELVGRINGRFGTVEFMPIHYLHKSVPFEELTAMYALADACLVTS TRDGMNLVAYEYISSQSKRHGSMVLSEFAGAAQSLNGSILINPWDVQSTADAIHQALE MGPEQRKSNWQKLFNYVSKYTAEAWGTTFVNELTRLSGLPPAGPAGPGRKKSGSLSRT SSKASIRRRASQASVVAPIAES L199_002643 MSLPNAFVTLLTTPSYLPGALVLLHSLLDLHPAPRDFKIVCLVT PETVDAKTIGELRKAGFDLVIGVEPIGSGRAGQKGLHLMGRPDLNFALTKLHLFRLHP FFSTLIYLDADVLPLRPLSHLFSSTNPHILSACPDTGWPDCFNSGVMVIRPRESDWIG LKGILKDGEGDDGIYRENEVGNGSFDGADQGLLNEWFSEEGGGGQWNRLPFTYNVTPS AAYTWAPAYKRYGHKISNVHFIGPNKPWSNLNGRPAGISNVKGKEPSYDYPALLDRWY HVYDTHVRPSAAHDPDISRRFAVPETIAAWNQSGAATGAPQQPIDRLNLDELKAASAH GVLSFKTGQYTSLPLEGRVDLLMPKPQPKPASITLPPSPPLVDPTALSSPPLEAVPPP PTLQQQVTQPAVWDAVHFAPPAHGKPEMSIRMDTIYQNAWEQPSRSQSSYYSAPPPQA EPQYPTLPENVRKDDWYKQFTGSVPDRSSVHAVFPWEQKGKSRPTPGRVFPRGDTPPP AQQEHSQPQRPSILVREPTPDYRSPPHQPSPPHVQSPPSRSMAEAMASYTNAWDDIPQ INKYVRRMSGMGMSKDIRSFTSHGGLQSVPGTPKAGGTIDFSTSTGRQNKGKSRDRNT GSLTDTSGDGDDEGDESDDEESSDSPVVSQSSSGEFEDSSTSYPDHENYRDHSSQTES PNQVDAKVQVVPGGGTSPAVRTFQLPSHHFQQQQVQLKSVPSNRRYNKSPQQGNYNNT QNVNALSSGSSTSSDTTPKPLSPPSYQTQSGGPPILQPLPEYGFDFRGSTSHGTGNGN GKGSRVWDPNTDPESRKRDSQEVLSRFMRAGGFGK L199_002644 MSHPSTAPPSRPSSPAPLYRRSPVRIGCVQFDVKLGRVSENAAK VERMTRDLQPGSLDLLVLPEMCLSGYVFNTPTSILPYLEPQRIGPTSLLTRSLAQRLR CHVIAGYPEALPSAPQPSSSLEGLSSRSESNAGPSEMKQLEGEGTGVGWNSAVVVAPS GEVVGNYRKTFRFETDKSWAREGDGFMHFDLPEPLGRVAVGICMDMNPKDFVAPWDAF ELANYCRDNAVDMLVVPMNWLSPPAEPPEVTPEENPESPSESNLNYWAARLQPLHDPV PGYTTPRNEVADPVGGKEVVFVACNRVGTEEGTKFIGTSSVMTISSSPSRIELVECCN ISEERVLLATVT L199_002645 MSSSSSLLSPPPAVLTMNPTMDSKYEQLDLTPWYKRNIPSINSR NTLYFLPPKVIAGSLKRLSDNYHYDWFTKAEQDIPEVQDEIIYTLEECIVESFQEWQA SGEGEFRIDDEAVVVGAKIDSSKHDMIPSSIKLRRLPKRFVQTLEDDLKLNGFDNVSV KIESKKPEFYDLLSKKGKNRTVSQGVRIENDFSSGSILIDPTFQSFVMQGGRRSDDPV VFGPPKMVSMMYDRIKASSKVGISSSKRYVKILESGINQDINHSFKDTRPIRHFTRLD HTPSSAVEAVQISSNCINSFWLRQYPKSCIENLESILREQHAQDDIRVSKGLTSINPS CVTMQGNSTILRLSAQGRPDLATNENGNVYYLSPLTIASVLSQFRHPTAHGSQTDDVI MESHWKIKSMMQDTIRECSWKQNNSLIAIPINQLATDTRHVVLGSAYGSSSSPWYSLD NWGNKAIEFDELHVVSYPESHIAELVNQLQTSFDDEIELRAVA L199_002646 MTKPNPKAPKKEPVPLSELPKPTLVKSDTSPKGKARVIYWHRTD LRLTDSPALIRALDIEDIEYFWPVWCFDPEYVYRHRVGVNRWNFLLESMNNLSDEYTK LNAKQKLWVVRSRPEFVLRKLAKDWGVTHICWEKDSNAYSKVRDDKIFALAEELGIQV TAAPGRHLFDPDEVIKQNKGKPTMTLHQWQGVTSKMGEISRPAPTPTSLPDPGPSELQ DNHEEDWGKYEGVDLNADVRTGKDTCFDSLAGPSSSPFSVPTMSQLGFPPFTTTIKGG TIEGHKRLNLFFSDKEKVAKFSKPHSEPTSLEPSTTLLSPYIKFGCIGIRELWWGCKA IVEEYRKRGGKGETKEPENMFGQLEFRDMYACAEAAVPHFERIRGNSVCKYVAWSLQN QYDAEGNEILPRPRDKDEQAEQRFQAWKEGRTGFPWIDACMRQLKYEGWIHHLARHSV ACFLTRGQCYISWERGMEVFDEWLIDWDPASNPGNWMWLSCSAFFSQYFRVYGLVSWP QKTDKSGALVRKYCPELKDFPDKYIYSPHLAPQGIQEKAKCIIGRDYPFPILDEHLEK EYCIARLKDAYHLNYHGDHEDVLNGTAEKKLIEIHEENGHTREKIVNGKEDEKKRKRV LDGEGAMDKFVKREKK L199_002647 MSVELSPANQLGFPRPLTSLVKRSLLIHNPNPHPVAFKVKTTAP KQYCVRPNSGRVESGESVEVQVLLQPLQQEPPPHAKCKDKFLVQSAYITPDEEMHTLG EMWSQVEKTNKSAIHEQKIKVVYLAAEDGSTGQNGIPEEDDHVGEASRLEESHNLPHD GLTRWTTKPDKEYDPELFRNAGFSYWETPKSPYVPPPFPAIFSHAQSSPSQPLPPAHD KPIPVPVQVSPPSTAVAPAPQSPPLADLTPAQAGATNIALEKSLNATTSDSEKLSIAL KEIEKLKSELEEAKNGPQVTGLRKRNTGATSGAETVIEKAKEAVTTSGGQQGVPLEVV AGLVVGVFVLTYLFF L199_002648 MSKISTRLSSFLKSQFNPHRKSNDDIQPPKSKEELEQSIPEFSI PLSTNIRISYNAVDERYGVHPLIDYGSLKEGSGGSVNTTDPNTCTTSGKSDSQVLASA NVNTQEMYDSPLYHNMWRVRPTRKSTNHTDGDTTESVQEVLPMDSIHLNSNQFASYIP NSIILGQNTPTSNGLEIYEGTSHHPISISARFLNSRSKAFKRCKAELLSTAIDFTHLD TSIVHSSKEMVNGHDKTKKILGKLSGDGKYRLDRISWTGHGWDDRDNEDDKVPEGRCV SGTMCADANWVWKRIG L199_002649 MIQTILKRLPTLSNSLAGPSRQSVRQCSSCPPPSSASFTSSLVR PTLLSQIPSITSTTKSTISRAQPLVMQVRGMKVRSSVKRFCDGCSVVRRKGRIYVICS KNPKHKQRQG L199_002650 MPARRQSAVSPFDPVTGLPVEGLTPTSSGRSRGKEGKEKETFAC NFPGCGQNYSRMEYLKRHQRKHQDDRPFQCKDCTKAFARSDVLLRHRRRCHPTPPPTD RNSHSPPAPQRNYPGVPISSSRTDAREASPAPRGRKHPRRSSGDEERDATRPRLDPSL DPSLEDDFTGGEDDNDKYPEGANRFSRQNGMGNGGVYSAGNGNPFYGSGSVPEGSTYT PHLLPMFQQGQTFHSLNDPNHLEDASALLSMAYPGGVPGGDNPELPKDLPDWANNPTI NMMMEAAVAANRKQEAEISNGANGDTHVDQSRTDTTEQPVVDPTLTGENPGVNGGETN GENFLNAMSWMSGMGTQGVLNRNQNQNPNHSNGQSQSQSPNQQEQNSNSQKKGLTSTQ SSTLQSTLNSNSDMGTLLGWMQMFASSMNGTTGPGPSPKPQSPFPLSSLFSPSAFGIT NTDSNDSSGGNTQQSTPMSPTILGILDQMAMYEVPQTLENPNPERPLLRLANEEMNLR AGQEWFDKTSPFYLPAERFAGVYQIPHWALPPLRTLSVMACRTYHTVLNHFSFVHMPT FKLNDTAACLAFAICTVGGIRTGNTTAADAAVLQSLGLSGITPPKALDGPVVPDQSWE SIYAANWKKSVDDKPYDSENVNNWKNGPIVRNEKTNMLVKSFSLAQGVLMTEYNVALL QALILYHTPNFLSESESERLTANMFMGTIVNITRQIGFFTPEADHFAMKIKIPTEPYT PNELDRCWKEWIQLETRRRTAYLVYQLDTVSALESNIPCILSSCELCYLPLPAPDTLW KAPTAIEWLKAVKKYRPMSLDEGMRRIFFLPTFGSFDNLHESADTKYYNLLNTHDFGP FARLAMVLTLLRGVIDIGEGKRDRGDWRDLTDLWVGCSWLRPSKRMLAQDGTDLGRIT RESLRGRFGMGLQKWREGWDFDRLCISPSTSNASRANSAGISPQSTSSGSSGAEPELP KETLNYCEDALPFYWLAVALLNQLNNSPHTEPGYNHFAGVRYGDMLKAARTFTRTGEG IPGASMNATGTSPSFARQGSTSTASVVSANVPTHNNPGSTSSSISPPGPAQNGNSIDE LDLSAMSPGTINGFFEALAGSNFAESYENGQVPISSSHMNNNGLDKRVDGGGGIQGQG QLAEHLGFIL L199_002651 MPGSKRRALKKLLSPNSGPSDPSTSTSPSSSPPQSNSTSSNSLS QMISPPSGQALPPPAVPLSQEEVQEDLILENMHQNEKAIGHSTSPANLRPTEVAAPAT VAASPPPPAAGSMGGGMYGNAFGLGSNGNGGGKKKKSSRQKFEERQARKTQALLESAP PDDPNWNAQLEKERLEEIQVIGDACTVLGREIYEIAPDGHCMYSAIADQLAEIGVLPS KDAENYSVTRNAAAKFMLSHPDDFMPFLPSITGEDSAGATDDGVITEAGFKKYCQLVA ETGEWGGEPEIQALSRQYNVPIHVFQRGPPTVVSHGGSADAFGGAMTPEQSLAAGDKV VRISYHKRMYGLGEHYNSLRKV L199_002652 MRVSPYMLILLLISNESTTHVTHIISCVNDPRSGEEVNRKVEFN IVSASPDLSTAESMHVVVPSQLQIHHRPGSEIVTLKVDRDIRSEAEVNIRPQIECEFT PTPMIMEEDQSRGKLRLDGEQWIPLQLYRPSLASKALNGLNQDSKNLLSSILNDNARN LTLSAISEDTDDRRVIWNFDPNDIGSLRPPEPKVSLWYQGLRPEDEDMEEISVSTARH LFAYYRAQGGKALGDDNNTSRET L199_002653 MSIFPTNLPDDQPERLYTETDAVISTLDGLNWTIQPDFRSVEDQ QKPLSFYHRRLPASVNESEGDLIQEGPGTRYVPIDRWELDPKSPGLSQLSDCDSESIV VQNGRARWNWFPMKKDDPSVPDASIPHRKDGMSYAELNPTDLEKLITRYAADLPELAC HSAATGALHDPHLLQQIDDDVTTYLTSCVVGMPRRTSLGHTQISSEVPHTVHREVDGI TRAVHDFRSAISNSVEPAFQTVAVPIGIKEFPERGLLEVFDSRKQYLVRDIYLLNSHS DELTKYDGPTRHSIMERIDDANRNHAVYVLEKISEKGGLPPKEEWTFQEWQEDELKAR NDDELRVVPYDVNDRQQTALTSKETQDLITKYWLQGNVRYI L199_002654 MDNLTKSLLQDAIDIATRRNCTMVLESIIYRDGYGEWRFEEIAD VQGPDAIPTAQIDVTTDGFSTRQVPYDEARRIWAGDSDDRDWEERRPGLQLFVMEIAV PHENQE L199_002655 MTSFNPLPAHVQAAQDQFTSDGIPTPTYMGMVDTGAQWDYIVGK DGRRSRTPSRSPHRSATEPSVHRPSSLSQSQTPDQPPLGSSFPSTSAHPQEPTINEEA AAVVSRSMNAQQYQQQQQEQQHRQTNGNGSSSRSGRSKTRIVGDWQLGKTLGAGSMGK VKLAINVITKEKCAVKIIPRYTEATRREEPKTPEEAEKQRQRDESKEIRTIREAHISL LLHHPYICGMREFISHQNHHYMVFEFIDGGQMLDYIISHGRLRERAARKFARQIGSAL DYCHRNSIVHRDLKIENILISKNGNIKIIDFGLSNLYAPNRHLSTFCGSLYFAAPELL NAKAYTGPEVDVWSFGIVLYVLVCGKVPFDDQSMPALHAKIKRGACEYPAWLSADCKH LLSRMLVTNPAERATLTEVLSHPFLTKGYEGPPDSCLIHREPLRADELDWEIVQMMEG FTFGSPEQIYDDLRAVLTSDAYLSCVAAYEARKDRRRGPSMSTSSMDVSNVTDSPKKK RFSGFDFAKKKLFKEEKKVEEPVVKEKEPLDPTRGFDPLISIYFLAREKVERERVYGK GHFASSTMSIEGNDATQGYGRQVAQLQPPTHAMGGAYDSGNLPQPRQRTEEVPNPVMQ HPRADQERSNIADVPHAHSRQPSLSQPPLPSSLQPSPIPISDEGLTKKFSLLGRGPRP ASSAAPSRASSTNVPPSQGMTPSPSMPLTAVGDHRRATTVSDKATKHERRVSVGSISN ISSTVGRATGLGRRSSQRERKGTPNEPSWLNTPKEADEYHPAQSSVHAEDAANLEPDL QSELGAGTVSDTHSSSDVKSVYLKGLFSVSTTSTKPATQLIRDVSNVLNRLGIKHRPI KGGFECVHIPSIDLNSVVNGDEANTSLHSVNQQPVQSRRKPSLRRKSSKQNMNGSRTT SPAPNKALQAGSSGTFSMGEPHTPSTPGKRSTPGGPHVPQEEDELDAWALAQSGAAGS NLIVRFEIFVVKVSWMPLHGIQFRRVGGDGWQYQMLAKTILREMRL L199_002656 MINPNLPPPPYSPAPESHLSRPQQHVHLCQPDDIPLLPLVNPSP DIDIRFIRSFPRALEDYQRSSCPSNWGRYGHGVRLSSSDVKDKSKVLEWVYWIASLFA SPQDQFLKLISQFINQFQSD L199_002657 MPFLQSISNFFGGQSGTNGSSSRGANRRSVMNSTMDAFSLPTAS AASSPGPSRRGSSVYPPKDAFAGSPNYFPPPTHTFHRLRNALVDSFPELLETLNGPAN PHLLAAFEAELGCSLPRAVRESLLAVDGQDLEACANISGSGGLFMGLHFLPLEEIMRE WAFWRQAEHNPMAGNNAAVLATMASVPPNWIKKLYACRGWIPLLSDRTGNYVGVDLDP GAGGSWGQVIVFGRDFDRKCVLWRGDGEGGWGKWLASFVEELESGEGWEADKTNNTSD EEEDIGYGSYNGGGSFGESGRGLRLGGQYRGWNVLEAWWDKSVRKWESLGLGLDVEDI EKGLEEARVLAGYSNEPEGKGKGKAVEGLGIGMRVGESAAQVEIPVLGSPSIPAGPGT PVPHDSDVLLPPASPEQPPIPKIRHPAPSPVRVITPATDHPLKAPTSASGYLSPPSRS PPRSRRKEPLPVPSPIDLPTRADVQAMAAIAQAEKSGLRGGWVMNLDVSAGNASRRAS RVSAGEAEMVNIDLEGGRAEKFGSPRMSDAEMERQREEEKLALAGLEHRRSPVLIQPS RTPSPLSRESSFDETSSITGSEQTPRATSRGPGSEGLISVPPSVIAATQSIRPPPRAA NNSELNIRTFINEDERDRPVIRGSSSRPGRKERESSVVSMTSQDELLERATTRSPSPS FTSEIAHSPTAITMSRSNSEQEERLASPLVTTGLRKGEKPVEEELEEINLGA L199_002658 MASFPIYENGYLPGPEYEQDPSFLYDNYPTSPPIYAQEIPYEDE PYENINIQDSYVEYEEEEDELPEAWIPYFGYTDPPPGNGNQQVIIGAPFPQPSDLKQH YCDLYPEECKRIGGVLETNNTNGNETMDSDVGKGTGLNHVDLSGCGLALVSLLLILLG LNGGWANDKAGKDREGSGESDGKGGRSEKDGKYKNKDEKDRNVKDGKGGKGEDRDTNK SRKDRDRESSGKDGKGKSNREDRNKNGETTEESDRRKAAERAEQKANGKDGRSKGNKG ETPEERAERKSREKDRQKNRNGETPEERADRKARDAARQGKDRDKDRDRDRNNSGNGK DRRGYSGETPEERQLRKDRERRDRNRNDKADRSNRETPEERRARKDREARGKDMNGNR KSVRSNRKTPEERQARKDREARDRDRGKDPNANNHKPNRETPEERQARRDREKDRKNG TQRSSTERNGQDPSKRSDRKENAKNETLEERAERHRREGRETPEERTERHRKDRENKD REKNKKKKNETPEERAERHGREGRETPEEREARHRKEREQKKGKNESPEERADRHRRE GRETPQEKTDRLKKEKEEQGNSWFSPSMLLGLLLLLALIGFAGKSHIPQGMPSWNWRT PNLVHKPSGIIGGSLVGERGGLLGTPRSFVKGSPKVITSRSKWKVNEPAPHIHINNVN SHGDDDTISFGSSEEHVVVKEAQSGPVIVEEKIWEPIDFQFTGPTNPDRLLNMLLAEL IVSLPLILDWIRDYPEVKYDQPEYISSRIQLLILAGLILFGLLIADWHFGWSTTMAPY AEVSVDSVQSGLTPVLVGAVETTEQLVWGLEDVLFGESRVLLGMGLAALGVFFLAQRE PNLDIPSTEFSNYTTQALVFLGALLVGMLLWNA L199_002659 MLEGGLYNYLEAAAFGGQCFGLHMGDKQQSNLGDGNANQTQRSI LRYQYFHNHFLGTCLESIYGGNHIRVFKQETTGAYFLSSSAEKDSSKNHQLGLNAYDS GRDLFVGNATNIAIEGHLDINTTFTGETVKRGWRYRTTVNYVDDLVPVNRTRWNHYTG VQAVGGEVSDGLVAVLTIQVTKDDPGLLADQVWSALGM L199_002660 MDSEILDLESQGFVGEIHSQITSEEEASVIPQSPAYSEGYQMEH TLHSQANSAPHELKSMSSSGSFPPAAANREENPYQQHADMKIDSTGSEEISDPIALAY SHSVPSDPLPPISYIDPQHIPPFSYIKSHSEPAQHHQSYKPANIKQYKAKPQIQVVTQ STESKSQVAESMQMMMMNQMMMQQMQAQQMQAAQMGVINQQNMHMQSQMLQPGVQGNR RKDKPCKQSKGSINIQNCAQKVKQKPYKYRSKPILTPNLVNHLPLPPIANPVQQPSIE TVALDNWQEATIILCFAAVGLIYLICSRAGGEEKKEDIARKHMS L199_002661 MSNTPQAELWISAASPLLTYSPSKGETSGSSWTQLSDGSKQCLG QEQFSIELPEFYFTNIAFIHVPTAAYQITIGLGGSSDKRSGKSGMVSLSITFGTHSAK LECQAETPETFTFYGVQVQTQVVVSGSASNNTIDDASSQIKYNGFQSTPASKSDVSAL QNGNFYERTVSYTSSGGASALFACQGSAFYIMGMTGPGFGSYQVSVDSKVVGTYNAST TIETYNTLLYFTTYLDPSQVHQISIINQYDGLLFALDYVICVTSGSPGNQSSPTATAT ASNSGATAVFPSQGTSTNSSTSEDSGGAVIGGVLGTLGGLFLLWVLWRYKQWKKAGGD GSFMAALCGGMRMKKESKKEENKFHLWPMVWSRPKYST L199_002662 MLIKLRHFKPFQQCGFASHLKTHCFQYSSTPKPPNYFTPNQGCQ DSKLNPGIYKYLGLISLFAGGGIYYLLSEERYNDTTLFSGLPAKIRTIPSMAVEFTYT LDSADGPIKKNFSHVLLSEEEVQNKLKNGENKVYVNREGNNVKSWDINSLPSSDTGED RYSTDIISKSDLEKLLTSDGYFWKNWWNTRTKFYLNKQGEEIVEGDGKRDVMMFSVFD GHGGPYISDLLSRTLHGCLAWNIAKLLNRGHNDGRAWENEAIGGRYESGLKLSDEWTP ASSSEDGPQSFAQMMAASFLTVDANLIQSTWSTLFSPVADNLPGLPPHSPTQLGLANL FDNGSCAITAIVDIESDKLYVANVGDTRAIAGWWNSEKGEWRCDILTEDATCENEQEA ERIRNEHPEDERHTAIHNQGYGDTNRVLGGLQPSRAFGDDAYKIGYDDFQDIQRALFQ REPHRRWRWFEECPSKTPPYVTAKPEIAIRDIHPRSREELKFVVLATDGLWDRITSEE ASYLVTSHLMHETHPDQSKVQVRAVNMSQPTLWRWTLYSTWFNISF L199_002663 MKALCPHSTPLPENEHPFPKEDLTVEGKWVYEDNNAATHLIRNA IGGDDRELRRQFLSLRSPGVRSARDDTPQW L199_002664 MGPFLIDEQPVAGPSSHPFIPDSSPTTPECPLNSACPFLYTTYT ITRPRRNRYNTVRPSKLEQIWEKGQKRQDNADEGAASASESLSGESASDSLSSTTQRP LFTGPSPSPSFSSSADIPYNASDAYPTGTYSTSDIDSTLTPSTSSSYYRSTSFTSLWS YSASRSRSYSASASQPTQTAYVPGVLLNLTLAGDSDTEAVYSVDVQLGHGANDGSTKR RSIRRRGPSSWNGVDIQSVKLQVDLGSSDMWVATTDCTSSSCQSAPCLFNATESLDSG VSANLTYQSGAVDGDIYWEEIQVGDFGIGYQAFIAATDVTNEDLEGGNFAGVLGLAPP ASSTILNAIGGTTSSNPDGATFLDNLFGAGASAPSERLFSLALERREDVRTSSIFGIG AVDRSICPSPCEPSYIPIIPQPQLGLTGYLHWRIPIQGVSVTTWADQENGSGPTITNV TLGPSQVYATKTTPLAVLDSGGVQILTGYRPYADAIYGAMGISMSSDGFYRMACTQQV ALTFNIAGQAIPVHPLDMTYPDPEDASQKQCIGMIQYSSNLGESGDFILGSSFMKNVY SVFQYPDTNKQKTWQPTVGLVPLTNASIASQDFYAVRVQHQSLASVSSDQQTSSGGTS TNPGSQPSQGAEKKVVNTTVIAVVSVVGFFVLAAAAFCAWWFWLRRKFGAAGVVTYKS APERPKPAGYKSDSSLSSLRTKKHTSTQRQKSMVEGFSGSEYEGDSWMSTTEGNDSIR LGYLPEVAEEDDEGRRTRAADKRSSRGSTLGGGINEEPEEYQLIDTDDPIFPSRTRTR SPPPPVFPSGHHYTASTDSEMPNSANSETIPLASAPYPSTATSSVPNPPKSRKASITM SGPFPTTMSGPFPSPHMSGPFPSPGNTNRQSMRPDISPMYDIRTSDYFSVPTASGRGR EHRRGSSGGGEGRRESSGRRRSSPSKGPSGIDEVVQEEEVERFKDNP L199_002665 MLLSLLLLALSPLVQVQSLTTSDLRSRSIYQVLTDRFARSDDQI TACDPAEKKHCGGSWKGIERKLDYIQGMGFDTIWISPVVANIDGLYDHESYHGYWTSN IFELNKHFGTPQDLLDLSAAIHVRGMYLMVDVVANHVGVESKDAFLPSQRYGPFNSPA DFHTYCSPDWDDQWDVENCWLSENMPDLNTESPHVIASLYSWIQDLVKVFHVDALRID TVKHVRKDFWPGFVKAAGVVAMGEVLHGDPAYLAPYQKESMTSILDFATFFHIRRSFE TPLGSMTELVDMITKVHRLFPDPTTLGSFLDNHDFPRFAGLTDDPSLIKNAAVYPFVN DGFPIFYQGGEHGLRGGHDPLNREAMWSYGYPEITNAYAIIKSLNEARRSAFTSHPEF LTTLMKPYQLGNHTIALSKPPLLSVLTNYGSSVPATGIHLNPEQTGYKPLLPIIDVLS GQIFSTDPRGALTVSLVNGEPRVFLPLSVHRGLSGKAAWQALPNQIDLEMTMRLPSPG TERGHSMRPSLSGLIGWWSSSRGKFNDV L199_002666 MFLEKDKSAATSDNITVNVTEITEIPSTFEELKDLNWAQITKYM ETNPKPKAYRALRNEIATEVDKVMTSRHGHSYGGTYHIAESEKDTLISAWTEALVGHS EEDMTAMQKCLSTKSIWPELKGPTRKHYKDQVDSMIKSMKSGQVPVPQTAVFNYNPDE KGFVVNTQVTGYGSMEQIAKHILDHQKIWAESAEDVAGWDFSEALAVPVVTNKGLTPQ L199_002667 MFRTLLDSFSDLSVSLKSDKDGTTVAVKELPNEFEELSQASWSE IEDIFTNGPKAKAVRRLQKDLTKGWKSARKERKFELVKDLGISDDERRIEEITLISTW TQSLVGTQQEQSKIIHRCFSDSDIWTDLRGAKGIEKAVFEFDLAKKKFVCDSASDTNS IGSQMIDNQHQWWKASGGILSDFTERITEAQGRSN L199_002668 MPNTQAEKMMERDISNASFADTVQESPYNYDRNKQMNETPPVSH TYISPSSSQDALQPQRSTETTLSRSSSLQSSGLDQSNSDHCRTPFLRKPTDEVGGHWL EKRYEAPEWWNLFYDLAVVAVLTIFSTNHELNQPSAIPVFLSYYAIICWVWTSQVHYD IRYQALDGWHRAAKAIQIMTFVYMGAASGDWNPGLIRNDEDHLATKSNIRASEHRTAN ESFKTVLASFVISRVFLACQYLLSAIIGSRAGRKVRQHIYTLISLVISSICTILAIVL PAHSRVLSLTKVTLFYTGIGVEVIAVWFSLPKDTLGPLRTEAMAKRYGAFTLIIIGEG FISITRAFNLAISGFSITTDVTYPQVILAIMIMYLLFTFLFTRFDPATKVDSCRALIW EIIHFPMHFNLLLLLAALVHFVARYFDHLYLQPDYNTEISLLRNLSNTNIPIEDPTIL AYQYLGQIMFQVTNNYGIELDDSMVENLQSLYALNTTWSTNDTIRGEMQSEAFTLLRE IIQEPASASLSGILWLFPTAGIALIFCAIRALLWHRNNPRGQSIVHITWIILGLVLAC LGLLDIGSKNFNIFADSVRDELKGVNPMYWLVHDRIPLVIVMVFYVVAYLLSLIISKV MDKRGYRHDSMEKEGRNYGETVD L199_002669 MAQGTVPTRRTTSLSGSARLSLSVGKTNNNAPSPASGRHPLRQD WSISYVHRPPGAKVEYEKEIRKVATFGSIESFLHLYSHITPPNELPPVTDILVFVSRI GRPGVWEEMRDGGKFTIRLVHPITPLLFENLLLALIGDQFDESDNVVGCVLSVRQAED ILSVWVEEESDSVRSGALKEKILTLLSLPSTTSCEYRANRALLEATSKPPYNNNNNNN SNSITNNSGSVNNDHESTHHHHNNHRQHQNSHQHQHHSHHNHTHAHREHTYERGHWGG GGGGRERRNQNQNQTSGSGAIGGEKKEPGSWGL L199_002670 MSFSKFDLTLLKRTAWGGFDADHPFEILSNPDQVPPLFIAILTL ILFGFFLLSNLPKVIYRFSSNSRGGELFNGIKLSRKPPSPSPNVLGGGIALAQTPITA RSRASTFVSTSFLPPLPSSSHEGVVGVFQYGSYPSPSTEKLIRRTNPPKSFPLLSSFI PLGHYLDAYIPLAGYTLGQTFVCLLWAGLTALELFYNCDVVTENVRSGFVATNQLPIV FLLAGKVNWIGYLVGKGYEKLNFLHRFVGRCIFIAATFHAGGYLVKWMKKGGIAYVSE ASQKPFIMAGSVAWSAFAFIGVTSIPIIRRRMYALFWTCHWIGFVTAITALSFHKPYT GLFATICLLLYTKDLILRLILKTRIVPARIIALPAPSSDLSSGSTQIVLPLRSGWRAG EGGELVLIAKKAGDWTRNLYDFALRGGIAQPAYQRKQEQKEKDEEGMNEIDFSQEDKR DLALDMGMVERVEQVLGRSCKVLVEGPYGGPCSTVFASYSGIMLIAGGSGITYSLGMF EDVIKKAEEGHLRASTVHFVWAVKTYEDALPLLSHLEDLNSRARDTTFKPVITIYISR SYRRETFLQGSIRFVTERPDLPTIVREGVSKTRMDSLSYANSPGASNCGLILGVCGPR RLIDGTNLAVRSVSWKEKKDIGGITVHNETFGW L199_002671 MNIAPHQIHHPYVNPQEIPFPTMTLPGGGRLGFFPAVKTRFAGP NVGFGAGLMGMPHPLGSPLAWGVGTGIDNTAASWRRYYGVAGMLTGYPLHGRLPGKTG VGGYWGGWHMRD L199_002672 MSYQLNTAPILQAQPSIEQPIVAKQPSAKTMMNAKSPSSEESGQ KEEAMRLRGGCPGHFCGLPILPCRCDICIIPIPCC L199_002673 MQSFLGGDKGGSSNPLKQIGQREGADNSLFKDRYSPAEPSSSQS SSSAFRSPPQITHHQPPSASSAALHSTPHPFNLSHLSAALSQPGPQAGPLQLHQPAPI ASPQFNAQWEQARSNGHSPVPQNVVQARTPQQSAWSGDFQSFHGKGKGRAVEQPSSPQ VSSPYQNGYSSFTPHHGGGFQPSFQPMYQGMIPHQQIQPQTQGQAHRFVDQKEMDDLF ARAEEDYKASSSEAQVDKAQEQDQEQEQDGIEEMTSDPKGDLEKVWESLKPEAERLNQ LAEWEKDFSQFTNDEDDLFDTLNESLNRSDVGQRPLEQQMHIDRDVGGLAVPDGLDHY NGVQRDDGIPQHRGYDYASNNIHDSSELAVLWAEANRIVTDGGSLTQAGLMLESFVRR STAQDRQQLHVSATEAWSLLGRVHAMDEKEEPAMRAFEEGRKTLGEEGVRGKESVAGE MLTNLAISYVNESLDLAALTVLHQYLSLVHPTHAGQAPSRSSLGDNNSPWALHESMAE RFLNLAREQWQNTQSVDPNVQVGLGTLYYMMDNYEEARGCWTNALGEKPDDYLLWNRL GATLANGGNSEEAVDAYRRALELKPTFTRAIFNLGVACLNIGVYKESAEHLLAALSLH SNQSQSDPDRDSDILSSDSWSIWSTLRRALVALNMNQLAGNAEPGADLNIFRQAGFDF L199_002674 MSIISSRALRSLRACPKCSVTSKSRILSTVGPRMISRANSTISP RSIKPYVPKGGPKAHHGPRAKITHDIQDLSPALENQKVVVAGWLFSQRRASENLHFFT IRSSTSSVQLVSRLKDASQDLMEWPLESVVLVEGTVKGRKQKAKGASAPVDEVEIDLS QITLLNPADAQLPFYPNRPEIANEDLRNQNRYLDLRRAELAENLQTRSKVAHIVRNYL HDNGFTEVETPILLNSSPEGAREFLVPTRTPSSDGSPRFYALPQSPQQPKQLLIASGA IPRYYQIAKCFRDEDGRKDRQPEFTQIDLEMAFVDGSAPNAAIAKDGSAGMRSTWAIG GSQVREIIEGLVKKIWKDIKGVDLEGWFRVMPYDVAMDVYGSDKPDTRFEMYTLPIGY YPTLSDASLDKILLDQSPSTVEFMIVPAHQAEGLDIPSLAKSSQFIDYIKITDKNIYT WQNESVLTAPIGLEQDKTLPAGVRPGDVVWVSRRKKIAEGGWTHLGRLRVQISEILSS KGLLQLPIQPHFLWITQFPLFTLADEDKAQLSKGRYASTHHPFTSPMWEDLEDLKKGK VDGVRGQHYDLVLNGQEIGGGSVRIHDAELQEWVMKEVLQLDDEEIGRFDHLLKALRC GAPPHGGIALGFDRLVSILCETRSIREVIAFPKSGTSGIDPVFRSPSISSDEVLKEYG LTSLKGLRKEEVKEEEVEEIEEKEKDKEVIKEKIIEEDGKVKKSEKVLREEQDKEVEV NDKERIVVEEK L199_002675 MALRSQILTSSLPFLSTHSFTRPALLQSLRSMKPDITNPDAVID TLFGPGSVGASKALVERWEEEGLKVMNSPIEGKEDLGEVLRRRIEYSSKVGEHLVEAY ANLSTPSSTPSIPLPSLPILKTLLSSIKLPPSYSPPSSSSSLSRSVTNPNPKLNGNVS SVLDQISSMTGNRLPLLSINPLGPLGYAWRIADEALFLTEQKGKQKGIVRRGYWNEPT GPGPEWYTKRIGLSLVYLSSESHLLQPYSALSSSSNPHLSNALNALETNLKRYQSTLS SLERTEENLGDTAGFFDFVFRSIGGIARSRYM L199_002676 MPDARMSHSSHHHHHSHSSHAYPSHGPPSGYPPSSSHSPYPQSA HHHHHSSRHVSNGPPPTAPGMVGGPHPPPMTMAGPPPEAIGPPSIVAMGNGHGHPAGM GGPISPAARAAKEKMDNLLAQLANANENTWMLIGAVAEGMNNPDRALTAFENALRHNP SSVLGLNAVASIARSRDNFDKAIEYFQRILNINQENGEVWGSMGHCLLMKDDLPKAYT AYQQALYHLPNPKEPKLWYGIGILYDRYGSFEHAEEAFSSVLKMDPNFEKANEIYFRL GIIYKHQRKFGASLECFRYILNNPPRPLTSWDIWFQLGHVYEQDRDYENAREAYLRVL SHQPDHAKVLQQLGWLHHQPGAPFADQDKAVQYLTKSLETDGTDAQSWYLLGRAFMAG QRYNKAYEAYQQAVYRDGRNPTFWCSIGVLYYQINQYRDALDAYSRAIRLNPYISEVW YNLGSLYESCNNQITDAIDAYSRAAELDPSNTTIKQRLSILQTHGNAPLPPAPGPVDV HPSQYSATPTGQHPPGQSPGQSPHGHPGQLPPGEGPAAGRDLPPPPPGSEFGRLQSPG PFRGGPVPPPLAHVDESRGSMSRHAPLAPMETERPDGPRERGEPPRGGPQYNGGGRFD LAGRHIETPPSPGRRDPFGNGPPNHGGYPPSYPRDREREEWERSRERSRIPPGGPVDV RGPSPRMQDRVPHPNVDPRQQPSPRLPPDYRSQEYPRAVESPYPPGYPYEHRGSYPSG PDPRYDPKREAEEARRRDEGRRFDERELNGSIRRGSVTRDLRADDIRVPSPAPSTGSK AGSKRRNENEKSGNAKRAKDDKPPSKRGSGDSAKKDKSKGGLKANVDDEPRGLSPRPT NASSPSASVRSTPQQTPQKPVPTRTVDEDYDEGAADALMTLHGDRPPTSKDSTTRNGS PSSRSPAAPSVVGSKRLDPPSPSSSPATISKKPKGDKSISPPAPTAASSRRTIIEVLN TPSIGSPLPRSTPAEEIEKIDLDAKKVEEPKVEAEPEKEKEKEKDTSTTVAATDEVEK QNGEEEGEVKENSRPPTPPLPSSSPKASGVAEKDKKSEVVNGDVDADVEMGEPEDTGI EVETKTAPASEVVEEGEKKDEEVSIDQGKKTGMEVDHKEGEKEEEGELKESGVEVEGN EENENAKEKAKEVEV L199_002677 MSATETQSQTSLLNIVRSADNFPSFTTPYPTRHPLNAKRLIPLH LTLKDFQNHLPPIGLVPSDLLKDLKSEKGLQFFTILKKLEGKEKELAKKAKDKKKNKI AKQQEQEQEKPQEEEGVPEGEQVIESGGKDKEEKKKHKEDYKLIVLAIFFSDEVNKQG LKARTEVMSRVLGRWKDANKFPEAMKLWMNEPFPIYASTKSSLWQQSKEIQQEATKPF GNVAFEIERAATPILGCTALGVHMTAYEGQGDEMKIWIPRRSANRIKSPLKYDSTVAG GIPSGHKPLQALLVECKEEAGWDEGLIEKYVRHAGMISLFQLTKWGGLAPDSDYVFDL PLPSSDSADYIKPKPNDEEVESFQLLCVPEVISALKKNEFKPTSAVVTIDFLIRHGFI NPENEANYAEIVKRIHRITGVAGPGY L199_002678 MPAISSTSASASSSRMSSPAPTPSGCGGSGPMQPIDAYRPISGQ VKKPIIPLLPSSATPNLPTLLSLDPITYSSRLSGKTLQTSDPTSSSSTTLPNLGSSSI SPLVRGKKRNRGYPSELQKVRDEQQRKEGERKELGLSGMRKVRRRLGGVMNKGTKISY NSLIPLNHLHTNYLFQLLSLPPLPSPSSSNINTTNNVTPTTNSDAVLSKLSKADFTGM KITVISSKNPSLVNQKGIIIEETCSTFRIVTKIDNKVKVIPKNGSLFRIYIPAYSPLS LMSSSIQDIDIDMGDQHGSGLDSEDLLNQCPRLQIDLLGSNFLDRSGDRAGKKLKPLQ GNGGGSGWAQDWIKETDWEKTFSLLADKLGETSNRKATAVKGKKRSSGGEEKKRKRNK SRRKDPPAFGNPE L199_002679 MSRPNISYPTPIPGPSTSTPSSTSSSYDANARPNMGLDPSPIGR EAGGNGHGQGGGSSQPPRRSSGSSRSAGQYTSALDSSITRLLVTTKQLLQGLEQWSQA LISETDVSDIYVRLGNGFEVCIQAFHRAGIPTHELDAIPQDLRACLEQCLSYDQNHET LEVYLPEIRQIIYNLLHGLKQKQAAYKRLIQDRQRNDPSPAVVMPTAQPTGTAPSAGY QQRKPSKGEVIPIPPPETDHRMTHKSGGSTSSTSPASQHSNLPGTKVPSSSALAERGN NRTGLPSRPAPPDAFRPPRMRAPEQTHQHKRSASSVPMQQERVRHQLIDNPVPAAPSP PPTIQVHNLPSSKPPPRPDRFSRDSYSSQRPVSRFSADSDITNGSPIRSPPIGSPAKQ HEQLPQNTTEESSNQQHPIPPSLPVLNLPAGLDLPDEPQHPAPTPPAPVSGQSMDGLP DVPPETRATLAALQRSDALERRASKRFSSYTFNKMLPGSPGKKSGIGSPQRPTRRADR PPPMPALPESLTNAALSKAVSADADPLISPTSTAHLQANGELGRPTTPTGDILSDDQS DRSVRVVKTPDPDDIGQNATPRPRSSVSAPTSVSVFLQIGRQVKKATVDLPVSMSNLR LLFMERFEYDPGMEDFPDVYIRDNRTGVQFELEDMEELKDGCVLNLNIEPLDQVKQHF DTTFASLMQEIKDMKKTIDSTRRHSMTPSPSLLAVSPSAQMSRPSTMVRRPSSESIAP SSSTTAVPTGPRPGSPSPRSGLVNLTPEKEAELQKQHDELQSLRRDLAVMRQLHVDFL NETKESFGKLRTQNSAMRDVVKTKMGGNRALLDNSKTKLESQCQDTIQSVEDVSDLID KAREDASKRYVTPSKSQMNTIQNDLEKAKKLVGQLSSDVQLADPMWRATWQIELHRVM EEQKLLSYQIKLCTDLKKDLDDVEVIFGNVKDFVTQRTINGGRVASSGKAFVKPDSTE GENTEGGIGNLLMEIRTKEGDPNSRLKAIEQQQRQREKEKMNKIDEFEEELKLGSKKL KKTGGTEEAERIRQRKNENTLRKMINGEVLSPQNTGTTIARALSPQLTGNTPKILSPQ LTGPTGQQQQQQRAITPQRTGTGDSASKKLSPQMTGGSISQVLSPNLTGGSDSRSRRG SGHASVEGEQK L199_002680 MSISLPNGTLLAAPSSSSTPVTLSAAVTRILHLANFSADLKTRD LQNMFKDWEAEKGGFRIKWLDDVNALVVFADASIAKRAYLSLLLHPPSQFSGLIKPYD RPDAAQIIQSLAARSLGHRSTGSALNGSISFPFPTNNDPAQPQIHSRAMSVTNPLNKG GSGSISIQPGGSISNVLNGGGVGGHVRGSTAPQRIGGGGGGHHRTSSASSSWNNRASG SFGGGVLSFSSSSVAANKLPTHNETSPHPHSLAASRSTSDSDGEPNIVILDPSATQGL KTRSNTAPNGNTNGRGRRESVSAEKAMREVEKALASVEAQG L199_002681 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKI QDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGQ L199_002682 MAASVPKLLVVGGNGFLGSAICKAAVTKGWEVSSMSSSGKPYTT PAGHTPAWSSKVQWARADAFDPSTYQHLIKDRTSVVHSLGILLEDTGYKASIRQGDLL GLAGSLIKSTNLGGGDGNPLKSEAEKKRGYEGMNRDSAIKVLDTFLSTSSSSSAPIST PSSIAKQFIYISAADAFRPLIPSRYIETKREAELEIARRCQVYNDHEGQNGQTEMVKP IFIRPGLMYHPHIRPLTTLPAFLIDLSSKLSLRSGIPNPFASKSPLHGALESMRTFPL HVDHVANSVLRCIEESRALGQEQQEGEGWSGARVVEVQQMREWAGLGRK L199_002683 MHTDGKLDSSTYNDGSYTPAEDFDSIYPPIDIEVRYSSLPNHFL LSTPTSTPSLLDRSPDIPFVSPPTSQCSLFIQGSVPELEFSQASQVSTQLQTPPSASS NKAHRYAQLEDPDYRLSPSTPSTRKTESANRSISQLSLTPPASNATITLRSPIVVRHE EPITSPASRLQQLTPRRRHRDEQETEKDILDISAVKEELESPLRNLGLGTPRGHHRAE SFHYLAEPGTPPTHSHSPLPSSTPGLESPFRRLGLGTPRGRGRTPPPSPQPRPPSVCD SDGSSPSPSPSTSPLKNRIKAQLHIPSPGWIPDTPTPYRFYTPASTSHKPTYDLTLPR QPIFTRSPSRDIKPTLPPTPPQIGLENNGGDGEVSLNFISHVSMDGGVLAALRRYKDH DQRQIVNMRECGGLNIFINPPKRGVKKREDDAEVTEAAKIFRSFDEEFRRNSMAHAAH TTNLLSPDIDLRNHSKESIITELELAGVLGIPTLVIHLGSEGHDIDPQGDIVDRDRLD MLMVDLQDILSRTQGVVLAIENTVHPSPSSLTTLQSLALLLALMPHPRLKVCLDLAHV HISELDLNDPERQEDLIELLKKIGKGRIAGVHVGGGGSEHGGKGDRHVGGSFELSSLR SILLHPMFHSIPILLETPRYHRYHRRPRSHSRTGTTIKRIPSSRIEELEEERSKSEST FLQYIVNLPDTDWEVNQYKILKRYQKDKKKIENKIYKILCKRKDRASALWKRFGEGRK RELKCLRRVQGAKRRRMRDEG L199_002684 MSDQQQQQLQRQESNQSNQQEYADDEKAPFENTISNGMIKPGQP GDDGDSTNAIRDVALNPLGKRKKMLSEQIGEENLKHVGKKDGKEDNDSGSLKIRIQLD LDVEVHLSARVKGDITIGLL L199_002685 MFNFRSKDQNTPTKKPNAATEENLSDTETNNDNNMSEKQQERKV QRQQNGNKPPNYDSGDSEREGSDSEAEEENDGPLDGLQQAGEAGQEVADTANEVTDQA TDTVQGVTKTADQATSAIKDVALNPLGGKKRKQLSQTIGEENLKNVGKKNGKDDDEGG SLRIRIQLDLDVEVHLSARIKGDITIGLL L199_002686 MVLGILSAVAACPAIVGTTEAVRHGQKAQAKEAHRGQKVNMIAR LPTPIPGYSEKFEGSLVVLKDDKLYIQHAQSKFPPYSVHPFAGYYLPYPSNQNKWAGA GYKGEGLVSTINEQNHLNWIYVDRDTHEIKYGVKQDAEGNCCGPWDCTSIDKRMTFEG WEGFIAVQEDEREDIWALYFDRFDDGLSSDGLIGDFESTGKQVRMLEVQLIRKERQKD FEMAQEERVERIRAMKGKQREQQEQGLQEEDK L199_002687 MSSDLSDLSDSAILGRNASNVKLNFPRTWIMAVPSFYSFFFLGT YSTFLATHFSVRARALAAFLGPTGAVCTALLFGRFLDSKRISQKVKIWLGMTLVLVPQ LASFIWVGIEWNKYPNTVALDYDLSRSTAYLGQLLMHCFGFCFQVYLYWNLATFSNVL KAASRIGGTFRAFECAGQATSFGLVSKYPRALRTFYFNASLSVPATIF L199_002688 MPSQIKTETTANAHQATSNIGRLDLRGGDDGPRYPQYLPSVGHA TFPDWEEINYVDPGTRGTSDKKHLFLPGSKHKAITPRIGEEIRGVQLSQLTKEGLDDL ALLAAERGVVIFRDQDFKDIGVEKQLETARHFGRLHIHPTMPYPKGIPEMHVVYKDPA AANRFSAIKPSDLQSVSSVVWHSDHTAEQQPPGYTFFVALEVPETGGDTLFVSATEAY KQLSDGYKQTLEGLKIVHDNTSMIEYAKSKGLPARFSPQKRSHPLIRTHPATGEKILW AIGAGEGNGMPRYIEGFKKEESDSTLRLLNDVLQRSGDIQMRATYEPGTVVIWDNRAV GHTPVHDHKGERRHFVRISAMAETPY L199_002689 MSIDKKLKVAIVGAGPGGLAAIIHFLRVQNVDLSVFEAAWELRE IGAGIGINQNTWRHLQLMGVAEKIEQFTNRGDGTKIDVENRNGTSGKLISRRYQSTDP NTPAKSRIERYKLQNALLSAVPKGLIQLNKRLADIKEREDGVVLIFKDGTSEGPFDLV IGADGIRSVTRSYAFPDHKLSYTGKTAYRIIIPGEEVAHIPGIPQGGCFWHTKETHIY TNPLDNGLFEIATRAVIPDENGTKVSWGTEVPREEVIGHYTGYCETIRKILDVPKKWL EFAIFGGPRLESVIHGGRIALLGDASHPLSGAFGAGACFAFEDAYVLSNAVDQALKNG TSIANALKEYDEFRAPHYKALYQVLDGYARTAEEVKGLNAELSDDEFVEEIVQKGLAG NTRWIYEYDVTKVWQEKLDSALKGKDGLDTSLAPGVAAIAITA L199_002690 MSYNNNNFPIEDKAEISHIEAGDEHLKHETHHHTTNEIKHGDNA LKYVGEERVELTEEDNVRIRRKTDKRILSILMWVYFLQIFDKTLLGYANNLGMSTTVG LHGNQYSLLSMINAIVQLGWQPFSSYLLVRVPARHLMTGMVFCWGVSQACMAASSTFG GLLACRALLGLFEAGCLPLFSLLTVQWYRRSEQPIRVAAWYSTNGMATIVAALISFGL GHVKSPHIHNWQLIFIISGIITVLTAPVIWYMIDSDVASARFFDEDEKAKAIERLRAN NTGTGSNEFKWKQILELFIDPKTYLWLALTLCNNVGAAVTTYFGPTLIGSFGFSKNIS SLLNMPFGFLQILAIMVGCYAATKFRLKSAMLGVFIGIVIVGLALLYSENTRGHLRIA VALVGYYLLAFLFGCNPMVVAWIAGNTAGQTKKAAVMSIFNAASAVGNIVGPAIFTDK DKPHYIPGLKTTLGIFCAMLACVGLQVVLLFFFNKQRQNQRVANGKPRYIKDTSMEVK YQAYGSEEHSDALGQNALLDLTDFKNDEFVYVY L199_002691 MAAVVEGDLLPPTLQNILDQKSLKWIFCGGKGGVGKTTTSCSLA VQLAACRDSVLLISTDPAHNLSDAFSQKFSKDATKVNGFDNLFAMEIDPNGSLQEMIE NSDQSGGMGGMMQDLAFAIPGVDEAMGFAEIMKHVKSMEFSVIVFDTAPTGHTLRFLS FPSVLEKALGKLSSLSGRFGPMLNQMQSMFGGGGPQEDMFAKLEGMREVISEVNSQFK DPDKTTFVCVCISEFLSLYETERLIQELTSYEIDSHNIVVNQLLFPKPTDNCQQCTVR HKMQQKYLNEAYELYGEDFNIVKLPLLTEEVRGTDKIKEFSKMLITPYVPPQ L199_002692 MRSVLPTRYYYFFWLVEPLLTIAGGLSAIINPEDFGRNQLPDGI ERLTVGIGGSSRGQMVISQLGSCFILLAMISLSLVYLFKKHLDDKPALLEKMMKGLLV PLAIADLLHVFVTLIPLPISHLKSPSEWTYIIHCTVWITLSLFVTRACWLLGIGRPSA RSLATASPLSKTGQRPIPLPKTDSELVIEQVVPKDGDIEGPRRRNTPRKTRLVE L199_002693 MSSRRAIKSFSRATPVTPRSLRLIPEDTIRPTAIHFAPSVKVTT FTPIEQHKKSISTSFSYTRRDPLPSPGDSILLSTSSTRPVVPVNQWTLTLTDPTEATQ QAAPTGTCQPRTFTWPTNVHCFKPNSNGHRYHTVGSSTYRIDSMTCYKPFEGEDCTYR PELQDDKKRMVEEFEKRKQARAAKRKENEALRVRGGRKWAKAIVFVLGYQMIRVRDWA RNVSRVVEDPLEAKPS L199_002694 MSIVSCPASISLSMASLDAPQETPGSFEFTPTMMSTSTFSSSPS PSIIYGPTHHSSFGAGFDIDKEAVEARESQLITGYNRKRYPNSNRDLALTLGYKLCTG QTNTDESGDSDNPFKIKVEPVMNINWDRSIRDIPFGDQGRTQGPCKVQEVQELMDEEE VYECKGKVMMRRTERSKGKKRIDTKVAESKNLGKVNRKTHKQTNRKSRNASSEPKNKK KVRLATYPADYPFHIHQLHNGKYDSPEPFFEPSYTDCPPKPVDKRSSSKSSRLSSDSD QTLVENDSRTKTLHGIVLYKIHNVNIQFVPNYHYARTTLKKVIPLSSSSSSSNLITNQ DLDREYQQRKVDRAKARRQEREKIRENFGDLAFDAMDMYVKNYGEEVGIERFARWLRF EKEFYEVEYTLEMAIKERRRRSTWYRRMLW L199_002695 MAFGSSERAEERRHEAQDASFAPDTHRPWHAHSRAYMLAAVGFM GIFLFGYDTGLGGGVIALPSFAKDFHISGTKTYVATLQGNIVAILQGGAFFGAIFGAP LEDYLGRKWSLMVGCWIFILGAILQTVASSSLGLVYGGRFTSGFGVGLMSMVCPTYAS EIAPKEIRGRITGMFQVIVVIGVAFSYWINYGVTFMNASRGAIQWRIPIGFQFVPVGF MVMLLPLLKESPRWLATKHKDELALKNLAWIRKLPASDPAVQFEFAEIVAAIREEEAA TKGASWREIGAKGNPIRFIIAFTVFTLQQWSGQNSISYYAPTIFQSIGLKGAKTGLLA SGVYGIVKIVATGIFILFGVDRFGRKKPLLTGIALMSLFLWIVGAIFNTHIPDAAATT VPPASIGMAVCIYLFVIPYCFSVGPLPWVICSEIFSNRTRHYGLMTAAATQWAWNFGV TKATPLMVIKMPNGGIFFFFAAINIISFILAFFFLPETSGTSLEAMDIIFGSVTKEER EAEIARRAGAIEKAFDDEEKGVSSHVEHHDSKV L199_002696 MAPIIPSRPNNPHRNSSNTSASSSFRSLFTQPNSKLSQIVGEEK IEDNRILPVKDFPPQAERRRSSAPTLAVEPDSENGSSSPSPQPKDELPEQTNTPRSEI LHKRSHSLRRKPVPSLLLNAESTSTPLPLPLPMDHPFATKHNKHDNTSSSLKSFSTGN SATSSINLNPVLTPERSTGRTPPPPRRTVNSPKEDEESMVIRKKKNARPDTAGTFGGR QSLDEDRPATATENRKGQLSRIDKEDALHARMISLSLDRPLPPTPTGPEDKQLQVPPS TTLSPKSSPPRITRPSADTNTSKSMDLSNILRQSPSIPLHTSLPMSKNGSSGSGSGTG SGSKFRSKILNRWDSSEKVKKSSAPSSPSKKDTNKVEEEFSVDRIPSKKDLWEAGTCF LRDEDGDLKCFGDLFPRYREDTSSTLIDDHQNDKSQSKGKRKEKESAASAGMEKSAAY SGPSSQNQVENKVYKTVVFFIRHFWCGQCQDYTFASLSLLDPVALEKAGIRVVVISNG SWKIIKSYKKLFNCPFPIYVDGPRRLYQLMGMTKMTNDFGPLFKGRAAYHQRTVPGQL VHGLGNAFFRMPLANPGTLTQLGGEFILTPGWNCEFAHRMTTTSDHMEAPDVLRLAGC VHPTKSDAVELELADSQKAELEKLEKEMKEWQEQRVAELERIRQKKAARRGVTYEPSR RDSDFTFLEGEKDLSDFSLEKEVNQALSSPKELKEILSRVREPSEVDARLEDALQIHE SREREKLAAGQLMLARGKGDLEVQLDGAKAY L199_002697 MTTLPRTKLAPSAMPSQPPTVIKAVKVVAANGAQTIRAQSKKDK TKAANGQDGVDRAGMSKDVVKAVLASPLTVAWPNIPRHLQNATLHALKELVPPEVADY HVSRARCHQREKRKHRKITRNANGKGGQEKSDADGKDVEMKEGIRTTSSAEDTTSSGV GTKRVSIELPTDEPAQKKMRLDETGEAEGGGEGESGSKPVKPEILSHMVLGINEVLKS LESQISDLRIRIMIMGDTLNGVPTTLARNEKGPTKNHLLPTAPRSPSLSPVPEAEVTK DSDQAKALNQGISPLEFIIVPLLSINPPSLVSPIPQYCATYNTLVYQHNQLAKICKTR LKAGQVEKIVSGEKEEVRVVPLGAVEKEMAELVGLRRVACLGVRISHPSISLLQNLLP KSVLHSPRHSITLPIPTSSLKAHDITQSTEGAKTTTKVKPPIAGVHYADLHIKGIKTK MPVDNAARKAKRLEEVRRKRVEAKMKKKELRVKGKQKEKQSQ L199_002698 MVRASVLNDALNNIVNAERRGKRQVLIRPSSKVVIKVLSVMQKH GYIGEFEIIDDHRGGKVVIQLNGRLNKCGVISPRFNIAVDAIEQWVALLLPARSFGKI ILTTSAGIMDHQEARNKHVGGKILAFVY L199_002699 MQQNLHNESESEYDKIHDPAKIPTSPNGQGDITSRSKQEWDLDN ITKSSGNLLTKASNMGMKAFTLGTEYATKASRSDLVKSATSMLNDTYSSLVNGSPTHP TNGPSPYMQGQKIPTIDDRTIDLRYSNTNKLSKLRRPYDPLTNTFTDSDSVDDKFLGS FYGSAEERDAEAEAYLRGDRWYWSMKTDEGKYEFARMEHTLDELTNKNRREDDGFEIA YVQNDQEEVQEFLNTLEKAKISTTRSNSHDSRTKDTTVEMKRDKSGSPFSARASRFLA GEPRSELSD L199_002700 MFTSTNTTFGTSPFHIFLKHAGPSTLQSRARTLTSTAKRSARTH GSLSHYDVLKLSKNSTKQQIKARFYELSKQYHPDAKGGDTAKFHEINDAYAVLGDDSK RRQYDTSLTPASHHSHPSRTHQPYGHGHGHSSFSPRDPYLHRAAQGPHRAWSNTNSTA YKTGQAPRTENYQPFGRKTPPNFQYTYEYDLNYNPNARTAKPGGKRKTGEDGEGEGEG GGGGGVWKFLVTVGLIFTVISLGGGLTANSQGSYDWEIVQSRWDEEIDDRPGIQDRDE VAD L199_002701 MSVIETPSKAAASALAEFNLNTPKKVSEPTLLSKLQAVSKPTEK PVVVEEGKVEEYRTRFVGDVDCEEKDEPLLQETNSRFVLFPIKYREIWQMYKQAQASF WTAEEINLAPDLHDWENKLNDNERYFIERVLAFFAASDGIVNENLVERFSAEVQCAEA KCFYGFQIMMENIHSETYSLLIDTYIKDNDQKNFLFDAIDTIPCIQKKAQWALKWITD DKSTFAERLIAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELISRDEGLHTDFAC LLFTHLRRRPHPDTISKIIKEAVAIEQEFLTDALPVSLIGMNSKLMCQYIEFVADRLL VALGNDKIWNVSNPFDFMEMISLQGKANFFESRVSAYSKSGVNQSVGATSDHSAVKAG FTLDADF L199_002702 MAKTKPEGTPKDAKATKQATLASFFGAPKPGPRPPSTNPTSSPA TTNNGTRRPSSAAAGVGGPASSPVVRTTEGKDLVNKSSPLVGRKQNFVESEDELTPPP ESGPSSAKANSSEKKKVIQVDEDIEMDDGAEEADGSPIKLGRRAKRKVMYVESDSDGS EEEVIPKGKSGRKPRKSLKEDPDGDDFVFNEEDDAAMAAAVDEYEAYEASVVSRSPSA FSASPEPIKKKAAPGKGFVAPKPAPKKANTSWYDNNTSTLAEASSSSARPGPRPLINN SRHNSGSGVSRSNDPDAIFLTQAERSKLEAKEQKREAEKRYAFLENPQDKEGHTPDHP DYDKRTVHIPKARFDTADKQNGFTNFEAQFWNIKQDHFDTVLFFQKGKFYELYENDAD IGHQEFDLKLTDRVNMKMVGVPEQSLEFWIHKFLAAGHKVGIVEQVETAIGQQIRNKG KSNEPKIVNRELRQVFTNGTIVDGAYLNSDEANHCVSIKEFVSDLDGTSAFGVCILDA STGSFDISAFEDDVCRTRLETMFRQIRPRELVYAKGNLSVNTTRMLRNILPSSTQWQS FKDGKEFCSAQETLEQLSEFFAVDEEGLAAGKAPLPDAIRQYQENRVAMEALGGLLFY LRSLSLDKDLVSQSNFNVYDPIKEGKSLVLDGQTLAHMEVLVNGDGGSEGTLLDLLQR CITPFGKRLFRIWLTAPLRDAKSINDRLDAIEDLMNNDTSFSNEFRSLCQGIPDLERL ISRIHAGSEKESKFLNVMDTFVALQHGVEKLRTIAEKFTSPSVAGLLRSVPDLSAHIE HIQSMYVVEENDKSISILPQPGADEECDSADATVAEIEQRLDAYLEHAKKDVQAQNIK WWHSAQGAKEIFQIEVPNVKDKVTKVPDNWIKQSGTKNCTRYYTPRLLSLKNEIQEAR DIANTTRADFYKRLLAEFDKDRAVWLKTVRVIAELDCLVSLSKASSDMEDPKCRPEII ESPFAFVDFEELKHPSMSARGEFIPNNVQLGDTRPRQVLLTGPNMAGKSTLLRMTAAG VILAQLGCYVPASKARLSPIDRIQTRMGAYDNMFASASTFKVELDECAKILRDAGPKS LVILDELGRGTSTYDGMAIAGAVLHQLATHTLPLGFFATHYGSLTDDFTYHPNIRKMH MQTHVDDQLQQVVFLYKLIPGVAESSHGTHVAHMAGVPSQVVLRAQSVSDQFFNDFKM KLTQKRKSSLPIPGQADFAWLMRLVNGLMREDDKTKAGLGEQLEVLRECIGRYEMS L199_002703 MSPFDNAVIAPPHILKLLDDLHAISSQQESQITAERLRDKQGFD EFMRDKFIALDQDKCWFVYQTCLSIGAKNVIEAGTSYGVSTIYLSLALSQSSKIYGHN PGKVVATENEPSKITQARKYWQECGDEVERLIDLRKGNLLDTLAKNLPDQVDLLLLDI WSPLALPTLKLVQPSLRKGAVVIIDNIVGSADRYKDLIEYLNDGDNGFNNLNVPYSKG LAMSVYQGRQA L199_002704 MFIQSLLLPLLATLAIASPITPRAQEGKRIRSLASPDLCLTVQN GYAAYGTIVALTACSQGSGGYLDQFQFFSAVGPQRGQIKLISNEDMCLDADTSNGNGG QVTIEACEDGKDSQVFTVGTTGTGSEPNLRLAIGQDEAQCLDVVKDSTPIQRKPYGSE KDLQTWECHPTDHPDAQQQYFELVAPESE L199_002705 MTNSFLPIIEAVDNFPYDLSASTYIPFHLTLSDYRNKLPPIGLL QQDVVAEMRLVAEGIWQFEYSSSEERKVICVFFADDLVKKGREEISRVMTEAIENWKD EGKFPELLSGRCKEDYDVYASSKSSGLQAASSWEIATGKNVAFHLVDAACALLGVATF GTHMTAYEGEGKDMKVWVPTRSQNKATWPGRLDNSVAGSMGVGETPLETMIRECEEEA SLPAHFVKKYLKNAGVTTHFYIADDGYLQPEVSYVYDLPLPPSSSSEYIKLKPNDDEV QSFALMSIPELIETLHTGRFKPNCALILVDFLIRHGFITPENEPNFLQISWRLRRVLP VALPGT L199_002706 MTSRSESMSRLTKLRELMRDRNIDAYVVPSEDAHASEYLAPCDA RRSYITSFTGSAGCAVITHTEARCWTDGRYWVQAEKQLGEGWTLMKSGLPEIPTWGAW LKSDLPPSSRIGIDPTLIPRSESTNLTSSLTLSPTNSSLVPITENLIDIIWSEEQPKP SRPTNEIFHLSTQYTGESVEAKISRIRDKLKKIGSPGTIVTSLDEIAWLFNLRGADIP YNPVFFAYAILTRDDCTLFASPKSLTDPVREYLHKNGIALLDYDQIWNSLGSWQKRLT VERSQSQVNKSDDVDMDDGPSSKKAKTDRKGEEDNTSKDEKVVKTDKVLIGMKTSWAI AEAVGEDNVEVRRSIIEEFKAKKNATEIEGFRRSHVRDGVALARYFAWLEEVLHNGER WSEYDAALVLEKYRKGGQWFMGLSFETISSTGANAAIIHYAPPKEGSAIIDGDQMYLC DSGAQYLDGTTDITRTFHFGTPTEEEKRAFTRVLQGHIALDTAVFPQGTTGKDILARR ALWSDGMDYRHSTSHGIGSFLNVHEGPQGIGQRPAYNEVPLVEGMVISNEPGYYADGK FGIRIEGVDIIVRAETKENFGNKGWLTLERIAMCPIQTSLVDSSLLSPTEKDWLNEYH TEVFEKIGPLLKEFNDERAYRWLARECRSI L199_002707 MSNSNANASSSSTSTSTEPIIGPPNPQNPPLLPWAFSDCPLDIL VDLLTHMLELLIKHNDQVILTPEALTRFHSRAAPGISVKDYLGRIVKYTNLEKIPLLS LLAYIDTTCTNLPSFTLSSLTVHRFLIASICAGSKAQCDVFCTNAHYAKVGGIKTQEL NALERELLRVTKWDLCCHAEQLQKYYTSLIRSHGGYAQSPQPANPPFLPFPRSRSKPR ASTAPSPPPEGDAPAVPGQDGEPEPPDEDDAMGEGDDDEDMSEEDETSMVIDSPSRGR KRIKKTSGNIGVMDIDTSPTTAQLQEIPIGHDVSNTPMHSPLSTASTGSSSVPSSSRS SIHRNTPGSGSLKGKRSRLVSISESKIAEPKIDTTPAIADPSPTSSTKIHAPPILNDQ SRSQPKPPAPIPTPTRKSTSGILTSSTGISSDPKSQSQSHSHSHSSGKLLKSLVGGIF RRKSLPGDGNDSLAISPSSTNNGNGSLASSLGADVNGKNKSNQSTSTSHSTSGSRSGY NVIPSKPIHSPTSTGKSGITASRPASSSSTTSPRIYSSTSATGDKSPKPGLAKPVTPK VRTRDETSLDPRERVALGAPMGMGMGIAVDELEGKRSRIP L199_002708 MPSESSSSERRKAEEKAKAKKKEEEELKAKRKAYLKKCDPSTVD ELMTGDETGKKWFEEGKWRPGWADVEFDEDACLKNVLKNKADGFYAPAGTILPLGAQM QEMTVLKYGGYFPEGTSFPGGVSVPLHARMVNLLPEETKPKHDPIIDESLCSVQ L199_002709 MNSPGEVAKAVEHALKSGYRHLDCAWAYGNEAEVGQGIKASGVP REEIFVTSKLFELHHHPEHVPLAIKDTLKNLGLEYLDLYLLHWNINLEVDAPAGTLPQ NEHRVKGSSGKFKLDVALSDDVTPTWREMEKLVDQGLVKSIGISNFNINRTKKLLKVA RIKPVADQVELSIQCPQPELVAWLKKNDILPQGYSPLGGTGKTNLRDNEIVKKIADQH GVQTANILLSWLVARGVNPVPKSVTPERIENNLKLVDLTKEQFDELESLSQSHPPNRV CDQSDSFEPVYDIYQENDPEFSDKVQFAKEQ L199_002710 MPSSSKIIAISLIAGASAAPLNLFGTTSASGKTSTSVSAGASVN AATNAVTDITGFTNAFLSSATGGAITTVSKLESQLGSAVGVARTIAMNTWASGQCLAT YADINMCTELSAYLSAVYYAFEQGWSAAQLFAALGADRVNKFQSLISALCAHAEASST CSPLLSAWPTYLTAMAQVDASIVHQATSQLTANGIITLIDAADAGTHLVSGLTSGVMS NVNAMVGATAKGSLGTDILGLHLRDEHEQAKRFLGLENLFGHKAEATATASVAATAQA SATAAAAAAAQAQAQAAGGLNIANIVNAAGSLTAGTAAQAQAQAGAGAQVGTNVITGL TSNIPVVGGLVNGLPLVGGVVNTVGGVVNKIPVVGGVAETATGLAAGLTGQASGTLGT ATDLVGGVNVPALLSLNGQVSGAANAATLAAGSVAATGQGVLGTATHLLGLRDVDLAV EIAEGDVFSHLSDEHKRDLLSGLLGNPTGILNNVPVVGGLTNGLLGGLTGGLLNNPTG AVGGILSNPTGAVGGIVNTVNHLPVVGPVVGNTVNSVLNTVGHLPVVGNVAAQATGAL NSATNAGLNIGQNGLQLGLNDVTSAAAGVAGQAGLHLKRGLLDGLPLLGALPVGNIVN SLPIVNNLPIGGILSNPVGTVQQTVNNLPVVGGFVHGLTANLPVVGELTANAGAQAQG AANAGLNLGAGGLSATLNGVTSALGNVAGSTGIHLGSRDVDERDLLNGLLGSSSNSQG GLIGNNLPIVGPLVNGVTSNLPIVGNAGGVLSGVTNTVGQLTGTVGNTVSGLTHNLPI VGNLVDGLTHSLPLVGAQASAAASGAAQSATNAGLNIGANGLQGTLNSVTGLAGGLLG SANLHLKREASPEPILGLNLPLVNSLPIVNSLPVVGNGQASASLVDGLNVQLGPVQAQ AQAAIQATQHGLSSVLGAGLKVGDLVNAQTQGVVGALIH L199_002711 MSQPEQLAVVPAPPSSSDSVPLPPPPQITESAPEPASIKPLLNP LQSDAPPPSSTLVEPPKSPNPSNPATDDKGNAAATEGINGDVQEPETTPVPVASSEAP AVISHTPTPAPEPIFVPAEPSKSPLPPDATVPPTPRAEDEAPPTLPPDAIPPAVPTPS VITTEEQEAQGGILGVEQPALPTPGPEETAQVQEVPAAVVERGEPMEIDTEKEAEATP TASGLQSSQSEGSLKRAGENLDGERDEKRLREELTNVPTTIPASTPTPQPMSAAPPAP VSSQPAEPAPPAAAPPAGDLTQPTPAWLTYQPPAPRPSGPTTPLTQHQHKHLLIAIRS LKKKTPDVFAFLQPVDTVAFGIPHYNQIIDRPMDLGTVETKLIASDPRGPPKDQSKAR KWDTSKGAYNSYSEVVEDVRQIWENTRKFNGPGHVVTVAADKLDAAFENALSKIPPEP VLAPPPAPVSATPPAATPVAGPSSARRASISQPPTIRRSSDDSRPKREIHPPPSKDLA YEETAGAARKPKRRNDPQLQWGFKVVKSLETTQKFFPAAAPFLYPVEEIIKAIPDYTK VVKKPIDLLHIKDKLEQGLYDEVNQLDSDIRLMVNNALKFNPPGDAVNTAATQLLQIW NEKWQTLPPKQDIRDSSEDPLADNYEEDGYQSEDDSKQLKSLEAQVSNLNDQIAELRA KIAKGRANRPPKSSKPKTTKAGSQQRKPSVAKHSPGVNGNGHSATKKSKKTKEAVSYR DEDEDMESEEEDTTAITLTQKQELAEKITQADEQTLQEAITIIQQTTNLGANNEEIEL DIDSLPVATVAKLYNLVCRGRRGGSKPGRKSLGGVSGGPGGSAKKAGKKASGGVTKRS VNEREEAERIRRMEAQLQSFDSRAGGAGQGLASGGVGYEEGESSSEEESSDEE L199_002712 MGKQEKSKAKKGSSSARPAPYDKRLKVEVKFEGAAPSASTSTVK TKKQQPPNVKKESSAPSKASASVDKGKAKAIPVPSKVESESEEEEGVEVLPRTFIIIA GSYEKLLYGIEGTFSSDSISPKLEPIFIFPAHLACVKAVAASKGGKWLATGSEDEFVK VWDLRRRKEVGSLSQHTGSITSLHFPTSSHLITTSEDSTLSLFRTSDWALLKSLKGHS GRVNHVDVHPTGRVALSVGKDNTLKMWDLMRGRGAASLPLGSEPEMVKFSQAGTHFAV LFPRKIQIYSLTLKLLHTLETKSRFNTLLFATIPPVNGPMTEEELLCVGTEKGIVEVY NIKVGTEEPQSDSEDEDEEGEAEGSETKGNGAKVERVGTLVGHTNRIKSISALPFEVP AEDEEIRPTILLTTVSSDGLINVHDLFWVENSPQFQGVGENNTIEPIASYNTKGSRLT CVTLADGQDLSKKLAKQRSNGGTPAKTTRVDDEEEEDSEDDEDDDEEEEDIYESGEDD GEEDEEMAVEFEDEEEEEEGEYED L199_002713 MSTLSASQAVSDLLRQPDDLLKLSSYRKRLLKEKSTLDAKLQSG VKAQLDATRDALLKLQASRAAVGLIREEMMAVEKLKGEDMEKKGEAFDKITRVSTVHR NLSQTTKMVQNLRSMSDKVDHISSLLDSDKNQIGGPAGYSPNLLPIHFQLQQLESFRN ETLHQAKKNSNSTDEEREVLTKWFEKLDKIGQDFESWLWEISKNIVDLARKGNGGTVV RLLKIVEVEGKEDEKAVAMRLVRKVATHDAASKFKSMQANARVIKNYRHKLLDVMTNS IKESFENYYLDNQYDMLGFIEGLGWIYKDIIRIKEDIEPLFPEDYEIVPYLVKSYHKH LDQTIRKIVASAPEAKVLLELHAWIKEYRISMKELEIPSGWIQPPLLDGKSQDLIEDY VKLIVTKLEEWSDNLMKEETGKFQWRTKEPEQSDDGQLGMEGVVDFFSLINQQCDLAL DSNQGAVLVRVVNECSKLIKKIQNQWLNLINEESKAQIEKKPEEVPGGLVEYVIALAN DQLKSADYVESLLTRLEPLVSDKYKKQISLCLNESIDGYLDVAKKCTSSLVEFVFNDL KIVIKNLITPNWYTDPLMAQIIETMKDYMNDYQHHLNPSIFEILVEDLLDAFLISYLS ALRRASPRSLRMPVAVTKIKSDMAMSFDFFVNYKQPTDLEDNFEIMEMIISIIQSDPQ MLFMDYWAFAKKHGPNLVFVEGILKARDDLDKIQLNETIEMLRRKIKDEDIVDPEEPT IMVKVQGPSSGLLSNLSNLAGTYASNFSTGTFATGGFAMAGGAHFGAMR L199_002714 MHLVTVATQLDQWSLDFDGNCKRILKSIAIAKSRGATLRVGPEL EIPGYGCLDHFLEGDTILHSWEVLATILQSEEAQDIVCDIGMPIEHKNNNYNCRVIIH SGKIVMIRPKMWMANDGNYRELRHFTPWHKHRQVEQHSLPRIIRNVTGQSLVPFGDAV VSTEDTVIGVELCEELFTPASPHILMGLDGVEIFTNSSASHHELRKLNRRIDLIKEAT MKLGGIYLYANQQGCDGDRLYYDGAALIAMNGQILARGSQFSLSDVEVITATVDLGAV RAHRTTSSRRMQSAQAEAYQRVYVDTRLDGGQGIRVGDEETKGSMEVVYHTPEEEIAL GPACWLWDYLRRSRTQGYFIPLSGGIDSCATTVIVHSMCRLVADAASKGDEQVIADAR RIAGEPEDSSYLPTDPKEFAGRIFHTCYMGTEHSSPETRKRAKDLSEAVGGYHVDLNM DTAVSAVKGIFSLVTGKKPQFAVHGGSSAENLALQNIQARLRMVLAYMFAQLLPWTRG KVGSLLVLGSANVDESLRGYYTKYDCSSADVNPIGGISKTDLKKFIAWAEVKFDLPIL RSFLDAIPTAELIPIGSDNVAQSDEVEMGMTYDELSVYGRLRKVEKCGPYSMFGKLVQ EWGSFLSPVEIATKVKHFFFNYAINRHKMTTLTPSVHMESYSPDDNRFDLRPFLLPTR FSHQFRRIDELAEKLPNRATQPGNDKAKVD L199_002715 MAEQQVNITDLDPVQLQEVKKQLDQELDHLTNSYSQLKQAQSKF RSCVENVNSLTPSSKGKEILVPLTSSLYVPGKLTDTENVVVDVGTGYYVKKTKSEAAQ HYNSKSTFVQSNLDTLQKTIERKQENVQSVVQVLQMKMQQQQQQAAKA L199_002716 MIRSLLPVIEVVDNFPYAPSSQSYIPFHLTLSDFHHGLPPLGLL RQDVLREMQTVRGIWEFEESTGRPDETGDFHSEVICLYFKDELVKKGKEGISKAMAEV VGRWRDEGKFPGPLAGWRNELYTIYASPKSSGLGFNSPSTPLMLGPGTFKNVAFSLER AACALFGLATFGVHMTAYEGEGWDMKIWVPRRSKTKATWPGRLDNSVAGGITAGSTPI ETMIKECDEEASLPEEFVKKRLQNCGVATYFYITHDGFLQPEVEYIYDLPLPPSTSPE YIKPKPHDDEVESFALLTIPELIEALHSGDMKPNCGLIYVDFLIRHGLITPENEPNFL EISWRMRRTLQVAMPGI L199_002717 MALAQHVDAEKIINESRDHPVRKHTHDRKATLYDIPYTSRYDVE VDLPRYSIPESGVNAKVSYQLLHDELLLDGNPNMNLASFVNTWVPDECNRLMYENLNK NLVDQDEYPAAQAIHERCISMISHLWHAPKDATALGTATTGSSEAIMLGGMALKKRWQ EKMKAAGKDIHNPGPNIVMGAEAQVALEKFARYFEVEARLVPVHEKSGYVMDPKEAIK YCDENTIGIFVIMGSTYTGTFESVQGMSDELDKYQEETGIDIPIHVDAASGGFVAPFV YPQLAWDFRIPRVNSINASGHKYGLASVGLGWIIWRSADYLPKELIFELHYLGQTDYS FNLNFSRPAFPVLSQMFHFLNLGFSGYKRINENNLSKARLISRALEASGYFVCLSQIH RPKNQGASNISPVITKAASDIIHGHVPEIDDPTYYVEGLPVVSFRFSDEIKEKYPRVK QEWIQSQLRAVGWIVPNYPLPPAEEDTEILRCVVRESLSGDLARKLILDIIQVTEGLL SGAGPSYHMSVANRRQSTTSPVEVKRGGTLDTQHISEHTSTYAKTC L199_002718 MAKLNFNPLCHTLSIILTFSSFVLLMAVVFNNAPLDHEHSTLEG QMNSRFWLLVVNQSIDASTVTFDRRTNTDSKRQSDLTQLDYNLRRSTIDEPEETSVLV NSARNEDDAVHDQIDKRGGGLHAYGFGVWGWCEWSNADWTGNAKCTKKVFWSLPKCAV PSWDSIDQIMRGLPEAITKATSITSFFLLFAPFLVLSFLILLLSTIRFEKPYPPWPVP PKSQWPKGKDMPSKRTRIAWILRDWRTHLIYFILMLVFCLPTIVTVLVGKSEVNANGR LKADTGYGFIFLWVAWVFLMFSQALCMFKYGLVYKRKERSKQSTG L199_002719 MGHHRSRFQSHSASDRHQNSPINHLTCILLTLTSTLFLFLVILY NVPFSFSDTGGLGDRLWLVQLTSRGTEYGFGVWGWCEWSTNRGASEGSCMKKSFWKIP EDAGGDSVSSLNLPSEISQSLSISAFFLVFVLIISTSFLFNLLIALHFHSPS L199_002720 MEVRTWVAYSLRNFWLRLLTIIFIFAWGLPVLIIAGIGVEKIQG DDDGRVEIKLGSGWTMALVAIITLVLVQISIPLGGLWNDSRRSGKKH L199_002721 MGVLRLGICISGVYAAFLLWAIAQERLSAPFPSTSPHPHTSPVD HPTKGDKFPSSLFLNYAQAVASSLSALSYLLFNGWRAGNLNKGLSKIIGFDLLFTSDN SGKAVEKRTQQNGNNNEKDNSKITQNGHASSDKNTRVGNKSWRKSLPALLLQVSLFQT LAGPIGFLALRHISYPTMVLGKSCKLIPVLLLNVLLYRRRFSPHKYVVVALVTVGISL FMLLAEGGKKKKGGNDSAWGLWLLGVNLVIDGLTNSTQDQLFSTYPQFSGQQMMFFMS FFTQLLLLPLLLLPLPTHPLSLLSHLPFPLSPSQSTIPISFAKPEILNCLSFILAHPT VLSPLLAYALLGGLGQLFIFETIQHFGSLTLVMVTVTRKLFTMLLSVVVFEHQLTKGQ WVGVGVVFGGIGVEAGMKRQEMLRRAKKDK L199_002722 MTDTFTITVDIPQLGPIEVELPTGSTAQDAIDLAFLEAEERYGE DRLVEALQDEEENELSLGGEHTGDEWRLKERRERETGKWWDEEEILNYRDGLLEPEDV LIHSRRTYNLIKPHTPIHAISLTLPTTTSTIPLLTSVLVHQTTSYLDIVSTLEHELGL PKTSDDLLGPLSTRQTTSRSRSSSLVDPAETRQGTVDNVRWKLAVGERTLDPKDHVLS VIRERGVDQIQMSLDDNWLFEKRKDIDEKRENASIEVGFETPKSTLKASTSQPHGLDA STLSKKPQRAPSNSLSELFSTSTPQPSSSATSDIDGLPNGSSRDHREGDTAELYRVKS RDGKVLHISRPLAIIEGTRALAEDRRSSLDPPINSNGEVEVEKEINSDSWEHLLGDVN MHGDKREAMSSLSQSRKAYLLAQHHQGTPSIPSSPPPVQAISPPKATTFISLSTGTSA GISRLLPQLTGSPSGGTGSTPTTPTRGKEGGWKRFSLVGLGVWNSENGSQSDDHLSTP LAAGEEDVTTPRAEPSAAEKALGEVSEIRPMEKQVTGGLWSWWTGANKAEEGTPAGYI ETLKHSRKNPQLLLKHLLSLRVTLSTVKLSWINDFILLDGLTAISSLLEKVAREPRQK GDIGEQIITEIGKSLRILLNTDVGFNATLCHQTLLNNTVLSLRTPSYRIKNQILDLLT ATVTLSPDKGSSLVLNALSESKLVNQDKYRFSWFVESVQPVQDEEEYQGVWGWRTGVV ALCSALCNASEEVEERLELRGELKRRGFVKTLQDLEMCEPPSNFLNQCTHYLDGQEDD LMEFRELFLGEVQNADLAVAIGRLLSTVGGDQVKGLVEVIEELAEIASTSSIRDMVGS ILSCFAGRLSRLDDLSVDWSVLLRSFLVDLNDILPSRGEGSNGISEGVLIESFVREVH ALQSQVKILEDRNQLLEKQVEGQSAKLMVLKELNGEKYDGEGVVHHLVVKEKEIQRLQ VEFQALKEQIGPQKDFGVTNEMRDRERLRFDALMEEVSDLRKKVHTGDEALLEKQKEV GCLERAIETVQSRFKIQTRHRDEDAQSKVKFDADFIVNEAVKNWNQQEEMIENLRKEV ELLNNSKERLKESKIPISDKKIINSSPAIKSPSPPPPPPPPPPPPPPLPAAALPISAS IPLLNLLRSKAPAAALPSAPSPPPPHMPSSTTVAPPPSPPPPPPPPPPPLASLNSTNN MPPPLPPPLPSSKAPMPPPPLPAGAAPSRGFKQPADAISSQPKLKPFFWSKMPAYAVK DTIWTSLPGSDGFDLDFQDLNEVFSVDSGAKKLNKGKGKAKEVVTVLDITRSNNIGIM LTRLRLSPSKIRRAIIEVDDDLLDMDDLATLCRMLPTAEEVEKIRSFSGDISKLSKPD LYLKEISSIPNLKVRLEIMVFRRKFEMMLNEIMPDLMILKNVVKELRGSKRLREVLKV VLALGNRLNGGTFRGNAAGFQLEALLKMKDIRTAKGSGCPTMLHYMAKILLRKNSDLV IWGEDAPALEPAARIVISEVTSSINEISSSLEAAQSFLPLLSAQDNLHVILKSFLSES SPKVTQLKLTYEEIRTDLIGLLRYFGEKSESENDVERLFGLLSSFSSSLEIASNEMSI TMLKEQNTGTSVSTTVSHASTSTSVSTSSLAPSPPPKMRQPSIDTILKRHERLGSTIK NGQVDEAIRTLHSGKTLRKERRQGNMSTWGTLAVRTGTLGRKKNQGSDDRVRLSKMFL DGGVGGSVKGTVGSRSIRG L199_002723 MASDSKDKKKDGHRSSGRDGSSSRKHKSNRDGDRKQSSRGGGGD RSDAQRRAKDTAKTVGEGAGKAYDFVADLFGGEKELNRTIRRYNPTFHEFADKTFLGK FGLPNKPSKFLLFIIALGLIQSFIPLFQWPLDFVSRWLSFLFLFGTGLDELRQGFESS RRAPKIKSLLTVFVIVSALQLIPNFLFETYYHFGALWSFFLPVILFITPFKDSPDQTF ASLLCDTFFGGVSMMISAVMPDSMSGENSQNMAVLAGVITAGLFWIGYLGSMACYILV WAFLSLSTINTLGQPFIIKEESSSGFYRQMKIWHCTMAIWLWRYLISAIESISIPGMI SVIGLIQYYIPTYFLWMTGWWFAMLVTKKTEKRHRADTWYAKWLMGVGSAASSSRSGS GRSDRDRQSSSGRSSESRSGSGAGKHRSSRSDRDREKDSKRRT L199_002724 MTRTERAQNPAAVSKDRHSRSGLTKTELSHKGGDGAHNWGSWKT KGQDEISGMEDANGEIFQLDEERGQNQAKGGNDDNENDGIGELRGNNANNDVEGNIAR SPAESTGSLDRPNQGQRRMSSYSEEEKKEALQYRGGWHKAGVDLAQIARTSYGIAQSP PLATSPSKVKHGFNFNK L199_002725 MLPSSLLFVLSLVLLSGCLAAESLYSVLGVKKDASEADLKKAYR KLSKKLHPDINPDEAAHERFIEVSKAYEVLSDTEKRGIYDRHGEEGLKQHEAKKQAGN QDPFARFFGGGAPQEQRGPGLITNLEVSLADMYTGRTVEFQIPRKIICTHCHGSGAES DKDIHECQHCGGRGMTVQRHQVFPGMFTNVQMQCNHCNGKGKRITRPCHLCKSNKVLD TDHTLAVHIPAGAPEGFEEVFSGEADESTEWEAGDVVVRVRSRREEGQGGWGRKEGGI TGRVVLGVAEALLGFERNLTHLDGRTIPIGRKGTTQPGEVEVIEGEGMPAYSDVPQGD MYIEYSVVMPTEVTDDTQEKLRDIFGYHPSSSHHDEL L199_002726 MSSSSSSTCQPPALRRFQNSRAEYEAYLPLTQRVQEDLRNDAEE LRAQEGWDEEEWNGVEEWTKDIDSIFRHLRRHRFDETKTLTSLLSTLQQRITLSLHSP IPSFPPYTESPLFLILPLPDHTDRLGRPIAVLTVKEVIRDTDGKLDDLKNYAWWALEV VRRTLRDYWVKGIWTKKQNVHLGEGGEGLCLIVDANGAGYRNMEVELLPTLLSVGHNH FPGMIESVYVVNAGWTHRSMWNVIKRVLPRSALEKVAFLDNKENLEAAFDLDNLPQAY GGNHSFSFPSLHNPIYSYYSHHSSFDQPFLVSPSRNSSYSSIADIYYSAPTTPARSRR NSSAINLGGWRFGSGLRMTKSREASSPPEPAKQEEEEEDGDVPRLEVEEPTPTHDTSS EMYKPTFSRRSSSRRSTPLVSGDETASRIWSGSKTPTVIANGAGGGQSAIQRIKSLSD FHLYLSPSRLANLDLLSDSDSDSDSVVENQLRGEQKPRKILKPALFEEDMEKSLSERR SRPPLRLLGVRNEEGLQNARTYSDRLQKHHAKVLQSYGVSTPSKLGETHTAGDGQQDG NTIQVANDMDTGQISPSTPGALEPPSLGEHYTARTEGDGNGQVVGEYDTSNPWFGYPA IKVPSSSLTGGKSYSIRPKYSRNRKRDLIKTLLFLFMLRLQSLRFTIERYLGLDLLFA SRPSDGRYMGAMGPQEGLLSTSATGMGKEMVLRKEKDWWWMIVGFLLLRGSWSRLIVT SLETFGRGKEILGW L199_002727 MTTGNTPAEDLYNSERSRTPTTFRVGNVTTSTRSQPQAGSQAET SSGTANQTTSSSGPSKIIPRDFMSAPPHTGSSKTGGSNILEPEFNDGR L199_002728 MTDEKQIPRSIPVILFKTPSPSSSLDQYTQSLSSTSYQPTFIPV LEETYDTTSLVPILEGGSEDWEGVIITSRRGAEGWVRAVSESRIGTASNTRGKGKGRW DEVPLFTVGNASSDHLSEASIPAKCTPHSIEYEGGVPPKSAIHLVPHIIDRLPRSEER HRKYLFVRGDKSTEVLQDELRSNGRIVEEVMVYSTTPRLDILENINTFRESQQPQSQP KSDGDGDGKGNGQEGKGWLCFFSPSGAEVVLPHLKDPKDDEHGFEDGYWKGWEIFVIG ETTKKYFEERGINVDAVAERPNPQGLLDAILGYDSIWHGVKA L199_002729 MVGTPVKKKEKEVPPSPPLIIRDQGKGARYDRVGFLGEGGFARV YEIQDSKNRRKAVKVINKNAITSKKNKTKLWAEIKLHQILVHPHIVRFEDCFEDSSNV YMVLELCENGSMMDLLRRRKRYTEPEARYYLVQLIAACQYMHQMNVIHRDLKLGNLFL DADMNVKVGDFGLAALIENPGDRKKTICGTPNYIAPEVLFDQDNGHSFEVDVWSIGVI MYTLLIGKPPFQTKDVKTIYKRIRENRYEFPADKEISHSAQDLISSILNPKPDERPPL DKILSHKWFLDGPFPAYIPASANDFAPDYRHLSTSQSRRNFQALCQKSKIGVVPSLAP EVASRPRAPLGPSILQQERDFKNAVQPDSPISALLTSARQPLVQASAPIKEPSLLRKL SAAGAASTLSPARKSALGREQYGVAGPGPSSARRHASPMERVGEEEDEEQEVEVLEPQ RERGYDSAVRERGLANQKARIVSEMASERHRHKHHEQRLPSVSPKKAAPLGSATTLPR PPAAASALTSSKTKDFKTSLFDVIGQNLANGLAMSQTEQGFRTPHIDPKPAPPNVFVV SWLDYCTKYGMGFAMTDGTVSVHFNDSTSLVLAPGKQHFDDIRPTASDDLSHHTRRSH SIDTYPSDLKNKVYLLKHFESYMLDKLFLEQPYTYDDVNLKTGMVFIVKYLRMKHVIL FRLSNDVLQFNFYDHTKLILSQDGLVVSVIDRHSILRTWSLESLLQPIGDDANPKDKK RIEGVVHKVQYARDVLAKIKSHGMNKATDNKAGVNGIPVAAGTRAAVQREKEIMKPIR L199_002730 MNGSPSLVSPIPTFPHQSPSPSPRRGSAVAGPSSPRLPRASMGP RLPSISASQPMDYDGRDSPTLPGSRTSVVMGFSPVPSHQTLPAVPEASSSSPHAPGLT LNTSHRRLSSLSPQLTTPVEQVFQWAEGSPRPLATSPRGQSHELPPRSRRNSAAIVSI SLSSRSRSRTPRGSSAALPNQQSGSGTPNRGSSSATLSAHKDVMVQMGDSWASGADEM DDWQPAGGMLLDGDGEDSALMDDEERDEFDDKDKGRSWTGFSDDSSSSSPHVEEPLKP GMLIGEGMEFQGEIIVPAVGRMPNGTGDDDIGLPLRRGGSEATKFTRTDGKQEKKRYE VVRKLGTGSYAVVYLVREKGGRHREYALKCLSKQDLEEEQLDTQLFEAHIHLSLPIHQ NIVTLHQTLQTRKWLFLMLELCPGEDLFYWLEKSRDASPHTHPVPLPGDRNHGFSGSP ASLLFAHHNGHSHTQHFTPSQTPPTPSLLSSFSAGTLLSQRRLRLIASMFSQMCEAVS ICHDAGISHRDIKPENFICCDSIELEAAADGEIGDDEDEGKPVDFGPQAKRKVIVKLT DFGLATTEEESGDVECGSKPYMSYECRNNLGPTYFPAPADVWSLGIVLINMLFHRNPW KDPTPGDPNFDNFLMDPIGFLLTKFSGVGKEVASYLADHVLCIDVDARVSAREFGLWI KNLPEMIGGRKALHSLKISRIENQKTPTDKGLFVKSPVATNQETTRKNSSSALTSSAP TLSSLPPPSQLSLPTHIQIPEEEPEEPALPTPPLEHDQDELVSATTIDDQPTPVDMSE FASPETIGNDLESYAGDSVADGSERADGDSRSLSTHKRRKRGVRKGKAAKAAALAAAG GDDQPSQEERDALLAELTVASQTLAREVSKFSKPSEETFDATRIEDFPPLGTTPAQAA EAKKSKWKDMMRFSTAQGNNPELAALARRVAERDGSLNLSAPANLQQGQAAKGSLNYS KHALRQTTTVSSSGISSALSSFGNVSSTSATTSAADEAEDWRRPRKPQLQHKGDLEEA GQTMVDDSRGRDKNPHPSYRKNEDHSRARKAALAAAAITGGEMGSFGKPSNLSLSQPI HKSPPPPQQQQHHQVNRPSNLSKPITTNYTIRNSVPIGSSPKQNKAPFKSGLSHTHAM SIDSDSSTSPSTARPNLDSKESSSTITQSQPQTPHSATGAAGGPNKPKLKGQISTLAK MLSGLKTKGGKD L199_002731 MSGSSQGNIELIGTVERHGDAILKDYNKGDDSSRSSRKKVCYAG NWDHAPHLIIVGETAFSPSSHDKCGTDVVFVKSDDDGNRRIWERTSHDSGNDIWKKLP AKAHLDLITSFKDQSSSQASEADISQQGVMDKMPTLSITDYPGQPATLKWTETGEEEQ VLLLDYKRDNVPHIAVSLEGTANQFGYCARGQSAYMVGSICDNWQLKQHTNDGRYRWR KLEGKYSIETVEEKGLDQTNSSSHVAGITAERRLEEEDRDSNYEFSDALSQVSSGDDM SGEESEFESAVRTPSSTGSTHSLLAE L199_002732 MTEPSSLKPFDDGKRMRRQDALKVVSEVVSDDVDTEGLNPSSAL ADISAMHSKTLQYSNCLSRGLTGPHSDFMNVVRSGLDLYFRAISDMVRDDAQARKSLQ MHEKHNHTGTWMDPTNGEKTVFCHLGRLNEVDFIREESVGFSVSLGHECPRATVAHYD EEDGEQTFWRRTDSDGGGDTWQQRKLSTFHSDLGPVSSTDAEQGGNISEGFNELRL L199_002733 MNSKHPSSGAGTVDVPSKLDLSLKELETALDKSVTPIQSVDKDS YEPTMTQDQVREFYRQFRINDPGFSVKCSTGDGTELGDILDGIVYEAGEDQRNWRSTQ KFDQDIHDAGGTIEVDGQKYEKRTLTNSELDMSRLAYFTRTERKMIEGDHSRGWTSLS GYPIDTLNEYHELLRKKVAPADTELWVHMTGWELEPSALSATEEGQAKIVDESGTSA L199_002734 MSSRNSRNGPTEVPSSNGRGTTATAYSANQTSTPAPDTWEFQAG TRRGMQNEDRELGDIQGDWIVHHRTDYSGTERIIEEPERSYDANTGTITHAGTTYTRG SILNDDYDERLLVYKTSLDEQMIPNGQDFMIRDISEYLTGTYNTGRYEYLMAKNTSIG KERWTKMTPPVWNERPL L199_002735 MRATSTVSRPHSEITLDPDMGTELCFSEDNEPYWDACPPPPPPD GWKSESDGSKIGPMKTKKEAESYLRREMKDPYIAPAQLSSNGEDSTMPMPSISQPCVF FERIPVPLSDDQEIKVYRCSYGVGSIVRSDESEKSVTSRAPSDALHSE L199_002736 MTTERDYGAASPSTPSSSRAANRKPTHEGHASITSSVVNLTNTA VGAGALAFPSAFASMGLIPGILSCAGSGLTAMFGLYCLSRCAAVVGTRPGDEGRKASF NELARLTFGKGWATKLFDLAIAIKCFGVSVSYLIICKTLLPQVCYTLSKVFHHPLPED SILLASHFWLIVWMVVVIPLSFLRTLDALRFTSQIALLTVVYLVLVVVGWFAVKGVSP NHGEVVLARFGRNTLSNFPVQVFAYTCSQNLFPIYNELKNKNQKKMNTVIVASMGSAA AVYEVIGIIGYLTFGSKVGSNVIAMYPPTSLIIAIGRLGIVLLVGLSYPLQVLPCRQS IHHLTHGLFRHPKLAKLTNGNAVGSSPNQTTEEESSESEDADDESEINPLVPKVDDHG HHVHKHEMSKIEFVAITSVILVAGFLIAYNVHELEIILGFVGSTGSTIITFILPGFFY FRLFREERGVTKWWALALGIYGFAVMAFCLTFNVLNLIK L199_002737 MSEWEREGSPVPSGPPLVTYGRLTLMKRKGGGDVQTIPLDAERI SFGRDYDCDVRLYYSDVSKLHCEIVFDIISGKAMLHVRGTNGLLHTPSGGTGTSYKPP SQIPLDDKDVITIRKKPFRFEYGPSLETPVPFSPAGKLSSTTSVNPSPSKQQFIRRRA SHRLSLVPEGKTFVPLSPIKNRRHSTLGLGGMGTPAKAAAKSKLSEEVPQEQGEEENA EESVLDVANGDEGDKIYLEVNEEENKENEKPSGSIKRIHENPFMTPQQIRKAPLRNTS AVPRTRKMAGTEPIEAKQVNDKATSPVSKDSPTPATPPKTPRSVPLPTTGDTPYNPPV TPSSKGVTSTPVPARVALSTPKGPATLRKALLLRSARKVWQESRASGVEGAIEAGNIE TRRKSTSPKTRAGRKSTTPFPEIPAPQSKDQDMSDEEENEAGEPQNGELIWVHEDGTA EVSFESDSSGQDSLEADQSLDIPGQSVIEFIINHPEVEEEYINNDDGSLEHAFLEDEA MDIEEEAEVYEEYEQAVNLPADDSIEEVQPEDINQEEHEVEEDEVMSLPGTPQTRQPL SKQFFTPQPERHIHRLPRRSLANIGGPPVRFERLPATPDLYKRERAPPGSMGKPSKKI TFAAPDAEDEMKVIDNEPVPEKKVFMTPVKSEAAKAEAKRRRESLATPRQLPAPPVSG FKNPIVETRFSNLIAKPSHPALAADSPTLEPSLESRAVPRTPMDDIKYRLDKMRRQSV QRADRRATVGFVLPSTPSRPEFREAGSWDVNPRRTDGKGPKTPIFPKFKTEDRIEESP SDLILTASQQESSTVAQAHAQPQAPSSPEYETPSSPSTPSYTGIREMLGKPSLPAKTP DMRGLKNLFPATPKEAASPSLVGVKEMLRQPSVPATPNFSGMKDMFKQPKIAQTPGFE GIGEMFEEQEEEEKEEKEEKEEVEAAEESVIVVDVEGHVNNVTTKQVGACTSTASSKL PRPATSRSTSAASRSRRAPAPAPAPAPTPSTTTTAKPTTRVPARKAAPTALTMAEPES KSSRSRKAEPAVNSKVAEEPKSRSTRAKRTTSIDPEPSSAPSRTTAASRSRSLQARST AEPEDQAQAQAQETSKSTRRRSTSSRTTRQATAEAEEASATGTSRSSRGKKPLTQLPE QPIEEGKKATSTSTRSKLPASTAITKKATASKVEEKGSAPSRRKATTTTNTISSAVGN KENDDGQEEKASAGAGGKKRIVSKGGVPPPVVGTTRTTRSRK L199_002738 MSPPAACQEAREELVACLLRTDCVLKSGKTPTECLHHPSELPLQ CQHLIARFADCKKGMLDMRRRFRGNHLSESAKAAARGEILNQGTVDIIPDRNPDQDTR R L199_002739 MGFLKPHKPSDYPSTLLYILDETHITVTLSTALAILYTRDAHVV WFAIGALNSSLSAKLLKNLIRNPRPPPPDPSSSPSKIRPKRTYGMPSTHSTALTFYFI YLIPYVQYLSFPLSWLAGIGVSGYWVLGLWSRKQLGYHTWEQVLGGALYGTSLALGWK WVYGMYPSIGTGIQGIVDGVRARVFG L199_002740 MAVDYSQFKGKPFQVISKLGVRYTGIFDHINQDDQTICLAQVYN HGTEDRPTPRKLPGSNKSLGWVRFHTESIESLALVENYIPPGEEAPVDPILASISQNA PPAAQAPSQPPQPPSGPAAASSSQPRKQSFDLPPKPTGAAISAATALDRVQRSLSDLH VNEDSGRPRRGPRAQPIEVPDTEFDFAANNEKFEKEKGKEAETNGHVEPTSNEIGEPE SQPHPSALASSPPKEDQPKPQPQPVKYNKSSFFDNLSTETARVSRADERHRNFDTFGE AGGPNYNQGGPGGFRGRGGFNRGGQQGYSNRGGRGGYNRGGRGGYGGGQGQQGQGQGQ GYQQGGQRQYYNNRQSQNELA L199_002741 MSTSTSSLLPPMAMGIIWYYLSSKGSTTQTIWLSLNVLDTFRAL RSIRPNGRRIGTNTRKKAMRESLICWTIYVVAQTLGPAISTLLSWIPFYAPVKAVICV AFLFMRLSVSSHIFYQILTPAVRPYETPVDLSVLLIQSIGILLFHYILQIPFSLIIST LKLSKTSLKSSFNIIANLIRSHSTIHDSSEAVEQTHQHRDTPTVDEDHIRASFLSPPP QIPGSILLRHPSPRPITPRRSISFIDPPATPKILPPSPPSSPEYVEIASAGPSTPLKA ITAKTQNNLLDVDEVREIRRSPRRSKVRTEKEMKLIDVDTIGEIERERKKTGGPRARH MLSGSEGVVNPDNLVSGSKKGKGKAIPTLIIPDEDENDNDEMEMIVAVTTKPSVEKGK RMGKASSFSSVKATQPDRATANLTKSSVVRIPRSRSTSTTGTITNTSSRLAQSKSTSR QTQIAPMPERSATSSVTSATKQKTPRKTRILKPSEGGVAHDKPLSTAASRAKARTTAK AKAEGQGQLVSEIAEEGRKVGEKRRSVQSEVKVSRKRVKKE L199_002742 MTFPSSVEHLPLPRSKQRSRLSRRNDDYEEEGGHRSTPRDAELR TEVGVGGTLLDFGRHGGVGLERSKKGWEWVWASEERKDLRYVNGEQAICLFPATRSME REVNISGKDMIDSSTNYIESLCSPYERYGLRESLTSILDEDTTHRAGPSNTSKQRGLG IPERDVYEGPKLALIDNPRARIAKTLLAFPVGEVGHCLNISPFLPAKSTKVDQRSRLH FLPTHKTVERFPTPILQIVSSPVVSSSRIDREATALLVRLQSTTHLLNLIPDHTYVPP SSDAPVICQRTASLSYEDTEGRRHVDVALDPKIWSRILVVDDSGGVWLWWEEKDNRIG RIEKAWNLRKIRDKVTDEKNQFFRIAFGSKSDTALVISARDCVVIDLNDPDHPSTTLL SLHSKDRQFTSLDKTASERRSQHTVIATNHEIMWVDESKPGTPIISWKHDFGHSTDLE VGVIPGLSNRDLCTILYSTAQRFLLAFPLAKSTHPRSLSHPYPLNIPIDGLGSIQPFT PASFRHPRCLIGITPDGAIYSVPLLSANGRSRPARSDLKKPITEIKSIWDEHVHSLRD KIDGDQKREDAERIKSGKELDLRWAWLEINQSSGMVDQKIYFHPVEFEQYLRELDAPL EHFMTAGDLARDSIYPEPTELQSHLLSPLPLHPQGSKVTIDSLTRMNFSKNLPVISSF SNDLPILDNCRPQLRTIANKDIPTDLDISPSNTYEILRESFPMSKKSDIAQLALDLSL STTIFSSEPIVMPSDNAHHNTQMAEPDDLFTRAAGLSLSEKEPPKITYHHLIPQLNYI DDFEVGMVIGKEKENEDELQDLTARGLLNDWKLSSNPLEYTWKSWRRDDEFDDHTISH REPIRSQTQTQAQTQTQTQVKMSLSQTQNERMIKPLPSQSQSQFRYSQPTLSTFARPQ QLSQFSYRTPPSLSTIEPQSLIQPNRSRGLTPTFSLPDLRHYPPDLGLSRSSPPPQPH GPGSSQPPTEEVQWAATQVERGPFGGRGDKEKKKKKEKKRIGGF L199_002743 MAPLTKLLTLLPLLASTITVQAANKDDWRSRSIYQLITDRFAGG GQCDLGSRSYCGGTWRSTIDKLDYIQGMGFDAVWISPTALGIEGYTKYGENYHGYWTV DPTQLNPHFGTADDLKALSSALHSRGMYLMVDIAINALAATNYHIDAQTLSTVNDGKM LFKDPANYHERCNINWGDHDSEQKCWLVTGGDDNDVALLDLATETPAVADKLKSWVGG YVKEYGIDGFRIDASKHLSKEFQHDFCTSAGIFCIGEVAGDSTEYAATYQGTDGIDSV FGFGMLYGAAAVFGGGKTMGTLQHYINAAATSYSDPTVIGSFLDNQDLPRFNSRTGDR SLVYNAIVGSFMYGGIPTVYYGLEQDIADGPYDPNNREALWNYNNYATNGDTYKRITT LNKIRKFLSTKGKFLNSVGTVLKIQDNDIALQREDALIVLTNRGSGGSSTWSIGGTKF GNNADVVDLLSCDKAKTDGNGALSVTWSTGQPFVWVSSQIAAEGGFCGATATATPAPG NNLAAEPTSSSSTSIDGGEATDIVEPPSSTSPDTQPQVTITSGTASGSATISSPDTAA STSSASASASSSASASPVDSGDGNASSGACKRSIKRMAGTAGKRRSAL L199_002744 MASGFGYTGGRTRCFPLWQEFSKCYASAEKPVDCVAQKDDYMEC LHHTKEIARAKEIKSHFVQTQISQSSDARKAAEKAATGVIVSLGLVKEEEGQ L199_002745 MRLNENTVIFGDRVILVPYRAEHVPTYHEWMKSPELLELTASEP LSYDEELEMQRKWHLDDDKLTFILLAQPETSTSTSNILEPSEIKKCKMVGDVNLFLPD GITGQGECEIMIASKEDRRKGYAIEALSLFLSYLTITLPFDPSLLIARIGSSNKPSIR LFQKLGFGIIKHVKVFDEVELNFGVEDDKEILSDLGLDVGINKKVDWINKSLEGRLGK YD L199_002746 MTSIGTGYDLSVSTYSPDGRLFQVEYANKAVEAAGVAIGLRCSD GVVLGVERLLHSKLLVKGANRRIASLDEHIGIASAGLLADGKHLARRGREEASSFREN YNSPVSVQILSDRISAYLQAYTCYGSVRPFGLSSIIGGVDKTGPKLFCIEPSGVYYGY RAVASGKGKALAKTELEKIVNKYLDAEQSGSGSGLTCREAVDEVARIIYLVHDDNKDK EFELEMTWICQESGNKHAPVPEDLLKSAEEKAKAALEEGMEED L199_002747 MSEQEATSKRPAEDVSVEEISTKRPRVEEPSTNESANIAIPTPT PAEGSASAPASGSTTIITTPSSTPIPLPIIPPQESQTTSVRFKDHLNAPHRTIPEPVS RLGLKPVIPILPDSLEYIIGEKVDLTERKGFAGELECGIRGFVGLENKGVRGVIKQRF TDFIVNEISLDGTVLHLKDITKPSEPEFEKPKSTPTPTPSSITEPASKEDEEEVNIST LPESLQFAPHPQWSNGTTGKLRNHLSDETIIALHGLLVEGKEVPPKSDGGWGSRKPKT EKEEGTMNEEEMVANASTSTSNTGGRGQGRDRGRGRDVRGDRRDGKGAQGGWKAQDTR EVVSQPITSKDARTAAHKILRECFGTTFDSSTRETPGEEGSRLVIKYSSSQGGRGGNG TWQRQPDRPKLPPYIHFTLHKTNRETMDALSHITRMLGAHPKDLSVCGTKDKRAVTVQ RVCFKRNHKNLTYVWKSVNGIRQGRRNEQKAVEERGERGVRIGDLEYSKQYLELGMLK GNQFVITLRNVQEENREEIDKTMKSVRDHGFINFYGMQRFGTSSWPTHLTGLLILQSK WSEAVDSILHLREGEHPDCTSARLAWLEDKDPKKAFDQMPRRGVAERCIWDFWTKNRV EDKVGALSSIPRNLRVMYVHAYQSYIWNIIVSERIKLSSTEPLVGDLVFVDKDTLGEG DVPNPDSIPAHAKDRKGRPLRKWATTSSPEVKQLTEEDLPNYTIFDVVMPLPGWDVDY PGGSIGEKYAEALKRDGLDIHKMRRDQRDFSLPGSYRRMIIRPISLNWNHLTYTDPDV SLVQSDEDKILGQNPPPEDIDSEEGKFRALKIELELGSATYATMVLREITREETSTWH QIGLTMNGEDREYKGSTGVEKEKKDEEREEDAEGEGDVDM L199_002748 MPPQDDINPEDFEFDEETHNSTTLLNTMPTSTSTRSQYHLPASE QLRGVANRIIFSRYYILFYGTMMGLSFATLVISLIATHGNKCPPAVWHILEVVINVLM VLEVGTRWIAYGKKYPLTLLNIVDIILVLFCTVTLILVFKNPCGEGTRSEELLDTFLL IIRNTVQFLRLGSILRRSGHSLLNPPKPIDLSQAGQASLSLNLELDDDEELAAERQLA GGRTLRSTNNGARYQRLEQDDGGDEEQRVGTNVKNNQGRENLTQDDEELWDRL L199_002749 MSSYHPFAARPSLTKRHTSPSPAVLLSLPTLEALPPTPRSARSY DPLTTDFPPAPSTSASVSTSHRSHKSTSGKMPLIKSRSKRPTSPRSENYSFLPSIVSA LDPRSLSSSSNSNGDNGKHSRNKSRSNPPAPSPLFLSTNNFDFGIPQKFSSSTPPSTF SKGASSLNALPSIQGVFESYEDGRGISKQGKGKMRRDKRDTLKLPMMNLSIRNHHPFN ENEYEKEDNWQWNGFNQPAEVVITREPEQSSVVHDQSFPRRRNTSLSINTHLARPPNS KKHSSSSQSHNTSSHSHSHHSLPAQSRSSVRSSPVADEQTTQSHWYGPEDEDLDDVEL QALDVLENLSGHLLRDGFGYGTGCPNTNRPEGEGVPSLIRSGRSTPSKTRSRSTTYST QTTSDIFDNTKRYSQVSDSPSIREWRENRKRTKSHGHALGRPVSEQQHQYTETQTQTQ SHVRGSMSISRRPPPPPPPPEGSLPPLPIHASQMHAQTIKNNKGIVSEGNQVTDQDQD QHTEENNKKSVLSGNRPPPPPIPPRSASRLDSHATSSLHRMPSSGQLRPRPQTPVQRK GNKEKQGVKVPLVLSTNSSPAITTREEGDLVVVIDKRPPTPVRDMSSPTIPVHQRPLP PIPTLPDLATLPGSPHPHPQRSAFAQLAHPKLPVRLLRPKRPSTAGNGPTGSSQLLSP GSTKPLTRLVPREEPRRLSSSSRVEGAEGRKNGMTALSFLALDDAAIHNLSSRNTTDG RGDRTSSFDGSSASGYGRARGESPTQCMLDAGYIIPPSERSKIGVDDRKESKRRRKGS QSSLSSIAGSEITNINPVDNDVKDEVEEGEEWTTAYEYGQSRMKATQRLVRRDKELRL SLDIGIRPSAVTSTSPIRNIKPPPLNIFSLNDYPLADEEDEGGLRYPKSAGLLPPPRP RRKTTPSAPPQMVQPKSTSPTSPVSPKTKAGTGLELLYGPLSPRYTHRSLSVQQQHRT NKVDDDDQEGMKDFMYLNSPVIPSPRLTTPGQPYMFPPTTSNEPYTPTTLNQERKVST STQQTSRSDENSSSSNWSSDTYERERRLITSLWLSSKPTRATKALAHGDGKMVQAGAG EGQHPFYNLGKSNKYVGSNGNAKKGMVVVDGGYGRGNDFGIKI L199_002750 MCEPTEDEIPSYPPPSYQESVPGLSGVEAGLRSIQAQSDYRNLR DSINRQLEAPSPPLGLPRPTSQCRFIGYARQLPFGVIPTRYYDTPIEVEQPPLSIGSL YNRSLGPVGYLSFLNQLDQRIPYISNPNFTKPITKGTIRPNTDLSVNSEYRRRNPELT LPEGMVDNVTSRFLTLPGFAFDGDYTFQSAFLQYPDDGEMNKKKTVHMNLNHAATWKV RDQLIENDSEGELKDLYEKIIKDDEISEFGGISLILHPPSIPPSQWPTRNQKMLEEQD SKGNDVPRSRLTGMQFYGELTMDNPLRYAVDTKISSGSGKEDRNFKITLDSEKTFLIN GAREDGEAWRDLHKLFNHPHGIEVKVGSTVTLRPSMTYHSVNHHEDWNDGDVSTMSES VLDKEPSREIEQVPRGLTSVSESNVEGDNEVNSNGYVSPGQDVSVSAATDIATGVGTD DDTTVDRQPTTGSSASAENRGKAPVRSSTRPSSGRSKKCWTRHRKK L199_002751 MREAIIVNSGNKIPVLAFGTAAPHLGKDCSKTVLLALRKGIRHI DTAQLYCNEEYVGKALKMWGGDREEVYITAKWGCQGDALNDPRKALEDSLRNLKLDYV DMFLMHSPITIKPYTIAEAWRIMEALQAEGKCVDIGVSNFGHLDILELSKSWKVVPAV NQIEFSPYNAHDPRCKSAIEICQENDIVIFRFGCLQPLSLHDPPLPLNPVIQRIAEEN ALTVGQVLLKWAMHEMEGPVVTTTDKPHRIDEYIQAFQKPALSEQDLKDTREAGKGRY FRRYLDPFRP L199_002752 MADSASHNQPPSDLPHPHPHSYSHTQPSPTLLDTPHLSGSSTPV QPETPHTIQLQTPTTHAEGSSSSSSPVNKTGTVAAWEDKGKGRAEVQNNEDDDEEMMD LDALRRMSARVSQIEHGERTGDGWVQRDELLGMVKSILPLAIEQIPFLQERLSAQRDT IKTMQQQARLSEQLMAIERSRHTAERDSWHAETRALMHQREAEIAAGTRPRKVLDLDV GYHQELEAANKRLEMDNRLMAPRLADTQRQIDKLVTELRYLRSHVILNSQPITKSGEH SHTHPQPPISSYLPTSGRRHSRSPIKGSSKVTMGDARTEHLLLAAERVRNLRQSDDQI GRLTLDELKRNGVVGPSGGVGFSEGYGGIESEAEDDLSEEEEKPLQSRRPSMSAAKSK GKSSQSQFQPQGTPLLPRPQKSRKNHNPPQQPTIPQTPSKSNRKQQHPPPQTTPGGSN FNDLLRAAELATRPGTPTPEDRSQKIVPLSAMSATRSTTRTRDESASERGSPVKRVRR DEWSSGREDELPPLGTQQSAIPNSQGSASALDLLAQASQLEVAKSGEMSSSSSSGPLP SATRLGDLIDPNDEAQNSSIGPAIDLTLFARPARPAQPPSAHGDHQNQNPADPNLTTP KNRPRAYSGTSDLATPVTAREYPSSTVYPTPGNDRDGDGEYDENDAFASPAVPGLGKY VHLTSSLPARRIRSPYLKWTVEEDELLARAVAIHGEKWDLVSKGVPTRSYHQVRQRWL RKTGAFDKKGNDGGNGIINSSMGMGMGMGMEDDDESPTPDEKTPTGKKKRRMSQV L199_002753 MYMYTILLLSLLIYSISLARPGVRLPYNPDRRLLPIQSHGSDSE RPVKREEDLSRRMYSQEELRYWSSGGRDRRQMRWEQQIAYEGKTFFDGWEFFTQPDPT LGLVTYVDNSTAFKKGLAFWTGDGKPGIQVDHFSSTPVNTPRDSVRITTKSMFAGGLF IVDMALMPWGCGVWPAFWTLGYQAEWPTAGEIDIVEGIQAMTNNHTLPGCEINQAPGM YTGQLGNTNCDSTTGGSGCTIGSDSQTSFGMPFNEAGGGVFAMLWNNDGVRMWDWNRA QIPSDIKSNSPTPDQWGTPRAAWDASTCDPYKFFQAQVLVLNIDLCGVWAGALYETFD YCPGTCAEYISDPKNLNNMVMLLNYIKVFQQTGTSPIPEQAHDNSNLTGAGGDAPLNA SEVNASVRQSQSSSAVIPNSSGGSSSGLRGIRLPSSWSGLILGVIMILTI L199_002754 MDFDIIPYEDIQWGHRLGAGSFGSVYKGSYLGIDIAIKEVLPST EYDVHKYFEREWRIMRECRHPNIVLFLGLSKAPGGDDRVFIISEFVPRGNLRQYILSS HPFPWRLRLSFATDVARAVAYLHARQCIHRDLKGENLLITSNERVKVTDFGFARIASR NADEMRRMTYCGTDGYMSPEIINGLEFDLPTDVFSLGIIFIEIMSRRLVDSKTYTRQA PHFTPDPSEVIRRASPGCPPALISLALSCTQEDPNHRPKMPEVLTKLREIEVEVLSKL DDPTSEHVGSIRLVHRGGKRAMPIFDGGDRNDHPTNMNQIGEEEKDRKDEEEVLRKLA EIHLDISGKGALSGLSNSSSISGNGNGSDQLDDNEKWRTARWNDLGFTPNDSILTFKT ASSDVKGQEPLLASSESGSSFGSAKDSNSNGQGWSSFLGAGSASGEPVQTASVLRAAT PGSTSEIKTDDEEEEMGSTITIKGHPTPPVTQQPKLNHPESTPVKPTAREVDHALDIK DDIATATVDTTISEIESIPSLPTSITPIKRKDQKPKSPIKTSALATHRFTLVDKDSVP LINGKKALSSNFTSTFAFAFFPRALAPTPSPSKNDNLAPGGGGKCAVCMKKMGGRAGL QCDDCHLIVHVKCSHNAPRNCTGGDGKIH L199_002755 MTAKLLTSGEVTIELPKTTFQGTVHFGDQQMPVEGEVGCDLRRI ELKNKHDMYAFSSRDSWFQYLEKRDVVSGFKSIGIESKCGNRMWMKDLYILEAGSGSK NTHDSSETERRSRKYMNIKWDEKTGSLHRTWTDYKDLIIEFSIDRGTTVQRKLTMIDQ GVFWMYKEGVDGRLQSVSANIGNEEEARLISDLNMELINGISKRRANTQPTVHITGMS SLDDSNSVDENGLSTLNKILSYIYTATNTGD L199_002756 MRFRTGISNVALLHKITRSLAALARSCVIRLSPEQVHFIVPGNE SSTGVQVWSQVKVSTLFDAYKIESNANNEIWVELHLDSLLKILRSADSSVGSINESRS TAALTESDVTLKLNKKGQQPIWSFEIRGYTAQRKPMSITHEIPVKILSARRQAELNEP LCPQPDIHVVLPNLLELRNIVSRLSHLADDVKVSANHEGRLELTSKAPRVNLTTTWND LSVPSSNTNDDDQPPPPPDQMFTVTVAIKGFLKFLTSHLVGGAAIACICEDHCLIAYV YIGELNEAGGVLTFFIPAKMTDD L199_002757 MSDGLPPLHCLSNLPSHIGPSWIDPADLRGSPCLWTSTSPQLIF ISITVLPNSTTIMSAEPYDPYIPAGSSAPAQGGAGGQGGTGGGQQNKKIAAIQQQIDE TVNTMHDNIQRVAERGERLDALQDKTDTLAVSAQGFRRGANRVRKQMWWKDMKMRIII GVGIAVLIIIIVVPIVKA L199_002758 MSRRPQPPQEIYSPIPLHLSLIPSLSALSISSPDADRTRVGSSS SRRYNASSRGSRIYTATPSSTSISDISISDGEEDSGRIEDVKEAKSWLDMTGPLDKGK GKGKEEEEGLAGRLPPELLSQILRLLPDNKSLLSASLVSRSWCLCAFPMIWTRPQISS IITLASLIRVVSPPEGRSTTLPYATTIRRLHLTQLSNTLTDMLFLRLSACTRLERLTI SNCVGLSSKAISHVISHLHDLVSIDLSGIPQVDDGVLEELARSCGKLQAINLSDCKLI GDEGVRALGEGCRLLRRAKFSKCHRLTSNSLIPFVQHCTLLLELDLQDVLSITNSTVF TIFLNLPYLRELKLNNCTELDEGCIPNLIELKYMTDEQLSILAKSIGLDQNQDQDHTA RPVVEGEGIDIAASTITALTLRPKMNHLDHLRVVDFTGCTNLGDKAIENLISNAPKLR TLTLTKCSNLTNESLESIEKLGKHLHYLHLGHVRLITDSGITRLAKACNRLRYIDLAC CDLLTDESIMELGVNMPKLRRVGLVKVIKITDESIYALVDRYTALERIHLSYCDNLSI KAISYMLNRLPHLKHLSLTGVSSFKKKELQHFCRAPPDNFTDHQRSAFCVFSGNRVDE LRRYLNEVYFVSINGGVESDSTSTRRNSGSSSTSSITVPGTSSPPFIHDHHQHQHHTG TGSNHTHGYVYRRGSAPALRSSSNIDNMSAQVPGLPSMAFATPTPNFLTPPVHDSSSS SRSHRSVGHGIPSRMRENYGGGGGVSMNESSREASASSTDERRSNRVRSHRERDRDRP IGPRDRSGENTSISNRAERPTTMPGGLWDRNEGGELGNDDRRVSGSASGSGMRWFGWR GDNE L199_002759 MSRPSPSSSYPLQTLSQRSSFSGPSMTAQSNGNSDSTSRSRLGP GHGKGKKKRVSDADEEEALLGYNGMDEIEEQNELPRSDFPPLAGKSKNGSRTIPLQNT SSKSPFPPNVVRNQKYSIITFLPLVFYEQFKFFFNFYFLVVALSQFIPALKIGYIVTY VAPLAFVLAVTMGKEAYDDYQRYLRDREANSTRYLVLLPQPPSPIPHHAHLVGEDQPI LPSLPRPQTRSTPASSIKVGDMVLLEKNQRIPADMVLLTTSEEEGTCFIRTDQLDGET DWKLKVAVGETQKMGEKTVGSAEGSLYADPPIKDIHTFYGILTLRSLSPGPQEDQSIP LSVENVLWANTVLAAGSAVGLVVYTGKETRAVLNTSEPETKMGTLEKEVNKMAKILCT VTFALSVFLVALNGFRGQWYIYVFRFLILFSSIIPISLRVNLDMGKTVYAHQIHTDPE IPETIVRTSTLPEELGRVEYLLSDKTGTLTRNEMELKKLHMGTLVFGWDSMDEVAHLV AQALNEQEGAIERRKSSMTTAPGRGRRDMSSRVRDAVLALATCHNVTPVTNDDGSITY QASSPDEVAIVQWVESVGVRLVHRDRTSMILRSTSGSEYTYDILYIFPFTSESKRMGI IVRERSSGNITFVQKGADTIMAKLVQKNDWLEEECGNMAREGLRTLVLGRKKLSEGTF MEFDKRYRSAQLVAGEERQIQTNKVVSDLLENELELLALTGVEDKLQEDVKSTLELLR NAGLKIWMLTGDKIETATNIAVSSKLVGRGQYIHQVAKLKSSDQVRDMLDFLSAKLDA CLVIDGESLQLCLDRFRPEFIHLATQLPAVVACRCSPTQKADVARLIREFTKKTVCCI GDGGNDVSMIQAADVGVGIVGKEGKQASLSADFSINQFSYLTKLLLWHGRNSYKRSAK LSQFVIHRGLIIAVIQAVFSSIFFFAPIALYQGWLQVGYATLYTMAPVFSLVLDKDVN EDLALLYPELYKELTKGRSLSYKTFFTWLLISVYQGGIIMLLSLLLFESEFLHIVAIS FSALVINELIMVALEVTTWHSYMILSELGTAMIYFGSMAVLPAYFDLSFVLSRQFVYK VIIIVAVSSFPLYVIKAIHRRFNPAAYAKVAGI L199_002760 MIAPLPQPGPSQPTYPYVITVNRKGKERASSDYFAPSPLEDSGW PPARESERWTTSELWKRSRARAKSDKTSWDYVLTSGVAGGIAGCVAKTAIAPLDRVKI LFQTSNADFRKYAGTPLGLIHATGMIYRTSGIRGLFQGHSATLMRIFPYAGIKYMLYD WMERILIPTPEQRSPWRFFVAGATSGVASVLCTYPLELIRVRLAYQTKLSERTSLLQA VKSIYHENDVVHSRKNNISPFIRSIPLYPFYRGFSITILGMIPYAGVSFLTYGTLKKH APEYVPYLRDRPTMRDLSCGAIAGAVSQTASYPFEVIRRRMQVGGTLGNGGINPKQAI QNIYQAKGWRGFFVGLSIGYIKVIPMTSIAFATWQLLKRSWEL L199_002761 MSSSLALPPLKPLNGMTINTPSPTAGPSITKRKSPTPPPPAEEE EDEENESEDEKKKGSGAGAGGKKKKDQKEKGSEYKYTSEISQMMFVFGEVQDPLPETV RLVEDIVRGQIIEIVTRARLLTHLRSSRFLSAEDLIFLIRDDRGKVNRLRTYLSWKDV RKRAKEDEERGGDVELEVEGADDKAAAKGRKTMMKLPWELLTPFSDYLRTLPSKQNRD DDEEEDEDEIQAHQDSMQRLRDADEITKKMTKDEYVHYSDCRQASFTYRKARRFREFV NFSAYLDVKPNDDIIDILGFLSFEMVRSLCVTALELRESLELTKPQSERNTSPVKRKS TNETSPSNSKKLKLDDGSENKKTTSNQPISLFAPPPSARQPLLPGHILEAFAQIQRTE AASRVGGMRNFKSGLGKGRLALV L199_002762 MDIDKMFKLPSLPASAGQKRKMPDAPTPEMLKKYKATETEAQPQ PQALPTNGKGKGRAATVEEEDVEDQYNAADRDVYEGDEDDEGRFFGGGLNNEQQQILD IFDKAGDEEDGPTLDLPALRRQLGKFERIVNKNAEMRGKYPDDPSKFIESESDLDGAL KQFLPLTQNPPLFFPELVKSGVIALLTNLLSHENTDIAIDVIEVVRELTDEDVGAEVD DLEEEDEVESGKAYKTRLAMGELIDELLNNSLLDLLVANLSRLDEEEETDSQGVFQIL GVFENLLSFMPPLSEQIVNETNLLPWLLKRIQKKEYDSNKQYASEILAILLQDNRDII LKVGELDGMDILLQGLSQYRKKDPGDSEEVEYMENLFDVLCSILSQPEMKKAFVDNEG VELMVLMMQEKLLAKTRAIKVLNYALQTEDGSIGCEKFVQALGLKTFFSAFMGKGEGK KKKLNATSSFEDEEHLLGILVSLFTNLASDTPERIRLIAKFVENGYEKVDRLLEMREV AESKLKAVEKDINMEKRVMQANKEEITDVEETEWYLRRIDSGLSSLQNADYILAWVCM EDDGAMTHARLLLSRKDQSFKNIVGVLTEFKDNIGDEEEEEGDTQPNLQKMILEQLIA FLEGLK L199_002763 MFPVPQHLPRTGGESLSSEIPDQDPVLDLLKPLISQGESSKFTG KQVQAVRESLEKAVDNNKGKAHQLVINNFPSISTQIQLSTNLQSDIFDVRRKVTSLEA EIDHSDSRTSFLPPLISSLNRHFSASSSRSSAQAHIRALKSLSKHTERIKKLEEAVWS GRGADQWVLDELRDEKGYVIGEDGEEGEEILRGTKIMRDIANKEALLKSMVIEQITEG LDTAVAFSQPTSEQGITLTVQNTIALQRPRTTPPPQLTPTTSPHYPLKDIYSALSKLS LLDDLLKTVSKRIQRDLIRPIVSSTHTITISSTDKLSLLRLEPSPDTSPQKVLENIKS ILSFTLNTIFPPSSDLPERAAFVSSLTNSAFQSILDFLILPSLPPALTDAPTWLETLR QATEVESEFCQEGSQSQSRLIRPFFETEAGSTWAQQRRYTIADEVRRLILGGWGGWES VVKEKEREVISYVEVEVDVEDDAPMVVKSQKDGEEDFGWGFDDSTSPKNNMNTAKVEE QGNQDVNMEDDGWGFDESSIASAGPSSPPRPSNPPPEQVEDEADGWDLDPSPSHSAPS AEPKPTATPVPAPTPKPARPAREAKRLGKKVAKVKHEEEYDPWGSPDPDEDTKKATNG NDHHPQTPSPIEPDKVVSSPSIVKQDNADDGWGWDDDSTPAPAPSTGTTSASTDIPVL NVPSKPTKRKEVREEKSLVIERYLVSTSCETLVDIAKSVSKDIEELESLELSSPSFNV SILQPILSESIKEVFTLYRALLPTHFANQLRDVPSLSMQAHNDCIYLSDLVSQLSIED TALNGEKEKLMDLAEHIYETQIDFQRTSMLESLDELNELQGTNEDKLFKRDEKVLKGV IHNLESLDRVIKPVLSSSKHLEFISHLVITLTQRLTNDVMTLGDITEVESNRLTDLFK LVYPLEHIFEPEGGVVRWVGGGWLKFCYLSEILQASLVDITYLIDSGSLIDFTPDELI GLVRALFAHSEKRDSVIERIERDGTGGQPIS L199_002764 MPSIASSSRARTRTPLISLPLTTFIQPIPSSSSSFPSPISLPSK RGPSSLSVPSSPGSTKTRKVSRTEMDGSETVRKARVATSGSGKGKGKEQVDEDERAVE VGVTPRIKNVLEKDDLGVGKSPARRLFVDDRESPISGISGAITTPPKSHRGLAPSPPI SDSPFIVSTPTSTSDQDEIEIDVQAAPSPSLPSSSLPLEPGSEPHCGFTIYQSTSTEL RELDEFAASQILQLTSSAGQSLTPSPSVSEIDLNNIENQENLQPLSLPLVNYPPSPNS KSRSNPNSNRTTPSKYSSGTSTADDEIISMYLSAPSTNSSISGTSASGSGTRRRERSK LINEVLLLRGENVREKEDKMNVDVDAEEEEELTPGKKIIKGGRERLRREVNMA L199_002765 MPSRPHPDLTYPLLPDAQDDLPIPTSARPIRQGGMSLRPEDEEY EWPEAGPSTVKAKSSNRVGPFGAKAVAAMTGAMATSLLMTPFDVLKTRLQTVPPHPRP EITLSPTPLAEECCQTTILSQPPHELRISPLTCQSQSTALHSSSTNTQHLSFASVRPN HILPMEAPEGCLHPSKWAGIWGEALTLENAMANPSQMRIGGGGGVGVLRIPVQQEENQ VMRGFFSELAAVRKENGIKGLWKGVGTAITMGIPSSAIYMLGYEQLLTVVSPYFTGSL TPAPLIAGSLARTLSATVISPIEMFRTRLQALPSVERGTPTYASTAKDMTQLVQTKGV TILWRGLGPTLWRDVPFSGIYWASFELLKSYLISPSSPLPPLSPITTSFISGFVSGTL SAIVTQPFDVLKTRRQVFNPSPECVTTRAATIPLAMHVIRTEGWQALFAGLSARCGKV APACGLMIACYEGVGRWLGGRNED L199_002766 MRAYVYDDIPGDQRLPHDSGNPISLNTLSELGVIYKEIPIDEEK KWEKEIDEFAEQRGYKNRDQITVTREGLGEAYEDKIKSFFDEHLHEDEEIRYILAGSG YFDIRGINPPHADRWIRISLTSGDLIVLPAGIYHRFTVDSNNTITAMRLFQDEPKWTP YSRSLPDTDERAARGEYLEQVKSGKA L199_002767 MSSSPPRPARLADPATTYTLLEKLGTGSFGTVWKASHNETKQIV AIKMIDLESSDDDISEIQAEIAHLSSCWSDHVTKYYGSFVRGAKLWIVMEYLAGGSCL DLLKPGVFTESQIAIVCRELLLGLQYLHDEGKIHRDIKAANVLLSASGGVKLADFGVA AQLSSHKSQRHTFVGTPFWMAPEVIRQAGYDSRADIWSLGITAIELAKGEPPLSEYHP MRVLFLIPKARAPRLEEPQWSDTFKGFIERCLQKDPTDRATAKELLQHPFITSARSTS HLVPLIERYQVLKARSPNKKSNSPSKTLNKLAAGLGNLTIGPGGTMRSEWNFDETIKG TVKGVPVSLDLEDLEDNDEWDYQDEDGEGDDWEGTVREREDLLRASTLNGSDLSLPML ERSSPNPTPSIGSSPQTPHSDVMDLKSSSGSGTSGKSTWKERHNKDGGTVVKEGDLGD GFSTVRPMKKIDTVRSGRMSNGYIGTGSVRKLNGVTGLESPIKSKPPLSPRGRAGQAL VEEVIVGVLDNTLSEDHDATALEGLNLIKKGFSDLGNNDPEIAYKLVMDILGGIRQND IVHSHIRNMSMANVIPRSRPIVEIDNGSKPSTPNHEDIAIKKEKENDDLIRERSPIAD LLYLRWLDGLRLKWPGS L199_002768 MSTNSRDPLNEAQRDPLSSVSLNTPQRVTPISKTSTSRPPIVQN GVSSSPISAPSTSTKKLAPIFLTRRCTPTSTLFQDTNDENIDPIASTSTSSSSSGSPV SRKKIKLDYSIPLGSISLEQSSSSSSSSSSSSAATAGRGMDGGMDIDMDNTNSKNHVR MHSIHDWFLPSTNLNYERIKDGKRTEIHQDIKVDESKDGHGHGVWKRQRKRLVMKGLN SIVMEKHRLITPQVSYLSTLVHSLLPYHPTTPPSLLLLPSIHPPTGRPRDFAPPLSIA FNNIAKNYDATSAKEAGLRRLIAVAGEEGGVRILDVDEGLGSHREEKGFWWRAHGNAI FDLRWSADDTRVLTASGDQSTRLHALTTPTPTLLATLKGHTSSVKTTVFFDPSRSHSD PSTSSSVIASGGRDGNILIYDIRCRGRQNRDIDHDALMVPRGDRERYSDGVPGFVAQP PNRGMELNPVMTIRNAHGDGRRNGNGRTATRSVTSLVALQSMPGILASGGSFDGIVKL WDLRFPTPTTRSPEPRPSCTAIGSLPDPTVYGAIPSRRARSINALCESPTTGDLYALC GDSKIHSLRPSSFSHLTDEGSNNKEAIGCKTYTDPNLLVSSFYIRLSISPDGRYLSSG SCKGGVMSWDTHQKDGSNATRLVLGMGGVQWPEGKEREVGAVDWGKDMLAASSDDLAT RLWRSDRDVARWLKDDPNKASEGWCGSV L199_002769 MSKPKPGLGKGLVRNLTVSQYHHPSSGIITLAPVIGQHGHSYST RSSSSHNSSGPITSSKNGWPNNPSPSISLLPTWDQPSDIVTSYQSSSSSSNRIRLKTT NTNQYTHQSRRYSSLSISPSAFLTSPIPPSLFFDKSLSFQSPPSYTSPAQISLEALLT SLESSSSFSSNPNYGPGNTFDNLPPDPPSSASTSRGNSPNQPLKTLRSVESHTVLSPS PLPISSDSNDSSSSLSFSSNSLLGLNEHSSNTSYDYPLNNENEEEILPSTYSSTLIFH LGCSGLAKERLPIPSSSRRSRPPTPPRQRSYPLPPVDPPTVLKSIGVGEDAYFARSDG LCIADGVGGWSRAASSGEKKADPGRWSRLLTHFVEEEVNDWWVGKEYYLLPREMGNEK GNDKDRNQKSTQKQQGWARESWENKLGGSMKMSSSASISTAPSSTSPGSINGKVKSLK RERERRPIDPVEIMQRGFEKCLSCINDEGIHGSSTCLLALLHNSTLHIANLGDCCLLL IRKGEVVFRTQEMQHAFNFPLQVGTHSRDEPMKDAQRYDVSVKKGDVVILGSDGLMDN LFDEEILEIVLQYTSSSKNDQPPATSTTSFPPFSPQQISEALCRRARSVSELVTATTP FMCKAIEEGIDFVGGKKDDISVLVGVIGDREKEGSEGNGELKLHL L199_002770 MASEQAIFDAEVKALEEFQKQPRFARVHRPFTAADVVSKRGTLP ISYPSDVLAKKLWKILEAKKRGEGGGCTATYGALDPVQLTQMAKHLETVYVSGWQCSS TASSSLEPGPDLADYPSNTVPNKVAQLFTAQLFHDRKQRWTRSTALQKGEKLGPPIDY LRPIVADADTGHGGLTAVMKLTKMMVEAGAAGIHVEDQAPGTKKCGHMAGKVLVPISE HINRLVAMRFQCDIMGTTNLVVARTDSEAATLITSNIDPRDHAFILGSTNPDLISLNE IMVAAELEGRNGAALQEIEDQWMKKANLQLYPETLAKALSAQGVDNGKVQEFLKAVSG TAHASHNGALQLAQEKYGLKSAPYWNWDTPRTREGYYRYQGGTQCAINRAVAFAPYAD LLWMETKSPIYAQAKEFAEGVHKERPGHWLAYNLSPSFNWDAAGLGEKEMKDFVWSLG KLGFIFQFITLAGLHSNAYINDQFARAFSQEGMKAYVELIQRKEREIGCDVLTHQKWS GADYADAMMMTVTGGVSSTAAMGKGVTESQFGDDKSKKALHKL L199_002771 MDAYQARSKRARSDSSSSSSSSGSSSSRGELTSTYQTPSPPPPK FHKGSSSPNEATKPFLCVLPPTCSQPGTSTSYSSQEELDRHQNTFHKWICHVPIRDRE FASPDEQVPEGFIGGRMNKGKRMKECLKVFPDERLLELHHTEVHDPITRQEKENGQRI FECFLDPSQCGRKFKDPKKRRRHMVDKHHYPSNYFFGITNHGINAIVHEDGLAMSLIR PRRDPQPHKDTVTSQNHPNGHSASTSIDNDHDSIARNGKAQIKTQDVDIDDLTSVMES SLTFIPRGVKKAAKAKEKVMEVEPGV L199_002772 MSTVAELCPVYAPFFGAMGCTSAIVFTCIGAAYGTAKSGVGISA MAVLRPDLMMKCAIPVVMAGIIGIYGLVVSVLISGNLASPMPLYTGFIQLGAGLSVGL AGLAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALILNTNSTVD YTCSISQ L199_002773 MASAAGSGSEVEYLKTLVSQLQDKIHQLENKASSTVSSAVDSAK SAVGLGPKDAPRMVLIGPPGAGKGTQAPNISSKYCICHLATGDMLRSQVAKQTELGKA AKKIMDQGGLVSDEIMIGMIKKELSENAECKNGFILDGFPRTVPQASKLDAMLKDAKQ AIDHAIELKIPDALLISRITGRLVHPSSGRSYHKEFNPPKKPMTDDITGEPLIQRSDD NVETLKKRLSTYHAQTGPVVEYYKGTGVWTPVDAAQSPKLVWGSIAKILEGTREGKMV RE L199_002774 MFALLPTLALLAIPALAQNSSSTTASQTDIEAIEAHFKQAELVP QLIEEFDPEAILTVSFGGSAISPGDLLDKDAVASSPEITVTPESADSDDFQAGKLYTV LMADANAVGTDQNVTEQTRHWLVNSVGISGDSAPYALNYTGATTITDYAGPGPLEGTG SHRYVIALYEQGDDFAAPSNLSTAGVALGTWFLKSYVSEAKLGDLLAANYFQVENGQA TSTAESTTPVDSATLSQAASTTGSGSAAASQTSGASAAASAAGSAGGSATSAAASAAA TSAQSGAGKTGVAVGMIMGAVGVVGAVIGAAL L199_002775 MVNLNLEHHQYRKIESIINIWVSGILYLIELFYNSDRGEEDRPL PVERSQGSVSALVARFQTAANRDAEATARENRRASLQPGTATGTLSNSRRISSSGLWS ASPSPSPGTTPRLGDSTTLPSVEGINSASVQNKSDGTEDILKDDTSTTLESKIDKLDI KEQATPEKQEAQPTVAPVEIKEIDYTNTGSSPSRPPKSPKRLSTTGENVNGMTALPVP ATPEEPKEPSEPKKENVEESDKSVNMNAIPAREKEIDKVSKPIPSTSKPPITSTPIKN SSSSSIQSSAKKMSSSPSTTTKPPLPTSSTTKPRSRLSITPPSSTTPPARARTSLGHQ STPSSTSKRPLSTATPPVGRTPKSLVPSHTGPTHRTPSLDNQGHTLPSPLKPHLTGTP SKPTASSLAKARIPSGPVFTPGATRGKRESLSLGRSRGRNSIGEEKGRSSPASGESKD TSTPSKTSSTSQGTGSRLLQGTAASRARSAGVQHHESPSKSTSTPMKTSKPKTTSTKS TGRPSTSSTRTGATSSRAKTPASSTSTSRVRVRPQSHTSGGTNDTPSKSTNTHEAKTP AVGKSPIGRLGLAAAGMKRPEGPMSEVGRKGREEGKGGRIDSNNPGQEENDYDNHEPE KQEKAAEEELRELTESVLKSGPEDDGAEGEEASGEKAHRDPVDRPRTPSPTRELDKEI GATPAKVPDNLRKLDEGINKEKENGGQDFNVEVGDESLAEIPDIE L199_002776 MSAPRILTSLRPQLRLARTALPFPSARSSFSTSIRRLDNKDDSG RPQAAHPSKHASRPVSSGEPVAEHVKEDESKRAGLPEEPMDGPPPPPLAALPAQELFH LKNRTILITGGGRGLGLTIAHACLESGASVRCMDLLPEPSQPQWRKAEELAKSKGLEI TYHPLDVTDQNAVSNLFSDLFKMDSKEHPIRGLFTSAGIQIMMPAVDYSTDKFRKVID VDLTGTFLCAQAFAKEWFRRHPTMDGQAGMNGASIAMTGSMSGHIANLGIECAAYNAS KAGVNQLAKNLALEWSRKGIRVNTLSPGYIRTALTAAQLDEKPELNKIWLQGSLLGRL STPDEFRGPVLYLLSDASSFMTGADLLVDGGHCAT L199_002777 MADTQANTDDTYLGILRRDHRGAAIGMRQPNVHGECYCIDFSHD SSKVLPSHLEAWKGKAIPEDQEQRSMVFDISQDPSTEITYNGHSFKPSLAVVTLPSGK SLRNERPVGCEIIFVEPINQAKGDGYKVFSVPDASIRCECGDEGEIDSHEASKESLFV KDPSDIFRPVQELDATKRSGVISCSIRDSGEGEIWVIRKDDYNHWTARYDTKGEWDTV RGEVESFSRDHADQTRTSRAGSSGILDTLYKVGFTLLDYYG L199_002778 MKFAVLAALIPLLGGASTFAIPPSASPVNLIARQTAGLDDVPAA CTSKCASTQEFAQRCDGGQEVDACLEICQPSLFSEYEECASCIIDNFEGLSGDDEALL ESALGQVKEECESLTSGGNATSSSNSTTIGSAAISGSSTSASNSATRALISATSIASS HASSATSAATSAASGASAATSSAAPSVGFIGVAVGLAAIA L199_002779 MILSETSSYISTPNQYRKEHTVTGSPYCFTYQEIPNEDNLHPKL IIGMRSKNLTQLKLTSTNVKITLYKPTSTPGCPSASLDPKFSDNDLLYRAATGSSSEG RVPFPTMKYEQFDIDTVSFGPHYLTLGPLILTHAPEPAREILAKWSNEAWATCGGQSV NYQLKSGTLTDLCSTTRADVNIPDSKGYTWDGAGLAEDYVLRTSLMK L199_002780 MPHKRAGREKETTPTSTTTATSQSARADLSDRKAFAWRHKSGNI YGTFFSRSSDGAKVGIYSVGDIIVQRDIAYQGLQTDILPSYAMMNLHRSQSMSNSQLI EVPTAPEESWKDDRIPLTVSGESAMIRKNTWPEEIKSLVYEWKSQPSAKIGGFTKSLY LDKAELRSLQKTTFIPVTEENYTLINGRPGTGHEDRPARRAPIEYQWGGAHTWYQFSV PGLASSSWTDEDIRELHPTEKEIDDLLKGMEDVTWFTISVPTRSDHVEV L199_002781 MKPSVRLLTPSARPVNYPGHTPLSFSQNALLAVGSGLMGVWTSR GDLVASLSESTASTFLPSLHHRMTLHPEGRQILKDRPTISSDTLVGLRDLKRGTLGRE YWEWLDDGKLDPDARAPVQYIDSPTLAYTMLRYRQTHDLYHTLFSLPPTLPHELSLKV FEFSNMSLPVAALSSTFGPFRLKRRETWLRDWVPWALREGKQGKSLVGVYWEKRWEQG IGELRRELGVKRNDQDGVEGRWGGYRKIREEERELRRKGEWIDEPEGW L199_002782 MSDEFNLQESLLSLSDLSLYHIPAEVDIPSQRTSTIDESLLSAI ESIVHDPDAIVHSQSSTFDVFQSILKYSDSPNITGPILTKLLDVILSSLTSHSNAVLG LVGSQGFQTDDIDAPMVHKQPLEMWAFLLQWFITSAERGAGKSDGGMGPTTTGGKGKK RTTNKNTSSSTSFVWIDQIPFVLGTMHKVLRIPSGRIWRTSSEKESFISCFVKPSYQL AENESYLKNQEIKLGIYKVICLSVKFHGHSFGAQTSIIQNLTYFEHFSEPMAELLSIL EKEFDFTQLSEEVLRDVSTKTFAHNDVKGPRSFSKFLIKLAELSPRVVAKQMPLLLGH LDSDAHPMRMAVVEIIGLLIRDLSLSDEGDEEQKAKQIKKYFELLMERFLDLNSWVRC KVLTTIIKLCDLPAKFPKQRHQITELTIRTLEDKTSSARRYAIQLLCKLLETHPFGAL HGGTLNLAEWQERYDKIEAELAKVDAVELERAKRDVGMVDGEDQDDSQKKDDEEEAED EDEEEAEEVEEDVDEQEDDDGEEDGEGTPRPKAVKKEPKIKKKQPRQSQLDITGIQTE QSTLDPELIHKLRLTRKYYSDALKFINQLEGAIPTLCQLLVSTTKTEVLEAMRFFRIA YEYDLQSNEIGIKTMLHLIWTKDNNSTVPAPNTSNEDGNPTGGATEAGATKGIRANLI DCYRSLYFDVVPDLNAKQQVNRIAKNMIERTYGATLAELTSLEELMRTMMAEGGVHYD VVNKLWQVYSTDQEIPKAQRQGAIIILGMLALARREVVTERVESLLKIGLGHFGMHDL VLARYTCIALQRLGGSAKKVKGSLSDKTMRLPMDNPIFLKLQEIIEHSPKSPQWFSMA EQAINTIYLLGEQPDALCSEIIKDLTVKIFEKPSSTQDTIATTPPQEDGETTDTNNEE MQVDVATPPPDSQDSRATPPLEQEKKDQIGSFKLAQLVFTVGHVAIKHIVYLELVERE FKRRKDETAKQKAAAKAAEKDSNDLDAVAGNAEDDIGELMSGIRERELLFGDKSLLAV YGPMIAGICASPKRYKDSSLRQAATLSLTKLMCVSAQFCESHLLLLFKILETSRDPVV RSNIVIALGDIAVCWGSMIDDNSERLYQGLSDPDQIVKKNTLMVLTHLILNGMIKVKG QLGEMAKCLEDKDNRISDLAKLFFTELSTKDNALYNNLQDVISHLSVGQHKVDEDTFE RTMRFIFTFIEKDKQAESLVEKLCQRFRLATEERQWRDISFCLSLLPFKSERSMKKLI EGLPFYQDKLHEETVFRRFTEILAKARANKAANKPETELKEFEAILTEHQAKGLEDQA LEADVLRKTRAAKRRAAKRPQAEGRGKKAVTPEDNEDEEIEEEAPPALPQRSTRGAAG KAQPKKAPPPPKPRRGGRRKVVESEDEDEEEDE L199_002783 MAQPQFFETITKSFTEVTITEAGVDTAEFLEAAENLVKIFGLFG NPAFVVVQNDLTGNIAKVRAYLAHNPESGKTLESLLAAEKASIPKAKDRVATDALMWL LRGLKFTSLGLKINLDNPNEELSESFTKGYEGSLKKYHGMMVRPVFYLAMKACPYRAT FYPKLGEPQSEVLPKLQAWLKALQDIVAKEEGVFKAGGYGEI L199_002784 MWKQPSPANSSFNEFNTFPLPQTQRGQSSHAHHGPVGHTQQPLV TGTSVLGLKYEGGVMIAADNLASYGSLARFRDIQRLHPLGKHTLLGVAGDMSDFQWLK KELDALLREEDALALTDSHPSLSPKNIYELLSNLFYARRSKMNPVWNAVLVGGWDKIK QESFLAYVDLLGTTYTAPTLATGFGAHLAQPLLREAYEAKAGIDGTGPLLTKEEAEKV LEDCMKVLFYRDARSLNKYQIATITSEGVSISDSKSADTYWKFAEGLRGYGAQTQ L199_002785 MSILSLLLRIISYPLFILLHLSFIVSSILLRTYETFTSQSTSSD QIPTEGQGTPPKHLALILVPSKTHRQDEKSALVKSILRGIERSGEWGVEELSIWDGHG LIQSVLPNLMKTLSLNITQHNHNKLPPSPPSTPPNEPTQDMTSDEYEEEMPVSPSPQR KQGKSLVRETRAGLVSVPGLGDEVRSVTIYPGPSSNRSLKIHFLPPSASDEVIINLTK RYVERKKDVSEITVRNVDRDIKEQLHFTSGPDLLLIHHLSPPSIWKSYLPRSPPELWG YPFWSLRITEIYQYPSPLPLLHHLNPLILSFRSSSLPFLRKLGYSIALPVNINSDGYG ILHREEWNGAMEAWSKVEQRLGK L199_002786 MATSTKNQSSSDIKFEVNFDIDPRNSFSTLPMKINPLLGLTRNA SSQEEYDTINKYLPAVQKTVNEIFTGWYESEQTQDPLNDRGAWTNTELTEWWTVVITD NMGRTLAAQGRIAEQVIPKLQSCIPEVSGGMGCKFTFEHHTDIPFKYGDGIPAWLQSL KGGVSDPNKECTKPHDYLYGDDGRDWTRA L199_002787 MFGLIALVPILGALSAAAISPEHMRLFPTRQLENAFPSQCSCDS ALQIYNACLNNDLNTCLSVCQQSTFNDFVGCFQCTLDNTEGVTQSEWTQLQSAVDQIK SGCSQTGSSVTGGLQALSGSSSPTAAGGDSSATGPTTRAGASFTSAASGITIVASGAS AAATSAAAATSAATSAAGAASSAGASAASVAASATSAAASAAASATSPNSGAGPVTSF VGGFVALISIAAGMAIAL L199_002788 MYPSPPDSNTNLPSIDVKPHDERYQAAARAARLSFLLDKSTIYA KIIGERMERQQIEKKKAEQRAEVRKANKEKKADEPQTAGRGGMREKKPKVEEAEQQQE KEAAGNKRKRRSEGGRGEKRVKVEEEEEEDNKKEVKVEEETPIKEEQLNGTATNGEPD ADAAEDDGVQYSFKQPELVTGAKLRDYQLAGVQWMISLYENGLNGILADEMGLGKTLQ TISFLAHLRSKGTWGPFLIVCPLSVLNNWCSEFEKFTPSVPVLMYHGTPEYRAELRKT RLQPPSAAGVGGLKSGKRGRKSTGSALKPGQNDTSSFPIVITTFEICMRDKQFLSGLL WKFIVVDEGHRLKNLDCKLIRELKSYTSANRMILTGTPLHNNLAELWSLLNFILPDIF DDLDSFQQWFNFDEMNNGSTTEGLLNKSSVVASLHAILKPFLLRRLKVDVEKELPPKK EYLLYAPLTQQQKDIYQAIASGSIRQFLIDKKSGGNDEENAEVEEQPQPEAEAISEAS NGRASRKKERVNYKIEENDSKFIRDLENGVKVDEPSGVKEKSAAEIGRDWALQQAKKS VNNMRLQNLVMQLRKISSHPFLFDWPIDSKTNEYVVDQELVNASGKMLLLNRLLGELF ARGHKVLLFSQFTTMLDVIEDWATLHKGYKVCRIDGSTSQESRREQMHDFNNGGDGPD ACKLFLLSTRAGGLGVNLVAADTVIFFDNDWNPQMDLQAQDRAHRIGQTRPVLIFRLV SAHTIETKILAKAGSKRKLEALVISQGKFGRVVDENGRVLLGKKSKVESTAEMAKALL ELDGEEIDVVSKDDKIISDADLDILLDRSPAAFARQKGWSAGLGKSGADRKAQIQKGE RTAFEVFEAAKDDAGGLDTMFGGDGEVEGQ L199_002789 MPPTTSVSSASSENIPSLSHTATTSVTNSMPVSPRTASPALTDQ VKIVNGDGDAKPEVDGDQINAEELVQEIQEEGESDPQRRRRMRRLLYLGQE L199_002790 MSLGLPVGAVMNCADNSGAKNLYVISVVGFGARLNRLPAAAAGD MVMASVKKGKPELRKKVMPAVICRQRKPWRRRDGIFLYFEDNAGVIVNAKGEMKGSAI NGPVAKECADLWPRIASNAGTVV L199_002791 MDPSLALPPKLVGIISPSTSHALSFLFTSSYVGSLYISQKLIPT YYTPRSSCPTTPKEDDGNSPAIPPISSDIDELRFKHDTGPKPGSRDHPETIKKRMIAV TISTALSLSGVYFTIKNLSTSNNTAGLGQSLTLLGLRLPTLKVGLIGNILPWTLAPIL MTGPLVSLHLDGDLPAVGGRHYGEGLLQRLKRGWKEFGLIELRNYGVAPITEELVFRS TILSVSILGGLSFKSLVFGTPLWFGIAHAHHALETYRKNGSTRDAAIHAVLSCLFQLG YTTLFGWFASYLFLRTGSVIPPLVSHIFCNSVGIYLPSTAIARHPKKRSMIWTSYLAG IAGFVWGLRRL L199_002792 MSSNSPSFSSTPSNPLQRAHALSLQASTLVRPSLVPLATLNQAS ASYREAVELYEKAQQDAETRGSDEVNTLKMLVIQHRKLLKDVERRISNAQKDQTSTSD APLSRPSMEGATRPAQRRLVSKSAASSAATGTTAGIPSGFGLSGIPPSGIVNRRSIPP FSLRPIPNPSAPTGEPSLSPLYSSSSTSSSTEESFIHFGSPPETLDPFSRFWGMLENM LEEVSGPVMFATAPVDAPAPTATDARSGGKKDDKLSREKTITRTGKQKETEESFYVVK NRRDKTINLESTDEEEEEDFVERPSSSTNSAPTKTAEELLLENASLKTSLDALALHAE SVDNTNRTLKKQLEEREKGLKVIMENLKKEAGRVKSVVGQSQLLSNSSITRLPGLGMV GSTGGVGGAAKDDMTSKKRIKELEDEVRILKGENEKQKEQIGRYKERFEKIKQNAKAK KEAKLAATAQSDGNK L199_002793 MALQTLPNDVAKVASEGSVKLFGKWDSEGVEVKDISLTDYINVN HAVYVPHTAGRYAKKQFAKGRMPIVERLVNALMMNGRNNGKKIMAVRIVQHAFEIIHL VTEQNPIQVLVDAIVNTGPREDSTRIGSQGTVRRQAVDVSPLRRVNQAVSLLTVGTRE SAFKNSKSVSECLADELVNAAKGSSNSYAIKKKDELERVAKSNR L199_002794 MSILRARSVVAPLSKRFASTISVQSTSSNLSGGSLGNIEASWKG LSAENQYEIYQQLEQIQKKDWKELTLDEKKAAYFVAFGPHGPRTPVNEKGHGIKVFAG VLAAVGAAYGTFLFARSQAPPPPATMTPEYQDKMTEYMRSQNMNPISGVSADPKKTMR Q L199_002795 MLYLAALLPFLALSAPVLAKPLPSGEESAASLTESTAASTVASG YPSSIAAETLTESASSSEPSSSSPSSVVAVDTSTGSENGTTATSTAADAAQSTIDIYS HETVHNVQIQAYRDTKCLSPDPREELKAGAPVDLYPCVNSEDSSKNARAWDVVPGEGA LVLSGTNFALDAGGVNASANSSLVLKESQNCEPLQAWYVTNDARIAIAGQGLCLTEDK DTDGSGPDEDKGIILFDCSDENTDQMFFTINKDNQDLIPIAPPNANYTAIDGSSTSSA ASGSGIAAVQTDLTTSAGSESTSVVSTTSESAVSASEATTTSGSATSDEVTSVSASSS EAISSSESVSESASTSLPTASIESTEVASSTAA L199_002796 MLRIRSIWITVLLHLPVIWCMINSKREELRDETASLFKHGYEGY MKYAYPADELRPLSCGPLHRDPDPNNIGINDIHANVSMTLLDVLSSLPVIYPTAFPKA VQLVAEKVSFDQDVKVQVFEMTIRALGALLSTYQTLDDLPDDPAQQYVALGLNEENSS SFLSWTKKDTIDVKQYKGRILELAYDLGKRLLPAFQPVTGIPYARVNLRYGLLKGESI ETCTAGAGSLLLEFALLSRLTGDDRFESLAHKAYLALWNRRSTQNLLGNTIGTHGHWL APGMSGVGAGMDSFFEYGLKAGIMLDEDTYTDIFFDSYAAIQTYIRTNDGFIYRPIQT RLLQPASPSTIDSLSAFLPAMQVLAGDIESAIKSHLVFWNLWRKHNSMPESWLWQERK IEWTGYPGRPEFIESTYYLYQATKDPFYLRVGEKILRDLKTRTKTRCGFATLKNVMTG EQEDRMESFMLSESLKYLYFLFADEPFPNSNTVFTTEGHPLRIPKNLLRSPSNPRRAM RKGENPTCPVYQPFSVGGSYTQGIALGIEGREDYDYARSLIYGLNGTGMEIEDGRRIW YDGGICKIPVESKFAFEIVLSPLNVTDPSAVPPEDPSPGPSKVEQDLATGDYLISDIE GLRLGVRWRFDGKGYDVVNIGPHRIRQGQNVIVQDPAMCGHLPIPSSPPVNSASPPEL TLRFISYPPSTAFTSMTDSATEGQILLHAIGATATFGIDFVPATGTDYSSTNPAWRIG DQPVRLVIPPRIDDDLYQGCSKISLESLGINPDTSEPFVLMVSRGGCTFFEKLEVTKD LGAIGVLVIGYAPLEEGEAVPPEREYERERGFEEGLIRPSAEYEESHPKYQHVKDVGM IYTEWVIGEVLRRLVEIEQNVIGIEILNIDGQNDGSTLANGSEEAMNFLSSSKFHEEK NKDTLINQGYLMVGGWPIMNLRVIDSDNKHIFTGGKPP L199_002797 MSYNSTSDPTPLVAIATPSYPQLGQPVSGVSTNSTLPNGSTPIS DTGHYSSNMFYPTAASQPGTNWSSAYITNSQNAWMNWSTAPPPPSTEPIYNASGNTTS YGSTSSYPDPRPDMNHKANEHIYGELEGRKFDTAFAAPAINLGTGDRFSQLLEAKMSL MNGNSGASTPVNNVVEAGGSSGPMTEAQYPSYDYPMQQFPAQPQNPYQPVSDPSGSSL NPSPNGYLTPSRYSTMIIPPPSTNLSNPFNADLGVSSNALETSQTQNQIMTQPQFMPD SNKYYYPPPPPPQGDIPEPSTVTSPRSTLTNFLSHQPNMNHQYQEVPPYINRLPLLDP LSIEKRLADWSQVHIQPDLVAPLPASVQKYKRRTSPPVVGGGPRYEKPSTSNTSTDYF QQYPPAFPQSSAHTQAHSQASSAQQTFNPSPSVNHLQASLSSSTQISIPSSTSPTVIP DAVDQSQSQPQGTPTVTGWTSSQHLPSTSQSIPPPSTAPQEEALPPLLKIRVKDTASA LPPPPENLELEPILEWTSTQPALIPKRPAQPKDVSGSTIQKGKKFSFKTSVSRADLGM GLGSATKGHSHRKVNEKEVSQNKGAISRIIEDHTSKSEEKETKKGSGKRKRKSSVHVE EQKEEDAESKNQSVKVTKPPIEKTIIACNNCRAKKLKCNGEKPKCFHCHRRGEETCVY EAILRRRGPGKHNKEKKPKSKSKQKSTRNAEDDSNSDSESGSTSEDENETSMLAKKSK SNSVSLSKSKSSSSIGADMKESEDSHFESFGLGGGGSIGRIDTRRLKEDEIQNMSYIL DPQNDFNRTNGYDDRELPLTNQGQGQGNLAMGFGAGLNIVDDGGTQHLGGIGSRFVMS TARE L199_002798 MSDKNQPIVGIIGMGDMGRMYAKRLKAGGIETIYVCDKPESFES LKRELEGTGIIPLPNGHAVSRISDFIIYSVEAAAMTSVVKEYGPSTKIGAVVAGQTSV KAPEKEAFEKYLPEDVGITSVHSLHGPTVTTEGQPLIIIHHRGPESNVKMVEDVFRSF KSRYVHLSYEEHDSVTANTQAVTHAAFLSMGTAWNHSASYPWETARYVSGVEVVKVNI TLRIYAAKWHVYAGLAMLNPSAQIQIQQYAKSVTELFKLMVENREKELSDRVWESREK VFGWKRNEEPPIPSSSPNSNSKGEEQIRDPILLSEDILNQFSLGSRSTEQQNQNQNQS QSQSSSPNSHLSLLAMVDCWSKLGIRPYEHLAVAGTPVFMLWIGVAEYLFRSPTLLSS AIQAGLADRIHRPDDIEFVVAARGWSECVSFGNFDLYKRRFEETSNFFSPRFEEATKL GGRMIKAIQDMQSGKKTDSQPNVQ L199_002799 MTNALDALKATGTVVVSDTGDFGSIDAFKPQDATTNPSLILAAT KLEKYAKLIDPAVEYAKKKGGELEVQAENALDRLLVEFGSEILKIIPGRVSTEVDAKF SFDTQATINKAHQIIDLYKEQGISKDRVLIKIASTYEGIQAAKKLEEEGIHCNLTLLF GFGQAVACAEAGVTLISPFVGRILDWYKKANPDTNYTADTDPGVKSVQKIFNYYKQHG YKTIVMGASFRNIGEITALAGCDYLTIAPKLLDELAKSNDAVPKKLDAKDADSAPIDK VSYLDDEAKFRWALFEDQMAFEKLHEGIRGFAKDGQTLKDLLKAKLQ L199_002800 MGIPSFAGRVGLAGGHSHSIRSHEKRACNLANGDLYVYPKSNDT VDATKDVTFKWDTSCAISSSQITLSLYGSGGVIKQWGNKDFSAGQLTVTFQPKWWNDT ETAQLQMNIVESDTESWMSSTPAGPVFTVNYPASAMFSTTTNNGQVITSTAAAAATQS KDAVFEDVSSTNTSDKSGISKGAIAAAVIVPLLVVAVLIAVAVKFWRNRENEKRKRWS QALSTHSNLEWEKGALPGEKPRSILGRPSMGGRPSMSGDRPRPSMSTYGGSGRPSSSV YAVENNMAGAGIHQFQRPDLASLRTHSADNVNRSSLAMPDGNVRQSRISFAESARPDR RSRLSFGGDIRPNVHSGVFKNPGASRSAHELNSTPTNRRSAAYATGSAIEDDDEIQIS PSQLQGPHGFDQTDMKRVGKGTRTGRRSFMSLGGGDKRRESSASALSVDDFKSAASAR GSVDELRDMEAVMLMRRSMISQASQRSPNPAMDNNEIEALDNVPSVPMAPSAPSPIAG SSTVAYGPDQMLAVYAARGKVAGGAPSTPTFGTAPLSQNVAQPKPTASRQNSGMRLLT SLGGKKNSEDSTSSGAAAGSMPSPSAPAPGDMRSFVHLNNGTVSSAVIDALPAPGPRG VTSPTGERPTTGLTAPSTKTRASGMSDGSRYSQNGEEAEEDIGEAQ L199_002801 MATIVDDSNSNLFWSGSSWKTEHSDDPLTGKYFNSGEYCIIAWE GTDIYVYGARRKKFTCLHPYVLSHGLYGVVIDGGDIQYFSGFSQDGQFQATLFATNGL QDGSHTLKISNENERNQDQYPDYVWLDIDSVAVSGTLINADTSASSSSTASSTIISSS SSGNSLKSTDISSGQYSATLSSATIISTASEVLTSSSQSRLPPTDGPVASPVADPLAT AENSEQSNDRKTITLAISIPVIVISSIVIFVFVGLYFYRRRKRRYERQYDHGYNGYME EPQSSWR L199_002802 MPALADLVQRGIVLTSVGLTIYGGALITHGIGYRSLKAKGYFGG PDEDQKTIDTNPTFTTPPTQPS L199_002803 MSTQSAKITLSTLRPLIRSLPPSPLAGESVQLSDALEAIAEKAF ASSSTPNSASASSSSMEALKVAGHQRRITQMRDSIVRLKSGNALNNYPLTNSILTPAN DPHYYTRFRNGVRNAEQGIARPWWKIFFDIKGKD L199_002804 MSLLPSLPRQASTWSMSSASQPSTPATGPKHIISNAMTTFEPQT LFRQTEKVIISQGCRVRRGYAPFIKADALLVISKRETGEEAAIFIVTPPTRETAASIL YIIPIIPGFKHRLEQTPPSPSNSFFQQSAKPHITLYLSCEDLKLELRISASQSAKVQK LVAELRRQNDAAAQSPCPRSISHSWLSLYPVQPPNEKDDVDDLSSPPVETPSNMTPST STALTVDTPLASSTSKDPAEPSEEEEQYPDPYLPTFSRTKFLRKRLFARQDKWSRREK VKIRIATYNVNDKIPPEGTLELAPLVGKGEEDILVFGFQEADLRRQSLLISQGNTRAE GWESALLAGLGSRSGEFEKLVMTQYVGVMMIILVRKSLKEFISRVETSERGIGLLGFG GVAVRLKVHDTTLCFVNAHMAAFATALDRRRSDYQVLRTGLTFPRPNEADLTAAFEEF LPEAKEKLLTQEDSHMLFWLGDLNYRVDLPDDQVKKLVEEKKWEEMLAKDQLRADIVS EQSFAGFSEADITFPPTFKYVHGSTTHDPKRAPAYTDRIIYDFPTNEYTTPSSFELKC DEYTSHDILWSDHRPVTATFKAEVRVVDESSRGVELASVMKELDRLEEVYRPSLEIDG TSLEFGEVRYRQAVEREMKLRNVGRVPATYNFKPPSSDKPICKSFMWPFPATAVVEPG QEMSLKVVVNVDEYWSSKLSLGGEDINDVLVLQIAGGKDTFITVQASYLASTIGLPLH ILSALPTPIRQVSLADRKILARPLAPSATNGEAPAKPVRDVWRLLEYLMAKGKSVEGL WVENGDVRAIIECLDTGDELPDDQAKLISLALLHVLTALPTPLLPSSHHTSCLAAEDR DAAFAVLEGVPQINTNVLIGLMSVIRLCSSPQEVPEVGGLQIGDSEEDQGVTEKVDEE QKIEEKSPEVAREDIELEKDTEDKPEESVKAEEAVGKAKKGDDDDEVDEDEEATLEFI SLTHSQDKPLPEINQEAHDKQDKDKPLKLASPPKKGIAIVEEPKTPPSASSTTKLHDV AEAPTTEHADELINALCPAIFGQTSVVPSVKDKRKRFIKLLLEG L199_002805 MSTSEPLSRIPRGFHHIISTRPNKFAGRLPSRLPHASTKFVQPR DRVRKWNIRPGDKVRLLVGTPKQKFINQQDATEGWNTFTVKQVDLSRNRVFLEGVNNK KSNVIHSRPANYDTLTDNQKQSYDDQKNHVPTMRPIHYSNVQLCYDDRKGPDSLFVSR MKTARTHFNVKSQRLDWTRIAARISGGSSSNAELESSRIRLAWPKPEKPYSHPKPDDD LDTSNAVTIQDTLVLPGLEAIEETKAADLVPQHVNAPSPADTSFSDAYINHMNGNRKL NNEESNFVDLLMPLYLSEELSPRFGKYKTYKAYRARREVEELERQRAGKEAVEEWVMN GKDKGLKEIMDLDEIGLEGVFLKPRTRKEVRDSAITEFDLQNELTRKEVNMNVREGRL YDTELGDWFNGPKADNIERKRERKERKERKALERLERLKLE L199_002806 MSGINPRLSHGSTANPDLESVPLEEPPTPPSPGEYYKEKERDLE KASTGEDSNSANVAILEKEELTPDKAFTVAVEGDQSPFPEVAACVPNWDDPTLPCNTV RAWILVTVFVILFSGVNQFFGLRYPSLSLGYVVAQLLVHPIGKAWEKLPRWRVPLGPL AFDINPGKWSIKEHSLIAICVNLTAGTAYAMGSLVAITSPVYWNRDYGAGFSFLYLLT TQALGFGLAGLSRRWLVYPAALIWPSSLPSTVLLRALHEKQDHSPANGWTITRYRYFI YLTLGAFVWYWFPDYIWTSLSTFAFITWIAPNNQKVNAIFGMSSGLGLLPISFDWTQI TYAFSPASPLTTPFYISCNAFATIVIFYLFLSPILYYSNVWQSAHLPLLSSSTFDNQG KTYNVSRVVNKLTLDFELEKYKEYSPMYVSMSYSLTYGLSFAAVTAVVVYTVLYNGKE IWARFKDAKHGGEDIHKRLMASYKEVPDWWYGVLTVVILGLGIFTTRYWDTGLPVWGF IVICFGMGVTLIIPEGILEGTTNQRIFLNIITELIAGYIWPGKPIANMMVKMYGYNTV KHGMDFAQDLKLGQYMKIPPRTLFFAQIYSTVLAAAVQTGVLRWMIGHIEDLCSPTNK NRFTCAGAKVVYNASIIWGTIGPQRMFQSGQTYNALMYFFLIGPVVTVLVWLLYRRYP NSWIKYINVPIFFNAAGNIPPATTTQYSLWFIFGFLFNYLIRKRAFNWWKRYNYLTQA AMDTGTALATIIIFFALSYNNITFDWWGNTVGSNTMDTKGTPWLSVPTGTHFGPGPGE F L199_002807 MSAETSPHLPPHLPPPGYNQHSNPLTSTPSHMSHPPTLHDSPYG PGDTSITSIKTDESSVNDSPAPVASSKGKNGATGPAEGKTKPHVCPICNRGFTTGGHL QRHHRIHTGVKAFKCPFPGCETKTSRQDNLQQHYRTHLSPTLRRGSGSAARAAVNAAM EAAGLKSSSRASRKSKGSAGGTPSSTASGGHLPSPYATPTSQGPAPYAPYMYDPQHGY PAYPIPPPGVSLAQSQSAASSRVPSPVNGHSSGHSSVGSMPPAHQQPFFSQPYTSPYT AYPGVHQQPYRYGPAGGIPSPYGAHPHHGLYSPGLASEHGQHMYSPMQSNFQTHSRES SYGVLTPGYGGNPMANGYPPRTQTSTPLSQTHDDYGRRPPSPGSMNGSRRRSPPHDML GQGVVDPSSMSTRMGGPPGIAAYGGGGGYGHHHNGMPPYGYGQPISQPHSRNPSGHRA SVSSLSEDGSGNGGSEGSKGE L199_002808 MSVKVDVGKADQSRTDGNTSFKKGRWSEAIGHYTNAIIYNPTNP VNYSNRAQAFLKIDKYQDAERDCTTCLSLEQSNIKALYRRGLARKGLGKVDEAIEDLQ QILKIDKTNETVKSELEELLDTQRNNEIKKSKPRRPITPPPVNPTTSISTEASIEEIA DQTDSIDLSSSSSSKPKVDIPDTPKEENPPHTSAPSSFASLRQAREGKKKAFVNGTNV SPSSGSVNNKSSTSSIPTPTPSSKSVPHTDVQLNSSSQPQNLPTVTDPLPEERPSFPT DIDINSTSSGAGLIFLRHLSSSPTTTSVKHNWELISLYSPEVLSKILVNLLEPDNLGL ILIALEYGLELDEEQGEKERVKGLLDGLRKSKRWKMNYSMLSHQERTIGDKVWKECGG EGGLR L199_002809 MTMNYLSPPTEEFLASKGRVMTLGMFIIDQYALRDQDGNETMTE EESIGGGGVFAMISSRMFLPPSQCGLIVDKGDDFPSRFTDILKGYGREMIWYRKRKGK TTRALNIYSGGKIGQGHQSFKYLSPQLNLLPHDLILPASPFSTPNLPEYIHVVCNTAR AKLIVDEMRDIRENGIDGIGRGWNAKLIWEPMPSSCVPSELDNIISLSRSFTMISPNL LELQSILGISPTSSSSTMKDAEEAVQKFHQLLSQGMTDRSVVNVPAIIVRCGELGSYT FSDHWKGWVPAYYGEADQDKVVDPTGGGNSFLGGLLAGLLISQGDMKIASIYAATAAS FTIEQRGLPTLTVHEDGERWNDEDVWGRLRELTIRVDKMN L199_002810 MSTKPTGGKGGKSKTSSETKTLTTRSSKAGLQFPVGRIHRFLRN KNANNVRIGAKAAVYVAAIMEYLTAEVLELAGNAAKDLRVKRITPRHLQLAIRGDEEL DLLIRATIAGGGVLPHIHKSLVAKQGVSKKLKPTPAA L199_002811 MSSPPLVEWDSSLFSDLQHLLGRVITQPTTSTLRKLYGKLEEAH PWLLNLTQLPGQNDADKQHIEKNPIQLTSGTSVHITGDLLTTTNTISNALSLSQLLSA VLASQAEEQRFQYPSRSTPEVAVYLLHRWETDMLDFLRELLRTVLSPDVEIRGAFESL RDWVLELLNTRVSLGQGKGEGALVDQIVVQLDQIQSKIDNLLRSGSAGGADYDLLKFR VEALRAEQNKMSSILAIIAESGHLGRGQVVRILKWLKKCERADGLALIVVSSLFAAWK PLEAIDASDPRYDVAEDWCHDIKFLKIVSSLTIQDQWLIPKLRETVKIAWSLFYLSCL RHDPSVVQTGIDAHQMELFLLEAVNGESFQFTYDHVLAIRRERGLEEPDEVKEANLDA LVKVAIASDSSNDTFLFEQLRDLVDLLAGRKQFLRTLRNKEEDAAVRRSQSTPPPANY QGFLALVAIIYKSLPPDSAEDLWDNSTFLGTVLDTRGGFPGPAFWEMLAAISTGPSCS AKSYEKMKDTRLPWTALFKFYQHYIDIMPHIFEPIKTTRNTSLDPMPHDEAQICNGWT KVLTTVVRWSPAARGALLQAKPHPVQTLFDFLNCENLPFELKATILGAVTAFCKRTGD PIDDDVLSKAVEYYEKITFRDPGLDTRHLDGAKIPPPVGWLAKMEYSEQDASSYPLSR AYIDFLTSLLPDPATSDLPTPSRGRLVNTLRRGTFYIIDGILLTLKIRRYARDSERWE VIDSLSAFFEKALLSFNMGELLNPANSRAIGQIASTLAEEPGFVILLRLLSDANVFAV FASVLDNASATPSPRPTIVNDVLLRMLRIYHRVHDIQLVFVDVLLLTLADPTRNPSNP FKRPYGLQSLDNHLLAHLSNINAIALLVGDDDSAISYLSVKILAALAQSPVFSRSDVF RGEYTSSVNRLAGIIDASDDSIRIAQGFCRRLEAEEADIEDISAVENIALHGDIKSLS SLPVVIRSNILDILVEGTTADITSPNIAHFLLGYNFRHRDFGLQEGESCLHVILRQLC EGAELSGPAGETMINIHPILAAKSAQLIYQLFAHPLTGRSTLSYTMSVTGFSARQLAS FPRQCPEASQPTGTAKTFDEETPTTSETLIAYIEFQRWILSAAALETFTYEGHGASAN HIAQTLFRGTTEEEDVDEDELVSQSPALIIDLLSSIDIQWSESNEEENRNLEFYGGFD FDQYKRVDADWWDLEALEKGLKAFRRQLERQGAVTSASTKSMAAEAEYVIRRLASKNR ETDISIAKGNFLTSWNEILKVSLAMLFKNVAEEQQEVVLFDLIDALLLRLEGDVAPGV LDLLCESVLVTMTTLIDILVEFEGVNLPIDRLSATLARIIDAAVRPGSTETARGNLYA SITQYLQLVNNSSYNVPDDRSVVSNETLSGGLPTSTLQRPTLTVFAARKERLLPILCR DAMDDREVWKTECFALLNGIISICSNERDRHIISPLSSNGYLPLFVRSIKERELSLQE CLSPEHENLHAYWVFEAKIAFLVAFASTRKGAEELLDAGLFEIFATCGFINIQIGEEV MDEAAATEIIARQHRVLICSLQLLTRVLSSLHRSARSGAGHALSFLNAHRESILGLLR ETQQNMTSTSIEECRLIVSILCMVVHKVPSENILSPTGFGAFHLATLATAASFFDRDS WIDELDDDNSQLENEVLLLNQVFLSYLVAATSGMKSGNGNPVLVTGAQRSNGASSKYI ASAPSLHMAVNFLSDLAENVQEISNQYETILDRIQDGGELEDEDVQKLRTPDMIGDEP LTEDIIKAAFVTKSQTIFNMIESLLLLIWRHLLFYANDVRGALEPVRPNNLSASLGSF VSSQAQLEASRSGAGTMRMLQRVAASLKGTLVRLEDMEVNLELRMLASTTSSRGNDAY YGMLVRRLKELTAGLIGSGSSMEED L199_002812 MSGNPQYHITHRMVPLICQALIAASDDETVWLEGLRMLSNPKLK QALTLTSSIWLPLLLRGLEVSKPLPVIVSALKLLDHVSEILEPMKSCLVKPLRALGKE KQYEELEELIWVIEKLDLTVWNSEKSLIGRPRNLRTAPNSQANTPLPAGSSVQPVQKE QHPLLAEILAHNLPPKSRTVQQAWSQTLLSSAHDNPSIWLHNLYQATLESSNVPELVI ASKLGPLIHEELFQTAFMKCYVQLESDPAFKNVVDSTLVSILNDISVSQEITIVLLEL LAFFHKDKREFAPSVHEAAKACALNHFNGALNQAVPGVVLWYVEQNAENFPVQENIGN LVETNIRVGSAGYDAAWSTLLWLENDWQVEPEPLWITQLSHWQQALDAQNKLDQGQEG TSFSSFNTKMICYHALGAYQQGYELAQTLFEGLNDTERRNTAHWATAAAWHMGDFETM ADYLAFHPKGTSKSLYKAIIDVHNGQYASAFHHISKAQSLSYDEVQVQLDVGPQVAHR SLAKTELLVELQEVIQYKSQPEMRNNIISTWKTRFKKSHADPNTWLKRLELWTLAVPA TTMQLQSCFIDCAKLCESAGMHQAAQRMLRKITPEVTPLGCKVEYTRFRFQWKDAYQK QDQKRMYEILHKLIRHTRDFLQHIGVDQEELERQGLGLQPLSIMANHTTLDHQILSRR YYRMAEWTAALQGASWASDEQSQVLNFTSLASKLDNDWYAACFSLAERSLSIFEMNEF SRSDSVAVSSYIVPALRGLFLAARTKENPEFVIKALLRLVTLWFRFGESTAVLVEVEN QLSLTPVEPWLSAIPQLIARLGTPHKDLQYTLINLLKSISSQYPHAVIWPLLTATQTS KIEHQEAARVIMSFICTMPDGIRLVDQAELVGKELIRTSASLMERWRSIVEKIIPRQD LMDTAWHEVPTLWEHDIQYLRAVETPDEEQFVQMFGDQLLQIDKSLKRYKSTRQMSLV NFAYQELYKLYGDLDSQISQWKQPGSKLHLASTAPRLLSLRDCVLTVPGQYDPHLKLD DQAFIDSFAPIVDILSSKQLPGKLVIRSYTADYTFLLKGNEDLRGDERIMQLFNLINT MLNHNSDAFSRNLHLLPYEVIPLSPSAGLVSWVSNTQQLQSMIQINRAKNKQNDLNDR ETASLLGHDLETFNPRRENPRFDPPAEMDRYDKLPISIKIQRLKAALSHSKQSDLKDV LWQRSPSSDIWVRRRTNFARTVGVSSFVGYIIGLGDRHGSNILIDQLTWGALHIDFGD LFNVAQERSFLPEKVPFRLTRMMTNAFELASRGGLEVPGTRGTFKQASLIVMSVLRDS RSTVLAMLEAFLYDPLLSWTIGPNDPSHADHNTQSSSEKETKTNTKRPHVQTHVVPQS LAPGIGGTGTSDIYDRIENSLIATYMETDSFMAKVFSSTGMTNSKALQVLSQIERKLI GYHKDADQPLTINRQVQALIEEATDLKNLSQGYVLGWIPQW L199_002813 MPKDKGKGKSKAVDSITENAPLLGSPSRTYHSTRTQPSSEDQII QPQQRRTSRLTSIVYTVSIVILSFLISFLLFLILLAGSYKPSPSELSTLPKTAFSYSP PDSISIVNVTDDGILLNISLRCGIDADEAFGVKAKVFATPEEKADAEERGERGLGSEW WENIRKWTAHTFLSKLDKPSVSVNIPSSILITSPQFKSSPLLSVHVLDELEVPLIWDI SPRPLPPGSQPDWLRPISFTALAKPLASTGELWEFVQRGWVEGAIRVTIEVNHVIARP SEIAWWTKYAKVEKEDLTMDITSPIPHIPHLPNPGRPLNLSELVTLQHYSFDTTQSPK ALTIQAIATVPNFAPDLNATLDFSLPFSIALPATRDGLVGESKMAEVITEPIIIGGTT KDILLNISGVITADLSERSSLMGSSPLSLFLQNYLHGRDNPILVQGLSNLPSFVPSTI VHPPSWLLHTLPSLSLSLNFPGPRPTPKIIRSVTIEHMRISESQGKMKASGTVVAEVE LPKDMQNVIVDVVEVLPDVYVFDGPSPTDEEDLPGDGEFPPKAFGHIRPTDYLNSTTT REENGRLIVRAPLNQVDLDILPGRDGVLSEFISKIVFKGGALAGVKGIASVGVEIMGV NGKVRLDNLPVRGEFWVGKQRLAVDIERQSREE L199_002814 MTDPDPDPTTGRQRQSTFKRNGHINYFLRCLRALPTAAQGSDSN RITAAFFCISGLDLLGALEDKTTREQRDGWIEWIWSLQAPTGGFRGSTFMTTPNEKTS PAHIPSTYTALMSLAILRAPLNRLDIPGLIQFLRSCQAKDGSFSPVPGDDIYPNEGFQ SDVRISYCASVISDIIGDFAGINIDLSKSFIGKCKTWEGAYASRPGVVEAQGGTTYCS LATLSILNRHTQTQPECQISPQETLRWLISRQIGGFQGRPGKLEDVCYSFWCGGAISI LGHSNLINRDADRTFLLSAQFPLGGFGKEPEDYPDPFHSYLALAALSLHHSELNVKDD NDGLGLKALDVTWNVSLGTAQWLKDEISRVKGVSQS L199_002815 MSQQDPDPQITPTASPTPKQGVHSSSSLFSPITPLTSNQYVLSH PAASKSQSDLNTASTDTGYTITPTGTGRPSEDIPASAEGSGSRSGSGGSFNSDSILEV LDNDDEDYDEEEVMTFLNNNGHSTNDEETGTEDFEFDVSDPLVNGSGSGSSSRNKRKV RRTRRGRWNEGEEKEEKGLLELIPPLILAHPLPLLPLLALLPYNFLPAGVVFFVPVFC VLALLSACAHVVIVYLAWYLKVSSFEEVFAAVTAKYGKYGLWTGRGFVGCAVFGVVVS WIETLHPLLQPVIETYLPKNAVFQSRVFWTIIVSSALLPSLLPSRMTRSLRRSPIVIA LLLPVVAFLVIGRTVEIKKASELPQPIGDGDGDGSENTGEVITEVLGHLLSKRKFGLA GGSSAGAGLTTLTIFFSPHINTLPIHASLARSKSTSFPIPCLLASSLILILCLPLALV PYYLLPPLDQSSPTPISSPTTPSGVFARLPADDGWVNISRILMCVVILGSTNMWILRG RDTILSSMGVDQGERLKAGKWVGIAIWGVVVLVASISGWIAEKIELLGVLSVLAVGWF LPSLFFIITFHVRSPLSIIFPSRNTPTSEHDQTNNPSTPSRRLPSTMNGHGHGHNRTN SLNDPSTDILLARKEKQLQKRRLGRRLWQDLLVYIGILPTGVVCLIWTFGSFLGIW L199_002816 MSDAGSETASNPPVEVEGAEVEVADKSGPLSVEDALQQVIKTAL VHDGLARGLRECAKALDKREAHLCVLVETVTEAEYLKLIEALCAEHSIQLIKVSDAKV LGQWAGLAKIDREGKPRKVVGCSCVVITNYGQDSPALQVLLDCESITSSHT L199_002817 MIPKALILAFIIRVLLTLSFPQTYFQPDEFYQALEPAHNYVFGY GYLTWEWRDLPTPLTGSWWDMYVVAGRMRGWIWPGVFVGVYRVLQITGLDKTEWIVIA PRLIGILVAALTDYHTYKLASKLLGPGASSSALFLSLTSLFNAHLLPRSLSTSPETLL TTMALCYFPLPSLIPSKPSSDNLKPAASEKDKKQIRPIQNQAKLDYIAMDRDVPSLYP VICTNNLPLSIVLATTALCIRPTTISLWMFLGIDLVIRTLRSSGIMASLRVIAIAAIS FTATFAASTYIDYHFTGRLYFPALTFIHHNIIRNISSFYGSTNHLYHLTQSIPIMLFP IWIWWIQGFLASLLPSSILPARLKHLDTPEPLRLMARVITFSIGILSLSPHSEWRFLH PLLPTLLIFAIPSLSASYRPTVFGIYRLSDSIRQYTRLTRIPFYLILLAPIVPFLYLN LFHGKAQVEVMNVLRRGELGEVGSLVALTPCHSIPWQSHLHLKDMEGWFLTCEPPIGV NSETHRTQQSFFYQSPVSYLQEVFPYPPAQLHEIANLTASPAKPTHLVLFDEALSRIE TTKGGTSSVSDELVNLGYERVWYGWNGLNLLQDEEERKGGLTVWRSVT L199_002818 MSLSYLQQESTPLLPDSIWALKWTSTNKLLSGSADGHLRIWDPT ESELSTKPIYDLTSNPLAISSISVTEDGKYALSTSLDGTVVLVDIENGEVAGKVETGR EVVGEGEKELPAFTSAIHPQNKCWAWSGRSSKLAIRSIDQLSPQEGEGVNGNTTRGGL GGNGKIVDTGKGKFGMDLQFSPDGQSLALSTEQGQVIVLDVETKSIVATYTSHNKAVR TITWSPDSQWLYSGSDDHLIVLYDVRAGSTSGSGGKGEGAVAMMQGHQSWVLKVDASP DGKLLGSGGADSMIKLWDVGQRSCVSTSTGTSEIWGFAWQPAASDTFAAGKQFAVAGD DKAITLFRAAGSV L199_002819 MPGQQGMHNFSTILKRLEAVTSRLEDVAVSSSSPAPTSSLRSPT AAAHENLAPTSSAGAGGAPPPPPPPPPPPAEEAITPAVKAYQDEIIDGALQEFIEKSN ELGGLVQQHSSLLPALCDAQLQFLKLASNHSKPSTPTALGPLLEPQGKAIQAILETKD KLSRSKEGRDWNVCFNVLGEGVPAWGWVQFEPAPAPFVAEMKNAAQFWSDRVIKQYKD TNPTAVAWAKAFAQLLTSLQAYVKQWHTTGVAWNPKGSPAPSSIPSASSSSSSAPPPP PPPPAASKASAPAGGAGGTAALLADLNKGGAVTAGLKKVDASQMTHKNPDLRSSSVVP DNARKAPPLKPKPGAAPAKKPAKLELEDGNKWMIEYQEDNKNIVIDQTELHQTVHIFN CKNSVVKISGKINAVTMVGCKKTAVVLDSAVSSLSITSSPSFEVQITGQIPTIQVDTT DSGQIYLSKECMNTVEIITSKTSSLNISVPTGQDGDFEEKPVPEQMKSKIVGGKLVTE IIEHAG L199_002820 MSISLPTPNIAHLTEEDYEHVYEPAEDSFILLDALELDAQLIRD DQPSLCVEIGSGSGIASTFLSQLVGPSSSLVISTDINRYACEVTLGTAQANEISLNPI LCHLLDPLLHRLKNNIDILVFNPPYVPTGMTELMDTQNQRDIGGAWAGGQDGMIITDV ILDQLPELLSPIGKMYLVTVIQNKPLEIMRKMESNGLVCKEIIKRRAGRELLSVLRIS RT L199_002821 MGVTHRDLKPENILLTRETSDAPVQVKIADFGLAKMVHAETMLT SMVGTPQYLAPEVVMQTKQQPGYENVVDSWSIGIIVYSMMTKALPFDEDGDAPIEKRI KDRFTQPADTELLVRLGISDLAIDFISGLLAKDPRQRLTMRQALDHEWLSGPSSHISE SQVPASQALGGDSVWSIESFDDQFPLDQNPNQDGYSSDPLNGNDANVDGSTEDIGRWS RPMTASGTNYESLGEYGSEESFSQPMGNLHLNTPLIERRLPPTNIKDQLPSSPPLSSS SNLLVMNVDLQQQQQQQQEEKEVDMDGGLPTPITPKLSNGNDEDISMNGNGNGNGNGT AMLITKRKNPDEIQGFSSGSLSPPPMKSEGDRQVEVEEEERGEKEKRKKEKAKSTSPG TLPTRQSTRTTRPRKSMRLA L199_002822 MSQSLPQSQRYDDYTFEPTQLSQPYFSQTQNSTFANSQVEPRRK YWAIFIPTQSERDILKIPWSKPSIQLGRGPRVLSKNDVILPEKRISNIHCKITLGIQG SNGSGSSIPTIQSWKDGEAEPEVWIEDLKSSNGTFINGTRVTNRRMLIHGDEISLGHS GTLDNHDVRYIFRSVGGKGAKMGQGSSKHDMVGAVYERYQVLDRLGKGTFAEVRKAVD VETGALRAIKQIIKHRFAGNDKTLELFHREIEITKSLEHENICRLLDYYEDPQHICLV LEYIDGGDLLDYIMNWPNETGGGLPEHHAAELSVQICRAMAYTVSVLP L199_002823 MSSSSSPARAQGTSTSPVNTTIDTTDPTPRPPSPAETIHAAEPS STTPTADVAPTRTVQQALQQSINRNNFEEEVGQVMGTLNSWWGGVKKQSASALTTLKA DLDKTVSQAQADLEYLRTANIEVVRKDPAEYAAEQEAEKAKKAAAKAAREEEAKSREK GKGKEKEKDPSESEENTASATANNLFNKLGINTTQLQQTLQSTLNAAKNNPNLKDLSN PEQLRQKLAENLKISSAKENLQLSIHQAEKLAEEYLKKSEVFLKDAEKWVEDNVKVLP PDSQQSEGGNDVNEHMVWDGSDFYSFSTSSPATKTTFDNTTGATGKPKSKSISSLALA TSRKDALLRRLREDKELLMVDPQGDDESEERKKEFADWVKVHYEKQKKDLREQEEGNV GGIRMELVPEHLTDEQFWQRYLFHKHMIEGEEKKRKALLQATTQEEETDDFNWDDEPE ESPITASASASVPATTNDTAEVTPKIENDGKIPSSLPKSITSTSTSPRDSEESYDVVS DQGNVAGKKPAVQPATTSTTAPVNEDDEDSDWE L199_002824 MSFAKISLVFSLSFFLGVSAWGGDYRGALYTLSQTDVQAILIST LHQNGTAEYVTAVQTGGKGAGARGTDALQSSDSLLVHDNLLFAVNPASDELSLFSINP AEPWDIQQVGNNSWSGGNYPTSIAVSPDGNKACVTNAGSDSNVRCFDITRNGLELISS FNFDLGLNQTNPPVGPPKTPSDLAFTADGQILLVTVKGSGNATEGPAGRIELFDVSSD SISHKSTLSPATGPGGLPFSITPIANSGAYLVTDPAVGADLIRIERDGSVSYNASITI PGQAATCWSAYSSVTDQYITIDLAAAKITPLSINSTTLEPTLLPGVNITSISGNPGSL VDARIAHFSDQQDYLYSLVAGDQTVRIFSLSAGHQAGLSDSIQTYELGAAFSSTGGAV GTSIEGLAVFLRPSWY L199_002825 MSDKTVLITGTNRGIGLALAELYIAKGYTVISAVRSPEKQAALS PPAGSNARHLIVKLDVADAESVKLAFDEMKNKFKIDRLDVVINNAAIGVTLKNAFLVR DADPARYAEAFNINLLGTLHLFTAAYPLLPQDGRGKFIAISTLAAVQSMEHWPMGGAY SLSKNAVNYLTRQIHFEEKNLITFTVSPGWVDTDMGYEGATAFGAADGPPEKVSVTAP QIVNVIENGTREREGGRMIDYDGTIFDW L199_002826 MTKSVLIFGASGLMMGHLLPHIQQRHGSDFTWTAYVRPGSKGIA FLKSINVNIIEGSWDDKETISNLVKKYDIVWDSGDSHDPSLPNIIVPALLNGQRTTTL VRFSGTGNWITPTGGNDDESARLYDDSNEGDIRSIHSEMFNGAGDLLALEALDKGVNV WILCTGGVYGQRPAGVSSAGVFVRLYTNNALQLGYTPYIGNGGGHMQLLHIQDLISAF DLFWAQVLSSIDSNGPVPKDVSERYLFAPGYSVEWKPIAASWSQVFKDNFQLDLPVKN VPVEEAGFVSPLIVSEMKAKPTRLEKLGWKLAGPSIEEELPLILPSGSQLPLA L199_002827 MTTFEPTEFLEWFQKAGGWYNDKYLGLKPFEGMGYGALALDCIL EDTPLFHIPDSLILSPFTSELSTKLSENEWERLDHGWCKLILVMMWESERGKESPWSG YLSNMPRVFDTPMLWDDEERKELVGTDIEDRIGKEEAEKEYTEHLLPVIKAHPELFPP SSTHHTLDSFHLQGSRILSRSFTVPSSRFNPKDKESDGESDFSDDDDDEDQIAVMIPF ADMLNAAFERDNAHLFADEEINNENENEHDKGKEKGKGFTMKSTKVIEKDEQIYNTYA SPPNSELLRKYGHVDILPLPFDLLALLTEEEIGGWGYGNPADEVLIHGEMVLKCVEKV TNKQQGKDLDKWKGKMTKRIDWWLEEGQEDMFPLSLSPEIDESLIAFIRLLIYDQEWL RAKKKGKLPTTTIDNDVAAIIREAIQNRLSRHIGDTKIQSDLNIIQSLEIDHIPRPNA STTQADGIKAEAKGEQVTAKNLRKCYAAIVRLGEKRILNVALRIVEGQLNKKRKAED L199_002828 MGKRSILSSLWRNDDTFSDETGAYSRARSDETTTSSIDTSSSSS SSMAKLRSQPLDTSSILYPTLTLHPSPIQHDLLVLSLSHVSNAPPTLSNDELFNVLLK RLEPWVGEEGEGGYVLVVLADEDNAGKDKEKRKLPGIGWWVWRWKRLPRKYRKNLKRL YIVHPSLFTRTLLPFIIPFVSPKSYSKLHPLPSLLSLYHTHKVPLKGIDISVSVLEAE ARILKERPDIIPPAPSPMGRHVGADDVPKLQRIDSESSIASWGYQTISSAMETAASYL PIPHFGIGSYDNQQNLMSSGNEGYWGRDLDDLIGAGEGNSSGGVPPLLVDLGKVILQE CITTEGVFRRSSNPHLLPTLIAILDLPISQQPNLLWTELAKGEPLLPPKILSRFLGEL KDPILKNNVYDLIRRTRTIEDIKTKFIPCLSPSISLLLNYLIDLLHHLSRHSETTRMT SLNLAIVIAPVLINGPDPIEDTILCLEPSKPIPPGLRKMAEINGVNLTPEEGADEGQK DNTLVGMLDMWIKDYPSIS L199_002829 MSVLPKSLPSLARLASTSAAGKHKVVVIGGGAGGLAAANQIYNA FQAQGKTLGDGDVAIIDAAKNHDYQPGWTIVGSGLASKETYRRSLDSLIPQQFAHIPQ NASGFEPGANQVVLADGSKVSYDYLVVAAGIQINWDNIKGLKQAIADPSKSKVSTIYS YETADKTWDLVRKHKGEGEAIFTQPFGVIKCAGAPQKMAYMADSFWKSQAETSNNHST FITGMPTMFAVPHYSKALDAIRQKKGIDALFNTNLVEIRPDEKVAVFEVVAGEDKGKK IEKEYGLLHAVPQMGPLDWIKKSPLADSVGWVDVDQGTLQHKKYENVFALGDSSSLPT SKTAAAITGQTPVLTHNLVTLMETGKVGDAIYDGYTSCPLFTGRGELLLAEFKYGAQR KESFGRFTDQAVPNRLFYHLTKDLMPRAYFSRMLKGNWYGPRGIFPPQFLPS L199_002830 MLLYLVRHGQTEQNQRGIVQGRLDTPLSDLGREQAKKLSDWLKL VPFTEIWSSPLKRAEETARIICSQQPKAKLRIDERLETRGAGDAQGKPWDEVKDIFES LNPESEAALSARLHDWLSVLLSTHTPSASGAATPVSPLTPSSNNGPVLERALSSIKGL ARPGIPRIGSVQTNTLSWGVVLVVTHQECLTCLLDMLTCPAPSTTNDEMMKKSPIDLH VPEHVKFNSADPDRQVGNTSVAIIRVWWEDDATEGNELVPRGRLEAWGSEEHLQVDE L199_002831 MSETFSNVTLDDSSPAIVYIGDWDGEIHQGDPLVSEYSNMTFHA SNKLGDSASFHWTGGQVWLFGAFRANHGWFSITLDGMEKQYFDGQKDPDAFQQVMFQS GDLEVGEHELVLLNEADHENKDPALSWVDLDYIIVQADQAQFDPSSSSFSTVNMITTG TPRVQAVMPPTGTPKPVYISSAPGEPTLGLTGIFGLLVPLLCYIVR L199_002832 MVTDENGRRLITGYVPKLGLTCAGIVCYGLLAIAFWARFFAHGR QKYMLTLCIGTTTMAVGFGIRIIMTNKPDQLGVYIATTLFTLLSPCAFLANDYIILPR LARWLDAEDQLFLRASRVTKTFVWSDVITFWLQAAGGGLSASQSEGMQKTGLYVALVG LIVQCVSFMLFLCLAVVFGYKIRRTPKWTNTPQNSQGFAVHLRRLEDWRMILYAVLWT GVGIMIRCIFRVIEYAEGFDGKLRNTEWAFYVFDALPLFLAIAVWLFIWPPAIMRDQN AYFTTVQTTSPSQYPLTVRETSQWNNQEGKQIYGQYA L199_002833 MRTSTFLLALGLFGSAFSAPIAEPNVAPVLFAKDPLAIHKRSEV VPEKIDDIIMTPEFQAEVESSTSAHEASSSSSSSSSSSSSSPPSDDSCTEICGMQRVE GAKSEREALCSSEGLLATLQCAQCIDQTWPDTSYEDSAMAEYERIVSACDASPQQPFR VRKYE L199_002834 MSSPPPNHSQDDQSIPSIKPVTRPTPNTTPQNSSESVPSSNSNR STRPQSVTMPARPVYATGQFSTERQSQKPVSPSQRVASSSGPGQKGKATTPTHEGHAP RYSPSISPSVGSAGPLSPSSTYGNNSFVFPMRSVFQGLNSSEQPLYGESSGGTSLNRA QSRSSDARGPISRHAGQDDRRFSTSSITADNDAGIQTIAQMLQEQDDNDNQKPKDRGK GSATFNVKPNNTTPGKGVTTPPTPNTTTNPQSDLSIPYKDDSAPSSRRASAEDGFSKA LSTERPSLATGGSSGTVKHTSDTGTDTPVNPSAEASGSGLPQKDRTPVGQPQANPVSV NYRDFPAEQGNAGETTNFSSAGYGESSSQSTQGNQTELQQPKPRHVISNALDDLVEKK RTSTRSGSRTSLEVDDSGIRSLINDFSGIVRLGEAGSFTSTGGTGTGGEGNGTRGGSG TGTKSSHGHQAGDSGSYGKGGPSGPTTAARLAQQQRQQGHATTTRESVRDFINQQART VNMADPNAPTPSPVPEEQEEVSDMSKLAQGDGLGINTGPTPAEAVQWAQDSEENSAPA SSERALASGEDEKDGLTASTSEDGSEPIVTFRFEHVSDNDGHHVVVGREGKLRRCEDE PITTPGAVQGFGVLMVLEEDYDTGVLTVRQVSENATELLGLSPKYLFKLDCFTRILTI DQEDVLRDNLEYLPIAEGGKGSVEEEGPSVFLLSGYGEPGSDDIEEEEESVTSAGSFN GRRREWTCWVAAHRPKSKAANKVDEKGVEIPAPDLIVLEFELERDDYNPLIQPFEPPN LSSTNGSDTPESGSFTGASAGDQSSNGTGSGGASAGSSLTIGSTTRVGDHRGSDGSTV MTAGNSSTPNTSQMASGSHPSSMLPPGAKPMGLDGLDFEVPIEKIIESTTNHAKPLRA LERMRRTGQHGGSSTDSGSGSRGARGARRPRRGPPAGTTGTMDVFAVLGQINDQLGSA PDLETFLKITVGVIQDLCRFHRVLIYQFDESMNGQVVSELVEWGKTTDLYKGLMFPAA DIPAQARELYKINKVRLLYDRSQTTARMVLRSKEDLDTPLDMTHCYLRAMSPIHIKYL ANMHVRSSMSVSIMAFGQLWGLIACHSYGHHGMRVSFPVRQMMRILSDSISRNIERLS YAQRLHTRKLISTIPTQSHPTGYIVSNADDLLQIFDAESGLLVIGDGCKLLGQNEQGQ AMLAIAEYLRVMKFDACKASNHIQRDFPDLVLPRAQDTIAGLLYVPLTAKAGQDFIVF LRKGQAREVQWAGKPYKDEKAGDSASLEPRKSFKTWSEIVTGRSRAWTDDQLESAGVL ALIYGKFIQVWREKQSAMASNQLTAILLSNTSHAVRTPLSQIINTLELALSGNIDSDV RSMLENSHQASRALLFHVHDLLDLTRIETGNEQSFNDPFDIRQSLTDAVRLYQTESSR RGLDFRVIMAENLPQYVIGDSRKIKTVISNLVANSVKFTEKGFIEVYCGLQQNTSSDG GRSQELVKNGQVPIEIVISDSGCGIATDKLEAMFVTLEGADELRGSSNGLGLGLAVVA RIVEQLDGQLRAESEVGVGSRFFFTLPMLVHHGRPSSSKDSKSSNKKAIKSRAGSSGS GSVASLRSGLSEVDSFVNDFGNSHMLHAPVAPDDQRIKDAEYRMSRPGTFPVTDSSWP VKPSRVDADADQSVHSESPPVGRSPEISHTPKLESRRISYRSSPKASIDHPKFTSQND VRRESAFSTTPNITAPTGKSPDLSKSTRAKKTPDGKTKLRVMVVEDDMINSQILQKRL KMDKHVVRAVTNGQEAVTALQDDWDYDVVLMDIQMPIMDGRQAAREIRKLEAKLAPQT DIDPLRVDGRIPIFAVSASLYESDRGNLAEHFDGWLLKPLDFARVRVLLAALEDPVKR SAEVYEQGQWEKGGYLRDAPSPSPSPGV L199_002835 MPRDITPPPTNRAPKRTRVYRACQYCVSAKTRCEDVRIDGCFIC RRKGKACSLAGLNSSAYSSGSHDGNANDGRTGLNEIDKTTNGNGHGSSGLLEKIERQE ERYKQLEARFNQLEKRFISDHSRQNHNAQPSKPISILNGHGHGHEDYHLDEHLPLPSK YLTKTSHPLLPQSWHGLIPVFDENLLNATDPNSLPDVVGSGLIDKSQLEMGFQLFKHQ FSSIYPFHTFLLTSTSVPSQSFINLVILYHISTDTDTDTNSNSIIPIDTSILIGLIEK SLMAILSGSTSAETIFALFILSFAPVKKNLSSRQHLSSLRMISLAYNLGKSSGWDQKI RLILRENNRNNLFENWSTKQLDLVLLWTAIINRYNILHIISTPYGHPIESPAPLIPSH HPSASESIRLTVSHLQQESDLVDRVREFLQTLYEVECEVEMEPDSLTRCMDQVTDCRK RIQEFRDGLQSRKDLIEYDSRLIEFSLIMRLSILNHNLPSPFSPDLKLRGLSMIDTNY LPTSSALIDILLSQPDGISNGLPVAIGGLPSYVIHSTCLSYICLRRALFYIYSRRNLN QNEQVQYKALIDLLARSQDVLVSLGGSPALLVDYTNQQLGQLGDLGSFLNDNSTTTEN INTTNATYSHDKSVVSTNDHNHNHNHNQHILVDNSHNTLDMTHTQANIPIDWSNWDWS NLLVDPFSFDIGVGPVE L199_002836 MTNTSRYLLLLSLAISSVLSQESSSSDSLLTIQTSPPTPISSSS APQSSGSNGISGSTSIAETTSAASPSSSSSSSSSTSSFPGNCSGECQDISSALASCGA GDSLNTTCLCTPLVEANYVTCLQCGLSLNPSEDERVVYQGILDSYINQCASAPSSPIS LPNVTISLPSSASSSTSSGSTSGTGSLSDSGSISTSISSSISVSDTLTYPSSSISRGP ITSTITASASSTPSSSSTTGSGNSGSSRKVDNGFMSIGLAGVVGVVIAAAAI L199_002837 MAAPSSPPPPNLDPPFRGYIETTFDALLVFEAARRGMIPRVTRR LIERERGMVQSGAVFVFDEHESGIKRWTDGLVWSPSRILGNFLVYRETDKRSPGTPTK TSSTSPPETSPSASTPLGRPGTATLGMSGFDSPTGMGHMQPSVMDAPSSSMHGMTEPP PLGQGALARPRSASEGGGAMDRQRERQLVGSLTNSYKFKEGGLVKKTMSVSVNGFNQH MVSYYSVQDVLAGKLRAPSTIPELSSLEISQEYLNKQNFRFPPMIERGPDGINRYRGE AEEPQSPTSPNSQFSFQSFPPSSSSGEYYDGGYSQMTSHAPRVGSPRNRSVTVPMPVP LPPHAQSMAGSYVGPNSHGSTFYESPTVGAMHYAPSLARQSSSSSIHSSASGAIRPTS SSRRYDPYGPGPGSATSPRLSGGMQYQPNLPHRRQSQPIPPENLYSQSNSASYDVKPN PYNYHPPSTAPSTFSAFYPPDGAPEPGHTHGGHPHHQHSSHIQSSVTSPTTTTFAHAP THGYAAWQPVPHQPAGVPPSSSGSDSTSRLLPTINTRTDFGNPPSSAGSGSTSGGSRM TSGQQPSPLQSSQTAGGADPWVHTHPNVNVNDNSSTNSNVWSDANQPGGHDGGGGPNQ SYIQPLHHQHDDWARSNAGAIV L199_002838 MGKWSQREYDDVLVAKVMDLFEGSVKRVERKMSGTSVMPDKRFR TFVQQLDPKDILIQRAFDSIVDNHFYSRRAEIPTRTTNRLSTATTSMGPTGLPIPTDR RLPIRTEPSLRRSTTSLSNLRRNFIGDELPNDIDFSSDQFSDISPPPISLSRARNPRP IPVPMTRSVNATNTTHDIGEPASPPNEASRHWLEEYTQHTDPQPRSGSPSRITRYPRT VIRSPIPQPESDSDATSVPDEFDTLLDRPQSPEMINMNRIIGRRRRRIEIDDTDSESE AFDLARHRNRSRRRLENVASAALREIEEARTGHAQSQPRAERERRLRTAGPSPHNDFR LSYVPPPISYSPTLPSSNLERENNDVDVPRVEHGDRENRRNGVVFDEIAMRTLFEDIP TPTIEHIDVPLTPAGNMGAARNEAAREGADDEERIRRELEDDLVQAHYRTELFGDIDR PLPAFDPFHDP L199_002839 MPKIRTQRTKPPPEGYEDIQDVLEDYEKKMRDAENDSHEGKRKV ESVWPVMRISHARSRYIYDLYYKRELISRELYDWLLKQGYADANLIAKWKKQGYEKLC CVRCIQTKDMNFQGSTCICRVPKAQLKKGTVVECPHCGCRGCASSD L199_002840 MSSPFRMTPLRNRSQTQSHIPYKPLSRFEPSTDLSIGWRGGEIQ PKWFLLVEYGKDFHMEGRDRAECDEDEEGGSLLVILQPTVHQQLIHLISQLQLPSPLG LSLHLTVPGSKHRPRLTDVIWPTFFSSYLLPSHSHHVEAVGNLPIVGTVSLRVDKRAA RWYEVWKKDPQDGMIGKWVNMNSHHSDRTKEREGSAGDSSVHHERGNSNQFTDSPYDL SIDSINILVNEDHTSESNAKEKGIAASYRIERRSHSSHNLDVTPPEEPKTITLPPLSV FSIPILPDSTPEISSRSSTPLSFHSIPYSPVHSLPDPEALATQPFLKELYQRVSITQR ARSDSDLAKRRGKEGLTIDTKKEIRPPWADLGSAMWSVGTSWGPPTTLEGWDLSPSHQ QRQRLQAQVEIEEKAVSDLPAKIDFTASPRTAPPIPDDASDPQHTARSGSQDDHGTWT FLPKGPVTPTPWKFGWPFHEVVALPSNISIEIHDPLSGSPAFSAKAQLNEDEDVNMGN NEVVRSREINLHQEIEFFESQTQVIHDHLEHLQVSPSPLHSSSLHTPAFELDSPFEIE IEEGIEFEETYPPLSTESVETPIEEIALSISSPDPNSALSEEFFAPLERCPRPSDQVY SQADTSYLRPRTGRQMVDPNSPFDLDIPVSPFDEDENEEGVNFSMSSLRRPSVLGFEG TQLDVIEEMSNSDISSSPVPFQDCMEQDIPSPTSLTTDHLLQYPYKAQHPADEDENSR SRSSFMGNIKPHFRSKWQKDRFRSRSSSVGERAPLFISYHDQTNQSAISLASPNTTTA GKEPKRPLRKLSQRLSGFGSCPEGGGREISHLAVAVSDQGNMMVSKLYDKSGVERHCQ YPNLVIYPPPRQRQRPIPTAVSIPIYQHTYPDMVIYKPTTQKCVKQGSISDRSMDHGL SHVPISLVGYLYPDIVLYPSPTLPSATAQSFSLESSQGLTVESIILTRYDYPNIVVYP PCALTSHEVLPTPLEESRKSSDEPVTLIRYSYPNIVLYPPSRKIQTIPLKVPPHGLTV EPPMLIPFSYPNIVKYPPITPINHSHPSPASPLQRVKPIPILLCQTAYPDLKIYPTVV PIRLVQYNYPNIVIYPCCNKGITKIKSELISETRYQATRFPSGSIDPNELLLGARRRY SLLKASLDSDRSPIGQRISTIEKFTHQRGSSSISSISSSSIVTPVSELPPFSLDDLNI HASRKISPIATPFTMESSSKATVPDYFPIIESSASHQSEEGVTKPIAQNTNSHVEPDA EKKKRSSTFISERMKAFSSPQAADQAPFSPPSGDRRSRSNTCDNLDKGHLTDSPFSPG MGKELEGGKKVGRLSRNLM L199_002841 MAYPNNPSSPTDLLSALPAKFEQARKSGQLYFFPSEARDVYSQG RRFNLRLCPALQDKQKAKSDALEAVKAENGKSGSPERKRARTEETVDKQNTEEPFKPP YVEELYVGCLEGLEGEEGMSILLISVDVQIQYSLLPEHILLCPPSYQPQSLPPTPPQL ALAYNILQAALRHPTRPRKLLGFYNGGEGAGASQKWRHLQFVEVPGGRAPVEEWCQSV RFSRPDQAILLPDVPYLHIIHPLPPHASVPYPPTAETNEQLVDLLAPATMKMFDLAFD ALRNGGADRDGGWNLLITLDHLHLIPRSLPSFPLPSPHQPLELNSLGYAGMMLVKSQE EQDTLTEATNDHGGLMHVLQKCGVPREWGEKAKEVEGIQQGSHELDLGL L199_002842 MTTRPIPIDFTAPDDGPSPSSGPSNWRKVRHAVKVASAVKDKDR LSGADTLAVSSTSSSASAAFARSLVLFTGFLFRRPSKLFRPSRVDTWAGLRQLAISSD QTLSPSFIRDLLRRKTGLIAITLTILPPLLVNTTMGFLLFTSHSFFSLSLAKLSFFQK PKEVGVDKARYHAPLNSNSDTSKEEGAGEEEEINLDTLLRGPSIIPNHPTLLSGIAGA GAGLVQGAAFTPVENVVRFLHQSTTSLTSMAAKFLSLPIRNVPKAFDASQPATPIQAI KNLFASETWRKSHSWWTGWRWAVARDALSYSCFFAAFDVTRRVGLRVKALFGGSIQSS WDNILVLDFPSSEQQSSSSQTITQQPVPTIARVAQATTIVTGGVLASILAELVGRPFR ACQRIMSVDSQMQLEAAKRYKAGVEPILIGGKGFGGKWEPIIKTYRQKGLRPFLQPDK PPVLSTQPIEPMVQRQSKMTRMAKRVGWRLAAVGPWGFGFLVWAWVGGEV L199_002843 MDSDTETPSNLSTEELLKLFNTIQTSVDATISSSAPLATKVKNN DDSLDFTNGLSLLLLRPQMLLSSLQNLIILLSLRLIDPSLPFPTSDEVQSTISLSTPF TAPRSHKDIAKSSVGSMLSELSGELMLGQEVMDKVRGMESKLEYQIKKLIGLAETSEK KVTQEDVEEDPLSFRPNPSAIISSREPTTASSSTKPSRYAAASDEEDGSSSQIYRPPR VAAVPYLETGGGRSERKERQRQAPALLSEFAASIDSAPILESTSGLSVRPVSNKATNS VSAKRAEELKRIQEFEESNMTRLVTSKKEAKRRRDDEAALAMGFGVGSGRGRRGRNGL EAELEGVLGDRGSKGVWDGVGKLGHRGDTLQRGKKRLNGGLGGEGKRPGESGRFERDM KRRKN L199_002844 MSRRQSHSQSHARSGGAQRRNAAELDRDARAEAGNLNGILSTQP PWSREAEQSRQRCREIHLLLIFSHPLSPYSQSLDNLWHQTSYLLISAYRNIISNIERS SSSSQPQQGRRDRRSDNNSNSNELRKVITRFKQFLSSEESFYKSLISRIYNYHELQDL SGIASSLLQVKIPLGNRFDDDAHDEVEGERGQEKKDKLNLIYKGLICLGDLERYKEQY NSNNGNQKSNRKSGSEEKYSEARKYYEVARSIQPDDGAAFNQLAVISTYTSDSFSTIY YYFRASAIRNAFKGIHGILYEYLGKATERWRVRRKEDKEEPSLESGNEVKKWREDMIV LVGILYLKAGFSFIPTLQPVLFDQMGSLLRSRQLSTENIVKTIIILIGLHHHARNTAG IEQDAKLIQRSHEGEFRSLELLLGISQIIMKIACEEIEEVKFNIINQSALSVENDNDN DNEQEGQSDDLTVYISAILRRILPSLRIISKWIKMDLEYLSRQNQAHPSSAILKDFWI TYKKLIDDLMGVFPISQLPSLPEPLEEDLDMKGFLPLQRGITSEKNESNGLDSQGDVH PNEEQLMRLADIQVDAKLIMQSAVGSALLGIARPLGLPVQVNSREESDIASVSTETED DPVNLAMRATLASESSVDGDEEKEVDNEEVIFWNKSSPPGISLPPTASAPAPPASSTK KPTAYDLLQNLMLESTPTPPAADFHLPPTTASPSLGIHSSPHAHTITSNTPRSGGFLF GSGGNAPGQGGNSIWAMTREESEKGQKRSSTGVGAGVGQPNIAAIWGEPNPDPSPTAK VSTEHTPPATSAYHSQHIQQAQVYNYPPQGGSASQPTGVQQPYYTHDQPQYPYQPTQI PPYQTQLNSTWGLSNVPLPLPLASSQRPQPNPVSASYPYDQTPSQNQHQNQSQQVPYY LQPAYYNAKPWETSGEQG L199_002845 MEKDKIEFRVIGQIDEEVEFAIVLDGLDWIGNGKEMRVIRAQRK SGGIFKSHTHHNKNPARLRNLDFAEKNGYIRGVVREVIHDAGRGAPLATVVFRDPYRY KLRKETFLAAEGISTGSFIYAGKKATLNVGNVLPISQCPEGTIVCNVEEKIGDRGALA RTSGNYATVIGHSETGVTRIRLPSGAKKTISSRCRATVGIIAGGGRIDKPFLKAGRKH HAMRAKRNSWPRTRGVAMNPVDHPHGGGNHQHIGHASTMARDAPSGQKAGLIAARRTG LLRGTAGKTVDTA L199_002846 MTGLQHTVSSVNLLSDEERGSNPQAESSKSALRQGQRQCQDQDM KEEGEAQESDNMVQGDIDESDPSSSSSSSVKTPQDSGAEGDQDDIVMDELKPDGGEMG EEATSGLGDESETETETANNGDVEVDDLDNASNQDGKRVKVYELQDTSWHDRGTGFCK GIYDDTQDIALIIVEKEEFPSDGEKAESSGGFLKEELLLSARVEKEDIYGKQQDTLIV WTEPATGLDMALSFQDPEGCEDIWQFICEVQRHLLSMTAEVESQQVPSSSSPITNSPM IGPGALAMQMSDSRVPWQTPTLANIREQEMFIRMQAKSPIGRERAVEHILNEDYIKHL ITVLEQAEDLESLEDLHALCSLMQTIILFNDNGVFEYILQDDVFMGVMGMLEYDPEFP GLKASYRQYFQETARFRQVVEIKDENIRNKIHQTYRLLYLKDVVLARVLDDPTFNILN GFVFFNQVDIINYIQQNDAFLNQLFSGFHDPPPPLPATSSSPSSSSKSPGPLDERKRD VVIFLHQLMIMGKGVQLPGRLALYRNLVERGLLFVCEWAFRRSEAQLLHAGAEILTLA VEHDANVVRLHVFKEDEVKRRTLVLEIINLLQSTKNLGLMSQMSDTLRTLLETPPENE AFAARTKEGPLSESFSTYFYDNCASVLYKPLMDAPDVKAELTKNKLFQLSREHIALLQ HLIELLSFSIANHAHRASYFVLANPVSKKVVQLLYVKDKPLRHACLRYIRACLKTPNH FMHRNYVKNDLFLPLLELLEEESIRDNMMSSACMDVLELIRRDNLKLIINHLFENYRD RLDKLSSRAFLKKYISGLRNRWEINNEPPPPVQQSTISSHEGESSKAQAEEDYFNASD DEEQPTSTAEVPSKRKRMFTHGGGPKKRPAGARKQMVVSGKDIRRPSPEPEPPTESDR NPASVVERPTSALGLDYDDGSDSDSSTGQKSPRNQPQPQLPQSLQGAKEEGEGEGLED VQMRMRAKRQREEEEEEGFAGLLVGAKSTSTSTSTKDEDEKEKGLVLGDSDNEDTKKI QTTTGTSVKDMGKKIRLNLGFGKKLGGGGGGNGK L199_002847 MSRSTQKRRSSSASYTDQPKKRRKSSPSSQKGISHMSQSQSSLR SAPQKYRGFLGSLYDTVASLFPSNTSQSAQEILKPDPNGSLAMLMSHNKPSSSQSSSS LINQIPNDDDSAADDAGSSAHPIDFSQLDDDDDAEVPSPSKGKRRSVSPNKTDTQSIP GPSRRKQNQNHLFPTEYNSSPNLFPVNFGNSQQESAQSLTTSYLPTPPDSQQQQHQQQ QQQQQQQQKSEHDPTDCLPKQLSSSTQNQLDRHVPTPPDSQVIDKTSQIVPTSFNFSS GKRLESSSALRKKPTRPDVTLDDTIHPRKGWEFRVPSPSSSSSGSTSSRSTTARKTPQ KKKYKSNLRNEKEIAIQRSLLSRLFAEIVSMSEKNGDKRSGAATRRVAKMLTGDLDGI GKASEYATKALSANGIPEIQAYKTMMSMLAPRQITLEDKTSPQISSFSPLSQSKIARK AKAEALGLKEVFGFERALKELKKITEEEEAKEREIKEKLKKPKVPPKLKPEQEAKVSE HLNNPAFKARVSTAECESKSIRRLKNGTWLDDEIMNFYGALMVERANQVGTLKVHAFN SFFYQRISETGYSAVKRWTKKVDIFSKDLVIFPVNIGNMHWTACAINLAKKRIEYFDS MGDYGDHRADIFKRIRGYLNDEHQDKKKKPFDFSGWTNEFNENTPQQDNGSDCGVFSC QTLEMITRGRDLKEQSFEFASENMPFFRRLMVWEIGSGKLEKREWGNPKL L199_002848 MTHSWQSNLNLLFLSLLFTFLVLGGVNVSAAELEDSSTPAKSSG GAGILGTVKHIYKVAVNGPDPNLLYEKDAEDGIERLTDDNYVEKVERPLAPSGDKKDD QVWVVLVHGKSTDAASNILLDYHKQASDLVKADPELENVKFARLDYLTSWKTCTRWLL KRPPYLVFITSSGKQLRFGPTQSLGNGPESLYKVIKEKMYEVVLPWDGRWSPNGDRSY LIEYYIQAHETISKYTNGIPNWMILAFTGIITQQLMSWLHGSSTPARAPAAPTSQRQV RREERVLVKEDKKN L199_002849 MSAPAPAYKSSLDGTTVNNGSSPVGTGKATQGEDDLHRYVTDDQ PRQPKMTAAAPKNSEGGLLTVQPLRKNEMQPSYAQDLGTASIQHGFYGSMMNGLGSCI GNIGMIPCCPLPNPFHNIGQGSVGLVSRFGQFYKSVDPGLVKVNVCTESVRVVDVKIQ LTSVPRQTVQTKDNVSVDVDSVICWHVISPYRAAFGINDVRTALVERAQTTLRQVVGG RVLQSVISDREGLACEVAEIIEATAEKWGVAIESILLKDINFSVELQQSLSSAATQKR IGESKVIAARAEVDAAKLMRQAADILASPAAMQIRQLEALQNMARSSGSKVVFVPMNL GGMGAAGMNGDVAHQIAASAHDEHHNAEAGPGPATNAGLISSMANV L199_002850 MSAPEHPHPPPPPATNPNPPPQPNPAPTPNPNPSSSKPPPVAKP KPVELTGFRSALSHTGIPHSVLTWKPKLPSRNWLIFWTITASISGAYYYDRRECKRIK EETIRKVESQGKEILQGGSLGLNRKVTVYGAKWPGDEDTDRALRYFRKYVKPYLVAAA IDYDLPTSPLHGSITRQIHAKILAQRRQALGLESPSPQLFLPGVLSPEEYHKRELEGG VVLVGRASLKEYMEGLKRGWMGRVDSWKWEDEIENKLKNDGVFDQPQPTEPQSTERDA PLENTPGVTNTPTAPTPKASTGLGFLSRPQPPSPLPTGQSPQSTYQIPERYHTPPSPL PPQPPILLLPFVNHIGFSQIPQMISSFFTERHRVKEGSEAALALINNHIRPFNPSSGD LDFDVASEEYYNKDSRKLPTKIEEARKDYYENLKVRIEEARKYENGEREMTEEEKKSG KVTRVDDLMEERKKKELRWMGNEEGWEIIRPESQVSWDDRWSNGNGDGWLKVFELPKS DSDEKSE L199_002851 MSNREDSVYLAKLAEQAERYEEMVENMKSVASSDQELTVEERNL LSVAYKNVIGARRASWRIVSSIEQKEESKGNEAQVSMIKAYREKIEAELAKICEDILE VLDKHLIPSAASGESKVFYHKMMGDYHRYLAEFATGDKRKDSADKSLEAYKAASDVAV TELPPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDTLSEESYKDST LIMQLLRDNLTLWTSDMNDPAEKEEKADEPKEEAAAPAAA L199_002852 MASNMVPQEELPVLESLITIRNRLTALKKDTTRFIRAPDVMPIY NSVVKQVTRLNTIRDEQSHNQSSLSNNAAASSSTSKPTIQEANRVDTVLADVFGLLSL FFLTIGKSRETPATYCQIASMRQILSHMNESGAYTEEILVPIRERLEALKNVIKQDSE DGKHPEPIVRLMLRKLEGVEHQLQDLFSSLSVLSVELVPIHQRLVHLRKQLSALAAEP KPNKAEYKAILEELRKIDSKRVDGKFLGPGGSFVPEGQALLSGLLESCFEITQDIKAR EAEEDVTPSLKPIYERLSEMKAQLDQLLLTHRWTLRETDLYNYALSLREIDAMRVEGK FVDADGNKAEGQYALLFLLRRCYGLLYRLMSESEPISEELMPVANKLSTIKKCLNEVL KYGGPYTPRDLYPYHLALHQIDSLRKDGKFYADDGSIPEGQAILVAQLSEAHELLEML KESMSDEEDEDDAEEI L199_002853 MSGRGGRGGSRGGGPNNSNTQSGERKKRESILNLAQYVDQSVRI KFMGGREVTGTLKGFDQLMNLVMDDVVEEYENNTMPPRNLGLVVLRGPNIVLISPTDG SAEIENPFT L199_002854 MSQVDLSFPLLSLLSKERAVYGLRNGDHERYRRHCTNKIHRLRQ TTGQTCGKGKQYKAPPKLDTEAIKDVRHLQLLLFSAERALAHSHEIKSQLSKSTSTGS AGANGSHGPVSKKDQISWLRRSLKSSTELFDLINNLSEGTHDHVKINQRTKAEMTIYH LSVRSELSFEKSDFGACLTDLVVVRKLLMVLNENSKNSYDEALTNEFIDMKDPLIRFS AYKLGRSESHDITGIVNDLDNDTLQESLPGFDKLVQSLKKELELDSNDIQKDRIKLDD TTFAGEKIEFRNPELVKIMASVQEVLNKFESKKSNKKNNKTSLKGWDKVLTVLGEAEV IARRLKDDHEASASSTSLRSTQITSSLNLAHAYIVHLLLSHRIKRDLSLVDALSSNLN GTIPSNVGDVKIKGGKTKIEEVVKGLGGIIKLLDTVLQSLRGIAELSIVQEKEGVRVG VEGLEGYYHALKCFQLARLHCLHPTPSYSSAISLLEKSVVSLRQSRSLLVDLPIEIEE SIIAIPKESIDSLEDDLHKLDIASKKGLFSQSIEKPVFFDMAFNYVDIPFDELEVLSG KKEKPSAGSTVTDNLTLVKDKTVEGLKKLGRETRETTPAVELRPSPSASVSKTRDDEA EEEEEGSRGQGGEGNKKGWLGGWFGRGK L199_002855 MSSVPEFNPQHEEDHQLLGSERNPITDATLTIRIIKSFEFRTQK SLVVKHLNLEELTVGGLMELVREEIKKQSGFKPYRTLVLDTMKLYTVAHGHKTTNLII NLDHDEWILDPTKTLREIGAQNETEISFFNKESYDKFKANPEVKWD L199_002856 MPPKRSSTNVNYTAAGALSDDSEIDDLFDELSDDDEVDKKPKKK GPPNSAEIIKGQMSKPHNIMLSTKSLHDMIHTGNVKLDPDYQRDVVWSEPKMMLLIQS LFMNYYVPPIIFAVTKDEAGNTTHVCIDGKQRCTSILWFMDGKIPFESPNTRQKYWYN KFAGHRGQQLPRDLKTQFDLIQMTAVEYYDMTDIQQRDVFQRVQLGVQLSTAEKLQAH GGPWPDWIGELIKKYVTAEDTLGKKLNWVTNRGRIFQNLLGFVATARESSPNKIWTPG STALKNFVARGDAPDQDFKNRVSLALSIFINIAFNHFDEAFGTTTTTRIAPVEFWFSG YLIYSRMGFLSVRSLAQEIGKMRAMIRTHVPGNVSANTLVFGLLSDHINGIPKKRRLN EVPAAEQYEADEDVDERDARALKRSRRAEELDPTYNDEWTERPMVEQPKVATRAKATS TNNSNDASSSSTPVVQAQPRPAPVNVAAASSSRPIAGLPTPQTAQPVNGQQQQNPNGV AQPAPNALGNTSQQWRDYTEARIKQQYPQSFSQQQ L199_002857 MASSSAGLEPGPSSSTGGANVNLPLQASSSTVPAVIKGGNPQLS DEPWFAYPAPTPEQIEDELPPYFEGENIPLGLLLDRLVRKGYGDLRYLLAEVLPPLSA KQKPKHIINYATTTRQALLKYLAVLRWKNAVDIPSISHPSSSSSGTTAQQGNNNNTNN NQNGTTNFPTPHSNGDDTSPPSILSNNKGKGKAYSAGGEGPGEEVIVKGKVSDSRRLA QFMEHQNKQHDDAVIHLKHVTKLVESLRERNPDLLTALSLLTTGTYQHLSTNITEPFI PKPPLTNSFILSLLKRLNRHIKYRLRCVDYLPPDLVVEGISDGQVYLRGGGENGWKVR MTIVGFGEDLKWWLTGVEWCWRNTRKGVYDPGGDSEEGEGGKKFVGEERQSIMDLANL EILPPREVDIQQSNQQEEKGVEVEPQTVNIAAPDGSTITKSMDVEQKSENTVVDAPLV RIYNFLQHLSLSYQLEILFSQAMTLSQAKWRNQLRVEIDRENKTLKVKYWIRPRPAVI PQQQQQAAVGKRPAPTANLNAARTPLVGGVLSITLGENGPRPTEADSILGDVSSGGLI PNERILRLQLGVKWEVGELGVGGGLKPGDVMDAAALNVDPASLDMENLLMSSARAHAA HLTRVQASSLISSPKFILSLLNSPSLQESEDHTSSRPLTLRIPLPSRQRISSLLIGVS AYNGYIEIEDDGSIGNEARAHRVELATKSINDGKTRLVDDIGRLTVAVVMENLEDQMR QLGWKPIRRLALRSQDLAKVQLHPATTILIPLPSTSSHYFVTKVTQGGLAFELLKTIK VPIENGLGVGTKAAVGDRIPLDLEKLKARRQDKGEMTGSPFEIGNKDLRELYILSNAL VAQTLIEQQLKERNIPFTAQYPPTSGPGAPRSSSALAGMVPTICVNVGDLLRDGKTGA AADVAMPKVGMQIEDWWKGGRCEVTTIVQLRQQSSMSQTSASTTSQPHSEDISFDPAS SIVKFRAKDIERCVPAFLEQWERLSKVIVVAGEVNRLNKLAEFKDIKMLSFDLRTATL SYTKGYNASITYIPTNDSYQVTLSTDHISTSPAIGTDSPSPVQKERHNPHEKVSQLLS ARLTELASQPLESRGSIGKEFFGLLKNTLPFFEQVDKLEKRNWNLLIMDLRNWRIVRD HESRRYALDIILIPSLTHYLIQSSSKPRGPDQRIVDITGKLNELPLTKIIPKVFDNLK EQQHTPSGGKREIPPLMKLDKNKSLLCKVDLIESVLEGFLDEVDLVVGVKKE L199_002858 MSIDPQTLLNVLERAQKPDRLGGLVKEALVLIETVLSKLGEESV AMSFNGGKDCTVLLHIYAAVLYARHTKACQTSLLPQPDEFIQIPSSSSSTSQPPLTPS SSSSEPVAPPPPIDDQPSSLSSHATHHQPSESESSLPYPPIRSIYITAPNPFPELDEF VIDCTKKYKLDLYRFGGGMKSALEEWLNKGGKGCRSVLVGTRKGDPNDNVNVLAPTDP SWPQFLRVHPILHWTYSDVWDFLLELNVPYCILYDQGYTSLGSTTNTSPNPLLKNPNT SSGWDPAYKLKDVTQERAGRH L199_002859 MTSSASEPPPPSSITTTANMLEVGEASQSKSISNNGSADGSDRG RSPIMNPAAHLEHLRSSSRTPTSAEIDAVIAAAMANAPPPIPVQPEFPEASINRSVTP LMTNLLPSAVGIDGRVNLFVGNLPYRVRWQDLKDLFRKAGTVLRADVSLGPDNRSRGY GTVLMGSREDAARAIDRYNGYTWQTRTLEVRPDRLPPEYEPQTHAPHPYRPGVFPYHS SGHPPFPMSGHLTPQSNWIPGQGRPPFPGHTGSHGLLVPGLGPSPVAPPMTSSGSSPI PSSQSPSSLYPSLSLPLSVQNTGGHSHGHGHHGGQPYPPLVASPLAGSLSAGTTPNSH TSPDHNPTIPLPRSTSPNPNPTSRPTSSHSKTTSPAKGDRAPPPGTLGPLPPPPFAAI KPIVSPTTSNPGLAPSASSVGAESLGSSPPVIPRVPIAPIPQLEGLAHQGLGLGPPET LHDRVIFVSNLPLSIQWQDLKDLLRPAGTIIRADVATDANGRPRGFGTALFASQGDAA RAVTMFNELEIQGQRIRAHLERDSMVGPSHPNGSSPGRDASALGFAQTQTPPQSHEPS SSAAPPVRSNDDSTETLPSIDTSAGPAATPQKSVENSPATKLPWSLNTSLQHQTPGRP GPGSGPNHQTPGIHQHTPNFRQLHHPGPISMPPFPPMQNDNPLSPLQTRGLPPMTPSM PGFVFNAPVYPETPPIHHGFGGMSNGNFGPFSPGIPVTSPNAFGYNPFSAPGAPIRFP QSPHPHQYQGSAVLGTPTTQSFPILNGNQQSQGSISQTLNQDYFPPIGMGNNVPDTPT PKLSGRASVGTVSNSSNGNPLNAKERLASTASQDEALTEAASNLAIDGNRKKSPDSPS EMRRTLSINSNNNTHLKAGDKNDNGDIKGRISLDGKRPTSLLDPTTCLGKGDIRRASF DDSTR L199_002860 MAMAPPRKGENYELRQQLNSEYRDKRADAIKRVIANHTIGKDCS GLFPDVVKNMQTDDLEQKKLVYLYLMNYAKTQPELVILAVNTFVKDTADPNPLVRALA IRTMSILRAEKILDYLASPLSRCLKDDNPYVRKTAALCVAKVFDLKPELCVEYGFVET LRDLIGDGNPMVVANAVAALADIYEASLNLPDTPPTSPGRSSPPPSSKPNPELFIIDP PTLTKLLVALNECSEWGRIAILNTLARYKALDEKESEHICERVMPQFQHVNAAVVLGA VKVIMIHMKAVTREDLIKSLTRKMAPPLVTLISSPPEVQWVALRNINLLLQKRPDILA NEMRVFFCKYNDPPYVKVEKLDIMVRLASEKNVDTLLGELKEYASEVDVDFVRKAVRA VGQVAIKIDEAAERCVGVLMELIETRVSYVVQEAVIVIKDIFRKYPHSYEGIIPTLCS NLEELDEPEAKASLIWIIGEYAEKIENADELLGTFLESFKEESYPVQLQTLTAIVKLF LKKPDESQTIVQKVLQAATKDCDSADVRDRAYIYWRLLSSDPAAAKSVVLAVRPPISL PQTTVAPAILEELLAEVSSLASVYHKPAATFIGKGRLGAEEMQRRALEPEEENAREKA LQTVVAGQQAENLLDFDADDEPQPSSVGGSQSLSMLGNGSISSSTISNVAKSTNPLDE LMDLFSTASMTTPATQPVAPKSTVSGIQGLQDDRQDTNTTHDAFAGLTGLGSPPQGLG NGSGVTSPVQSQGQGQQSGGAQDDLLGLF L199_002861 MALGWLLKPIALASTLALSTLGILSRKYQRARFYYHLTLYVSTL GALSLWGVVVSILATVTGQRLNINYLVARSFYLTCSPAVGITFDVEGEEHLTGLLTAR GGKEQSAVLVGNHQSFLDILYLGRIFPKRAAIMAKRELKYTPGLGQFMSLSGAVFVNR SNRVDAMAALQAAGDDMKKKGVSLWVFPEGTRSSSAEPALLPFKKGAFHLAVQAQVPI VPVVVENYHRLFDGRTRFENGKLKIRVLPPIPTVGLTADDVTKLSEDTREKMLETLRE ISEPGPSSVVKHVPTVVEQPESEPAILKEKEKEHVEDQEKGTIHLDIEKSPKSDSEDV TSKSGNESTEDEMDDDAVLLRKPKPKSTTDS L199_002862 MSTRTVDIPQDVIAALKKFRFTNRKGTAAISVKIVKSSLSMAVD EEFEDQSIEEIAEELPENHPRYVLLSHELKHKDGRISYPLILINWAPSGAPMELMTLH ASSLNYFQQVAEVAKVLEVRDGAEGLDTKAVDDKLLAN L199_002863 MSSDNVDNTLISSTINHGPADVAISSTILFDNNADTSRDQQRSD ENVIPPELIQRLSARLETGLDRNDNLDDPFLTSIKKDHVYVIARKYTRDTSIVQPALM EMINQKTPQEQLERLKVLKEEKGDEECLWVNILGIEEKVICGMTDLCGLPKSTIMDHL TLSENRHHRSHLEIHPSHMYIQLLIQDTPKSMADAPIYDMVKNVFKADKHSMSDERSG DGNGDVDVDKMIRRGFLSVFIIPSLNILFTITHSYYDCPASRVVVRSIYVDKAIPGEV DIAANAQLLGLSMVHRTIGFSWSIVRNVDKQLRDWEDQLKIDFSSINAEEVHRLVHYA ASRAVVAEQETDGNLVRMNCRAVIMLEQGKETLERVMEDTEDMLEKGKNLENYCFNML STRANDSMERLAIVTIVFLPLTFIASYFSMGFERFADLSRSPIYFWEISIPLSFAFFL IFAYSSLKRGLTRLSQLVSRFRRWLKKQTKWWKLDIILWWRRKRDRWNKNDGERKFRQ EGNGQP L199_002864 MTSSDNVNPPSIATSETLFQGVGATQTTIRPAKNYSQSRNTEED FEEMIIPRYSREPEIFVEKLKRMMTERREGDCTWIRLYGMDDKLVKGITELCGLATWA LLKHFLLSRPKRRCPHYEIHPSYTVIQFPVYGTSSTIREAVLEACCLPKKRPISLPAD PKESRLPLEHREVDDMLKSGLISTFIIPSANVVVTITPQNYICPATRMMEFAARTMHA SSDTCLATDATLLSLSLIHRAVGYASGTVVDFTRLIEAWEMEARRSTSTTRTEEIHRL LLHLQLFKARLRELGPIHQDLMYHYGQKISSEDIDASTTSNQAVILLEQGEKGRQRVL DDLQDLMDRMKSLEDFCFNMLSSRANDSMERLAIVTIVFLPLTFIASYFSMGFKDFSV LEQTPTFFWKISIPLSFAFFLIFAYATLKRLCRYTITIIKRGIKTTRSTESFKRLKCQ WWDKPANRARREQMVRKLQGIFKGFRTQDLPPPSYMPRERLRPYTPTVSNGDIYPPPV HRSSGQARPLPLPPV L199_002865 MTDTVLPPLSFDRLETGLDHLEIPPEDQEDGEELMSNFPNGYRI AKARKMVEERKKEDSIWIRIYGMDRSLIEGITGVCGLPPKTLFHHLLCSQHRHHRPES FIHPTYTSMQFPVFGTLTSIRQAVMDAKFDNDDDTTIPKRPEASKLALADTEVDEMIK KGSISVFVIPSLNVVITVTAESYMNPATRMADLLHRSIGHASNTVRDIAGVLKVWDNN AKYHYSTTRTAEIHRLINHLITCQDELQDLQPVHEMLLKYYREKDVSGQVSEVIHIDV AARAVVMIEQSQKALHRVLNELQRLIERGIRLETFCLNMLSSRANDSMERLAIVTIVF LPLMGFTDFTVLSQTPVYFWKISVPLSFAFFFLFAYSNLKRFMRFTFHFLIKGFRRAR SQRVFESLMKWWWQEKIKRELERERKTYPRSLRQFRDSLPPGPVQYLPPPGSSLGQIQ YPPPPGPPPPPPTIPLGQPLRPLPDLSPIALRTDRTLPAWSTFDPMPVSRMPNPWSGM PIPLPETPIPPAGRKIPRSKRARR L199_002866 MEEGNPSNLQGDPSEEDVKPIIIKRQASPTWDNDTDADSDYEAK PAKKKAKKRCSTSKPSTSKTKANEKGKGKLKKKRGKKIVDPDEEEDKPKKKVIIAKEV YWKDIPDWGDSTDCPLLQLPEDILDICFGLTSGLGVRDYVSLAGVSRYFRHHFTPVIF HSICWSQGVSHVSTLHTTARQIDEPETPSLANHIFSRNVDDWQTARPRKIYNYGNPIH YIPEGPREVWSEAQYIVYKEEQSKWMENERKTQIKSIRETLEEQMKEDRKKKAWAIVG AHSRKVLATVKGREGGEPAIDRDEHGLPVKVKKVVDQSDTTSERAETEDQVQANRKKG KKRSGRLTNNKRWTVPDTDTEKEYEILPQQYVNKGERLIYDCWPNEWRRLAVNWINNK RINKTEAMRVYKVTESELLCLKHVLVTNPMSRKIPQQAFLEAAVEALAWRSHGGVEGH KQYIRARAIQTQKLAQSRRDRIEKAQRDGTYVYKHKRRYVPPYDWWRRDTLYDFYGPC ESDCEACARENDSDYDW L199_002867 MTTMDDPISMTTLDSVLSQLPIDPSLQDDSPSCVPSASGSISPD SSSLPPTPGPSSASESRSTPPTSTAASSLTKTSKRKRKSTITSTSTEEMENDDEEPRK KKGRKPLLAKRMEWNDIPDWTDRKDCPLLELPREILDLCFGLAVDVGLSMRDYISLAG VCRLFRHRMTDKVFKELYCAAKGNSHIPKPSSTHSHRIFTGTSPPEWQYPKKERFAYP LKADHYIPRGPRSHWTEAQYTVYKEEQYFLRSKLRLDKAAQEKRDQDYKRRIYGRDEG EEREQTSVQLGGMNRRIIGRVRGRENGAPPVWKDDHGKPDEEYYLEINRKDNNSLTTK KEQKLSDTVPTKVPDSFSKRLKKKIEDVRSKTVGGVWKTPLESDDDDHEEDYDVPQSA SENASKVMWASEYRKKAVQAAHSKHIGKSEAMREFKITDAELLCLKHMLVPNSMNKKS PQQIFWYSAVEALAYRSHGGPIGHRSHVQRWYAMNEKNLSTRRNNKQKAMEAGTFKLR QRKHRLLPSEWHRAVKEEPVASSATGTSGKGKRRADEEGDADEGNDGNDNENESVDAD GNEDADKDGHADGPAGESAGENEDFNMDGNDQDKDAKADKRKGEGAEEVEDEDEKDKK ENAQWCKAGCKCWKDPFPRCSSWSNASHEMGEYKSDEEDEDND L199_002868 MTDPVKPRRKVASQISLAPSPSPIPSSSAALGRGRAHVTPSSIS TSSPTSSERPSLRSTPSALSLRSTPVSRARSPAPASQSSNITPHPRVTTRTPRTPISS TLPPRSVVGLTPKDGTPIAKIRATKSVVDGHSSPRTPELRRNNTEEHVSRTRTLSLRS TAGGAPIARVRTSGTANIITPSTPVHPIQKSESSITPVHRTSTPAHSIPTQDVTPTSF AHPISTAPSPSIQTPPPGPIQQSPIPNNISTPADGGYSLTGLGMDDGGLRILQSTWRD SNTSPERLSDSAGDQIDISSNRSSPSRRSPKVLPQTLTSAQHALAYIFQHPTASAPTS PVPSSSPPHHHTSHRNGIHVHPKTKLPYHPHGAPPPSLPRPPTSPELRTVALPAMTPG RSSEELSRTTSSQGTGGFRKFSGTSSDMSGPLMEMRKDESRDRLSGATAVDDVELRLG EVKIVDQDMDAVMEADAEEAKVNRKIADLEISNASLLAINKTLEATKSKQRTEILKLR RMLRESINGNALPSFSSLNPSSSLGLLSPTTDTFDEELDPEGAYFDEEMADPQLEARW DKIADLVGNMKRRAETAVQVGKEEIKIGQGRVLGWMEVEQSRLKEQEHEDADLSVDSV TPNEHENDEYVGETSREEVDNVI L199_002869 MSAIGDLPPTPVSAISTSVVLVTGGSGLVGSALKYLIDIEPIGS PYGRRKDEEWVFLSSAECDLRDIQQTREVFKKYRPKEVIHLAAKVGGLFANMSSQHTF LRDNLLMNDSILQVSHEMKVRKVVSCLSTCVFPDKVEYPLTEEKIHLGPPHSSNFGYS HAKRLIDIQNHAYHDQFGDQFTSVIPTNVFGPGDNYNLKSAHVIPGLIHKCYLAKKNN TPFTVFGSGKPLRQFIYSRDLAKLFIWVLREYKEIDPVILSVAEDDEVSIKDVADAII EAMDFKGPVEYDTSKADGQFRKPASNAKLTRLMKESGAGIFEFTPFKLALKESVDWFL DHYDADARI L199_002870 MSQSDSHPQSYSCKNPQLGPTSPPHTPLPTEGELSPYKTYYSQS SPRTHIPDDLLYTPDNDKLQDSIMTSWGSSEPSKERKRDMEDLRALLTNTINETFHPD LIEVSNGDKKRRFEVEIVGSSIWGGEIDNSTDVDLCIVDRALPRGYEPSMWLQPPSSI QIGSNTQARRGRYESSQNLPNCYSLKALSDCIEKVGMTHTKRHPLPLPFIKFVDPERK LGCDLQCNDLAGLYNCSLILAYCQISPNLLRPLIYTIKKWYKSISGKDPKLSNRQNEF KLSSYCLSLICIGFLQHIGQLPNLQEDIIARRYDKIEEMMEDDELIWGEWGRTQGRAF HTTFSNNPKQDWRVRDTKMIVAEVVRGFFEYFAQDTIQTKSESPHAEDSDHFNPITHI ISPLNGGILPRILLYKDQTYPNLEKDQMAYPNWKGYDPSQISLIMQHYRMAKSVQIEM RMGKGDGGLQSYEWSERKLVVQDPFRWVKNQASGMSEECCDGFFDLKELGTKATIEDI LTIDMD L199_002871 MIEPYLHCPESTTTYEPPSIASLSTSSILLTPLPRLSDLLKVKI PVAQSYLRQVSHAIAPSTKRLDEIYDQEQNTGIGPSRLPLDVDEDDDTDTDEKGKGKG RAKWVSTGDAGLDQVLGGGIRRGCLYEITGESAAGKSHLALHLALTFQLPSLTSSPGG SLILTSEREISTDRLVQLGKHLLSIHEPYEFGPEGRVKNLLDNILTNRVGDVDALEHA LNYAVPAILESRLNPNSSRSNQLPIRLIILDSITALLRGGSSDHERPTAPNALSLAER SKHLCIVADALKALAVKYDLSILVINQVSDVFQRATPVSYSQPTSSFMQTQPFTGTVN VNDNPDDIAMIYKTQSRWFSGESDTLKKEASLGIVWANAVNVRIMLSRTARRRLVDQR DLTSHKRRKMEDNPDKDGLEKDEEEDIKPTLIRRLHVVFSPFSAASTIDYVITSTGVH TLNESYKKIDTTGEVIKRQMKEEKERERSQRNFNSSQIDPDDEDDGEGDEPRVDWDEV FDEFGELPEEFWRGEYDLGTVDGIGNGNGSTGEANSVSDQVSYTGYSDLIVDQNVDSV EGQSVVESALGHGSAMDGRSGGSVGDST L199_002872 MLFQRSFLVIPLIPFLASAATASSTNTTTATPSINTTAISSTSS STTSTSEATDTNNTRSVRLQLNYNTTKCLSAIMDSEGYAQPELTFVQNCTYSTVWVVP SNFEEQPGEVVCGECNDLPILDGGKYGNQTNATVLAMPDEQRLGQKWMMDADHRISLR PPTDPTKATLCLGETIDDKGGSKINQIWNLIDVEEHE L199_002873 MVKSAVLGYPRVGVNRSAKKAIESYWAGNSSAEQLQETAKNIRK ERWESIKNAGVDVIPSGDFTLYDHLLDHSFNFGVIPQRYVEQKLSPLDTYFAMGRGRQ DRAKGIDVVASEMGKFFDSNYHIVKVDHSPSTEFSLKNNQQLNEYKEAKELGITTRPV LFGPITYLSLVRAGRDAPADFEPISLLDKLIPVYKELLTQLKEAGVEEVQMDEPILVL DKAEQQGDLFKKTYEALAPVAPKITITTAYGRVGKSIEFLKDLPIHALHLDLDREPKQ LDEVLAALKPTKIAIELGVVSGRNIWKNDLKASKALADKAIAELGADKVTVSTSSSLL HTPISIKVETKLTPQQVSWLSFATEKCEEVATLAGALNGKESEAFEQNSKDIAARREF ERTSDSAVRDRVAAITEEQLKRKSPFPARREAQKKHLNLPKFPTTTIGSFPQTKEIRV ARAKFTKGELSKEDYEKAMEKEVGSVVEFQEKVGLDLLVHGEPERNDMVQYFGEQLNG FIFTQLGWVQSYGSRYVRPPIVVSDVSRPSPMTVRWSSYAQSLTKLPMKGMLTGPVTI LNWSFPRADVTKEVQSKQLALALRDEVVDLANAGIKAIQVDEPAIREGLPLRKADWDN YLTWAVDSFRLSTSGVEDDIQVHSHFCYSDFGDIFPSIQRLDADVISIEASKADLKLL DVFKSYGYSNEIGPGVYDIHSPRVPSEQEIKDRIAAMVKVLPADLMVVNPDCGLKTRG WKETEESLANLVAAAKWARETYA L199_002874 MSSNGTKLSFSFAPSSSSTTAPTNGNGNGAGPSSAPKSNLELLM AKSKPPAQSSKPATTSNTKKGFSFDDEDDDNDNSHSGISKNALAGPSKSTSSSKKAPV AQTNLLSRSERKALKMAESIDQSIFDYDEHYESMKSSERAQEQARKKEAEERKPKYIE SFLASAQTRRLDKLRAEEKALQREREKEGDEFEDKEKFVTENYKRQMEEVRKAEEEEK KREEELRKTRSGPGLTAFYKSMLESSEAENAAAVAATSGTSSVAGQGPSLAIRPPSGP TNKQEEFDDDEEYDPLLTREAKAQAQIQSNNTDTSNSSSSSSNGKNRNPDVEINDEGE IVDKRSLLKAGLNITKKPKPPTLPNSLLTGQRSGEVNEGPYKSRAVGTAASYSERMER ERRRLADQMREQAERKRREEEEKLRAEEEEARKRREGDNGDAERKRQEARERFLARKR QREEDEKLQKDKKAREE L199_002875 MTSPRPRRQTTSTSHTSIRAVSGAPHTRSSARLAASTKKKAFTV YDENATSTSAATKSKPAVSSSTTKDRGSRGPLRESRGVNKPNMNALEGGRESEDVKGK RKMIEDDESEKGKKILKVEQRGSGTITGLSKGQKSIGHQSSNESLRSIQSYNSSITPS SFSFVAPTPARDLLKKVDGTTSSSDSPPGHIVARPPTPPRMKERPSTNIPSTPKSSST STSPQKMDRPLSKMPASLRKTPGPSLFTVPSSSDARLIPSTPSHHPSNFSMAKPSITP KLAPSPRKVFNTTTSALPPSTLSRMPKSLATPSTRVVPPSPSSSRSSPMVSARTDHQP TLDAFMRKNFSTMDETDRNSPDHKHSTAEQPLKMEETIHIPSAAREIQPSLDKSNDVE MGTRKRMEPQITPTSSLYDSAGTSIKEDALPTDTVVSQPVLLSNFSHPPREGQSLVGD DSSSLSLPKSTSTRTLGTMGPPSRISISIPAKPSTGLGALPERRPSTKPSMISSRAST TEKENDLSSTKKRKPSYPSSLGSGPLSRPTPRMVSNPIISPRASSNPSTTLEDDMEIR TALVQPHGQRSVSAPMDRSHGSLSSSTREGLTGETSRSLAGLNDALSKLKMKRMESNA PGYSISSSTTTPSISITDTSVQTMPLSDRPSNLSSSTSTSRPQSRVLGSSGHRPRSSI PPGDLSISSDEGEGVGDQSIAAMLCSTNGSNCLNGVRAFVDVRTDDGEDSSRVFVDIL KGLGARVFARPSETCTHIIYKSGKPSTLSWYRKQKQEQEDRYQDEDRDQDTPKTFIVG IKWVMRCKKFGKKLDEKDYEVDISEEDVFQKKRKSMEPKSLAASQGAGLGLGQPSTVR KALLDVAEARKRSMMYAPRIPSPLKKGYTGISHSNDNSDD L199_002876 MSNNNVILLTGGSSGIGLFAVKELVKTSPGATIVVASRTPPPNS FPGVHFRALDLCSDSSVRAFVKSYDCGPISSLVLNAGLFPSKGPTYTAPDTQSRRHET ALAASHIGHALLLYLLAADKVLQPRCRLIFVTSALHDPRAPGAPAAPYWTSPEAADSA TDKRLASGAVRYANAKLAVVLFANALARKTKESKQWEILIFEPGFSPGGGSKLDRGKS L199_002877 MTEYSLDPNNTDLLDVIVEYVRERGGVWRSKVAQDPSSADLSNI TSISDNSEPGGAIATQKDLQSESETVFELIQEDGSPAEPQVDLSASVDSLKKEEWLMI NGPSPGVFEAKSSTNGFGEGRWFRLFSRKSGTK L199_002878 MTTLVTIPNVTAYHLPTPTSDPLPLSTGELNLTVIPANPPSHPS STLTLTVGGSSFPLLPNSPVQKVQAKDQHPSYIFAPVPADGGEPVGQVKLRFKDSSNQ GEWEATEALAHKLEEALKSNKVWNETTLFVDDEYETGGVAKHTQKWGETIAGAVTSAG QALAERLGAYTDRHVARTNPEHPAPPSDLTKERASALELGSSRLADSVEVGANNIGSY VHETAKSVGEKLPDSIAKSSEPVKEEDKGQFRKLAEEGWEQVTIAAKGIAGAAITVGS AASQSAHRAVEHNFGKEAEGVAQDVGQTGSNLGTAAVSAAKGTSVIMQGTNAASGAQA AKQGE L199_002879 MSPAQKDITSWADKSGSFKRQTSSFRDIIEPNGKYAPEKGRYHL YVSLACPWAHRALIVRKLKGLEEFIDVSVVHPHMLEKGWHFAKTSSNPAPQSEHSDDT FPAATEDHLFGFSHLRELYFKAQPDYDARFTVPVVWDKKTNSLVNNESSEIIRFLNTA FNEQLSGQQKELDLYPQELRKEIDELNEWVYNDVNNGVYKSGFATTQQAYEDAVKPLA KSLQRLEDILSDGREFLIGGRLTEADVRLYTTIVRYDPVYYVHFKCNFGLIRHDYPHL HKWLQRLYWNNPAFKDTTNFEHIKEHYYYSHKHINPNRIVPYGPNVDIEPLK L199_002880 MPRSPISPISTAYTTSTNLSPPFTYSTTRPPTSFSELSTRNRSN TNSSSSRSRSYSTNNGPSGSGYTRSPYAPPETPLPPFATPSAGKNSFDDDCETASELY HRSDWLSTVNGGGADDAATRSRFSSSRKYSRTSYKPSSRPLNSLPPDTPLQHGSEGLT SSDSNTHLTPYPYTAKTTAKVQFADEQSHVIDSPGELTPTPGSNKDKNGSLPATSNAT VGAIGNERKGRKGKNNTQSTLPSALSLSHLTPYQPKQAIPAPQLHKEGVRSIGDWNTS SPDIPGSENFLSPIRDIDPSSHPDLHHSEDVGAVQQEMHQRVPYSKSVTPDIHKQQTR LIGPKDQNKLGRMSVAPARYTLPPQRKSRLDTGLPDLSTHPDSTNSPPKSTKSPSLSS IRQPTSILRHPQHNPLVKFYMTYRPFISPILSLICALVLTVTHSINSDSPIGNFILVD KEIKTGGMDPQCQGYSFRDFGNDILTFTIPGNSSLDDLSSLLTALTVLTWLLATYQTV TGFLHFYLFFALSIPFNHLVGCSLPPNPSNNKIEAKDRTTNKKKKNSSTSSSSSCDSE KDRIVTEVDLRVKCERFPYESYLWVWWAWWGHRRSPIGWGFANIVGVLGITTFALTYK FKNDIINATGSDSSKVKFDHGAYMPLMTVLFTLDTFILSFKYFWNFKWNFYNLLNPPS PSPRVLLLPASENTVLQHHRKTGIQSFFAPISAVRPATTKERTVDTYLDPTTTREVGL NLDEETIRWLRAYPSDEELLPLIADLRKGKYHSHHHFLLSDIGLLYLRPPPGEHEEES ALLVPPGGVIRLELLQDAHLDCYPHEGGPLNDRGLEAHNGLEIMIQSLNQNFWWNGME IDCKEFIENCQFCFERLREENGRLNKVYQMN L199_002881 MLAPPQRHKRPRSPSPPPLQLSSPDLTSPLDVLLKRRRRDELSF SSPNDHHQYHQHNQSHDYFNLHPTEDNAEQPHAESSTSALKRSMKGVERRRTKQWEKQ NAPSASASQPTPPPTFHNHAMTPNQRNYHSQPDPLMSSSPIRNVYPSSSPFRAKDEEI LWQTPTNVHSASSGEQYAMVVTEEDGEEGEMEIMDEDEMKRQWGEAYQKQNWLLRNLH LAKIQSQSQSQHPIPTPHQHPHPHPSHTPLQSTDSTSSTSTYINEPTLVSPHPSYRSQ PSYPDSSPFHSHHPSVELHSPSHAHDGDEDMEVLDTDNADPHHLREIEDEVVRKRYEE TNRLLGELAVVRQRRWG L199_002882 MAQPQPTDFSEGADFISFGLSPPPEAGPSTSKTGLASLHPNPVT NTENSTSSSSRKGKRKASDAGSTITQQQDTGKDKDKKSSKKQKKGKRDRERDKDKDKQ KAKEKDETGPRNLKEERKANERHAPWCDLVDWEKCRDPSEMLNEEINAFYKYVSPTKE EFEVRLFMIELISRSILKLWPDAEVTPFGSWQTQLYLPQGDIDLVVTNKHFSESNKAR LLADMARAMRMARITDEVAIISRARVPIIKFITNEGKLNVDISLNQVNGISASKIINR YLDSLPGSRQLILVVKSFLSQRSMNEVYTGGLGSYAVICLVISFLQVHPKLRKSELDP EENLGTLLIEFFELYGRNFNYQDVGLSIRKGGYYYLKSSRGWLRPNQSFLLSIEDPQD RDNDISGGSFGIRQVKNTLAGAYELLLMRLFERADEMSGRTSGRRKDEIDPDKMSTLS GVMGITKETLKQRTALQQLHQSGKLQKLLSIPMGANPEQYVINYRPPPILFTPRSKDR SKASSRNTSVSVPKDRNTNGGVGAIIVDDDEVSEPDINDSSYEFEDSSYEEEDEDGEI GPDDTFAMLKPDKQDKYDLRAKAAAVEARYGGSSDVSEDDIEILDSPPEESRYSISKN SKNKNKSTNQTNNSKKNKKNNEDKDQLDAISSDSDSDSDTDSSVQYVEPPPPQLKKGN ASGGKERRAFWASKGGLGRGGGDDDDFENDADYIGLD L199_002883 MSTPTSSYSYSPSTYYLERGELIKQGAEAKVFALSSLFPPPKIY NPSSASCSSSSSTSSSSGVIIKYRFPKQYRHPSLDASLTASRLTFEARSLARAAKYGV TVPRVLWVDEKGGCIGLEKVEGWSVREVLGGGAEGEMEYQDEEEIELDINTNDDERDV DVQGEGEVEEGNEGWDKLRELGVGRDHLMRSIGSALAKLHLTTIIHGDLTTSNMMIRL TPDNKSQPYEIVLIDFGLSSTAQFPENYAVDLYVLERAFASTHPKSEKLYAGVLEAYA KGLGEKKWKPIETKLKEVRKRGRKRDMTG L199_002884 MPRSPSTPRRTCSISSDAPYLTGRNSSRRRRGIRPTTCQNCGCG EDGTNMWRSNPDPQRIAETRDNMLCNACGLWRKEHDVHRPPAWWGRRRSSASPSPSST SSATSPHPRLDVRRRRSRRGANERPSSPSPALDNKESDQVQIEEVAGMLLGLRMPKEE KQAHPAQHLRTPSPLYARPAWLFNQPPPPVSAVPPPKGFLPESIQRSLVPIDELLNPL LPQAGRSGRLTTAKVDRHVRSKL L199_002885 MSHRKYEEPRHGSLAFLPRKRAARHRGRCKAFPKDDPKKPVHLT ATMGYKAGMTHIVRDLDRPGSKMHKREVVEAVTVLETPPIVIVGVVGYVETPRGLRSL TTVWAEHLSDEVKRRFYKNWYRSKKKAFTRYTKKHTENNGQSVTRELERIKKYCTVVR VLAHTQISKTGLSQKKAHLMEIQVNGGSVADKVDFAKSNFEKTVEVGSIFEQDEPIDI IGVTKGHGYEGVTARWGTTRLPRKTHRGLRKVACIGAWHPSKVMFSVARAGQRGYHSR TSINHKIYRIANGSSGSSGSTDFDLTKKDITPMGGFVRYGIVKNDFVMIKGTCAGPVK RILTLRKALRTHTSRAHTEKVQLKFIDTSSNFGHGRFQDKAEKNAFLGQLKIKSDA L199_002886 MSMSMSINESLNSIILLSLVLLLATPKSLAQTITSAASGLSSTG ATDDDGIDDTDSDDAGEVDCEYDGSVKNYLTCAKNKISTSMLIGAGIGITLGIFVLSF LCIWLTKKKRRRAAQHKDQEQKADQVSSNGEDGKNVDLVDLEKNQNQSTEFFYPNDKK HRKLSFVSAVEEVKPKKPSNDNKNKSFELLAPPAPKHNRTASNSSSISNRPTILENGP TSLKPKPSIRKGSRDELYKSKPLKHAPSTRRPNPTFHKHMNQVSINGSIHGVEDRQQR QPASKSGEGSPVQLMTKRELEITNPSQYQSRHSSALEVQPPQPSQPPLKDTTDRGSVI HLPKPTSRSSIPQHPPAPPAYALGPQPPPPSASQNYHSHKPQMPLRGASQMTLALPPV LEDGKVSKSPSVSSRQSTLAPPAESEDAGRSGTFGPGLMSVEGVEKQVNNSSKVDTDR VDIVEKEVSKDDKLSQIKYEEVGGSRKVDEVKVPNITSPDSTSAPAHTLHDNQRPVES LTTTPAEPTPKDIEAPKEESDGSKKPKSRREELRQTNLIPSYYVKTNGLNFDDEEEEE VPTVVDGGRNDNEVNVDEKQQHIEVSKDQETAEKEKEKEKEKEREGKESLPNPFDAAE MQGTKGKKKSKKSEVRS L199_002887 MHPTSLVLRRSTWKGPFFTAFPNLSQHLKNNTPIFTKSRSCTIV PNFVGLKFMIYNGKDYLPITVTEEMVGHKLGEFASTRKAWSYR L199_002888 MAMPGGVPVMVMNTGPERQSGRKAQTANIVAAKTVADVIRTCLG PKAMLKMILDPMGGILLTNDGHAILREIDVAHPAAKSMIELSRTQDEEVGDGTTSVII LAGEILAYSLPLLERHIHPVVIIRAFKQALNDALETIQKISIPVDISSESEMMALIKT SIGTKFSSRWSDLMCSLALQAVRTVAVTAESENGLVGGSAEGKSESLNIKTVDIKRYA RVEKVPGGEIEESRVLSGVMINKDVTHPKMRRKIENPRIVLLDCPLEYKKGESQTNIE IQNEKDWNRILQIEEEQIKSMCEKIIEFKPDLVFTEKGVSDLAQHYLLKANITAIRRV RKSDNNRIARAVGATIVNRVEDLRDSDVGTQCGLFHIEKLGDEYFTFLDKCSNPKACT ILLRGPSKDILNEIDRNLADAMSVARNVVFNPILAPGGGATEMAISVALDEKARLLPG VAGAPYKAIAEALEVIPRTLVQNCGGNAIRTLTELRAKHAEGHHMFGVDGDTGKVTDM KEYGLLESASVKIQTLKTAIESATLLLRVDDIVSARRPGEEAGAGGGVQTMGGEEGPG GEMPEM L199_002889 MSTSTQPTTLEPSHADSTPSITPANAPRDTATAMTYVTRLGTPS DAEQISKLVGTTWSDLFGWSVSPQDLDTYINITLSPSSFESELAQSDKHYFICAASSS SNDIQEEILGVAQLVQNPTPPMDIPNSIELQRLYAHPSTHGTGLGQMLITKSKEKARE LGKSKIWLGVWEGNERGKRFYEKMGFETVGEKVFYAGESKRRDLIMCIEI L199_002890 MSGFILGTGSGILASAAVYYTLSTSLRESTAGLRSELHNSSLLL SNSFEPITPPAPSSLIGPSSSSPYQPSFGEIVKQRWNATLTNLVSGVRSTDWELVGKD IYQVGENAIKRLSEETQTPSSASSILDNGSPVKQTVKTHESVSVVPGTTGIIDHAEKV PEEKVGVNKVVGVDLRKDSYGYVQGNELKELIKRNAEKEGKRLV L199_002891 MFVSILFLLSIFLLQPFHSSAIPFFSKQEDNFAIYQNINYTVSN DGKVQSRPKRVAIVGAGASGSAAAFFLKRAARIVEARLGVEEGSRLGEIVVFEKEGYV GGRTTTIHPHSDPRLRAQELGGSIFVESNRNMMKGVDYFNLTLINPDMAESGIGIWDG EKFLFETSASFWIDSAKALWRYGPLSPYRTKSAVAKLVNNFLKLYDPSHLSQRGPVGG ISELAEDLGLGNEYTTRTGDDWAKNVVGVNRKWLGEIWEGSTRVNYASDMDHIHALGA GVSMATGGASQVEGGNWQIFRGMLDDSKAKVHLGTEVSEIIPLDSKDSPQFIIQSNKT QLNDDEPFDAVFFAAPWHSSPISKKLAANFVSPIPHQPYVRLHVTYFTTTQPHPLPSF FGLSEDSYIPNAILTSGLTSRIQSIPPPRFQSISWHGEVLPGSGEYAVKIFSLTRLSD RFIHKLIGEEPGWLVRKEWDSYPKLKTTSSYAPVEPIRGLHYLAAQEAWISTMETQTV SGREAVARLVSEWWGLGLGECENGDSWDWTCSN L199_002892 MLAVLLLSLASPAVASIIHNRAYDSPSFRAPQLATPRDAVRRNH KRWEYYDGQVEFPYNVASGDPESDSVILWTHPIPTTNDSRPICLEYQVSQSNDSWSEG DIITSDQVWTTPEVDYSYKVEAKGLQPKSTYYYRFANCADKDNVSPVGRFLTTPVEDD DEIDKLSFAVFSCSNYPFGFFNAYGNAAARDSIDYAVHVGDYIYEYRGDGCDDENACY GDGRDIGRVPEPNRELFLLEDYRTRYKQYRSDPDLQALHASHAWQLVWDDHEVADNSW KAGSADSNDTIAGTQYNTSFTQRKANAVKAYFEWLPIRTVETDDALRIWRSFQFGTLA DLFMLDTRQYNRDLTDVYYNTNDVKAIQNEEARSLMGGRQENWFYNGLKNSSQRGAQW KIVGQQIVFANLVSDNVDAWDGYKANRRRVLDTISQNNIDNVLILSGDSHANWVSDIT YDEKDGYNTVTGDGSYAVEFAGTAVSSPSSFGYNHTANKPLPAETYIQTAQKLINATG NEELQWTEGATRGYFELHLTRQDATAVYYGIDDVRTHSSDERVLATFVVEDKTNKLKR PVADGNVTGGVLAKRG L199_002893 MSTMASPPTAPSQQLLERASSRPSLTPSSSSTVEDSQDSQLQLN QVASSNEDTETLITPIDPPLLQVSIKNGAIPIKIESTIDEALEHPSPVKEVKKGRLNL SKSMQTLRKKKGGEKGRERASSVSEKTTPVPSLPTVSEKAAAPQTKPSAPAPVLVRPP MPTKQSSGFSSFLRKLTGRSATTSPAVSDKAIKEDKSATLAKRKTMAFSGSNKPTLSR IDTSKNDRGPVSAISKISQAPSEKQLVDSPLPITPVAPAVKETDPLKIPLPPSPISEF VPTLPPGAAPPIDFTASPAPTPAKQSTVTKKKAEGMLSLEGFEFDEEEDQPEPPILAP VIDTTTASPKKEDTESLDVVKPLAPIKIPARIDTNGPASANSVRSSVLSSSAETKIVT PLANSSSPPIPAPQVRKVSPTSSNPPTGLSPEKIYEGIKLAGSSPPRKAPVGTGSMGD LGRKESKWRKSVMGLSDKSKAPASKRQSAFPPPTSHDAYQAQQARIAKNRQSCAPTLH SSASIAAAARGQMTHMKLSKDEQDMAETFFMS L199_002894 MLLFHIAAVTWTTLGVFGTPIENHQQRDGRHSNNILTNAERLSR GLGPAMPNSLGKLIPSRAQVESTNAPIQRAVCPSARPVTFPPHPLLYNEATIIPVNGS RTATLSLPFPVRIFDKTSSTLIVNEQG L199_002895 MERSDLPSLLGSRRLYTDGFYEVTYQIYPGAVAIHWFARTELGF PDSSIDFTLYYDTQAPGWWYVYYDRVIGIHSGNPATIGAQGTGSLQQPIPYQWTQYSY DKQGAVHNDLRLAFDTNCPGRYYIG L199_002896 MFNAHTADIGMSSNGVSRNIVHRERIPKSGRHVADSCFVSVWSW YYLQFPHYTVAPYRAFRGSWSTSGDYSYSQYTNRTIAYTWQASLASDIRQRIGFTVYH SSDTLGIFYTWYDYVSSKTTGDTALIGASGAGFSKPYNITYLQNTPGKIRAGVRLAFD TNCNGSITVG L199_002897 MPSSSIRVLTSSDVDQVLTDLSPEIALASQSYVFKHFSSPSASK EGEYAIIQTPHRITISSKQSTMLFMPSRAPTADNSTTASIKIVSVPTVSDGGLPATTM VMDETTGRVKAVVNARKLTALRNACGSALFLRLFPSPTPPTHLVLFGSGAQCHSHTIL FLRLYSTIQSVTFVVRSSTPRSQSLINDLSKQFPGISIKVEVHPSSSSEGLDDVVGKG DIIVAATSSTVPLFTTQPKRDARVILIGSYKPTMHEVDRSLVESAGVVVDSIEACMRE AGELIDSNLTNEDLVEIGQVLDDSRAEEYKRKVEEKANSIGGNGVVIFKSVGLGIQDV AITNIVLEQAEKMNLGHVVENYD L199_002898 MHSAHSHGQELMTDWTPTLSSFGNGLRREKDNLSNAVASPTYSH LSAAESQSQSHSPAAQSSPYAHGQTLVVNGPPSYHLPYPTLSGSHLQPMSVQPSPRLS PLGQTSTSTYYPTSQDYEVFQTPSRTNQSGGQFSPEPYLDQSYTPQQPTGYDGTTPLL TTTTLTRTYDSTIPSYYQTTTDGRRAWSQATPDKQASATKRKRERQSTKDTSPSGKSL KTPKRGKQQQQQDESSGGRASKRVRVDSAPATTVQLPTPPSTGKEISTINLPPHLRLP IPTEEVMTPIEEEPEVSDSSVRRGLVITRHQEEGRKLGLLGISQGELEDTKSPEEHHL SPRTTTIPALTTDESGLASLATNEVLESEVAWFENRTKTRASPPSSPDLTTLRTPTMS NDRLLPDPDDQEEEDGDSPESEAERIARRLEAFSEHTIKDEQPLISTRIDLFGRVAVR KATAIKFLGLDRSAQLVEETRTEDEEGWIERPIASSSKTVLKPLWPDEEAPWALAGGS RKARLKREETEKATLLRRYLETASDDSGSDEEGLMTMYTTHGKGKGKSVSRLMSSSSS STTGSSSDRRRGFSPDGADASARSALIISLRNRAIPVLPAGIVACVCGTNNTNSMGSM ISCAACKTWHHIMCCGIEDESKIGSNWWCSNCENSSRSIMHTPSNRQDSHSTPRSRYS QLADPRSSAVKSDIGHIALAPSPMFIAGVSHGMPSTRTPLSRVVSSPKRPERARMLSY GSADMWSFTEDNNQPPSTPAPIIGGSSDRYSTPRIDDAPFDVTSTPSRHLDFNFGQPS LFSLTPLGGRSRVPSSMLVDNTPMRGISVARNISFSGAVGSAETMTTISRSDFFKDLN SKQPNTPGAGSENIPPLSPRWPVGLLGAHNLSPSPFSHTPGGHKRNLSANKLSSMRSS SRTGNGPSVNQNLITEEKEEE L199_002899 MPLRLRQAVAGRPNLLSTRNVGVISAGERGHVVYPSGSNVVLLT PELELSDTLPFWSALPHRASTSNPGVVLGVCCSNIDHLIVAWTEVHVVFWQYLSRKKA GSWSVHSTIVASSSISSLDFRAGTLVLGTKNGIEYWRMDPQAEVVVWDRLWERTYPTP TSISLSPSSGHIAWFKTGQRSAYILATDRNRQAVGTAQEIRHPREIAWIRWRKPTSGS SDSHLYTITTNSVFRIYSPVLDDPLWFQLLSSLDHRAFAKSFGHPAGKGKEPAHNDFG LMWVWDAEVLREVVKVELARMKEKHVQVPQETMKIMEGLESEESDIIAWTGSDGNLVL RSIINIERKPPTLLKTLPLTKCKLPPLSSPSSWSPETQLLHIPGSVPSLLAVLAPSSE YPSITSYRLSLADVLSSRSLAITPTSRSISADEAGPVQLQHPIRRFARTPNGRGLLAI GDNGELGTWYKQQLSLRPGKWHLGPRALIGKGHWQTPTVPSEAALFAKGRAIVFYTQP ADGSATITLQHLDSGSTKPREPVILPHFNPSADDDVEMLLAVSDIDDGYSGGSRRTQR AIITAATRNGEAWVWRVISRITPKEGDLENQPDVQLVSHYRLPLTSEHDDHECKPKMI LPVDPMGWHQSVIDWTTDTPLQDMILTISTDGDLEFWTPKIGGHLAGEKADNEVKHGD AACDHEGTGGVEKGDEAWIRTSTVRTERSNVIMARCSSRKKTVLVCELDDGRHEMTIW DSKVSEFSTGLELTHIFEQGDRIQDLDWTTTSDLQSVLAVGFAHKVVFVCEQRMSYVE TTPGWAPFLTIDMERYTPVPISDSIWLAGGSLAVGTGNQIYLFSRFLGIESPSSSPAG SMKSVALDEEEPEDIFQLIAHRNGPLVDYHPIQLGQCLLWDKADLVKSILMELVKSLK HCEEDGKKRIRFQRLDPSEFYSRKKKAKIIKASTNKYDGLFDSFQPPPDDEEDEFTDK IVNELVERLNGRVALPLSTSEKSFLATMAQATLEAEQQRRSLDLCGMRYLISLRMYVN WDRLSSSNTPMSSSSTNFPDFIQATRDEQRKNHFSFRNIVWASHSESQDLLLSASKET CKDGKMSWEDARRMGVFLWLKPSESYKSQLEDISRNRFMQDEDRDPTSCSLIFFALGK KKVVHGLWRQAPGHKEQALMLKFLTNDFELDRWKTAAMKNAYALLSKQRYEYAAAFFM LGGSPKDAINVCLRHLKDWQLGVALARVVEPNEMEGKPIGPIMRWILEDTVLPIAFRG GHRWLASWAFWTLGRRDLSVRILISPMNIIATDFSPDKPLEVGNPDNDDPSLLLMFQH LKSKSLQTAKGTNEVSTKLEYDFVLHNARVFFRMGCHNLALDLLRSWSFERPFFPSRT KPKTQSIAPPTPISATTTSTSPVRPTISGLDTLIVGHSRRPTFMLTSEKRRESLFMDL DVLAVDHSNDTSAVPTPTTNTSQSPSLDGIATAPKAQDGKKEEVKDADAEEVEKSPPP PKKIGNLMKELKQDVQQGAMEFNMDNFF L199_002900 MVDPTREEAVFPRPSTQEIQSTWKALFSDPLISPSRLKATALTK NGLGEAAADGGIILRSVYWRFYHGLLPPPTSPNLFSTSVSTSREAYNSLRRRFLIAPD GRWASDCTGSEDHHSHSPSNSSSSSAFAPSSSSVKTNGWDPLSLDSSSPWKTWFSHVD LRSTISQDVERTFPDIPYFQLPRVKKSLTTSLFLYSILNPDVGYRQGMHELLACCFLA VDRDSLDQKDNTSNKVEKGEGEEAMWTTLDRRYVEHDSFQLFQAIMKSAKEFYEWRAE EGPIRNRSVNAPQAPIITRCNNIHSSLIRRIDPQLWERLETEGVEAQIWAIRWIRLIF TREIPFPLAMRLWDGVFAEDPGMGLLDFVCVAMLLLIRNELIEADYPTLLTQLLHYPS PSPSYPFEPSLILSQAVYLKANISPAAGVEVVLQNQDILGVKISRGQQAQQATDGRPS VRVEPGRGSRGRAGMGGLAQGLFERAQAAGLDKTFMATVADLRRNLPDSATAYSYLPN LPFSPGTPSREVGSFSTIPSSTSALPRSFLTSPSSQPQQQPPARPPVDSRPSVNSVAT QQSLKDAELEMAELRLAMVGMGKAMSEWLSVLGPSAGAEDGYEIEKEKENAWRGLERI KDGLLDAAGKETEEIVREWGWHDGLESSSINSRSTTPAPVSLPPPAATTDGDDSLTSH TQPLQSRDILFEKDTLVFEDVTPTISQYPTMPNPPSLPSPPNKLVNPAPSHNRYGNSS IKSNLTLSSSSTNPSIGLPRTPVPVPSPATAPLPPRPRPISSDTKNKRPNTAELPRES DPLAGLGVITRNKDKDKSNHFDVDPLLGVGVNR L199_002901 MSHFSLSPRMGEERRYVRSLSDITSPPHPSSPSFLHRNEAHPYR ESGSRPRSTYNLPYGRSTSPKPMQRSLPNPSHFNEPGPSSAGSRFRPPRSEYTQNAMN QVGPPPLAPYVTADRRYHAPKPSSQQWLGPDGRRSEPQNRPVFPPPPKPPPPHIVAST SSSSSSSTSHHNKPDPPSFQPRSYSHSPDRSVIQHQSLHLDRPTTTTHSTRYPTMFRP PEAFTSAADRSSSYCSHQIPQNSPSSSSSLHNRPYQHGEPLSRTHSHESQHSHHSYSM QREHSGGSSISGSSHTGTSSLQSGQPYPMGGYKKKRTRALMTHMQQSGLTRLWRNTKF PTGADREKLGQEIGLTPRQVQVWFQNQRQKGRKAIMVNGGIPEGEDPADYEDLQKSPR SRRMSVDGDDRERISAWAGSSNSSASTRLLLDPPSSAGSNYHEPMIHQPRPFASSYHD DLLPPRSAISLRSPSPYERWEHEQRDRGVREDKGTQADHDGSHHSHCHHRRSHSHSHP HSHSHSQSDLNSHSHHPTGLPRYPTYPSTTSSSSRSYQHHQHHHHYHHPPTSGDTSGR LPPISSNWDINSRDTQRPFPSVLEPISQSSYLPAILSPLSTSSSSRPDTQQTQTQGEG QGWTPLPIKRRRSSPSLIPESIIKPTYPGNIEPSQTHQKNIRFSRSRSHSGEDHPHPH TQLGDEDEQPITSRSHLPPELARIAITGPLERIYPAHEEKREGEERGLDLVLPRISKS LSPMGAGSGHIDQTGKDRGHVRGRSEGPAKKEMRSELEEEEGDGNDSATEKESRRPTS SNLRNLLD L199_002902 MSEPTPVPAPAPPASDPTPTAPEPTPASASASTPNPPANDVSTS PIKAPTATPQTSTPTPANASTPLPPTTAPIATASPAPSATGPRHPVMLDEEKKLELVK GMDVAKLTMLRKRTAELQAAGHTRETSSELGKLMMVLEMYARARQIELEKQAKAAAAS AQQDANGDSPAGPSNGASPSTAPAPTPTPAHVSMNPAQIAQLRSQAQAYQSLSKGQPV PSFLLNAAQGIPPAGIAGQPLPQSPLNGIEAKIADKSVESVVQNTVDQNKDNADAEKT TPPPKEAEKVDGEVNGSGASSDNEKVVTPPATESAPVVPTGPPYAMELDQSSLIYPYN AYTHPSIYANRKFDDEVANPLSKMQRLVAPSLMPKGLDPYLLMEERNRYVETRMAWRM KELEQMSSTAGLGEQGAKDVPGIVDGEDKKPGSNLGIQARIELLSLRLLGKQRLLRED VVRAMHGATQVPADRSQFRRFRTHTLRDARATETAERRQRTEREQRGKQRHLAYINSI CEHGQNLIGAGVGTSRGSGADKMKRLGRAMMKLHADTEKEEQRRIERLAKERLKALKN DDEDAYLALLGEAKDSRIGHLLKQTDQYLETLAAAVVEQQNDDVHRDQQKLELPFEQE EGMASEEMFGARRQDGEEAGAERKAGKVDYYAIAHRVQEKVTKQASILTGGTLKDYQI KGLQWMISLYNNRLNGILADEMGLGKTIQTISLITYLIENKRQPGPFLVIVPLSTLTN WTLEFQKWAPAVKTLILKGSPAIRKELYPRLRAQDFQVCLTTYEYIIKERPMLSKIKW IHMIIDEGHRMKNVKSKLSQTLNEHYSSRYRLILTGTPLQNNLPELWALLNFALPKIF NSVKSFDEWFNAPFANTGGEKMEMNEEEALLVIKRLHKVLRPFLLRRLKKDVESELPD KVEKVIYTKMSALQWKLYESVQKYKQLPTDLTAAGKPQKRQNLQNALMQLRKICNHPY VFREVDEDFTVGNTTDEQIIRVSGKFELLDRILPKLFKTGHKVLMFFQMTEIMTIVSD FFEYRGWKYCRLDGSTKAEDRQQLLSTFNDPQSPYQIFILSTRAGGLGLNLQSADTVI IYDTDWNPHADLQAQDRAHRIGQKKEVRVLRLISSGTVEELVLARAQQKLAIDGKVIQ AGKFDDVTTGAEYEALLAKAFETNPDDDNEETNELDDDELNELLARGDEELGIFTEMD KERKANKVEIWKSSGKPGELPPPLMQESELPPFYRRDIGQEMALQIANEEEQGRGRRN RNEVRYTDGLTDDQFLAALEDSDDDVEEAADRKRKRAANRAERKRMNELLAQAEAEGK PPPSQTPITPLPVSGLKKKRGRPSKSATPSLGGDDVVPSTKRRKIGGAAGGGAPIPGP ELALMKKLFDETNKLKSEVGEDLNQFFLTPVSKRDYPDYYAIIAQPIALSQIKTKIGK HGYSIQNLKSDMHLLWDNARTYNVEGSWVYNAAEDMQEFFDNLWSTEYPKLAASISTG GDDSGNTSGTSTPMFKANPTERITAPKIRISMGKKKIEAKAEQEDEDDDQDEDEDDED EDMGDDDY L199_002903 MASFTFPPAFPLVGLPIVAAMVLNGYQSHNVMKARKEAGVKYPT LYVTEAEANADPKKLKFNCAQRAHGNTLENIPYVLALFGYLSLFHPKLASIFFTHWII GRFSYTAGYSGGNPSNRLSLLYKTSYIGFAGLFFGAAYVAITQSIPLFL L199_002905 MFPHPYFTHPAQQRRGFATPSPSYSYPHPHSHQQFNFFPQPSPP TPTYDYSSIEEEERAALAHLRSIQRRREEAEAAAAREAAISREAAARVEREAAIRAEL ARIERQRQIAQAIRAQQQAEEERRKRVYLEAIERKRAELLNAQLAAQARRQAALAAAQ ARREDAEARRRACTQARCQRRLVEPSPSPSCCCHNQVIAGQQNQNPNTDICCVDRFAP APVLTTSRSSTPSNERNEWQELNNIFGPLFGFHLVSDAESQTPTTTETTSQTRTAPAE STSQLRSAPEQPKPEVQQPPSAPSAPATTEKKDKKEFPEDINKLLSQFPGLRVDPVSE SESSPSIDNAKARDNGIPEGLNEFLGQFGLVFEPEASETDEKKDDTPAPAVPEKKEST PVPSTSSNVAQPSNATTEKKEEAPAQKEVPPFTSLLNQFTDVNPFLRDLLGNFEHALT EELTKKNKTVSKDCQGTCENRCAQSCEKACATGPAKKDKGKARAEGERKEVPKPVPAP TPASAPVSTPATVDSTDSTDSSSSITALDSIESQLESLRSSFTFPSRLSFAHSTSETV SPPLLFNKTNSAYHTQANSYLQLLLKADGISSNGDKEIRKRRKELVRKVEQEIEQLEK QKDEIWKSVKAKRDNGEESEPDEVDERSWSGSETTSVIGDNEHLQEDQDHEHEHEIEH VENKEEENEHKSYAEVAKSNAEDTSDDQKQEEAVPQVHSTPSPTEQTTAPQDQIDNQP ETSANDAQPESPLAADDQPKEEIEGYTITVTFPTEVEAAQEQSSAEAEERKENDEEKK EVESETQTQTKKEGVSEQDKRSDKSAKVENEEEGYELL L199_002906 MENNNNWPWNILNRYCQDPTQRAWVSSQLHQSPIPPGQSPEDWL LARSMVAEGMTEAEAWAQVHLSRQNQQQNNGNNNHTNMFVQQPTVFQNGSPYQGHQQQ FFDQVNHPSTNSPYGYVSLQDVAPQVQQQPQLQPRTQNPLALLQQIPGVTLPPQILNM SPEQQMNVYRLFREQQERARNAANRGNPASISPQLLQQPQRPQQLQHTSFRGQIQPQA QAHSQPQPQVRPPVTVDLTQDGSPRDESPIQLIPPGSSRTPSPMPNHALSIQPAPSRK RSLVPIPAQESANKTVKRIRGNSSSFAAPIKIDNNNLLNEPKPKASGSTSANTAAAEP VTIKTIRPFLSPDALKLPKPAQLFKHIRKRENKKGEILPPLFLPSPKEVLEIVSALRD HASPEYLRAMADDERYCDVWSSWLLKSIKDIEKWEVAIVPILQVLAKTDMPIDEIEDT KIRIRARKLVNLANEKNLASRSAIQSAFQRYDNYVVNVLIPQGRRSAPDDDDDDDSDN KKRKIDQVSRNDIKPKVEPGTSKPSSSKISAISSTSNGSKAPVKPSSTSNANGSGSSG IKPAAKSAADMSFFGASSSSSSKAKGKLPDIKKRPTQPLPPPSAPAGSASAVSNILQQ LKAGPVNTVAPPIRASSSIQAGPGGSTEVKKEEDVKPRYNSKGKLIRNVRFKDDVKPE DGGGALEQIKEFRQEDKEFERFDWEEEADLHGRSAHDLDIDEGAALASARGHSMIEWY EPSPYNEEIRPVETPESTAQASRERETLALFNPPGQPVPDPSELGVRIVEGANPQREM EPMNASEEILKFQSRGNYQPQIQTQTGSSVLPQQDIGDLLKGLTNIIPPAQVQPQQQQ QQQQQQQGYGGGFGYDPYSTHTQTHGHRHNQPTWAGYGNVNGQNNDYSHTRNYENYNT YNQSRDSRPIDRDPKVPICRFWSRGE L199_002907 MSYPPTSDREEFEEHELLSAENGHDHQRESSDAELRAEEAERED LMRRYEDFSTVDWIQDSLLERTVQSKGPTNPIVARLDRIDGIFGYIWRLIRKGLEEGE SWVVVTLVGVTIGISAALISIITAWLSDIKLGYCTTGWWLTQKYCCLEITEEFESCAE WRRWGGVWPFGWIAYILWAGIFSFSAAFLVKNFAPYAAGSGISEIKCILGGFIIKGFL SVETFLIKGLTLPLAIASGLSVGKEGPSVHVACSIGNVVARLFSRYDRSHLKMREIVT ASSAAGVAVAFGSPIGGVLFSIEEMNQTFSNRTMWRSFVCALVATFTLASMDPFRTGK LVLFQVSYDRDWHYFEIPAYIIIGIFGGLYGAFVIKFNLQVAAFRRKHLANHGIAEAV TLAVITAFVGYMNRFLRIDMTESLSLLFRECEGGGDHEGLCQTSSQWRMVNSLLIATV VRTALVVVSYGCKVPAGIFVPSMAVGATFGRMVGILVKAMHTSYPQAPWFAACAPDAP CITPGTYAFLGAAAALGGITRITVTVVVIMFELTGALTYILPTMIVALVTKAVSDQFG GGGIADQMIRLNGYPFLEKEDKEDTTDKAFIEPIANVMKKDIIVMAATGVPLQQIGEM VQSTSYQGFPVVKSESDRTIIGFVRKNELRYALDKARRTRNLSSNALCTFQHTPPLTT DKPSAPEHLQRPDIVIPGRTGSIFRSPSMGAGGFARRESGVEVEEVDFGEYVDEIPLT VSPKMPLEIVLQLFRRMGPRVILVSDQGKLVGLVTVKDVLRHEVVEHHRHTRLTSANT AGISPMTPRNPYTHSREDSSSTAGGGGWDLGLGHQPDSIEGNGNGLEIALEEGFAWLR VQGSRAYNVLYDQWRNTIGRGRTRDRPNMDFDLNEE L199_002908 MGLLSSTKPIQSEIIEMPSSSSPPESQIIDSSAAEMGQEDPKKE KDQSQQGGSGKVIDAVWGEIDLSDGSGPNYRNLSWVKASVLEVKTQIGLGVLGLPAAL NTLGFVPGILCILAIAIIITWSDYVVGTFKMNHPEVYTVADVGYIMFGPWGREILGFA YLLEVVATGGASFLSISVAFNAITDHATCSVVWAIIGAVAVGILSSIQTLGKISWLGW VGLVSIMSAIITLMIGVGISSKPSLAPEGIQGVDWQITTQAVAHPSFVEGINAVCIII FAYAGTPNFFNIVGEMKNPEDFTKSVLVGQTAITTIYLVVAAVVYHYVGQYIASPALG SAGVVLKRVCYGLALPGLAVGGTLFMHVAAKYIFVRVLRNSRHLAKNTPTHYFAWYGC VILVCAVGFIIAEAIPFFNDLLSLIGALLATVICIQMEAFMWMWDNIRSPTRGTLSWN LLMAMNILFFVLGWFLMIAGTYGSVVTINDNFKSGDVGSAFSCADNS L199_002909 MVALSNHPIRALSPITELTTPTSLRTLRLPLDEGDYQSERNPLS HHDPDEEEDDASVYSQLSAETVRNHSQPQQLSTPTRQRTLSLPVTPTPPPRSPHRLNW NTSNTSMSNSVLFPQPQPAPGGLPPPPRAQVSTKPKLIRRVTPPPASPLLKGNDNENA ARRDVIASNMAGVGAGRSLSQEGRARPIGVVDGGSPKRASSNTYSHLDLHNVPLLDFP LTSKQPLTPPRSRPNSLKKRPKSYHGSRTNSIRSRPNSFHGSPSKGNSTTSLVNPALG LDPITTPMHVQAEVLVVNPLESGHTSKQSARPTLVTRQSESSLPSRAQSARSATPTKK SPRRRRVSSIFSSIFGTNEDKDKEHVARKSSRNSRKTSGSGMSSRGPSPSLSSPILSD SPVQEDPMGPIQSAIRTSGTFGIRDDGSELSASAPGYIGSPPRHTFDRTGSGNGSVTT QSEGVKRVLYVENVISTAEKEEGENVIGTDITESREALGDSGQGSHSTATDHRTPPHS KVTPIAMVGLLPPNGPHQPLPHASPELLPHDPSFGRSMSLSPNGSGPSRSNSTSSDYH RANILLNQGRLVYTPTSIDMHHSSSSHLPLSGIPENMVDESIQTSLQSSPAKSTRSRP LPRPPSTTTSPIATTRPTFIPPLPPSTVPTTELPLLIASHLLSTHAAALLRHSSSIKE VSETMHKMARESLDWGGVLMGMAQRNESVDGLPRISENQPQHAGGYEGIPLPNPAAAF DRPDLPRSATYMNYGSAHAAPTPTQDPIQQAYNALNGDTPTLRNIPPRSHVNSTQPPK PEVRRRKGESLPADLLKEAQRLGDEGWTNLHKAEEAWSEAMRGLAEIIRSQAADQSNQ PDQIQGNGESTGYRLGIASIVPTIGGYSPSPSSDHVNPRYTMPTPLSPVPTALSYPLG SPNTVHRHTSMSFLPDDGDMRSPVPLTPSASSHSHSHMSFPNGIPQLQGHPFQNALNS VTPIPPPMMKRDEDHSQRTLKLRMRPHSTQPIPTGSQGYTPEHLGFNSAVPIPVPSPS TAPLSSSVGMDDGRDKMTPSRSTMNTPSNSPHSHGQNQGVGESTIRGTTRRKLAKKQP PPPPSSSSKILGTVGESGSAAGSFMASENGSMKKNKHWWNRKKGE L199_002910 MSTQSSKLSLNTRYSLQPPCGLPSPSSHTRSSSTPPSTRILTVQ PNLSLEDGKYREATTLDDEDDLESDTLISCFGSLSVSSLPPKSDSGGPIITRFPRPPP PQPSSELGTREEQRRIYLGPKLSDRATGGPDAGPLIDRFSCLFQDQYNCVSNPKGIVS LGVAENFLMQDECMELISTALKDNFTPLDLSYGDSLWGSRRINRALAGLFNEYFDPAE AVRPEQIITGVGCSAVLDQLFYTLMDEGEAILLAAPYYTGFDRDLIGRGRVRLVPVHV PIGEAAVQPDCLKLFEDKLKEVESEGIRVRAVILCNPQNPLGRTYPRETLLSYAKFCE EKDLHLVSDEIYALSVYDNPDFPQAHPFTSMLSLSVEKELGIEFDKARLHVVYGMSKD FCSNGLRIGSLVSQHNPLLLRAMANTSMLMKISSPADVIWSTLLLDSARLKAFISLNR KRLTEAHGFIRKWFDDRGVEVANSNAGNFIWVNLGKSLGFDDALTEKKVFQRLLDGGV YIAPGSAYHYHIAGWYRITFSVPRGNLLVGLDKIQSILNLNLIDQDPIPN L199_002911 MSDFHPTPSADLLALLQDLRLSVPRVIQSPPTQPRRASVALIIR LKPAPELIFEGHEPDGYVGGGELIKESDFGLGYTLDDFFRLPWVNHPNTIPEILFIRR APHHSPSSSSSSSASSSGSSNSSHSRWSSHIAFPGGRHEPDDQSAYFTALRETWEEIG VDLAEKEFLHVGRLDEREVTTSLGKRLLMILSPFVFLQTSPFSPTPELQATEVSSIHW IPLSSLSPPFEADQWSHVEIDIGSRLSPRNRFVRWCLRNLIGKMQFGCVLLPDEPETV AEGFNPLDEFDDIPEGSGSWFNDGKRHLRLWGLTLGMTLDLIAHYPSSTPAHLVLPPS KPSSPTKSEFDHDERDRQIDFIPDPKTPVTVQSSFEDQWEAARLALEESKKNGTPMAN GHGVGAGMSVKGELGLKVDVDVLEGGKRKEKSKNKRRRGVGPGMTAVFPRFSYPDVNF WIWVFGRRYRQVIQGWENSVRGPDRAADRRVNWSGQALATFYSAVRQALVVTIIMRAL LSGVGIAGLSWLFIRGLSGGHGTEL L199_002912 MSSFSIHKAALEGQPGLIRSLLSEDPKLVNSKDEDGRTPLHWAS TTGNLNVLQLILSYHPELESRDSMGWTALIIASASNQVEVVRELLEAGAQVDAINEKG QTALHYAASKGNVSIGRLLISRGADINVKDRASQFPLHRAATTGNHAFLSLLLNPPEG RPKTRLNGADRAGNTPLHLAFESGHGDAAVTLIEAGADRERSNSEGQVPEEIEGVGGQ EQKNVRNYVVSKVGPRRE L199_002913 MTGRKGKTPEEVSDPDIVDDIQDTERAGKENGHSPFIGFAAGIC SGWTKLVVGHPFDTIKTRLQCTPTGTFNGAWDCFTKTISKEGPRALYKGASVPAVSWG ITDSILMGSLHNYRAILLRHGFAERTPKNDGKRLSLLGHSVAGLFAGWTNASIAHPTE VIKCKLQLQLVQPARVPKQFSGPFDVVRQTVAEHGITGMWRGLGASFIYRSCFAAMFG GFEIFNRLFKSFDGTKYEMSTELANFLAGGMASNMYWFTALPLDNIKNRIMVDSIKNP RYKGVFDAYRQVWRETYSPAKGFGWNSIARVKNFYKGFVPVVLRAFPTNAAALAVWEG VMRWSNAT L199_002914 MMISTFAQIRAAPLRRLLSRKQVFRQKKNRSTHSYSTTLTLDDA LVDQDPYRRIRFEHPLYMLDRSLESGRLAVAKSFWRDAHSIEPFLLPFWQVHLLCDQK SSIQWESPIGIVESGPDFRYYSDIGMSITAVPKDHWASGIYIPWSIRLSTEKISMLYK QSGGSLDFLPSESPSIYSHPFSQILDPFMHEIWNPHTAPKFAYGPPDLKGKEERIIML QGSEETQFYEAFVPYGIRVYAIPVYRLMYRVGLYRGFGVMDGQMPMMNNNTFRLDWKH GGFRTLIPYLHTRIGHHHRRWTAPDEISEPEIVNAAFNPPSKIQELIKALFDGMWNRG SITPTMWENERILPEFGPARDGNAQTLDEYNKAALKGLTLPLEPRFPIKQTQSASKTS LFNPRNPRPKSAESSSINTSAKEEKERLARQYGGNNTQRRRTLHYRDQAEIRLNNVRR SMRMTPEEEYISKIQTFLPDPKGYYDVLGIRDPPKDFLKAEKREYIDALISSHRNDES FKAHPDYGGSVVKQRNLNEAFENLETLEKRRQYYADSRLGYRFNR L199_002915 MALVTISGFPCSGKTTRAKQLKEYFESRISSPEYDGPELTVVIV DDDISHVPRSSYDTSALEKPARASLFSNVSRSLGSDTITIVDSANYIKGYRYQMYCAA REARVRVATIHVVAPPDKCREWHGKRGECSYKPATFDNLIMRYEEPSSMVRWDSPLFT IPWDEQPPFEDIWNAILKGDKKPPPAAVLQRNKPPPNTLQTLTKTTQFIVTSLLSHIS SLPGTSTYPIPSPPAPQPTNKGPLVLHLPSRKLTLSEMQRLKRQFEGVQVKAQQSGGL AASGNWTEEEVAVGFVRFLEEIWDTA L199_002916 MPSSRDDHDKPLRQALDPISSPYMSSINGTLSIAKEVLMGSFQS EHRRSESTRILSDLAPSLMQPRFLNAASTYGPSRSLGKPPSRPSSLMRLPTSLPYGIS NVGVKPTSSSLAIIEAVDRLSALSLTTPEEGLAGDQPSLIKGFKATIPSSELAKQRRR LIRGGIIDQDLGNEKLGLKKLGDRARGLLTNHEEDQEGEGELDIGRKAKRRKRQRESR RISEGRHLEGKLHLEDLVQQADEIQQDKENLHVRQSLIHAEILEVSAKIDALEDIRRR LETSLLHLQEEDLELDDELEGVQELMASPAIKSAAGTRALPPSTAAAVTKKSSRRRKG PAFLPSEHDELPKGVAFMTLNGHTGPITALDFNEPYGMLVTAGQDDVVKVWDLCDGEE IGQLRGHTGTVKALQVEDTLCLTGGSDGAIRLWDLRMVEDYEERLQTKLEELARQDPL ERIANQNQKLHDEHDDDGEDLGKEKDEFDWEEGPSHITQATQVDIASPCVRTLEGHSK SVTALYYEDGCLVTGSSDKTIRQWDVATGQCVLTMDILWAISNPPPAPAPTPAPAPKL RHRSSTSFGSIHYDDILPSPGASLVGMSGASLLSAVAGNQFAVPTPPYADGSWEMYQD FVGGVQFWGYALASGSGDGGVRMWDMRTGQAHRTLAGHTAPVTCLQFDEMNIVTGSLD KTIRIWDLRMGQASEVHRYEYPVTALQFDSRKVVACTGENGLENYNRTTHQHSRLITN GHIKPVEKMRFIDKYLVSGGRDGAAKVWAM L199_002917 MSNTNNSHLTFSSPKPILNGRAKHIKRSTSSICPTDPRTRFEEG TNEFLNLYQPDNVPKTLHAKTPMNLYDINFVFPFHTLQTDHVKLEPFVPSKHLSGFLR LPPSSWTHFGELGPYTERTALEDIESFRIDPRCLLLAVIDLQVERKGKDGFAGVYGLI EVNDEFMQAIFGLINILPLYQRTHINTHSMYLILQYLFEDLNLIRVQYDAVTHNTPSI NSAKKLGFKEEGICRNFNGLVPQYKKVNPKERERKSKSQDMWVASMTDHDWFSNVKVD LERKIERPAVDTYKMIHGEGTGDDEVNGHM L199_002918 MSANPAQVPRTDQSSSMNDNALPFPTQKSSSNNAQSISELSLAV NSSQPPNIVHKKSSMNDSTSNVNLGRQPYMADHTSMPEAGPSSRPSPALTANQKSSLS PLAGTKQKPIVIEEKEEGEISDDEVVETDPVPEPKRSVPQQPAPPPSTVFPQPAIPPS PPQRAIPSHPRGQHSQNRIAPPSTFLNTHNRPLSKTQRKKMERRAKAEMNAQRRQNSP YNQTPYRQYGQQSASTSPASTPHNVAPPAIEGKGQGKEKEREDEEAEVSLELNDTVAP AATNMSPEEVEQYIDIIRNLISEGVSPDTLVQRGASPEYVMKVCQEIVEGTKNRKALW LETREQPRAESEAPSLPPAEPGYKSPSPEVEVVTVNQSGRSGLERIKSDDSNDSNLYS SIERMDKLQNGFAPKAIAKPTQPIRIESYKPGQPSRPLSQPFTYSTIPTEPTHRSKKR QRDSALAVGSDVVLNYDDAATHPAQPAQPAQPKLPPSGARLGTSNPFSVLDTIPLTPP FSPPSIVKAMVQADIPPEPTAPPPPAPPPLTAEQTLQNTLLESRRKAMDSMRRRRAAV PKPISSVQSITQVEVSEESTPVVDDQAVELQKSIEEQMASIEKEVLEAAAATIENNPI VPEPEPEQNEDEEEQMDLDEPEEGEIIPPLIDSSIPEPVIPPFIGSTSLPVRPPRGIK RMHAEDLNENKATSLPSRSLPPAKRKPFGATQRAQRLVLHLDDSDSESSDDEDTPTPN VIFTPTGDVDIIERQRMLEEKEASIRKLKEQIAARMAARKKKVDDNVAGGTPMEKTAS QDTASQVVRNALQPVEGENGSISPIPADVRQLTKELVQAEAEVEAMDIDPVPESIKGE NREMTFEAEPNDDKRRLRSISPSVIQTNVQEAVLNVPSQASTSKFTNYQPLLNRYPQL NNTTVDSSLLPFIQIDTTSSQHDSPNLIDTERLFGHIDRRLLNSIILTRLLQANPSMI ACQAEMGGGTCADRTCKDLHLDKGIIPTDDDLVEYIGQTLSSQGGQYNDNVNIRNAFI EAKEELRRNGPVNLEPDQGIIALMKKVGRNLKHNI L199_002919 MIVEITLLLLLILIAAPLVGEEDRVRCECDCSCSRKYHLNSSYP EVLDDGNDGISENKSRQRLEDKDSQAGTEGGHEQEPIYVGGGGGGFEGEGWNDRSKPY SERSLNAGNRKDHSNRPRPPHLDRSVPDRKSPYIVTNSSRKRKDNYWCAPCQRYFVDS LALQQHQVALHSATTTIMSSSSRNQVPRNGRSLIPEVNHSVVVCEVCSEELPNVTALD MHKVSLHPWSIFCPECLIRFRHAQEAHFHYRQIHHAKSANPLHIDTMLGLIKGNHLIH PSLRTLPLTLQQYRPHHTVQTFPTHTIQNYYECEECNMVFGQPQELEAHKATPLVHGG RIYEADDFPPLGSTAKPSPSPSPSVTDPSPDALAVEANLTVWGMPSFTLDEKKSNTMK EEVWTPQARLTPEPDSSHDTASSSEDGKSTDDVLEDQKAERVSSETNYNMEVVDADRA DLVRSVLASKELSNSDTASSNLKEEEKSQSDNNEITGTIKPIEPNSGPGEANPLGPAE DSTNFAEAIVTDDTSQVQEFNKITSQVELTPYAKAALASAHKISEYPPPEMDDEDNEK YENDSPEVIGGIECHVPSFALTVSPQKKTTSFGSPPLSLDSTPPLTLSGDSSSSLNGS LVLEEEDDAWAASQEVYTNAHSHNRQTFSLDLCATNNGNRPKPKSYSESGSSSNGSRQ SRNRNRRSERSCNTRRETYLTPSQREVYGYGFSRNERRDSSSSSSRSKAPPQAPLQPM FESEWSTITPMSSGNRSMGGRIIRGSPWEASRREAEERNRLVSAGGSEEDMYGGW L199_002920 MTRTAHHPHPTPSFPVYCLDWADDDTLILGGGGGASRSGIQNKL KVCKVTKDGKSVKYLSEYTLSNEEDAPMTLAIDRKSKQLITGVNGSSSLVKEGKNEHC RVYSYSDEKVDFVKGQKTIQAEWSDDYPYQKLTSLSPSSKLLVIGTTDDKVTLLHYPS LDIAVPSFQTDSELVDLDWGGEDGDWLIVTTTKSILLYHVISQEKETEKPKLELKQTI YTPSLDINPVAFRSAKFSRNPLTPLTIHAVLNATKSSKRGAPRRSFVVSFGLVSEPSK APLTKEEIKTSQEKSTPGEHQETEGSLGKWDVISRREVGGKPVTVFDVSDDGKLLAYG CSDLSIGILDSKTLAPLLKILHAHSFPPTALRFNSSASLLVSASADNTIRVIVVPPSF GGVSMTVVAFLLAILILILALALRR L199_002921 MRPTLPRLVNILVPVKRSIDYAVKIRVASDGKGVDTNVKHSMNP FDEIAVEEAIRLREKKTTKVDNITAISIGPSKAVDTIRTALAMGADSGIHITTPENAV VEPIAVANALKKIIDDKKFDLVIMGKQAIDDDSGSTGGMLAGLLGWSQGSFASKLEVE DGGKVNVTREIDGGLEKIEAKLPMIVTTDLRLNEPRYASLPNIMKAKKKKIETFKPED LGLDFTPRFETISVSEPPKRQGGGKVESVDELVSKMKEAGVI L199_002922 MVSDSKAFFEAVENRRSYYSLTKESPLSNDQLKELVEKAVKFAP TSFNGQQSRAVLVTGKKHEEVWDAVLKAYIGTLGGDKQQEAFWTDKINTQYKAGYGTV LFFEDQDVINAFSGKMPYLSQHFPIWSENSAGILQYIVWTALEAEGYGASLQHFGGFV PQVQTELTNLLDVSAQWKSTAILPFGKPAGPPGQPGKPAKEFEPLEKRTKFFFD L199_002923 MAPKKEVKEKQVKGDEAEEMVLNYMKETNRPYANADVSANLKNR VPKAAAVKVLATLAEKGQLTVKPYGKQLIYLYNQSLLDVLAPEEVASLDEEIKETKSE LEERRKELKSLQSELVSKEALPKTKDLAKEIEKVKADNEITLKALAPFRSTSDGEAAV NPLSAEETKKINMEFTKWRKEWVDRRKIYKELLGMLTDGGQIDNVQSFEEDQGITPDD DDAKEVEQGEFVKVPLPIRPTNNKRPMSVGAQKVTNGPTKRNNSDVPPLSQEDVSGAK KKKAKKA L199_002924 MPPKAKAAKDDKTFGMKNKNKSSKVQKYIATVQKQQADGGKSKA DKAKEAEKQKKEEAKASALAKKKMESELFKTAQVQKVPFGTDPKTVLCVFFKNGHCEK GNKCKFSHDLNVGRKVEKLNIYEDARAQKKADTMDTWDEEKLRNVVTQNEKKQTNATD IVCKYFIQAIEDKKYGWFWECPNGGDKCMYRHALPPGFVLKADKKAAEDAAKKDKISL EEFLEVERHKLKAPLTPVTPESFAHWKKTRQEKKQAEQEALEKAKATQRAAGKMTGMT GKDMFEFGGELYEDDDEGDEEDWDISRMLARYREDDTRPDGYDDQPTKGQNEDDGDDV DGVTNGVGSVKVSG L199_002925 MSDIQSIKIISTEVVDVPKSHVVYVIQVSTPIRTWTVKRRYSDF ISLHSELKSSVGKEPPGSLPAKHWSLIKGVGDDKLIRERRILLEQYLILILTNKDPSF RQSYGFKDFLSVPSNTNPSHPSSVNFTSQSWLLEHTSLQNLLRSARSALLKRDALASM GDSVASRSSSIEAKKILKEASQRIHTLENALGLDSMASMGEGEKKRRYEMVEQLKIER DTLNRMAEVGIRTSTSAFSRASEPSGSSSNGSSAFAPTGTTGPTGSNGGSLSSLPGGF PQGQQISIGRTFGVKSPPQETTETRPLDDRGLLQLQVQKMDNQDDQLKELSKLLQRQK QMGEEIHQEIGEQNDLLDEIESGVDKTGRKLGKTKRELNRLA L199_002926 MLAGPSSTGQGSPVTLIPNERWVPPTPSTRSRATSEAPTAISHA INQPFQPPAQVTPANTLVSISSIIAPNQAWTRAVSEMSQNEHHQENQVSVKLTTAEKA ASSSTFLPSPRLSHNLKNGPIKIESFVPTPRHTPRPSTHINPPGHTSESSSSAILLST LPDNPSSKAAGKRKADSELPEESPFRYRDGSIFTSVKRERGKLSSLTDLKPHNPIPIL PLPLPSKRFKKGPTAKALLIPVEQLPVFPLPPMPPINNPDLLKQVFTHQSLFERPKGR FEDPENDPIKHYEKLEHVGDSILGVIVTTWLHETKPNLTIGTATKLKAHLVSNATLSH LSGLYNLPQRLNGHPELLPVLRAQTDVRAALMEAYIAGLYFSFPLEDRLGEGIKAIDN WLRGMYEPLYDFFYNYMKKEFEQHHLTVGATSDGRSIYLENEEELKKADEAAIGMSKL VECYCSSAERELRWQEEKIYTNQGLLWKTKCLVDGIELSEALRSFRRIAKNVAGLTAA KKLGLTNEP L199_002927 MTSFNASMAAASSSTRQGSSSRRPSPVKPQDVEDDLASRSSSPR VIDRKGKGKERMVDFAPEPTAVPDRLEGGSEDDEREFREDSDTEDEDEDEENYEAERQ RRIRENQLILAELGIEPSGSNTNIAGTSSSSNIKQNGHSSPQPHVTSSKKRRNAGDIP IYDRSGYILSLPPEGQTHTMACIEIPSDRRLKKRISDGEYTDCSHWVKGEARRWKYGF GKGGDDLPKNEPEDLGGVTKEFRWRRWRGIERELRREMKQRGELVEMDARPVERVIPE GVSAYSLIPGEPCHQCRRKSDKPKMKCRNVNPICRATFCETCCKRYSYFDFDEESRSF ICPLCKDCCNCSNCIRKKNLAHLLGVSKGKIQRKSLKYAMGAEAGDQLSVQAWLEKAV KDVSKAPFDLIRIVDQDKDIISPEITLFEEEEIGETIVVEKPKAKRARKNQPFASIGQ KVKVHPPMVRQDDCGVDKPKAERGRKKKVVDANEEEIINNLPERKQVKTNTNNLVVKL KIPKLIQNDMSIKPVAQAERVKEVDSDGDTVGDWSDDEAIDGGDDSPLTSLSSLPSES PRPPPRLPLPPRPIYAPIMNMNTDEYLSSLPGVIQHQAFTPPSATSRDAVLHSSTTRE HAARSENSSHSRSSPEDGVDLSHPRKRKRPPPQANIVRPPRHSSFSTSHSSPPGTQAV LDHAPPPILSIDNGGPRNLTGDGSMQSAAPQLLSTTSSQSQRMDIGPPPLLSSMSTLT PSSDHRLNYWQSRSTQGFDQTLYPQQQLPSMSPSSPFFPMDYSSSYNNYTSLYNGSSA DSTYLGNMGLGGPPPYSHSPPSGSGRRSLVLSPNTERQYLRMNHHTSSSVNETRTISP NSITLPLPNIKGKLMNVESNSNHLGVLSTAGEIKEDDKFDNSKNSSRQTE L199_002928 MTTPSTPGDSSLATSVYSLSSKSPTEAEQYKARAANWRFSTLCA SVDNKDQYGASSTPIYQTATFKGMDGQYDYTRSGNPTRGGLENHLARLYGATQAFALS TGMTCLDTILRLVKPGETVLAGDDLYGGTNRLLTYLGTHGGVKVIHADTTRIEALRPY LQPGNKVRMVLLESPTNPLLKIADLEGISKEVKQSSPDALIVVDNTMMSPYLQRPLEL GADIVYDSGTKYLSGHHDLMAGIIAVKRPEICKDIAFLINSVGSGLAPFDSFLLLRGV KTMSLRMDRQMATAQLVALYLDSLGFLVHYPGLKNHPKRDIHWKQATGAGAVLSFVTG DKALSERIVGGTRLWGISVSFGAVNSLISMPCLMSHASISAAVRAERGLPENLIRLCV GIEDPRDLMDDLEHSLLSAGAITPNLSHSPLSHSRSAELYASDPEAWILERAKGFKRP SSESSAIDKLVSGVKKGLGFTSAERKTIAEDIVVSAPGKVILFGEHAVVHGVTAIASS VNLRCFAVLSPRSDGKVALEVPNVGVEAEWDISKLPWGLLPVHADTHRHVADKDLDPA LLQSIEKLVHEHKELGKTGLNSCIAYLYLYMVMAGAEPEAPSVTFTATANLPISAGLG SSAAYSTCIASSLLIAHSHINKPTSGQSRLSEGETNIVDGWAFLSEKVLHGTPSGIDN AVSVRGGAVAFTRSVGGRKGGLDGLHGFSSIRLLLTNTLVPRDTKSLVAGVSAKRLAE PHVVDPVLDAIQSISDEASTLLSGQTHVERKELIARLETLIRENHAHLVNLGVSHPSL EMVVSATAAEPFNLATKLTGAGGGGCAVTLIPDAFPQSSLDALITTLESQGFQPHLTS VGGPGLGIHSPSTQKEDKIRTPEEGEGMIVPKRVSLRETPIEGLQTWSERVGNWVHT L199_002929 MVQVYRKTHSYPDPPPTPLLAFFLRYPNPFARHVLSVDVLSRTV NPSTGQIHTTRLILKRGILPKWATRWLPNAGLSGGRGLDAWILEESIVDPPEWGLAES STSTNTNIPNIGSSSASSSKRRISSESGRNEDDLELEYRRQPRLRVQQGNLNHKKLMH VIEGGEIRAGPNGTTLHHTTAEVRSSFGGAWSNLIRQRIEQYGVGKFETNSETSRKGM SLILTLLRTRHPLPETAEFEFYPPPPPGFNDSWSDIPEAASRGKAKEGSPRSFFLSPG SLGAWVRSRRDNGNGNGQSGDTRNPP L199_002930 MDMDMDVAWCLTCSKQTRDPRSPYCSEACRLQDTDPSANADGPV ALTSPVPFGLIPSPTRPPQAGSSSSHSRDPPARRPSIGPLAPLPSSYRSKPIPRDRRA FSFPATQSVAAIPIKIINSRRPTQTGETLQFARRTNPVAVNMTASPSVGGLSVPRVKG FDKLSKTTGANTPVFQDSVFCSTSESSDNEGADIKDVSPMKIPKPLTPLETTVRPPNI KRTSVSNTFMVASPRKDTFISSPQPSVRPPFISRKSPSPVAAMVASSASSKSREDIVS WLNEVKRLPTKDEDEHDSDHLDKSCEPRGRSRTRREVLANLPPPSQESLDEQYEGENG IYGTTPKGRIGSALAGLSNFGGFGVGPIVKALTGVTATNSSQQTSAAAPPTTGLGLQS VPAPAEVSRIAVTVAAPAEPELGPFLQMGGTTPTLSTVSISEFVDPLTDNGDHIDFMT TTDDQSAAGSSSFMRRRFSSVGQGKAPSSLLSVGGKQSPAKPVTSTASAIWNLSSYIR SFAPFSISSVIPPYAPIAAADAQAQNHQPSPRPTMSSQISDRPVPAPVPAARPVTPDE VEESPAQQMVRSLPMDIVMPFGGENTHVDRARQREEVREWLGTPTSSRSPSRSQVRER DASRNKARYRSGSGCHSRSASHSNSRSRHGRKVSYVADASAEEEGGDSHGINTERRGR SRREKGLRGTATEDRSRRGSDVRMEDVEDERGRGRGRERDRTVRV L199_002931 MSTATTQSPRSRSVIKAVYANEVSEGVGAKVRRSIGSPELRNLS PFLMLDHFRATPGAGFPDHPHRGQTTVTYMIDGMSKHEDFLGNEGLLEPGDVQWMTAG KGIMHSEIPYFDPDPARAVTPVGMQLWIDLPKEQKFIEPSYQEKKAKDIDVITPSDGV EITIISGESHGIKGFVRPVGGCWYFNIRLTKSGAKVFQPIPEGWTTFIYIVSGNIQIG DDPTIYDKFNTLVLSSQDGQNGVHLQRPESPTDDEEEETRFMLVAGQPLDQPVVQYGP FVVNTNRQAMEAIRDFQSGKNGFENAVGWESKIGKEFRAH L199_002932 MSTSIQDDTQSGETQPIEVITKDGMRLISPSTAPPEDASITRTD PYEVKPARSFYDLHQVRQEMKHAPLNEGHLPPSEKVWSYYADEGRSRTLRDGFTEFVD FVHNKGSTQKKKTVDILRSGRRGEGENSDIDRVMFRWGSRGDRKILTEDDQSWNLVVD MGEELQYPRSRLISSRDATLSLPNYTGQGLDYRMIHHLPTCLAQASSRDVLTKFRNHP STQTIKLTDVDRWLEESMVEHLPKIFQCEYKSLEEYADLSGARTGVPMRWDILGDGIE GTTLIHSRAGDGKKPVMMPELQLRFSSAWSVFKKEERNE L199_002933 MQVTRSLQTITSSSLRAGSSSTSITRCIRPRSAQADRYLAIHHF FTRQASLTRHVPLVSIRPTTGLFSHRQKLAFGRRWSSTDNSKAPCPNCQGSSVTNTPL TPPPPSTTTYHQGHAQDYAPFIRRLIKNSTAIAPNSPHRPTKEELLNAASGWWQRLRI RIKWFTIRGWRRFNTDDMSAFASWFVVGNTLWILVGTTTFVSAIFAVLNSLSLQEYVA RWISDYMTYNTGVTVIFESAIVPKWASSTIVFRNVYVSRRPSTDNPEPEVHKTKQLKA ETAKPPSPIPFLSSAMSPETYLAPPLASETDNYTMFDVNIDEVEVSLSLKRWLDGKGL VKDAKVKGVRGVVDRRSVWWDTSKPLSPAEYRHETHSGDFEFDSFQVEDALITIYQPG GQRPYNVSIFNAVVGPLRKRWLFYDMMCAEGMTGQFDNCLFSLHMPQKLGKTRDDGDM VKRMARFRIDGLPIEHAQYATGHTGPMSWITSGKLDAVLDIKFPFHPDDSVDIKAIFD EISRNVVTITQGVHPEDPTNKVIPGQARLARPPLRAPKEPGYELSEEERETRRQVVVD LDLRFRDLKAAVPIYTTDLSVANNALIRPIVAFINANKTLVPIHCQVAADLDDFDGSW TLFETGLMTSLSDQIYAALAHHVSSEAANSKRIRQVGFWGIQRGAEVLVDTLRNVVDP AHAQLAAI L199_002934 MGNISSMARASTALDSYVAELGNDLSYDKSLSSSRFLKTILARH AYGPIVLKIFIKPDPAMSLRVIQRRLKTERDNLSDLPNVNTYQAFVETDKAGYLIRQW VGSNLYDRVSTQPYLASIEKKWIAFQLLTCLRDARLRKVAHGDVKSENILLTTDLTLL LTDFSSSFKPTYLPLDDPSDFSFFFDTSSRRTCYIAPERFYTSDSKLAEEKRKVPGEG EFEGWGKRDGRVTEEMDVFSAGCVLAEMWTDGRTVFNLSELFAYRQGTVGLEGLLENI DDNEVKEMISQMLSRDPSSRPSFDRILSNFRETIFPEYFYTFLKDYITSLSETTGSQD NTNPEITFLQKSAGLCGMKIDRLLDEWESISVHLDKGPSPDGPALLLLNIVTSSIRNC IWPSSRLHGLQLFLNLVPYLFDEDKVDRIIPFVVELLADDVPIVRAEACRTLVIVVES VNSITPQNSTFIPEYLLPQMRHLAVDNDIFVRATYAKGLVRLADAAVNMLEMSQATKP IGGPSSESSTIIEPDYDAMLQEIQSVVEEQATTLLVDTAPPVKRSILASISDLCLFFG RQKSNETVLSHIMTYLNDRDWQLRLAFFDGIVGVGAFIGVRAIEEYVLPLMLQALADP EEAVVARVISSMTSLTSLGLLARMKLWDVFAAVRGFLCHPNMWIRQGTAGFIAAAARN LPPTDVWCILYPGIRPLLHADIREMNEISILSAILPPLPRSTLIAAKVAALQNTPKGY WNIPQSKGTTKSSLVKAAQGQVTTSQQLRDKGITEKDERKIEVMKEFIVKQANASKAR ESSELSSSEADLVAGKSVSLTDLGVTPQTIFISPRTIGVDAKADIKRLRPHLSDSPIS RRTSFASRSNRGTVDNPLDEIRKKLATLEPLTITKPDKTPAEPLLTSNTESNATPSES GLSSSIDLAALSRSSRKKIDSKAAPAVAASHTNATGTTTIHDEPLSGRTTPLATGGFA PATPRSIAPYSSSYEGQDPGVRAFLEQVDLDNYREPLLDFGPRVTASHRKRNPRVKNT SSTPQGVTMIAHLTQHEGAITAIVTSPDSLFFATSSEDASIHIWDSARLERSVTTKPR LTYRMDARVASMCRIENTHCLAAAAEDGQLHVLRVHVGSSGGSTKYGKVECIRSWTAS EKEGYVKLVAHLQDSSLLLVTSTSMIAILDIRNMEITKRFQHPLELGVVTALCPSTHW LVISTSTGTLSLWDLRFGLLLKSWKAGGEVTSLKLHPSKGKGRWIMASVMPPLSIGSP KATLGSENEDNSGPLVEAYDIETSKLMEIYEVRTSRPSKHPDPPKEVEDVISDKAAYI SQLAQSAESVLGSLTKTITAEDFSNSSSPSATPKSVLDLIAGQSWSSLPRTDESVLMS VPELGTKNDHSGSGNPGWMVTAGDDRVVRYWDLVKVQDGFVVCGSQKEKDVSFKQMNG SGPTHIYTLPNVHRHPNVPTRERERQPLRPHYDAICKLGTVETPFSSCIISGDRSGVV KVWRMEGGPVSNR L199_002935 MSYKSMILSAFVYTALTAKADIPRYAQKIDPRSFAVLDSVPTGT QFNGTSHFIPPGTTSDSLKAKPFHIYDDAFYDIIGSDPTLTLLAQTETDPIFHEAVVW HPETNSVYFAQNAGPVAAGTGLNKSAIVQKIYLDEADKIVAQGNGSGFVNVTTVNSGQ QVINPNGGTNFRGRIVFTGEGQGDNIAPAMYLVDPNNNDNTTVILNNFFGRQYDSLND VAVNPRNHELYFTDGPFGWVQNFRPNPVLPTQVYRFNYDTGVVRAVADGFHMTNGVTF SPDGMYAYVTDTGLSSGFWGYNYTNPSTIYRYDVNDDGNFSNRQLFAYNEIRVPDGIH CDKQGNVYVGNGDGINVYKPDGLLIGRIYLGTTSANFAFTGKGKMVVCAETKLWYVNW NAEGADITSREYTG L199_002936 MRFSPLILLPLVTALPAKQQSSSIPELSVNQWNNIQSGFTDGLR SLSSWSWSKAEDVIDELEAISGIATDNKDDDDKSSLTIWQALKADPHSFSKLVKIIEF EGKAIDYLDDKDLQITFFAPNNDALTPPHHHHDDDDHDHDDSLVELLHNPSLITLSNA LESEPSLLAADEDDHHHHHHHDEDDDPEKRKRRKEIFRKIAGKVLQYHGLTKAYTAQE LAQNSTIATALKAEDGSYGGLHRRIRIDKHFVPPSLKINFYAKVLVSDIKARNGYFHT LNHPLIPPGSIIEELFLFPDVFSTLTSSVQKIHGRRYLDWSYDKEHSKPGHPEFHGKP LLTLFAPTNIAFAAIPPKLKFYLFSPFGEHALTKLLAYHYIPNTLLLSELLYTQKEKK SQIDSFVDTLGDYEYFNLGDDPSFHKEIEISPALPNSTLKIEIDKTKFLPVEGAVKTT IKVNGQEVKVIDVPARNGATHVIDHLLIPPHHHHDHHGKDIAHLDSWENWEEWFPSWV DQF L199_002937 MASTAYRDKSQTSAWIPPESPTTTTAANADFSTVKKYFRREITH DEDSIRQDLGIPSVSTYPKHRQFLSGFKIVFIVSDNLEGAFYFVDGCKIKEDTKRKKN EFISVDAVDEKELFEEIVLWNFASRYIRNFVTELGGHFQVISQYVKFEENPSNLIFVT APHANPTIPNRYLNRRSTLYSSPYRFIEYFGTRESDGKDGKSQKSTSSESEFDENGSS GVQEDKLASKVVEDIGRWEIKTKSNDLDGDEQDTKIDGPARKLAVDDACNEAGQVVHA VNDRKRIVSAETEKDTDKEHSPKKGKTHQ L199_002938 MDHGDHSGHGGHSMPGMEHGMPACSMNMLWNNQVANTCVVFESW HISGPVTMAISCIAVIAISFGYSTLLNTIKSMDRKIALSLYQTSPPNRRESVHPNGIR RESSIPPVAGGYSAIEGGALAKAGMTRLSLSTRLTRAFLYALSVAISFWLMLVAMTYN TYLFASIIIGAFLGHVMYEGEMDVGSVLGGGNGKGLACH L199_002939 MADEKKPWLGRETWPTVWIWICFLFNLVGILALIILIVAEVFII VANFHNYVAQYGHHYPARGYQGGDGVGYVDWTNAPKHMGSAVWMILYQLSIIVLITLT IASDLAWRASSYNAWGGRWIESFYATGLMSGPNHPNMMYYVAANAFFKLFIVILYMSQ SFYGMEGTTSHKSPYAGKDHSSPTYYYDDDNGGGGYNGDYHGGNDWRRNNDSSRPPWR IYGTEAFVYYMGWIVLFSILPSMIAFLYLTNWGKEKAEAAKSADAEKKGEEKK L199_002940 MNGVENNHASSSRPPSPSRTNIVYIPEGGYDAQPQPPFDYHSLD GQDPSICIDNGAHSWRAGFSSMSTPYVDRPNIIAKYRERKFGRNLLLFGRDTEVDANS RSNIRTMFDGDLLIHSDLLECALDMTFCTLGIDSPKIEQPIVMTERLANPLFSRAMTS ELLFELYNAPSVTFGIDSLFAFSRQKHQDGLAVSLGHQASTVIPVVNGRGIMSRAKRI PWGGAQASELMLKLAQLKYPAFPTKVTPSQATFMFRETCYFSTDYDKELNSLADPAKL SEMTKIVQFPFTAPEVNEKTEAELAAAAERRKEQGKRLQEMQAKQRAQKLAAKVAELE EYKLLQSERPQYKKAEFLSRLQEMTPFETEAEMDSWIKKTEIEVRKKQRKDLGMEEEP EEEPVFPLVDRPDSELNEEEIKEKRRQRLMKAGWEARVKAREEKKKEREKLEEEKRLE EEERTTNLTGWSSRLKEEQESVIARIQERKKRKAQLGDRKSAAAQNRMKNIASLAAEE KTSGSKKRKKGEDDDGFGMDDSDWHVYREIGGDDESEAEEDDQLLLQSLESRLLQYDP SFTEENTLIGRAEAKNKLINAFVRGGLPTGQKYDFDDIKLNHQLHLNVERIRVPETWF QPSMWGIDSAGLGEVAGWVLNGFEEEEKRRLMQCIFVTGGGANIPNLIPRLRNTLTPI FPFRAPLKIVSSLDGVDPRLEAWKGMAEWSNTAEAKEARVTRAEYEEYGGEWLKEHKW GNVAP L199_002941 MSLVRIRQCIRLGRNSKPILGLLICGRSYSTTDTIRKAASRSEK ITTSFNLSDLPTRKLGDDGQPLPPLGKWREHVVSHANVDDLQNASPQLDTAVLSPAEE QAEIDRAIAAGIIPSSDLSREVYTNWLRFPDCILLTRVGKFYESYFEPARQLSSILNI KLAQKSYKGKDIERKFPFAGFPVAALDKYLKILVQELGHTVVIVEEYDEEGSIAYVGK KLTAATGPKERRVYRVVTPGTMIDEGWLDGNESRYLLAISLGKDNIDLDGKKSTQVSL AYTDASTGEFFTKETTLANIEDELARIAPREVVLDRSLLSIWQDNTIRPNSNIGIATT DLFNLLQVLGVHVSFADPYQSPPLWSSNILPSDIPSRPRTTEGIAIALLRHHLQYALR ESMPALTQPSRQVSEAQMQIDAATLQALEIRHALRPGGLVAIGERQTISPVSSRGTLL SVISKTVTQSGHRLLIRTLTSPSTSLEIINSRLNLVQAFHDREDLREELRDILRGIED VMRIVQRFRGQRGSNRDIWDVGVWIRTVEGILIRVKGELAYEIQNDKDRAGSEGVLRL QELIGQFQPLGDLAKKIEDSIDENAIMWSSTPEESEDGDEDPGETMIANEGERASSKE LKESKKDKIDREKQEKEDRQWWILPTFSKELQALHEELATLKYEKEKLQYELAKRYAT PNLKLLKGMRYGYHVQMTSSEASKVEKARSLERIGGTSGKIAYFAHGPWSALGAKTEI AMETLSIAQRRAERDLRSMITEQADRIQKNAELVDEIDLTMSFAQNAKEMGWVRPELD DSTDLDIIAGRHPSIESSLLQSSRTFTPNDTHMNSSSHLHIITGPNQGGKSTLLRQTA VIAILAQSGSFVPAETARIGIVDKVFSRIGARDDLWRDRSTFMLEMVETAAILKNATR NSLVIMDEIGRGTTLQAGVSIAYSTLDYILNHIGCRTMFATHYHELGRMLAYEDDRND GKGMGRKGVEYWCTDVDELDQAFSYSYKVKPGINHQSHAIKAARLAGMPESFLQTAED TLKHVQKTGQFDPPPTVSQPENT L199_002942 MYHSSGHGRGAEQAPLLRPTIVTIQSHHPPRRRHKRNGRHPVPV FSIIFTLILLLIVAFLAWDVSSYGHCYVTPLCKALSGRNGLEQTWWRNQGPYAPFRPL GPGGGNKDLPKGCEISQVTVLHRHAARYPTSSVGECLLSAMKKLENRDVQMPRRHPEL AFLTKADLKLKDWDFDGLTDQGRKQSWISGRQVKQMYGSFLRNAEGVFTRSSGGERVV ETSGYWLEGFRGERFKLRDVSKLPKVDLVIPEGEAFNNTLSVHSCPAFQNLSPKPSEL RFTDLSPLLEPTLNRLNAVLKPRPALDTDDLVCLADMCGYDSQSKGTEWEGWSKWCGI FTKEEWEIFGHGKDLKRWYDLGETSRYGPTMGAGYVNELLARLTDSDVIDNTTTNHTL DSDERTFPKGGKRFFVDFGHDNEILETISALGLLTQHRPLPTTSVPPKRTYILSRIVP FGARIAFERVSCKMGNWEPDPEAGSPLRDDQGNDGKRDYIRILINDKIESGNHPSCEF SGLANFGLCELDSFVDSQQFARQDVDWSICYEEDDENDENERG L199_002943 MSFLPTLRAAAPSSSAIQTLAKPFLSSRVAPRRYVQLRNLSSTS TQHAAKMSKSRSVQDVERELEEQKQMLARASRTNPGMDVGVQNLPVFESYVDPQKPVW YFAPYTGDRTYKEERKERASLTRQSLNEIIKRGALPPYQNDMRRSAPDAWRQFMLWTR RQNYFKKSMIYFNKMYQDYMKIQAEGTIGQASAISKDNALAAALNVIRGRKDTMSWEL IKENRPPYLVSSRMTVVDPRDMKMAAQVVIRFDTQQALTTQKKGQQPIRREQRVIENI IFEIFPVDITCDWKVKGKLIEQQEVKKD L199_002944 MPRPRDVDLFLSSYAGQSSSSLGDKNYQFYSNQIPCQPDGLKYE EWMKRYENDMVELEMNHGYVQWFFPIRERGVNPLAQPLTPDEIDKMKVDETIQDRLLR SYKMMLSFYGIDFNNGKLKLSQDHKQRFRNLRDHSHNLLRLTRILKHLSEFPLLQPHA ASLVLFFAAVHSEGLLNFEEGSMRGNSLDQWWSNCFRDEVERKAVRSIVRNRGGFGEK DWGWKEFEKWYDSRETNGE L199_002945 MALPNPPPYPPPQSSTLYHLDSPPSTNGTTSTAPAPSVQSLLDQ QLTITLLDRRTIIGYLICIDHDQNFILRDSEEYKPLYPKPIEQLSSEEIEKWDEVRRN RIEYWPRSDPDVVNSTDTALERGWGGRNVGLVCIRGKDIGKVEADKGVWKGLGRGMKV L199_002946 MPVPKGIASRNRVLALAGLLFSLLFLLHFLFPGSNKLLAHTQRW TTASSSVIRSKFLRAKAQAPRPPIHHPIPKLMADAEKEYDAKIRRQSKSLHEAVEEYK RRYGMNPPKGFDEWYEFAKSHNSIIIDEYDQLIRDLKPFWLFSGEELRRRCIQVGFLP SVDLVRIEKGQTRTIDVSKGFDDSEVGARAKGFRVMLEKFQAKLPDMDFPINEKAEGR ILVPWEENLFSNLTADSSLGIEHVLGGEFIPDWRGDGNVWEAYRRTCEPSSQARRLFG SLRSHLKEGQAPISRLANAGITSDAVPEDFYFPAGVDDKYDFCAHPWAHYNQGHFFSD WRTIHALYPMFSPAKGVGYSDILIPSHYYFSSTKRYTYGWDPVNMVIKDVDDMETAWE DKSDDIFWRGATTGGGSSPPGFLAQYQRHRFIKMTSDSSDVNKTVVFADPPGTNNFVS ASVPIGQLNQDMMDVAFTKAVGCTQYPGGCDGMRKDHRFADAVPLGENWRHKYLIDID GMGYSARLFALLKSESAVLKSTVYTEFMSEWLQPWLHYIPISQMYQEIYNVHAYFSGP SEAMLEASNATRGTFQAPGLTTRKLDTDAELRKIAKAGRDWMFTVGRKIDMEIYVYRL CLEWGRLTADDREAMTYKG L199_002947 MPYISNETLIGAALIIVLAFGYQYLPSSGPTSTHHSQDGSASKS SKKRAKKKANKAVNVGGPSKGHKEADAIALGQKPEKPKGASIASGQHEKAIRNTKGGV KEEADKASMGLSEERGPQASISSGEGNGKKQKQKLLAERLLPKEPKTKVDDMLAPEDR PGAIARVMKVTSYSKNKNTSNSFAAFTPPTPTQDDEESEEDEKKSLSSGVENGNENGK IAKFEGDYVDLSDNEAAPKKVKENDGWDIVTSKKKKSTSLNISSDPFASHSTSTSLPL PPGAASRQQKKNAKKAEGKKLAREAEEAERQRRLALHKKDLERERINELYASKQSNPN RGKALGKTGNSNSKATLNENGKLVWD L199_002948 MALMGSGENVDLSTVPLSFLEAYPFPAFVLVVPINSKTRPKLIS RDTDITIRNYHNDPYDDVSPLGGPSRQPFPSNPVTWGNEKWYQLTQGRSIGDCIDMNA QNKMQLWIEGEGGPSEELSPQIGKSASKGETGLEGGFVLDIKWPKPCSLLLAKTSLPL SPPSTTHTFCVITSQYIYPTFISPQTTSSSPHLSWSNVTSPTERPSNPSLRSSISTHF RDSVEPTLQQHRISDVSEARSSSFASTADTRSSTTSQHRDSSSTGFRTSIDVGPSASQ IGSPSTTPGSTSNANPASTYFSNSLWGTTTTSREKERDDKVRSRSSRRKHSPHGTRVP VISSSSTKLRPNDNQIEQFWQMVEDIDWSKKSMGDRSLWKDAIDPVLSITFESRSSDC VWLGPDLRLIYNKGYSLLVDHPKAFGSPAREVWAPIWHTIEPYVKQCLAGTPVYKDDD PIFWKRYGNNILLEHYHTWRYVPIVGKDGSIVGIFNQSMEVTEKVLQDRRLASSRDLS ERMLIVRSMDEYFGAITEVLEEYPKDAPFFMCYKIQQVENNASHVQVDAILEASVGVP ENHPSAQQKYSFTLPPTRTRANFGPNVDRMSSPTLSAISALSSGSGRVCHVTSDGHQW PILKALNTRQCVIVDDCRELIEGYSIRQWDELPFAAIVIPICSDGSIDIPDAVIIMGL NVRRPFDAEYDGWVHSIRSQLVQSMSAVKAAESEQRMEEDNIRMERAKAAWFRGAAHD LRSPLTLIAGPLSDLLDDNPTPKQRQAISTAQRNIDRLMRLINALMDFSRLEAGRMEG RFLPTDIGEFTTELAGLFRPAVERLGIQYTIDVEPRDQLTYVDPTLFETVLSNIIGNA LKYTEKGSITVQVRYTDFAEVSVIDTGVGIPEDEVPLVTEWYHRATNAIHAGTQGSGL GLALAKELLRLHDGDLVVTSKVAASPGDTHGSTFTARIPLSFKPSPPTSSASPGTFGK YGAAVANEAMRWTKEDAESSSEGAPTDSGIGSGSKFSDGFLFDKTDTILLVEDNVDMR RYIKQIFSPFCTIIEANNGQEALEIAISNPPNLILSDMLMPKMNGLDLLQEIRNHPNT KIVPMVLLSAIAGDESRVEALMMGAEDYLAKPFKPKELVARVHLHLQVGKKRSHLEKL FNERETEIAVLSDYCPSGIMRADGTNGLITYANRAWREQSDLLLDDANKWPEYVDDET RERLQTTWGDYLKGSEKELRVNWKYNTGKVISGIFIKLDRVNPNMSGILGCTTDITHE EQRLIEAEQRRQEAEESKHQQELLIDLTSHEIRTPVSAILQCSSLVKENLISLKDQLR GSGSIGFCPTKELLDDLEEDVEALESIYQCGLVQERIAGDVLSLARIQLDMLSLYDIE VDLRKEARKVSSVFASEAKTKKIDLQLQFGETLEMGKVMSIKTDPVRLGQVVTNLISN AIRFTSVSDVRRITVKYDISFTPPAEDTCALPHSIGIPSNLPAEEDTPLWLFVSVTDT GPGMSPKELAVLFKRFAQGNKMIHTKYGGSGLGLFICRKITELLGGRIEVLSQLGEGS VFRFFIKTRTVTPPSALAAYVEAANLGALRSPANPTFTPSSPSPSIISGGTGSSSIPT PPPPEDHFAEHVLIVEDNTINQTVLKRQIVKAGLTCDVANNGQEALNLIREAYRQSKR SNNDNSTTTNTKRKKPYDVVLMDLEMPVMDGLTAIKELRKAENAGSLNRNMVIALTGN ARQGQIDQALAAGMDDVVIKPYVLKNLLTKIKAMTVKREELERASRDD L199_002949 MLVTFTPGLRKMEGKEKKGPEEEEEEEEEEQEVVVEEEKVEQVI DKVEEQGKNHGDQSKAVGGKGKEGTFLCHQVRSAETAWRDEEGDQTLFQ L199_002950 MVDEKSNAMSSTWGPAWGSYLYQNFLLSSTNNASAPAPTTLDWL NATSQPLLNNNDSSSSAGDYFGLPANNHNHNLNPTEWADNAAPSGINVKTEVDDSKIS NNHLQLQLQYQQQQQQQQQQRQRVQEQGQAGPSSFFPQFYFPSSGGINTSLLTQTQNQ GQGQNEFEFDYSPESTIDSYNTIPLSGLSTTTNGVERGSTDSVHMVDNSLTRSPSPIS SLVVSPHESPLPRPIRMRKSKGRPKASGKKRSHSHSILSDSEVSASHSHDDHDHDHDH EHEPEVPEGVERDGMIWGMKVEDYRALSARERKRVRNRISARTFRAKRKEHLTSLEHD LGEKDLQIKIANDEANRLRKEVAELKRKLAKYEKQY L199_002951 MPNLPILNEPPINNAGSSSSAPSSPRPESPNPDPSKPRRRGSHG RLAKLIAPGRSRSTSNASQISVNQEDTHSAGSSGRTTPELRRRAGKAATTSLLASDAK YKKYAQLVDKSLQAFESVNEWADFISFLSRLLKTLQSPSPPYPEIPRKLIVSKRLAQC LNPALPAGVHQRALDVYAYIFNIIGVEGLKRDLLIWSSGLFPFFQYATTSVRPLLINI YETYYLPLGSELRPATKALVLALLPGMEEETGDFFDKILSLLDRVSEAITPSCFLKNI FLILISSPQSRLSALNYLSRRLLNPPEQGDSDVGLMIRGVSAALGDENVLVRRHGLDL LLRILKLHEPLLKDADRNDQEILVRAASGVLLQRELSLSRRVYTWLLGTGEVSAEQIA YFRENGLELLSSTLQSDMERLSTTTDGSDAQRPFKIFLSFLDKWEVGAALSERLAIPA LRAIKNATSASTDVLPEEVIGTALAVYEAIEPIIGIQLVQWLISAVPQHDEEVNSVHI PVLLDLILGAIASNTLTEDASRDAFRLAAGLLHLIPLNAASRDSSTDDKPVSEGPSVP EMLYQSDGQPNVAHERINTKVLPHITVLAFDICHNALLNHSKEPESLLDAVNIVIALL DNEVPTLDLVDGENWLSGLVQALSKLFRYLRPDAAPYHIRAVELLWEYNQMAEPHTLE NVIARRMADRPLNSATFDAFGILWRLTDDTMLPGEMFNIPISMVLDALRSSDPEVQRQ AETWMRLNLRSYFRVLDPIITRLLDPDVRYHEDTYATPVDLSLLCHLVDNISILFKFG GQGLSKACHSTEIRQTIHSTLVKRVEASLPNAVTYLEVMVALLIRFMTTEASQAIREA APPIIRLQASAIELLQTIVSRGDVSHNQLASIKTSLVIKLSSAVEHKRMTLQSKLLHL LHSAFSASSASKSFNHRRSPSLNEKFYQSPTDSEFELNLVKLAIEAVLSTSNLPVLQH WVDFVLMTLPQLSTARTGLLQALSECFAQQLRYLSTHIDSVYIRCEASVKDEKLLVTD AEVIMILNAMERVLILLSSGPGVKHDDLGKQGEGEKGLLGLMSGVFTVEAPTDESAKT EYPRYLDDAIHALLLSWTITRSTSTSTTSSSSKLQTYDRIRSRVKKVLEKIFKTQPLG VITSCIHVWATNSNEITDLATFDCVDTLTPSAQRLVEIVCEAVAGRSGRTSSEFRADP AYLAFLEAYISRLEAPIAVQVWPTLFGFAKVIIGSLGSNSARVQLFLVLKCLTALSLI VSKTSALEDRRLRRDLQDTYAKVLDMVVTNSTKVAEAGLWTRMIAGTASPGDTEKINV EKGLEQIYGFLSIAVIPNLRLLLVDTDRVNAACSGMMVSIVTPVFRKQKIEPSVLRLL LEITKIPSAHKTWRTQIGDIFNDSRFFKHKNEDDIGYWKTLICGLMDSDKERFADLLG KITSASSANIFSNREQEMLVKSLNLRRLSFVLLSAENNHYLVQLPAIQEKLVELLRSS QLSPRVHSEVYLCLRVLMCRISPQHLTNFWPVILTELLRIFELTMDDPPDDGSEALQL VLAACKFLDLLLVIQSEDFQVHQWMFVTDTTDAVYPTEGYEPEALMDCLANILSDNDG HRGRSSSDKSPYEPLVLSEQSENGARKPRLSSVRTLNSIYELQPFFSRASIDTFEGVY GGVGVDWEAVEEGLSEEIFDI L199_002952 MGLNDYIPHLPLKFWERIRFPNKFTRDLAWGLIIGVTFSLSSTS FALLFQDWRRKRAIARIPPRPIEIRSDEIVNGVIGLIGNTPLIRINSLSDALGVEILY LNPGGSVKDRVALKIIEDAEAQGLLHPNTGSVLFEGTVGSTGISLATVGRAKGYECCI IMPDDVAIEKVQVLEKLGAQVERVRPASIVDEKQNLARKRALEFGNTPLIDPPKSDPE VVVSTKANSSEVGHEVNPSDSLVPSIKLPELLRPAPETKPRGFFADQFENESNFYAHY KGTGPEILRQTSGNLDAFVSGAGTGGTVAGTGMFLKKALPDLKIVLSDPEGSGLYNKV KFNVMFDTKEREGTKRRHQVDTVVEGIGINRITQNFALGLNVIDDAYRISDAEAVAMS RYLVAHDGLYLGSSSACNLVACVRLAKTLGKGSRIATILCDSGSRHQSKFWSDEYLKA NDIPIDPSIIDRLLES L199_002953 MDSRSDPADQARVRHTLEDPAYLSSSDNRPRQSRPRASSSAAAG GSGAFTDIPDHGFRSDTDGRPRAPSRSASLREPRGGQSGYDIHPSMGGYGPLGSLGGM GYPAGPYLPYDRGYPTDCGPPSFYPQGGFPSIHSFDQYDGSTTPRYPPACTPRLSPRL SPETAFDSARPPLFGSPRRGPSSGSSDETRSDRPPGARRSTRQPTSRNFEYISTSGHE RSSGNTGKSKIHKHREIPSIRLDTTATNQQTYKIQGETKTLIFGDIQFTWNEQGDCVT VRSLDGLPFKQVIRHDKWNSNFGPAATIVFAKHRPPRDEDPAQEEEDIPVRVTLSEAY VSTGPTASDRAQRTGVWLPGSNALEENEGIFTRTGTGTTPERSRRSSPGHETFPEFMY DRRESMVTHSGGDDFQPSEGTSNSPERPKARGSR L199_002955 MKERKSTDRAASISSTFSMSTSPLSRFTSSTTQPALEELKLSNI LNGDTCPPISFIDFATFIANKEFTTENLLFILWYKSYKTRFDKLESKKKESIPIPSTR LGDRFNPYGYLDRPADEEQTKPEQQQQQQQQETPVVFNEPFRSRSASSSNKQVQIAQH QHQQQNCPHPTSSCYCVEHNRPAPNCRRTSRLLSITHSLLGARRPSSTSHSHESNPLR SILHQKPYPPLPPAGTVFAEPSQQPMREEAQRAFATFLRKGGSRELSISDELREYTKL CLVKSTAPEVFLPLYEEIYHTVETQSLPHFLQHARSNINRPKQVFWYTVGAADFAVGA VIYLLLTLLLPAHPFGHRAWRLFSIVFVAFGAMQAYSAYRGFCSQVWGRSHRQVRPWE MDDLDDEETLVESTAGNESELKNESYLAEPIPSINRKEINISLPDEVRPLADLGGTAS VPSEEGEDLMIKEVGVNSLSKSDSITVALPVLEDKALAPSVENGKEMTEVEKRQSEIR RSTVKAPTASEAFPITDDMYVGMVSSNAYRTIPKSNRADPSRQRKEISPFVSDEPIFR SSASSVRGSITNPNTNSIPLARSKSRLYSMTSQRANKEISNILLKLRRSTADPPEDEY LDPLSSTSSSSTRKHKLRSRRLTRKERKEKPKIFGPEKLVEDPRIKRVYSEIKRDILI VGSLVASVWIVLCLAVPCAGLAS L199_002956 MSLPNYPPDHPDRTLKVLSFNVWGLAIVSKDRQVRIRAIAEYLS SSNYDIVCLQELWVYKDFEIVREKVRRNLPFSRFFHTGALGSGLAIFTRFPLISAQAL PYSLSGSPAQAFAGDFFVKKAAANIVIIHPILGEVEIWNTHMHAAGEHPPDTRQAHRI AQSWQLANAIKNGAAKGRYIFAMGDFNSQPWSIPIAMLKNHGNLTDSFESVTKTANMD LSPQPTPEEALKSYGMTCDSPLNTYSAGKPIPGNVLEKGGKRLDYIFFRQPAIARRRP LVWGYRDEENESESNKGNGAGGADQVELGDFTGKGHMEVGKPITSSIAKAPELKCINS EVILTGLVPGQSFSYSDHFALFSTFTIEDPPSQHPQQKQQNQQQTTSTSSSDNSFTPL VPLITEPEQINPTTTAFAHPSPESPNINYAPSSPRTSTSSTAAITAKSNTVRSALDVL RLYTRISSRTSNLHLKVCLGSLIALIALTIGSAWQPKSWLQPIFTFVGGLLGASTATF LYTGFVWGRWEQGLLVEVTEEMELELRVAEMEERINTSA L199_002957 MYRTPPKLPPSLMFQQNAETPGPTKARINHLQTQVSELVRKNQG LERKIQAEKSLHSTTVVEKTEEVNELRAKLKAAHKEVERCKAEGEGMRNELHLHSMVQ QQKALLALAQEQMQVVELEQRLVNAEKARIMRDHKISLFQAKEDDLLAELKEKDLLIL RLEHKLSKTSAFLNQLQTTSSQISSNTTKELLSTQKELSSAKSNVDALESKIEILETK VKTLREREKEAKSELESWLKDEKSKNGSMEKSKKEYMSQIRSLKNDLSKKEEELEEFE EFRRSSKEREKTLKMRLKEANEERDRLVAVEEELQALRTKVKDKNMSSPKKIKEKERV RKASPVQDGSSDEEVVPKKKTKKAESPDRQTKPKTKPTPAPIPSPSTSQSESETLVTK SKAASKRAKSPAKSKKVPLETSDIDNQSTAKSKSSATKNVRVIDVPAPESEPEPEPEM TASAPAAVLPKKKKRLLGGVKSAFEWDPILGSGDGVIPLGLSPMKPITGKAAGTIPRA GFSVSNRLNRLT L199_002958 MSRTGALDDSEIQTEMNKMVAFISQEAREKAREIQVKADEEFAI EKAKIVRQESLAIDSQYEKKKKQAEVGWKISQSTAINNSRIKVLRSRNDHLESIFEEA NKQVKDLSEGDKYKQAVENLILEVLLKLLSPTITITHRPKDDDLIKKTSNSALKRYKE MSGRETKINFEKGLNDNSSGGVIGSSMNGKIKVDNTLEERLKILEEKMLPELRHDLFG VNENRKFYTVS L199_002959 MEDSGGDDDGHDDHDNPGEGGKGSSSSQVRKAQNRIAQREFRLR KQQYIRDLEARVEVLSGDKEERIELMTLLVRNLLKENKDLRNMVKNMAGFIGEGLGSC LPRLGLSADGLDAILTRSDTDTAYEAFVNLKASKEQLAAHPGMKYGATRRRGSTIKRK RDDESSPTVEKEKEKDKGKGRATSENRTGAKLGHGSATGTPTPLNPSISNEPTLPASF YTFSQPEDENYHYLFPDLDTLLAENDPAGYNGPPNLQPRPPPLTDDRERFDPSGFSSG SSRVTGDTREHGYAHHIMPAGLGGSGSPKADYNNGSSLNQDQSQSIGVGSSSSGMGSS SSGYFGFGPTPPTMLSNTGEFGIPLPSGSGPSSGIMNLPTSSSSSDIGRSAENPISSL AGPRPAPSGIGSQGNYARGHPSVLSSDLSHTGPEKSQTLREAVTAITNADNALEGPGV TAAELAERRRQQDQLMRLIAEGDPADRKMETMQLITYHLNNFRMNHEYHLPPSLRPTV VQRTIPHEHAIDGIIFPSIRDRMILLRGRYDLVEVFRAMLSELELHGDDVLDHNNYEI SERFIDNYSILIDDIVINISNRWRAQRDAPLLSMPEKETTNRQGAGNRIHAERPEAFG RQ L199_002960 MSVLPSIPRACRASSSRLPQHPPLRTTAFTSIHSISIRNLSLLP SRSPSSVPFFLPHLGLGGAKHQAQLPEYGKGKERQPDEDEEVDDREWDMRVARAMLHL QETLPLFFDPEMNSTNMFPQNIFSKHMVLKLPAPLPLKISSLSGYSMAFSLTRSGMHA LHTDLRSDLERMSFSPSPSDLAQSDPKSALLLAKKPVPAHRQKQIRVQVAVYGTLRLP PHKEAKWHTSSLYTFSPFSGLITSHEVETIRPLPGEGVAEWLMSRLLGWTSRQSVNEG AVPCPRTVALPTRNEMERFKRGSDGDRSRDQ L199_002961 MKEGPDLELGGTVQNDQSHQISGPECVVNGEKKDRNDSGTGVEV QPERPLDDLTTQPPPLQSETRSGPNKQNELGPTPTSLSTVEARRVTASYSTSPANPRN WTGQRKMLITITLALTGFISTMGSSIGIPGMHAVRDEFGVGFRVGVLIPGAYVLGLGA GPFIFAPISELYGRQIAYTSSQVTYCIFFLGTGFSNDMATLIVLRFFCGVFASVPPSL GVATCADMYEPSQRGKPISIYALGPLSGPILGNMLGYWLLYFGWRWAYYFMTILSTLN TILLILVMRETYAPVIQKILVYESVHNPPSASHTENHFNPINWLPDLSWMPAMVSKSE MLSVYGKAFSRPPRLLFTNPVAFAFSMYYAYLYGLIYLFLTTIPLLFGSPPFSQNDLF SYGWPQGTLPLSYLGLGLGFCSAAIVAANCQDRIYKYLSKRNGDKGQPEYRLVLTQTG MIIMPIGLFIYGWTANSHTHWIAPIIGQYLIGIGLVLPFNTIQNFLVDAFHPYSAAAI AGATAARSIVACILPLFATEMFVKLNWGWGNTLLACVAILGVPCPLVMFLWGKKLRER YAFQG L199_002962 MPLSIDSTIKLASGHSIPQLGYGVYQARSKECENGVKEAIKAGY RHIDSAQAYHNEDAKNTADAYLHCIVVGRAVLQTDIPRSSIFLTTKYMPSHTVHPSSS VYDILKNSLKKIDRTGSDQPYIDLMLIHAPFGGEEGRKNNWEALVKAQKEGWIRDIGV SNFGVNHLKSLPSPKPSINQIELHPFCQQREIVKYCEENRIAVQAYSPLIRADPKRYE NPVLVGLAKKYDKEVAQVLIRWSLQKGYIPLPKSVTPSRIKSNADVYDFELTEEDMKA LDGLDEGAKGACTWNPVDQP L199_002963 MSGRRPGDGTAYGQPDPKRAKVNTPAPAPGAPLDMAAIRAQIAA RKAQLEAAAAASRSTPPVGGSASGSASPAPAPGPAALPPKPAMDASIADRLAAAKARI EALNARAANPYLSGSGAMPKPPSESQPSSSSSTNGQPGVSSIALHPLLMGQSQAQQQE VEKNEKKAMRDRYKTMAPKFTSVKANAAVASTSTSNRASPAAPVLNPYATTPTPSGSG TPIPEEERAPIRRSKKLQFSRAGKYVAQGDALRNEQKMEALRQRIAEASRKAGLDSEF DTLERSLKRQPPPEVEWWDKAILPEGKGYEDLEDAVQFMTTHQDSLITHLIQHPIPIP APSDKKQPERGLMLTKKEQKKMRRQRRQAELEDKRDRQKMGLLPPDPPKVRLANLMKV LTSDAVQDPTKVEAKVRKEVAQRANKHERDNQERKLTAEQRKEKEYNALVARERKGIY GAVFKIKYLTNGRHRFKIRETAKSDLLSGVCIFHPKFALVLVEGVDKSIKHYKKLLLN RIDWTEEARPLNDNEHDNEEDEDDDRPKTNGDNGDGEGPESLEDNKCELIWEGEVPER TFRLFRARHAETDTKAKEWLTPKWEGMWDLAKRWVWEGEE L199_002964 MTTIPSRLAKLTTTVGSWEEARKASIVAYRAWYRSAPDIVQLYA LNVSPSLVRLKFRQDFERNRDTITDLSVMNVMLLKNQQEYQETMNAWKQEPHVMQWFK RYDDPAPPKTFLEKFYASRDDPAQVSSF L199_002965 MKFMLGDLPVLFPYDRLYPEQYSYMADLKTTLDAGGHCVLEMPS GTGKTVSLLSLIVSYMQFYPTKRKLIYCSRTVPEIEKALAELKRLMEYRAEMGANDGE FRGLGLTSRRNLCLHPEVSKEKKGKIVDSRCRDLTSAFACEKGRANPGSVPLCSYHEE LNNYETGNLIPSGIYTIDDVKKYGKEKGVCPYFTIRRMLPFLDVVIYSFHYLLDPKVA EQVSAEMSKESIVVFDEAHNIDNVCIESLSIDLTRPMLDSAARSVNKISDKIAEIKET DSQKLEDEYKKLVEGLQEANEQREDEDMLVSPVLSKDMLDEAVPGNIRKAEHFIAFLK RFIEYLKTRMRVLHVVAETPQSFLAHLKEITYIEKRPLKFAAERLTSLVRTLELTNID EHYSLQKVASFGTLVATYEKGFLLILEPYETEHATVPNPIFHFTCLDPSLAIAPVFER FSSVVITSGTISPLDMYPKILQFRPVTEQSYPMTLTRNAFLPMVITRGSDQVPISSRF EVRNDPAVVRNFGSILIDMAKTVPDGVVAFFPSYLYMESIVSAWYDMGILSEVWKHKL LFVETPDAMETSIALRNYREACNNGRGAVMLSVARGKVSEGIDFDHNYGRAVIMFGIP YQYTESRILKARLEFLRDNHRIRENDYLTFDAMRHAAQCVGRVLRGKTDWGLMVFADK RFARQDKRAKLPKWINAYITEAHSNLSTDVAISLAKKFIRQISQPFDHTQTGISLWTL EDIERRQLQDREDAEKAELELKQSLGKNYRNAVEDDVDVDVDFVMDDYDGVVDDEELA RLDIPDDM L199_002966 MSEGIDSNGSPSSRKSFHQDPRFITHLSPRQEEKLRSYLDEKLS NLESDERTHQLGPLSRLLSRLSPLLQIILQIPPFYPFESLRTSYLLTLTSVIPIYITS LPLLCSRHRTKDEAQDEASRVIRDVLELLKEVEKGWLAVLKSDGWIPPHNTGGTNMDV MIGGQAVKLVDEGISQVDITEKIRLRSIILSSRARVLAWARPYGSFDWAGSALPSESD TSTSTSGPSREEIVVATRSGGWEEEVLSMWKHLLDVLSHELS L199_002967 MSTASDEELASIAQHISIRDDDDDQIPSTSMPKNYLDVLTNGTE DLLQSNQNQGQVGDEEVERDDGYTPNSGSSEENGADGTYEKLEKRIHSLSHEVALLLD RIYEIQELRHSSISSTSSIPSAPSRIDSLLSSLSDSTLLLRPQITSLSSTISSYTGPR AKQLKDGIDEIMEDWKKVGDQQKWLLEEMKEDGWLIRFRTTADQAEAMMDPLQKSLME CQAYVDRITNSSAHVPLQAEFDDQLSIERLQKLAKGHESMTKTYVPSINKILKMMDRS ISDRPIKNGESLRRFGEMNHRWTSLQKQLQQLNAKIRLIISQRDAEMEYMDGGDEVEL LADVTSLYSSAGDSRSDYFGYGGTVKSRESTSSSYGSSRTRYSISSGGSNTSTRSSTR QPLAHTHTSPSIKPPASSTLSPDTAMRPLPLRRRTSMMSTTSFATARNTPGEKPRWNS SPKVHAEPVQTPTIYRRSTGLPRSVSPTPSTASMASTTMSMSRRLSRIPVASPTSRLG TGYSSPTASEISVPGLTVSNSHSRTLIAEPSSVKRSSNPNQSHLERARMGLKTPEPPR PRLSSTFSSFGRPPTTPGMGSRNSSSGTGAAPRTVPARGKGGPPPSSFRITSPTPSGS GMGSASTRPSSRLSMMSYSGFTPSHTMEMEEFKPSKYDLLDMEVSKILNEVQFNLFVS RLDQPLKRGQRRNENEEWKGEYLFGRSEKPSSVKLITIAGFRRSANPNERRVKCMIRV GGQWVELKGELERRMRVLRDEGHGEVMDDDETF L199_002968 MSASWSSILNDLNRDVARDQPKDIIQWGADWFQTKLRQERQGKQ APLSAPPGGRKGAPGTLGFNVPGVSDLQPHALSPFSEQAPADSPFGPGGPRRATVPTG SNPNQPHQHIFKPSFGSPSAGGGPDSSPFSESVSSFPPSSGAPTFNQSPFGDMSVANT TIAEETNNDEPPIPSYALGRRTSVSAESLKPTNQRTFGASGGGLLDTTMEEEDEATPN PNSGNNGSVIPSFPKTDEQLERIKQAIKPNFLFRNLDEEQEADVLAAMKEVTIPAGEM IIEQGAAGDYFYIVENGQLDIFVKKDGQVIDPEKGDRPLLGKKVATCKEGSSFGELAL MHNAPRAASILSITPCTLWALDRVSFRTILLDHTSRKRRLYESFLSEVQILQSLQPQE RAKIADVLESRTFSQGEDVIKQGEAGDEFFLIESGNAIAIKKGDDGRESVVKRYGKGD YFGELALLNKQTRAATVRAEGTEPLRVAFLGEQAFTRLLGPVKDIMARSANESYGFST R L199_002969 MSHSQSHSAPSQGDLDVLALLTSDSTNLGPLPPQGQQGQRNKKA LPKRDSPMDALMISAVIAGSEKISRHHFGHGAIPRTGTYASCDESRPSSPSPHSAKFT MRSSQAPRSERLRLEAEAKAKTQDQQGSSSNTIPMTSKPKRKMSIDPVPISQTLQLSS SPSSSSHSFNYFHPTPSHSSFAAASATKSGRAKVRCMARTRIPTPHGELFLHLYHNSQ DTKEHLAIVIDPIQLDDKARKAAPKGRKEIRSKSLDAVWREGETEMERIVRGAYSGRL SPGQTTSSQTTDNSIDDVEMGISEQLDELPIQDQDVKPLVRIHSECYTGETIGSMRCD CGEQLDEALRQIALPQQLKSQISNQFHQHAHGNGILPTPDPSRGSSPSVGERGRAVPG RGVVIYLRQEGRGIGLLEKIRAYNLQDLGNDTVTANLMLGHGADERKYDVAAEILRDL DLAEEGIRLLTNNPEKVEGLSKEGIRIVERVGMIPRDWKCHTQNENDEKEYKEYRERR AGVGLIGSGAAKGPELEKYLRTKVERMGHMIDIPENLQ L199_002970 MSTTPSNPNYSSLSNINFTGGFPTSVDLAPSIVFLVIYVLAIPL LAWRWFRKSDRTLLLLRPTLFLLCRIGMLVIRAYMSKNSYGQGLLIAELILVSVGFLF LIEPVIGCWKLQIDSDMAKEDRPSWVKRLSWALKLILLAAILTAIVGSSMISDALKDQ AKLDTVRQLRDASSILSFGTVVVAAIAAILTAFKFSLDRRGTIFILSAAGCLIVVAAY RMVQTFTSDHDAPVNSRVAFWVLQMVFELIAFGLILGISIPTWFPGDKGRISRNNTDV ESYNMREGQPKY L199_002971 MSSTSGNSGYANLNDINFTGGFPTSADLAPSIVFLVVYALMIPV LGFRWFRRDDRSTLLIRPTIFLVCRIGMLVIRAYMAKNTYGEGLLIAELVLVSVGFLF LIEPVNGCWKLQIDSDMSQEDRPRWVRRLATLLKLMLIAAIVTAIVGASLISGALGNH GQLILVKQLRQASNVLSFITVVVAAITAVLTYFKFSLDTRGTIFIVTTASSLTVVAVY RVVQTFTNDHGAPVNSRVAFWVLQMVFELIAFALILAISIPTWFPGEAGRVTRVNPDV EAYRLREPQAHGQPKHN L199_002972 MSFLSAETAMSQANSLAYVDTVYSADSIEWCPFDGFQDIFVCGT YQIVKPEEQIQTKEQQPEGADKGDDDEEEEFYIGPSKPTQRVGRLLVYQVEEDDSLTE IQRIETPAILDTKWSPKLDNGRPVLGAADAKGHITLYTLNTATRRLEEIHRVDVDEES ILCLSLDWSNRLNQSSPSSIITSLSNGNLYHIIPTPTRWEVESSWKAHDYEPWITSFD NWDSNTVWSGGDDCKLKRWDIRETFRPTFVNKNFDAGVTTIASSPHTEYLLAVGSYDE NLRIFDTRQPTQPLTTIHMGGGIWRTKFHPSPERKDHILNACMHDNFKIVKLNNHIMN LQPHPEDDGVGGEIIRTFEDHESLAYGVDWSRLPESNVEGKEGTFIASCSFYDHAMHL WRG L199_002973 MAPKRQFRPSTPPPKKKAKKGGQQQTSIANFFTSPSKPKQVATN WHQRNDTIISIDDSDDDLPTAVKIQEGDDKLARKLAAEWDEEDEKKVDKGKAKASPTI EDDEEEDEVVPVDAPYSEATGVNGSCSSTHRLSPMRNGKSENKTEESKDSKPIASIFA PRQSKPPLRTPSPPGIEPDIKPILDSPSKVATITSISAEPVDPIDFDTDAFLFKPSEF DISKWPKGRLPYSILVGVYVQVSSTRSRLLIVRVLTNFLHLLLKVSPIDLPPSLYLLS NHLLPNYIPCELGVGSQILNKAVMSVSGLQPRDLKKLWDKWGDPGDVAFEAKNNLRTL VKPSPLLVGDVYTRLLGLSRVKGSQSGRIKGDVVRKLMVQARGEEVRFLVRSMVGNLR IGAVRLTLLTALARATALLHMPTELIDSVRPLPPPPQKLEKGQKRVARPKVEPDPARE EVEERCVEATKIVRKVYVRHPNYGDLVVGLDHGGLIDLEDRVGVNVGIPLSPMLGSIT RSLNEVFTRLGTLPFTAEAKLDGQRVQIHARVDGPQGEDDGGGRWVQGDDGKVWVRLF SRHLEDMTEKYPDVCQLILTLLIRPLPFQPNPFPPDASKPSSSITDLLKTQQINSFIM DAEIVAVDKDTGAYRTFQDLSNRAKKDVRVEDIKVVVGVFAFDLMLLNDQPLLDSPFS HRRHLLRTLFQPFSNPSDPTLARFAHVASLDSTSLQDVPAEMQAFFESVVEQKCEGLM VKLLESGEGLTGEDDEDDTSNVGDTPSKKGKGKGGKRKPLPATYEPDQRSQGWLKVKK DYLEGLGDSLDLVPIGAWWGQGRKAGWWSPILLACYNPESGALEAVCKCISGFSDQFY KDLLKRFPPEGLPEKCNKTTPLGFYDTGGLRPDFWFLPCEVWEIRGADITLSPVYPAA SSQLGSERGLSIRFPRFMKIREDKTWEQATTSEQFAEMYRKQIKDAPTKDQSSSNKNG NDKVEEVIRRDSDDEDIRDLEDEGEADGVEEED L199_002974 MIKTTTSSSSPSTSPHSHSQSQHKTKTSLDFICSPHSSSTTSTT TPDSPSAKRRKPRDDSPSYMSPRPSSPQPTFAFPTSSSNIMTNGNTSKKTLERLPREL LALVGFYLVIEDEDGTEKQDEVKKYDKHPSKLLPLLFVSKTIYEQICFDNNPQLYNRL FRATFDVQALDRRYQWMKKNLTKPSPASNSTSDSSSTSTTNANADSTGNSAISKPDGK NRKIFDLFSDPRSWAIDYRTRWEQSYRMRQVSKQSRIEIPGICDKEAYVADLWNVWFL LTENDGKNTRFLNTQCDLRGFVLAFYKENLLKESLVPGYPRDTGDKALAVWCCLLAGI DDIGEDTPAAVDEKIFMLRPYVFACAKYDIHYAPWHYRKLPFCPPGCEEHETDASIRI KAMTYKRFGYTWKRAPPHFVLGIYLVFMRLLERQPERVGLKAGSSTFSASPFEAGLPS LFSMSKLIPSAEHDREWQRNTMCQDPHTSPGLPPLTFANQIKGFWRGKFLFYDFDLYR QILAGNMRGVYTGTFAEQAAEMELFETIIKLPKAQLGGKGPLLAAGFKDEYDEDGSEQ TLIKAGYGYELSNETEVLEEGWTKEILISGRARTSWGWAKMRGRVRSWDGLVIMEMTY SRHVMGRWIWKGYLHTGGYMVGRWRDTFTAENLRGYEGAFGMIRAGDPLYPDHFPKRM EDSLGVNANVNHGQSSNSQQQQPQQQSQPQQQPQQSQSSQSQRAQQLVQASTPPSSSN GSGGGTQTGSGPQHGPSQEDPRSSQSPTAAQQSEGEAGARARRIGGEEGDAQENSGKG KMY L199_002975 MVWQVDLKGKTIVVTGGNRGIGLAISEQVAQAGAHVAIIYRTSK DAPEVAKKISDKYDVHCEAYQADVADQQAITELFKKIYFDVGPIGGVVCNAGINYKKD ALEYTKEDFDNHFGPNVWGVFTCAQAAQKLWKEHDYKNGRIVFVSSVSGTIANKGEQQ AFYNPTKAAVNSLAQTLAMEWAPQGTLVNVLSPGYVLTDMNSSLRDDKELREKVASDV MLNRISEPYEQAGTAVFLLSDYASYITGTQLIVDGGLHHW L199_002976 MAETRADEVGLERSLTTPNDLSTTQRSSSPNGPIESENDQHPTT LPSDTQTPQFQDLNHIQTNFTTTSNKPYSVFTDGQKWFIVIFSALGAIFSPISTNIYV PAIPTLAKAFSTTTEKINLTVTIYLVFQALTPSLWGSAGDSFGRRPVFILLLSVYLLS CVGTALCPTSAYWLLMLMRVLQASGGSALIAIGTGVVADIAMPHERGRYLGLFNLGTT VGPALGPLLGGIFAFTLGWRSIFWFLCIFCACVLIPMIFFFPETLRTLVGDGSLPPPL INCTPAALMRRKRELRVLKEKGEDPEQMTSKRVKFNPLASFLLFLEPEIALIFTWSSL YYAFWYAILTIFTTLLEDQYKVNEVVIGLCYLPGGVGAGISGFLTGRIMDIFYQKEKR RVGGDHRHCPDDFKLEKVRFMILPYHIGILLAATIGLAWSTQTHTHIAVPIILNFFIG IGTGFLTTTTIYGIDLFTGQGGAVTATFNLIRCALGAVTTSTVQLIVDRMGSGWCFVL LGGICLLATPMPFVILRYGPAWRQKRRERNEEKRLKETNARNVTASTK L199_002977 MPKNDTPRSTLTQIGGWKLGKTLGRGAYAHVRLATHPNGHKAAC KILPALHHTPGREVSWDETIDAVEAHKEVVLLKALSGAGVPGIVGLEGVMEEGGWTYV FLTLYPASASAYSTPWNQQHFIPFFRRLLQTIDILHQLNISHEDLKRSNVLVDEYGLP AVVDFGFSHFRPDGGKVRSAGGTLDYSSPQKAADYLYDPKPNDVWSLGILAMKLLGIP HPFTRHRPQEDSSDIKQRIIEGRARFRFVHDDSLPGGIAEVITGMLEFEPEKRWTISQ VLQHPSLQPFQAEPPPFSLPSGDLSYMYKVDPSVVDDLCFLAYLNNHFYLCESPLKII QRLQGKQPCWEKTWASFLGAWSKRAEMDWEDIPKSITPLRTRSLPAARSDKVEPIPRG PLKELHLTPENVKTPVPAQATRAANDKHQKQNVPPKQPRKSRIYGMKTRGESSRNVLG EIDRQESHEQQKVPKIKVTAVDKAIKPNKSPQPKAEGKKDNLNVYHNETSAEPYSTAT TLVNKVSSSTETTLASSVVAGSTSENAIIVDSSYPTRAQEREIPETTRALKAAKGKGP KRGKENETANANRNKGRGKASESGTAHAGEQMKGLNLAANQPRRHRRRSPRFEGRDRE QVTSNA L199_002978 MADHTSPTSPPSLRQGKRMPSGPRPPPLPLRGSPTSSRVTSAGT NPSLRKQTSFGSLSKLISTPRESPLDSIIDAEHDFTVSPESSPLLKPAGSSSKDVLPI TSPSTMVSEPISPPAKGRPHAQTITALPMSRETSKIRAQTMPDGDRPITPTSASFVVS RPVTPTGIKSPPKSKSKPKPSRLNTSNLMTSTTSSPTKLVSPGMKHWQQVRSHVMAPT PAEERSSQHHHVRHPAKKMTGLVSKAAGRFGFKHAADNVIGYNDRRQSMMGILSDLND LSKEEKEAITRERRKFARDVKVCLDACCLEENKRRLARIGHDNNQNSSMGAYNNAETK SSGMSMHHNGSKHTSSHMQKFTFDPEFSAFAPLLMELHKHLPSARSKKPWSRTCPHHS AILAELGSAFLQDSTSTDGERQQALEVFGVIVKNWASDNADEELERWLWLCRALLGND RQLRNRGLALLNRFLHPDSSLPKGLDRPQSALAFLSLACALIQLLHAVEMSGYGNEDH LQMVNGFLADLSEGDIIDLEETSLVDLLGSMELGGSLGGVDKELVWMAVGMIIGTQPS IAPWLLIEKGQVLQRFCPPPLLHATPPVILNLRSRSFSLFFTSYTSLISASLDVPLAT RLWRSARDMLIPEVEHLPDEDGSLAVSLAIFLFELELQGHKLQPSKLEQSDPFRIAME PVKDVKAGVTEHRDMIAEYSTKQEWKSHFEMAAKQISNGPVEAVCGMVQSFLQNKRFL ALGKECVVALFARISSSSVPLQEARIFLIWLSKSHPRLFYQPLFSCSASTSSSTLLPH LRLVRALSDLLGPARYWTQADPQMVVIVLMGDVVPKKPKGKGKEGEKVTVNVKLGRYA VLIGLIEALGQVDEPAGSGSRLRAFIENVEARLAAFLEAEEKDGSLPAGYRGLICQLL FKMRDTTMSIKKSTWLKSILAWFTELASAEPYRNAETKADDEQLLTLKTLYQGLISTA DSQDKSTPIINSPPSKWTGGSARRDSLPLLSETNRAIFDTNLAKIVPFLLVTVHAALS TEDWEALLPKLWHYYDSSRPSRKGLTFLLEKCAERIPGQLRAIIISDLTSKIAMIFGW RFQVLAQRILTDRRGPVFQFTSKTLEFVATEIGSPDWVPPHDVQDAALKKFGRTLPLE LRQRLMELGWSEDESLQAKSDWEQVPVSALPALQYQQEGINVERSPSPMRSLTRKGSS GSGNSFNGKRRKAILSPTLLAIINEQARALAGEIDGPISTTSLEIVKLLQRDDPTGLL RPIAEGFGDDFLDSLARLNCIFATLTPGFAYAALNSLVGHLKTVLRNSPHFDHHALAL ATISRLVPSTSEFSLRDIRKNKAEHVLLPASIHEDEGGFKVHAPWRDGQIDVQTAQLL ILAESLRANPREVYLFKKMLSNLQIRDSIPHLPFARAWLVLISTLFSAVNRNYNDRAE LRHFLSNVGNILQIHGQKDLLVASHAMRVFMLCSARFRRLFASMGFSTIMRSIYDTYS GGSPALKDCIEYASRSFYRIHQDSFVYQTCVVISEGEYDPSEVYLLLSSLSRGNSESS GVSSGIKDLNNQEEIDALVQMLSGPEIALSDLGQAFSEKHSLKQVSAITLEETIFSKE NIIKLFVTVIAANPATTRAANFLRLLSGLVPHISEHQPSQELLREGVEVLGSVIQKGK TGDEAAISAFHPGSNESTSDWTAARREYIFLVESFARSGGQLGASATKRTLDMVLDLL KRQPESVGPAASSIVSSLAKTHLSSSKPTPFLRDIAPLFRMFIAVVDFSGVLDSITAL IKRSAFNLDGEITSIIVENYVEPAVRMLASAAEESMAFIVPLRSSAVKLLASAVFLRG DALGALERHPPSASLLASLVLPLCLLLEPPEEVDREAVYGSLWIRLLAYVLKSRDRHK TKTVKTVTTNQVIAATVLLTVQIVKVIFIRASESISSIKGLWTYISSYLLRVIEDGDA SFAESSLINPSPRIVDWIMWSLFELICLHKNPIMIDLRYKLQLVLSNLHEEVERSAPP SPSLGAGGKLSNPPITSQNMSGRARRISSARTPSASFGHSRLPSATYPDMSGFGLGLN STPGPGHGHSRTSSTKLTPEYIKNGQHSRMPSQSQQFLTPFSSSTPPTSNRIGSHGRM PSQSSLSGGAHHSHSGGSGNGGMVRPSFSALSARRVSRPAFEVFQNTPGGGAGAGGMH RRFPSSAGDIRNFTNEKIATASSKANGGAIVHLLSTPNQILSATSSNFPTFSPSHSPV SPQGKNPLRGNTLNGGGVNGRRGESALREIRVKNDKLCEMTRKSMKMVRLINGYENGG NDGENLFGDDDNEDDDMMRSWNVLDALNVISEQTRIFVEEEFRDLFSPISSTTSHEIG LGDISTVLDHSLNLTDAQKSQGKEKEKEKRESGYSLAPEGYQLDPNEHYHHHSGPGGI AEEGEEEEDENLKSFKFGGAFGFNEKRRNSSLTRNDIPSLSVSKN L199_002979 MSSPPTSPPAAKRQRIDPSLEAISSPSTSTQQVNGYSNGTSSAL AVSSAPELPVASTSNVPPNESDDDEGEEQEIKQQEEDDETHRDMYLDTISRQNLDFDF ERLCSKSLSNINVYACLVCGKYFQGRGRGSWAYRHAVGENHRVWLNLSTEKFYVLPEG YLVSDPSLNDIIHVLNPRYTTKDLVKLSKGNQLSYTLGNQPYRPGYIGINNIKKNDYL NVIIQLLLHIPPIRNFFLDPNTPELKEERKPTELVMRLAVLAKRLWNPKLFKSQISPH EFLHEVNKRSNGKFKTTEQGDPVEFLSWLINTLHRDLGGNKKKNSSIIYKSFQGQVQI QTQQVIIHKEYSRPVFDIGRDIQTITSPFLFLALDLPATPLFTDVNEKKIIPQVPLST ILAKFDGKTTQEFGPTLKRHHLTMLPPYLILHIKRFTKNNFVSERNPTIVNFPLRGVD VSDYVNPKPSDPMHTQYDLLSNVTLDTTKASTETSGLGPGITASKKKKNGENEENSLI WKIHIRAGQNQDTNGSAKIKNENDGEEEEEGEKWIELQDLRVEKVTPEIVFLGETVIQ VWERRDLSRM L199_002980 MVYDPIRDCEVPSPSVVKNDPFKYPTPPSGYGGGYDRDRDEVVS NGGRPSLTQHSSSYSPPPPAGPGPSNLRGLLNDGPTSESRRGSDRTASISSMPEEGDE GSGSSRPHIHRLLNNTSTQPVSKTNSNSSLPRSSPSNPSPGSRSHMLDPNGFLTPATP ASAYPRSRSTTSRSPHPPTQMSPQRASVPLPLQQSHDQGYPPQYGEPSHTYRTDSTAS LVGQRPMLPPQQPIHPHEMYGYEQRTPGGGPYQNLPMRSPSISVSPRSQHQSLPHTSS RPGSASSASHPFGYQPFPQATAISPSTSTRRLSEDQNRPTSSSSASGRRYTDPNAQIP TPARRSSQTSITGYPAPRLTPIRSPSPIVRGIPYNPSRLSAPGNVTRPIEADELAYYR SMSQQNNPLRKRKAKRPLPSWSGPSPGPRSSFPNEEGTSYFPPQQNQNEDDPTRYNRS QSYVDDRASIGRHPSVTPTPGSAYGGGGHGAYPPAFDDPLATPGAPHRGGTLTKGRRP TGNGVENHLKRPSERDEDEYNGHDVQRRKVSERQYVGNNAAVADHYNSRPEVGVEGRE FSPIIGLKKFNNWIKSVLIGKFAHRPQGKILDIGCGKGGDLNKWKQARIMLYVGLDLA ETSVQQAAERYQRMQGRFDGFFFAYDCFSKPLGDILPEQLQQKDLYDNVTMQFCMHYA FESASKVRMMIENVSRYLRKGGIFIGTIPNAELLLSKLNELPEDDEELRFGNSCYYVQ FSERKHKGIYGHQYRFFLMDAVEDVPEYVVDWENFVNLAMEYRLRLIYKKPFHDILQE EKESRDFGPLLGKMGVVNDQGESSMDEDQWEAANLYMGFAFEKI L199_002981 MVSTTNSVAGPSRARGQDTWVPTKYLEPLHPDNEEVHEQAAYQA AVTRQGGDDRKRKIKPRRAVDYQGGVIKWRMLTKMKGIKEYRPAIHPNPSDIVNLLPP VALRLNPSTSICDQWVHTSINKERSPTRVVQWTPDARRLLTGNDKGQFTLWNGASFNY ESITQVHDDSIRSFTYSHNGQALVSTDKLGMIKYFTPHLTNIHGFQGHREACHGVSWS PNDERFVSGGDDGLVKIWSYREAREEKVLSGHGWDVRCVDWHPTKGLIVSGSKDMLVK FWDPRTGKDLSTLHSHKSTINTCVWSPEGHLVATAGGDAVIRLFDIRTFRELEAMKGH AKEINCLEWHPIHHSLFTSGDAAGTINHYSLTSPTPSEPITSLASAHEDSVFSLSYHP LGHLLCSGSKDFTARFWQRARPAGGHEKDRWHLGEEKAMNATQRDSGWGDKATTTAAE DTKVDGNGEIALPGLSNLVAAVNAKVGGGIGNNIPEVASGGLPGLGAYTNNRESATTT SPLSIPRMDQNGPPSQNTNMGGTGGGTGRGRAPLPSQGDMLRQNNMGGEGGSGGFDGR RDRNDNRRGGGGGGGGGGQGRFGQRGGNANGNGYNNGQGKGPGGYGNGPGPGGGPGFN QNGGYGAPPPQGYGALPPGQTQNGYNGPPPPQAFGGQNPNLNQNQNYNSYAPPGGYEP PPIQGQIQGYGPPQGYAPPGQNQYGPPGQGFGGPPPPPPQQQQGRAPLPQGYPQHGFD ARGNNGWR L199_002982 MVRLITHNMLACHVKNCTKDNFPLSFSEVELVIRPAPENLEFIQ RFLPKLDWPALVDTARSLGDESLPEQMPDQWTEDQLKALHHVLMELHVEEGNMTCRGC GHVYPISNGIPNMLLAEHEVGR L199_002983 MAEYKDDLEKTGGAGAAKIHKIRITLTSRNVKPLEKFSTDLVNR AKDRDLKVKGPVRLPTKVLKHTTRKSPCGEGSKTWDRYEMRIHKRLIDLNSSADVVKQ ITSISLEPGVEVEVTIAA L199_002984 MSNKAYDTIIIGAGWSGAIAAKELTSKGHSVLVLEARDRIGGRA RTWSDNDKNTIDLGCSWIHGYKEGNPAKGLAKNLGIEAILPQPAEGLVYGPNGPLSSS QAASLRSSLSSAQESFKLPHPSPPSTASLASALLSSSSPLFKSEAKSSSDPSTKTVDS TSPANPSEPTSNSTSSVDQSLAEGLARTLEIPLGLKLENVSLKWSGWESTTSFAGSDA APEGGYQSFVEKVLKSGQVDIKLNQKVQSIKDTSKGVEISTSNGEKYEGKNVISTIPL GVLKTLPSSFFQPELSPKLQSIIKGTNVGTLEKLLVKYDKAWWPNSTEVGSYTFLPTS DPSQLDKSSRLEDIFNSSSLVTANFASTSSTLSTPQPILLTYLSETPAKLLLEHPKEK VIKAFHEFLVKRLQPKDQVGEPVEGELTDWLKDEYSLGATTTPTIVSENDERSPMDFK ELGRPVWKGRLGFAGEHTEMEHRGSVAGAIVSGLREAERVDRLLNLLKD L199_002985 MTVTQRRVRTDAQSDERPVTEPGIKYTCDFCHVDITHTVRIKCA MKECEEVDLCPSCFCEGKEGLRHKAWHDYKVVEQHSQPIFTPDWGADEELLLVSGLIS NGLGNWLEVAQHVGTRTKEECEKHYLEVYLGVGMDGKDLKEEEGEVKSEMEVDGDGDG DESRKRRRPFMPPMDKSFDVDPDEFQARKKARIEEMRKPHALPPPNAAPLVSAPTNHE VAGFMPGRLEFEHEVENEAEMAVKDMEFGLVYKYGGDAQPEAKVTGPPEEEGEEDEED DEEEKRDKQKTEDDEGDVKVKPDPDSEDQPAAGPSTSSPSKGKGKAKGPPLPEIEDED ELEVKLAMLDIYFSKLDKRMDAKEVLFDRGLTEHKKIQAAERKRPKEERELIQRYKVF AKFQTAQDFEVLIEGLIYEQNLRKRITELQEYRRMGITTNAEAEAYDNAKAARAGYRP LVQRDRPEVTVSGARINAGQHRFLHGGMGTPPPGLDPKSREPTPRALPMSVGRKPPAP LNLANAASLDLLSSDEQVLCSSLRVLPKPYLTIKELYIRENARRNGCLKRRDARRMLK IDVNKSGKIFDFLVDHGILVLKYDIHANPNGKHQQIIDGLYNGTKSTFENIDINVSGM VGSMMVDEIRLNGS L199_002986 MHWYISFLRPPPVSITLTTEEITITPQVANDLRTELRYDPTPLQ YIWQRLTPSLSPPTPARELTTFIPPQSTYKPISVPLPAGVQIGESWRLGLFSPSPSTS SSRHPSCSLMSLCEDDVGVIGVWSEGIDIVRSDLSNKGAVRGINGSSKNGKDKEKESK KGKGKEKDDGPKQGRITREFTLPLQAQEDGEEGESREEMLRIIEQTSFDLDKKIWDSG LALSSWFWKYLPYYTRNESTSHPDELVNGVFDLLRRQDGLDILEIGSGTGLVSIALSL AIKRYLPEVKRNIIATDLDTAIPLMNENLKFNCIDIKSNSNGNNVNVRADVLDWDKPL PSWVSVDDHLPELVIAADVTYNTSAFPSLLQTLISLLTPSSDRIPILVLAYKQRDPSE RDLWKMLNDNGIKMTLVDKVVGSEIDQGETEIWIGRMKS L199_002987 MPLVDYDSSSASESEHENQKSNKNGIIEDSKVKRLGKEVAWARP SKRPKKLPSLPETFETAPKDDPSLHQGRRRTRPYVDGDYNTHVYLSLKPSSGLRAVLE EILRSIQDELPNHTIHSLLSSLHISLTHPLPLRRDQILPFRNSLAGRLKTLNKFKLSF ASEMKIYYNRLSGGEEGSGGRAFLALRVGAGAKEIEGILDKVIHPSLDIHHLPKYHED PEFHTSFGWTLINQKEDEGDGEVKGEDTPGLSSDRITKNQSTSDSSSVSNFQHTPFSS DLFERINTKYQDVILQKQPKGGWEVDNVHLRVSKEVHVLGLRPDKML L199_002988 MPKSPSMPPLPLNGLFPIAKPSGPSSMKVIDSITSLLLESKLFD DPEKRKHARFQKNKKKNTAHLGLKIGQGGTLDPLADGVLVIGVNRGTKHLNRFLECTK EYESIGLLGCITTSMDSDDPVLSTSSWEHVTREDIEKVLDRFRGEIDQVPPIFSALKM DGKPLYEYARESKPLPRPIPTRKCQVSIELIDFKPASVTPGDGGHQYKWPTKRLSEDE KKVFRKLTDIVSQAGTEPSKPKGTNPTISEESAFNGDVPESKQAETKKESFVPDLEKP DYPEISPINGLRPPTFTVKMTVSSGTYVRSIVHDIGVALGCGAHVVKLTRTRQGEFSL YGDEKVLAASASASASGDIKSKTEAPSEQINPGTDAKAEEEEIPGPTNGSIPWSVWER AIKEREETVKAEKQEKEEAIMSGMSAEEIHTNYSPEAIKQRRYEGGLREWEVEVLRRF VSVPVPPNGGHGEGHGKKQY L199_002989 MAASSSSKAHVKHFKPYFTPVEVEKLSAKQRGKLSVSREEKVRQ QACGFIDAVGVRCGFPRRTIATAQTLYMRFHLFFPYKDFNYVEVALSTLYVSSKLHDT IKKPREIILASFPIRYPNLVRKGTVDIATAEANGLEHERKRVLACERLVLETMGFKFG VETGLRGAIKIGKKLGLGKDLCQSAWKVAVDCHRTQAPLSFPPHIIALGSIYTASLLL SETTKLPSDDSTVSGGVPSAAQVVGLLGKSGPWETEYSASAGHIDDIAHHLIDLYTTI LSSLPDSLPTAHTPSPVSPKEPNPSSSQTANTTASSPTAFPLPLFWTTQTLTELKIEL RNRRPGAPPTYLGWTAAGNHNGTENGNEGDEAVEGMGKNEGTIRFLWDDEEMA L199_002990 MSNLDDFVEHSSGPSIPPRYSSSGAYRGAAQVPTTGGGGIENVG YEGFEVDDGEEEDQDTGMVRALETGFIPSSQTPSSLPPLFSLSLVQYSPPSSLTHLRA ANNLLFLAAAPLSIVIIDLENPEDLVTIDLPKPAPEKGSPALQVTPTIDKLYADPTAR HLLITTNTGDTFYLPISPGNAAVQSRRLRPLRLRQSITAVGWSPISGSTSSESNGQDT GSQPHGKGDIVTPPSTDVLLGTTTGQILSLPLPPQDDIFKSVSIGMSKPTEKDLQTVY SLPDQQPVTGIGFGFWPTGSSGSGSNKKKGTEKKAWVVITTKERMYEIQGLVSSTTAG GKGGGWTEEVFKPLRDGTPKFQELPGDPPNSSLKFYIPSVEGQSASSLPPASALVWLT APGLYTSSIATSLSSDILTKPSLIPYPSFDDPSTPAFNRNASSNSTSTPPTPIAVSIT QWHWLLLYPNRIVGISRETEKVVWDEHLPLSAEEKAIDLSADPVSRTFWIYTDRSILE VLVRNEDRDVWRAKLEKGEYSDALTFARTLPQKDIVLSRQGDSLFDQGRYIQAAQCYA KSSRSFEFVTLRFIDADERDALRMYLADRLDLLNKRDRTQRMMLATWLVEIYLSKCNT LEDIVAAESAISDVESLTMERKLMEEDLKNFITTYQNDLEPKVVYELILSHGRMDLYL FYANLNKDHGKVVEHWVTEEKWLKAIDVLNRQDSVDLYYRFASILMRNAPKETVDSWL RQSSLSPRRLIPALLQQQQRKEPISSNHAIRYLHYAIHNQGSTDTTIYNLLLNFYASD PDPDDGPLLRFLSSCPDDPETEKPYYDLDYALRTCKQHGRIQPCVHIYSKLGLYESSV DLALEKGDLELAKINADRPDDDDTLRKKLWLKIARYVVQEQKDIKSAMKFLESTDLIK IEDILPFFPDFVVIDDFKNEICNALEEYSARIDELKAEMDEATASAESIRRDIEGLAN RFVTVEPGDKCWKCGVGLTIRQFYVFPCQHFFHADCLISMAMEFLPAPSLRRILRLQD ELVSRSSDPSTRALLSSNFSPSHSGTGTPRHTKGQNSQVVTSGSTTADLLLGLGGRNK LIAAGDRLREMIIPDALAQAVSVVGAGVGVGSGSGGLSKKKKLERIDEGRAEELRKEL DDLVASVCPLCEGSIVGLDKGFIAPNEDIRDWEV L199_002991 MYATRIIALFAVLPYLASAAPLFGLPNLGDILGQGKGNSIDAST VAIAVPKVTSFVGSAVPAATSVLGAVVAQETKFVGSAIGTATKLAGAVVDDVQSASAQ VTGAASVAGAVMGGVNVNNGISGAIAGDLTGQVSGIASGTVKNVIGNVIGDVVSAAGS NPIGGVVSGVVPSGVANAIGQVDSTAKGIVGGVVSAIPNVIGGVVNKGQDVVGAVVSQ GQNVAGGVINKSQDVVGNVVGGVVSQGQNTVGNVSNGVTSTVPNVLSGVISQSQNTVG NVVGGVISQGQNAAGGLLGGLTNTAGNVVSTGQSTTGGLLGSVIPAAQNSPVAIVQTL NSFISSLKPASAVDSIQNLQKVYSYLTTVLSNQAALVKNTASADFAPFVNYAAQLVRN LNSYVNSLPSSITSASGFLTIAGQIDAATAQMLSGIKTTAIGATQLSTALYADGMFSA SNLSTLFPKTLAILPPAPISL L199_002992 MPSTIVAAPPITMPSPIPLGASVPALTAHAISVSLPTWEDNIGY EEGDQRVVGRMETGYPRFFIHRSIQKLAALCLAKFGRPDELCILLPSPKVAGEGRDFL AHQNPPVPSRIVEFVICPSSISIIDTKSLGGVDCIELQILLFDKANWSFGKAFWQHSG DGICSRMAERALAFLGELPAGSSNGPPTPPNLERPPSKAPQTRNKHYSRRTNSVPPTP TTPSAPPTPRLETNPNHDDDAPVEPVKEEFLTSDLTTYLEERYGRNLPLFNAPLAKQA LKRRIAGGLLPSDEGYGKVDDVVRGAGSGSGKKAVKEDDVYLYPCGMSAIWHAFDIAR AARRRKGEKEGKSVCYGFPYTDTLKILQKWGPGCHFLGAGGTEDIPALEKLLEDRQSD EPPILSLFCEFPSNPLLRSPDLVKIRQLADKYGFVIVVDETIGNFINVEVVEFADIVV SSLTKIFSGDANVMGGSLILNPNSPLFEHLKAAQSEIYEDNYYPEDAVYMERNSRDYR GRIKRVNDNAYDVTDFLFRRSLADKSTPTEGKVIKQVYYPRFQTPENYAQAQRLPPTG KGGFGGLFSITFTSEAASKAFYNTIGCAKGPSLGTSFTLASPYTILAHYLELDWAASH GVERGLVRISVGQEDQEVLKNWFESAVKAAEDAGREVDGQ L199_002993 MKATYFAAILLSATFSLAAPTGLNDLVSPQNSLPSLQNSKSPSL TLNQIGSILTPPPPPARPDEYVSGVLKTQKPSERGIDLPLHDTSKSSKSNHQSGNTNL LEDVEYKLYGKNDNGLKGVSETANEVMNMKGHGKRRVDKREFVDMAVDHLGRKVVRRG NDFQIQEIQDQGRQWGGANELSDENDEPFYEP L199_002994 MPKANKQSKGHKGKVYSVPTAQKKKGLEVPKLHSLANKQKAKIG TSTASHPTPQSLATLSAADQYGPESSGAGLGGISFYEPIDASLTTRDSSSKAFMRELR KVIERSDVIIQVLDARDPDGTRSRWVEEEVRKRDVQGKKLLAVVNKIDLVPRANLEAW LKHLRHSFPTMPFKSSTQNQRQHLSQNLPALPTTSSSLGAPALLHLLKQYALSTPHSS LTVGVVGYPNVGKSSLINSLKRSRACAVASMPGKTRVVQEVVLDKGVKILDCPGVVLE DIGRSTEGEEGKKKQAEIMLRNCIKAELVEDPISPVEVILTKVDPAQLQKLYNIPAYD GVRDFLIKIALTRGRLGKGGIPDLEGSAVQILRDWNSGKISYFTVPPAIHFSSAPSQS QKPANAVIPNGEDDVEMSGDKVGDAKILNTLSEAFTLDGLFDNLGDEAAWEGEEAADT EAMAKDVDFANTAPVSQTTAPTYKPPQPIYADSDDEDDSDAEPSTFRPPPVASSSTSS FPTQPVVPRQPVLQNNRLFTAEELAVLPAGMLDRSKAKAAMKKAKKRRAAVERTEGEL MLGFMGMDVEEPMAELEEITSGNERDMMGGESSVLSKKSKKEKRKAKKDKENQQKRQN KTMDVDEEMDGEDEDGRKEKDFANFLANMGADDSDEEL L199_002995 MLFQALRSARPLAKAGPSFRPVIARSFHATRIARDHFLDANEEA FNKRALDEGSTKPVLVDFYAEWCQPCRVLTPLLKSHTGPGSSYDLMTVNVDDYPELAA KFKVSALPTVVAFKNGAVKNKFVGFRGDADIKKFLGML L199_002996 MAPLDIGDVGGAVAGAAETAVGGAKGAVETATSAGGGAAKTAAG AVATATNAVNEAKGKYDDAKGMLGMFTKMQDFITKIQDAWDKYQTLIIFVVCLIIFLY ITMTIYCCYHFIHDFFRCACCCVRCTYKCEKYLWKHRGPISNCLCKPCKSRNSKGDKK KEKEDYHKCSAMCLKTVPRSTRMELEKQHGDLRKGWFDSTYFARSCGRVEPPEDPEER AQWHWYGHEDQRIHRMISGCVPSGNEDERLEKEYERRKKREKYWKNLARGVDKLGENA GSWKKRVNKDWAKENYLKV L199_002997 MSIAIIQGSSGSLGSALTRYILKNTNLSVYALTHRPKSTEGELS SKLLEGDNKGKGKERLIVVGDVDIRNEDGLARAAEIVSGREGEGRVRLIACMAGVLHT EKSFSSINPSLALEQFQINTLGHLLTYKHFVPLIPTKKQFDKLRSSSEWKDGEGDPAQ GLIGEGNSLCWSMSARVGSIGDNHRGGWYSYRASKAATNQLIRTLDHELINKNSSAVA VGYHPGTVITSFTKPVLGQNIKPDPEHGRFDIDQAIEKMTGVMEQVKRGVEGKKWEGR CWDWRGDRIEW L199_002998 MSKNPLKLKALLIDLNGTLHLGSEPTKGAVQAIEKLRKARIPFI FCSNSTKESSSQLLSKLGEMGFKASQEDLMTSLSACKQLVEEKGFKRPFLIMSESAKS EFPSSSSHSHQGTYDSVILGLDPPSLSYDNLNKAFRILKSEPVSHSQSSSSDKNEKNG DDRKAVLIAPHKASFQQSPSTSTFPAGLSLGIGPFVHLLEHATGEKALIVGKPTISFF ELAIQRLQHNHGSKIGEGEIGVIGDDIQNDLGEGARELGLKRVLVRTGKYRPESEQTD HPPDKVYEDFAEFVDDLI L199_002999 MRFESTSKPTLTPVGFRLLSISERKLSTLDASLYDEGPELLKGV LVKESIKSAWKSVQGGSNIDLRVELDSYSTMGLDVISEESFEEEEVVNGNEERWFEDL VSSFGEEDFQSPQEVTHEWVESKVSEIVFDDLDLEFDSNQIEAFTFPSPTLSPTTVPQ VTITGVAEEEEEEEDSFSVENKPSHVHRSGSTIERSSLLDTPILYPISSSTLAPPSPI EPIQSSSDWDESIYIHPQAYQTEADYCLDIEEFSLPPPLIRSLSSSSTTSIEDEEEVC GTPPLRYSELNEQPLWLNGLKDEADLVDSDEDEEALDEDEDELGIQRFTEDEGKVLGG VVGMALGFNEGGFVLI L199_003000 MSNNLQHPSVSDDTSTTNDHEPDTTSKPSKRDILKSVFTLAPSI PISSEQQRQSALVENDIQRDYARMRDEDLQELQRRQGATRVIQRYYRGYRDRKMVKGM KLQRDARWDDLVKQTGEQSYSKGQLDNKNDVKSRWHRAVQAASRLESGEGLYNPPSDL IEDIPTEEIPESTKKARRATFWGNLSLPIAKDQNTGKGKDRDENEVLPYQSKALEQQH WLEMIDGKHRYGSNMKYYFRKWKEAETQDNFFRWLDRGEGKDLDLEEMPRDRLEKERI MYLSAEQRLNYLVKVDKDGLLRWARNNELVDTAAGKWKDAGDGKGIIPEDNSSDNEDE QRPRKDDYATTSKTPWKARKSHKTGSTPSSYGSASDLSISSDSYSAESDLDDNEDTHY VGLDKADEEQGWLERKKKRLTPGGMRKELLRKTVRRNTWIYVSDMKLNLFVGIKQSGN FQHSSFLAGGKVTSAGIIVVKHGLIKSLNPLSGHYRSSIEHFRAFIGQLENRGVDLSH VKIAKSVLSLWGLSKYAKITKREQNFISTIKHALHISSEPTEEEKSAELKANAEREEK EHQERMKRVHIAEEESGLNDPQRKGDVKEGGDEEELRKVRREVLYGKEREKDIEDKER KEDTKREIRPV L199_003001 MVSTRSKATNNHSSPSQGAETGEKRSQPSSSSSTKNGRSPKKAK QEKEQDVAEKKEFEVAVQGEPTTETKKEETNLGEEGVKDEIAAEKAKAKDEDKVESRE DEVKREEKKESGEKDDEDEPRHGTLESGHIYFLYRPKVETEEAESLDDISKFHILLIP QSGKHSKGHYHRIIEVGKKKLPDPGAKHQVIWGLIGGVGEDKSTLKDSFGAYTYETKT RGTRHQAAARPAARGHYILHSPRDELADSPDHNRQRDYKTLLAYEITTPTHEDFGQVQ KELGIEEKGAVVLQVKDPNVESRGNPRAAGIPREKRAQYPQHLLDIFRNRRFIPSNPV SLLDYQGAELLIITSPHELHESLGKQGEKVEDDLDHDSAAEKVSMDDALKELGLSKKE FPEDALEGNWA L199_003002 MHIPLFTNLATSLLPLLSPSQPSSSSSTITIRPIHAHTHKYNNT TPTLYLHNTSSEASFYAHDYPLSIFGADADIPSLSADDLTIRTVKTIIRRPRVRPPSM LSWSLSHKATTHRGGIIPFGTYNSSEDVGMWVAPDMNSQGGEWDDVEVIAPDIKDRQT LITLAKITSNAYVLPDSGEWWPVGKWNNHTVPFGWEDNADGLRGHIFADPKNETVIIS IKGTSAGVLGSGGPTAKNDKFNDNLLFSCCCARVDFSWTPVCDCYAGGWRCEQTCLED SLVNESVYATVGTNLYNNVTYMYPNATIWLVGHSLGGSLSAMVGLSFGAPAVTFEAPG DKLASKRLHLPLPPGMPADKTGITHVYHTADPIPIGACNGAYSGCYAAGFALESKCHT GQTILYDTVTVKGWSVDIRTHRITDIIEKVLADPWPETDKPKKPSTQPLHISNLGLGQ WWGWGRKGPQPGKGGDQDDGDEDTDDGGWEKHGGVPLAEAEEDCVDCYKWEFGDGWSQ DPKKSEAQDGLSIAEARRKGLKRS L199_003003 MARPRRKNRTHLKGPAKGETEENVPKSFVIKSGVVTKSIAQLVR DTRKIMEPNTATRLRERPNARLRDYLTIAPSLKVTHLLAFTLTDAANVHLRVARFPQG PTLTFRVNKYSLMKDLVQSSLRNVGKSPGGEYRNPPLLVMNSFQQPQNGPALPQLRLM STMFQGLFPPIQVEKSALPTFRRVLLLSYSHQTGCISFRHFTITVRPHGVSRRVRKLL TTTGTVKVSRKQPNLSNTEDIADYLLKRAGSEASTAAGYDSMSETEASEGESDTNAVE LPEDYVGRGNKKGERKAVRLIETGPRMELKLIKVVEGLVGSKKGEGETVFHEFVHKSK SEALTMQQSHEKRRAEKEARRAEQAANVARKKAEQDKKKGKAPAADDEDQDGDEEEES DDELDVEGLSDIDPEEELQRLRESKVKFQDDGDDNEDDDFEYEDRVQHEDEDDWNEDI GAEDVSSEEEDVQQDSSASESEDEIPKPPPKKKRSSGKR L199_003004 MSIHVVRRAAVGRQLLARPVSLSSRSISSSSYLLRPHPTTPAHS STTAVQPHPHPPSTSFHPSKSSIFTPLDTFLPRHLGPRQSDVESMLSTLGYKSMDAFI DDTIPKGIRVDALTDKEGDKTGIRPFSELELARRVEEVASLNKPMKSYIGMGYHNAIV PPVIQRNVLENPAWYTAYTPYSPEQSQGRLESLINFQTVTISLTGLPIANASLLDEAT AAGEAMAMCLASVPKNKLSKGKKVFLVSPSVAPQTIAVLQTRASGFGIDLKVAKSNET FVQEVEALGEEKLMGALVQYPDVNGNIGDWQEVASKVKSTGAKMVVASDLLALTMLQP PGEWGADIVCGNSQRFGVPVGYGGPHAAFFACTDDLKRKMPGRLVGLSKDSRGGPAYR LALQTREQHIRREKATSNVCTAQALLANMTAMYAVYHGPEGLRKIAGKVHSLTRILSE SLASLGFTTVNKTYFDTLTIGVSSAGVTAAQVHEESIKASINFRPIDDKTIGITLDES VGPLDLTDIVNVFYRVKGQKDIEPEHLEQLASKLELSSESVTSPIAQHARTSEFLTQP VFNKHHSETHMLRYMMHLQEKDYSLVHGMIPLGSCTMKLNSTSSMAPLSWKEFGGIHP FAPVDQVKGYEVLIKELEDDLSLVTGYDATSVQPNSGASGEYAGLKVIQAYHQSKGEG HRDVCLIPLSAHGTNPASAAMVGYKVVPIKALNDGSLDLADLKEKAEKHRDNLASFMV TYPSTFGVFEEGIEEACQIVHDNGGQVYVDGANCNSLVGLTSLGRVGGDVSHTNLHKT FSIPHGGGGPGVGPISCKSHLAPFLPTHPLVATGGSQAIPAVSAAPYGSASINTISWA YIKMLGGEGLTEVSKIALLNANYIAERLRPYYNVRFSNKNGRVAHECLVDLGEFEKSA GLKVSDFSKRLQDYSFHPPTAQWPISTCWLIEPTESESKAELDRFIDALISIRKEVDE IVSGEQSKENNVFKNAPHPLSILVDDKWDKPYSREKAVFPVPSLKKNKFWPSVGRVDD AAGDLNLICECGSVEEYA L199_003005 MDLPTVKAAVKSWEKSFRAREGRDPTKEDIKRDPGDIASQYALY RKLTKASSASSSQSLRPPPSSSTAAPSSSSSSQYRSTPRNVPSSEYPTTPTPPSRRVS GSFFSSASASNSRAGPSRSNRTSLGVGLQDDDVGNKSLKRKASKSNIPSSPPPTSTSS LTSRTLFSTPKKYKAYTGPIHDPNPINPFNTTTTPTKSSPFGPGPGMNGLQREKSFSS PFIHASSPKKLKEVLEANSLKKVKERTNTGNEITPRTRARKRLKGEEVEDTPLKQKVP RRKRGQGQARTSEEPLEPLEEEEAQGNFLKPGNRSIFDDEDEEEDELGPSPIKVNDKQ QGRGFTSLFGEVEGEGEVGDDEDEDEEDSRRTNHQISMTAQLKGIDASAGNKSRSGLD TSRSTNIKKAKSKSNGNTNGNGIINFFNRISTGKSTLPTKSQNPEDDELLKSTSPIPI PEEVPSIQIQSPPSLEPTPSADEIPLIDDISPVMDEAIQDDEPGLASDYTPSASQRRR EKVLNLSDDEIDEFDPEGGYVKRKIRIVPTRREVKRRNSSSDLSGEEDDDEQQDDTGL NANEQDGEEQEEEEEQVFISPSNTKSLSIPMLNLLSIHSPSQSKTRAQVAKAKLEELR VKALFNPSDAAKLKAMKRGQDISFTGEARVEDDEEEDEQGILEKYDFGLKDIPEKGDV EDGGADGGEREDDDWESESEGWKREQTEEDW L199_003006 MRPSTSILPLLSSRTALPHSILSVRLLSTSLPRLQSQSPLPNSI SGSPNSPATEPTRPPSKHIANPKFLTDRPVKITHKPVFPAPHNPFAPTPAPKTYNDIT LRILRRVNRLLGYNRRRRTTARETGRMMKGIVEAVEYDKDFWYGECDLPRTFHTFFTI HYLYVLLTLVRLRALPNYIPNPLSPLPQSAYPGLPGSTTPVQRPSIIDTLDNAGCYFS RVKQHDYRYQQVLLTHFFDIVENEIRLMLGVEITREGETMKRLREYQERGNFARMSLD YVLGLTKSEDPKDREIADGELASFIWRFIFARRGTGKGLEGELTYPEGEGMKKGKELE MAEQIETIVKFVRRELNRLDSISDRDVIAGNVGMFGGVRQ L199_003007 MRSIVPLSTLRPHLSPRPFLRQTPLLIRYNSTTSSLKPKSTAEP TTFSSKSSPRTTYDSPEASRGMPPPTSGYQRKRVEEPRFTSSGQGEEAFSGPSRPRLI YERPGDRDLPKVGNKLPFVIALGLLGLGWGAFLLHATNAERLASSVLRQVTFQLRNSK EVISVLGENVRLVENWWALGQPWISGTINLMQGRVDLSFRVKGDKGAGTVYFTSIRPQ EQGAWRIVRYKIIADDGEVVRLENLESFKTKL L199_003008 MSAQDFELDPVDLSEELTKELRTEEGNKNVRQARFFARETQAYL EHLRPRVKKIERFWLTALLNHTQLAAATTSKEDRHALSFLEDIELIQEENDFRPFELK FHFKENPYFTNTVLAKKYSLPNGVEPAPKDGTITEELRNFIENEELAPSSMKIDWKSD EVNLPKKQPRLVQGHDHDHDDPNHVHHDDEDEGYEGDLGSFFLYFELADDPFMLGDAI KSEILPEAFAYFEDRGENSPGAEFGMDSDLDEDDDDELDEESDEDENAEIDLEDEEEE IPKKKRKLGKNGK L199_003009 MSLRTPLTTFRRTFVTSARSLAEPATAPAQSGFSPLRDLLASSS SSSSSSHFTPAPIASESQTATRGYDVHSIPPKADPTIDLFTNCLMKDGKKDEAQKMVS RILTMLNQSTNLPPQPLLKQAIIFSSPSIKILSMRKSAKTVLTPRALTERQRTRQGIA WLLKASEKGRKGGVSRDQRIAREILGVLEGQSDVFKWLEERHKIAYLNRSNMTAR L199_003010 MAKRTSEAADLPTPKSAAKGQETARSPAVQDVDEEMGEFEDKWE DEYESEGEVVDAEAEGDDDFTPAQEDSAPPPAPTKTYLPGTAIESDEHLVPDNSVYLA LHSLSYSWPCLSFDILKDTLGSDRATFPHTSWIVTGTQAGEVPGQGSKAKDEVVIMKL GNLSKTQHDDDSDDDDEEEDDEGNDEDATLDFLTIPHIGSVNRIRAAPAPAGNSLPEP YHVATFSETGKVNIFDVRPYIDTLSGDSSKPRQKTPIHTITNHGRSEGFAVEWGKTGL LTGDIDKKIYLTTITPTGFNTSPQPYLSHTSSVEDLQWSPNESTVFASASADRTVRVW DIRAKGRKSVVSVQAHKEDVNVISWNKGVEYLLVSGGDDGELNVWDLRMFNKGQPTPV ANFSWHQAPITSVEWHPTDTSVFGASGSDDQLTLWDLSVEPDEDESPNNAIVKSADGS EIPNVPPQLLFVHQGQKDTKEIHWHPQIPGMVLSTASDGFNVFKTISC L199_003011 MNGPVVATPIPSLSSSPTPSPEVSRLPTPAPNGHLAAPSPPSAS ASPAVSDVTSSTPRAGSPVPIQLSMPKTDQYDARGTLPNTLLAVAVIATVLGAIVGSS LALASRRVLDLLAGSWARPQLGIYLAAVSTFHLLEFYTTAGWNPLKVSVDAFLLNNTT QYHVAHAVGLAEYFISSYFFPSKFDSKRNSTPLLAIIVIVMIGAQIIRSLAMIQAAQS FSHIVKSKKHDDHTLITHGLYSWSRHPSYTGFFYWAVFSQLLLGNVVTTIGFVVVLSR FFSRRIVDEEKWLVRFFGDEYIQYRRRVGTKLPFFFSSA L199_003012 MDQEVSSSQLRNALHEVIQLRQAEIDEMIPKHADSLKELYLMLE PRRKRSEDFPPIGEEDALDENTVEEGFQQWKERYKLGGGSSISSLQLPSLPPISPPAT SSVFPDEAPTTHPAETSNLPKSPISPSGVSQDRPIAPIKSPKAQKPFVPLLLSPSPPP DGSGPSMGISMDQIQPRPRLIPSTSFAPPAKLEAPEWIPVDNYHPAELPGTSPKLLMP LETDYTSQLPRLPPLPPQIRQGQGQGPAKKRIKLNDSVDLYKLQVSYTLNPLSGSLSK SSKCVLTNDWKIALNEMRHVRAMERIETKKNENRWSLRQPKKLRTLAVPKSHWDFLLE EMEWMRVDFNEERKWKLIQAREFAYQVVEWHLSSDGEKAKLMVGNRGWGKSNDQPIPG HSGNRETNTQIDGEQGQGQLEVPNTSAEDDDVEMLIGREEDSPAEEGVAGAEVLESID EMKEVQDEVVKKENEDVDMGEDVDADGEEDADGEPDDGGTADADGEEEDAEGEEDAEG EAEDVGEDVVGLDDIDNADESDREASEVRRDTVLPNGLVINKRFANVEELVAARKPLL DLSFASTTVDLDSLPQLTAASTTEDGAEPQTPPSLVDLFPDLAVYQGPQPPSEDKPTR RLDEGHTNGHRIAHTSRIMDIRPILVSTLQPAKNAPDGVWDIHEGPYFEDPKGSTDVN PTVVAATSSVFYGRGIRPLDSMRPPEQPKPPAQHLRAQLVWSQEDDEHLLKLVGTYPF HWQLIADSFNTEKVNIPTEKRSAYECWERWYYTYSDGKDKLKKAEALAQASASVAPTP GGNPTTAATPTAAPPSGTTTPAPVAPTSAIPPSTAASSRPGNQTPQSAGPPQQTPVTG ISVPSLPTPTPGPAATASQAPGTAGAGTPLPEGAPPPPGMSKRDRQAAKPRYEGTKSS IRHQAIYDAARKLNRRREANKTKTGVKDQPKKIINVHESHASYLMSSISTPWELVETK YQRDVQAMQQRQQRAMQEQQRQIALRQQMMQQQQQAAQAAQQAQQQQAAQGQQQPQQQ TVPQSGPPQPQGQGQPIQPTPQPQNQQPQMRPPPNAPVRMGPNGQPMPNMAPSQQQLL NAVVAANAVNRQNVNAVPGQGNVRPNPQQQQQQQQQVQQTPTIQVQQQMQLLQAQQIA ATQAQIRAAQQAQLQAHAQAQAQGRMSQSPYNQQGTPLPNGDPSMANSSPAMTQASPQ QRVPSGQPVPQHLRVASAGSQGSPQMSSPIPVQQGIQAPQINNAAMQQIIAQLAANGQ QANPEQIRALMMRNAQMQAAAQAQAQVAAAQQQGQVNPGTPQMGMQGVQHFARSPSIQ NNQIQARNSPKPNQQNQNQG L199_003013 MASLSLSSRSSLISLRPLINSSRPSWSLPCIASSSSSTSTLSVT PVAPIESTIPTASSWKSILPSFSLESIMELIPPIVWASVPKKKTSHSRKSMRSANKGL KNRTNLSLCEACGSIKLTHHICPTCYSQISRRWKREARGESPSGAITEPILEHQPQQS AQP L199_003014 MSADEATSGTTQDDLSVCKVYGDKLIYREGGSSSGMLFHYAGTF NVSRGEGYIQYADPGEITITLFNHLPIVPPAPESTARINRSHRRRGRHDMAETGIESA PILFQPSRVDRVLRYKPSHDTELGLPSNQTGTNTDTDTNTNEIPKIEIHTNTLKMAEH TFTFHKILTTQIGRNHDSAGSRSIARASIGLGFIDHNRDSREHIEIYRPADPSPREIG DINVMFGSFINDLPTLYNDDPEGRRSNDTV L199_003015 MSSPATSTTGDDGSTLKVYGNKLTYREVGSDDATTYDYAGSFYV ARGDDHISHKAESGDITITFFKESPGIPLPIPSTALSNRLMGRSNPNIPLLGSRPTGI QSVRSRTRPIRPGRPIDPQQIDWSLIDLPSISVPIDRREPDLVLRYKPSRGTDASSQI SDAQPDMTLYTNNLELGDHRFSFHKELSTHPGHSYDPRSCSSISGGSIGIGYICHDGD ESFTERMEVYKPNSAESVGQIITAIGTFHRTLPRDV L199_003016 MSDLSHYARIAHFQGLTYGLRSATSENSGNRTVVTDRGSFVLDY RGEGDYGNDRQLVYSSYDGANVQESHVVRALSIMKDDGSPQPASTITYNGKTFALVDS VRGTPGADWEDLETDEEYHIFLKRDGGDGDDLHLLFSSYAETC L199_003017 MSTSSEGTFRQNLTVDDNGRGIGATKILLGGNSYTLKDSTSVGP EVLSDLTGSQRDDIVTIVRTQEGGTKYIYRPSSHWTSFDGEP L199_003018 MGRNGLFGNFQGLDAFGKTMEDVKIRTRTGALLTFISFSIILTS IMLEFIDYRRIHLEPSIIVDRSRGEKLVIEFDVSFPKVPCYLLSLDVMDISGEHQTEL EHHISKTRLDKNGRALETVEGGQLKGDVERQNLKRDPNYCGSCYGASPDESGCCNSCE AVRQAYARKGWSFNDPSGIEQCVEEGWEDKIKEQNTEGCRIAGRVRVNKVIGNLHFSP GRSFQHNMVQMMDLVPYLRDNNHHDFGHVIHKFRFGADLTAAEEATVLPKEAKWRNSL MMRDPLQEVAAHTEESQYMFQYFLKVVSTNFVALNGEEIPSHQYSVTQYERDLRLGNA PGKDSHGHMTSHGVAGVPGVFINYEISPMKVIHTETRQSFAHFLTSTCAIIGGVLTVA SLVDGFIFSSSKRLKGINDDGFGAPGGKML L199_003019 MSSPKAEYVTFDTSVGSFTVELYTAHAPRTCNNFSKLAERGYYN GVIFHRIIPGFMIQGGDPTGTGRGGTSIYGDKFKDELHPELRFVGAGILAMANSGPNT NGSQFFITCAPTPFLDGKHTIFGRVSSGMKTIQRLEAVRTDSEDRPVEDIKIHKARLG DAAPPPGGLDVARVAL L199_003020 MFRVAISSARAFSKVRWSRCIQTQTHTQTESSMGMAMGMMGGKK EREEGDISSVFASLSGEAPKPLPARFGELKEKIIGDEANQRALVEGWSRLTKRLSEVA EEADRKKQECIPQVTYEEFTKTPSSNTIEAVKRSGSLVVKNVIERETAEGWLKDVEKY IKDNPSVKGFPKDDKQVFELYWSKTQLIARSHPRSFQVQKSLLKLFSADEGDSEVSLH VPLSYADRLRIRHPGDSQFSLGPHSDGGSVERWEDETYRGVYKDILTGKWEKFDPWTI GGRVRANQNMYDGPGSCGVFRAFQGWTSLSSTGPNEGTLRVYPFVKELSAYVLLRPLF REKQSRATVTHDQYLSPSNWELDVKPTSTTFPGSPLARCQEYSDITHPHLQLERSMVS MPRVEPGDQAWWHCDTIHAVEATHNGLGPSAVLYIPTVPLTLQNIRYIKDQRENFISG RPGPDFPGGVGESDFIGRGMETDIIGEEGRHAMGLEAFRLDGDMSEGERMLRSKANEI LGL L199_003021 MTSPIPQASTAASPDLILQTLRAHLIPHPILAYLPTVLNLFAHL QLLSDKIQSEAIRALTIHVKLVKRLNKEGCHPQEQVRRGALNEREGNEIISVKKRREE AKGRMLAFSLEFRKHHIATLLSTPKIPFGQVEKIIESYFPKLQDDNNRIVKEHPALYI RCMASEQIPVFDHYGKLVRRWYERAKSLKDEDKPPFLYVDLYPDDRVNGKTKQDSLCK NILREAEKVLEDMEVLRDIFENDDNRKGYQSEGDKSVSESHSWGNIGEVNLDESDGEK DDVGRRFTKAEKGKGKAVDSNLGQSEEITAPALPTKISIPTAFPKKSVRPSTPPPTRV RQPTKPLAKTFTEVAPSRSCQPPQQERSHGTIDLTDDSSRAAPPSARSDPSAHLHSDD RRKTLPSTLVIPNVTTSTPNIVTKKRPRQSYPLTGPDYTAPAPKVGGSAVKEKLRPFE NSELPKAKRTKV L199_003022 MNPLRSISSSASSSLRASLRSHLAPSPIASVRCSPSASRIILQR RGYADAPPAPNPSKESPLQPEIDRQRKLRDQANVGPFTWKAAALFIATGVGLYLYFES EKAAVLERRRQELSSKSIGKPQIGGPFTLDRHDGGNFTEKDLLGKWTLIYFGFTHCPD ICPEELDKMGEAIDIVDKKTGHYGKMDQGVMPVFISVDPARDTIPQIKKYVKEFHPRM VGLVGDYEAVKKTCKMYRVYFSTPPDATASDDYLVDHSIFFYLMDPLGQFVDAFGKAT TAEQVAEKVLDSMKKWDDAGGNAAAGV L199_003023 MASPCTLTLDDGTHYDLSPLGSAKADYVAQVGETSYNLNICRGV VGELHAVEDPDTVGGYVRKDQGDFVLGRVHTNLTLSPMTNEPMYVITDGSPCPLNPDA RASTAIRFICSPSDFNAGKPLLVATLPPQDPCHFYFEWRSHVACPTNPKSSLESGHYI AFGAILAIAIITWFGGHTLYNRFYLGRRGSAQFPLFHIPRPKISLPTFKSRSNGNGEG SSGGPKWGSWRRRSQRSGNGYTSIRADENDEEEGFAGRFSLDDDELDADAEDLTGVNE TNAWRNQAATNGSAGVNGEAGAGAGKGKNVGVHQGLVDI L199_003024 MIRNLRPLLRNAPSSSKPAFRAISSTALKSMASPAVEPSPLRAH SVEELHGMSAEEILKEGSSRKDAQMRHFTVNFGPQHPAAHGVLRLILELNGEEILRAD PHIGLLHRGTEKLIEYKNYTQALPYFDRLDYVSMMTNELCYTLAVEKLLNIEVPERAK WIRTLFGEITRVLNHLMAVLTHAMDVGALTPFLWGFEEREKLMEFYERVSGARMHAAY IRPGGVAFDLPHGMLDDIFKWATQFSSRVDEIEEVVTGNRIWKGRTIGIGTVTAQQAL DYSFSGVMLRGSGVPWDIRKVAPYDAYDKVEFDVPVGKNGDCYDRYLCRVQEFRESLR IIDQCLNKMPTGVVKVDDHKIVPPPRASMKESMEALIHHFKIYSEGYTVPPGETYSAI EAPKGEMGVYLVSDGTNRPYKCKIRAPGFAHLQGSDFMMRHHFLPDAVAIIGTMDLVF GEVDR L199_003025 MGDALAVEDQRSILSVRSIVPEPDSVTKLVKRCRALILKLLPVE VELSQISDATSSIITPEVIEAFAKAGGDFGESVPFCLLRAKATFMKEAYANPADYDEN LCRATAAECLARRIVHNLPVDRLESVMSTRYRYKEGDGDDSPPVSALETAIDQHCTIF LSSSEAQHVVNSLWKGDWVQRNNENMDIDYVPYERTESNSFWDHLNPERLSVPRYQSF FRIIIWFVFLYVYSQSVQSPLDTLDPARNWDWAEVVLYVMAVSFLLEEVVKMFKIIRI ANKPLATIGFWTVVNFLTDGLLLSAFALRVAGLSLDSSKDEQASLLHFRSFQVLACVA PLIWMKLLTVFDGYKTVGSLQVVVTRMLRETTIFFILLGIMAIGFVQSMYALDAADGE TGGAAIVVNNLLQALLGSPDFDSPTERFGYPFGLIIFYGWNFVATIILVNVLIALFGS AYQDVTDNETDEYLVFFAHKTIDLIRAPDSYVYIAPFNLIEAVLIAPLEWILPTQWYI NLNRYVMSTLFFIPLTIIALFESQVSHSRSQRLRAYFSGPPPDDEDDPKINDPTVEDD SQGEISKVKFDELVKSFPNTAITEGALVQREISSLKKQLDNLEKLLAKSNGNGNGTQS KAQ L199_003026 MGLFDKLKDQFQSSSPSYDFHTSTHPLPLGPDAVFRYRYQRGVN LGSWFTLERWIASHPFRNAHQPGQSDFDVASGRDAKKVLEEHWDTWMNEGDWRWIKEK GFNSVRIPISYYHLCGPLPEVLKGTEFEPFHHIFEGAWGRIKQAIEMAGYLGLGVLID LHAAAGAQNADAHSGLSNGKVGFWDKHSNLASTSLALRFLASELSRIPHVVGLEVLNE PANNSKLQGWYRTILDEIRSIVPVDFPLYISDAWDTQHYANFVGGRDDFVVLDHHLYR CFTDQDRQKDGYAHAHDIRSGFKNTLSGQSESAKGNIIIGEWSASLDPHSFPPNIPDT EKDAHRREFVKAQLELFEEKTAGYWFWTYKKQDGWDAGWSAKDAARAEILPQWIGGKR FKGDPPVGVKDSEMKSAYDAHTSYWASHGGSPDPTVFAPGFSQGWDDSLTFLSHPSGP SRLGFTDQWMKSRKIEFENYTNKKLGKATWEWEHGFRQGVEASLRICTY L199_003027 MEVEDLPNVHDFEAYAAKAMPDLGLEIEDFQAQTWRIEHWSQQA KRIVGPEFSCGGHKWRILLFPQGNANGQPNDMVSVYLDYANPKTAPEGWHACAQFCLA ISNPWDPTIQTSSHAHHRFVAEECDWGFTRFVDLRKLYTADTANGKTRPTIENDEVEI TAFVRVLKDPTGVLWHNFVNYDSKKETGHVGLKNQGATCYMNSLLQSLFCTNYFRKAV YQIPTEGDIPSESLALALQRVFYHLQTSNQPVGTTELTKSFGWKSLDSFMQHDVQEFS RILQDKLEIKMKGTPAEGAIPRLFKGSMKNYIKCIDVDYESSVIEEFYDIQLTIKGIK NLRDSFREYVSVETLDGDNKYMAEGHGLQAAKKGVIFKALPPVLHMQLRRFEYDIEKD ALVKINDRHEFPFEIDLAEFLEEGADRSQSHVYKLHGVLVHSGDLHGGHYFALIKPEK DGRWFKFDDDRVTPVTDKEVLEDNYGGDMLNGLIPPHQRTQARTLKKFTNAYMLVYIR ETELDTVLAPFTEADTPPHLKARLDAEREQLEAKRREKDEQHLYLTAKVITDEIFSRH QGFDLASFDDKNLPATELPTFRVLKTETFYTFKQRIAHYFKISERDFRLWVLVNRQNK TVRPDVPIHDSENSQTMDHIRNSMAARASDLRLYLDYNPDHAKFNAIHADPNNAPIMI FLKWFDCSRQTLLGQGKVFVNKNNKVSDLLGVIQEKMGWPSSTPIKLYEEIKAGMIEG MKMKQTYQQNEIQDGDIICYQVDMTDKEVADLEAQSLYSTVPQFYDFLQNRVLVQFKS RYEDTTGKAPDFDLMLSKKMTYDIMAHRVGDYLKHDPLKLRFTSSNPQSGTPKAIIKR SLNQSVADITQTNYYSQHPNVIIYYELLDISIIELETKKSLKVVWTGRHNKEEATHSF LLPKTSTFADVAEHLSKAVKIQPGGSGKIRIFDISQSGRSQREYTSAEMIGNLTEPAE LYAEEIPLEELEVNQNALSDGKKVVNLFHYARDPSRIHGVPCKFVLKEGEPFSETKVR LQERIGVSDKDFAKYKFSLVTSTVFKQPSVVEDNDVLYDHKWAADDALGLDHIDKRPN KVNAERGIVMR L199_003028 MKLPYNRPWLFEISDHPSCPEPIRVGVQKMLTSLWLHRVFPFQA QAPYELAANVLERVINEIEQEDNDNGQNTITINGIGNGQGDKKDTLKVVDFCSGAGGP IKKIEKRINKNRKYPIPFLLSDLNPPLDQWKKIYGPPTTSSSQRQKQKTISYIPYPVD ATATSSGSNMGGVLDEKHLRTFFLSFHHFNEELARGVLVDAMRGSEGICIFELQQCNF RSLFMIVMLGPLSWLLTPFTRPTLAILFFTYIIPLIPLTLIFDGFISVYRTRSISHIL RLTNLASLTIQLENQDDASKEDYEWDWEFGRVRHTWPWGYMIYFVGRKKRYSYDEEEE EFEQE L199_003029 MSSSSFPNLNPTYSRDLTFHQHLINYISTSLSTGHYARIPSFYA PSPRDVRRLISLWTILYSTYRIDIERQFRSNRRIPNDHLERTLEGLKVHSLKLENDPH INTTIYELRTLLPDLTTAVQSLLSSPPFRPFGQVDAEILALAQWFKNDYMKWVDPILC PKCSSPTSAEGMAEPTPQERADGAGRVELHKCIRPGCGEVRRFCRYGKIKALMRSREG RCGEWAQMFYCFLRVKGIESRYIWNSEDHVWCEYWSPTLQHWVHVDSCEAAVNKPLLY ARGWGKKQAFCLAFGPYGAEDVTRAYVDDWESCKGRRRAKGWKELDLRSALNAHTVSL RLRLAHSERIRLEAMDNLQSLWMADERGRLAESERMELGGRISGPEDWRAMRDELGLG NKHVEIPKYMVIKSLSLPNDQLKQFGDTRLNSSSILLTDGPSQTSMIFNPNPINQNAG FRSKVRFKLTSDGSGEADGIALIFVSHLPQSVGLGGYGMGYDGLGLEGDFAIEIDTYR TQDHANDPPTPHISIHSPPKAHHKYSLGCTKPGSIPFLSDGRTYELEIIYSSHEQTRR VRGYLHTPDGDVLEVVNVNLSERPQGSEEDKWYLGISGACGGLWQKQEILDWEIDIIQ FNQNEEVGHTQKEEKVKLEKDEI L199_003030 MIRLRTPSFPLLLLALLLYLLLVVARREDEESKHQKRDFQTLSN EDIRTLVESDPPQWNGVDEGHLGKLLIPRASGSQNNTLVQNHISSVFTKLGWHEEKTP FRGTTPIGDIDFTNLIYTFDPSAPRKIILAAHFDSKWFPDFPANQFIGATDSAAPVAM ILDLAEFLTPLLEKRKERIRSGQGILKKDFDEEEVAETTIQIVLFDGEEAFKDWTSTD SIYGARHLAELWQSTYLPSSHPLNKRRLSPPPSVLNTIDHLVLLDLLGNKHSMIYSYF RETDWLHSKMKFADERLQHEGLVEVEKNEKEWFGDMRMRGGIGDDHLPFLHRGVSIFH VISNPFPKVWHTLADDASALSLPALRRWNRILRVFSCEYLGLEPSTTNVKRKYGELVR IYLSAL L199_003031 MKGLKKAMNLSRTKSGEKQSKSPQPSTIGKPQPPVPINSHSPGP SSPSLQSPARAGPAGGNTNTYGGEQQNMLQGGGAPLTPRRSPVSDKTSPAPPLVVISG APQQPPVNTEMPPDPIPHSPHGRGFGSPERSLGPDGQPTPPKAGPLNRLRGGPKDTIP ISGKTPRKQRSSRFYVTEKVDIEKLPNFSDVRPEERNELFVQKLQQCRVVFDFNDASS ELKGKQVKAQTLHEMLEYITTSRGVITEQIYPEVVGMFATNLFRSIPPQVNPTGDAFD PEEDEPVLELAWPHLQIVYEFFLRFVESPDFNTNVGKKYIDQSFVLALLELFDSEDPR ERDFLKTTLHRIYGKFLNLRAFIRRSISHVFFQFVYETERHNGIAELLEILGSIINGF ALPLKEEHKTFLTRALIPLHKAKSLALYHPQLAYCVVQFLEKDSTLTEEVILGLLRYW PKVNSPKEVMFLNEVEEILDVIEQTEFTKVMQPLFHQLARCINSPHFQVAERALYYWN NEYIVNLMGEHITIILPIVFPALYQNSRSHWNRTIHGMVYNALKLFMEINNEVFEEVQ SNYKAQRKADAERAIERYDEWVRLREQAIENHRASGSTQPLPASLTEPLPPRPEPYED EPMTDVSVDMTANGFDPSESFTLDRSIGEEHVPLADPGVDRAPMSPTSPLGLGIQGQQ AQGGPLSPSPLANTGLGGGAGAGAGAAGANQPHIRRKSVLPMDPGVMRDLQAHKSLEG QQ L199_003032 MSSQSENKMQYVRLGKSGLKVSKIILGCMSYGVKRPLDGWTWVL EEEEALKHLKHAYDKGINTFDTADTYSQGNSERILGKFLKTYDIPRESVVIMTKTYMA FGDEKSYGPAGYVNNSRLSRKRIFAAIKDSLERLGTDYVDVFQCHRFDYDTPIEETMQ ALHDIVQAGHVRYLGMSSCYAWQFQLMQQYAIQNKLTPFVSMQNYHNAIYREEEREMM PTLQHFGVGSIPWSPLAGGKLCRPASSDPQTERSSSTSRMFGTTTSADQKIISTIEEI AKERNISMAQVSLAWSLSKEFISAPIIGTTSIEKLDDLLKGLEVKLSEEEIKRIDGDY QPKAIFGHS L199_003033 MSFTKPLSILCLASLIGMVKGMAFIGCVDPYRLRDYPVVLSEGQ VDNVVDQSSCLSYCQAQEYRTGAWDSSTSTCYCTSENPPFSPYYYETAADSSGNCVVG SGHVQVYDIQTSFQFLGCRGTDVPDDVVFIDYIGFEACFDRCSTSGSTYRYAYPELFT AGSGRNTECSCGPSPLTTDSVTCGLNTRYLYYQDVVEPSGLSDRRRALDRLSAARRLA RDQPFCPIGNTPCRIPGLHGDSYECVDVNSELESCGGCMNGIYGSDNTTNTGVDCSTL PGAAFGATTCYRGSCQAWACEEGYVLEDDQCVQV L199_003034 MSTSARPRYDSMKSNGSSTWGVRPPSPTYSTTTTGSRVNLPENH ALITRKDLRQSIACFEELMAAAKAYRNALLAMSSATAAFASAMEACSRVKGCRSSNSA LAGASGLQYLVSNHEQILADAVYRQFEIPLLEALDHYKLVTADRLVAYEKALHEQSSK IRKTEAENGRRRKRDLQQLRQALAEMQRQVDELDSMKAAYHEEVLEGEDEIWDTVLNK VAFVVRSQLDFYEKIAGKASDPILEPLVMSIPDPFDSYGPPKEDGQIFSVLAPLGLLD SSAPQSPTPNLPRTGPSTPARSSSPTKPTALATSTTTPSKAEPTTETVMGQLDGWLDS NHDRERSGRARRELSIIEERDAASVIVRDDEVTSQVAVDDEEDTAVPGVSPTKEAQGK EVQEDRNCDGEQVNGYSMEEDVDELEDDDRVNGSTPDTGKTDIPPDTAEPDQDIP L199_003035 MSLPNFPDSPRPPPSHSPIISELPSYGAGDHLPVWLLALCGSFT AVATGVSIMSITLQLKNYRKPMLQRAVVRIMVMVPLYAISSLIAIFSLEAAFFIDAIR DLYEAFVIYTFLQLLITYLGGERSLLILLHGRPPIPHPFPVSLFLRPMDVSDPWSLLS LKRGVLQYVQVKPLLVLATIILKLTGKYREGDFAIDSGYTYISIVYNTSICLSLYCLA MFWVAVNNDLKPFRPVPKFLCVKGILFFSFWQSIGISFLVAVKAIRRVGPYTDPEHMS LALVDSLVCFEMPIFAIAHQYAFQASDYIDQHHIYAARLPFIYAFRDAFGFKDVWEDT KDTFKGRGVSYQAYEPAEGGLHYGLGRQRRIRAGLRYSKGGKAKYWMPMPGDEARNKG ETGPLSALKRRVDVRLAAREGYAPLLPQQAARVVRDDPNGVHEGTFGGIFDSDSSDSD APSISFHSVDEDEDTLYERARRIGYAGFPNVDVSKEEKQKRLWEAEEGILAGKWNRSY SNDLLRPPIGDRGTSASSSGSRRSDKSRGKGKGKNDKGKGKKAVYGAWADKVTQVDRQ PHTPNGHSTNPSGEGDWLYDGDNERPDAWHHPSNNAHEVKKGENQNQLRWTRKQLHKI KEVSDSLKLPHQDNNNNDTSKSPFSLGEDESSESEIDTDDSVSPASSSKPLPSDAVDL VKDDLNAVEKAREREIRRGEPQTKAPTHVYKKTLRESNERKGEGRIEGIERVYTSHDD LPSSSTEENGNGGGNDSEVVERIETSIAISPPKHAMSLDMEDNPWA L199_003036 MDRPIGAAPAFGASWSSINPPLSPWIMKVIDQMGFNKMTPVQSG TIPRAIKNQDCVVEAVTGSGKTLSFIIPVLERISRKERKYKKGELAAVVIAPTRELAT QIHDVFHHFLSSLIPKPDPDLDGENQSSPPPTPADPLYPLPVLVTSGTPTPYETFLSL GSNILIGTPGRLASFLLSPRGMSVVKVSELDVLVLDEADRLLSSPDHRRDVERIMRHL PKQRRTHLFSATMTDAVEEIIGLGLRNPVRIVVNLKEKKRGEEVKERRTPTALQNTYL ICRQAEKTLQLIRLLRSEVNKNEAAKFVVFFSTCAAVDYFYRILSRLPPLSSYHLTSL HGDLPPRIRETALSNFVNHPSSHLAPAVLLCTDVAARGVDFPDIDVVIQYDPPTDPKS FSHRAGRTARAGRRGKAVVLLGNGREEDYIDFLAVRKIPLTKQDYLNLELEESEIPSS LDQGALELLAQIRKSILTDRELADKGAKAFVSSLRAYTKHEASFIFRLQDIDFHGLAI SYGLLRLPAMPEIKEWKKKREVELRRTQKIKEDGGNVEEKEKEWLDWKDEEVDWDRFA YASKSRETARLLALEKKSNESAKSEKELEEIRAKRKIKSQMREAWSEQKERKVKKEER HDKRDKRKQAQWEAQQAEDPESTVGPIESLRASKKQKKQSQNDDDYDEENGDEQDVGG TEWKSLKKEIQSEKKEKKKKMELNNGGGMFDDLD L199_003037 MQSIRSITSSALRASICRPTPIARRFLHSSPRILASETPKDPFA DPAFKAFQDKVKNHEGAIKAIMDLGEVMKQKGFDTTKQPSMTQMAKMAMDSDLRAAAQ TLMAELQKAGVDPKEAMEMFQKANSGQL L199_003038 MSTRSNTSLDIPLRPGGSFIRTTPSESRPSTSDTTGWRSGQTFH TSAGSFTFNSDGTFRYAPLGTDSRPMYNKAD L199_003039 MGEPNINDDLVSRQAKLSKALSAAYLNHQIKELESKVNAVNFTP PLSRSPNGEPNRPRENGRAEERDPNDDLGRPDDLEDPAHDESDDEWRVVVIDVSALMW AKNAVKRLVGKGWELIVPLEAIRTLDLLKKGSSPSAVSARQAARYIEHATRFHTLLSS DPSITVQSGTNYKKGRGLRIQREEEVLPVTSMIDELALPPMDGQGNLPIWIKKVFSCV AYFKRIMDKEIQLLQDDYEGDREVERGSILYVGNPPVFVEVEQNKINQPTPTGTVVKE DYTSRADGHIVLEEAARFDLTLEVLRDDDHEVEASGLTKSSRSGKDRRDGRNRNRNRD KGNSSRDKDGQRKKKEQSREPVKEVKILLRRPPSLSGDDNHPSPESGKSTLPTHSGNE NSPAIPGPQLKPRVEPTSGQMSIMARPPPPPPSPRGFAPSPRGFRPPPPGALPASHPP MGMRPPRHEPFNGHRPHPPQGRDGGRNRGGQRSKHNNSNEFTLLQRPGSLVRPPPPTP PSAPPGLMARIDAPLHRADMGIRNGRIEGGVGRGGGGREEPKVVLLRRPG L199_003040 MSRPSASRLFAQAVNGLRQSSNQIASSSKRFASTSSASEPTSSA YPFNPTALILPSTVASNAIPQSLLTPRKGWSIINHLNASAPKSQYHNLFSRRHPDRLK TGSVITVLQYTDATKKTVSPFSGVLMGIKKRGGVDTSFKLRNIVNKIGVEMSFKLNSP LIKEIKVVREAQGRSGHIKDLRRSKVNYLRERQGLMAGIASALKASKK L199_003041 MAQKQRTNQAITLKGSTALVTEFFEYSVNSILYQRGVYPSDDFR MVKKYGLPMLVTADDNLKEYLTTILSQVQEWLLSSSINRLVLAIKSIETGETLERWQF DIHTDESAINNPSLPGGPSTKGKKKEKTEKEVQGEIREIMKQITSSVTFLPILEEECT FTLLAYTNDSPDLPIPATWGDADPHLIDRGKVEQVRLRSFSTNVHSLEAMVAYRVGE L199_003042 MASNILPLFWPLANSSKDTRLTASASLVSNLENFQQSFISNKPT AISDDDEDEEEEDDDEDDDESGMEVDASDGEDSQVKDKESEKLDKRLSKDNSEDVVYC VKRLVRGLGSSRESSRLGFAVTLTELLSRIQTVTVSQVLSLLIRNSQYSKNMKGSEER DMMFARLFGLTSIIQSNSLYSQAASREDFERVVDELVKLGEAKAWMRESAWWTLVGAI QGLLDSQVEWRNQGLKDTVEKVLSGKVWNQEKVALVLLLENNVEDIDWKSYLAPTFKH TPLLNSHNLVTLGRVLKEASAEDDESVSASTSGNWKPQLHFVWNIILDHYFPKSGEKP GNNGEAPFQDFFRVVVDESLFSNTASPQRRYWGFQVFERSLPLLPSDSMPLIFTPNFM RCWINNLSSSDRYLHKAAQQIAKKVQEVTKANSQVGFTLLSQLVGKHGKQDFDKVTKT KTVETIMGSLNVQGVRDYVKYLEEIVVSGGENLDSARIDERRLWALDQILALCRNGSV PKDDEWISSIIDFLLVHGFFLIRKADKKSQITAIHTTPKPALSESTAAACRSRLSSCL VELTTASVSQRGSEETKTVRQQGCDTSGKLWLRRALDTLALLEKDNKHVELTTEADEE IVSLRKAAIKTLSSLEKVKEDRKEVAKGSEILLAFFILQTYDEVEDALDLLENANSAI QQLFGLPLTAGKEEEGEEHPPIDSLLDVLIALLDKGSNDLRNLANMAFGMVSSEFTES SMDLLVAQLEQTVADAAAESSDAEDEDNEEVSENEDEDDEDEDAEEDSDIEEDDEDDL PDVDPAFRQRVAEALNVAGLGVDDTNKDGDDDDESDEEEYMDDDQMMKVDEQLAEVFR QQAASTKKTDLKHLQIESLHFKNRILDFFDVYSKKQSSNPLILSVVIALLRLVRGGGS SESELANKAAGIIRSKFNKPKDVPSSVDLSKAIVILKEIHAMAIKAHSAEFSNLCSSS SLFVVKSIESSTSTSDASAVEVYGETLKDFMTRKSSLLHPNFVLEFIKRFPSKGFELS NDIVEFVKPGKGVNTYRQLQGYTMLQTITQHLPSLSKSNTIPSNKIKEFIGNATEAVY ATVEDAETEGGWNAAKLKDVVKFALALARTSKTLDVKWDITRVEGLRDKLVNGEKTKE MKGVISMWNQLISILGGGGNNEKKKGNNKRKNDEKMDVDSELNGKVEEQKEKKEEPSK KKKKVVVADGEKQKKVKKAKKATE L199_003043 MFLSTFTSLFPLILLPSVLAVLEGSVKVNFPSTTSATQTTELGD IPEEGSTRTITFSDVNDKKSFYWYGPTWVEEGRPQSEERRSWKQECQVWANEGFNKDI EFTLRTQSAGLVGPKDQGGHIKCTLINCLIPDGKGECEGEWVVPVVDSSSTRTSDKED IVQGRK L199_003044 MSNPELELDHYDVIVIGTGLAESIAAASLAKAGKSVLHLDPNEY YGGEQASLTLDELVEWSNSQSSSASSSRSSWKVKYGSTSTTPLDEGLQNDKRRYALSL FPAILPSRGDLIDTLISSDVSKYVSFRALDSISLHTSEGEFKKVPGSKEEIFKDKTIS LMDKRKLMKFLLFAASEFEESEILKGRETQPLIQFLQESFSLPTPLSESIIYAISHCS SPSEPTLPALIRTRRYLKSIGRYGNNAFLVGQYGGAGEIAQGFCRACAVFGGTYILGP SARPTSISETPEGVTLDIPSHPRPVTASHLISSPNHVPPTLFDTPSSEHSLEEHHTAH CIAITKTLPEALRRKPISSQESAEEGQEQVENDDTSLVVFPAENGGVVRCYVNGEGTG SCPPGQYIIYLSTSIPPTFTTSPSDILQPYLSKITQDPVFSACYVSSRPSSTSIPVSV SDKIIVLKPYAGSELITEGLDWEAKQGELAYHAVMGEGGKGFFEKDITEEEEMGIEED M L199_003045 MATSLVVPLAYISVMITALAIFSRVYRKRRAAEKTSFEPWFPHH PSREVYISLLSSSQDIPDSLLKSALLVRAITDVKRIWRLRDDKTALTQLHQRGLIGDD TMSRFNAAEKELEAEIVDVVSEANTFRQGWGQMIFATATEMAQAEKTRETVMNIPKIK AVEEKRIALRNKYLPGSVPAPLIQQVSATQAQAMAQAAAAGSGSNTSSPSTTNASGKV ATPHNVPSPSLVSEETVGGVASTDSSKNGSPALGSGSGSGGNSNASTPSKSTPGKKKK NKK L199_003046 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG A L199_003047 MPSPPLSIVQSLSPRIAVLTSQDVVQSCEANGCRGLEELLRPWE GGTERVSILSTTLSPTIHPTFPVRFVSFESVYTNPALSAPSPDVTVDLISSFVGAKKP DEEQHYAITRSLLLSSRPLASHETFNHPVGILFAVSTATPDPLGTLNKLHAQSSTSAI QNVPWMDGNTVLRFYVVVHDVNTMGDDMAPAHELLANVKKAYGPHSTLLVINSQIEHR EPPMSPDVATHPSIPLPRPFTPEQSNPSALSQVYASALSSLTLSPMAAASASLGESAV SDTPFSPSRPVRRKLYGAKLTAEDTQRLAALVRELVVQSLVPWMEARVREWNEVYHAN RRGITGRLFGAGRKFFGSRPNSPAPGTTPTGYNTVKGYYPTSAVEALSRRLADFAFML RDYKFAGSVYDSLRKDFAQDRAWRYAAGATEMYGLCLLLSHPFFLPSSPPTKPVSFTN LQHTEITSWLEQAVAAYHQHSPITQIQLDALRITVLYYEAWKAIGEWRSVGYCLVKGS GESDEVPSAVMIEEAAAADVKGGKSQKGKRRRAFHLVLAARRYETAGLKTYSRRCLER ASQIYRDSPWTSAQDRIEYSLGRQAYTLGESDVAVEHFLRLLRREDTGVPGSQAGPLQ DMAQAYEQLASRPDLLAESSEKLQLPTPIFDIQKTRIVPPAEASTSGSTRERWVELES QALNTWDRKGKKPMTLLPDEKRIVVGVDETFQVELSATNPLNAPLILKEVTVAFDTPE NIDIQSIPEITLDPYETRILSISVTPLSASLSIIKLSNVTFLFHEFFPCKQSLIRKGK RLHATKAQRINPTYAEDQSLTVSITPSQAGLYVELEGIPEMLYEGEEVEGKVRLKNRG KLKISGLGMIWNEYGSIRRKVDTKHQDTPHNNIPNIIQSNAIQPLYDEDIPPGEEREI TIIFTATKTGPIDLLGLILFSNPDDDTTTTSATPVYHHVVVRPLLRLNAQIRSTGVEV QEYVIVLEITNQSSEVVTIDSIDGISPAWIVDSYRSCEILHPNQTLRSLLSIKYDSSH QHDLRQTNVIEALGNLVKGQPIEEVSTSLQSDISFSNKSLTSDQSQSYLIHRRSNRLE HLSAHFPTIPPTYFNKLFQLVEPLHLDLIVNYSIMPSTSSSEVQARKGSAYIHSLNPS PSFSLVETLREEINQLIISGSKSQRTMYEETSRLRRLLMTSVLDGILSREDDPVKVRV RVPDANRGKVTHDFRKNGPKKLPIIFEISNQSPLLPIRYILNLPQPSSLNNDDMSTPQ YIGSLVRKGTIAPSGKERVKVDIWICEPCLTGLGGWELRTELGELQQEEGQWIARRSW SRVGDEQVLETRGL L199_003048 MFSTPLRSLASSASRIPALKRASIPPSSRYASSSSSSKSSKPST QTSPLPKDDWTLPHIHVGPTHPSRYSQHYHNTLSSDLMYMTYSHRLAQKPPKPEPVQP PQTAYEANRPRPPIMRGNRALRTKTTQIDVDNVPKLESVIIHTMVKEAIANKSTLLSA IMALRAISGETPNGGGKKGSSGVEVIVAKKSAAAWKLRAGMPVSVKVELKGDAMYDFI QSLVDFVLPRLRDFPGIPLPPSSTPKNSPASLTGIVSFGFNPTTMSFFPQIESNTDAY PRLHGFHVYFKTSLRGENAHEHARTLVSGFRIPFHRR L199_003049 MPIVERELYGFSLPSPSLKVGSSLESFELRTPTDKGRYKNFVYT LSFPLPNVYRVLLTGPDRPRPPHDNVILPYKPHSFKLTALEEYKATFDFPSQNGPDEL DGSNRKRVLEISWKEHITLVSYEYIGNEKRRLLGDLNNRSYALTEKGVMRHWWIEADN LHLGLGEKAAPLDLSNRSFTMHGSDSANYDAYSTDPLYKHTPYLISTPKAKEGHEEVQ STYAIYHPTNSSGVWDIHSLHDDPWGQFKTYTQDYGGLEEYVLVGKGVKEVVRTFAEL VGKPRLVGRDWLGYLASGMGLGESDHPIAQDLLSTWPDLCKKHDIPCSAMHLSSGYTA DEKTGNRYVFTMNKKRYPDFKGMVAHFHKNGIKVVPNIKPYALQTHPHYKRLESGDAL FRDPAIDEPVVTRIWSSGVGDNEKGSWVDMISEEGRKWWAEGVRSLIEAGCDGMWDDN NEYYLHDDDFLSSTQFPHEIASPIQGKGSVGLLGRMINTELMNYVSHTELEKANPERR TYVLTRSGNVGTFKYANSTWSGDNWTSWHCLRGSQAIQINAGMSLMQSYGSDIGGFAG PLPTPEMFVRWVQLGVTHSRFCIHSYKPNKDDPSGAAATNTPWMYPEMLPIVRDAIRW RYEYLPFFNHLMWLSHEEAIPTTAWLGYGDFASDPDLYTQEILEGFDAWLGVGNILVV PALFEGQLTREVYFPKSSKDDDHVYFDLHAPHRRYLAGTKATIATPLEHFGLFAREGS VIPVGKDYATVTETKGPGRTTIDGVDVELESDGGVVGLDDWRGIKIFPSTNPKKEYEG QWIEDDGISAKPKKTIIKVNYKGDKDSVDVKVRFEKNEFKTLWGNKVQVILPAGDERK VQGAKEVEKNGKKMWEIEF L199_003050 MTPKAQPSLHSFFKRKTPPLSSPIKGIDNDGSIIDLTGSPPAKK PKTLPSPQENTAKSSVPQSTSSYFSKPARQPSNSSTPIPISASTSTSATLETYRLPKA NVPLFTQSGTAFDVYTVTTEAGPSTIARSSEQQRRHEAWQDRVLSNGGIIRRRRSLAL DEAAAAELRRGVGVDTPDVESGTNTPAAEELEEVDSEDESRRKAAEGVGSKLAAKYAS KISDGGRTTKGKGKKKEEVGPSGQTYTPLEKQFMEVKEKTQDVLLLMEVGYKYKFHGE DAKIAAKELGIVAFPSRNFYVASIPIHRLHIHVKKLISLGYKVGVISQTETAALKKAG DNRNAPFTRELTHLFTAATYVEEPSLPSSSTLLDDPIMPGSAPPPTNALVAIVEQGMG GMSYDERVKIAIVSVVPGTGEVVWDEFDDSQVRSELETRLTHLQPAELLLPKDRMSKA TGKVLHHYAGGTSTGSGNTIRTEMIEQILPYDQAFDYLTQFYSVEPSARLSKTEKKQN GNDHIDLTLSDDGDQEMDVDVPNGHPKSDDIPIGINTPDKDGNDLAAGLDSSEAVLAL VDFPKQVVVALSVAVQYMKTFGLQNAFKHRSSFAKFINRAHMLLSSNTLVNLEIYRNQ DDGSIYGSLLWRDCKTRMGRRLLREWIGRPLLDISALRARTDAIEEIMETNTYYMEKL RSLLVNMPDLVKGLTRIQYGKATPTEMATILIALVRIGSEFKPTQEPIFKSSLLNTIL HTLPTIQQTAKTFLESVNVKSARENDQGNLWNDPDKYPDIQDAKDCISSCESELDQHL KEIRKLVKRPTMNYVTVAGIEYLVEVPVRDAKSVPAKWVKISATKSVNRYHTPEVLRI NKEREQHKETLNAVSKEAFKSFQSDISDHHELVVVSKQVAVIDCLMSLAQVAAASGYC KPKFVKEPELRIRSGRHPMVEMLRDEAYVPFDIDFSEMDGTSKVITGPNMAGKSSCVR AVALIVCMAQIGSYVPASSVTLGVHDAVQTRMGASDDIGRGKSTFMVELSETSDILRT ITPRTLVILDELGRGTSTYDGVAIAYATLAHVAGTGCNTLFVTHYPMVAEQLARERPN QISNWHMAFDEIKLPDGSAEITFLYKLTQGLAEASFGVWCARLAGLPKSLLDTAQLRA DYLKHETKLRLLNSLTKRTGKLFKDLRSGESQVGEVLKNVRMLDRGVKMIENRQI L199_003051 MPPRTKAQGSGLGKALINRKAKEAVAPKESQLYTLDESNPLQSI THERDLDNFLANAALADHDFTTERSKMRIISGPNMPAPTTNPFLLSAQEEKEVVKKKS DLAHSLKVPRRPHWTRKMTRLDLERQERDSFLDWRRELAQLAESSALLMTPFERNIQL WRQLWRVLERSHLVVQIVDARNPLGFRCADLEDYVQEIGSDENDEEITVPGKGKRKSL LLINKADLLTYDQRCQWADYFEKNRISYAFFSAANAAALQEQAERQRQRQAGEYPPEQ QEDSEDEDEDEQDEYVSGEEVDPQSEEDDEEEEEEEKNRIDNELAEQVEQTKLDGEYE EGWSTEGEDENDDLAPEGELEEKLAQGEKVPLEEVARDVAAKYGGPPEGEEEDIRTRV LSVTELEDLFMNSAPDIKEFATPQNPNPTKLMVGLVGYPNVGKSSTINALLGAKKVSV SATPGKTKHFQTLVLSDTVTLCDCPGLVFPQFANTQADMVVDGVLPIDQMREYSAPAD LICRRIPREIIEGTYGIRIDVKGVEDGGTGQVGWEDFLSTYAIARGMTRSSFGMPDTS RAARVVLKDYVNAKLLYAHPPPGIDADEFMKTSRDLTLAKLEEAYENGRKRAPVTHVS KNADTYVQPAGSAGTAGVEQVATTERERQFTNRQIKANAASAPMRTGKEKAQALDNVY FNESGAQPRLVVKGRNQKLDVPEPSTGQSYARSTQYPHQRMLGPDGMPMVGSNTREVG GGNGKKHFKRKEGKKRSGRGYD L199_003052 MSNLPVEPEFQQAVSEITQTLEPFLAKNPEYRRALEVVQIPERV IQFRVTWERDDGTLAVNRGFRCQFNSALGPYKGGLRLHPTVNLSILKFLGFEQIFKNA LTGLMMGGGKGGSDFDPKGKSDNEIRRFCYAFMQELSRHIGADLDVPAGDIGTGGREI GFMFGAYKKYRNEFAGILTGKGANWGGSNIRPEATGYGVVYYVTEMLKDLDNTDWKGK KVLISGAGNVAQYAALKVLELGGKVLSLSDSTGSLIATTEEGFQASDITRIAEIKLER KSLTSFVEESANVGERFKWYLGERPWKLVEKADIALPSASQNELNGEEAKALQKAGVR YVAEGSNMGCTLDAIEVFENSRKSIKSLTNTEGVVFYAPGKAANAGGVAVSGLEMAQN SQRLKWTSEEVDSKLKEIMQTCYKTCWDTGKEFSEGSALPSLVAGANIAGFIKVANAM RDQGDWW L199_003053 MTVTVTQNKVDISQMSEQDQKAFKMYGKVPGKNLFTKMQKERKY FDSGDYMMSKAGVPTAQAPGTAHPTPEAVPHASPPTGQPQGILSSSPTGNSHEPHSPT SEKPLTPGVGVGISPAATSEAIEMPGHHHQRRGSESGNHPRISPPGTIRESSHPSSFP IHHPNPGGYGSSPVKASSLAKRLDEEAEAEL L199_003054 MWRSGPRQMNNSDRAGPNTRCQKCLKLGHHTYQCSNPRPYVARP SRTKQLTSGKIGRDKPSVEVPEEFKASSKVGLADKILKAKEEERRKEEEKKKAKRNRR RSSSSSDSDPSSSSGSDSDSDSDSYSSDSSSSRSRSRSRSPPRRKRSKRSPSSSGSDS GSDSEVSRRRSASPAPTSRKRRYSSESDSPRARKPRRRSSTISRSRSISRSRSTSVSP KKRIRSESVESGR L199_003055 MHHSWFLADPSVARASSPRPVNIRARSSTIVGTGSVPAGSTQPG PPSIDVSASSFRITTNPFPGPSAATQALTDQLGTSGSSSSRRPRLQGVGAGAGNGHGH GHNRISSWPSPPQPMISHMPSAPAIPTRANMEGGVLDLSLVDKDSVALLLYTYKPTPI NSNTPAHPSPLPSTSTSTNAPSSQVLLNYAALPWDAKLGDYLEIRQIHRRQTQQPLRI QVPEGESYIKKKKDNVSPAMNGVKTGKGRDGYVFRLGEDVPTASAHQVQVPDSVATAF GFHQRLEVEVRRITDKESAHTDYVEFYFSQYLGRADMWRLGMSLEGMTLHVGEKVSLA GGAVRAEVNFIIRSEKDPKTGKQRDKRYSSGIATAKTKTIFRSKSSQVYLFIQLCEET WEFDEDGERYIEKVVHGYLPDLFARWSEKGTSHVVTIIFFARIYYDEEDVAYLEKHDL AGSLIKDFSGRPCKDFFKVAVDLERRSDWTQALPEIKKQLERSEKELLLDYHFQLMGG KSYTGDKVKIVGRWSFAYEGNALEAINLALNPFDEHHVDRDLSRTGLSITIVTPGTGH FAVDKNLLRLTTERMVDHGMSVDFVCLTKMPLHSVPLFSYVSHRPKGPVSEENTLSGK HRPITPDLLYFDAHMSHIKNTELADCYSLPSWVSASFYAVTHDKPFRPDRFIPRCKMY EIQMLGILDHNLTTVIVPYLDIEDMPMPRRPLSLEDRKVVRDDFDQSIFGSGQVEQPI SKMNSPQLGSTPASIPASYQSARLLAEKEKHERSNSLASTTRPKSIGGFANGSKLSPI KAMIEMEDSHPLGKKRTELRSASPAPSTLSLRRGLRSDSPARAATNIAPPTPPVHTSS PLLVASRGSSPIATTRSASPLPPPISDLPVDHDSSHSPLTRTRDSSPSRASVISLEQN GSGASTPKLTPAKKLNTKSSKSSFASRFGASWLFGGLTSTRSQPSYAAPAMETIGRTD VSSVTGNRPHSPVPSNTSKTKTSDTGSRSRSGNGSVPPRPKMPNPDTMITPSTPSPSK DKTHSIVTPVTQPLPIATGTTSRLRRSQTVEEDPISRSLRNSKGLPPSGQSGQGLSKS HEDLSWRNRNNALVQSSRHFTVNPCKPSAETIDRIRDAGGRRWRFVLPKQAQQHIVQW PSLLAPACLPLTTDFLPTQKQSDELYNTGTYTVQCYLEDGAFLIRSDAAQENLPLAMM REMVSQRLSQNFQVIVLPHDLNEPGVVRPMPIHLEKDADIGVELVSGGASEVLKNGRG AIWMSWANHIHRLLFHPTRQEIIVHWMTRKVSHSTDSVKYRCLVWPVGMKGYQPAEAT FNYPDVNTKINYNHLDHLINGERRTLDPLRYWRTRFILIPSGKEIVSFPGLVPQNEHL NQTDLLWLGAQKVIETLNRYLLKSQNGTPQQPLRIVTTTFDPSTCVLDEELMMDLERQ ISNKEKPTNINSDHKRLEGMTLANVAELMCQPGNGLIIRTRWWEARQHVQSFTGAQFC EWLQNSFEDVTSREKAAEWAESLLEKGLIEHVTNSHGFLDYWHLYYRLREPYNAMSKS SSKNKSWFGTTSSKASSSSTSKEPSRDETSTPINGDANNGILTASNSNNTLLSTANPA QVQASLPSTTPPGARALLAKMYEGPQARSSITSSTSGRPGRKRKVKMSQTRVLDLDPN KKSDRAEVAILHADVVHNARNAFHFELNWLGVTAALLEELRQKLSAQAERYGLRFVET PVEQLSDIPLKCAYRTAIPIPLAVPPPVVTDLHERLLSIGHGTGQVENYFEYCILTKK FGFVLDVEASDRYPENIDVEYSYRKSRFDYSQFVHKSGLALIQCLSDSEGFLWSDNRL ILSSNSFSVFGDRLSTVNSRRKNSILHTNTSTNHTTGGGGSDGRMEQVKQLRKELEDF CNDKELLQRFYEEMIPPLPGDGEDSSEDDMVEPTRLDSGSRDEIEIIVNDEEEDQDKD GEAQIKEEHGAKNKVNLALEFDDDDGGKQPSGFTQHADHEKKENARTRMEKEIKEVIQ EL L199_003056 MSRPGPSPPPALTPIASTSTAHLGSRSLHSDVRHRHDKQPATYP PQTAYTPSTTRPKRRKRRDGEKKGRRLLKWRRGIVTEAFRRFGEHCARNQIRTLLIDC LVMTNLFYPSLALYLQKRFPPLHPSSPPSHRLPLQGEAGPSTYRRNQREHPLSLLSTP VLDSFFPYPPPLLPRLVWAGWWGRDTGQDDLDDHGWGLSRALPEGAKEQDEEIRIMRV AWSDVEDVLDRDTEAGERSWEERDHILLSLVRDVAEEWEQRYKSSGERCVRQLEGAEG EAVDSTGPCYILSPDHDAPTSNGTQTSLSSLAFHTEMLSQGTTDTNSYAWTSNVGNFY HSFGVLFHVPQNSTIDFESRWQETMDFVAGKLDGEVFTEARRSNSVDMDQTGQWYLSY TSSSQINDQTVSSGHPYVARHPETLSASPPRIVLILYAILFTTLIAQLSNASKVHSRF GLAFTGVVQLCCSSVMSFSVLALLGWNGWGASMKQSSLPTYVLPFVIVVVGAENMSTL TKAIFSIPFTHSVPVRIGLGLSKVGTTIALTSLTDLLVLGVVWLCVNLQPVREFCLFA AVVIITDWFMLHTFFLTVLSIDAQRLELADVLASNGGPPVSPVHQDPNSEDPAEGKQS GFSWRKLLRARTTKSGSLVLLLMTVGMLYWLTERHRTSLNTTASLYGYTPTSGSSTTT SLLATPTPFITKSTDLFNLNPAERLWRSLNPLGWSYIKIFVPPASILVLPRSGHSMRP ADIRKLSLPTSRLLLPRLRPLFYLFKVVILPQAITAGALYALLLYLLKDADLLDAQRD RLGRVDEQQQGNETDSSPNGYLENPLLESLTANMLPCSHESDIDLISTSPDGLIALTV GIDNTICLWRFSESSDGSGTREMLSSKTLDPEDPIVASTISDDAKYVGICTINGWIQI WEIPNEGQIIPLDARRIDSPFMGRSRIASMAFESPPTHERKEDPFTITPTRSSSKPLV TGPTILVALTNGCVLSLGVDSSPIPIIQPDQLDIPTRTTFIRAEGILDILMLSPRIVQ VYRYISSGWFPILISENSEDRITAVSLPDPALPGLWAVGHQSGSIEIIDEIIGQLVSI SPTSPGELIRKIRLVKPTSMRCVGCNTMTTDGYLVIASSSTQICIDRVSPRISNNSFC RCSASTSSRARSSLDENTRPSPTRFKNGSGDQGLVVPPLWIQKRYSPGNTSPRKSPSL LSLPSNGEFPLSSHGGARRLSNLHKPDDTVNGLISSMDRNSSSVSGGGSNGISPAGEF EIQSLGSIIITDTNGEGERDGEGINWEIVNDRLLGMRKVKFGLIDDSQFSIFSLDLKN ELWNGSCLVVHSTAFEALIEKSRIAHMTSSELLGMEMGMRERRMERINSLNGRASFSF SFPSFETYTDLENGARTRTGPGRKSLSVPTFEKLGYVRINQFGILQDPGGGGGGGAII GGFGNRLGIIYLNDIDRQNGKELRNIGVSSTRNESTTIGFGLSTPTSTQQARRSISNT FPLNPPPPPISNHNNTPTPATRVITGTATGPGIGHKKVE L199_003057 MSGWMSWFAGKKDTREGARDAIVGLRQQLLMLEKKEEHLNKKIE EEMKKAKANATSNKRLAMAALRQKKAHENELDRIAGTRLTLETQVNAIESANLNAETM VAMKKGADALKGIHSNLTAEGVDATMDKIREQMDLTNEISDAISNPVGMGIVLDEDDL KEELEALEQEQLDDRLAGADRVPSHIPTSPVGQTAGRVNNTAQAEEDDEEAQLRQLQA ELAM L199_003058 MRIKEANGISVWLSVDGDKLEEQRVRRTGEEDDHVRMECFVCPK PHQEFIKNVHLGRQKPWKGDWIAEPIVNGHEIHSLHVRKRWHVTHKLSTFYEEDEDGE LAESSLSFGYIVDPDDGDEPVEGDQSRDAEDGMIVVELSRGEIERVRPTKRRISQRVN VREGMDGREASGTSHKAQQKTIYGEEFTYDEGDRERPYLRFVFKVRSQRYLINNGLLD RLPAQQHPSQVSRTVRLKAEPDEIIENEPSSSIRTASAYHVRPKREPSEELVEAESAK RLRLAEEEIKSLKEMVRQLTQHQSQVQMQGRSVGYPVRR L199_003059 MKASAEYNDLEVWVECDGTRLNEYSQTFQEQGRHQPPIYKSYLE IGDSIKSKYTFHVKNNSITKWLDSLLSNIEVDNYLLCTAYLHPEEGYEVSQSQVYRSE AEKKGNGKVVEHMHSQLVDGEEEDSQRFVLLNSPEGGLGRITISIFRGSPSVSQPMEH QDANHEDTESEIYNGFPRLNVSDPSKAWENWSEDDVDPWIRFVYTYGTRKALIANKVD VPPPRISPAVPPEAKVDEILVPDSTPPSPFAFTSDPFQDNLLTQGLEELGSALKSLIA DVNIKAAADMTVNEQICSGAQPDKGKTSNLSKVDPQRGQEHVSRLSKEQKKSTRATSG RSDKDQIPDNRSLKSSILNPNAETGSETNNLHAVPPTAISKTRSVPQIVESNTQPILT QSYNEASSSSWRAYPQARNKPPTHRQALDRARSNLYPPISLQAEVNRQEQLSGNPEYT KLLEAIDNPSSTNLTEKEIDILLRALTDSVKPITATTSRSHHSHGYTDIPHGSKGKGK EKINDPNSDKDTTTNYHPSKSVSVSVSSFERPLPNVSAKDYAYEPSPTIEENDINSLD YLAKGGMNEDFLQNLFNAGTSPSERNNMPKRPKARWETIQSFNARREDERYRRLLEEK ESRKMMQELARKKRLNDKDMKRREEERRKWLNVGKEKENCHSQRYHDGSTVGQKAHTK RGRCEDEDEIERRIEEKKRRLREMDRLEKVRKAEGRGRRKEEAIDLTLSD L199_003060 MRWEKERSNLMTSIFNSHQSRIIALIITFFTTLFTLSVFFYLSV IPSDSLESDYYSDESSGTDHYNYHHGAEAGFWGNYNKANLEEWKIWLGLSDGRDRKTE AKKIRQLSELFDQRFNITEKRFARTLNAPALERLAHCIETNNCGKDEEKVVILASFHF NNAMTGGTSGEDIWAKSTLEAFTSLNYTLLYAFESMDTLTLYQGLSDKVQTVLWEGNQ LDACVKRNSTNWETYENDHTSGKFQNTTSPKKFGCIKTTDYQEGIPLEKSFTFHFWQG PANPLGRQFTLSPENYSLSNKGGEGNHYLGYSIESRCKAVSLPSSRHHRGMALGKYAK YFNTSSSEWVWGKEDILGKAISSMPVSEETGEKFEMIATGGHDDDKTGKHEDMYKGIT NLGKLPQQEWYQTLASSKFLLGVGKPNMSPSPYDALCFGVPFINPIMSWNHSDPEDWT KWHTQHDALRPYGAPYVYHVQRENGDQLREAMQAAVDNPITSYIPPPMTKESVKQRHR TLVETDWKPFALAAVKELYTDKDKEFPYLIV L199_003061 MTQQQTRPPAATRTTANTTPKRPLATPRKSMPLAGASLSTPSPI AKPQPRRSLPARRQPSPKSTWKIATNGRDTPRAGPSTPRSLTPKAAARPSPARIPSPL RQSPAKIPSVKEASLSSESWVADQPLGPNGFPEIFSEPTNWDDPITEEDWELRTETVN GIEHGESPYAALETHYLRQITHYKNLLVKSQSASSSSLHDLHSRLHYLQKQYNELEAE HARCSVADKSTQKQEEFEKRAVAGVRNDLAGTLRGMDRDERIIILGLVAEACHPSDIN AQIAILEKYRRSRFDILSRTDEGSQIRILGLLDVKDILNLRTVSKGYRYITQKDSLWK MLCRQHEWRDWDGEAGLNHLENIPEGGWEELYKDLWKRERNWNTGMAQKVFLLKGHTN YVTSLRLRGDVLISGSYDETIRIWHLPPLLTLTPSSIPQPLVIPAKAVALNEDYLVSA GADKALVIWSWRTGEKIVRFGQQTNICVGIQLINDYIVSVTVDGIIRTFSIRKREMLT QFKISDLARTLSGGTREKKWMSKLKDVGGGLGGIGMINWFEGQGNWMTCATREMIIRL AWDETEEVIPLATSTTRPSSPATPSPAKGRIRTVSSASRALPMASTPTKTPNHLRQRT TSGSTPTLGIKRSAPSLTSTAMSPSLSSPASKLANLTSPARAKTRSSSGTATPSPLRS KGTVNLDRSSSPITQSSSPRKSVISSRRTSLASGTPVPEEEEMKLNEGKKRIIPLLTK APKVLELMLLTSGADRQLYVGVPGQSDQDGQDGGTDMIPVCGAWRDKSEVLNLQTPGK NPMSIVLDREKFVYGCTDGSIVVVGFLGHDYVAETHHEVE L199_003062 MIPFNILLFSAIFIRAVRGEGLQVSTKNPVSNCVEGINDALTEV TFGDIDPDAAYYTGLCGSVFHTTSLALSTMKWCTKQKQRDKGWGKMNGYCEEYGGLPL PSWDELLSKVNQSNVVEDVNTTDPTITGTVFNSTIVLSEEAWRAGQHTDDAWTTEENY HHGFGWGLYILVGLAILAGTLNRIFALLVRSYTLSGSSVHEISPLSHRSRWYTMYKKH LEVPALFGYKHSQASAWGFLSIPTRLQGLFIFGYVSLNVIFTVVGYDIFYENVYWYGH RDTQIIRYLSDRTGIMCFYNLPLLWCLAGRNDVILWMTGWSYSTLNLFHRWVARIAVL QAIVHSAGYTWLERGYIAEVMKERYWWTGVVATIVMSLLIPFSVRPFREKFYELFLII HIGLALVTLVTCWYHVEIWDGAYDPWIWASVAVWAMDRFIRVIRVLVLTYKGLSKSGI NTNATIPWSPSDQRGLIRLSITTSIKIVPQPGQYYFIYTPYSLKPWENHPFTLASWTV NAHDSTLNFLVAPLKGATKRWQKKILKNQERKVDMRLLLEGAYGHTNPVEQYDRVLFL IGGSGITSILPYLYKLKLISIQVPEPMVREIRVIWIVKDQNYAKDVLEHELKEYVDMA VCGDIPTKVELYVARGGANGQQDLIGSLRYDTTESSSTSGSSSPGITSTPMIEKFESQ DTNKPSTNANNDGELPTSNSDMELESSASKEKITKSVGLTIHQGKPIIKDLIHQSVNG LVGSERLAVSACGPAKLMDDARASVCEIYGNGENQVDGKRIEYFEESFCW L199_003063 MLIAWFIRGPSEQSLGRRGGSGSGITTTRPESVSYGTGPPASTE TKWRGLGDEPKIAGSTGGFIGLISGIAIFLIVSTFIGIYLWNRYRKRLPPKTKRKSGF HNPLPSLSFTSRGSGLNRDPYAEQVDMELNQDQDLDVGDTPKASKFGFTRPVYTRQRS SEWELPVETPPRAQHRQQHQPVKLPNQPSEAALPLKTKSPKPRSRSSSVSSNGSISTS TSTMKGKERIINPFENPYNNYDESRLSPNPYSARRQGSTSSLGSADGSGIMIGQRQGT PSGESDTSVRVSQIREGSRFVEKFESKESLAL L199_003064 MDSFSSSPLNESFPSSRFPSPIPSPTQTETDLPIEVDQSFNSSL SFGETSPCFSPTPIMPKKGAVSPSFSQNSTGFLSPSPVFSLRPRRPDPVPLQRLTVPS PSKSSNMDLDDTIKPLSARPLGQGRTFGRELSANIMQRSAGPATTKANKGMMLPPNLP EGRNVIRPRGGLPMQWTSSNEETGRPRLGMQAHIFRRETDPVMSLSPCSVASTSVSDD FGMDIDSPAVKSRRPSSQQSPSFSGAASFSGSPGLGSFFCESPAAPSAQAPAKRRSLV TGSPASPSSGSPSAKRTSLGLGIGRPGLEKTASSGAMLFGGGRSSTVAARRNPSFKRP TLGPLSAGSSGDNGLRTASATSAFPILYAPPKNTLGGTQSGTFPRAAMAPMRRAFSVC DQNKMHEMEEDESEYEASPSMAGTQAEYMRRYGPRAIPRVDGSPGFKPVRASIATSGQ GVASPIGNKGKQKVSPYGPGGLPGFGDNEMDGKILPCHKVKEDGLVRITPNTLQELLA GKYHSRVKRYHIIDCRFDYEYEGGHIEGAINVKSMDALDQLLLSESKGVHANGNALPV PSRSGELEEGEQVVLVFHCEFSAKRAPTFAKHLRSRDRLINNALYPKIFYPEVYILEG GYCGFYQSQPDRCNGGYTPMDDPKHLERRNSDLHDFRKFSRTRSFTYGEQPTVPSRAA PPCPPLAFAAASAATARRQGMTITEEDHEHDSSPLGGNGSNSSGNENSPCPRAVSMGQ PPIFGSAKTRVLGRVGFNRVASYAGTGMRQ L199_003065 MAPKAKSRTSAGAKRKHDDEKDVSSNGSGSGSGSGSGSELSEYE YDNSDDGYEDFPSKRKKTKKTNGDVSPTKSKAKIKSTKQEAKSKVQDSPSNNKKKSQD SPKSNKKSKPSAADIKPRIKSTNPKGLLEYLLSDEALDYANPAPERGYGEVDWSKHTS PSDPKTAPDPLPKPAASKKEKAKAENTHPSLLPEGYIRYPHSDMTPFQILTSSLLLSK PLSHKLGIRTISTLLNPPFEFGKFDVLLKADEERIREGLWKARTQHKEKTSVQLLELA QGVRGLNGEGEEDSLGGIKRAIEGLGNDEEKAQKRVGDMLSTIKGIGPIGVSIFLRRI QSQWNEVYPYVDQRCLSAAKSIGLVNDKAGAKEIAKLVGDDSKQLVKLLDTLIGLDLE KKLDDVVERYT L199_003066 MAPFSPLPPTTQITRAFQPSRHPLLFGQTKQHDFSQPPSKPKVQ FKRGPPTPIEQFFHGGHLSFGILFCLFVATLWLLAAFSAAQMSISLPSPSDMVYMDES MNEAGIWGEVGMYELSAWRRIRLGEEGEGQGSRWKRNEAVLEMLKEKEKESKAPITTG TIITSSHPAMDNIPISTSTPSSVMAEPSSQQKTSLLSPSISPFLPNLEQRGDEKPDSL EFDDNMSQEAVDEDDRAATSSIESRRHRHHVEEKNEDVDGGL L199_003067 MSPGLLDSEFTSRHTSSFIPPIAFPIVPGLGSSSPSSLSPSSGI TKHPHVWDLPSNTNGSKPIYYSHEVISIVNPTLDPTNIVPNSIVNSNPSPTIRRSSDP TPMSTSHGVALGHYHLLPPIELIGTGNGTSQWPKAKPNGPRPQSRTRTTRPKASFYIL DNDNEQDEDRYINNSNQSSRLYHSNSSRKRSMERYAISHAHAYGQEQPMQFRVVNPDR TSSSTLSLTDEHLDDNEVEIQDREGNDMRRGSIYLDGEISEMDEEELGVRLSQYNMIT GYNHTPMTGAETNRGIKGRPKSLIDRARTFMLGRNKDEIERDHHYKEAGQRNVNILNE EPRPDSRSWLRWNKLESEDRRRAASEDLSRNHMAQTERIRQGSSSTANTHPRSSIPQK RMINPYPKMTSNLGLDPNSKSATHMRTPTNTCNQVPVNPNEVEAWDGGLPPQRPAPTG QLSSYKAEPQMMVKKKSSKGWKERFKLLRLSQ L199_003068 MTTESTRSVEPMTPNTTVENSGYTMKQSPSPGREGLKRKAGEGA VNPEDKENGGSADKKAKVDDASLVELKGRKLIFKQDASSRRLPRRQLLKFEGWLLDEV EAGKTVGEIPEEFWVLIVMAGHELNSSNESLFIKHLKSALDVVKSGQDPLPNESLTPL IPKLFTLHQYGFLPSDFAPSSSTTKVPAALQIRCWEANDLQKYFPSDQLEELLRRRKE REQAREECVAIVNGLDDIEKLELIKGDKVDKGSVKEAKEKAVVAKTEAVEESPRIARR KDREGTANTVDSRGRSASPTKKGKMTPEEEEAARLKKEEREAKKAEMAEKKAAKEKEK ERRDAVVAKQAKAMLGFFKAKAPTTPPISRASEAGPSSSDYSRVFLPMTQRPNVHIAE INRWTHKNRSINGDITLETKHVDVQDWQPLDFINEHLRNHGKQVRSSRTHLPKGLKSM PPHGSVADVYNTLEDAEDPREVLSQLKDRKRFPWKTLAFDQQTRPPYCGTFTKKSVVV GPRTPFAQDPIFDYSYDSGDDWVDDEGGEDVDDFGDGEVKEDEEDEEDDEDEGEFDDW LDDAEDVEFTPVDGDVDPLAGPEQARLPMKVVKKSRDVPKKVVKLTPSWKGPVWEKTI GDEGVDGLEGYRIQLLNDTPHSINPFTFTSPEPVQSFKSSFSTTVIGTTLNVRCLLSV EPIVAAPAPPTVPEKPIHVPNSSSIYYKPVYPASASVVVNGDIANGTTQPKSRPAPKV GFPEEHLAELYRLIDGSKKIKPDLISQLRERFENVTTKVAIEAKLREVAVKEGKGKES QWRVKSEAWTAIGVSPPAPTPTPAPAPAPVTNSKSVADFFSSPAPPSSDIETKNESFG ATTDEPMIIDA L199_003069 MPSLNQLCQNCGLPRASPPPNLDTMFEEDFERCFICQQPCKGLY CSSECRLRDQGTPSPAVRANHGPVKITSQLPVSLSPLVRPTQHPGRSPRPLPQNRGSS SVSSGSSSVSSSPLQSPQTNPSEVDSPKRDTFDLPPPAYPTKQFGAAPASVPMKIPAL AARASPLVAPSQTPGSVGSTVYPIGASIDTLRFGRKPSAVNSVISPNALIPRCACGKP ANHKSRASSKDRADLIDSGFSRLSLGPSRAQEEPAPRSSRIVSESSIPPFTPGRKTVP LGIPSSPQVTASTSLLSRSRSDPIPSSPQAQRKAIPSVPNVITPSHRENNGVPLSPIV PAQSTVARPGRSRNALDVAIDSPRRGRSRERQEHHVGAMTSNFGGPADREQAPSRSRT RRRSDSRDRARGGRSSRDREMERPAERDHHSDHTSPINQTQTPQILPSWSRRASEATA DRRKVLAEGFAPAMRRTASNGKSSPVYDRQSEDEKQRKREEISRASKQLGQVFGVAAG L199_003070 MDSDNAQSVFSVLSDVVDIAASKGKKNHPAPFIVKHTLFFFPIL VFISAGILLLSFAVPRIYRFIKSLLPEREYQPLLVDENEDEEEVESAESPTPTQPFMP SGGLWSDLSAHIRSMKEYGSVLFFLEVIRTLCIATLLGLTVYAAIQAESPDKESHLQE LGLEGGMIDIEGHWGKKKHKKKKHRKNRVDDYSSLEWGEFGVCGYYTYTLIFSFLLLT LRPATPLRRHLIAHVDTLLFIGWCIYVYRDLWPLLTYNLIPSDINNAITWSRVAILSV AAVLIPLLRPRTYTPAEPANPTPEDEIHPEQTTPWLFYVFYEYMTPLVWKAWKTPALP YDDLHPLADYDRAEFLYSKHIDALDPIRRRENGLKQRNLLIGLLDVFKKEVAIVCAMS ALSAVAEMAGSVGINKLLDYLEKDGEGATLKPIVWVAFLFIGPTIGSLTIQFYIYTTT RALVRSEALLTQLIFDHALRLRMKDAIEDEKNEESPAAGAPEIRIEDVTQPAPGATEA LLGAEAATNDDTEVGSSNGTVKKDDKEAAADAEAKKAKGQGLAGKINVLMAADVESVL EGRDLALVFVYTPIQLALCIYLLYKILSWSALVGMLTMVITLPIPGLITKLNAQYQYK RMAATDSRVDSITEAIGALRMIKMFGWENRIKERIAAKREDELQLIWQRRLMSLSVVI LNNILPVLTMVATFAMYTLVQVFTSMVVFELVKSQMGMCFYLINSFVTAWVSLQRIDK FLTSSEMIDEYSEGKIATIKITEQLEAETEGLIRIQNATFSWGPVEDGITQDFSLRIP EVTFVKGKINLITGPTGSGKSSLLKALVGELHFEQKQGSFFHLPREGGVSYAAQESWC MSDSIKDNILFGEPYEHDRYIKTLRACALEPDLKLFDDGDNTEVGEKGITLSGGQKAR LTLARAVYSKTDIVLLDGKFKQIWNGTDRVDIFSALDTLTSRWIIDNLFRGDLMKGRT VLLITHHVGLAAPIADYMIAFNQDGSVKSQGPIEDSEFPDEDVEKEAQEDIKASEEAI SAEQKVEEKKTVNKLVKDEEKSEGRISKRAMISFFSTFGGPIFWLLYFILVLGGQGFN AFQTYWLGRWAKAYEESDHPERVSAVYYLGLYIVWVLLSMGSLAASAVLFYVGAIKGS REIHKKLTNMIFGSYLRFLDSTPVGRIISRFTKDMKNVDGSFTDTFSEVADITTGLVL KFAVVIALVPIFSIPAVVIGAVGAFLGEVYIHSQLSVKREMSNAKSPLFSHFSAAVNG IVSIRAYGAQQKLRVEAQRKADKYTRAATALQPQSMAAFLVYGVRLDASTTGFALSQA ISFSAMILWWVRLVNEMEVQGNSVERIEDYLVIDQEPKAVESKQPPASWPTSGSIVLD KLSARYSPDGPTVLNQLQVNIASGEKVGIVGRTGSGKSTLALALLRMIPTEGSVVIDG IKTEDINLHALRSHVTIIPQDPVLLSGSLRFNLDPFGEHDDAELNDALQSSGLGQTRN PTQENGGTATPQRLSLDTQIAAGGGNLSQGQRQLVALARALVRNSKILILDEATASVD FETDALIQKSIRDLPSSTTVLTVAHRLSTVMDYDKILVLGSGKVLEFDSPSNLRSNQN SYFAKLVLAMEGGTVSRQSAIST L199_003071 MSGGIGLGLGFTSVDFEKPSSTIVSDSRPDGSLAQPTHPAYQVK VPERSHRGPRSLGVGKRFVSSPSQTLRPSIYDKSTSNPLSIPSPSTSASSGPDPKNRN MLINSPQLGLDLVNINPTQHLRLMKKRSLAGDNKRDFGLSSNDPLNRMSNQAQAIGTA SSPTIGSSPTLGSSPIMSSSPIPSQEHHVDMLGPSIALSVVLGLLVLGVASWVGIHYR RKRLLLQQQQEEEENGYGHGRRRRERDSDEKSFTSEMFNASNVVEKGLKPKSLGEIMN GEELRSSFISYKRPLSTVHPSAGGRRVSFVDTVEKHHDDQDDDDNSGNRNRRESVLPP PRFMIAALHQPEVEHTEDELEEEMEQPVPSPRTSIAPTLEMIEEELEEEESSSNSTRP QGEIADEVCRDSMVSSTSSSSDSSTCSGDYTTASARSSISSLSALSIISSSFPQTPRD QSTPPPAMQMESPNPENEMGGGIYDSPTPTGKFQSRRKRAQSHGGTGLVEVQKHDLLR TAVGRTMSLQPTKELREFIKSMTAQNEVGNMPRAPTGTNHSTISRKTTLESNVGRENN DRSENEPKEPEKQKIDEMEDLRVAAFISSQLEEKVTMEQQQEEQKESRIMARSTSFPS KLLSRRKSTKRSPSSSSRKSEIVLSNGYLKKAWRAFNAHEEVPVIPTNIPTLLARSQE EESIKSLAERQVQLERYLSLIQSEGQQHVQKNNDNDNDNDAEEVEVEADPYIQHGEEG EDDMDIEEEEEEREDMEEVDSVWDPDAYAQDMEDEQGIAFEDYYIHFESDDVYSPELE NQMDLRTNRISYAPGVPHIRVTSH L199_003072 MSRQTNDGPPVGFSINNQIPAPPSEISSGSETTSSPYIPTPLST ASITDLPLPKVNQSQRVHQHQQQGQSSIHQPPCIPSTFLPSHYSESRFPHALHSSLSP FQANRIPNIPELDESLSRHSPWVWEKMSRSGPYQFDLLGGIKLPSPPSGAAFASSSRI TITDTDENDMGGSGSGGYHRGTVLMNFADKPTETPLTKYNSWSVVDESGNGTDNNDSS ENNGGLPAWQPGPSSTSASQLTRSELGLSQISTLASPYHSHTSNPSPRYQPYQHQRIT SSAASASQSPANMGSMLPPPNPNPSGPTTMSMGRSWSEPTLPENTPHSAGYPGIMYTN VPLPEGYMSTPPFGGRAADSVQVGPDEFSQAYEIYYHMLSAIPFITPSTQSQSQNQLE LSPASNQIQMQSAPRQTFDSLIQLAVESHHLLTGSAAPMPSQLLGPNYGLQRGKRRNS DPDIGPGLNAIPPSGITPSGSEIPPSGSNKKSVPKCLGCGATETPEWRRGPMGPRTLC NACGLVHMKLQRKKKKAEEKARAAAAAAATSASAG L199_003073 MSRLTTLSQRNPTSHSQSHSPSPILYPPSGPSSGRVSPFPRPGS TASQYSESPFGGGMNSGHAPNSLSGPGSGYTRATHEVEGQNDERLEGLLGKVKILKDI TVGIGNEVRDSNVLLGNMNDSFSSTSTFLGGTFRRMNKMAKRQGGNWCWFMGFLLLVL WIFVVVWWLRR L199_003074 MSTPAIVTYLRSLEAVRDRSNQVYELAQKGKLDHWQFDQSKLDK AVDYCINIIQRDFGTDYAKIPPHCRRNHFITPSHDRISILLSEPKFPSDPLSKASSLI DLYLVSVLLDAGAGPDWSYTEKDQDGQIIWKGGRSEGLAIASYHMFVEGLFSSDKENT YQVDSQALKNLTPEILSKYLQVSASNPMAGLDGRCNLLIKLGDALEKRPDICKNGRPG DLLDYFSTSITDSTLPLSSFWSNLFELLLPIWPSRTTLPSYPDEPLGDVWPCASLSKS LEETGVKREEGDDYVVFHKLTQWLCYSLVEAIESQAGWKVDRGRGQTGLPEYRNGGLL VDLDVLSINPSSLGPNAYPNGQDKPPVLEPSHPAVIEWRAMTVICLDKIHQLICSKLN VSSEVLGLAQVLEAATWKGGREIAKEKRQGGGPPVEIISDGTVF L199_003075 MSTRPSSSASTHRPRPSSSTARSPLEQLVYSLVPSLAPPRSHRG PIDARSGRSSVASSRPDSRAEVDDEVEKERKERVKELIEWCEEIMESRLPSSAPLSAS TLPDTAKRLLLQSTSRSASSQNTRGESSSSGSERAIKFGGIWNKLEKGRLLSSPLPHL QFLLSLSEFNPNNVPTKTPTSNSRNSQAIGSSSNRSMPPPPEPITFGSSRSPLVDTGS NNIPSLDFDVKGKSKADVLKSWRTSKSQKPFPPHLLLRDTLYLLQGIDGRYVRFALRP PKEQNPYLTEKGRAGEGAGFPLGKDGSIPQGEDEGEEGEIVGIEIVADQNKDGYISKP TQTILMQLSEMGMLYKQVTDFINTRQAGEGKGGMTEQSLCHFLHHELSEYHRLLAVLE SQMNQTSATDTEHPAEGAGLTLMRLGLWTEEMKLKLKQMNLVVAEAKKVHGGALVSKI HKHTNHGDPLIRRFTDQILEEVSKPFFATLQRWIFSGDLHDPFNEFFVQLNPETISLR DGRISPAGDVGFEIGIDSAGGTDEAHRVWEKKYVFVKSMVPGFVSEEFGKKIFSTGRS LNFIRYSCGDSDWIETQAKLANAGRALKYSDLAGLERSIDDAYSIASQRLLEIFFDKF VLLDHLRALKSYLMLGAGDFTELLMEALAPRLSKPAISLYRHHLTSDLESAIRGSNAQ FDDPDILRRLDARILEYSHGETGWDCFALEYKVEAPLNAVLDGKAMLDYDRLFNHLWR LKRVENALTSGWMRVTSGSRMYEKIPGLSNDWHHCRIVQSEMVHFLRNLQAFYQLEVI ECSWQAFIDFTEKREGDLDALIAAHREYLGRVVRKVLLLSSRRDKEEILLDAVRDALD FILQFRDATDDLYAWSLAEATRLDRERDAERGLYTLPTTDSPTLSFNQLSSIRQRIKT CSNSFQDRLISICHLAGSHTDLDVRFLAIRIAWNGHYSLRKGRNATTSSHAGDRDRGS TRSNRG L199_003076 MPSFCNRRSSSGLSERDRLDALHALYETVLEPTHSDIINAHLYN IHLTQRRTAVLDVGTGTGKWAIHIANTNQYSDVLGVDIDWRLMNRDVKSKYGNIDFAA VDVEEPLPWPRGKFDVIHVKGLLLEISNYVRLIEKLAMVLRPGGLLVITEVETSYVSS TGQELPRNLKQWDACVEAAFGSKGIDVDFPSKINHSIANSGVFAQNPYCLQLAIPAGS YMRGDPLTLAGRGQMHSQVLLSTLKKILSVLIEYGYNQNELENLLQSCLAELSNPQAR YYQRLFAVYATKIY L199_003077 MARPLVSMRVILNTLPQVSCRATPSPARRVSTSITFPFSPIPFI RTYATHKSTAHQPPSSSADATADLLRNSGAARRAAEGPESVGPFPLGVGASGRRKTWR SWSELGVGGKLVRTTQQTGNLAVILIGGTLFVILTLSLTTELFATNSPSVLYSKAVDM IRDSDALNAHLLPPLKFTHSPSSSAPVRGSSPIPHTFIKHPTSGRDHMLLTFWVHGRG KDEPEQLGWLKGFYKKIESYGRLGLTYVGLIEEASQNDTNSGTPSEIEKNGNGNEVQA TQQSEQGTLGRWLGSFTSSLRNTSISGGSKKQSSSGRGLPPPGTYTIGECRAEYVKNA SGQYTLLSLFVDIPSSKVSYPSRAVIYHSPEAATEGLLGTRIR L199_003078 MAATMYQRDPRAGLFLGGTRSSGAEVRDANVTACQTVSNILKSS LGPVGLDKMLVDNVGDVTITNDGATILSLLEVAHPAARILVSLATQQDKEVGDGTTSV VLLASELLRRANELVRNKIHPTTVITGYRLACKEACRFMAEQLSTKVDKLGKDSLINV AKTSMSSKILAADDDFFAPLAVDAMLAVKTINAKGEKKYPVKAVNVLKAHGKSARESF MVKGYALNCTVASHAMKLRVTNAKIACLDMNLAKQRMHLGVHITIDDPEQLEAIRARE SEITLERVRKILAAGANVILTTKGIDDLCLKEFVEAGAMAVRRCRKEDLRRIAKATGA TLISSLANLEGEETFEATSLGFAEEVSQERISDDELILVKGTKIVNSSSIILRGANDY MLDEMERALHDALSIVKRTLESGSVVPGGGAVETALSIYLENFATTLGSREQLAIAEF ASALLTIPKTLALNAAKDSTDLVAKLRAYHNAAQNAALNDPKRGLMFYGLDLLNGEVV DNRQNGVLEPTISKIKSLKSALEASTSLLRIDDSIQVAPERKEEVDPHGH L199_003079 MPGPSPSAHQVLVYSGPGVSPLSLSHTILTLSLLLLPHYTVQPA TPEVLSSQPWEPSCALLVIPGGRDLPFVEELTIKRKVTKRIKEYVEQGGKYLGICAGA YFGTKQVKFDVGGDMQVIGERDLAFFPGSSEGPTFPGFQYASESGSRAVSLFLEPSSS ASTSSHRSIDHLYYNGGGYFVLPSPRPSNVEVLARYADTGKDEDLIAVVLTKNGKGKS ILCSIHPEYPLNDPPARLAINKLEHPPETEEIEASEKERIKWVEELLKALDLNPPDKH QILNNNNKEGEVQGEEDPNLLLHPTHPSPIFVLSHPDLPELSVDAISKNELKNKMIRE DKWEILKDGNDQIHFGNAESTSNSASTSEDEVTRWLAKKRRDQPQLEPPPIESLKIDS KGDVSPPVPQPPDFHSIPKTILLPSANIPYTPRWTPLFNFDTYWKELDSARKSSGRRS GVMRKSDQGQGEKCALGDLVFYGETVTSTQTMLDRNPLLLTNLSAPLAFLATFQLSGR GRGSNIWLSPAGCLQFSLLLDLPSSLSNKMVFIQYIMALAVCEAVDEDGRLGVRIKWP NDIYAEVEGVNGTEIGSGKKGKAKLGGILVNTNFVGGKWRIVVGCGINVLNALPTTSL SQLHSLLAVKLSNSNKTLPPAPTMEGTFAKIMNSFENKWEQFIDEKGFKGFMNEYHGR WLHSGQEVTLTTTEPPTPLRILSITPDHGLLRCIPIKKSTSTTSNGLTPLYNRTVDHS TEDRYSPSSSSASTGGGGQTEFVDLQPDGNSFDLMSGLIKRKV L199_003080 MGKPSKERNQRTPARGRGRGRGGGGGGHAVRGGGSGRQKAIASR KQEEAVDDEEVFRRVMAGESIGDEDSSSSASGSGSGSSEGESDEQSGEEDDNEKEEEE DDDEEEDQTIDIDVPVAMWDFDHCDPRRCSGKKLARHGLINAMRVGQRFRGIVLTPKG KKPISPSDDEIVQMSGLAVVECSWARLDEVPFNKIKSPYERLLPFLIASNPVNYGKPW RLNCVEALAAGFYITGHDDWAEILLSKFSWGHSFYKLNGHLIERYRTCHTSEEIQEMA ELIQKEMADEREQRQLEKQAHEGEDLLRANPNHVGNEWDDEEAPNLVEVSNSEDERDD VEVLIQGMDQTKISV L199_003081 MHSFTTVATFALVASASIVSAAPIACRGKPDNYVDGYLEDYDTY HARYIALDCHSQHNSTFFDDCCHPLLANETLADSRQSYCTPNTTTLAEVTSTIVASNA TATATGAVEATTTASADIDAASEYSAAATSTSAAESSDASLAAVAEYAHNTKQHSSSA TATSSSSTEEAWTAEATSTSTSSAAAEATSSASSSSGSSDVMTGGYATYFYQGGNAGA CGTVHSDSDKVIAIDTNGWWQDTSSASPYCGKYITITNTNNGKSVTAMVADACPTCVS DNSLDLSVGAFTAIADESDGQVPITWVWA L199_003083 MPRCANKGCQKEFDESNNEEGSCSYHPGGPVFHEGLKSWSCCAE VNKPVLEFDQFMALPTCTKGKHSASPTPAPAPKPTQPPTSSLDEPTISSDGVETYGFS KLTTKSDTPGPAPAPAVSSTSSVPAPAQKIVEEEEDDPSVQVTEGTQCKRLGCGYKYV NEVESRKEGECRYHPQGAIFHEGSKGYLCCKRRVLEFSEFLKIEGCKSGKHMFVGSKK DETREEKVDCRLDHYQTPLQVHVSAFAKGADKEKSIVRFESQSLHLDLYLPSNKRVEK TIQLYGPINPETSTFRILGTKVDIVLQKPSAISWPLLELPPPGTELPPGYALTFGVSG RTGTVGGKEIVLSPEELARRRG L199_003084 MGHPLHNGSSPTNGISHDSEPGPSNGHASSSVGPGSLPPHVLSS IQHVQPPGNLMYEDDRNWQDQHDTIMTEDGKESTDDELEEAQDGLSHHEARSISRKMI QRPGVGGVKRMPVEREEAVRLILQGLRDIGYHQSADVLELESGYNLSTTQANDFQSAI LGGRWSEALGLLPELGIPITPSQEAEPEAGSSSSSIASGKIPKIKGTNSISDQMKFLI SQQKYLEYLEIGQQKKALGVLRGELNKVAKDQEVLHTLSGFMMCLDKDDLYERAVWDG AQGTSRRQLLEHLQAFISPQIMMPSRRLATLFDQARRHQQLSCLYHEEPESTSLYTDH KCESGAFPSVTTHVLADHSDEVWRIEWSPDGMYLASSGKDKTVVIWQLKITSKESGGS QYGIVPFQHLKEHTDSVDAMAWSPDGKTLVTGADKNIYVWDVKTGELQPKSSSGSQHT DTISAIQWLPDGSEFVVASMDCKVAFYSPTGNLLRQWSTKDRQLNDFVITPDGKRIIA ITTLLKRVSHNDKLRQSMSSRPSEESEIIESTTAIGPNGGPMVPFHYATMEHSLMMIR IADHNIIDSSQDLRCETTSIRLSSDGRRVIVSCTPDEIQVWSIDPGFRYIRKHSGHVQ GRYLIRSAFGAPKDRFVLSGSEDGHVYVWQGTAPNPIEVLSGHEKVVNAVAWNPVAAR RIFASCSDDHTIRIWQPPFNFDESINIEDNGIIGRDEDGDGMKVEDDQDEEEEEGMVL L199_003085 MSSQPIDEDGFHSIAWDDAPPSRAVLSPSSPFDEDVGEGFETIS QPTSESQDGGAGAASTSTATVTGSRRDRQGSVEVDPGEWNGRWMSIEVREPVKEHEGS KDMYVSYAVKTQTNLSTFPNKLVVVRRRFQDFEFLREHLVKNFPACVVPPIPDKHRLE YIKGDRFGPEFIERRRLDLQRFADRIARHPTLQRSQLVNDFLQSTEWTVAKHHHISHP PPESHISLMDSLSDKFINAFSNVRKPDGRFVEMLEDLERFEEGLVSVERLVGRGKTRV DDLSTDYQDMAAAYQGLGYLESGITEPLNRFAEKMLDFSALLKHMNQSTVEPFLIQSH SLLAYANSHRNVIKLRDQKQLDFEELSAYLSAVVSERDRLAALNSGHSAAPVGLGTYL RDQVDKLRGTDDIHTRRERIRKLDGRIKELQDAVTTAHETSTAFSDEVLKEHKVFELS KKEEMKEILQTYADGQVEMLQRAMDDWDRVIPLLQRIRVDV L199_003086 MSDPNHMEVDTSIPVREGEGQAAASSVNGDNTQEPPSQDQAMEL DSVPQFPAAGPSSEAAKTVIVEQTTTTTTIESTISTDHNSALPLHLPHPQTITSAAPT NGELPTPQAGPSHAHLPPITGAPSDLFPQSKPNVQIIERPKIYRTGYIYNPMMMLHCQ EGYVPTAESVVENGPGHPEEPMRIKRIFSRLAEQGLIKRMKRLEFGEVTMDQVMLVHG EDLWLKVQGTEDLTDEYIQDSKQYYEQLSLYVCRETAHCARLSCGGVIQACISVCQKQ VRNAFAIVRPPGHHAEPDEHMGFCFFNNVAVATREVQRRGLAKKVLILDWDVHHGNGT QRAFWDDPDVLYISLHRHDGGRFYPTSDFGALDMVGTGAGEGKSVNIPWPGPGFGDAD YIYAFQRIVMPIAYEFAPDLVIISAGFDAADGDRLGECHVTPAAYGHMTHMLSALAGG KLVVALEGGYNLKAISDSALAVAQVLLGETPAELDAHQASEVATEVIYQCAKVQSKYW KSIDVKACEPPEVTALEDGSSPITSIPDLLKLHRAWHMYEKHQLFQIPLASEELEASF GGQVLCSENVYEMGPRGVLVLFVHDFGNLRVETDGVSTTNVHMANSYLINTSDAIVDW VKKEGYNLIDVNVLKQLPTHFAPDQPKMVSKSGTPLEAQLIRYIWDNYIELSEAENIV LIGHGTGCKTIMDLVNHREVENKVKAVIQVAGLHSLVRPDPNDEVKRSWFRKSNRIYV PSEHPVLEDERIQKRLGGQVHKSDKATKVVDVLVEVLPNIKEFVASKLPEIERPAPLQ NGHGDEQAGMELDVEVHVIGNGNGNRSQNVDGNENGSGDVNGSEPSSVIPPVAAQSFE L199_003087 MAPTTAAPKKAPAAKKAATHPTFLAMIQEAVKAHPEDSRKGVSR PAIKKYLADTYKIDMSSASNTNNLSNAIKRGEEKKELVLPNGISGRVKLAAKVKTAAG KENVAPKKKAATTTAKKPAAKKAAAPKKAAATGAAKPAAKKTAAAGAKKPAAKKTSAA KKAPAAKAAAGAKAAPKKAAAPKKKAAPAKKA L199_003088 MGKNSTKASKGKSPQNDASKKRKREGKSKDDQQSVKEASFSLFG QVKNTELDAVFAKSSAFAQPASAVASSSKIASQPEVTEHISKKNKKEVSPEPEEGSEE DEDEDEDEDDDDLGEASEAEEESGPEKDVVDQDEGEDSDVESEDMVHESVKAKAEKKA KKGKTLGKYVPPGETQVDKDRRTVFVGSLPLECAQSKSALHQLKQHLLSFVPSAKIES VRFRSVPFAAPTASLPTDDPEKDATQRAKREKERTAAWRAQHEGSDLPIRRSRGDDEE PEQGKVFLDSKGKRKVAFIKKDFHSELASCNAYVVFAHPHPDRAANVAPILDPFEAAP TVLAANTSTFMGRTIRVDTLRLPSAVALQSAGNALAKRDAWLPSGTDPKKSLFVGGLD YAAKEEDIRVFFEELVKAERGPAEDKWVTGVRLVRDKETQLGKGFGYVHFSDRESVDE ILAMDSKQIKFAKRYLRVQPCKTLPSANTLNNTIKTLASSSSSKTDKDKKSTKATKTF IKSSGPVPKGDPRLGEKIKDLSKEERKVVKSSDADRQARRLAKKKAKHTLEKNEKGAV KLSLTKSERDRGKNAKPKAKKGKIRGPAAIAKMKGSRG L199_003089 MSTGSGPASPVSSRMTKAAFFRARSPPLAERTNPNGMSMSSQDA YGNLSDLAGKFDAATRLEQQQQQQSAGAGPSTGGNRFSPNNEQTERYYTPSSTLKAPQ VNNNQQGYFDSSPATDGHASTLDRLQNHPSRRGPSPVRRRSTLASQRTTSPTSPINLL AGIHGLMSAPDSSTGHDTERSTYEDGTEAGRGGDLKESAIMGFAEGSDEMLLALLAGQ AAVDCERLPIGRWEEVEGWKKELSLLSNRLESSQSRLQREIKILTAAKTLQKLNNSNK RMSRQTMESLEQAEKRVEAAEKEVYVLQDREAALRRKLMEHWSGVMAWEVRRLERTSA ETQARYDKQSMKINNLKDREDELIRQSTEKANRVQELEEMVIEMGRRERAIEEEAREL DQYRIRMEQERQSWLDEREAYQRERDSWTTEKRSWDKERSSWENERRHWAEERAGLVG DRQRLLESGQMSEKDRAMMDQIRLSLGSMLGRKMGSVGEHEVLPSLDEVKGLISRRER EVVSLREEMQEVNMGLEEELRRVAEDRNAWKARVDQGESGRREETASFEKKIRSQQDQ INDLSLRNESLSSSLKAAQTAVSSMSTDQSGTKALQARVDALSSELESIASHFNSIWS ILPPPTKRAQAELIDPRTGNSNSSLASPSKVLNFAALQDLYQPHNEQVGDINETLSRI RGVIEDGKVLVERVVRMGQERELLKGNAAKAKKLVEESTKSLETYQQQVAVLEDQLAK SGSTESHFLDELNNLQSTLDNVSTQKRNLEVQLSQEKETIERLTEANGVLSAKALDLA QVAEDERSQLSNKLKSELEDMKKKLKVQEDEQDEERVKSQSQRIQLLDELNSLQAEVG DLRKQLRSKV L199_003090 MSNVPSEMSALYYHEARNFEVKKVPVPTIDDNEILLKVDICGVC GTDQHIHEGEFISKFPLIPGHEAVGKVVAMGKNVKGFEVGDRVAADVGETCGWCHYCR KGDELFCEHFSPAGVARDGGFADYIKYHFAKCYKIKNLSDEEATLLEPASCAIHGMDK LKMPFGSKVLLIGAGPTGLILAQLMKLGGAAHITIAANKGIKMDIARKVEAADAYIDL DRKDAAAQWAKIKEENPYGFDVVAECTGVESIVNDAINYVTRGGTLLVYGVYEDKARL PSWSPTDIFVNEKRIIGSFSQTYCFPRAIELLDSGKIKTTGMVTDVFDLKDYQKALDK MATRQALKIAIKP L199_003091 MAEQLTKEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNPTQAE LEDMINEVDADGNNSIDFAEFMTLMARKMHDTDSEDEIREAFKVFDKNNDGHISAAEL KHVMTNLGEKLSDAEISEMIREADKDGDGMIDYNEFVTMMMAK L199_003092 MSTPTSILSRGVSVYSIPSELLANLSVRSIQAQPPAEAEETKPK PTAPKTVLANFPGSAGLSCQTCPNANFDTVEEQRAHFKSDWHRYNAKAKLTGRTVSAE EWEGMVEGISSISGSDSGSSSSSGSSSQSKVARLLKRQTLDNNQNLSDDEEALELADR QRRAHLRTAVIWFSPTTSIPSLGIPKDTQFGVHRALFPPFDTAADYLTELKRMQLSGN DEEDGERRLTLLMVAGGHFAGMVVSIKPRGKSEKQEVKGAGEVRVLKHKTFHRYTTRK KQGGSQALNDNAKSKAVSAGAMLRRYGEQALQEEIRALMIEWEDDLAASERIFIRAST HGKKSFYGYEGAVLSKNDERFRTFPFPTRRPTLQELLRCWHELTRMKVSHLSEEALRA LDEEYIASLQPKNKPIKPTPASTPAPTTPVIPKLSLEEEAKLDRRKRLEEMIRKGRLD ALKPFWTKYQTDFEPSEILGLVASSGQEEILKYLLEVDRLDPTQPILTSNNRKPYELS ANKNIRNVFRRVAHNHPEWYDWVLDARVPSGLSDELEQEQNTKKNERRKGLKEKLKER EKQRAAEAEIEEAREKEVELQKQKEEAMRAVAMSLNGNTGGNNTGPQKLGGRIGGEGL GGMSQEMRMQIERERRARAAEARFGRG L199_003093 MAKGQGMTRRFNHSAIIRASYFQLFIVFSIVLGCAFFPLYLYEV QHGELWSTDIGYLFPSKGNGNNSSSPTIDHEYDHVETISKVTIHQETITVTATATATA TATSTVNKLVSITRTRTQTQTVASLPTPTRQPIIILQHMTDNSKSPVAKNDSRLLLDR SSGDHERYAKVHGYRYIQDRTSYVEEGFHSRRKSNNKIHSLIRTMLNELVKPEGERAE WIFMTDADTVICNPSIPLHHLIPSAVHRLIPEPLFLGIRDFNGFNNGNMIFRVNRRII AFLSNALSIEESRAQKIMSGNKDVDPKGRIRDNFASDQESLSIALLSPENQDVQRGFY EMPCDWFNFYPEQFKDDYTHPPEERMILQVHYPNFRKWTYPLQPIVSHAENIYNTAVG NALNAGLVNSHSEGLELMDWYEKSKMVADDWWRTAKSGIDGIEFTTI L199_003094 MVTRIEPLPNPISNSSKFKPSVSEGDMIHVATINVRYDNGTKSF NLPGTDSQYREKPWSERKTRLIDCLLSTGQLDIIGFQEVLHSQLLDIQRLLGEGYGHV GVGRDDGVEAGEYSPIFYDSTKFEVVKWTTIWLSPTPDKPSKGWDAALPRIATLLTLR QRDTKSRLVHAVNTHYDHLGIRARAESSLLIRSQIHNWVKRIEEDEKVKEEGPVILFG DFNSPSHEAGYRNITSSHVLPSGQKSFYFLDSFTNLSSTLQSHPYGPVHTYTDFAPPG NKNATRIDFIMLGAIPITAKEETDDREWTEGNDTSLSRGGWQVVRYACLDNFVEGDIE GWTGRWSDHRAVRVTISRS L199_003095 MEIDSEINVDGNAHTSGQIATPSKTHADKKEAKNKDHDFYFNFY SSLQNQANMIGDISRTGTYRKAILGNAEVAFKDKIVLDVGAGSGILSYMSAQAGAKEV VALEASSMAEKIEILIKAANAGKNNAHLKDRIRIVRGMVEDAKVQEQVLQNGKVDTII SEPIGVMLLHERMVESFILARDLFLKPDGQLLPSAGQIFFCPFSDEGLYNETDQKAQF FNTTLFGTDFKELYPAAREEVFAQPVVGMFPPSSLVSTACTPKSFDFYTCTKEDLLEF TIPVDFVVHRTTLIHGLASWFDLDFYPRQLPTSEDPNWNYPISSTGNWQWMSQESPLN PGPTPVPPKDGLAVKLSTGPSAARTHWQQARLLLPEPLAANKGERLKGSIHFKVNDAR SYDLTLDLEIDRPGPEWSPNPLKRRAEYQLQQQCFNYSYNPDASLNALSMAAGI L199_003096 MDIIHKLNPFHRPSPSLRSSHTPTPSPAFSNARPSSSLESEHTY PSIDSTIPPHSYEEKRYLATPDPVAPLHPLESPDITNKSKKKRKARDEVIPPLPSPVL IGGDAGEMGMGSGGNKLRKNSLKASRSVPRDLRLSDEYREDPTPPLPLLPSTLHNSQS RSASSTKSRSRSNSATPVLNKQISIRRKPAPSPKPEDILYSDPSFNHSMGMGYDDFPT PESMRVKLQFEIDLPTRSTSFDIDQSPSLEGKRDGLRLGVDGLRRRRYMSFDQRMSPE KGKIRNLSSPADSPTRPPRANRSYPAPIADRSHLYAQSLYADSTTFFSTDFGAPPSQA LDWTEEPIQEDAFDIFVDNRTLPPGTPGGKKEDLQRGVLSDGAKHEKDAVRFFSRDTY PPVSPSNSASPDTTPSKPPAKTSPARSINHRSPAKHRRAESSPACSPIRRPPSKSKES EFSRAAKRSSSPFEVKLSANVSKRMSLDAFGATPITTCFGEDAEGNLEEVLEGEGEAG AHDLQDQSYRNEYPLQDEGLEGYEAHELSNITESPFSEDHSTTYQISIVDHTPINQHT TTDTSTKFFTPLSPSLWAQGLPLPPSYASPLPLLRRKHLSAPPLSVTNTLLEAHADHT KALKDQLKAREFMMDVLKSENEELKTVMENIRQEKEQQIVNMKSRNSQLEVWKENCES KDLAINQLRQAMKENEEFFENLQEAHDELADRCTALVEENLLMKVDHDATAKLMDNLK RENEVLQVESQKAKELKNEKKELEMVVFELRREMKWAENQIEVKNTLLSEKDRVIEEM NKMIGEKGKVEGELESVRGESEEKKELLEESQSESQKSPTTLAENLPVSSHRVVLMRE RLVQKEKLIEQLEGDLKESRSHLAAHQDIIQDQASQIKEGELHLHEQELTISTLREQL GSAQQSIQSFNLFVEEKDGKISALLDESSSLHDEVKSLKNELVEKDKNISELKVKVEI ARFEKNERRFQSEVEIGQLHEQLEELQRSNAEREWAGRQGTEMIGRLMEEKRVWEEEK EELIEMINQNSLDQESASKLRDQITNLENQLSSLKYHYESLQSELEDTRSSLQHKSTL IHAQEAELETLRLTIHQNEQSLSHTRESFERQLRDPTRVIERSRERIEELEIQLVSRE KALKELILKNENVKQTEEGTNSSLKAFINEIDQLKLSEIRLRNELHEVRKSSSEDILD MEELKKRVKGLEEDKELLNVALQSKELELALLNRSSTHLKRTVPSAPSTSSTIRGIGV GNSSVRNSMSYSTSRIPNTPTPSTGMDNTPLPRRLATSTSATSSSAAALNRSRRETIS HSSTVNTANSTGSALNRSRRDTISSSTGGRVALGNSTKHNTPSPSEQANVPKTNPISS IKGAGTSTTSLTTKKVERRTSLPVLVRRPSSVMSSTSKRESLSRVDEV L199_003097 MLPTDPSSASASASSPFSKPLSSPDEIMNGGEGSSANQNQLLGH EGYTRSPHDVMQGMQALLNSFNNGSSPSSSSFSPVPRFQPNPFPTSAQQQNTKPSIPP SQPQPQQDIKPVFQIKQEPQPFQQNIPPPQREIKPFHSIPPPACPSRLPNLPSAEVPL PTPASLQPSNQSSANGSHSHGGLRSDPPRRMYEVDGIPMITPSLDDLSNSNSSNQLFL QGDPQFSDKSRVETAIRVIIDILQFCPIPTPMRPIHPSLHPLLPRDSNGNLLMAFERI ATFHGLRLQAGTTTKASSKKQLQAGPIPKEHLAYVETAVYMSGDNGRRVYVCKRCRNR EARRRASKEVNRKRLPNSDSDTSSSQVKPRPSLVPSSQDYITGENPDQYDPHRNGQIV EEPSWDPEHRDWRHEIVLFNSPPEVKMEDGSCNWLPFRVVCYGKCHGEKVGFKIKFTL RTWDGRVIATSTTKPIRITDDHKTEPKTKSKVDGLTSSQTQPAVPRQRKGRQSTASSR RQSPAPSESESVQSFSEAGALLQKQTPSVRAGKPYERPPSQSPAMGTIPIDNFMSNGF QRHGSTTSLQSLRQQPDVMSQRPPQPPQQQQQQSSVDFNLQQVNNTVSPGILRGPQFP LNDQMEVNNGMQSNSQPPAPTNLTSPRSQMMSLNGHNDMLFSQNIQSPNNFMSTLGLT GQTASAQPSMIFSNDTTDVEMSSAMTGGLDDIFAASSHTSISSMSDGGSVFSGFGEDR SSAMFSESGIPPASATDIDQFLDYTGGEHADVSNPFHQPMQHQPDLGMFLNNNVSPPA GNSLPPLPFDHLHLSPTANRMDLSHAAQAQQDQSISDMLAAMAQLPSQAQITHVIPGD GPMAGGTKIAIAGKFFSPGMVIVFGQRPAPTDFVSDSFVQCKLPPSSFPGEVEVTVQG AFKIPGQNSQMFRYNEMDKDLMRLALEVRNQYNGSSSDAAYRLAHHVATRSATNSEWS ERSSSNSPMSGPSPGDQDDDIADQSDSTDGNGKRCTNTNTNTNDTLQSTIINFLASID ENAPGSLRASGAINHKNDAEQTLLHIATVMGYHRLVRRLIVVGAHIDVQDINGYTPLA FAALCGRHTCARVLVEAGASYDRPTAYGEMPLDLAKYSEHSQVEALLLSAVWSTSTSA KAGVEALPDVDAGSNSGYEGSNVSEIDDDNPSSGSEVEDELDHWEKIRISRKKSTSRR GRSGHSHSASISRGKNKDKEKEKANSKSPKPKSRRPSLQHSESTGTTATATATVPAPA TDTELLQHDKDDHPPPPYAPRENSTTPSNASASAGWMSRTLSSTSQKIPNAVWDRLPI PHSMFSHPHNPHKTPAGDHEQQQQQGWVAFPAPSWETLSKMATNPEEVKLFTQAMAAA AFNAVVQSGITTPVDSGPSSRHAKDREREDDELRRLRRRRKSGGAHTNEGPRGMGREQ RRSGSVSPSEKVVKHVKRDRMLYLFWLPILLFVGFWLLVSALPIATGFCLIYARQITK AIKQRM L199_003098 MSPPLDMTPVDKLEFLILVDNFVEWLSALPPGFTQELPQHILSK DVPIDTLTGLPMVDLDNYCCGAHGLSILIKTTISDQTHTVLFDSGPDPHSIERNIKSM KLDLTQLEGIVLSHWHRDHSGGITKVLEMREEQIRQSAQGIENVAVDLHPSRPIRRGI SRPPEYKPICTLPTDPTFAEIQDRHGQVELNDQPHEMIDKKGNKMGIGISGEIERVTE FEVGLPGALTWMKDENGEEGWFNDHLIKDERYAVVDVKGHGLVVFSACSHAGICNVIH SLLPLDRPIHAIVGGLHLAPIQSQPAKQTAEFLSERIVPQPNYVLPLHCTGLEARAML RDRLGDRVVHCGTGISVVWSGTDEDDEILDEKGGFKIVS L199_003099 MSTGYDNEHEGKDEKLQSHSYNGEVTLNDIDPEYQEYLQLDEEF SGDALKKLTRKIDWRVIPQLIFIYMLSYIDRGNVGNARLFGAQTDTKLSDTDWNIGLS LLFITFGFGGLPSNILVKKFGPKKVLPVLLTGIGACLVGAGSSHNRAQWFALRLLLGL FEAGMYPGCTYTLTTWYTPAQIHSRTTIYYLGGVLSGAISGLLAYGIGQMDGRSGQRG WRYIYWIEGAITFSIGIVAMFTLQETPQKTKKWLNDREKRFLLLRSKYMYGGGRMGSK DEFSLKDVMKALKSVHVWILSFAFICNTIALYGFSLSLPTIVKNMGFTAANAQALSAP PYVFAAFCVVASGLFSDKYRLRAATVVFPSIVGFIGVLICVLTVKHKHLVALSYVGTC IAAGGLYCLTPALTVWTGLNTAGQTKRAAAISISFLFAAIGGIPGSYIYLAKEAPGYP TGFGVSLGLMGFGNIVVPALYWLYCGRINKKRDQMSEEEIHQKYSHEELEEMGDLSPL YRYER L199_003100 MTIRVLALCGFTQNSYIYSKQLGAVRKTCKDVEFVFLEPPIVVE KADLPWADNNLDQFGSNATTDEAAQTPETTPRAWWTTVNELKTYRKFDETVAYLHDYL SKNEPFDGIMGFSQGAGMAALLSALLEKPGLHPNFPSEPPLPKFKFAIFVGGFLPKAE SHDFTPYFPLPASLPTLHVCGRNDTLITIERSQTLVDKCENSRVEIHEGGHYTPSKAS WRHFFNAYINSFGPDGSNGDVPPVNSFGPSGANTPVNLKGGEATPRPSTPAS L199_003101 MGDKEPPLSSISSPDKMKYPYLASLNAAQLKAVTAPPEIPLQIL AGPGSGKTRVLTSRVAYLVQHHQYKPYEIVAVTFTNKASREMKKRLQVLLGDKQADNL VLGTFHATCAKYLRRYAQLIDLPNNFVIADAEDCKKIMSTLLKARKEQLDESRMALKE GVVLSEISKAKAKEETPDQMAIRAAQDPNSSTSTLSIIAELYGEYESHLRESNSLDFD DLLVFGLKLFRSAPRVLESCKHILVDEFQDTNITQYELMKCFAKAHGGVSVVGDPDQS IYGWRSAEIENLNKMTKDFPGVQAIYLEENYRSTGAILSAAHAVVSQDRARIQKNLFT SHPRSTPVTLKVFSTPVIEASFIAWEIKRLIAYSGGTLNYGDFAILLRYNALSRVIES ALQKDSIPNRVVGGHKFFERMEIKDLLAYLQLADNPDFTPAFVRVVNVPKRSIGDKSV SDLLNAAKAMKMSPMELSERIIDGEPLPAGLKAGIKKNLGSFVGAIRKLRRAAEKGSS VGDLIRLVIEKTGYEEYLRASQQDFDSRWENVQELISYSVIVSEEQARLSSDDFPAER FMPANSAAVEALVNVAQAEEKIKSEARLHPLFRRQSSASDGSRSRSRSASVSVSKGNG KGKNKVVTGNDGVIEILSSDEDEIDLKPTKSQMNGLIQEKKEVTAEMVDDMTNAWENM TPLAFFLQTSMLSTDTDGGEDDKDKPKVTITTVHAAKGLEWPVVFIPAVEQGTYPSYR CTEAHEIAEERRLLYVAMTRAQNFLTMSHCQFRMMGGEENDKEVSEFVGMVNRHQSGL LSSTLPDVDLAVRRYISTMLSRPHPDEDEAREMVMKHVRAAPPLSTWDAPEPRDKHSN RFARREVTKATRAAEYWASDMDEYALPSDSNSRSNPYASTVQSGFTSARVGLSSASSS MKSRQPAPGSGSKREPKVEKEIVKIKSANKNIPELLPFTFGQPDPIKSDTDINSLQKG GMDSLSFMANLGLPPDTGLTIPPKSNGTGGGRSSPFLGSARGSSPKLGSSPALGGGGV KLPSVPGSSLINGLNRGGAAGGGMNRGTKRLGMGRPAPWGASKKPREG L199_003102 MSPTALNPIQGHHDSFSLPTTTNGSTKDESKVHATARRSPEGGL IKVESDSTKYEEEGIRAKFTDRGADVVKGADGKFSVKKTEKTYEFYTKSKVGKVGLML VGLGGNNGTTVLATHLANKHQISWRTKDGVQQPNYIGSVVRASTVRLGVDPETGKDVF VPLSDMLPMVHPNDFVIGGWDISAVPMDKAMLRAKVLEWDLQRQLIPHMEGVQPLPSI YYPDFIAANQADRADNLIDGDDKQAHLDHIRNDIRKFKADNELDSVVVLWTANTERYA EIIPGVNDTSDNLLTSIKDSHEEVSPSTIFAVASILEGVPFINGSPQNTFVPGCIELA EKNQTFIGGDDFKSGQTKVKSVLAEFLVNAGIKPLSISSYNHLGNNDGKNLSSQRQFR SKEISKSSVVDDMVAANHLLYKTAAELTAETGEVTKKGEHPDHIVVIKHVPAVGDSKR AIDEYYSELLMGGRNVMNIFNECEDSLLATPLIFDLAILADLLTRVTYKPEGEAEYKP LYSVLSLLSYMLKAPLVKPGTDVVNSLNRQRNALEGFLKACLGLENNGDLLLNTRIW L199_003103 MAALNRLSQRIIENFQETTRDLSLLTGSNPSSTPYDLSDDRLKE ISKLLESRIERERLEGMKRIIAGISKGRDMESFFAQVVKNVVSQSIEIRKLVYIYLLR FASTNSDLLLLSINTFQKDLSDPSPLIRSMSLRVLTSIRVPVIQGIVMLGLKKLVTDR NPWVRKTVAGGLAKVYEMDPSSLPQLMALLQTLLSSPSPLTLGATLTAFIEICPDRLD LLHPYYRHIIKLLVDADEWGRSVALGVLTRYARTMLEQPPNSGSTDPSTIQTQPPTAN GNGKDGEESEDEFSGIDEDLAMLLHFIKPLFQSRNPAVVLATANAYYHLAPSSHKIIG QDLLVKPILRLAGISNDERLGKEHISALTWDVIASMVEERPWLFAKYHQSFYLYTSDS TSIQKSKLRALVALVNKDNAQVSMREFKHFVRLPEASTAEEAVKAIGYVVRTQPEVAA SGLKSLMKLLKSQCDILVAQAVIVLKSVILSSTSSLSSASIPSPQKLVAKLTKQLDGI TNHKARASVYWLVGQFAACDPSRESEKKGLGWEGVELWVPDILRKGIKGFTNESAQSK LQIVTLATKLLVLSPSCNQLNLMSGYLFSLARYDEDYDVRDRARFLHALLKGVRDEKP TNTSISAEQEDGQGEDEEEEDRGGVVLRREQVKVVILGKREIGDGISQENYQGNEYQV GSMSKIVGKKLNGYEEIPEWTDDPTDSSLRDSEFENLQPPTPLPAPTPTYPIPPNSSQ AVGVVRQGISPVGSSPAGSYPRTHTPSLGTNTANAAPKGKFRDLDAFLNSESESGDES EESDSDHSPPAPRAVTAPPRSAIVPEYDEDTSEEETDDDGTESESESEDEQDERAALY R L199_003104 MSSSSATIASINEEILSLQNRIKELKVSKQDASAEVNSMKALKE QLKSLTKDQASTGIASNFVLKTPKGTIDHKPEAALLRKKIFSTLEGIFLKHDASTIDT PVFELKEILAGKYGEDSKLIYDLSDQGGELCSLRYDLTVPFARYVAMNGITSMKRYHI GKVYRRDQPVMTKGRMREFYQCDIDIAGTCDPMVYDSEILKILCEALTALDIGQYTVK INHRKILDGIFQLAGVPADKTRSISSAVDKLDKLPWSDVKREMTVEKGLDEKVADKIG QYVGLKGPGYDVLEKLKSDSDLMGIPLAKQGIEDMEILFRYLKVYKVLDKMSFDMSLA RGLDYYTGIIYEAIHESSAPPTKSTNPSVPPSSELSTKSGSSKPSKSSKSKSDANVVI NEDGIDESTIGVGSIAAGGRYDNLVGMFAESAGKKMNEQVPCVGVSVGVERVYSIMEM RRKQTQEKVRGKETEVFVLGLGGIELEKRMEIATLLWDNGIKTSFSPKVNPKPPVQWK QADDDSIPYVLILAPKEFAEGKVRIKAQLGKDQAGAEDNKGEEVDLKDVVRYLKEKLG RA L199_003105 MGISPTSSLTRLVRTGRIRIPVSSGKKYSTTQPDHVQTLLRNSE LTEEDAVNELRWITQEVREKAIRQISRGRMPEVEEDSIAKKVERRGKGEPIQYILGTT DFGPLTIQCRRPVLIPRPETAHLTQQLSSLILSSIPPLTSRDRPSAPLNILDLCSGTG CIGLLLAKLNPLSSVTGIDNSPVAVQLGMINARDSHLDDRVKFRYGNMFTTDPTSLLS TGGKYGLIISNPPYIPYSQYQILPASVREYESPNALLGDGVDDKEGKGLKYYERIAEI LPDLLLGQEELEAKGWKRIPRLALEIGQGQAGDVVEIVKSSKGGLIGKMEVWKDQFGV ERMVVGWGK L199_003106 MAESKSIVKIVFFALVLDLLAFTIPLPLFPRLTAWYLQLDSSPD SLLSKLLWMSRKWRATLLSFSSTAQLSDVGKKEWDVVLLGGAMGSLFSFCQCLISPWL GSLSDKYGRKKVLLATMMGNMLSAFIWIQSTSFASFLLSRLVGGLSEGNVQLSTAIIS DVTTPSTRSKSLALVGIAFSICFTLGPSLGAYFASQPLPLPSSSSSSENQLNIYALPA TISLVLLLVETLYLAAKLPETKGWKISQDTTEENEKKDTKDSQIKLSAEERSRKLGFV GRLHGLFLLFFSGAEFTLTFLTYDLFQASNAQNGRLLSYIGILSALLQARHVRPSLSR LGELRVASFGILSCVFALSLLSILPLSSIVSSSRISTIVLYTSATCLSYTSATVVTGL TASAASLVDEQQRGKALGGFRSKGQLGRAVGPLLASSIYWVKGPTVAYATLAGCLVVV YGLARTQVNSRKKGKTE L199_003107 MAYKAGPIYPCNPATARSESTKLGVDPKGEKLIYTNGRAVIIRD LNHTGLSHAYTQHTRAATVARFSPSGYYAASADVSGEVRIWDVTNPENNLKLAIRPLS GKINDLAWDGESKRIIVGGEGKDKFGAAFFMDSGSSCGEITGHSKPINALSIRQQRPF KAISGSDDNSVIFHSAVPFKYDKMINTHTRFVRDVAFSPNGDLFASVASDGKLFFYDG KTGETKGEAGKEGGSSLMACSWNPDSQSIATAGADGIVSIWDASSLKSTQSYTVGSDV QSQQNGIVYAGPNSLVSISLSGVLNIFDTRESSSTKWRTLHGATKAITASTLSGDNEK EATFYTGSFDGSIKRFDIGESYGGDEGRCDEVQGTGHTANVVAFSTDDKGKVYSAGWD DKVASIDGQSFSSTSLPIKAQPSGVAATSNAIYVATSSGLEVHPAGSNSTSLLSKETV TAVAAHGGPNSDLVAYGVGKKVILSSTSPEKELATFEDNKGDVLSLRFTKDGKYLAAG DSAGRIILVDVEKKKVTVSSRWTFHTGRIVDLAWSKSDKRLASVGLDENVYIWDREKV AKNIAIKNAHPGGVTGVSWLGDEKIITAGSDGIVRTWTVPA L199_003108 MVEVSSRNIIAGLSGYLIPPLLPIPILRLVPIISSTVSLQWAVD EYQFLSSWQPHTYREQANELLPKWFRVWGSKSTLVLFSSFPWSAGAGLTNLFTLRNTP WVPGGAKVYYALGVGLAFGHMIFGPKALGLLEKIRNGEPAGRPTETLAEWLKMHVFRT FVTDLPAVVCFLLAGVKACQGEY L199_003109 MDSIIETQRSAHEEIERYEQALSEVLLQNPTAQKNITRRDRKAA EILDRIGGLRKELVDFYEDLPGLRPKELELLSAPAPGEDDLAEFYVRYNKIKDFHQRN PGISSRQFINQVDELVKGDGIQSFTVEGDEEPTVIDPLDSVFSGEESHGKYLDLYLNH SQYMNLRGATRLSYLAYLELLKSGKVERTLDLKEKSTQGYLEYVQTLYNYLLSFFERA LPLVNVQAKLKEEEQKFKSEWAEGKVPGWEAESSTAKKQGNANGNGEGLWCQYCQKSY SKQTVYDAHLKSDKHKKKEQAANSSSNPTSNGSPAPHPAASTSTYRTKLEQPARLTYL IQVLLTFPPIPEKLADTRTETERKMALTARERETELEEQEEAPPVPVDLGNDEDDDED DEKIYNPLKLPLGAICKLTYIIFLTLFKLHGLGVTFECEICQQTMQGRRAFDKHFTEG KHLLALRALGIPSSAQRHFLNITTISDAMALADKLKREGRKSITENERAEEFEDEEGN VYDRKTYFDLKKQGLL L199_003110 MAGINAGDDKLVFESSEAVSVAPTFEALNLKEDLLRGIYAYNFE KPSAIQQRAIIPIMRGRDVIAQAQSGTGKTATFSISALQSIDTKIRETQVLVLSPTRE LAIQIQTVFLALGDYMNVSCHACIGGTSVGEDIRKLEAGQQVVSGTPGRVFDMIRRRN LRTKDIKMLILDESDELLNKGFKDQIYDIYRYLPPATQVVVVSATLPHDVLEMTTKFM TDPIRILVKRDELTLEGIKQFFVAVEKEDWKFDTLCDLYDTLTITQAVIFCNTRRKVD WLTEKMREANFTVSSMHGEMVQKERDAIMAEFRGGQSRVLITTDVWARGIDVQQVSLV INYDLPTSRENYLHRIGRSGRFGRKGVAINFVTVEDVRILRDIEQYYSTQIDEMPMNV TELT L199_003111 MGAALTTPVKPPYQSNANITFRSQGSTNFVGGIPGYTVLDNVWY HDRTLYMIDDALQELPSTNLLFTAAPEKDGDTPLHDGISRLVTIPTGSEGPSPQEGDV NSGIIEMSGTTFLLNDGWPGSWSGYFMYYHFASEVILGSISALSSITSALPSEYTKPW NVYKGLGRRLSLQSPSSDSRGEDQVIWESGGLARAGIIPSRVVVAWEWNWDGKDGLSR AVAEGLVGKEGIIDPQGWKDLTSEKQWIYFERILIADRGTSHRNNPLGKKWYKMALDA YKLVPSPKSLSPMREKFLSYYNIPIKNRRGPDRSVNKKKVKAIYVNRQGSDRKFADEV HRDLLKALKQIEYKGNAIIIDAKLETLTLQKQFELFADADIIFGVHGNGLTHEMWMSA GGIVIECFPPQTFAYDYAPISEVLGHEHIIWRENQTMPSDQWEPKNGGEGFRLHDGSI FPLDVDIFSRWLQRKIDSMLEA L199_003112 MSPTFTRSRSDDWRAACFIDGHRLSGSYWNPDAKEHRIDSMLMK KGTEFLKCKMRFGCIEHIAERTLVPAGEKEGDNHLGRIDIVLSRGLWIPLGDATADRA EQVETVAKLVEGENEDPDASDPITSQRKITRPMMFAEKADEPCFYSFHFILQTRETLV EYGLIPNHIPPPPPNPAFPLPNISSRRKRRRDADDVDSEEEGDILHQLDILKARLKRS EDMNKRLIAQNRKLRHEVAETNHKMNILKSLRERDDTRTVV L199_003113 MFSSNGSLESWIEGKSTQKRLNEHCIEYKPPSKDAGAITSCFLE TSPEPFVIRLKRSEKLFPGDDFRAICEVDGKDIGFSIWRGDRLEYEWKAVWERRDGQL YQSSLIFDTLATTDDPSKVDISVRDLENIGTIVITLTRGTTRTSRKRNEIELFDKVKG KAMENQGFLSSVGTTESVKGEEWQPVFYDFTPSGSGIPHHQFVFKYRPARVLKYLGMI DQDHSSLSSRTYAYPMVKIPFFLPASSSEEESSASTSARCTQDVRSKSSNFEGNRNEY ESSPVLVKQSPTDSLTNADSGERNTFQRRYSLRPSRKRTYVDEEQL L199_003114 MLSHGAREGFEVFIESQEGRNPLVEYQVRNIKGSFPASECYIEV IPGPFAIVTEKLNSLPYPNNDWKCRYFVDGQLIAEYALMRNVGSNVVDEIYEEEDDQL YSRKLKFLPAKTTDKPEEIKPGSKVFSRVGTIEVMIHRGAYREISTDLYQSPEIKDCT MDEKEKKMAFTVGTSERVPVEDIEVPSYDFIPRKKGDKFYKFIFKYRVHTELVKLGLK EDPETQPLSSTVQPRASEDSQNVDREAQEPHVKTEGGQEMVTDVAEDDLTKDQLKRRL KYLEESLAKSNATNKRLRAGRPMEEDVIDLTEMEDDEDQD L199_003115 MFSKGSGEGFEAWVESKDKKERLIEHQVCHHLSSADQTPRTECF LETIDQPYIICIKKLPSLVYKGDWKCDIWVDGVHLQSHSIWHSFVEIDRVKEVLEHKG GQFHESSLKFSPLLTTSDQENVTLTQSDIKHLGTIIVQLTPSTWKLVGAGPPQGVKIN PKVGDEKAKNLSLTDSRPFTFNYRSRVKLKLMRVIEEAENEDQENQQDEDIEKQKERT SKPTESNTSATKKMISKSSFADAIDLSDSPPRKTKKRKLKEILLDEAMKPGGENGEKR MTSEIEVKSESGNTSKRMRLLGEENQALRQVIRQLKLGQKIEEDAVDLTLDQYDSD L199_003116 MLAQGQAVGLEAWVQGKDDRKRLNEYQVQHHPAKKGESSYTECF LETIDEAFVITLFKRDHPLASQDFRTSLFVDGSMIDQVAWLKTSSRLEWAEVWEKHED KARRSSLKFAPLPTTDDPAKVTIDPLTMKELGSIEITLEPGEFAYSVTSAESTVCEPP SVLHYAFTPGKNRKFTHRFLFRYRPRPVLVQMGIIDEPEPSPPPPPPRPARKRKADVV DIEATSEGDGEEGDVKPDLNAKRVKYLEEQVKLLADQLKRSRNGSRDEDEVVDLTLDD D L199_003117 MVFIVDLTLLDGPVRPTSAPLVYLKRKRLSNTINEEAGPASASV NGGKEQDIKPHLRDEQFQYLEDRIKRLTSRLNSARNGEGDEVVDLTNDSDGD L199_003118 MLGESTGAAFEAWVGDKATGERLNEYQIEHHPAEDGQPAWSECF LETIDEPFRIEVVKMGLDSASGRGSRSSHRQAKQGISCVCTFDGESLSYSIWFDDSKS HGWSGVYEKDEMDGKVYKACLNFAPLPTTDEVDKITIDDNKLKQLGVIEIMIHKGYYM PAGPSGIKCTNIKVGTADEKAKKTSNREEVYDTYKARTSYTFYPYHPLVKDYRFVFKY RPRAMLVHSGIINEPPPPPPLTQWLSGPHRSNSKRKRNFEHSEVTLISDDEIVGRDVK PDLERKRVKYLEDQVKKLSSELNNLKKGGKSKVDAVDLTLDDD L199_003119 MLRIGEVDPVITADQEHVKYDFHASKEGMNYYRFIFNYRPRPVL ELMGVIEGVAAEIPTDDGKKRQREFDPGPNETSTKEKKIRVKTDKRGRQSKAL L199_003120 MLSNQPKHLEACVESNVDGKSLNEYEVIHHEKDQDGYPYTECFL ETIDETFTIKIKKNDVALFERHEWYSICRVDGLRLPGSVWKHKLINTFDTLKERKDGK VYESKLKFASLATTDEEEQVTIGSDVLKKLGTIRIDIQFGEWIAGSSTHKGYLASPIS GVVHEKVKK L199_003121 MPSFRLHPHPSTSSSPDHHTHHHRQHTSADADIAVGAGTSTLEI AEEELDHDQQSESVSVRTRWKDIMSDNSAVINTFIAGGLAGAASRTVVSPLERLKIIL QVQASSASHGSSGQAYGGVWESLTRMWKNEGWRGFMKGNGINVVRILPYSALQFTSYG AFKSVLATWSGEEVLSTPLRLTAGAGAGIVAVAATYPLDLVRARLSVATSGMAIKSTS GGFTAEDAKLGMVGMTKKVYRTEGGIRGLYRGCWATAVGVAPYVSLNFYIYESLKSMI LPSSLSLTTSEPELILRKLSCGALAGATSLIFTHPFDVLRRKLQVAGLASMSPQHNGA VDVIKWIVRTEGFWKGMYRGLVPNLIKVTPSIAVSFYTFETVRDLLAALGEE L199_003122 MPATLYLRPSPRAFFLLTETHALIFRQPDASETKASKSVVVAEF LPIEEVDTRGLVKACRGRSVEGVLGVTSVPSDRSPIPEIFLLLVSHSTSLPSLLPSSS AIKPQKVIGVEFHSLTSSFWDSPELLSASASAQQQALGYDEFDYDDPIPSGPSYTSGG STMSQAQQMGLENPCNGMRKYLESGSFFYADGVSWDISKRLDESNWILSSIGSSQDHP LENFDERFIWNSSLLQPFLNFRLNLPHDQRRELDDQALLIPIIQGFINSLPISTGYSS STGKHEVASLGLISRLSWKRAGARFRTRGIDDNGQVANFVETELVLATESTLMSYSQV RGSVPLFWEQPSQGLGTLQQKVELTRPPQATQPAFDKHFLELMSHYHSIHAINLLGQK DAESMLSSAYSHHLESLKHTLAANPEKDETLDGQTRGTIDLTPYDFHYAVKVGGHEMV KYDFSMRLDEVVDSMEKFGWTAIDNTDGQVIEKQDGVFRVNCLDCLDRTNYVQDVISS LTLSRFLDSIGSPLQSSQTLWSAHRELWADNGDRLSKIYAGTGALNTSATRSGKKTFA GLLSDATKSVGRAYINNFQDKGKQNAIDMLLGMMSGQRPVILFDPISDSVQAALTARL NEYSHTKVLSIFSGTWNLNGKAPDEALDSWLFPPNTAEPDIYMIAFQEIVELTATQIL QTDPAKKRVWEKFIMDTFAMRKGQKSDYLLYRSEQLVGSALIIIVKSSLAPYMRNVET YKIFQQTGLSGLSGNKGGVAIRFQLYDSDICFVTSHLTAGQSNVTERNSDWKTLTAGM KFSRGRMVEDHEIIIWSADLNYRIALPNADVRQAIEEGNLDALLESDQLHNAMDAGET FIGYEEGPVSFFDNGTEDYDTSEKQRVPAWTDRVLFKGSALRLKEYNRAELMTSDHRP VYAVFDATIREVDHARKDAISKEIVHSILTSGGEKKMDEKVEAVVRGHGGPRDLVKDL ARVSVTPSLRTPSPRLPPRPTSAASMKETHSPVTATARLHSQSLSTPQQTASSSLRAL SSSTSSSSLKQNARRPPPAIPTRSAISPDIQAHSIVPLNPDRRSPIPRSSSRGLLANG TGSTHLSNSTPTPSITPSSTGDFVIVPNTNTNAKSYPPPLPPRAVPTPKSSLDVKPSE QGKVPVIPRSSSGSVVNRTNGEEKEIQEGLSLTQLRAKFENPNKDNSSTNPIKPKPIP VVAKLPRKSLDINGSPQEGLMSPVKPDKPHGQTPVIPTKPRRLSSGIAKSPEKYKGAG NGRGDGDGSPEKDKKKPEVPKKPIGLGVKKEGI L199_003123 MTSIPQAPSSSTEPALRETRSNASSRPLSEITENDQHRRGMVSS SNRSSLHKDKDKSRPVSTISVSEEAPKKTSAGMGMGVQTITLNLVEYAKSQSQSQSTS TPTIQIPPQTQAQDQEQNQNGSGSGSGSDNIPPPPSPTTKPQPPDLPKRPESFSLKKG KEKEKKGSPKLLDKDLPETGESSRSGVSSFLLAKRASLRPSSLTISYAEVAKPVPSPS ANSATITAPTGSASAFDSVDSLRTSSSGADVSSKPVTKSKPSWLRRASGTGALRSKSR TPPPKDDSSLPTSTSLPPALPARKGLEPHAEAPTSQSLPEEGLGMAPPPFPPRKISYA NVIAAGPSRSRLGEGQGRPAFSPSSSGGPPPLPSRDNIGNIRGKIAAWTAAAAQSSSG FSRSESSASIHTQSQSGSGGSGFGPQRLPASAQRVLGHAGSAVQKGWAGLRSRGVGGS ISSMSSLGQSSRRNGNGNGSSFEPSSSWGSGLNRGSRDRSRSHNYEIGHGHEVPTPDG PTFDSDTIKRSGDGTVGKVFGRDVVDSGKEWGIFDDTTDQSGLDDYEKRRRKCLPAVV IRSVEYLQIWGPKEEGIFRISGRSSHIAKLRKEFDSGADIDLTKCHPGDLDPHAVAGL FKSYIRELPSPLLTHDLAPRFDSYVKGKGKATNRATFDFMAAEDGHSEQPEDLKSLLQ QLPQAHWFLLADTVKLLDLIPRHSSTNRMTQNALMLSLGPSLNIPGAILSELIEKRED LFADPPSPSALETAEALIDFGDVSIPPVTPLTERSSTPVYSNTDDHPGPPSYMGSTKS KKGPRIPAKPSLTRLFTSSSHTSLPRQKSIDTLNSILSPEPPRVEVPISPASPLPSFE PSRSEDTTPKALPAPLILSTATAENVPVPETPIPNSDTTSSDKIEEIHYPSGTVDERS KLFSTPIADRFQNTSSPFPSLRHGQGKPRSSNGSTLTINSSASEGNLSKVAQVDHSPN SVNVIRRGAPVFFSSAAVLDRHSSTSGGGHKRSASASATATLNNANTSGLKRKDSEED ESNDDNGKKNEDEDGREQKRLSAAPDSLNSKIREYTV L199_003124 MSKKPTTLEAAALTNFTPAGQAALYHIHLAQQAEKDELAALEEA KKKEKKLREEIRPEEVKAGEVVDRWIKDWCPPLYQFLDIFATPHLTIGIFLFLHFAYW YTIPWYCHFLLTWPIVYFIPLHCTSKSIYKTKDRVLWLSYWIILSVLEYFEILLFRDQ ARSLVWWPKLKAIFCLVMYSIIDIEVILDSRGKPKDKKPIYGAIKLIEKFLPKEKNES KETKDRDKDKEREREKRSGSSKEKNRKEKDRDKESKEEDKKKKSR L199_003125 MLSVGNAIGFEAWVEERKDKIRLKEYQVTHHPAKGKESAYTECF LETVDQPFRIQISRLPNLKDHRDIRLSPYIDGNLLLAKAWLKDHKSCQWDHIVQKQEQ GKRETIKSSLYFTPLPTTDDRTKVTISPETLRTLGTIEIVLEVGSYKPTGYEARHTSK LDKKGTIHEKTKKLPYAISAVNGEQYELPRTMHYIFTPAAKGSKLYRFLFKYRPRPAL VFLKVIDEPAQSHYVSRVSIKRKSSSVGEAIEVVDDQEGEPNPSLQAKRVKYLEEQVR RLSSQHSQSLEAARKNDEIIDITSADDV L199_003126 MDRLVSLTRRTTPRPPIYPYDAPPSSSHRRMWVPDPIEGFSPCW IRSQSGDDKSPDATAEVQISTSNELRTVPLYQLSPMNPPQFDGVEDIADLTHLNEASV INNLRMRYQSNNIYTYSGLFLISLNPYQPLPIYTPKHIAQYRTRRREENAPHIYAVAE RAWQQIGEERESQSILITGESGAGKTENTKKVIQYLAAIAASQIPSDPTSSSSSSLTR SKSISKAPSTGLPRSSSFKGKDASEIDLSSLAISEPSLGLLEQQILQANPILEAFGNA QTMRNNNSSRFGKFIRIFFSPSGAIAGANIDWYLLEKSRVTARAEGERSFHVFYQLLK GAKEAKLADRLLLDGGPEKFEFLKKTRLQIDGVNDHTEWRLLKEALAVVGFTETEQFE LFRIPAVILHIGNLLLTGSATDQAFLPPAMQPVADRICHLLGISVKEFTKSVLQPKVR AGREWVTNARTKKQAEDELAALCKFMYEKTFGWMVERINTALDRPSAKSLSIGVLDIA GFEIFVENSYEQLLINFTNEKLQQFFNFHMFTLEQEEYAREGIEWDYVNFGLDLQPTI ELIESTQPIGMLSLLDEECIMPRATDLTFTEKVQQLWETPKGATPKHPGSSKFKATRF GAGFVIKHYAGDVEYRTQGWLEKNKDPINEAVARLLATSEIPSIATLFSEYAEDAATV GVVKKVKRGAFRTVGQRHKEQLGQLMQQLSSTQPHFVRCIVPNSDKQPGKVNVNLVLD QLRCNGVLEGIRIARLGYPNRHSFAEFRQRYEVLTPGVIPKGYMDGRKAAERIAEALD LDKQFYKIGATKIFFKAGILAELEERRDNLLTDLFRRFQSAARMHVARRRILKLINRA QAVRTIQRNARVYLQLKDWPWWGLYVKVRPLLAATRTDDELVRKQAELAMAKERAERD EMEKKKLEELKSSLVAEKTKVEGDLSSERELGREKDRMLERSKAREAELEEKIKELEG DIDLLDSEREKASTQADLTKEKLSKVQADFEALVEQAAMLEKQGSIWQKREAELLKDS KERSNVYSKLEKDKVELQNKVEDLKREVTQKEEALKRAKDRAEANLMEMEKRLRLEKG KAESGNSQATALSEDLRRAKSQMDELQSTIQRHTTSVEAKQRELSELKTKQRESDKAR ETSEQALAALNVKVESMKSQIAAKDKEKQAEVSARQKLEKELDDLRKVMAARSSEDSK RQEADKSREQEMSRLRDQVSQLQKSLDDQREAAQQLANKLRVDVEGLKNSHTNAQRDL KLAQNALKEKEEKLAAMQVKINEVEEHRRKDEKELEMVREQLSGTESRLQSIAQARDD IEKHLHALQDEYNGLEDAVLEIEGEKANWAKSLDNITRQLHEESSKRHHFEQEIHNNQ VELAEHRNTALQAERALAKAAADIKARDKEIDFLRGRENKTVVEHYHVLEKAKKFTDQ QLAEQIKENDRLNTLLKSLETHRNRLNADLEDLARQYDKLKASKSKEARSARASLSPE DKDLTQLLEEEKKARRVLESRINSLEKDLQDQRKQASTASLSASSARGLEAKYRQSQD DLSRLEIEHQNTLEQNQRLQNQINELQKAVSAASSRPLPTPTPSTPSNSNLRREDLLR GLQQSHDALRGDMSDQLRRLNEASATPLTPSKRTSVINSNGTPTHGHSQSHSTAGQDL ISGKKIKALEMEVDALKRQLEDEQDEKDFLIEQMDKMQSESGITPGKDGKLFPYEQAV YSHFRLKAKSLRSQLDHWLAMEDLNNSTPGSNLTPSVSASAPRTEDVVQLKHLLQQFD PETSL L199_003127 MASSSTTNNDWKQGLVAPPKDLRPQTEDVTATQGSSFDDFDLRR ELLMGIYTAGFERPSPIQEQAIPMALTGRDVLARAKNGTGKTASFIIPTLNRINTSFS HIQAVLLVPTRELALQTSQVCKTLGAHIPNLQVMVTTGGTTLRDDILRLQEPVHILVG TPGRILDLGGKGIADLRKCGIFVMDEADKLLSEEFTPVIEQLLNLCPQERQVMLFSAT FPWNVKEFSDRHMVQPFEVNLMDELTLKGVTQYYAYVEERQKVHCLNTLFSKLQINQS IIFCNSTNRVELLAKKITELGYSCFYSHAKMLQAHRNRVFHDFRNGMTRNLVCSDLLT RGIDIQAVNVVINFDFPRTAESYLHRIGRSGRFGHLGLAISLLTLEDRHNLYRIESEL GTEIAPIPAVIDPVLYVAPSAPEEPSPPPRAAAPKALPPSKPAQQQTYAQPPQQPQQQ QPPAQAQAPSPAQQDGVTPPNAQNGRGGRPNNAPRAPNPNGRGGPPGQARGGQPGGGR GRGGSGGGRGGRGGGPGQQGQSNGQQGAPAAARA L199_003128 MSVPLPSPSPLTPPTTASPSRGEGGASAKAIPKSRPTPISTGQA HSPSSPSFRSRPQPPSSISRSLLNDDNWRDRSPAPTTATVATPSTATTTNATSGKAKV VGGFEKREIRSAGLNAVSGLSANKDKKDGKRDNKDKDEAEGDKALSHVPCRFFKAGAC TAGESCPFSHAAPDAAKREICQWFLKGNCKFGHKCALAHVRPGEPMSMDRKNKKAAQL EARERGDSVGASSAPPPAPSSGAGTGNTNGLGESPRPLGIMRGRKNSTSPGEEQVASP VPIKSALSTSVQSPQTGRLPSSPLREPFGPPSGALPNSPNSAGFAHPRGIQGFASSPS RPSPLSASFGASGSVPGPLSLKASSSNPLGSPLRPPVTTAPGFSSSFSHPSLLNQAHA SSPTVPLSASFAGDNNSNLHKSIWTRSDTPDEPLSPQRRRPIPKPTKSNQDAVFIDDE DHGEDFLPSSLSELLTPRERARRMSRRDSQGQEDFASASPSASASAGRYGNALWGNGN GYDHGRLAQSAGPNLGPNAGGFLQSLWSAEGEDARKYQGQGQNGEGNGNGEDGKEGFA FGPSTAQQPKRQTSLLTQQRSPTSPTSPNKQIPSPSAIRNQIGDTYLLRNQSSSPSAT KVLQEHLPGQSLPGGLASALSRLHMQNAVSNTSGRVEEDDGLELEGTVPLPGKKVGNH QNAHDGHGHEEEEGLFDMDG L199_003129 MPGALREANVSRYLVGISLLLGVVLLWTASNFITAGLETGENSY NKPFLITYFNTASFTVYLLPTLWRKYRPSTSNDPHHRRSPTSHGYLPLPRSRSPSRTR SISSSPRTARLSLEAEPEPTLQTGLQDYYLSPISETTVEVLPRLTIRETAEIAAWWSV VWFVANWAVNASLAWTSVASVTILSSTSGFFTLALGRICGVETFTRTKVFAVISSFIG VLLVTQSDSSLTSSSEPGSLPSHPIFGDFLALLSAAFYAVYVILLKVRVVDEERADMQ LMLGFAGLFNTIALIPIFPILHYTGWEKFELPPSKEAVIICLINFCITLSSDYLYVLA MLKTTPMLVTIGLSLTIPLALIGSTSIPSSSSGAITLMSLVGAGLVFVGFGMLGWQGY EETRVVERVVVDRDFDQEED L199_003130 MGVKDLVQWVKKTHPKAITHFPNRWASPEFKGKKVAIDATLMTN RYHFASRDGPFKGKGEIIGWYNLISEMRAYGVKPIAIWDERGPREWKAPEAVKRLTAR ANHLARRNHELDRASRLDQFKEVLTEFQTMTEEEKDIIRGLFVTTRFAFQRPKEDEQP MDWDEPRPYEIPPTSSVSDESSAPSPPIQDIPPIEMVPPPIAEIPSSAQGIPLPIGGV PPSVQDQPPPKDHSTPSPPPIPPLPEGISSPSKASQLSSTLSEADSQVIDRVVSMIDS LAPLIQEYRESQRRGQVGSKLDSEDLDLSLNGEIVEMEEDLREWIPSKSSSGGDDVKE EKVEELDSVLEELLPASNVGESPRQRALTIEEGEIITQMLSSPPLPPFKESAPERQEE QVYPTPPSTPEPEEEMKSDPMTRLDRLIEQLPSTRSIYERALDIPSAGDHEDCKELLK IMGVPVLEAKIPYEAEGLASALAKSGLVDYVGTEDSDVLAYEGPLLKNLSPITSSLSL ISGTNLRTLTGLSPASYLDFLILLGTDASPRIPNVGPVNALKYIRAHGSIEKILENEP KVLTKLEDDSIGRERFLELVNNARKVFTELPPTFQWGNEELEEKEWDEREVERFLEER HGIQLVDRESTL L199_003131 MSSSTFRRLTSRSFPLLTASALSFTLYTVHTHYSPLLAEAVDPL SSPIIEKQKSISAVPPPFSTYGWGSNKNLTLFPDNQVANVKKPVPLTQLGATPLRDLV LAEEYGACVDARGDLWMWGKGYDESGELGRSLKGKSLKSLAPAASKLYALSKHGQLYA IPSSKSLQSDRQDKSTQSWWSYLFSTDPGVDFVELSASGGGLGWGERWVDVSVGRNHL LAVTNKGRTFSLALNEKANSHRQLGTKQEFEQPSIVQTILGVEFPSSRDIRFQTTLQE IPSLKGIKIQQVASSERTSFVRTDSGHVLGFGANENGQIGLGSTSSVDIIPVPVEVVL AKCYPSGTKIQCTDVKAGGLTTFFTIKRFFPGRQGHFIDVLGCGSGISGALGTGMYTS ATGMPVRVKTISGLQEYSEKAQTFLPIGIHNLSISPSSNTHVYATLDTVSLADAKGVK EGKYGKDVMAWGANVDYQIGNGKRSSTAIPQHLPPLGGKPSAVPSAGLIDALSGEPKE EESLSSGTQSPMPHSRLQLHIKKANAYDLYGNLIKRKVKCEETMVAGYNASVMYNKII D L199_003132 MSLPFARSAIASTRAVVSRTGRRGFTSSSSRRSESLFVHRDTDY NNPSIKFEFTPENLKTANEIIARYPPQYKKGACMPLLDLGQRQNKGWTSISVMNEVAR LLDMPKMRVYEVATFYTMYNREPVAPNFVQLCTTTPCQLGGCGSTKILETIESHLGIH PGQTTKDGKFTLIEVECLGACSNAPMMQIGDEFYEDLTPETTIKILDTLAKGEKPKPG PQSNRQTSENSAGLTSLTTKPYGPGEFCLPEFQ L199_003133 MSQPPTSVPTPTPSSPPPPSESFLSDLDGTAPSILDTSFGEPSY DIGKVDGGALNLVSWKLDVNARSEDGVEKRGFNAISTVLNHPTKKANPLRSTRKPLPP LPFTPPILQKPPPPSHYDPYLQSITPLYDAFIASQSSASSSDPDRIKSPPLGDLPSLD GIPSMFFDPQFNLSNPSTWSDLILSSSTSSSSSNINLSLGDSLPDNVNEGLQDTLSTY LDILERHLILEISRKSNSFFSALTNLQDLNSESNSCLENIKQLQDSLKDINEKQAQKG LKVIEKQDDLHNLKIIDKNLGYINELNEEVGVLRRLVDEEDWSGSLSYLEDIVKWWNR FNLPSSKSRDQEEQKEKEILPLSTLPALSTLPTTINDLTNRIATQLENALSAYLLSIL SKENEEGLVKSVFGTTVEPILTGLVRCGKVDLVDNVWRDAVTVSIREGSRNHLPVGQQ EEEDSDKPPEARGASLAQSLQAMDHSSFLLLSTQMYGSLISRIKLSQEVGGEIKRILE SIISLPKLSVSQSPSSSTSPAEPNIPDLNDAIVSGCELAHTRASKILAVRAEQHASLG LEEFVEIFKENWKFILNTEKIAGKMIVGLRGVTASQARSFLVTYHSIRLTKSAKLVEE EQWTQIDVLANVQHVVNLLIQSAVSDPQECTIPPPSQPAENGGASEVSTKKQIDIEDK SYFVVKATSESLVLLGDYLKIVINLELVVTDVMSRIIEFLKSFNSRTCQVVLGAGAMR SAGLKNITAKHLALASQSLSIIISLIPYIREFVRRHLSPKQAVMLTEFDKLKRDYQEH QNEIHAKLVAIMSDRLAVHVGSLREIDWEATPSKDGPRPYAEMLVKETATLHKVLSKY LAATTVDAVMSEVISAIVHRLSEEYDKIEFKSEEAKKRMLQDVALISIRLTPLSESGK EVSKLENLVKEKPTPRKPIGQAMSGFLRRNGSQKGPSSVGEGVEDEKDQDGADDEVDE DGAGLTGSAENIEKESETKSDEVEVNGGADVVGEKKENAIVEGTVPAEETMEPPGDQL ESNAPTEDVSEVPPLPEKGEENTEEAPAIPAKETLSPELPVKDNEGSELPTPAPTSPP PPPGK L199_003134 MNNQGPPLLLPLTAGEDDPADHQNEVKGKKKWYHPYSILGFLLD NWFLIGIGVSIVLAWRFPNVAADGGVIRSEYSIKYGAIALIFLITGLTLSTKSLYVQL RNWKLHLFTQLFSFLFFPAVVFAIVNIVNASHGNIDKYVLAGLVVMGVMPTTVASNIT MTRAAGGSTESATIEVCIGNLLGTFITPLLCSLFFSSDTWSFGKPIAKDGGGSTADGL KEIYRQLAKQIGLAIFVPLFVGQIIQNIFPKQTKWVSTKFKLAKISTFFLLLLIWSVF STQFRAQAFEAVSTSTIIFLVFLNLGLYGVFTLLCVFLTRLPFSRPSSPSNIHVNTGI SSKRNFWRRLVNIQSFRFNKRESTAICFCAVAKGMVVGAPTLSILYGGFPEQQKAILS IPLVLYQGQQVAVAQILVYFFKKWNEKSDTYFDDDAPSLEVKDSPRNSNTLQENRIAD EQQEKA L199_003135 MSSRYIIEIDDSDSDVELIDMTSRSTSTSSSTLRSEDENHKKRK RESAIEVISSDDDDEEEIRSQLRELSVQVDNVGSDEKQKATLSSSFGTTPFKRHKPRN PNQPSTSPSKHKHHHHHSQTQTATTPQPNTKYHLTWAKDAKPIHAEKQSIYGVDGFEE KCTKIYRKGLIHHDNVEFSVDNAIVLPHHPPLYALIRSIFALKFDDVSIKDKHFAHVH YLKSPNSNVSGSRAGELYLRNAQCQDIPIKQILKGKKLDFELTGGIKGKRRGNSKYFC QFVDQPSLSTIREPMFQDRFDRCDSCASRHQKHNFDDPRLINDTLHLGDDEYHLNDFI AIDTKVRGRPFLIGQVHDWIKDNGGLIVRMRRLERFSEVVGDNYDGFVSNQRLVVTDE YDNHPVKLIMVKVYVFLSEEDAEHVKRDISFWCSERITDCNNANTITALQKPLRVCST CLESAKQQRQDLEDCLRACRFSAADYYSGAGGFILPGLNIFEWVSATDTDKVACQTLH HLKREAPQLSVHYGKVSDIYHYTTSHDSPTNNPKAFPSPGTVFLMTGGPPCQGHSRVN HANNPSAGKIPDPRNDELWVMLAEVFRLRPYVVIIENVSAFKDDKSGNAGVPGEDNYG RAAMKELSQNGYACRLGIIDSRSYGTPQNRLRTFILGVRVGLPLPDFPAPTHANPKPT ATVFKTDKAGSLKPFYLGSRATPGTGLHLAVTIKDAVSEFPAFEYLPPPGISRIPRRP QIPAFDGGRNNQQGNDTKVGFAHRIRYSSTALNDYQTEKRGDATSLNDHYTSYVTDGA KKIIFTSARDPKSQGCDRRALYSEGFSTLLTNSSPGQKGTAVIHPSQDRKFTIAERKR AMGWPDWHRLAGTPLDQDRQTGNGVCFESVQANYMALVKEIILPWWIEAGRPTENVFE KFKMEHP L199_003136 MSSSSDWQPLLPTHSSSNKPHINHSSSSRLSTTRISFPSSTTSA LGSSDINDDDQTLVSTSPQKGKRTHRAWSGSPLTSGEGQKKPGLPKLSRECLISEIKC YGSYMLPPLLIFGVLAIGISLLIYGWKKGWFK L199_003137 MEGLRRPRSVVCLTFGLLLGLTIVSLHLSPTAREYASDKLPPLS IPKIFSKPSSPIPPISPSPFSPSIHSTPIDTSPQSTSPDPRISQTRYLGGVPGHQVFQ NLWIKNQTFYVLNTRRKTIPGMSRVVSGDTLWEVVRDPEDLEMRGAEDALVLEGSTIF INDGAKTDMWHFLSSYYPFIAEVFLGSITALASVPAPMELVEQVRPGIEVPKLPKRVV IPWKAAEGWRDEEGMNELVLKGVFGEANLIEPYHWSMLNDESQSHQGWIFLERVVIAD RWASHRHNPLSDSLNKMAASIFSRPHPPFFFTPIREAFLSHLSIPLPPNRLDPQRALK GLPKIVYVDRQNTDRKLSPEGHRELSVVLGEIESIGKATVGHKKLGKLGGKEKVEAVH DADILIGVHGDGLTNQLWMPEGGIVIEIFPPNSWLPEHQIVSDILNHEYIPIWNDRAI SREEWDTFPRQHGEHLLNNGEDMPLDGTFLRLLLEEIVQRMTGP L199_003138 MFVQLILLTFLASLIGVTATPIEGRKNKADTNSQAECRNFYADV YAETPSNFDLKSVIGEPPENQQALTEQIISFFAAGSTLPFQIFTAPTIEVKGSYQLWF EYCRPKSGVVKGIYQAHHGLVGNAGYWNVQADDYKTYSFAENAAANGWATLSYDRLGV GRSAHPDGSDIVQMPLEIQQSISIADALREGSLGDIGSFDRIVGIGHSYGSALLTGVS ALSPSTFDAIVLTGFSNNVTEGPLGLFSFQSTIVSVAYPERFAGYPNDYVITPSQTND QIEFSHYPNYTQEALDSFTDTKGEFTLGQQYSVGFPFTLNRENYTHPVLVVTGENDGV FCSANCYITSLDPPATQLDTTKVLFPSVNNFQTVVINDTAHGINFHRTAKEAYERIFE FVDDLGLGVSQ L199_003139 MPILYFNGKSPQPCSPPKSHPRDPHSPRIIDPSLILPQALLGGE GPIEPLHWYDPSLTPEEINKRIWEGWNVEMAYGEVVARGKECKMQVVLSFQAALEDPK LIKHHYIRAIQYHEEYVKCELIKMLSFIEHSLGPKSTLTSVLLDKFIKKKESGKGTIK GLEDSVREGEVWKGKGNLAGKNGQHEQAIRHYLEGLISIWPYTSSDTSMNYRKAYESG LVKLEQALLNNVITISLSHPSKSGPKRIMFDNIARVTCEVMLEFRYLNITNLRKTFER LAQLDEREYGVRGKQSVYTFMDDLFRGKEGDDWAHRNVYENMSVMSSGKYVRLRIGSI STS L199_003140 MMYRTVLAGRRAPVSLKPIVSARLYATDPHPTSPPPTPRPKPDP TSPPSSGPGGPSGSGGMDTPSGNGLLYVGAGVTLIGAVYFLFGGSSTEAVASRQVRSH DAPLKQAELYTKGTVDRVEGKAAELTGKAKGQYEEAKGEVKKVFK L199_003141 MSLKSPQSPYHDIPPVAAIEDGGPPISPGLVEKPHRYRYDVVQG FFIQNGPEPKHMEFDEMLKRNFGLIDKSPERWDNLRKDVMKLQDDAPEGVLYKVMFLG RHGQGWHNFGASKYGVDPWEDYWTFINGDGEITWGPDPELTPLGVSQAQAIQKCWKEQ LPYNPPISSQEMRWYVSPLTRTGQTMLESWGELLTGVPEVWEDWREIYGSHTCDKRST RTYIANRFPTFKIEEGFAEEDELWKADDRETDAHMQMRAQRAMDRLFGPDGAKETFIS VTSHSAILRNLLAVLHHQPYPLATGEMIPVVVKATRLSPEEMDNQEEFTITRVRMD L199_003142 MFTQSLRSSFASNLRFLPRTAPALSPSLYRPHVSRTIHTTARRF AQYERFPSGYSPSPGPNGRGGPDIWQYFKRRLGGDRAVWVYGIGVGGAGIYYVTHLER VPETGRLRFMDVGEAQERELGRQTQLQTLSEYSQAVLPPNHPTTKRVRAVATRIIESS GLGRVKSGGEMGAVEGKVPNFGGGEDIGEILFGSGEAGQEAKEGKETEWEVYVIDDRK TKNAFVLPGGKIFVFTGILPVSANDNGLATVLGHEVAHQVARHPAERMSSMKVLFALG FLLESLGLDVGITRLLLTFMLQLPNSRKNESEADFIGLRLMSKACFDPNESTKMWQRM SESEEGPGLPTDFLSTHPANQKRIKQLEKWMPEALSIRAASPCGDTSSYYSGFLDSLN PASPYHKGIW L199_003143 MPSDLSYWLIATPLKDGDPNVMLNEVSNAIGKDVEVATWEIPEL KAGTLSSLLTLSDALPKLDSQFTSTVSKLLDTLRSLVSDDSAKVAQHARVNDRPAEEF LLGGGGGFRWDKGRWGSGGKVLEVVEALTKEMNSIDSTQKQKSQSYNLAKGSLTTLQR KQQGNLSQRSLLDVVNKNDLVENSEFMETLIVAVPKNLQKDWADKYERLTNMVVPRSS QRIATDEDYFLQTVTVFKKVKEEFIHKCRENKFIVRDFKWDDSALEKQKKELAELAIE EKELWTELLRLTRINFSEAYQILAHLKTVRLFVESVLRYGLPADYAGVIVKPEPKTAV KTLRSLSDHYTYLASASRGPSTKKTKSGGTTAGSEDVGGEWASVMEAEYYDFVLFEIP KVIT L199_003144 MAEFHFLNKVQPPQVTSLNLPPNHSCNLVAKRKIVVRPNDLPIL QPDGVLVKVISTDEYYLLLFLYAISLSLDHMRFKLTYFLCVLYVSAVPICITTLQVE L199_003145 MGHESSGEVIAVGDLVKSHKIGDRVAIEPGLPCRRCINCKEGRV NICLDMRYCGAPGSVGSLSRYFTLPADMAPHIPDHVSWDEAGCIQPLAVGVQIGKRVD LRPHKTLAIFGCGPIGLITAAVAHAYSARKIIAFDNNPDRVEFAKKYISPLTGKPIID HVFLIGDLPTESLNNQPNGNGLAHKLAEDGSGAGIGDGEINDHEDHEETLGDRKWEQA KKIAAEFAEKAGLLEEEGVDRVVEATGAEDCMLLGIAIAKQGGTYLAVGLSHIQTNNF PTLAVSNKEINVMGLTRYTASCFPSALDMLSRGVVDLKQLLTKTFPLTQATEAFEAVA AGGDMKVIIKNQEGFDN L199_003146 MSDAEKYGDGGDTPVPQLSGAVTPMEGNTGPALGKGSVDDEVLL AKLGYKSEFMREFGNLETFSFAMSMTASIATTFTTPLTYGGVASVVWCWLAGSIMNIS LGASIAEIVSAYPTAGGLYTASAALVPRTYRPIVGWVTGYLNILGQVAGVASTEWGLS GMILGAVVVCRETYVIEVWHQFLLFCGLLVMHGLLNSLPTAGLARLTRYFVFINIGSA LIIIITLLACTPRSEMHPGSYIFTETINGTGWPSNGLAVLMGLLSVQWTMTDYDAAAH ISEEVHRAAIAAPVAIFVAVLNTGAIGWILNIVLCVTAGDVSELPGPSGNAFLGIMYL RMGKAGAMVLWSFVCLIAAFTVQTALQANSRTVFAFSRDGAFPDRGLFGRMNQTTKTP VIAVWVVVAISVLMGVLAFASLTAVNAIFSMCAVALDLSYIIPVICRRIFDGHEEVNF TPGPFYMRGWGLYVNTIMVVWTLFEVTILAFPASWPLTWDTFNYSAPITGAVMALSLV WYFAAGRRYYDGPKSNLSTEQKAAIEPEL L199_003147 MPWSTWVNPQSSGGSWEKFKSKRRNTNVLIISGCIFLFLISTYL YAPGIFQFDRNVVNEIKLDEPTQVVGGLPGFYVFEELWYRNGTFYAFRENVTDVPLPD KDKIISGPHDIISQPLSAQEELMNGEIGQIRYFNVFLNDGADQWKWSYLNWFYHLAAE ALMGGIASLALSDDMLEEDSPRLMIPWEGSWKDGYGINEGMIRAIFGNDLVEKDQWEQ WSVSRDWIGREKVVIVDRYASHRHNPTANEWNKMSLPIFEELPSPPPPFFAHYRQKLL RNLHLSQPPVRAKVGKALDVIPKIVYLDRQETNRKLSDGDHTGLLGVLRNLEKDGKAE VGVPHLSEMGFRNQVKAIKSADIIIGIHGNGLTHQMWMPEGGIVIELFIPDSFLRDYQ VLSQALGHRHFAIWNDRILSPSEWETIDGQLNPTIMHNGTLIPLNTSCIQTLLENLLV GMN L199_003148 MYKYHYSYLGSFDHIRRKGFFTLRNVIWCILVFLGVTTLFYWSG YDLTQIGVDSQHLNLEIIYDYHSDTNTVTRPQTRCHGEGRIVGGVPGFYVFDKIWYKS GEFYIFTDQPDQITLPPLTAISSGSNPIHIRPSSESPVHHSSKQRKPHKQRNEVMRCY LDETIWMNIGVSSFSAPLDDPLDPLDPLIEKDGLSRRSRIARRFNWEFHHYHFLAESL LGGLASLDITRHGHGPASILQEEKNWLVVPWEEEWKDQYGLNEPIVSGLFHNHFVDSR KWNETTFDGNWIGFERLVIVDRWSSQMHNPQAIQWNKMALDIFNLLPSTSSSSSEVDS IFSPYRVKFLNYMDIQSLHRSKARTALHHIPKIVYVDRQSSSRTLLPDDHEGLLEVLD QLTKKGVARCVVGKLEEMNYKDQIRLFADADIIIGVHGNGLTHQMWMAPGGIVIEIFP PGVFLRDYQLIAQVVGHEHIAILNSRIYTRQEWENEPGKLLASHPNEANDRNITLSQG FIEDLLYLKLTNYQVDVAS L199_003149 MPTLAIRQDPSSTTTSNTSTETSPIYNTNPGGGSTTLYLFTFLI TILVLGLISSGLLIRAYILRRRFHRRVEEALRRGEALPPDAAAALGLIPRRPNARNKK EKNHGLMPTMWESEMWRDDEKAGLRGMSDERDGEGEGEGVVDKEGWDELTPLSILHYT TSDPTLPPAIQPPINMPPPLTPGSYFRSLWSTRGLTTITTTTNTSQRPGLTHRPTSML IPTQKPVFSVPDVGEDVMVGVMIAMPCQGAMEDRWNITKIHDEDGDETGRELPDVCLG VLGTRLKE L199_003150 MASVKIFSLAVKTLAKPIANTIKSQAAQHDTFKNICIGLAQRMH RTEARMRMGLLNAESGQIKPLNDARAIQNGATTLAETFLFLVGAGLIVGESYRSSRKE EKRRDAVQDRLNDLENEVKRLNDELDGTKEGGWREGIGEIRERSENIERILDTIVNNG LKAGWISLGHKEQGEILPLLEASRAEEGLSSSAFHIQGSDSGIPEQ L199_003151 MADFVKLSIFGTVFEVTTRYVDLQPVGMGAFGLVCSAKDQLSGT SVAIKKIMKPFSTPVLSKRTYRELKLLKHLRHENIISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVAVDIWSTGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPDDVIQTIASENTLRFVQSLPKREKVPFATKFPNADPLSLDLL EKMLVFDPRTRISAAEGLAHEYLAPYHDPTDEPTAAEVFDWSFNDADLPVDTWKVMMY SEILDFHNLGDISQNEAEGPVVGEVPPAPAS L199_003152 MTSRLAPRLLASASQLLSCSKIRPMPLIRHQCRNRYQSTVSTPD SYKINGLTYPKDTYSNIPSSILDKLDRNLHLLPSHPISILRQIVEDHFASYTPLVPSS AVVSVHQNFDELGFPPDHPGRSLTDSYYINNEYMLRTHTSAHEVESYKKGLDKWLLSA DVYRRDEIDSSHYPVFHQMEGTHIWQNDDLHTLPALNAELEERLKECNILIEDETTIS PSNPYQPHHDPIHAEQITKHLKHSLNSLIFRLFGHHASKAGAEPLRVRWIEAYFPFTT PSYEVEVYWNGQWLELLGCGVVMQKTLDLSGVSNKSGWAFGLGLERLSMVLFSIPDIR LFWTSDPRFSTQFKQGEISTFQPYSKYPPCYKDMSFWLPTSVDASAKEHGAAAAGGKL PPGGPGGGEGRKKTFHENDYCEIVRDVAGDLIESVTLIDEFTHPKTKRKSKCYRLNYR HMDRNLSNEEVNELQEQVQKRVVQEMGIETR L199_003153 MSEDDWDLDAYHEDIRTAYHQLRVIYPSLGPFRAPRANDSETVK SIFNNLREFMCNCPILFPEFVDWEKELLKGVKNGNRDNQDTGKLKRMIDPLISLSHSL KRKLEEAQNDYDGLGEIFNDFNGEVYDERGVNGWMRDSNTVNPPTPTSNRTRNNSTKS SSSKRSSDEFEETTSPKKAKVTPPKTTPLQVNPFVSRPFTKTTSEPKAISNDHRPAFH RNAVFPKIFPHTYSTSTQSTEYVEESLTLSRDTSSTTLTSVTPSTSVSTIPAALPTRP ASLPIPQAGCQNGQSPAIEVTTSRYTAMLNKLKVKFHFQWELERMISQHETLTWEQIE IGDLHEFRGQSSLDMVHLVDEILRGAETRLLRSDPIDATRREISERKAMLLTEVDREE VSIMANDLRGVGNDSVDWPYGGKIQYTLSVQLASRGEECTELLPERISPSQANNPYRA RKVFERTHSAPSGSTRDQTNPLHPHTHTSVALSESRSFPFKFELRPPEMPGKSFRLAR RFGSRRCISLKTADIPFGKRKELQNMLVGRRLIVLGRPYRAFWAAADGENVMLVEVPE EGNGIVTKGREDEPKMPSFQELLYLYNDLNRKPKQAMAKWAARPQILLSESVPAANVG FTAIQEIPDIVTWNAQRKDSPSTEEVLTDGCGLMSESLALRIYQHHSLTLSNGRPSVV QMRVGGSKGLLALMSHDQAAQYPGKDILLRDSMIKALSASNYEDDPSLLTVDVLKCEV LKIGANISSEAIIAMVHNGVPAQVFVKMAENELDALRDAFLPSQLEGESEDDMFTRIF RSCYGIGGVGMGQKKRKTRQEGKSLRAAGLAKGHWNDEDGNNDDDDGDPMTVNAAERF DVDPISGQPNSLCEALMEAVVSGFNPATSPYAASKLHHVMETLSKKIVRGFKIPVQQS LTAFIVPDSLQLLAPDELFICFSGKGPIHETTQIPIPYLEGEVLAYRSPCKVPTDVRK LKAVFKPELAYLKDCIVLSANSQLCKRSPASYLGGGDYDGDTLTLFWNQEMVQPFKNA EDHFAETPETFVEDNFDKSVTKGTEFLESIEGLSEDEKIARMQEWLIGGVTGDELTGI YSGLHENAVYTLGYSHPETIRLARMFCHVLDARKSGLRVKPGKLIEDKKRYGGDLDWK LWKKGDDAQIERNVNLRKRGKGLGGFIMDKLKIQGEGHRVRMMGSFVREPDTLNDEDF KELAKLWKDVKRDPLMSYIPDWQDQIDQMEQHVRACSDIRQLIVQGRCEDVQQTYQTI LEGKEPTPKNRSGTCSPTKKPSKIESSELALERLTKMRQLALIWKENPEVHDAPLLFR WNVNELKLSCLAGMVVGKKPSQMSPFDFDFTGFCAMQAKSNGKDFKVALASIHANMKP VTKIRKQS L199_003154 MLTQITSLICLGSLVAAAPSVQPIKRDSGTYANCRSFYADVYAE TDQNVDLKSVIGGPPANQQVLVESSIQTFAAGSTAMQQIMNANKIPVNGTYNMWFEYC EPKNNNGNISGIFQTHHGLVGNAGYWNVQLDNSPNNSFAESAAEAGWATLSYDRLGVG RSAKPDGTNIVQITYEIAQSISIAQALRAGNLSDVGKFDKIVGVGHSYGSNLLTGVAS VGPQAFDALVLTGFTNNATQGPLGLAAFQSTIASVAYPSRFASDANDYVITPSVSVDQ TGFFHYPNYTNGSLDFFTNNKGEYTLGQQNSIAAPLQLKNNYTSPVFVVTGQYDAPYC AANCYVTSSSNSNSTTSAAGNGTATSTQPSQLDTAKELYPSTSTFQTYVVPDTAHGIN YHTTAYQAYQRIIEFVKSANV L199_003155 MCFTAIVPTELRFRGRAVAPSGFKFYSHPHSSFQKNSERQSSPS FTQATLRFEKYLASGNIWDFWLCHHSTYGKVVLKVVDERDPPCINPYYDEYIDSLEII EHALQEEELLMDELRDLQGIVVPKYYGMYQSETLGPNLDIPYLAMLLEYAGNPLGPGF IDLHEDWLNKVYEAYRAIHTRGVIHGDICTRHVLYDEYGRIRLVSFRRSDSVDMKNFM DVHRTVMEAAIVRTRFGFHEPESLDMSKEVPDYAAQLDDPDKFIDSLRKKEQTNIEVG SVSE L199_003156 MSAYLDEQTPHLRSLHDQLSLPPEVLQADLARIDAAIKGVITSI IREREAQVDTLKDEIAQNKRDVSSLARAVGDRGRDIVALSRTESFDNDTLPKQLESLT NQINELKNIYDERLRHIQKQQATLDQLSTLLGPPFQPSKPLQPVASSSKQTLPSTSAP VGEVKQRSSGTTLAQQIAGGKVPSTWYDVGESISEELNEAVTKALAERDSRRKNLCQT LFNLIWLHSELALPPVPISSPHHFPPELLPSHEEEETPGAYTTYEKILHGVIGSNPLP PGECEEWGEIEDLQGMENVEPEIGLIEWSDELTELWNTRKEEHEARIQELYNLVEPLW TRLDVDQATMDLFVDMNRGSGEAVIKAYEEEYERLLELRRSSLSSFIENTRKEIDALQ TELMLSDDEKAEFGAYIDDDYTEELLHLHEEEIIRLREEVESKSNLLPKVREWHALVR DEEELERSANDPNRFKMRGGAMLKEEKMRKRVTVLKPKIETELLTMLPQWEETNGRPF LVSGERVITKIEEEREAKEAAKEAKKRAKQGLAPAKTLPSRQTPAPSTISRPTTSSKN PIKRSAPTPTPMTNQQNKKPRLIPGTGTSTMSSSMYGSSIRPMRSVSATHSSNRGGGG GTISPTPYMNGKRVMSHSSATMSISRIPSITAGAGKGQLMMGLGKNSKNGEVISKKPR MSFKPRPSVFPAMTNGGVNVNGGWGLAEEDEDVF L199_003157 MSLSEEKKKALQQYKNDDGHFSLVRNFRLADLITIMNGVCGTLS ILSSARYLILASNLKTPPAEAISTLYFAHLLPILGFGFDALDGKVARWMGGGSMLGQE MDSLADLVSFGVAPATLAFTLGLRTPLDTLALLLFVSCGLARLARFNATVALIPSDAS GKSKYFEGLPIPSSLFLTSLMAFWVKKDWYAGGQHGLSDVPFGKVLLWGDKGGYGEVH VAAAIFAVWGAMMVSKTLRVS L199_003158 MYKFSLYLLWFLGSLILPSTFVYAATDFYFQWPTNTSSCQAVPI SWSEGKAPFKVWIVPVYGQPFFYDISDSYYSNGTGSTEILLQLAAGVNYVVVMSDANG LATGGSSEVQTVQPANDTSCLTWASSRSESLDFTFTVSGQAVQCQRGFEMSWSGGLEY GPYNFTVIAMDQSFNAYDVTLEKGVTSQSDWVLDIPANSRFIVMMNSGRGYGRGGTSG IYSVSDSDDSSCLQADPQVTGTWPSTITTGTLDPPTLPITQSASDYKKSGISGGAIAG VVIGVLAFFAIVGIILFFLIRRRKRRNQGQSVGKLDGSHIDLANDDDGNNGPNRNGMV EPYREIGSFLPPVGHSQSPHVSSSHSHLSDPADIAAMGVSNTNSTSNIGTQGHQHSSL LEETNNPSSPGIAGPLPSKSAVSTPTSPDNTQPILPYSNSSSQLQNQNQNQNQRSGSD DTSSSKPSISRQVLHAFNDRPPQAGPPGGMRVTNPENPEQIPTLPPGAMHAPAHSRAN PPRRRQEGPTFRRHADAGRFEEEIVDLPPLYSEVPRDGPGSGSGTVSPSPTDPYTDR L199_003159 MQRYQPPHARQGQRNGQVPTHSRSVVHNEHQAIGANTNTDTDGN LHGGRYNDGMGSNNYVDPRLGIRNDHSYTNTPRRNDQQRASSSYPSRYANSDQSGPST YTYKSKKDWATIHSKKNDGVLASPAGDIKPMRSSKSKKLGLDKEGEDEFDFELVQSVS RSGGDGKQGDMLKDWEIQERYRVFIENKITKHHSTFNTTYHKAPQSEARDEIESLGSI VLLFRKLREGVVASGRIDLFAIEVFQSSARFSILANNRPQLISSLSGLVPGLHNALDE QKGQREVDHTSRSKFHAQSGADLTQQLKDLNIHQEEKKERRKEFVILFLLYQLVIIGE NEFWSNYQQLTQPLEKKRLRRHFDDISNTSTTNDSQIQDQSKRNNTTESPFISPSSIT LAVNIARTISPDRFDPIRYFQITNTRTTEYEKVILSWGEDKIRQRAWEELRKAYMTCS IGWAGKFLGLDEGEVERWVDERGGKVEGGMVRLR L199_003160 MTEPENRNKNLPDKATDKIDETVISDGKWKRRFGFIGIFTLILI TLTLQSLVSLSTAIIHPLDLIHAKLVPGKGDGIPSRISVGGSGGCMWFDDLSGSPAKC TMGIHFHPDPESLSLSEETDTILWALSARIGVWRISNFLATGLLACGLISFVLAIRFR RIRTLTSAIFYPATYRSVIWLWVASTILVSVTSCLFAWVFEPDESGSAETVEEVKEEE RQTRRRTVNDNEV L199_003161 MSPPPPDIPLPHQLALNENQDGPSSSPFFSEPKRFLPSKGQVIR VLTLTQNTSAMVFSIFLVPHLASPVVASVMGIEGADKTMMISRDLYLPLEPYLIYFPL TLHILSSTLRRLLIIVPSSPASKSSWSMKSTKSRLPRQLHQIVGYPLTILLIAHILSH RLIPSRSESPISELSPSELGYEYVGYNLQNVLGWTSYLGLVGLGVYHGVVGAWKISSW FGGSRKRKIMKEESTDKNKITGKEKNEINGDETIIIVKHPSDSSKPSSKSSRSQINGL RALAVALVGAITIGLYRIKRDVDVSGVSQIMKIRYDAIHSSVPWAGIWR L199_003162 MPRKTAKRSRSPSSSDSTATPPPKTKKPRTSKTAKSTSSIRRTS GIKHSSTGSEGQGEKDDPDDAGSASSTSSSLQDQDQDSDEDEDVMKHSTSNSNSNSDA NMKHKKEVIIAMVNRMDPKQLKRILEDSAKYLQKGGTDLDDPEKDDAGDVSKVRNIWE RVMENWDDSEEEDEMAVVEEAEKLANALAAPFDKLQAQSTSSLNEVTYTVNQAFQNAL EPFKNDIILEQLKLCEAAQAKLAHKTKERWDIAMAHMAHKKQKFQEIMRQIHAINEEK KQLIEQAKKDFDAALERQAAEIEGFKKRIRESQERCREQLVKAQDQDRINKEVNMLMA KFLNGDRT L199_003163 MSSRANKATMHELKLRRLMEHNHRLREELARPRVMVSVASLNLI NHCRSTKDPLIPSLWGPLQKGEDPYAPVEQPGCCSCELVFLHLCSKGYY L199_003164 MPDNLEYEEEEDDLFGNDITQDVNTAEPIATTNLAPAPAPAPSH PSSSSITNKAGPSRISSTSQAGPSTGRVYKNVDDRLRELQDLRRTGRDAGSRNLEMGA QSLRDLCMGVIKTNSARIWDIGDLEYPLIKPLIDEVPIEQLQEIESNSPHIKKDSDWL YEIFLLQDYPLFHERCQDRHGEPRTSGWRRMYKKAKEDFHVRQTQAADRVAARYKQLE EEKASKRIVVMDRIIPDKKPTKSGWGRGTGGLSSAGRVVPKPQSAIAKARLEAQRARV ALTHASGRYIPPTPQRRTNEPTQLFKNPYLPNNQINAQIPQGPRIPPPKRSTQSQYQD RRVLEPSSPVSPIPGAHPIIRESLPSHLKDLTKDSEGMTKKEERFKIDRPATKFKEVR RPVGEKFVVPELEKEKPQGKGIVMDFFAPPQVALQSNTGMGVGTKRKERAEETNGEEG INKKQVPSTSSPNRPNAHSNNQPHIAPNNVSRSAPSHKPVTAAPSDNGIGMNDAKINS VLFRKKKVVKSK L199_003165 MASGQSPSKGYINAPPGAQPGMSATTTAAPSERRVNDSENSSLT AVDDHQHNNRQMIPGLSLTSSPSSTTNHKDGRTKYEQWYPGDASHNGRPAWWNKWFCC GYGLGCLLCPCSSWNCKHERS L199_003166 MVRNKNPQANQSKEPKTGEQTVNKGKGKAKQDSYPVIPQKDLFQ RINFTYQASIFLQSLGSGSSASTSTQGLNSGRGDVELKVDRKGKRKAIEHEIPSSANS YDLEERLLNDGQDVEKGATKKLRQLARINVREMNSMSVHNQLKLDPSLKQSLCKTCGT ILIPGLTSRIRNRPNRNLISITHHTCLTCLSSLSIPSPPIPTYSIQDATQGALGDEQL DGPVRRNRRRKASKRGKIVFHETERSTTDGDGVGGGHTLWKGDDKLEGWGIKLNEAGN Q L199_003167 MSTPSRGTGNSRDPIALDSSDEDRPRLSQAGPSGAGARPGRSSS TTRRGILSQSSRFVDLTLEDSGSGSDSAPPPSSFKPPSSSSRSTRQLRRSIPANTYKD AIDLSEDQPMAGPSRQVKEEVMGKVGSWLNPAVYDPNVAKSRIQPEEAPSGSWLNPAT YVPPPSSRAISPNTSMIQDGSWLNPTVLAPPRADSPPKQDFALPHSWTDLRSLSSTPG PNILAAFAPHRAVSPPNQDFALPQSWTNLSSLSSTNGLNTPAESLQEIFIAGPSTRST NIPESNSADHMEYRIDPSTAAQSKSVGSQSAPEPNIEPSTSEREADDRVAGSWLNPVT LEPPQPIQPVSESESGSWLNPATLQPNITHKRSPSPTELVREEAKSRKGKARKIDSPP TVVEPRKEEAVMDSPKAIKKGPKWVTDEQPSPTKSQPVDGSKQSGKGKDTSPPPVPMT QRSTSQNTVEQDVIMQDTALPTPLPVPPVISENDIRIEEDSHQDKNTVSDPQNIPSNS PPVSQIFSEPVLELHLDLTSPPLVPPKRLRDPSPAPTANPSVPITKRSAQTASASVET IPVETTTETSTISGGGGMMLEVAADEQKRGQSSTGEVLESEVFPLSDQAVTDTSRSQI EDLTQTTPQVSAVPQHVSPMTPLSPSLGANRTIEQGSPQIAIEIPIPQTPEISMPQTP QTTDSQEVDAVLGTSIISPIAEIRPATATQNHQIDIFDSQASPAEDLGPALEEPLVQP EQSAEPDAITNSPTIHPLPSASYNPSPEPSIRDDQNGLQPLASTAVPVSQSKDSDVKP VLPLPSDPISISSIHGSSSVHPIEIDDDDLDCLTSDKGDESPIRQELSVEKAVEEVRI GIDDVEIAPLPQDSVLENEQRTRRSSSAPSIEEITGDAFIPKFGEPSRSVRHSLVSGH EPRAELDESQPIPRVRPRSNRKIISSPEDTTRVSASSSSSDQSPPPKFEVVIPTRSRH AWRWIVEHEGESDVEDLVDESSDGAPSSGPSNAVELVEYNGFTVRSSYKIPIAGEVHT PLEDVESNIHTVLSEILPDPLPPSDRIGRANRILNAKLIDEWNRRKPHLTNNPSLHRA VFEAYMAQSTSIDEPQADEIRVVNDIDGEGAPPDFEFQYSNDMLYNPDVPDPELGVGC DCDGPCDPNDKSCSCVRRQELYFYDLGMKGFAYDDLGRIKETSVSVWECGKNCGCPPE CGNRIIQRGRGKDTKIELFKTRWKGWGIRARAPIAAGTFLGIYAGELITEQESEERGK LYAQIGRTYLFDCDGWQIAHPPSGLSRIDPRSAELAELAAQRAKIAAEEADDPSYVYS AYSVDAFHYGFTRYFNHSCDPNLAITQAYVKDFHPERPILVIFARRPIARNEELCISY KGLPDDDEIPIPTPQPKLTTRNAKAKKSKTSASAHITPTTKGKVAAKDRCMCKTARCD GRMFNYGG L199_003168 MLNEELSTDMDRITQLQDAILDLLTITSTSIDYITKRTEFEQTS NKIPTTLQTPLAANRQEYKASIETFVNDIVRRSKDIKKLIASLPKKDDSSQRATRLSE LQEELSTANEEYKIATAQSEELLLELQSALDQALGEDSPNITIPTKINSSTQVDEEDT HDQRRKAGKGELEASDTIIDA L199_003169 MSPPTDLYDTLTSLLNPLALSGRHRSTSEISDGLKRIRRIVLTE GIPEVPGKPSLRPKIWKLMLKVDNLNAEDHLRWVSMGPSSDSHKIKNDTFRTLATDTQ FKGKVKEDMLIRLLEAFVWKITSSEMEDEGQPFKYVQGMNVLSAPFLYAMPSQLEAFA CFSTFIENGCPLYVQPSLKGVHEGLKLLDKCLEIVDQELYDKLSSKNLKAEIYAFPSV MTLCACTPPLEEVLKLWDFLLAFGLHLNVLCVIAQLLLMRQELMDSPSPMKILRTFPP LDARPIIGITVALVKDIPEDLYRELVNHPFSS L199_003170 MKSLLSRFKGGPSDSRSSSRANSSASTNKEREKENLAFSSSNAK SKSSSSTNQQLKKVLSRNHLNGKPTSSSSASSPVPPPRILTPIGNQHSSSSPNLAYDD PRPHSITGSAELLSPVVGLWEETRGENRNRNRTVSASGDIHDKPGGKKVTFRSPAPTP TTSVVLDEATKIEYERRRSSSSTAGGTSPSKRASTSALKPPSTSQPARPGTSQSIDST SNRPRPTSRQTSPFLPSLAIRPTAFRKASLPPLGFNTHDKGATSSSPTKSSTTILSPT PSDASTGAMSNMSYLPQPNSWSEMAEDDLIANLGPKERTRQEVLWEIVSSEDRYVQDL IKFNETFCKALLPISANSPHLDLYDPTPSSIATRTMSPSSPALSGNESFVNLPIAAKY SSTTPRYSGERYPSDSSTSTAPPMTPNDESIARGNLNLNLNAPPPSSAARMNAYNILT NGRPNIGLGLTNKASVSSLNGKKGHHNSLPPPSSSRQHSNSSQTDLRANDNRLSYHPG IHNKLHKASSRISSGGSIGPSTGAANNTNVKLPEDLEKVLTVLSGGILDGHIKLSNAL KKRYENQYPLVRSLADVFTAHSYILREYSTYVLHLERALSQVDESLSLLTDLSGGSGT TRSKRNSRRLEESDIGILSRRLMGLEELASEKGEAGLVISLSKPFQRLLKYPLLFQNL LFNTDPSLKEYEATLSMVDQVEEIVRSIEDQKSDEEERERTRDVWARIDGLERDKVIM APKPNRMLISETQLPVPEGVHLKVQKDIPTSVKGKKSFKRLSDILKGGGDGDLWVVRF SDVSLLCEKTGVTHLPIASFKKATKSDSSNDLSGKKHATMGKRSASVRARNLYRFVKV HEWHLKTRPSTDGLLNMNDISASRQRTSEIPQSPPVSKYPTLPSIAGTPHATPTKVPL KTIDGSANPSPSKSFRSRKGTGIDDDTMSVISDGISEMSFAFKDGDQVKPNLKAKPKI RQSLPPTSTSIAKPGRNIGSVGRRTTSQPGLTRRLSGGHVGGQAANAKFANRLRSPDL NHHHHNHAASDTEGPTVVGVRSASAAGKARRSLPPTSGTTMSGGLRPLHTAGIASTTA SRPAWNSGLSTTSTTRRASTPTSQVGSVRGTRSSHVNNDHGSGSEGRRIPIGRSSMIG TSNSNTDVNKTPLGRTITTSPTKVDDEDNDNDNVNDNIQDNGEIRKMPSKEDSVVGLW RAFDESKSNGTPAISGVGLGPGIDPAEGKPISSVGRGGTRGVDVGVPSRGSVSSLRGV TGTPRGTSLRGGGMTTGVRGGAAVGTTPSSVVGSGRVTGKAATVGRGGNLVSTRKRDL GGKI L199_003171 MTLLAKPSRSYANVDYDPNGLSAVGNAPYGSSSSTSTGKKLLKK DKDPNRVEGGFKTYRPPLAETHLRRPLGYDPHPDSHFAPLPPAFHLSGKHKKDAALAG QRAELEHILQPEYKSTYSYDMDGDSSSIAGPSGHKHLSSRTAGGLDRKGKSPISAALR PSTSISSFHQHSNNGSAGANGGGPGRKSGSGSGVYIDSNGKLHDTEFDPFGHISEMSR AKSRRRSAFGSDRRRSKNGSSSSSSDSGSEVQDNSNNYATRRSTDTTGREREEEEIRK RLEMERKRLDDVSGYAAARRRSMMSDRSGRPSTPSIKSTSEDGQGQVPNGYGAPSIYT TTSSLAPTAMTGRSRSQHVHYVPSPLSPTFSGNQTPSVYSRTPQTASTTEHKVQGTPP EKTTENTSTISHNKLHKERERETKSKVEVTKDGSKKITGFDAPVSPIPPHTPVMESPN GHLLPHAPLTSSSGRLSPALPRSSIDSKRERIPKPAERPREELFPETPAQIKKREERE RRLGIGQTASSAVGSSRLHNRSTTSGHNHNGLMVDTIAASASSNARGKSRILPEIEIV EDDDPRIVFPPEGKSTKIQSKHDHVIRGPFSLALNATGGGAGFGGSEAGDYTSGRRGS SSRSIGGGSGHNKPPSTLVDEDGGYLPSRWASGDKSLRTTEGDRERYRPMEWVSGGGA EIITKNDEWHPSTKDQLKRNMKDIATSARFSLFRTKKKLLRKAEL L199_003172 MGDTGWEDPFRTPSPDYDGDDGELDTHELPAGNLMQQLDLGSRK ENVNFTETPFTIAARRARYGDSNSKNGARKEDTSSSTEPSSSNNPTSRTRTLPASAKP PVPTSSTATFSRTQTLPPRTNVQPKANGSKKKDEVVEEPEVKVVKRVRNSGWYDPLGN PIPKDPPRKKQLMDKLSDLTTAQEKRKQRNQKAAATRARNKALKAAQAAWKDREDDMK FGMLPAGAEPNDDYPILQGFEKMKDLPVKDPKGKGKGRGKNGKNDKNDDAKPTARIGA EMLHMIISGVPESSKKDEMKAEENGDFPEQKEKGEALSPSELRKKQRVMNLDEILQVH AKKTAEMFRDTREPEVSRMARKDVIDLTGEGDKSNGKSQTIATPLDDEVISLDQPSTI THLSSSTMRNIEQAGNSSSEVLPSSSPLDQYSKKPVILLEPRPKRAGEHFTHIDDVGL LEKEAGRVANHGHTSGSKSTYPRIRVDGTSPGEAHQEQLQTPQSSSTYRQNSIRVLAK PAPHSSVFPDNDLPIEGMKRSPSPAISIDGMEKEDWSTITSRPGAGPAKKKARKSNIF EDPTYANRFRKPINMFPSRSTTYNYADTQKITDHLRTSTTYPSLNDHLVSTKSYNGGN TKVKLFTPSKPDEDQADSGRGILSGKTIKGNQYGSSVKEGDPSITSRYNGTDRTHQDA NLNTIIPSVLNGISRSVTTHGAGSSYFSARYDQPQHIPQPFNRYRSNGTSSKIPNGDG SHQLVNRFSTDRIDRPQYNHNGNYPHQIHANQFSRPQAPSIGHQRSQTHSNGYHPPQV DVNRNQTHSNGYHQAQVGSDIFYSSYLANHVSYPLKNTNIHSHHATEEQEEEDWTKAW SRAAQQKSRSQQGLQLH L199_003173 MAEPSAPVAGPSNTSNSITRTDANLLNQFVIEYLQSHGFDKALA LFEQGIAEQGGNKNKDNNSKDNEEKGGKEAVFRAPGPVPLESNVKRNIPQAQAVSAST LSSHITPEFEAQAKYIIEQLQAKVQATNANADQDGADDQNKELKDQAANQDTLLDPSD RVEGYKSYRRWVDGGLDLWKPELDNLSFPLFVHTFLELVNFGFLKTARDFFERHSAHH RPFHPQDISTLSSISTKEHVKANPLCQRMITDKYVIPLSRNANDLLIQWLSGAGLDEE WEAGLHSAAGRAKEAIKGIVFSRISITVTSNSVPLDKITIATRSGLIASALPSSMPID AFNTATNLKLGPPPMTEKLKEQVARTLQDEEPSQQQPNGGASPVNQPSSSLPNGHPNG TTNGVDANGDVDMTSPAGPLADTQENRENTDTPAPVTVNVQELKIESELEKDKDSSLI SPEENETLPPIPAVFRIPDLKREVEAIRDKRKMIRLGPQQNGDVKPGSSSNTVLPSVV AFTLFDHGESASSVEFSKDSSLMAVGSSESCIRLWSLKGEKLKKKSIDPSDGSLIEDE GQPFRKLIGHSGPVYSLSFDPLYGSASPPSTLLSSSQDGTVRLWSMDTYSNVVVYRGH GKDPVWDVEWGPMGVYFATASRDRTARLWSSDRVTPLRMYTGHLSDVNCVRFHPNSLY LATGSNDSSCRLWDVQRGACVRLFMGHTDAVTTMAISPDGKLLASGGLDSSIWLWDLG SSRPIKRMYGHKSTIQSLSFSADSAVLVSGGLDSTVRCWDVKSPGGEKALLNEPTLRN GGGTGADDLQGSLPMGPGRGNWEDEPHTTDLLATWPTKRTPILQTHYTPRNLCMVAGS FVPPSNSSKGVQ L199_003174 MTITTSSLRAHLLQSLSTLPNRHDLGLTILVSEPKKTSSIFPHT PIPPKCFEQDFLIVLSSDLPSNADIKPRDQDSTDAPSSSTSTSLPEQDESPKKVLVSA ISANLYTFPSSSSSSSSGSILYISKVDSSGYSPSVLPLTRLLIVSFFNFFLLHVQNVR IQLFARSQNQYLFANSSQGGGKKVLSGAGLCKWWKNVYEESVISHIKLKSTNSTKEGK QEEGIRLNYLLPGYEQVESLDLLGKGKDLPERLKWEYKPPFDNPITSTGNTNANTNSR NLPVSLATLIPSLPDDPKTRFLEELVTDSFQQNPNPLKQRHQQQKQGESSSGSASMKK SKKEIELEEELNQRQSSHIALSKIDKKEFWERIGFRQECAGDVTGFFTLEMSGKNKIN GDIESEISKITGETGGEKNEQSSMVIPPLPSTTEIVDSITSLDPESEKASLDQTKGIP ISSNSILTSVPASQSTDRPDTIPKEPLLRIEIINRLLTALTNLDFANTTLAIEGSEIW LKQVKSIVKGEIGEGSSEGCFGVIPRKVDLPESGAGLVRKERQEEKVTMLMPRKKKKV L199_003175 MVSMYLLFYRRSISLPPFHNNDQSEAPLLSRSSRSSSSASSSSS SLTSLISSPILNPLSKSPSHSYKLPSPVSSSSNKKSNPNKRKFRSVILQLLALIVVLS WITNPFVKSSSSSQIISLKIHPAVESLISKTKTQSPSGHSNQSEIGKKEAYVTFLSSI SDSSYLLSTRLLIYQLLHDPLTRDATSSRDVIILTTPHIDMDTEDVLKKEGAVVHRVE LLDGFDLPDEVNGHWKDQYTKLNIFNLTIYDKILYLDNDVLLLRSLEDIWNSEGSDIP HGIGGVGENSKTYLQNSDLRISPPEGLAVPDKDYLNAGFMLIRPEERLFESLRATKGY DTFYMEQALINHYASWDGDHPWTPLNHKFVSHFPKISDIQEGYYSLHAKMWKDPVPPA VKRIWEEAVEGMEEYWRWHDEE L199_003176 MVYPTLPSTTANGGNYLSTVPRSSESASSNDPEPVTPELQSRPS MSYSTSSSFQPQTINEDSEELKCRWNDCQHVAASPDELYDHLCNAHVGRKSTNNLCLT CGWENCGVKCVKRDHITSHLRVHTPLKPHPCSVCSKTFKRPQDLKKHERIHTQEHHQM HKLSKAATSTDPKFNSRVSLGNINSQPRIVENNRQRSPNSPSHSLSPSSSSSSRNPSS PFDHLLPPHIGGSEGKSVSPSPSALAALHRKQHEELAAYQQREMAILQQLAYNQQQTQ AYAAQLAAGTGLDDFTKIGMKRSQGDSSGEGLDGLFADMKKRKVEPIYDADMMHRLNN LVPPSMTPGFPQLSPMSGYSNYTPPLNASIGTYPHLPSLPGGGLPSSMSSNGPTPPLA VPEIKTEADLAMFNEFMISLGRDAAGQHQNHVQPMMHSTSIGAGSNNTDSPLSDNSNG VEDLFNPDELASLGLTGMPGIPIPGAQIDNGSELSHSLPNESPSISFGGLYPSLESMR HRTGSAPDVSTLSDPSKRPIAGLPRASTGSLHNLPSSNTGKPPYLSNFYGLGPTQYAE LPFNMNEYSNTNSGLGSTGNGLDHNFSSFDSLARSKQNLYPTATLAPKDFYKKTYRHV QPLGASLSARARESAERTAVDEEDELVDEPEDLDLDLEKTPKIPVRSLLTAEESDPDL KLPSISSPATRDDGDRSLPSISSIDHYRSSSIASSSSTPRGSPVPSSSRSSLIALPIK RHTEDEIVRGVKRLELGSGESITTDAQEKEKEAEKENERPTTEMIVREIRRRHAQLIR SWLVAVNIEFRRRKLEELQRVQEEKDRLEEIDELEEEEMDGRDHGVQVKVEITA L199_003177 MSHLLILLSLSLCIPTITAQLTPSPTYTPPTASAGLASSTATPN TQWSNILGNSLYFYDAQRSGRLSEGSYANRVAWRNDSALQDGEDYGLDLIGGFYDAGD YIKATFPLTFTLFALAWGALTHGEGYDQAQQTAYLDGTLRWGYDWLIKAHPSDDVLFV QVGSGDVDNAYWGGDENIPTPRPAYPVNSSAPGTDAWSSAAAAFALGSMLYTPSISYN LTSSSSPPISPSLGNSTYSSLLLSHARSLYDVANSTSPKSTYYESLGEEVAAYASSNW QDDYVLSALSLAIATNQSDYYLDAYNGYVGYALSGLKEVWNWDSAIPAIYVMFSEVAI TRPGLALEVGLDTNLTGWRNETEAYFDSILDGSFKRSYLTKGGLLYFDGDSDEASLNP AMAIAMLMFRYAPIASSQEKTDQYNAFAHGQLEYMLGNNPMNAVYLVGSHPNSPQNPH SAPASGGTDILHIRDSPPTEAYVLYGAMVGGPLDNDRFWDWRDDWVQTEIALDYNAMI PTLAAMQLVNQTSDPYYVQVQAGTYSIPSGQPCDPALPCGGGLSKGAKAGIAVGVIFG VLFILAGIFYWKRKQMARWWRKGYRR L199_003178 MRVFWPSSGIQTNEGLVVGWRIENTLCVVDIVDSWINGHQDLHK ATLAAGTHCPLSLLGHAQPAHATKTGSGEGITFWLNKGKIPISFSEPIQVVVYTPPDP TRLRFLRTLTPFTGIKSQAMAGQDGYGVSRTSSTVREDIDEVISLINVSKVAQRVLSS MATSESRGNPFNSNQLNWSPSVFHLVAILFLPLRICAQTLYLMLNYHTSIGSLRSSSS TIDQVALRLSQGLQGPERFMSTRQVGLGIEIRSERYIQFWNTVWLVFNDVILGYSARQ VILLYSPFVQHLIISSIKKYLVEPPIIALKWLNDWPVGLKLNTPLSQFFCTGLGLVMQ QWGDIMIPHLDTLLPLIIRLFALSSLGGLTLTLSLLKDTITILTSHLYLCHILMRYIF NWQLESLSGLWNLFRGKRWNVLRQRTDSYEYDVDQLFLGTLLFTVSAFLFPTVLTYYG LFALFRATTVSSQMVLTWAVAALNAFPLFELMLRIKEPSRLPAGVHIKLKPVSGEGIG ENSDGRLAITHVLELKNSPKTLSDILFPSSTQS L199_003179 MSASPELEAQLDLLQLLTSMYTSTELLLPESTQSILDTYLEDPS SDLSVQVVEELECELELPIDEYNANSEKVTFQLYLSTSMKDVKIRFKQPTYLNRSQYE SLLQDIPQYDENEESSDYILNIIESIKTSLQVILEQSTLQNQGLEVKEEAQDKQIDRV WFWLPSLSTREKRDDMVNFAKEVGLTGFVLAGKPGILCVEGNPQVIDKYMSRIKSESW SDIPKHHKKITERLRRPLPTPDKRAFTDMKEITDIIPHYGQYNHRGDMSEVKRLMDQW GVGEDFGAVVMNSGS L199_003180 MTNSAIYTVFTSPHHAPMPNHLPTLIVTPHGQPNQILYTYLHTE ENRVNYLLTPSPQGDLCVAKLFPPARPGQPGHSSSSLPTVNNKQPHNVVRCEASRDLR WTIENTGVMSPIYKIVNQDDTPLYQISKPNPNAEFWTMFYFKYAGHQIPPKRIEFGKV AKNPPEKGGGTRITVTGKTEDEKQVWQTLGVGNEDCVEWVVCCACLNLLDDQILQAAE KKAGILGPASTATAPAATSSPASTSMRPNPGPLQRVPPSNGPRSGGPGPGIASSLPSR SGPGIASSAPPGQQHPASNLAQTYRNNPNLRNPPPQQGNYRGPPPPQQQQQQQQMRGG PPPQQGQRGYAPVGNGRRF L199_003181 MADTQSSASTSTQRTIGRPFYNACDPCRRSKIRCEPSDDQSSAC KSCIKKRIQHYCVSTPHKEFYQQNDPLSKSKPEDTTATQAQEDIYTAGTTTSATSATA ATATSYAPGSDMPSVIPYDVRLNGFYSSVNEDLNSTINK L199_003182 MRTTLFFQRHVGWALQISCLILPVLTALILTFVILSPPTFPTLA LYELKGVVYVNSTDTIYEAFNFTTSATREVGFSIHLGPGGGCMKYDHERPICQRSLHF KPTASYLHLPPNETFIENFPTMGWMAFSHVALVLVGLSVISFSLGPFVPDCYFVSTGI LWIASIYSPIFVLMGMGFGLLSLDSNLTDVVPKVEGSIRDTVFLVFVIPILIILSTAI ITQVCSKIKLTDPPGESADRTRDDIDIELQVQPSIDKCGN L199_003183 MNTSAFFEKRVGWTKYTIILILLVISFIIDLIISIPTLTTTPKL SGIRATSSVQVPSSDRIGMEWVGIGIGIGASEGCMWYGKDNRLSRYTLNFQPSSRFLH LPSNQNVTNHFPVGRIMASAHINTAMQAVVTTSFGLGPFFPRLFPFTIVLLPFTVFMS IFSAVSLLIVSGQVGYHLKYSIPKFEVNWGLSCILPFVVVFLQLVLSIYIGVLRCMMP KINPPPPDLADEVELDPRPRENNLG L199_003184 MFLLSFSPTRISKLDLIHCTSKVNVTTILPVQEEAKIKMAFGPS GGCMWFDEEDPICQSTFHLHPSPTYLHLPENQTLTERLGNTNGLALVHIVTGLVSLGD IFWILGPFIPGCFEVSNALMLLATFWGMITFLVIAGYKLSIDKHLKGDSAVPEFKTEY GGGLGMFIVGLFFILCGLLGTVGTARKIKRKDPPLVAKV L199_003185 MLAKAAFPALFFTLAGFILLLLVTLSVPIIKSIYLLQVRFDRSG TTGSTSLGANAGVFGLCYQGGQATAVIKGLAGSLILNAIAAGFAGLSLVWSVFAWLCA SRGWEIFTFISLFFSVLTAWLAWALDLTLALVARHRIEDASDDVLDARIGNGVWLALG GAVAVTIALCLAGCGMFGRYRSDRVVQQKPVGYRRRYFWQRNHAGRGTY L199_003186 MSNTATLPPSDPIQALGPSLFLQVLSHLPLGSLTSCLKVNKTWN DLIASSPTTLYRPLAYTVGIEPPILDELFIKDKDTAQSSTWSNPASSRSDSGGHEGGV NWKNVIKDYVYLQGNWKNGRARSKWICPGRNTVWRIKVDHEEGTIITTSRIDGILVSD LQTSEPIFEYEEIGSYAHLEFVKGYAIFNSNDDRSFEIHLTPTALSKLPSERRRNLTP SNRSITHNKGYSFTLEDHYQPSPPSNGSHELAIPPRGHLTYYKSLTPRTDCFAFRARI DKQYTPEERPVFGTSSDEEGYIYDLQSESNSEMERFVFDQEDRGRPNYIEFDDSYLFI CHSTSVNVYSRTTKRKLITFPPPVTAPFDAASAIYTCYDPSRSTKKIKPNKEGEVLVG EVNVQGKWIDDNGFDGVMMASGQGRIAGREFAAVHYTSKDLFAITKSGTIYALRNYQD VLSIPNPEARDRAVNANLLAIVIRESLRQLSTYGEHVVITSATSVFLLYTSSLPLPPY NTSSSDLSRPTIKLLNLMNVHHKGMGQCSCLQMDREKIYAVYWALGESEAGGSVNYEG ERILPPQEAIGDFGLCVKVWDFGLESSS L199_003187 MPTRDQVHNFAAGPSPLPTTCLEEAALGLLNYEDTGMGICELSH RGKEFKAVIEGAEADLRLLLQVPDNYTILFSQGGGTGQFSAVVLNLLAAHRLAHPVPA EEFKPPVLDYVLTGSWSSKAYAEAQRLCTPPFPNCPAFGQPRIAASTKSTCWTRLPTR DEYNFSKDAAYVYYCENETINGIEFPPKPEDQSAFPFDLVPEGVEIVADYSSSFISRP IPNFSRHAIIYAGAQKNLGPSGVTVLIVRNDLLVDTTEASKLGCIPPVPITYEYKILA DNKSLYNTPPTFPIYVSALVLQHLIKNKGGLEGLESTNKEKARILYETLENAEKKGKV KLVVRDPSARSWMNVTFNIEGEGEEKKFLEGAERRGFRQLKGHRSVGGIRASIYNAVT IESVKLLCDYINEFCN L199_003188 MASLDVSIRTAALLALSQDVCALIALTVEATLSVLNFIYRSILG VMGYERTVRFDHSRSVRRESGRGCGVVVLGANEAAGQSLTLHLAKIGYTVFPLIPLPT PSSPPTSSALTHLLLTWSGVQKRLRARFPGHPGAVVPVMVDPEGISDHLHSSARGDLK RSTNSNVKEEMGEGRFSHAGETVRAYCKDNELSLVSIICINRKSKVMSEEYQGNNGSN LRRAGSNEGTREDHTDGSPVMMQRSLSAPSTSSSNSTPINVRSPPPKEGERSITTSAH THTITTGTGTVINSKPSGPISLPTLPSISLMMTDENTMISLYRSNILDPLAIIKELSD LLSVPCTQGYSNGRIVFIDGEKANQGRIIELNDYSYSEEEEEGEGVRPEFYKNENKVV EFIYEVRERVVGIVREEMRGIGVDVCEVIVGPMAPRIGTTGYHLRHSSEDSNEGASAI LGDTFRRRNPDVDVILKLPRTVRRKREAVVSSRLRLLTRLWAVDDALLFSSVRRAIED PYVRCYHRAGISPWLEEVLEWVPLNLGYITMGWLRGLLKFGLEVEWMVEEWWIQLTK L199_003189 MTTSPKDIPTLSGLYSSNEIPQAENESKYGYDEGLNKRVSIWRG DITKLEADMIVNAANSSLLGGGGVDGAIHRAAGPGLLEECEGLGGAETGETKVTKAYR LPSKYVAHTVGPIYSSRAVDKCAKQLKSCYQTSLDLCQTHGGGVIGFSSTSTGVYGYP IKDATEIAIETTRNFLEKDQSVTRVIYVVFSKRDEEVYKSIIPQYFPPPPPSASSDDN KHE L199_003190 MAGSGFSIHPLLPSLKIKVTAIHQHDDRLYIGLANGSLQIYSYE TPSEDEVPKAELLKTHPLSRRQIDQIGILGESKQLIILSDTVVTLYSLPDIPKKGSIA LNQARSAHCFANTVYTTSKGKKADSDGKNELRELLVVGCRKKVVVYGAGKEGLKEGWE LNLPHSPRHIIFPSPPSSLLPETIHLLFTPQTSVLLHINPSSSANRLNVSDITTAPPP PSTSSSLTTEEGGTTGITMGMGALTGLGGYVGLGGKSAAPVGTRTVGGEVLLARDDLG AFLSSEGNYTRTESLQWPGPPDALAFANPYIYSVIPVIPSSSTSTSSPVPVPTVHVHL APTLALRQALSLPAPATGGLTVSHLAGTKDAIPSSIPAENMSSSTKMLIVSTPIDRHL LQSEGSSIWALMSSDIGEEVDLLVKEGRIGDAIGLVEAVGQNGLSPSRRLPHLKTLQA VTQIAKGDYQSAMETFLTFNVNPALVLSLFPAETISGRLHVPKDRWMELFGAVEGAKL EPNTSPEKEEGVAKGLLKSVAGLGGLTKKGSVTGLREMANDTASIKSVKSVDKEGEKE KVPIMKDEDPIPPRAALEALMYFLSDRRQKLAGAISTNPLPQESSFPPLSSLSADEQH NLPSIPFTELSPEQLLRVAQVIYTGLIKVYLVARPVLVGSLCRIENWCDVEEVEELLK AQKKFGDLIDLYQGKKMHSKALRMLHELAKDEEDKLDRYPPTIRYLQKLGPTELELIL KSSKWIFEEDPKMGLQIFTADEPEVESLPRSEVMRFLEKTQEGACIGYLEHIINTLGE EGPDYHDKLAELYHARVKNEEGKSDEEEKRKEAYSILLGFLEKSKQYRPYRLISKLAA EEMPEARAILLGRMGKHEEALKIYVYRLKDYTAAETYCVRVFSSNSDIFLTLLRIYLR PTSISTLSKVNEKEKPEPLLEPALSLVSKHSTSLPPSPVLDLLPPLVPIQDVHQFFMR TLRDSHTKKNESKVLKSLMKGRKEELDRMLLGLETKRVRVTDQRICPQCHKRLGQSAI AVHAPRGEVTHLHCKDSFSSKLAKVRG L199_003191 MDPDRGSAPPSSAPASGPSRLPYNPSGRTSWNTTVFDEGYLGTP TANIGSRKHSNSTRGGRSVSFQPTDVPSSTGTSTRHRRKTSAESHLSLRLTPPIRTPT KTNGKGKGKAVLRKSLTGENASGMNINDRANILADVAGDCLVTLVKSALRAEGSERRK SSVNDQVRKAWDGLYGIRQSYLFPPWSPPFPSYTDILQSLHSQIRATLNAQVLATATD LSNLATFVWTLSRPDEACQSFPSLDLDIDDEDEDAPRRSTSNKGKGREESELVKRVKM RNALLLIAWKKFWLIVVPAQKRTSEVALRLWLDFATQIALLYQQPSVKDPDLSHTSLP NAPNTLLAELFSPSAVGRFGQWSISEDFDSQEYSEEKADIEERWRIIAQRRIDELAET DHAYLRRKYPYSEFRTEMIAYVQHEILASPINTLLTPGRRQLLIGHSHNPEPPLLQYE EGSSSEAQRDDPEIANWFEDSDMEEGEEGSEDSDGVAMGNDIPIDMDIFGLAAAELEA EENAQQEREDHDQNSQTNTSPEKNAKDMVEVDSQIPVLEEGVPLRSSSHETTDDEVSD DDGDWAVKDVETAATDNAQIGLGGQRQSGPRFDWTKRQDDAVQVMWESQSIDGQGSPS SGRLSQEFGSARPPDNDVVSPSTHDTPASHAISSSIAMRSATSPPPLRAGRMSVASPS RPSRQTPKCINIEQSISLTSPLYVEETEEDEPVESEENVILDNEDNEDILPSASQLNF VGLPNGEASPPSVDGHDEDDEFADLLPTESQFAVGQATTGTSNPHHNRNIEIVNHVDT DWDISEPDQAGNEETELVQSRFHGSYISPRPRKTQDAHSFAPMDPDEDLEDRQLLFQP SSALRSMSQSREPTIKTEPEEAILGLHGFVSRSHPNGLRRQRGVSAPYIRDEDDPFLH DEDGSPLEPDELCISPVDVGEKYSTQRSKLFGKTSTSSSIYCRLTGKRKWTLEEELLL YRTVQKVPIQEEYPLRMVWNLYGEFGRFGKQLRWYNTQHMKDKLRTTVKRRQNEGRRV EGRVRAWAARGTREREEYEEELEQYKRYEQDEEGEDDENDNEEIAIEDAAEGMQGLRA NANDQDEHEEIVVNDGEEDDQPEGRAANGRRAKEPARYVEIDVDDDDFPAAVDFDVDG NVNDVHVRTNEDDFPRPANPLPDGQVDEPQTEDEIDDFPAPESLDIDHDHAFDGHHKI LDTPKDNGDDDDFPQPESPQVVDQDGDFPEGPSLKDDDGRSRPQGNLNAPDTNEEDGD FPQPVILPGTSQDKSDNQIDDDFPPATELPLTSTTVEIIQLAGASDQQVSDDQQNTNR YPSRSRKRASTAIDDGVEADNTDKDKEQYGGRNKKRPKAVIPAVEISLVQSDASVKVL PESSDHRRRSKETTQSRPSRPSIEYGKNRAARKVPEMELVPTARKTTWNPAQTARKST NNATTLKGTRQSKRVAQTARKSTNGSNRVIDEDNQETDDVDEDVYADGVVVDGHQENA NGDDDFPEPEDNQMLQIGELGVNNNDRLRDGDRGTKLDKHKESEELEERRRRVERIVL GKSKRV L199_003192 MAEEKITANGSAGPVQGFRTTNYPAIANPPFPAEGSAAFSNYQL AFCLFFGPWLVQKLLPFRTGWYFYFFLFFLLGVPIAIGYWTFKSKYGARLDEKAVYPG KPLNTYITLKDDKLKKYNSTNNKIPMQVFHDAYFAGKADFNGDVLEVMEWRHDWASFE FTPELFKYVLFNLIPDVIIHSQSQDEEQVRDHYDRGDDFYSWFLGPRMIYTSGVISDI NKMETLEELQDNKLKMVCEKLDLKPTDKMLDIGCGWGTLATFAGKNYGCDVTGVTLAK NQTQFGNQRLRENGVPESQGRILCMDYRDIPHSKGYFNKISCLEMAEHVGIRRYGTFL KEVYDLLDDDGLLVFQVAGIRTCWQFEDLNWGLFMNKYVFPGADASLPLGWVIKQLES ANFEIKTVDVLGVHYSATIHRWYLNWVSNKDKVIAKYGERWYRIWVYFLAYSVIQGSA SVFQITAHKNLNAFHRVEGVTSHGNVLYHTAKKAEPVISHQEMWADQTLH L199_003193 MPSLKHAFAWTAYKFASTVLDDIWPMVLFFSGIATMVCCVSRFT STSLGINSVMLTVLGTIVSLIVSFKTNSSYGRWWDGRNVWSNLTSNSRQLAMIIWMQV PNAPPPPKEDGKKKDEKEKEKEKEQVKKETSKETLDGYSTAPSSTHSSSSSSDTAENQ EEIEKKKKEEEKARLNMQGLIEKKTYIGLVQAFAVSMKHALRGETGPFYSDLYHLIAF LPKYNPSAYPPIDRSHILALWQNGLPREKAGKFTNSIAVPLTTSVAFRTDALNQRDNI PDPFLDTEKGSPDGSHTGSTTDPKAFKNLAIQSAKSFVTVDNPDVFALNRQRSSLQTV RSGRPGSGGLGPEGENITLTTVELMPPRHPPTPKIWDFFPPLRIFKIVYDWFRWHKIG EDNERAKGGKRKRKMGSTMEIPQEILMYLQVYITDLITRGLLHSSLISPTLTTLMELQ KAISDLEKLATEKIPSAYTFHLRLTVYIYLFFLPFQVYNYIGWVTIPAVALTATIYLG FLEIGMQIEMPFAYDQSDLDLDKYVLRIAHQIAQVTAFPTHIPASHVVLSHLNQPFLP SLDMSAPDILGIPERQPRPTEKGGAHSWCSYDGKHAHDHEHSKEGLRKRKVTSPGEAH KDGMEHHELLLNHHNEEGEEEEEDEEEVKAKPLARNMRDIEMVLNANWREITAETEDF IGKPRDQLENRTGLEVAVLTL L199_003194 METYTLPSFPSEYSTIHLCYFQNVRNSPEIKKRLVVAATTKGEE GEKLRSEVDFGFLEGDVLVSKDHLLTSILTTLLYSFPSTSNSNSEIPSIEPLSISSTA TKPKTRTHNLHSEILLTLSPNNNITDSIRRHGISDTTSNLVVVKFTNSSQSQESVHEG IKRVVDGELIGLDGIERNTDWTRVDKIYKLSELNALKSSHTPEDILNKKKSAVISAVG IKNVI L199_003195 MSVRSTPGPSPYPTLPTYSTPDASSSKRSIRTPSYVPRPSIPNS FRQPSIAPSVAGTSTPTVLGAGRRKRINADGQGSGTLTPTSKDDWANMEPDEVFRRLP VNEVKRVESKMRSDALNKQSELRLMVGTRYRDLLTSATQIESLHASSLRLSDSLREIA RSCSNPDINITLDGDNSEDGNANSTEEDDLINMLPTAAHMKLLLDAPEALYSYLAHHS YLNAAFLWLITRVVKESLNSMPEEQNGAYLPLLQKQWETLLPFRTQIVQRATASLRTK DKLDSRSLSETLLAIILLDNLPVSDALDLLLSQRTKALRDTLQHVEVNADPSKSPEKD RGNRKRSNSRIQAAAITRSIVQERSTISSVITDSVTLMLETVSSVKTVFEKNISQGQK ESLIEEMMRLIQVGDNTTSAGSNATPGPPPISSRQNSHQRRASRLASISLPLKYTPSL NTSPSANGITSSPPITSSEVIQNLPSSQILLRYLPNNIIGFTPFIAPSSSPTISDKLQ AWEQGSINLLKGSIPDWLKDLKNVKDIWAVRNSLNNLLQQGEFEEAIRSALEDEWGKR VKDVWSEKLGLLVGNAEKEIREAGEKVRNGSEKLEISPESILFSDVSFPTGPTASASF SATSHNTAFTNFRSTLRKRSSYRTPLLDSVLNTLEETAKNIKEDMSDLPELLYDNYSE QINATLNGLIKVLDRILESMGSTRGDGKVGVEAEIFVGRVALYLGNGKESGFLDDVAG YGKIDRDNVEKSLLAVHKKSTTKWKERSIQEALVSLAPLFEPYRGSQEIKSSWQGDLP SSPSHPIMVSLQSLVRSTTSLGIPPSLNSSLTVVEKLVKDFVKSAKELEGWKTQEIST EGRIQLLVDIGFLINLSGEKVGEDGLIKRVSKETSPSFTLGALQEIIDQSLRKSQLLL YPLLSHLTPPPRQTSNKGHSHDTRRNAALLRFGAPSITTSTGTGTEFRSPVVAAKPGK RMGLLSIAA L199_003196 MAIVEDITSADDRLEDKSISKERLTSDIERLTKQLTVPAELVPG FMASQDDAGLSRYRNKSLDILYEVKKNLEHPYWEEIEPEIQLRLIENVLRLNGVRDPW SSVEIRSIIQDIIPHLSTSIPLLILPTLKPYFASHPSLSSSSRALSRPVGGTDSSIDL HDVQPFKDPSSWGNISLLSYSVQHLSPVDVEKNIGLILPPTLVLMDDWEPSYRLRGAE ILDIWVDKLDEGLMKRMGIDKLLIDSLIHTISLSSNPPLKGLLQIALKVIQKCTEPQS QTRTEYYSEIVEKGIIQGWTYAPSGLEGREVLMNINEMLEGMMEVMGTGIIRWLKNII PNLLQPLQFPPTALVLPHYQSNLRCLLRVMRTSRKTGRMERWRGQILNILCRLWVQLK ERRGLEDEDDGINDNKDDIEIDVRTLIKQIFTELSEQVPSVRDDEYRTLLDLSPGMFG DLISSINIMETSS L199_003197 MSSNSKLGTPLKSSTPAPAPPSSAPPPPPPPVIPSTWLTPSEQR LFFTAIFGLIEISKLWDTFSPLLHLDITPTWSSSLKIQGPYSVIGWTIAEIAGLWLVG MLRIPMLSPSYKQLVMLAGLSGLVNLICWLIVEPSAALFTINVVGPAALGGEWYWNWL YSLKRYSEPSHLEGIHKIRLLPYSTATLNPLSLSYCIPHDSQEFLHIPILFNNSIPEE VTYFVRSLETGHATLEKVLGSQMKRAPTRPPRLRITDGDDDLEDGDAEEPETDPLSAL ILRSDLKGKNTNSLEMEIAKLPSVKPADSMALVPRNLASSQNVLFITVDKPSLITLKS VTDKRGDRFHITPHKEAIVIECPAGGQFIEEEKQNKLIFKGDKAKIPELRCVGEEETA KFQVRGVGPLKVGWKKRSGNKVDTGVIEGIEEDLEPVDDLALVRRDKVSKTHVVPLRV SHSQPGTFTLSLTSVTDALHNTYSPSGHSAEKVFNVIPRPSVRFDCPSTIQLLHNQKS NIPVQVVVDGNLEKDLEVTYSFEAVDGKKTTRKLEVSKKREDISVSEPGTYTLMDVEG PCAGGVMEPSSCTVQMVPLPTMDMSVTTLHECAMDVGATAAFDFTGSPPFRLDYTEQR KGGRARTLTETFHTHHGSVVLRPEHEGEYTYTFTSLSDRRYKGVKLDKSPIKQTVHPL ANVDMTGRIGDTRRHTLYACSGDQVDVDIEARGIAPLKLAYVKSWSTRSENVTVPINT GRSKISVPVPDELSAKSGANGKLTIALVSIEDGNGCVRKLTTPAIEVDIRRQKPTARF AKSQKVTITEGEVAKAPLRLTGEAPWDLTYSINGKDRKITVRDPNNHLSLSEKGVYKL VKIKDAHCEGDISPIDSTFEIDFRPRPVVSLQQTQGISLSSPNSFKHKNLCAGQEQQV ALKFTGQAPYELNYAYTSEGRVSKHKLKSAQETGILHLSSEPGYHRYDFTSIGDGNYP NTDVKISLEHSVHSRPSVSFIKQNTKALCLDSKLDSSDAKIQLKGSAPFKLHLSVRKP ASTSIKTFIVEDIRDSEWRLNLPQYELKEIGRHEITINRLEDSSGCEQVINDTDELRT IVEVVESARIVAVDEKVDLCVGDSLDFLLQGKSPWTIEYEWLNKKHKVTSSASRFSRF AEEKGVFKVRSVALKDNQCKRQVEGMKRTVHALPKAKIVEGEDSLREGDEPATFAVHF TGTAPFSFTYTRSEQYGSKSEVVETQTITDIWENTYTISSSLPGDYAVVAISDKFCRY PPISRSNKER L199_003198 MRVQGWDPVMIICQVRIIITLQTIHYLTLSILIPPFLTSFTTPV LLSYSGGPSTVSHIMDWREMAAKPTISKTSFPGVEGLRKLRGAWAGGKEIGAVPSSAD DLPQNNQDIEEEEGAYDEYWEYGVDRRRGWVLGGVWLVTFAIDIAPLYYLIRRPTYIL DFSLTLIFNHFILTTYYSASFPTSIFFWLIQIIGTVVMVVISEHLCVKREMRSELDIG WQPNVESGSSQTQGLLENQEPGPSGTGPRAEAIELQER L199_003199 MITLPLLLLFSFFFLSSPTYSKSPIEITQHCSFIGLGTVAKGLS TSDGACRYTVRYGRAERWGESELAMDDIKNQSFSDLPSSCPQSPGSYVSGNEQSEDCL FAAIYIPQSATLLSKLPVFVWLHGGSYIAGSASAPGLDGSKLAVKGNVIVVVLQYRLG VLGFLPPSSASSSSDPNLGLRDVRLALKGIQEGIGFVGGDEDKVTIGGQSSGAGLIRA LLGVPDAKGLFRGAIIQSDPMSYGNAPPSITTQLQDAFYSQEPMNECTDLKCLQSIPS ASVITAQNVLLSTAPLMIEGLPISMPIRPTFGNPTLPSDPTVSLFTSPSDLPLWDIPL LITTVANEAGTAVSELFPAPVALSQDSYYATLAATIGSDRAEILSSSREYGLPNATGY GEGGDVFRETYERAATEGTWTCPNRDVAKRWSRNGGKVWVGQWNKGVTYPSNKDNGYC QSNGRVCHEDDIYPTFGTSPDKSAEISALEEDVFSYWISFITTLNPSPSTTKRDYIDC RRSFREWVSWLWPFKRAMIPQVRSGSSSENWSPYTSEADVLALGSGDISRCPEGFWGD KAKYDWQLYGQ L199_003200 MPRSTRRSGSSGTSTPPSSLSSPPSRPLFPAISLRSSSPSSSAK ESSTQTITARSSLLNVLTRPHHSAPTTSISNAHVSSADNNNNHNGSSSDEDTNYSDQS TISSYASSIISGTYMMENQHLTSEDIAKVYENYLEKPFVNTKSTIKHSEFGHCNNPNW RWTSQWNTDEPIHPAEEPRPPYFTLLTTYISYILLIVIGHMRDFFGKRFRPAEYAHLM PQNGYAALNSDFDSFYTRRLKQRLEDCFARPVTGVAGRSIVCYDRASTDENKTFNLTG TTTRALNVSSYNYLGFASSTGGCADAVEACIRKYGVSGAGPRHDASTLDLHVQTENLV ARFVGQESAMVVSMGYATNSTTIPALVGKGCLVISDEFNHASIRFGVRLSGASLRSFK HNDVDDLERILREVISQGQPRTHRPWKKILLIVEGLYSMEGSLVNLPVLIDLKKRYKF YLYVDEAHSIGAMGPNGRGVCDYFGVDPRDVDILMGTFTKSFGAAGGYIAGSKQIMDR LRLRSHATCYAESVSPPVLTQIIASMGSIMGVAPPLAAPADIIDDRSDTMSISSRPTA YGPAPAYILPSWMKLPLNLLNGTEGRERLRRLAFNSRYLSSGLRKLGFIVYGHRDSPI IPLLIYNPGKMPWFSQLMLDRLGPDKTPIVVVVVAYPATPLITSRVRFCLSASHTKND IDLILRASDEVGDLLGMKYGKQTMNVEEVIASAEELVAASF L199_003201 MVFQSHTNYLSAQIQVKLENEGVNDMLGGPNTGTSYSLPGHIII SLPALPASLEGRLREVKDLKIVMEGKSEFWDDHGRYTPMRLYSTTLTLATPSKPLLLP SHDPSRAYAQRIQLAVSFDMRLPGWLPPSHDSEMTTISYGLIAHSTIGWTEPATTTYA SPNVSSSSSSSSHSSSDSDVLMECVIPVRPVIMIPKSSKPFESIFGNHSLLAKSIEKS SSKWTPFTIQRHRMPSAVVPYSQGATERHFTLRPESDSTSPVECVVTVPDWVDVNGKE KSLKVSLRVRARKPVAEPMEVDTPEACSNGSTSTSTSSSSTADEEGSSLGGGSRELES IPMERSSGKGKRAESDLSTYILELGMEVEEVERYSSTPSQSFTSSFPLPSEQPTRNSS VNQLISPRMGYADGTGSFLGYDERPFKGMRTRQCLLSDDGNQRNFFFADKGLGLGDKW RKVNVILPMPSLESGKGLSTRPQPELDGPFLRIRHDLKIRVVCRSPGSENDTQVVILS TPIKFGTRPSTMPSFKDKPTPLPAYIQLFHENGDLRECDPLPVYTGSTPTPSLPATEV PVPDTPAPSYASLYPLTTRPCSSRSPSPSSYDDSASVASSSGSSSLGRRERSSSPSPS SSEDEPESEPMDIDSIASSSDEDDRIENNSDRTRSSNGGGVQVQSRKVARTTPRGIRT TA L199_003202 MTQLTKLFTLLFLLPFLAQGLVLPPANTNEHFRKALQDGMKRHH DQSNYLRSLTSSIGTDKRDGLYERQRGGGRGRNRGANGRGGRNRGGNGNAGAAAGGAL AGAAGGVVAGDAAGDAAATASATDTAAAAAATDDSATATEDAAGAAEETATAEEEEGA EGTATEAATSAEETAAAETSVEGEGTTTVTATAEATEAAGEAGAEATATEAATATAAA AAEGEGEATATEAAGAEETSAEAEESAAVAEETEAVEATATATEAGLAGETAAAEAIA TEAERADGTAKATATATAKEEAGAAETTSTAEATATKAAEGEAETTAASAEETIAAEE TATATEEAAEAEATTAEIAGEAEATTAEATATTEAYVTATATAAEATSEAESAATDSA THAAATATAAAAAEGEEEVAATETATEAAEATATAAEAEAGAEEESAAAGEEAGSSDA TADLEGLLSGTGLAGLLGGGAA L199_003203 MTSLNDDHLSGITRNSSAILFATAESSKRQPVKMGVNENTPLLI GDSTSRNDGSDDGSSINKPPKRKTSTSTLAYAAKLKQRSKYYVPITDWLPNYSWSLFS GDLIAGLSVACLLIPQSMSYASGLAGLSPLAGLWSTAVPALAYSALGTCRQLSIGPEA SLSLLMGQMIQDAVFGDPHHKPKHPDLNAAALSVMATFQIGLITSILGLLRLGFLDVV LSRALLHGFITAVGIIIFIAQLVPMLGMEHVLSHPENAEDAPTLPLQKLIFTLKHLDH VNKPTMILSFTSLAFLIAARVIKQQAVKRPGGTWVRYIPEILVLCVTSTVLSAFLDWE KKGVDILGQIKGEAAVPFGWPLNKRAMKYFNYTLPTAFVSAVIGVVDSIVAARENAMK YGYAVSPNRELVALGATNLASSFLTVTGCIPIFGSITRSRLNGQTGGRTQMSSMVASA AIILSIYFLLPYLYFLPKATLAVIITLVVYSILAEAPHEIHYFYKMRAWTDFMQMTGT FILTLFFSMEIGLVASVVLSLILVVQKSSQPRIQIIGRLPGSDQWAPIDEDENAQEEI PGVLVVRIRESLSFANTGQLKERLRRLELYGHGKSHPSDEPRRESAKALIMHMGEVQE IDASAIQILFELSRAYHERGVGVHFASIKPGQIDKFKIVGMTELLGGSHFHNNLQDAM REVELMGYGTSIFSRFS L199_003204 MQASSPSTPTPLHRTVHKVPSRRSLFQSQNPSSHSSTQFVSRPN SYLRLFIGALAVAASKTWVLDDPRRLSGGTGWLVLGLWAGRIIGELKAFIGDARRKSK EGNRSSLSSFQLSVILAVQSVAFFIALQQIGPLRLTIIAYLSSWVDTESILHTNSLAP LLPIILSAVYIIGQAATQNVIALTSTILFAGTTFLSEQFLSNLSFSRNRNGDDKEKLR NEGGLWYCLVSTATVSLLIFALYQMGIIPIPLPISNVPGQRFASFITGLLVRYIPFPF TPTNAPGSTLRLRTSRDQSLFLCTIPILQFFALSPVPTYTDLLVLLPLAMISTAMVGG KKISRKNGPSHWAFSNKSLSTARSSWSFMSLLPARWRPHLQTVLNTPTSSKIFYFLLL NLAYMFVQMVYGIATNSLGLISDAIHMAFDCLGLAVGLWASVAATWKPDGRYTFGYSR VETLSGFANGCFLILISIFIIFEGIQRVIDPPEMETQQLLLVSGVGLAINLFGMWATG GHHHHGHGHGHGHDHGHGHSHGHSHIPVNEKKEDRRHDHAHDHHRSESQGTSASTRQQ RTLAKRRSSGFLKAHAQQDVHKHDQSHEFHDHDHSACNGHGHDHDHGHDHHAHEHHSH DGHSHSHDHDHSHSHDHDHDSHLHSHSHDDHGHGHDHSHSHNMRGVFLHVLADTLGSV GVIISTILIKYTGWTGFDPIASLFIAVLIMASVVPLVIDSGKVLCLDVGSEKEEEIRG ALSELSSVDGVANYASPRFWPRCEGELIGSIHIQLSISPSSVDPTKFHTPFLLSKSKM GGSGGDAIYVNSEKVISRVEKVLKRRIKGLTELVVQIEGSEEKGYCSCMTGGR L199_003205 MAPGRFNEETSVLSPQGNPTTQHRSIEDVSNEKPNTNFKASFWS RCHDRWFFVAFIVEITLYFVLSVYAIFEFSQNYDEIKKDGTSGGDRDNGSTTKVDTGD IDEALTGDTTYMLLGATGLALILNFLLLFFIRKFPEFVIWSGPVMAVIFCLAAAGEKS ESWYAFKKASSTSLGSVAFGSLLVELVETLALIIKTISLGLCGSSIFTCCCFCIINII EGLLELFNKYVYVKIGVDDFQYGFIPSAKEIIHIVKKKKGDQRVGLNAMITDCLVGFS LHTTCIACAIVCTGATYVYTIIIDGTAKVDDWWDWLILVYSFILALNIGLVLTSALEA GVSTILICLDKDPSKLKDKNPRFYDRLKEHEAYGIYRALLDEEN L199_003206 MAPTAGPSNPKQSGERKRKAGGNGKKGKVFVEDKKDLLCLMSSI TSNKDAQAESKVSKRKAAIEESAQSLSEEKNKKSKKSLEKEIALERAKAALVEKQRLK KNRKSTSTTSTKDKSAQLSTDQPKKKKVGFA L199_003207 MLSKNLILAAALVGQAYGLTINTPASLVQCQPASITFADGTAPY ILAVIPGGEVSSAAIETIEDSATSSPVTWTVNLASGTYITLKLTDSTGTIAYSSPLTI QAGSSSSCLNSTAATSGVSTSAVTTTVTSSGTASAVSNAVSTTSTTSAASSAAASTSR AASASSAAGSATSAAGSATSAAGSAAGSATSAAASAASSAASSGALSNKVVALPAVAL AVVGGLALLL L199_003208 MQDISNGLEPFPIPLIQGEVGSLETYIIDSIFPNGTPISSFRPD EDEEQDDPWTCSCVRVDDDESALCTVRDSDTCDCVSGFGNFYTPPDAEFNQVLNIDAL PERLPLVECSPQCPCAERCANRLTQRGVRLSLMVKQSSSGIGHGLIYSPPSEKSILPR GSFVSLYAGEYLTSPQARLRWDSQLVNSKVGEGNYILSLKLPDELWHIDPRYKGNIGR FLNHSCEPNCVIQIVRWGMDSLPRAAIFTKRDIKYGEELSFDYANASGSTELALTLEE ESHHQKDGEQRTKCLCSSTQCRGWMPFDESL L199_003209 MENLLYGHSILFAEGQFSDEVKDILSERIIGIGGQVTSQREGST ILLANPAHPSYKQEIDHISFLQKTYPDILQPEIKPYHWIANIYYLETILPVEDLPIVS PIFVHPSKVDDHRPIKAWVSVNVTREQDETPLQARDNLTVKLECAGAISVTKRASADL LVVDESSDFAKKVWDEKRKYKRSWQRIVERDWVDDCLKKRRLDWRITNSHKGGDSDNE SFVEDDTALDRRTDGKKGPGRPAGGQRVDYTPQDDDFLCRYLAAHYPTGAWSSRKTYQ NLVSSVNYSLADRHTPQSWHERYRKNSIQFEKRVRGYIRDEIDITLKTRLERERVKAK SAKPAECQIAVNDDHTTSRVNEAGPSRTDGTVLFASPSPTRRGKKKLVQSDDEDSVPL AQASSKGKEAQSTGSAISDDNHVIPGAGRSVAVSSTATTAISASENGPPVTGNGELVP SLMPTADQPEKVAVAENENESLLRDLIPSGSQLPLATPAGQGEDGSSRTRAVEGNLDN RTAKNASTISRNESITETNKDEESQIGEATQAILADFAKAQQAATQQRENRPERDQIA LTPRKPVRVDGEATTILPPEIDVASSVPKPSDGNVTSEQQLPMAQNAQSPPVNIVLSP PKRFLQAASSAPLEIGGISPSYTPAMSIPLQQVVDIRAVAVEQGTSQPTTTAKAIEAG PSTAQLTTPQRTDQPPETLTSTSTSTSRSKRKSLLHEHLIASASKKSRRRETPDRPSR GHRQIAVDDEDESEPDMVFRETSIPPRPVRITPSIPSSPVPDSQRPATREPSPPLSNA EKHEKALSGKALMERNIQAYKDRIVTLAKRYGMTTSEIIAFINNGSANGKKSRNSGER YWEEIERGLKERQ L199_003210 MPSASETNTSQGNITQRDTESHFTWTDCTPCSQANEECKTSDPD TDICDRCLKIDPSRCYTDWEEHPETTQIGTNTSDVNIASAR L199_003211 MCGIFCCFNRQGDLASYRPRAIACSKKQRHRGPDWSGCYMAKDT IMVHERLAIVGVDTGAQPLVSEDDQLVLAVNGEIYNHVALRKGLKNQDAVFKTHSDCE VIMHLYREHDTGLCAMLDGMFSFVLLDKSVEPPRLIAARDPIGITTLYMGYHSSSPDT IYFSSELKAIHEECDNLISFPPGHFYDSKTKQLERYYKPTWWDGDKGVIPHGEVDYKL LRETLEAAVRKRLMSEVPYGVLLSGGLDSSLIASIAARETDKLAEEHEKFRRERKQAI ADGKWVGDEKPLASWPQLHSFAIGLPGAPDLIAAKKAADFLGTVHHEYTFTLEEGLDA IPEVIYHLETFDVTTVRASTPMYLLSRKIKAMGVKMVLSGEGSDEIFGGYLYFHAAPN AKDFHEELVKRVKNLHTADCLRANKSTMAWGLEARVPFLDKQFLEVAMNVDAKYKMFS KGTHQEVDADGRPKMEKYIIRKAFDCAPDGKAYLPDSILWRQKEQFSDGVGYSWIDGM KDHAASVVSDEDFAKRAERFPESTPDTKEAYWIREIFEHHFPTKAAASTAVRWIPKQE WGVSSDPSGRAVSIHTAAYENKA L199_003212 MPTESTPSISLPPTNPLSLSQGVIKCFVCGNGNLISGSSTNTGT NEGIVVLSDPIERICGRCVNARRIGTAVEVDTFDLDQEQDKLNSSSIDQGVDIGSSLG LGLGLRGIDLNERSESTISNDTQEDSSRESTPSGSSTRRCEEESTPPRNRLDNLSRSL PTHHPRPWKTTLKPIRTEPSIPPTPECTTSLNVQEDERPPNPLLDFTKARVPSIGRGA LYPGSIFRGTQTSGRSAYEVEIQFVDVNFAESSISGYLSISHLTDSYPHLTTYFDGEI IGNKYGFITGSRYGATEHDDMRHWGRFEQFRRPSTRMDLLKPELYFRDPLPDKSMGEI RSRERDFVFLRIKEKFLVPDHKVRDISGASFAGFYYALVDLSPPSAPIEPSTPTSPST PKTPFGPPAPIIIRRGSSQANVRPDGTRRRESSSRLRDVPVRGEATIRGYYFHSLNQE PFQELFLSHVPQRSSSTFEFR L199_003213 MTTFAPRPVGGALAVDPKHQPWVEKYRPKTIDDVSSQEHTVAVL RKALASTNLPHMLFYGPPGTGKTSTILALARQLYGPDLFRARVLELNASDERGISVVR EKIKTFARETPRHVGKSSDGKTYPSPPYKLIILDEADSMTQDAQSALRRIMETYSRIT RFCLVCNYVTRIIEPLASRCSKFRFRPLAQSSTQARIEMIAENEGVQAEDGVLPLILE LAGGDLRKAITYLQTAQRLHGATEPPTPITALSIHEISGVVPDDLITHLLSVMGVDKE QGIDLTLGQDGFEGVRTAVKRVGREGWSAGQVLEQIHDALIPLPTIPALPKSLAAMAI AECDKGLCEGGDEELQLLECCLKIKDAMGKQ L199_003214 MTTIQMTFVNPFVPQQIPSPPPKTKPKLRSCLSPSRSPSITPYA DDSAAPTPSGSRSTSFSSCTSANGDGWKRTKCVRWQEMNGCAVTSTHDTYSHEEYDRT PLEPPSVAERECVLPERGSRCLSLSRDCFLSDSNSYLDDDEDESEDTSADSYFLNTPP PTETCSEDGEADEHDARDREDWEECMDRRRQMFAMMCPQLRQLNGGHHSPVDHDRHPE FEGYKSISATLANLLKLVSDDQNEHPADQEGEEEQEGVEEVVKGDSCGFGFTSLTRDI PEIDTPSLVSSESELGLDDDDCIIQSPGGSSNGSGNSTMIPNVPQQHQQQGMMCAEEL VMAAWSNKQKQNERGRERMRREI L199_003215 MSVHTLPCSSSSSRNPIPSQAEEEDQGISSQDQQPLPLILQLPP ELIDHLLSLVPPSHRQITSLSLTRVFPNYPISTRHLFEHLIVYNARQLWPLWRKLKSI NDDQENARSNADVDESEGSRKITRSGGIKSFTLKSWKGDVDILNNILRCISPERGLKT IMLNIGTNFSPDHLEEMFDQPRMELRRLEMRFRPYVEQASYYQFLAGSYFDTAIETLT KKWPSLPSFTHLSIVQDLPPRSTVPPTAANSKSTSLEGSLADLSLANTTATGSGSDSD QDDSGRSTPPTSISSASENPMDIGTSKSYTGHGPFGNPFLNEKLGITKPKTFAQPIVF FDINCISKFALSPIAENLTHLRLRVPSRDLLRVLIAPPRGINTHKRLFPNLNYLDIST TNVRIDTNLTTLLRTYSRLEHLVLDRVNLFGFTARDKGPELCNDLGGLIVSAGLARGK ERERQIAQWELEQRTRFAEAEAARIRSQRLAAEQERLANPSAEDSLSAEVLDEIRRRE RMEEQQRQIELARSRRGHRSAAQSTFSLRDRSRRAGASSSTIATGSISQIDIPPPDKL YMVLPPLPTLKSISIGGEASGVSSAKVLEWEEEFHRGWKDGLNKVLGWAVHIADKYER ARKKADEWRIQELKESNDKPSTSSSSTNSSGTKQGNGHVSKSKRRASISSSGVNKQYS KPPLDIRLFRFPSASENLNEDEQGIPGPNDLTAGLVEIDPSETIHREYLDIYKQAIAD SQLYLDNQNQSDGYDVMPPCVLCTVPDCEGPARRGAEGERVDGRGGMNGVHNKGCGHE LGRKIWGWEGFENDNE L199_003216 MSLKKPVPSEIPLPSTPPPAYKALSESSPDTHPTPEPPTEKANT LPDYHPTRPYKLVPTLIAEKVQNRPISKSKKQEEGVFQYPPPPPPPRIFDWAELSKSK PKRTPSPRFSTPETTKEPSPSVSTSTTKSNKLQKKKSRPRFPPGVKFEEEESNTNHNK NKPETIPTPNKEQEITQVTTTPPPPPPPPALWTDYNLPWYVKRTKMAAPPRMLILSGG GYEAFVAMPPSYEKALALAIEKFNIPNTHMIRLSCKASDMQWIGGYAGSEDIFIADND SFHYACAGKHVARLGVHVYDKNAKPGPAPAAPADSGGGGGEKKEEKKEAKPPAPPPTA DQSLTCQTTAGKNVTLAAKVTGELAKGIPAGNYLGTLSIEDKTWKQTFVGNQLGPNEV MTKYVVHDKNTARLIFRPRSARPSIEFLHPEEKSLEVSLSIADWTVTSAYPMTSLLPD GARQKLRWFLKVQPGGIVEDMLTGTQSNGLFMEMIPSVKAKPDKEPDPDAPLIPVWPD IRPSNAWCLPQTIFIPHIDRILTALGLPVESRTAMITSWLPGLTRHKNIAYRILNRSQ LDPSSTLTIIPPPSVMLRIFVLFKGIPDSELKDWENAGVLHAEMGLDWRDSVGWTPDL ADDNLFRAIEYGAM L199_003217 MSFANTDDRSYGAAGYYPRAPGSAHGPPPPTAGGQSQHGSSPEQ RLHSSGGYKTTPAPHESPSQPVYPNDIGAAPGSSHGYPPQPITPLSGQAYPPQQPPSG GYYGITQTPQSDPSQPPTQQHIPSPPPSSNGRPSSANFTPDGIPIVPVGVSGGKMFRC RGYGDCDKVFTRSEHLARHVRKHTGERPFPCHCGKAFSRLDNLRQHAATVHADQSALN EAMLSSLAPVHAALSQRANRDQRKRGEVVEVPKNAVERPRHAEYRAQKGSPASAAAAG QHHQPPDSPYAQYPPESQWNVAPPPHARPRTSGYEYPPYGAEGPPVLEDAGPSRRPAS SAGYGYQQPAYYDQSARPPTAPGTASSSDSISQLPYPYRPISSQGRDLPVPSHYAESE PPSTAHGPPQSPMYSNVPQAQWSSPPPPHSAYPPQDTSAYPPNTAEGYAYPPPPHQHG SYPPREEVYNYPPNWTPQPQYSNVPPPPPTAGGYPPTYNQQPSESPFQYNAPGPQDAA YPPSSYGYDTRKRRVEDESGDSELRKHHRPNDDQGQGQPQNLNAALEGQRDPSWLPPT TERRSSLAISALLGSPQQPSRSRPATAGGANEGYDHAQAAYQASQAFSQPPQPEASQS LPPTPTVGMNGVRRSKDDSAVQGTSTTTTEDGQSMEQKAKALLGQGR L199_003218 MPQDAELIGSYLSLGLQALIPIALGSFKSLKTPEETIRKRKVSR KTQLLDGAEDEEEEEEVIEETLTWTDSLLFPVFGSIALLGLFLILKYVGKEWINTILG FYFTGAGMFAMHSTFSSIAIWSLRALGRKPTTYHVRISAGIRQVFHLPTTLPSMILIP ISIALPLLYIPLGRPYILSNILALSLSTATLALLKLDSFLTAFLLLGVLLIYDIFWVF ATPVMVTVAKSIDAPIKILSPKSSPFGHPKDFAMLGLGDIVVPGLVIALCLRFDLHRY AKFHPQEEVTTRSRFSKPYFYTGMISYVVGLATTMVVMHTFRAAQPALLYLSPACTLG PLLLAVVRGELKDLWAWSDAPTEEEEKKLLDETIEAASEVAMKARAEVKAPEIEAAKK EGEVESNGNAHGTTLIEEDDSWMTGGVVTPDEGKARKRKGGKKK L199_003219 MGKAQYKKKTQGRRHNPLRVPDAHLGGGKGDGKADPAKEKQMLP ILNKLKSPEYADRTWACAAICNLIHNDAATRRLFQSRNVVGELIERLSDSVDEVLVEA SGALRNLAIDGGHEICNEMANKGIMSHLTVLIGKISNTIDSISSSSSQPQKQTDEQFQ NRKHLLSLAENVISLLWSLAEANPKTLAAVNAVGCEGLLIKVLAGRASLSIGVTLAAS QALYSLTQDNPPFRKAVVNYPNALETIISVIEEDHLPVESSQNAKARKGKGKDKQTNG DVEQELPDGRALLRRILVSGVIRNCVLVGSRTDERVNVSGLTSGTILPLVNGLLDVNL AHVVNRVQELVQQVPKEGILNLGKDLKTDHQSPAEVSLERIERNLSTVIIALEVLTTI CAGLEDEDEEPVLNSAEAIAEQEDEDEDMEEMLADEDLIEMGRDPSFAIVEDSRAPPV NPGATLSHLLNTLQLPARLTALSHLTPLSFPPANNQPSPHPPTTSVLSVLHLRALEAL NNLLLTTAASLPSRSDAVSQLASSVPIQQIWSDMFALIGTLGSEPDAMKLKGQEMRVE VLEMALGSIWGTVKVAPSQLDVQSNNIQTLIDCTSVLRSDVAKVRVIEALSALAAREN VSVDENKVIGTWLIQVLTTSPSLSAEVLIAILNAIIDIYADETRSYDSPVFVQGGFLT QLSGTVAKVRSEVKKIDKRKDRQLRTQGEEVYENLTAFIKYRRSLRQ L199_003220 MVQTTSKVPPKTGGDDLDDGLELDPDFLAGSDEEGGSEVEGEDG ISENGDGELPPLEGEEDEIVRSPIAEGKKRLIEEVEADDREDNEEAKKADKKKRKKEK EKERKAKKRQNQAGIPAEKSLTHLTPSELSSILLNSIRESYPSASSVELDDITIPESN LLSPPDYTPSTSETDPFKPLQQRIESLLKPLEKKKLVVGQPQVIILALSGLRCADVVR GVRDVKGNGEVAKLFAKHFKLADQVKFLQNKKVSIAVGTPARVGKLLMEGAINITSDT VLLLDVGHQDSKTRTILNLPEVRDELWKSVFSGKSRETLLGGGIRIGAF L199_003221 MSSAQPDNKTSTTGSKPYNPSAALDKILADSTARYAPLNTADHG SDLNPTKPGTDYQFSPGSQSATAASLQGSKDTSQPTTQSDRANAAIFGLY L199_003222 MAALARALPTPLHNPMPEYEDVLPSSSSSSSALPVGPQIPKYGS RKGWKPKTAADFNGGGAYPECHIAQYPLDMGRKKTGTGTTLALQVDQDGLVRYDAIAQ HGRAAGSKVQSSFKDLVPLANRTDVSEAEREMERPDASSINDTAERTRLALERITHGK IKAAQPKHVPKTNNDATYIRYTPANQGSGEGKQRIIKMTEVQEDPLEPARFKHKKIPR GPAEPPPPVLQSPPRPATAQDQKDWMIPPCISNWKNNKGYTVPLDKRLAADGRGLQDV HINDNFAKFSEALYVADRHAREEVRARAQMQQLLAQKEKAQKEENLRLLAQKAREERS GITSSAPSAGATAPPKELGMGLGGYDSASEDESDEDEGSEEEEDEEAIKEREQVRNEK RKEREKEMRMSNMGSEMRTKMLAREANRDISEKIALGLAKPTAAKETLLDSRLFNRES LSTGFASEDSYNLYDKPLFQGSSAAAAIYKSAGSGKGNDESYGGGTEEGIRNELEKDR FNLGKATKGFEGADSSEAREGPVQFEKDTIIALDGTSDPFGVESFMNAAKKGGKRVNE DRDEERRKRARDD L199_003223 MSASAKGDEDELTTLLKRLATLSSSLVTLSRSEVSLLARSLVPS ATRQSRSLAYLCLSKFCDSTSHHPDSTKESSTDHIYSTFDPYLRSTFVPGVNQSVEES TEPESCLPLTYLLSSLFPLSPDATVKLLTTPLEDVGDGLGILLEVAELPSSLQVALAE LLTAAAGTISGRQMVRSRAMEWLRGAMDYQEKDQELSVLCAVALSKMSRQEEEMVPAP SAQAPAQGDNNESRGITNEELGMDDEKLCRRLMVFIENTSSSTKPSSAILSTIEGLAV LSLNPKNKTTLTSSTKFLKSLISLSPTISPKGGSLPVTPRGSMDLTVPLDQRDTGVCF GLSTILLNLTNPKVQLSAEDQQIAKLRAMALSANKSKLSNNIEDEDEEKYESEEEVRK RTKLVLRSGVVGALNGLYRSESTKVKENLGRLCRNLVEDQNDRLSFIRDGGFKVLSNI TRDLLNLAIKRSNGDGEETDVIPSFQALAKMIITTPPNLLFPPPHLTTSLNSLTPLYH LLIHPSSNSLQRFESLMALTNIASIDPSIANRIVAASVKPLIKSSNSWKGSGSTKEDE VRIIVKVEELLLDENDLIRRASTQLLCNLISCEKGYEYFSGENGSPSENSSSRVKSRL NILLILIGIDDLQTRLAAGGALAIITESPNACKIILDSNVNEISSTSTTTTGSKSPWR RISKMLEPDSEEEEYVEDGEIIPVISSTPALPNLEMVHRGVIILFNLITYTVKLKENE QRIEMNRLEEAKVQDKLMEVLRLKGMSEDVLVVPTVEALKLLKMEKK L199_003224 MQSIPFLWSLLLSLLLINPTQAILRPRTPHPRSYDTHTYYALEL DPSTSLSIASSISSDLGVELVEPIGELDGYWLVRRESSSSSLVKKDLLQNDPILKRWG SLSSSQSSKRSLTPLTIKQRSKRLHLPSKRSPHVLDGRADTTELLYAQNELQLKDPML DQQWHLINTELKDIELNVTGVWGRGITGEGVHVVIVDDGLDLNSDDLKDNFFAEGSYD FNDHTELPLPRLSDDQHGTRCAGEIAAVPNDVCGVGVSYRSKIAGVRILSAPISDADE AAALNYGYQLNDIFSCSWGPPDDGKSMEAPEGVILKAMVNGVQKGRDGKGSIFVFAAG NGGGADDQCNFDGYTNSIFSVTVGAVDRKGLHPYYSEMCSAMMFVAPSSGSGDHIHTT DVGQNKCAHTHGGTSAAAPLAVGVFALALSVRPELTWRDVQHLSVRNAVFFNKDDPDW EKTAAGRMYSYKYGYGRIDAGLFVEAAEKWNLVKPQAWFDSPAVYLPTTDAPATSTAE RRQEDSTVVASPDEGSTSPSTGAEDQPSNQNETTPNPEPVVVPTGSFITEEGITSTFD VTKDMLKDSNLERLEHITVRVWIDHQRRGDVEAEITSPNGVVSVLARPRRFDDADSGF PGWKFMSLKHWEEDPVGTWTIKVKDQSNPDKTGRFVAWSLQLWGEAIDASKTTLWAPA EEGQPDQEQTGSDPYATTTQKPKPTDHLPGDHGDATGEATKPGLVSTTKQQSEPTSTA SDGNEEGWTEPTGVSDADADEGFFSGITSLSANSTWIAGAGMIILLSGVGVGGFFYYR SRRRNRNLFGLSNNGEGARGAYEPVGESEDVPMGLLQKTKKKFGRGAGAGAGGAAGSK ELYDAFGDGPSDSEDDESGDETTGLRYHDDFLEDDGEEHGHGHGTRTVPETEYKDDPE PEPEAGSPDEKGKMKETVATTSASGSGSSSSWQDAAEDVNR L199_003225 MKACDNCYTSKANRRCEPSSEDPSKCQRCYELGPGTECTNNMAR KRRGPYAPQEEYDQQLQVHSLPGSTRDSHFSMSPHQQLDKILEHTNHSSGPLDPDQAG TGFQAAWDNSDEFTRRPGAPWH L199_003226 MNNDITGNAANATTAPASTVSFDTTSSNVVDTEAGSEQSTKRRR HGGGTPKTRCGRCTKKHLRCDPTSHDGASSCTPCDLAREPCSFCPEEFKEVLAKWQRE NAPAKVKKTRSSKACDECYTDSNHPNREFPPDGSSTCTRCSKKGRPCTFQIKRQRGTW DELMGCPADVSATQSSTAIAGNRNTEEIGPMDLSTYDSGAVSQLTEWKTMPESWPTND CQGQLDTFLNPSLSGSTLPCNPVDSSIPDDDLFDGFDEDDMDTYMI L199_003227 MASLSPISESPSSIHSHASVGHVGITIPSIPTKPHSTTTGKTTK SKPRKRVNTAEKRSQHNAIERARRETLNGKFLSLARLLPSLATVRRPSKSAIVNGSIS HLTHQRDQRLLAARILKEMKAERDDLLKEVNEWRKMNGYSPKESANEYTDEIDQINSV EKESFGDFSAMDGDNDDQDQEEEDTPSTNDPYDSNNNNIAAIHGNFTQSNGLITPRSS TDNDLTLQQSLYPSTSPIDQRIPTVPTPVSAAGNTTGINWSTEFALNLNQQMSNPTPS TANGQMTFVDTPTHSSSPINIVSPTSDPAHGMYPHHTPSPGSSHSSSVEPIVNVTNGS GGLPNGWNSQQLAMLEQHAAQAHTIMREHHQAQQQQLFNPMVGNTFNAMFHSAPTIPS SATATGLNSFNGMGHGMSMELTQQMLSTMFPRSETNQVSVQDIHNAIRAGMGLGMGMG MNFGSANGGNNSPWTPTQA L199_003228 MISLTNLFTILLPLSATVALSSHLPPPIKRAGHHDKARKNFQHI HSNENNSTLVSRGTTYTGTGTFYYTGLGACGQYSQDSDYMVALNSAQYGSGYPGPQCF KGITIQANGNTVSGVTIMDECPTCGYGSLDLSPGLFKQFASEDAGVISITWWFNDDSS EATTTSQTPTSTYVAPTSTYTPPTSTWVEPTSTYTPPTSTWTPPASSSVTTTSQAAPS SITSTSAAISSSTITSSALSSTASSIIAHNTTNPYVVISSNSTAASVTAGVTTDGTDG EDSEGSEGVSVTVTGNIEGLNGLVAQYGQLVVVAAEAA L199_003229 MTDPTVIKPLFEPTDIFNFDFPTPPQSVQSQSASETSKDVTVGL HTSTTTETEVFSRPERITLDTPPPDFEEEPDDLDMEAELAMMEMERETMLLEKTNSTT LIRHKEMENRDDDFVSSGIFDSLETNQPIASSSRTILTPPPESDLTPLPIFQPHFNTC TLPSLRAETMQGKIVTFKRRNKPKPSQAQIHAKGSKANAGDLLSIPLHKLLAEVDELK SQQEAMKLQQKYDEERRRAERAGMTVVKRSTVMWVDKYRPKQFTDLLGEDRVHREVMN WLKEWDKCVFKRVIPGKKRKLETDNENFVVDPLGRPRERILLLSGPPGYGKTTLAHVV ARHAGYKTLEINASDDRSAATVSTRIKNAIDAGSGLASEGKPTCVVIDEIDGASGGGD ASFVRSLIKLIQDVPARKKSNTPARPLRRPIICICNDLYASSLRPLRPFARVIRFRKP QPQLLVKRLREICDRETLSADLRVLTTLVDVTSGDVRSCLNTLQFIKSKSSSVTDEAI RSSSVGMKDSGTTLQSVWNTVFIPLAAKQRRKAIGIDDGRYVDRISFAIQACGDYDKV IQGLFEHYPNLKPLDASLGNLCKVHDWLGYYDRLSQRVSESQEWDLMNYMPYAITPWY SHLAAPANNAKPTEWPKADYEAYQARITNEEVSTSLKNLVPPILRSLFSTATSLTEFI PLLMRIISPPLKPVNANIVKPAERAVLDRLVELMIPLGLRFWQEKGEIGQPMMRLEPP IDVFVHYEGKRADDIAVSRFAVRQLIAQSMDAEIARRRGAAGAEDGTTGSDGFAKAYG LKGNAGLGKKTVDKAELPATDFFGRTKSTSTPLLATGSMEESGISPVSAEPPVKKFRA VYKFNEGSSSAVRKNVKMSTLM L199_003230 MPPKGTGTKPRNSSPIKPTRKPSRTPATAKKARVSEPAPPKAGK RKAMDEKNFVDDEADESEKGNDAKKRKVGSHVEVEDVVEEDTVVVEEEKVEDHVRNND DKEVDEGSQDIYKEVSSKFQSKSRKSRKSNSKSSSKSKELKKLEGMYDGVSTLIIDED IVGNRKATINQLTSLLTDTVNQDIQNAKRKDEIWDLRNERFSGLHRHYEDFLNGYHGP LEVVMKDAIQTYEERPKDIEKTIKEFTKVQKERIKEDEDNIITLLDSRKIVQHARKYI LSMIKKPNQEGKDR L199_003231 MPSFTRRVPSTTLPPPAGTHPSPSLSSLNLLPSGLPSLDDLLGG GIPLGSIFLILAPDTQSSWSKLVERYWIAQGLISGQSSLVVGTDEELVKGCMWTEKSW RGGEESQSETEHEGDGGDEGEGKKIAWRYEKMGKFKTTVGGNGSNLSLTNTIPHDVLQ SIHKTGQQSYINLDLDDIEDNSTTTIGTATSSSLNRLNLAISKIHDKLDKSDPKRAGR ITIHELGGLEWGDEIREDQILRFIHSLKSIIRNKPISALITVPPQLIAGPTKESFVRK LSWMVDASVELKGFADDPTLPPLFPTTHGLLTLHSYPHSHTLLPSTLKHSTLLGVSQG SDGGGGAGENNLGFRLKRKKFVIETVHLGVEGGVGERSTGPPDVVAALSGTSTHHLPA TTNTGEVEGVDKGHSSQNQNTQIGQEESGVGVGVDKPKGPEGDTKKKSKPRARVRFGG EEEMSVNVSTDNGKDQHHDHGHGHDHTHDHSHGNEQKKTSQRVQVRHDRPDLYEF L199_003232 MSYSRISSSPHSTSRHDHSHSSSSKPKSTKTRKAVITLLVILSL FLIGTVVVLSSVSYFLNIPNWAYLTESEVSWRPSDIIQPLKDPLDFNETRRIQRRKEW RDVTDDLSEAEPLEASGILGTETLPESWKELEEEMEQLDAAEEVDVDVDVDIPSDGDE QVEGETYDDSVESEDTTTKAAEAAVLDDGMVQDAQDDDGVWGIDGEGTGGYWMKKDWN GKVQDTESWDRLFNVTTRPGETIPRLIHQTWKSDVLPEKWRKAWKECREGMPDYEYML WTDDVSREFIAKHYPAHLQMFDSYEYPIQRADSIRYFILHHFGGVYMDLDIGCRRRLD PLLQGDWEVILPITKPVGVSNDLIFSSKGSAFMDDTVHGLSTFNHQYFTNYPTVMFST GPMFLSAQYALYSSAHPLTETHPRAEVRILPKSLYGKNVPMSTVPHSFFSHFYGSSWH ADDAGFITFLGAWGKKLMWVGLVVLVLGVIRLIWLKRKAANGGQQYQLLSILPTSSSN SGGTGNGNRSGASTPTSTTGSSGGLMSPSTTFDLPGQLQLNSSDIANVFKRAGHLILA APATLLYGNNENRRRRQRTGLLYFVPALFQPDQVRRRGRTASEASQLPLRTSRRDRER VPPPPPYEPSGQDGYPMTKRDETMDEVDAFLNSEAEGETSGQSTYNDNDNDGDGDGDG DGEWDDWRRKSDVD L199_003233 MSNSSKKQEPEILSVEEYKTDAKWLKLENIKWKDQEGKERNWEV ANRTKRPKAGVDSVHILALLHHPSKPVSTIIIEQYRPPAEATVIELPAGLIDEGEDAA TTALRELHEETGYGSGKAGEGNASVKEVSDVLVKDPGMSGANMHLVTVDVKLGENDPD PEQKLEPGEHIIKRVVALKDLHSTLKDYSKKGFAVDALISSIAQGWELSKQLA L199_003234 MAYNADTNRFTIADYTYDRDQYEAEPEAESSSAAMARAAYDSLS PVVEGSRASSSVSPPVAAYRPKVQRQPTDGVPISASGGTLKLHDYPPTSPPRRPSTSP TTLTIPQLSPAHSYSSTQPLNIRPESAMSEDRITLDEERDLEHEGDRRSVYSDMSEKH AHAQTTYDQPKTPNTGFTRMTTTTRNKSLWRRMIPSTTLTKCLLGTILIETVINLAIE GNILYRFNEEVESTTSTDLEWENRRRLPIYLIIFGLAHLWQIVLTVIAIRTKNTIQVM SVTAFNFAFLGYAVIQIYELRKVLGDNLANELTGNEGDNTLMTIPLNVLTALIIAVVA LSCLILTGLSFYLQREFGWQRYRFLGADLMIREYYTKLQVFECICYFSAFFCAGFGIQ FIWLVLNPDDVEYIITWIAFPLLLVFLVIGRFAAKYENKYMMAAFMVGLVAGCAYFIF KLARIWQQDDTTYRTLAKSLTTFDALSIVSLLACAVWGVMVWLNFGKGLKQALLARPG TISSIVGLWRSKSNETLEKNQEMVMAQRRISID L199_003235 MSCADDLTADDLTNNVTTEVLERVTAGEGSNTNLWGQIDKDNVT GLNLEDVSSAPKVIKTWDERLDEELFVESGVDDDLILYIPFISSLRLRTLLLHPPAPG HPHRPGRLRLFANLPHCPDFSDLESMAPIMDIDISSPPNGTRRLPDGRREVEEWGLKV QKLANVFSIILYFSEAETSLRSTMFYVGFKGDPKTHTMDMSKLGQVPAQNAADKKIDE VADKKGSGYTTIR L199_003236 MSKMDKGKAPAPPSSNWAKLQKTLPAPSTSKTSKQKKSEARRAF MAQEKQTNKYGVNGVSRYIGHADFTRNGQSSSSAVAGPSKITIPAPSKAKEDVVLLPF PTDSPLVEELRVMVSGKGVLNESKKAIAIDCEMVGTGPNGSESCLARVSIVNFHGHIL LDTFVQPREKVTDWRTWISGVRESDMIGAPSFEEVQKKVAELCEGRIVVGHAVDNDLK ILLLSHPSPLIRDTQRCKMLREKAKSKHPGLKKLSEMELGIRIQQGSHSSVTDARATM GLYRLHKVEWEKQLYHTTEAYRAKIGKSKKPDTGDKKRKRDDDDDGEGEEEVEVQEGG KKGRKEHFPGGGRKGISSGLGLIVKKNGKRVDSGGGFREEKRNQASTLSSAGGNWWES VDE L199_003237 MAGGPSLTWVGYNLLRLTAIVILCWAIAVQFIAIGDDMSAYSDT QSSSTSNNAGIISSSTASSSSRNPYAAPTARSSSSFTAAIGAVTQNPSDRRSFEDSAT SYSYLIKRQSGDTADEVRQANYGLSSVPRQPGGVVFTIFSRLSMVSTLSLLLLGQFGW PEMFLYDHVPWLGPQSTPIWLGMVQTIVAIENLRIYAKSSVLLPCWALFIIGLINFTI GGVLSWLGRKLPKSPSPPLYFNLSTRVMYFRPPPPCYNPITKPHKQTERILDPELQGS ASEDKLLPSSNAKSLGLDEDEEEFDKISIRSEEGFSLPIESQYRKRAPTDPSQIEARN GDDHNHHTDVTKGGYPTFSGGGLTDPSSQVPLGFIERTKDGRKIEFINTNAESGRSDH QKRRSDLPPRGQSRKKAMTIDNSPQLHDKLVHLGSISRPRVDSVDTLTLISKSKNKNR DEYMRSGESGLEEAAKHARMRKSTLSPMTFGPLPSSLPFDKPESMPLPVPDAMAQTNH DKASRMNMDLKRSDSKSSTIGYGMSNSEKRRQSTSSYLSATLEMGPRFPFPPSRQTSL KRSYIPRPDDEEEDGIRPIVESPKEVGLTSEAKGPRPPFRAGSKREKPKVSTADSASY EGKDENRARRYAKLEDKDKKRQSKSKLPLSPPTSAPSIPLPKIPKSPKNKSSHSSVPR RRSSSSSTATSPKLISGRKRAATLTAPAPVGSFRPSPSIKRTDSTRRGSRTARGVRFN LSPQAEAEDQIQSEWSSSSDEDSGDTEIGEVRELVINDDDCGFVGTPTQSLHRPESIS LD L199_003238 MSEPDSLTTPALDNEHPSPSSSRNNSQPSSASERIIVDPVALIP VHAIRPSTSAIHPPETPVKSSATAATVESATSEISHDHHAHTHTCTSTCRRVATTEEF TKCGYIAQPVSFYRNKAVYGNPTPSKVQAMDWMKSRFQSDARKPSRVWYHHPDYCEGY PCRGDILYCPVLDKCFFKERCVKHYAMRSRERCVPFNDGLRSDSRSNSNSTAVSTNEA ELRSTPTPTHQAGPEIFSRKRQISINDLLNGNGNGNGNGPKRVRKVISYAESEEEEEE ESSEETGLPIKYYTPKTKDVPVYNKDILFPTPPSLPLNNLADPLSFDRDHHPEQTLLT PSSQDDIGQYHSFSRIQDEDDEDQEIDNAMAMMWKRMYTQTKDQLDATTVRLLEVQDD LAIAKERRIELEIREKTWEKQVSHLKQQIHEANRNRTD L199_003239 MCLSLLIARSPAPPVRTVSKVQPESSSSRVKLKTPEPNKLSLAH NNDPHPTNDPSTSSLGEVADKLLPPTRLSPSNTCEPGDYITYWRKRAFDYKDRFIDQK SRCDILEDYKRKRDAEDSQGSGADVHRLFRQREEQIDREREEWQGEIKALKEDLAKKT ETLELAQASQEASERQLILEVAVNKTLTKERHDLQSINIGLGLAKSQAESAYERYQSQ TKNELSKLDEKIKELAREELETSQKEYERLESIHEKVYELMGSRK L199_003240 MPKDLQQVIRLMAPFSMRCNRCGEYIYKGKKFNARKETAQGEEY YGIKIFRFYIKCPMCSSEITFKTDPKNADYTCEQGATRNFENWSETDKKATHLPGAEE DDEYDSDGNPLESKIEKDAMADLEKSQEQSKREMEMMDELADLRQRNARLELSNVSSD PNALLEALHAEKISAEEEARRKAEEDEDDALVKQYFSKIPAPGAGPSTSTAKAKPKSH DGEDENEENSDEEDTALPAALTIKRRPAPGTSAGSNEPSVASILAAKGKVLDGQSNGN GGTASAAPAQAKRKREGMQKLLGIKKKAKA L199_003241 MSIPPPGLGGGVNRTGPPPGFGGGNVGVTHSPVSDASGTASNAA STGAGAGGRRDGQGGPAVIIRAQIVFLLTTFTEDNFDKMSTEIRTLASSNGPEMYHHF LRRAVAVANPILQTLIQHSQQYKDDPAAPPPQLPTTGQAALVWRLLVTEAIRAARDVQ LAPHFSFIMLSPAQSTPLPLPSLRLFNLPPAMLFSLSAYTLASPHVFPPTHASFAVFH AILSQTFQPTMELLRSPGVPFWTMTAIPGREPFTDDLTLQEARTLILALFPRSQSSSG GNSTSRPATPTNPTSPHSSPLNSMQRQTLVGSLTVKFSSPAIILQTLSALSPGGPPRS PGAIPLEDILFELGENLTQDEGTVEAVVGRWWGPYLLEALSPEEQRKQVTEEACHVVH GLCEGLRMGRQVDLHGVIKGMSAIPSISWPDVVKSFDSPLTLAAYQTSIPLIICLIYV PPQAPIPPLAGLLPASLDAPTWENMSSLLSVLSLLTILPPDAMPIFTMPSAPSPQSYA RIVNPPTSDSQLSKTARQQANDLQGAGLWNTLGLIQVLVNACGLAETDHPSEREREER ADIGRRATDMLESAAKLAPELVLLALEKLPVSLNRCNKADNQKPLPPSVANMHTRLLA VYLSSAANAMTSSALVFHQMWQASPEGLLSVLLEFYGEDENNLGRIVEIATELKILHK LLASENLHFTLDVAALASNKDLLDLEKWLADGIEVKGEDFLEAIFDFVEHKIRLEQDH QHAPESAPPLLYTLGTSVYSIFIRVVRNAPNLSREDVSRFKHLRTDILILQPRLLNLK PGSKAEQGFSEAKFPKEIVDKVDEMYQQMYSGQLKLDDVIDELKRCQKSDDPVDQDTF AHALHSLFDEYKFVKSYPPKELTMTGLLFGAIIDYRLVKDTPAFVATRYVLDACKTPP HEALYQFGINALSILRNSLVDFPGLCRSLLEIPALHESHPVLINDIHQALVEREELDM QGGVKLAFPALKLPILIEEGDDEFREPEPRKKDAFMFIINQIAPSNYEAKAQDLVGIF ENQYSRWFAHYFIDVRVSLEMNRHDIYMQLLEALHSPVFEKHVLWETYRKARDLLNSE ATMNSASERTTLKTVASWLGKITLARNKPIKLRELSVKDLLIQGFDTKRLIVAIPFVC NLILSCKDSIVFHTPNPWLMAILRLLAEFYHFAELRLNLKFEIEVLFSKLGVELESIE PSNQLRLHVPPPPPQGELPNRLDLELQRATSEIMNGGQRFAELPGNEAYARMQQLQTE QAAQAAQDSINRRVDELIAQLPQYLIFNQDYPIFTAPTLKRIVHHSIDRAIREIIGPV IERSVTIAGISSRDLIQKDFGMEGDAVKMRSAAHMMVQNLAGSLALVTCKEPLRTSMI GNIKQMLEQNGYTEDSMPDAMIAGVVNQNLDVACSVLKKAAMEKAAKDIDVNLAPQYA ARKAHQNLRSQTPFWDGASFGFALSHNALPDPLKLRPGGLTPQQFRVYGDFGEPTRMI SHPTPPANGDYLVAQYRDLNLNDGLVPSDIKRGPSPRVFNQNIVEGPESVASPQAIPP QTSVDKFHELASEIEKLLAQSSASNISALPAEHEIRSLIRGVVIIANQSANRDSTTLT IAQKVVQLLYRSNAQLSREVYVYLLQQLCDLSPKVSREVKQWLVYAEDPRKFNVPVTV TLIRTQSISVHELDAALAQVIIRSYAQEVIDFVAQLIRECSISENAFIPRTGFANSLA ALLKSQEVGRATPIAEALLDELRGGTIKSPAVPTPDAKPGIDGKLQERLSHYFLEWVR VFSTSKNAEVAFVPYITYLQKEGILNGEDISSAFYRTAINTAVDLDTAKLQQGLFYGT DALAKLIVLIVKNYGDKSGTSSVSRTVYYYNKIITIMSYSLVQKQLEMGEAFNQRPWA RFFTSMLSELQSIEYNLPETYLGCLKHFANNLGITQPTYAPRFAFGWISIVSHRLFMP KLLQTARDDGWPEYHRCVMWLLRFLSPFLQSNDQMSPSSRSIFKATIRLLVILMHDFP EFLVEFYHTLSTAIPPHCVQMRNIILAAFPSTEAPLPDPYKRLDQLVPEMQRFPIVRS DYIGALTDGNLKSAIDQYVRSGIPALPSIVNELKNRIAVKSLTNGHSNQDGSSGSNVT WNHTLLHAAVFYLGTTAVARRYQQTGVVDFDAKAPEVGVLTGLAHAFDAEGQYYMLSV IADQLRYPSAHTSFFIHFMLFLFGTSSRPSETLPPSAIPERIARILLERTLVQRPHPW GLLVTFIELLDNEAYGFWKQPFVRAEEEVYRLFGQVRGSVVARRELQV L199_003242 MYRRVYKNVEKEQKKKFEKGLKEGKVWYRHPDYCEGYPCRGDSR YCQELQKWFYTRNCVKHHGLSAQYICKLNHEGVKIDVKADRPNPEVDIFSNAVAPEDV HADRKIKVLESEIRREKQISARLLDEVEGFKTDIEYMENKLRLSEETRRSVELDNVNL KIRLEKLHSELETEEKNRPEISMGQAMVRLEGIERLLYEFINDDD L199_003243 MLHDHLRQSRSSTSCSSSFTFGLKQVMIQHDHNNPSLKSPLLTL TTRQSGAHRKQDLVLSYSIYNTLKERGKEGKLDSELIRGHVPPISFLQPIS L199_003245 MEALSRREDTEVLESVKSDALKVCDDYVKAFAECATGRTFTLPF VCKDKLDDMQNCMREYMTQDRMDAAKLDYIANRSEHGRQAVEALRKSRAERLRKMAGL KEEPSGAQRK L199_003246 MNNRHGLPPRPSFSATPQAGPSQPRPPAPNQRRGRPQQSQQQHQ QYQAVPNPSLSHNPYANQASYTGGYPQYGLPQPPSYGMMGSSGGYQQPNISGGYPSFF PSQPTYPQFSQHPQYPNQLSAQALFHQPPQPQVNSGGYSYSSTSAQSQPPAKRQRPNS NPQTSAVIDPSGAGPGSGSGSGSGSGSGSIGTWRNCSHPGCKFVGPSEKVQIHEEDRH LIFVNGKMPERSEEEERYAKRKGPPPPIQGTNITLNTPEEIEKWIAERKAKWPSAKRI QEKEEERAAAIARGEIPARGKRKKMDAASLAEEWGRPANVEDQSGGERTRRGRGRGID IDRGSRGRGRGRGRGGNVGHTITETGDQGWSQITTLPSIKPKSSPSSVKPSINALSAL EGYDTPTSSSKSIDSSSDPDSDSDGSSGRSTTSSESSSENDSSEDESISKDENKASSI KGEGQAPVTAKGQREICKYFRRNGNCKFGNKCRNSHIIDEDEHSIEVRSNLQPRQPHP QSTNNKKQNHFARPSMLGSLLSNPIQNTISQLSQTIRFLVANNMLEGVELNPGDAQAQ EDEKNKITELEETL L199_003247 MFAAASNLFTKSSYLSAYNVQASSSSASAGPSPNSSSSHLPLPS SPIPGSSSSSSTAAGAGTQVKSFNVGLWKVLGGSHKTTGKEVSVWIFGKRVLDGVKPS VSFGGMGGKDWVVEQLKKEASALSRLRHPDILHMVEPLEESRSELTFITERVTASLSS LFASASSASKTNRGGRPPTAEIGEQVDLDEVEIQKGTLQIAKALGFLHEKGRGVHLNL GPESVIINSKGDWKLSGLSFMTPLNQPDGSPTKYVYPEVDARLPPQVQWKLDYLAPEY ALDSQLTPSSDLYSLGCLLYAVHMGGKPPFQNRGSMQSLRQNAEGSLVRREWMSGSKW ERCSSELRDLLPRLLTRQPSTRISLASLPSHPFFSSLAISTLNFLDPTTFASKPREEK ATFLRGLVRVLPTFSERLRKGKVLPSLLEEMKDPYLLPFILPNVFEISKGLNKDEFAN VLPKLQPLFALKDPPQNMLTLLEHLSLFEEKTTPPVFRENVMPLIYNSLECEHLPVQE KVLKTVPHLCEILDYGTVQNVLLVKVAILFTRTRILSVKVQTLECFTSMVKTLDKATL TTKLVPLLAKIKTKEPAVMMATLAVHEAMGAKVDREAIATLVLPQLWAMSMGPLLNAD QFTKFMTVIKTLGARVEQEHSQHLRDVHRIEAQTASLAAQNTFSLNGSTSNLGGGAGG EMDFETLVRGGSASAAPSPNLVFSNTVGATDPWDDEGWLNGDSDNAGIGPLSNTFPTL SVNNTGSSIPNSPNLSSTTRQSSNLGVGSSKLKARPVPSSTFNSSAFGTSSPSIQSPP TLAPSIPAPPSSSSFTPLQPMKSPSLTPQNKFSPSTNSGSGKINGPNYNISLTPQPLQ PQSQLQNPLSFMSSPSLPQQNQQQQQPSYQPQHALQPTVKPPPGWSPGLMQPSSAPKA VWGKSANVGGSGGSTDWGDFDPLK L199_003248 MGIVNYAILGVTHPMELRALINFAIWKDFRDIKAEDQWPTTHYN RESMKKCWEYLDLTSRSFARVIMELEGDLARTVCIFYLVLRALDTVEDDMTIPNSTKL PLLKSLHEKLYEPGWTFHESKEKDKIVLEEFDNIQYEFSELKPEYQAVIADICKKMGA GMADFAALATPEQPVAEVGSIADYDLYCHYVAGLVGEGLSGLFAASGKEREFIKDQLT LSNSMGLLLQKTNIFRDIHEDVIEGRGFWPRAIWSKYGFNSMKELIDPSREQQALWAS SEMVLDALRHATDALDYMTLLKCQSVFNFVAIPAVMAIATLERTFMNPKIFKENVKIR KGETVRLILRATNPRDVAYIFREYARKIHAKVKIEDPNLLKLSIACGKIDQWAEHHYP SFINISAPSAGGRASSAIDPESTDARAALFMKLAKDAQEKAQREKSEKFMADLKARGV IKQRSPEEEAAIKAKYEEMDKEGAPWFMIGAVIFGVLALMGGLGWGVIWFIMKMYPDV SAFDIRYITREWY L199_003249 MSASPPPPAALDAEEVDPSDLVVENNVGNEDVDADVDADVDEDE RPADVGADGTLQTDIGGEDQDQDNVVDEDGAGEGGEYVAPTATSPGKIPKFKKTKRTS EEAEDDDVDVDEDEEEDDVDEDDEERRRRRKKKKRMENNRKRRERGEDDPDVDVDEDD EEGEQQPVYDEATQRRMALEERIDNIGKKQKVTRRKKKGDDEDIVDNYHDEICARLRD RMISAADKDEAANRQKLPGTAKLAMLDEVMGVLRNTTLWQSIVDNGVLEAVKRWLEPL PDRSLPSVGIQKAIFEVLPKMDLDTTTLKECRLGPIVLFYTKTKRVTPAINRQADALV QSWSRPIIKRPANYRSRQIDTQDDLPLGPDSQGRERDEIELSQTQHSQALSLGGGSQQ RTKAQRFDIKKALAENAGRKGARLQIVKDIQYTVAPESRTQHLAEDIQHVSRVQMDNR KFNKFARQMKAGRK L199_003250 MRPTISSLARIASRGKRPPPLGSITAPSSSSSSRLSEIPQSGAS SSSGSGSTLREEGWYHVNRSEGGKLPVYSKIRNGGAVTTIIRKVDGDVRTLQNQLTSY LADLHIDPYTTSPKVTVRPTNNHLQIKGHWVDQVKGYLEGRGF L199_003251 MTSPNPADHPLPISPDPNTSPNTTTLPLALPPDAPDLTAPPSDP EAEALIESLRASLAAAQQTISTQTTRLSSLSDVETELSQLKDQYAFLSAAKEAVESQL QEEIKKREVAEENVEMLRGQVEQARRGVMVLQKQEADRKRMSIISGYSTAGGVLGLGL NGEEEILNSTLGDSSSMNSRDSKLVKRQSIMRSHRRQSSQSEPSLDQLHERGTSLVTS PNMQNPREAGNTLRPIGQGGLRELRLGHSPSSATIPTATLPSPNVPLTSSNPHQSGYF DDQASEPPSSEQSKTTELPSKKEIEAIEEATRLRNELLALQNKLDESEEARIASESCL KALREFMAQDPNAGPSGSGSGLNEGGEMTMSTAELLKGIRLPPLPTDRDADEEQRNAE AERAKLAPATGGWGFKLWNAKSSPSVTPPGKELPLGAVSPQQKTLSPIENRSRAGSTA TVSPMPTATDDVSTPTSGLTSSTTVSSQTPLSSFVSNWTKGVTSPPTTASTSSPQTER PSSTRKISVTNFFSRGAKKEVQSSTTPEAVKEQGEEKELPTPPTELLSDDRSKVSLEP SPEISTRELLFDDSKRYSKGTSGTTVTELEDELGTPHSSLKGVGVGVEDEVRDDGDKG KDKMEEVAL L199_003252 MSTAAQPEILPVPILDSNSIEAEGEFDKDQVPSSPEVGKVEVEE INEKAKLSDYFTLLASGFALVSDGYQNNLATVFNPIFKIIYKSYYTSSVSTRVSNSLL IGEIIGQVGVGLICDLIGRKTAMVATTMLIVVGGILATASSGSTPAGLLWMLTVSRGM VGVGVGGEYPACSTSASESANEKFGRDRGKVFILVTNLMLSIGGPIVISIFLLIINAA GYKGTTTSEDLYKLKYTWRICMGVGVLIPLSVFYFRLKMMNSKLYRRNAIRHSPPYGL ILKRYWKTLVGTAGTWFLYDFVTFPNGIFSSTIISGVIPGAGLVRTMEWTLLLSVLSL PGIFLGAWVVKYTGRRNLLIMGFSGYIVFGLIVGCSYDKITKIIPLFIVFYAFMQSSG NFGPGNMEGTISAESYPTSIRGTCYGFSAAVGKAGAAIGTQCFTPIQNNLGKKYTFII AACCGALGVLLAYFFVEDKGKDRLEKEDELWRQYLVDHGKGDLIMGDGSEENKAESNV EKGELTYRE L199_003253 MRNTLLRNALRSGIKRRPIQAIPSTSSKRGTSQIRPYSLFNSPH PSKPPPYGQPHPTSHPHLVKPHELTPGVPPEEYESRRRKLMESLGEGSRVICMGGTVK LMSQSIFYRFRQSTDFYYLTGFDEPDATLVLESAPSTSRGYRYTLFVPPKDAHDALWE GERAGVEGAITTFGADEAHPNTSLSTYLPTYLNISSGETIYASLPPKASSSVSSQPFV PPSPRRRSSLLKLFSNSTASTASSSELNPNDPPHLLLAAALSSEHAKPLERSIQQIRM IKSPVELKMMKKAADISSAAHTRVMRFAEPHKRERDLEATFEYECSMRGAERQAYVPV VASGANALVIHYTRNDCVLDPNDLVLIDAGCEYNMYCSDITRTFPVSGRFSEPQRDLY TAVLNAQKECIRRCTVEGGVTLSELHRASCGLLLQELRQIGFKLTVGDVERTLYPHFL SHHLGSDLHDCPTRDRSATLVEGNVISIEPGVYVPYDYKFPKAFHGLGIRIEDEVAFT KDGPMVVSANAPKEIVDVEAACQGLLG L199_003254 MAPGISYDESGSLASYFGVTFLAIVLIPWTLSATRTKEKETLKP LCPCPLCRNSPRRIHSIKSTKRKRRFLKKAIPLAIGWLLFAYLCYSLSQAPRLEGETI YNPFEILGLSDKSSEKQIKKHYKKLSLQFHPDKIKLAENQTKEDAEQKFVELTKAYKS LTDEVTRENLAKYGNPDGPQQREDKIAIPQWVVEGKNSIWVLGAYGLVLGGGIPWVVG RWWFAQRKLTRDGILNPTAEIFFHQLREDTDFFSLISLLASALEFTAVLGGSKKRGSK KERKERQSKIDELEKILDQRKIEIGIEENPLMKKENRVAVTTAVAKRARALLWAHLLR IDLNDHQLESEKLAVLRVTPPLLSALLNISLAHNWLTTSLLCIKLQPALVQALPTDVS PLAQLPGVTPEKGTELQIINKAEGVRWLEKWIKTEKKDVGPETLNVAKYWPRLEIVDA VFKVGDESLVTPSSIVELTFNCRYVYPTTPLSLLSKPKPLLPNGDIKETEEGEGGAAD SVADVEESVTKVEEEKEKPTPVDVKEKIVEKVQEKSEIEGEIKKKVEVPPNGFAHAPY WPQLRKPHFYVLLGDSKLDKVIVPPIKITDIPFPKPDGTPSEPKEYKLQFQAPPASNL YSFVAHWRSDTFLGGDVQVPIMLKVEDAPEVTESLEEDFDDDISEPDEDTLAGQMAMM RGEKVRPSGVHQQDDDSEEEEEEDSSSDEEGPKKRVRAYNEDSDTDSD L199_003255 MLRQVARSVRPAVRGFASAAPSAGENEFIAKRQAVRAHAAETTD LWRKISFYVCIPGALVGAAWTYKLEAAHHEHIEHLKHENGGELPERPVYSYMNFRVKP FPWGMQTLFFNPEVNIPAGDAE L199_003256 MAGPAPNSNPWQKRVRRLFFFVGTASTVWFVSSYILERLKETRL RAIKERKQRDLMKNHFTSLISTISFTLYALLPTIQPTLFERYNVEEISQVLQDISSTT SSELSESTSSLDPNQKVNSLLLSDTTPNPHPHEEGTRADVSQPSPSPSSSPSPEVGVG STSESWASEFQNTQNGQTSQRRESSSNSETETESEGMLGSSIGQIDTEDAMSSIVSQS ISLPPTDTSSPSPPSDLSRSEQLQPSPPRGNKLQGRSKKDLWRELKSQSLTRTITTIY LLPMLYLLTASQLSILARSKYMKDIESSLEDPSPSAQSKASTADIQAEEEEEEGSTTP GKSQPTKKKGWFSLSSYSIESMGLSEYVESSKSSISSYLPTFLGGSTKSQLAPIRENE EIMALRRQEEEELRGEAERLFLTYSWWFLNEGWKGIAERVEESVEKIFGYMPLKRELT IEDWEMKLKEVRAEIEMELSVKSTIELYDFTSHILPTSSSASTSTDTPYPRTPSDHSS YLQELYDQSLEQISSADGRYLIEKGISTLLGSLLSDLKTGLYHQQPNRAGVRLVNCLP EINKWGKNVWEGIPDSGVESLLGVQEFESFSALVFGDWAPR L199_003257 MSIKIQRATKEDVPELLGLIVELAVYEKEPDAVVATPELMIKNV FENNYAEVLIARTTPEDGSKGIAVGLALYFFTYSTWLGAPGLYLEDLYVKPEYRAQGL GKRLFGELGHIAQERGCKRVEWRVLKWNKPSIDFYKECLKAESLDEWDTMRIEGQEGF DRLISFRKN L199_003258 MTSFLSLPSSSSSSSNKLDSEALATLIRQLKRSPESPSRLTLPP IMGVIQEEDEDQEGTSVVVEEVDSGSSSSGTSPDTPSDRSGLYDIPEEDEHEGVNRAL WVIDEESDEQIRKETYFNHHIDTYGDENIPPNENQNPNQDNPPPIDPYSPPPPSPCMT HIPSPTLPDNPIVPESYYPEDEEDTPSTFQKVPSPLGELSSDQFLDAEPEQEESVITP YYDSNTGMRLIPQQRRRRKTLGELEQYRKFAMNKAAWKSYQEAEALEARRRIREREER EGLWMRYSFGAGSNGRSRGRWNRPDLITRAQSFPPTASRTNTEPIYATLYSDPDPGKD EHLTSNWYIDDVEVEDAGQGGNQLQVQHVEYVSSPRSMIEGVDYEEEVLSPVSEHIQT PALPNDFDNDNDNDEPRKFCPNATQIFGSSSTSFPADGQSYDRSDSKYEYTAESNMTV SALTYGLGLGLSGMTSDQQRGAKINTRMSFDQMIKYEEGKQHLEEDEISLVELPIRVI FPERTFEEFKAAGREYTREYKENQSSEKDDLQDRSTYREDLDGLPIPERGRPRSRIKR EIDLGSAESYLSYTSTANSSGTFPNGEDDYDPNYPYYHTNNEKDEAKGLMYSDEPEAS EAPNRSNSTSPDSQYSSANEQEEEGDIELNLNRRLTISPELEDGEDEEVKVVIDSRRR HSAPGKLPSYLPGHLSEFDESGPLDMGDGSERIRSKSECSIHA L199_003259 MSDSTSKKDRSGLQLNTQSPNTTPSVASAGSRFLSRRVWGRASA TTKTSTGQDELPTLSNTTTSVNHPLRKDSTEEVPKNPNQNFHLEEAIELMNPTPPPSS ENGNNTISSPELIELIKATSVYINNLQLDNNKDNTDPFNTSASSTSLTSTATVTQAAE NEINDNKSASVAISSDNVKTIYSRAISFSDRRNDPSLRTAGIRLLTSIITYCPPPRYF SEQSTVQLPDTITVRTMYNLVLGSGPGSSESSTEAKVDLIGAQVVALKVLSKNGADVE GLTGLIGWLVRMLEEIKEDWSRWCEMKPNGGNEIINRPTKLKPFDPIIPYSPLETAAS VIDLINLVSYNFIDLFDPNKDIARIIQPIFSFISKGILAYTPPNDLAGLNISQGHVPA PNPSRRESLSSLAFSSPMPPHHPSPSLESSLRRSASITRRKDHNMPSAFSTPSSSRFA RHSTLTSPQSLTSASVLQSPSPQFASLPMPKWLYLLPSACALLELFIDQTVLPQDLFI DVMRFACICVAYDDESGLPTDVGQVPVHKLLAVMFRSNNGRRGELALRIIMEEGASES FKITYDGLTDADARVVQGAVYIARSLLLNLDDPSPNPATLPSASLSSLSPSLMTALST SRFTKPEDQQERARWESVDYAVLTLLQDHLHRLEQGSGKDVIKADIWTEGQAACDILR ALWPVAVPSRASGLSLYVAEEPSTFAAIFDAVIHQLPTAIEKLHPSGTAASPFFHPKY IELLFSYGDQLSEEDAAVILDYYGNEGLCLPMTAGWIENIWKLINTFYESTLNLASAK RKLAMIIFRDLYTSTEEMSAPRSEFVDKVIVPFLDKVLLKQTEDWFRQEALSVMIRAA VAETMEKDEERRKARAEKNMDEVEEEDASALPSQEVKEAAAGGSFHAIRNLIIALAST AWCKDDDHPAHRTASERRRPAHQLPRESNAATPSATSSSALKGLMNVLSPPTRTKDLP SVAPSLASTDDTTSSTPRQQPVNHRVTHMDCQSLHAVSALIKIFNDLTFSPPHSLSSS IKAARTPASARCIAIYRDLLGLLYPFTDHQPGNQTPTSRIAAVPARCPRARIAILQWL LRLRADPKHRIYLRTNLDVDCRSYADTLFRTSEAVEAQRSKLIADAEESRARTERRQL NQQSQQSLSNARESLRDRTSTSTRSRSRSRPPTDHSPQYSADTSGFAPLWCLPETLTF DMPIDSLPSEGLLTYDPNHPSLRVKDAPPVEGVWLPVSEYVRALNGILRHETNWELVS YVLCFLPLQLSNKLFFRGGRATREVKALLKALIDLIPQDDRIERRCKYHQFIKRPNVN AAVYQSLSILIAYRDVLEPHECDALIGAFEACLESNSVVAKPCIQALTLSIFELEQHL GKRLLSIIGKLRDINFTSGIAVHLLEFLLALGENKNLFKNFTDSHYKDVFTLVIDYIA EHNARSDQSPDLENSGARELYTLSQHVIGLAYHSIYVWFISLKLSLRPDLVKHIIIKL LQSRSKRVAVDEMAEVCLDWLARYTYGNADPKPANSFLSEMVMGTKEQDKTQSWLLGG CIITITSHPRTGWASITSTRPTGATEVIAKLENVPLLNLGESNADLVSLPAVLMANRE VIMDDQAKAEASEIVKQESTAPQEEFDQSSQHGYIWSGATPSQRRKDVSIDPAYLAVE LLSSYPNANLETPRGRLIPKEEKFQRALRTIDMTPVIDTAKLGVLYVGRGQTTESEIL GNIDGSSLYLDFLSGLGRLIRLKGQVDVFVGGLNREDDSDGEYAYAWWDDLTQTIFHT TTMMPNSPNDPTFSRKKRLIGNDYVKIIYNDSGKDFKFDTISTAFNFINIVISPHTTP ESHGPQPQTEVLPTDPWAVWGRDDYFKVIIQRAKGIPDFSPVGEHKIVSKENLPVFIR HIAHLSNDLAARYTHIKDAKTPEQAEYITSWRSRLRAMNRLRASLPPVEKVDPNDDAK REEMLRE L199_003260 MVRLSIWTIILSILFTASLSVSALYNDPGLSYCKCICFSNSTII PLYRPENPKKPCLTCTRQFCLDQKLNICKGAQVPELDTDIGTGTEGDVEARCFKRDSP RDRLVVTFFLLIVFGLLLYAAIRARLRQAIETRGRPTDLREWSQALLPISSQLFPTRS NPNLNQEMRSAGSGPGGGYTPVSVGS L199_003261 MSPTSKSGSEGTPTPVQDDDRTPTPGTRTSDTNSPLPPYDHSSH TSPTLPLPPPDFNPPADFPIDSPVNSISTPSTSSAASPTRFGNTFPSPLDPVPGGNVS PTENPSQLHRPALQSIQRISSESIPTPGSGSSSLSSNQSEGGDHLVEMREDLKEKLLQ SETSTPSRTRSSSVSTTTTESFRYNLINPTPPTEPALFEEYNEEDYNEAGPSQPRRLS SGALANLEEGHDLPVEHAWDSVWPAPGTTHRSVPPDPGTSRFPIAPVSPFVTPAFSPA IIPTTSSSSSLSRKPPSPIVDPHDPASPGKRSQSPVTGPFRFSPRSGNSSPNMEPNGP TEMYYGGRRRSIAADAPLLAHSPPSTAPISINLSKHNRSLSNSSQLSPQSRHSRSKPI AAPPLSAPANRLTNPGASPPISAISRSRPRGHSLSSVIRTQPFGLEPPNPSSPEKRQN SPSVNPSRLEETAQPAASTLSSSSSLPPISLPPAVAKPPNPFPEPVQASTSGNSARPP SPPLFAPLARPAVLRRALSDYDAKARSTPPGFHRTLSITAELDKSPKRTTSNADIDSP SEPKEGEDNGWSRVRKSPRMSPNTLPVISPLTARSAGPLGEAEERVGDLAEASNSQGY FPDVGTQSWTGDSAPDHMGDVDIVDEGDVLPMGDVQMDVTFDDEGLNTLERIFLLSKS EYPFHRAYVARVLGDLLNEVDPCESVEYVLPLLSGFSMDDDESVKEAFASELHRILWY FYSTCKLLVDDGEGEMVEVPEPQGHDMPRVGHPPETRQETITITSEGINTVPTPTIAE ATESAVPMGRQRSNTSLLSTSSATGPSSAGSSLTRPSSSKFSPGISETQEEVNTPNSS VSASSQDTAFSPGLHIKPYAESGEQEDFSKENQGILVDRPVLAVNFFTPLVGSLLLNQ NPVISESVRNGVVAVLERLRGKGEVLLETWGHLAQQPEADERRTFATQNGPHQHDLRP FFTEARTVVERELLQGIIIGMGQLSTDMPDMVFEGSDHGEDELRDQEAFQVQLIQEAT AGRATSMNLIGAVSEFFEQQEVVENGFVEEVLASGDGDVPVRAEAAVAMSCLAKMIPV EHVYRMLPLFESFCEDENDHVKQSACLALPALCRRIESADYRRSFAVKAVQTLMSGDE DVRCAALEMLGEVIYIFHEDPRGPPDELIDVYTDDSEVRDGERDSDWDVVAIFNFPGV CLTLGSERWSDIRDLFQRLQDRAGEKVLRTTASCLHELTKILRPDQVVSDLLPVYTRL LGDSEEIRERVFEHVDTIIASVPKEVGWSLFQHLARGWKEGMLGGWRAREKLGLHIPS FLETFAIWTGIEEVLEMMRDALLDPFAAVRDAVTKGIPQAYEVLGTQSPVAKRFRDIL LELGDSRAFKQRLTFVRCLREFVKPPPNRQAFEDFFLPVLPRLSKDVVDVRLGLAQII ADLFVVGAYYSNVGQNVPKTIWEIAHDLAIDQSTDVRDTVRRVNMDRARPTGKGKDVQ VPYEVKLPENPDRSLKPGQREGNSPSNSTSPVGLPPTKKSSGSSFPAVSNTAVAERHS ASSTSTSSYSQNQQRKGLPSSPVRRPSNEVMMKMGQMDLTGTEKDSGSESASGSGSGS QASSDDMSVTPRLNLSDIQREGNRSSSKFVKSPLSAGYIPPQEGEEEEEDKGNPFASS FGQAAVTDVKNDDDEEEMEQV L199_003262 MSTEQSPSNGKSLSEVPNDHVPDTFSDQSPKPRRQGRRMSLNDL VHSLSPPPNPSNQLDDIPEKHLPHLTSDEKLKIDQLSRAIYDIQREQSEQSVTSNEFA RKAPFTSIFSQNPFKTFEQLSAEEQQRWKAAKERGEFGIKDGDGAEEGELRFGKIRND EGDEIYGVWNLVSTSKAKLNNDENQQTDDKDDEEEHEDKKNEVLERLNKFAHSRPSII FTSRAVSVKPFDEDCIDPPQYASRPPSPPQVKAEDKEVSGPSGS L199_003263 MPYSSTSSPSPTTTSSPSRTTTSASDGLVQWVSYTGESTTTIDY IDSNGNFYVASSSYSSSSPLVGSSKSTLSLSASTVQSGSGTYGQYGPSPTPIGGASSS SGLASASISSMSGSGNSTEQNAGTNSDTQPQGKGMPLYVIIIISVIVTLGLVGCCSGC WIYRRRRNRRNGQRARSRISENENEGDEYKHEGYSPSTTTGSYPPPLSQRDLANILIT SSGRSQSPLTPIPNSATPFIPHSGRRGTRTDSLYTDNSEFDMLAQDGSSYARTLSTYS EGINSEYSERDLGGGVGTGTYNSATPLQMNGRSLIRPRLEVDTKSPDGPSSSTSPSNT YTNTNTNNTFSPSHWNTLTSNSSSNSDTHTHTRVLTVPTGTLISPFSDPEVHSYATQP PISPISMTSTNRSWRTEDEVLLMARPSPSVRSPGNSSNGLQRGTTIVRHTDGGAALVN PFEREEEERSPPSYGELYPQDR L199_003265 MSGWNTNPQYRPLNVRDALSYLDQVKVQFSNQPDVYNRFLDVMK EFKGQIIDTPGVIDRVSTLFRGHPSLIQGFNTFLPPGYRIECSGTDGDSNSLITVTTP AGTVSQIPGGFAAAIDQRERENRAKPSSVRPPPPPEQEARTTAAAATASGGTSSTPSA QPLPPIHSHLATGPPPFQSASRPGTSSATTQPGRPSQPAASTQAPPGPLPLPPQTQQP LPPSGPSTPSAAQFLASGGLNNNQSSSGQAAQGGSRGPIMEFNHAITFVNKIKNRFNS EPDTYKQFLEILQTYQRDTRDIAEVYEQVTRLFNNAQDLLEEFKQFLPENGGFGGMAG FGSFVQAAAGAPPAAADKLAGQKRGGKESKEASAQKKRRAGVADVGKGAAQSKKKTGG QRGESPSIEDNEPASAPAPSGNQPQTLASPDEVAFFDKVKKAIDDKVVYHEFLKLINL FVQDMIDTQTLLERAYHFIGEAPEVWANFQKVVGANADGKAPPNPATAQGGYGFGGMI GVDNQVVENVPMLERVKPDLAGKNAKSFGPSYRKLPRTEINLQCTGRDAMCWEVLNDE WVSHPTWAAEDAAPFISHKKNSYEEMLHKSEEERHEYDYHIEANLRTIALLEPLNNKI QTMDPEERANFNLKAGLGGQSKSIYQRIIKKVYGKELGPDVIRALHENPVVALPIVLE RLKAKDEEWKKAQREWNRVWREQDAKNFYKALDHQGVQFKTSDKKTLMPKSLLAEIES KRREQMNVRSALLDPRPWRAKPQIEFQVKDIEVLKDSIKLIISYLDRVSNSLSTQDQN RVERLLRDFIPALFMQDKDEFDAEFGDDDETPGEDSEESDGDVSMADDDETGSVVSTS KKGAKKTTHAADLRKKLLKQELAGREKRGSTMTPGPDGDNAVDNAPSVEGTPATENGE RAETPLPVPADPAEVAEAVEKDKAGAEASEQTWVQIDGLTESQAPSEKSSEAGAAEPK ALPTRKANFFANNHFYVLIRLIQILYSRLVMCKDIAEKLASEKKQPINPLAIKLGLAE PDSHFFGIEDGENPAQHYYGHLLSMAERLFENEVDNAHFEETLRVMFGNKAYIMFTVD RVIAAIVKQAQTVLGDMKSQELLALLQRDRLHERTSTRRQIAYRMQAEGVLGSDENLF KISYQPSRDIVSIQLLGREDLSVDDAETAQEKWRQYIESYILTHPTEGLPHRVDPPLL QRNLDENLKLSSNEVITKDGIEIKIALGNYRMFFTPDTEDYFHLIRSSTEINETEQKE KIYLEGAKKRLDDWLENSLKGDNE L199_003266 MADVDMAGEVNGAGHSWAAKSVEGWIIIVTGLNEETTEEDLQDL FGDYGEVKNLSMALNRRTGYVMGYALIEFPEKEQAEKAVKGTDGTTFLEQTIKTDFAF SKAPSGARSRAPASGARRTGRDREASPSRR L199_003267 MSSANAESSTINKRGRRESRSRPDKGKAREVDDGKLRSPDPSIR KDGDNSMRTLSPVSGSVREGGSTARRVRTVPPSAIFEPPTPPSPAHSPLPHPENPTLS PDPPKRRRRLRYSSSSDSSVDSDDETSDDEPPWWTFTQRGMAKLRAKNLHRSGRDVEQ GQVNQEGDHQGEMTEGESGKEREKESYFSFNKERHGHRMSGVFSSSSRRSSKDTSTPN NIQEQQNGSTPSSLRNFKRFTESPSTTLSSGLSGPSHMKLLHPAPIRFSNSTQHIFRR SSQNPDKINNPHQATEPTPPGGGGIVKRTQGVPTRPASAPTSPTVEAPSPGMTTLVDD TSTRLPSNESSHPLQADGAAGEPLSPRRSNKRQLTAPAFPRFFKRNDTDEEHEPLEPL TDTEVIPFATARSRVKSSLSKPVAIPGMATSSSAMSTPNGSSQMIRSGTGESVSNMNE AGSSSTPTRPKTKRRSSHMLRIQLPPPITNRFKDGWPHAGSWQDALYGYYEENDNPSA VPYPPTTAVPPRPKSSRSRKSTAKMEIGDGSEENPITPQRRDFGLNDTANQVTPDQGA ANGNGNGNGEVDAENTKRTKSRRQKRYRQALVPPTPSGLGFTPSARNGEGYPWNQNSD DEPAAAGPGAGPGEKSNWEAGLAQKEAGGRLNGGENLERQDTMATTTGTLSATTQGRR GSEKGWWTTRKERKKQKKMGRKRSREVDSDWRRRYRRMLFLDARVTIWIRLVNLVLVI ISLGLAVTIREELEHLRVPGLIGSSTTLVMSYSCLTILHVLTAIYREYFGKPIGLWGL RSKMLWVCLDLLFVGLWSSAVSLSTNDLIATPLECTSDSPWWREGLNDQYSILLKELS NVTSATNSNTNATRLISHSVSVTLPSTIINAPLAKEACRRQAGVIALSLLSLLLYGGN MVISLFRIFETVRRTANVSKAVTL L199_003268 MKTSTSFAILALLASAGTTFAAPLPHHSNHKNSDVESGHRGGHH HNRAEHPSNHHILDVAVDVDMDTHRGNHANGKHHHARADPLSVTKPLTGALGLPTADK LPNGSTNGHKMGKTKGNNAIQSLAKTLSPTSDSIHGSLKPLNSDTTKVGQQLNHPNSG NIGHLGKTADDALEPQETQISKNVLPRDVVSSVIGSNGVAKPAQTAATGLGNNIATVN NKGKNDMPVAGSAVNPVTSGSQIVPVVTGGLGQGVKTVTFGGDNIAPPTLTSTLLNSK GGVLDLNNGLLGPNGGVVGTAGKVTGVTGTLTNGQGALVNSQTATGTVGKVPGTVGGV TDSANVDPVSNQAYQINTDDTESDVKTVSGNGITQANDHGPNQTNEKVNSSAFKGDGL DHRLNGHGSDGTKDRTTELISDNTSNVKQTSQDTNSIDSNNTSKNVNNISPTVNAVTT SNDSSSTSELKKTSDGTMKTVDQSTDQAIPSSSQKNTGSLVTANNDNANAKIIQTPGK SDKIAETNTNDVTGSKSSGDNVNIKVAVPQHQATQNQGNTSGALVNGNGNKIGPNDQR GNVVQQVGDGKILKQNIVNPSPSSAKLSASATKPHSTSTSAHFHSAAVSHSASPSKDS NHPTSVRPQVFVEYTSFASSPSSTIASQSASIASTTPLAQAQVQSGTIQVDKNKQSTT DVKLPLTSSLHLPLPSTTSKTLKTAATKPRQAQVAYGQKGEHVVACDGQKHQPNDMID ECIKADLLDFKPNQTEACPTDMQHDIQYGLSTDMLKNDQQANKEIQKYVRLCLKASAL L199_003269 MKFSPSFAFVALATLGSSASAKSSRRGADFTPNNGLHRLASRQV VTANICENIPLDVPLNVAVCQDTDGNDVTIVPGLDLTGLVCSLTGTSVNVEVSTGICL CLAAGVLTDDSIADIRGLVDIGGTNIDLLLTDVQGLTGYTVDTLVDALIGDATTVLSA STTDCVYPDGATPNSCEACTFDCPEGEAVCGDQCIAEGDTCASGIARRKRGLLGGNAN FLCTKGQTACAIPSSRFFTHGGYECVDTHADVESCGGCTFAFPGQTQGQDCTALPNAI DVACNAGSCVVQQCAKGFEPNFYQSGCVPLGSYLGFTIAKRTEGIDLKLSPLTDLIKN LTGVNIDPKVIELDLLKDDVLNIEKRDINLNLDSLTGLIKNLTGVDVDVKQIDLDLLK GDLLNVEKRSTEDAPIVNLDLESITELLGINLNDIDVELFKAKLAKIQKRTDDSPILT LDLESLTGLLGVNLNDIDVELLKAKLAKIQKKSDIVSDLVGEPLANLRLNGDNLLDVN GLL L199_003270 MSRAQPLASLTNTEITTNDAGPSTSANTLLLLPPSPTSSSSSLT SDEVTFDHLASIQPQHRSQDSPSGDVPKPKPPILGLRDLVKMHRAEKAHKQQSLSSTI PMSPNTIEIRDELPPSYVEDEIRNAEGGRGGISDTPEVFARQVVIRGWKVVGGKDWKD VAKLGAYVVYEIDISLRNGGNIEILRRYTDFVNLRNALKIKYPTLKDAIPQLPGKAHF SKFSPEFLEQRQPRLQRFLRSVILHPEMGKGGESSIVGNWIMRKGMSFNV L199_003271 MVFGFGSSSSSTSSSSSSSSTTNEGIERPAPTREERKACWTSRD LYFGCLDKNNVLQAGDEIQKDSKGKEMSSICGGERGKYEQDCGKAWIDYFNKRRTLEL RRQATIDAAERSGNKDKADAWRAVSGGGSSGR L199_003272 MTITHATGYAIKDPKDYLNFELTKYELEPLEDDRITVAVECCGV CGSDHHTISGGWGPFLTKFVVTGHEVIGKVVEVGSKVSEFKVGQRVGVGAQVGCCGKC KSCRGTNEQYCQQPVHGYNTLWYDNHEHQGGYSTHVRAQERFVFPIPDELASTDAASM LCGGLTVFSPLVRNGAGPGKKVGVVGLGGLGHYAVLFGSALGAEVTVFSRSDAKKEDA LKMGAKRFIATTEGFHKDLQFEFDLIIVTASSSKLPLDELLSTLDVEKKLVFVGMPED GLSNITSQTLSGNAAALASSHLGNKQEVKQMLQLAVDKQVKPWVNILQMKDAAKAIKA VEENTVRYRSVLLQDINA L199_003273 MSSQPLLPHDRHDLSSPPRRKLILTTILIPILLIGGIILVSIKG DGVPKDNLGLARYYLKGSPVIDGHIDLPEFARAFYGNNISAFDLNKPTKGHVDIPRIR EGSLGAFFWSIFVECRDDNGKDFLNPTFQVRDTLEQIDVSFNLIEKYSGTFAFASTAD EVEVAIKEGKVASMFGLEGGHMLGNSLAVLRTYHKLGVRYMTLTHSCNNAFADSAGIF ESVEERWGGLSKFGRALVPEMNRLGVIVDLSHVSDKTAVQALSITRAPIMLSHSAARH FNNMSRNVPDNILAKIGRGKNQVDGVVMVNFFPVFASANPDEVDVSYIADEIEYIVEK TGKHHVGIGSDYDGIETTPKGLEDVSKYPYLFAELIKRGWTEHELSLLAGGNFLRVFR GVEEVSRKLKNDGWKRSLTIYEKRRDLDPVEWEL L199_003274 MSSEQETDQAIEIWRHRKLLTMLANARGAGTSCITLILPPRSQI SQASSMLTTEYGTASNIKSRVNRLSVLSAITSTQQKLKLYNRVPDNGLCVFVGTVLND EGKEKKISFALEPFKPINTSLYMCDSRFHVEALEELLENDSKWGFIIIDGNGALFGTL SGNTRDVIHKFTVDLPKKHGRGGQSALRFSRLREEARRNYVRKVAELAVQHFITADKV NVAGLVLAGSAELKTDLSGSDLFDPRLLAKVVKIVDVSYGGENGFNQAIELAADSLAN VKFVQEKRLIQKYFDEIALDTGKYCFGITDTLKALDMGAVETLIVWEQLDVIRNTLRN AAGEEVIVFSSPNDKDREKFIDKSTGTEMESAADPQPLLEWFAEKYKEFGATLEFVTN KSQEGSQFVKGFGGIGGILRYKVDFTELGDLDDEDDEFYGSDEDSGESYLP L199_003275 MSSNNLVTVTSPEHFKSLLSADLNRVSCLNFWAPWAEPCQAFNK SIEEEAKKFSQVLFLNIEAEELSDISESFDIEAVPSFLLLRGHTLLARHSGSDVSLLQ SLLSQHSSGSTSTSSSSSNTQPLATSNAVPQAPTEPPRQRTEEEIVARCKELMNKHKV VLFMKGNPTSPKCGFSRQTVGLLREQGVEFAWFDILSDEDVRQGLKKVNDWPTFPQII VNGELIGGLDILREMIENGEFQEILNGEEEDGVDEK L199_003276 MDEESMMNASSEGNVLAGPSSLGGPSIERPEGMSKNAMKRAAKQ AKMEAMKPLKRAAEKARRKERAAELSKGYKEGTLSEKDKEIYEKRKKLEKDRKAEKKK FRDKDHEGDYDSGECWNGGVVIDLGFDELMNEQEINSMSSQIGFCYSSNRIAPKPIKS IVHTGFSPETSPRLWTKMIDRNWDRWSRSYWWKEDVGVMHSVLSSDEESKRQDKVGMQ IDNSPDQENENEDKNDQDKRIQLQNHLTGPTLPPGLTQTKHTLIYLSADAEEELETLD ESHIYIIGGIVDRNRYRNLCQNKAEKLEIRTARLPIGKFIDNLPTRKVLTVNQVFDIL LQYIAQGDWQKAFETVIPQRKFHESRKAKFEHHKNDRENEVREEDDERERNEDRIAMV EHITEGKDEEEDAMNQ L199_003277 MVKFGSFSYLQERWYIFLLVTRLYFALSPSYIYPDENSQGPEVI AGKVFGHDVNYTREFTSQSPVRSWFVLWISYGPTMWIMRFFACTPKTTFYALRLTMFL LSVFVGDKAIWELTGSNEFRKSQLFLARSSYVTWTYQMHTFSNSWETLSVLWSVVLIR RMVQDKGQPRFRYCLIFGLIFSLGIFNRTTFPAFIFIPCLRLLPYFFQHPRSAMAFII SFGITSSIAILVDTICYTGSIWGDFNPIITPLNNLLYNASTTNLAQHGLHPWYSHLLV NIPQLTGPAIPLILLQLPFSFRSPLSSELWWNIITALFAIMSPSLFPHQEARFLIPIV PLISCCIRLPAGKKNRKMWLIMWMMFNGFMGIFMGVYHQGGVIPLQMRMPQMVEQGNV YWCKTYTPPLWLLGDKGRNTNITTIRVFEGDIVDPIKLSATTTTTYLVAPYSSTRLDR YVNMEDEGVRLEEMWRFDRHLNLDDLDFEVDGIWETVERVWGRRGLILWKVHIVT L199_003278 MSDARLRRVQKEIKDCAKDKTSNISIDMIDESPFHLIGAFPGPP DTPYEGGYYEVDIVIPDAYPFQPVKMKFITKVYHPNVSSASGAICLDILKDAWSPVLT LKSTLISLQSLLCEPVPNDPQDAEVAKHYLADRNSFNATAKHWAQAYAQAPAHKKKNE PGKVATDAELAGLSEENVIGFTDMGFSRDQVISILKKLNYRGNNVNPQSYNAVLEELL K L199_003279 MTTIPSHLSSVGPSPSRNPFARPKLGGSSSSSFTRKSLLPSVLS PARSGPPPQNDNHLVTPSHKTAAGVAEPVSTSKPKSAWRLLWRGGLEIGNEGWRLDGI TFFALLSFPPPTPSNKEINPFDVPITHTTPGTSTPGGGGGMGSPFPLTNGDTDLCLSL ESMRGRKYLQVRGMVELPPDEVLEGESETKIYMSISPQAPLLAAYFTGLLCRSEKLND NGRTLNAIMIGLGDEGIDSSNNSTILVYGQRQKHPQDQRILKLCVGRRKPPPAPTSEK KVRPGEPLPRAPLFFPAKAPKKPPPLFPSRSLSRTSSVSSSIYHPPQPQPQPPSRSHS QNEPPAPVSGRTPGRRGEKRPRKLDLKEGDDDKRKRKSGKIVLDKTIKMERNPSSSSP KHPDVKKEEAISQRDDNDDDEEEEEEDIFGKRSKSITPIPPISMHRSKSSESLSTVNP LSDDVTGTINGEMSSTTKKRVRVPQQVLDNKAAIRKQTLLLLENRNISRTNDMFKDIF GMITKSTYFVFRDKLHVNQIPKTDIHKIINIHLDMYLPSSLDGTIGGDERMDLDLDVD MKPEKLVDIKEEKYEEVKLEGTFLQAIHTHVKLESVVEEDEGD L199_003280 MRIHEILEECVEYISVDGAIGSDPMRLIEHLVKLDPSLDHDYFA HLWTLLCAHPSIEIIITNEPILLPGGNTELGTAPLPQDWVLPQGMQANVDISTLYREG LRGRQIAFMLAGQEFRSDKQAIQDRKEAARKKAKKAKEATLKRNKDKVDEDSDGEMKV DPTGSGVLRVLHVDDSQEGDGSASIPKTDFRRLSEKWGARLRIRCAEDEIYYRLTGSH AKIPKITSTVFHVLQLAAMSRDKGITAIDLGPLVGASQGSMHYFMKVLVQLGLCAKVP AVLHAAVTNLLVFHKFLDQNPNYRALIGKPLNSLFEDSQAEKNPDDGADEEPEEDDFL IDPSLDDATKFNDWGFDFAPLTEAELMAGHAVKQRLLKMLDHPKLQNHLLRTKNLLPT LGWRGQAVMRHRRAVKRHIDGLVLDGLVERLYIGESRISCIRLSKFNPEPVAETAEPA KIAADADAEESERIRDLDMISTQPPYTPPLDPQIMNIPLTVTFERWIIDLVVQSEESP DAEQRGMTINSIWQNTNYMYKRSIDFAILRSDNAHIPEHMWSYSVSSFMETVKKERRL RLFTTAEFQRIMRREGQVIEGYPAMTRPKLTGHFGDISFKTFYSSEIQLNKFLDNAKS LNGDQKPKPMGRPPKVPIKTTKSKSTTKSTQVVEDEESEDEDEGGKTGKKGFKYSDTV QVRGRPRKYVHVVEEDGSVNRRIIGTVYSRDDLPQVLVYLKERNILVTPPVGYTGLGP PPPATEEAIRNGRPPEYYYQFPARDSAAYSGLPGRKSKARAKKEAEAAAAAAAGETYS PTTTVQPAETNVPSNNRDKGKKGKKRAKGAGASGSEAGAGTPSTARKSKRQKKQVNYA DKADMDVDQDAHAVKIVPDSISDGIGHAQPSETAGPIVEPIASHPKSANDEHLIDPAL TEPSSRVLTPAESVDPVGQVIEAQSADITISSPATPAATKGKQSAKKRGKSGKKQVAK PKQEIVDDSKRSENNGRNVKAVIPPQPISGTEDKRNDALEIPDTRSTAGSRFFPTDIP DDSVFDQSMEDSIGNVLAELSHSGVRPRSQPSTAEPSASLVATAGSSLTMKGKKRKSD LPTHAEPPFKTTKIPEGKAASKADDDRRTSLFILPEEPMEELPFELPEHIFRVGKSNA KDDEEANLNDTVASTNDPQSQQIVAPIEIDGTPDMQTLETSVSVDPPGAGKPSPSLSK ADSDMPPPNFASTPSRSTPQLTTLTDIVRAQSATPLRELSAASSGSPATPSTPQRELI QPIRNKKLLHALNDSAKPARVDLGTIRKANELVQVLHDNGGVMMDSKLIHEHRNWTFK YAGTDHPNAPPVAYGMDRLVVKKTVNILLSDGRIKETIVSVPTPTGRWVKSSVLYLTN LPHEQLQAYIRQMSTSVSQSMTPNARRGKPETPSLPATPYTELKRSAPRNGGKLAYDA TPTRNSISGAPRPFSERRTALLKELKVVGQLLGWKASRVVRVQILHRAILRALSREGC GSVVSMSPRIFAFPLLCEDITAEEWFSCCLLLQYNEEIEHWLRDPVNRATKVKDVPRQ YRPLGGFGGSSTKAKMNTLLQIMVTLKIISPVVPVAKQESDFFGDHSESNGFKVESGS INSTFYLLHDMVPVYHIASLPPPFLGLLPARNEEEINSLWSTIKKASLEMQVDALGRI GERIKPTLPHAAKFEDTLDLSIDYTKLLQRPKRWKSELTLLPIQKAALDEVFDRTTGQ SSINTQQELEDFAYENALPLPFLETEIKRRAELVKDNAAKIAERLREHALKAQQRQQE IQEKIRQKLIERQEAARVAWEEKVSSSAQRKSVEYTPELLAFVSHQTIQSGSMKMSDA TESVVDYWVMMWEHIKNLPPQERETTLEERRRYQAERVRLTAPKFKYSATSKRRSAKK PKEPKTGPQKRTRSKRKWTHEDDDLLLDAEAIIRARSRASGYKGRQAISQLFPQAVAS TIRSRLTKIVSQPGKKAYYESLEQAWYELWMKIRGTAELVDEHLESPFDFDLKDHIRV LREKIDKRPLRLPAAATPQEEKEPVPDLLSDSYQITEEFAWKYVETEQHSFDQAADAL SAEEIKINAIGSISILDEPRIKEEVAADDKQMGLLQASMKMIVGTPDAYYDVTHGKRL LDTWSNETCQAAIQNMMDNNVLKKVPNPSRDNERQYGFSQGWQQLEEGFLPPNLFQAA QGLKPKLEPEEGIEWPLIGESGELAALMDMVSNHEVDFEYDVQEFPTVKYEKGHFNTR KLDDDAYEFALQVKRTTRTNSSPKVPFPDTKIYKVLRPWNSEVDNNLEIQHIVQKVME AVKQTAEDGITKPELLDVIRCSPVQLDQSLAFLSSQDDHTLFWTGYDTARLVSKDYWE SWCVKVTSQRLNLEDGNAVLLGPRRWYDVYGVFKEADFVKVVESVKSLIISRPGTALK TIKTKLAIILDRLELIDILQYLVDQNKIGVKWSEVEEEKDRIVPPLESVDVDQEDDLG LFPVINGVWD L199_003281 MSISLLSSLVIRRSTLSSSSSSSLHQFKRVTTLHHQRFNMSTQS VYIVSASRTPVGAKDGSLATLTAPQLGVVAVKHALEKANLKPEQVEEVYLGNVVQAGV GQSPARQVVIGAGIPDSTDATTINKVCASGMKAIMLADQNIRLGQRGIMVAGGMESMS QAPFLSPRHPPAFGHFESKDSLIVDGLFDVYNKVPMGNCADSTAAKHQITREDQDDHC LSSYTRAEETWKNGGFEDEIAPVTVKTRKGDVIVKEDEDYKKLLKEKFRSIRPVFTKD GTVTAANASSLNDGASAVVLASGDVVEKEGLKPLAKILGYADAACAPIDFPTAPTLAV PLALKHAGVNKEDIALWEFNEAFSVVACAAEKVLGLDRSKVNVKGGAVALGHPIGSSG CRIVVTLAHSLKKGEKGVAAICNGGGAASAIVIERL L199_003282 MPFHLPVLGSSSRKDKDDEKKERRKSQIAEEKPAFIPTTPGSEA RRKSLQLERTLSIPNINNNGNAAGPRSRSPTPLSPTFTTSDVRRPIQHRHSSSTSNTG GLQGILKNTNPTSPSISGSEYTTTSGSGSAFFGGMHKRMSSLAFDRTDTIESLSPSIY DEDGTNGSGGGSTPGTSVSSFNQHCPLPSTNSTKFPFFMMTISSVSTLSFIALPLHLR PVVIDVLNNTWKRGISKIQEVDYQPELMKKHKEKGCDQGVWEVTMKGEAWMPTSSEQV SSKRILIKLLTEFAREGYNLNSSFRTSAKDSGKDSLIFLQGEPDPEPIFFAVAFYSHD RIWIIDAEADVGQALEEGIKNWWVDGLRDARVRERHCRELRLRGAPWTAHSTQSLISA RCIHLTILKLITHCDSGYDFVGSIDMADKEEGEMPVTFYRKKWPDGPGGWKMVDEQGN GLGLETVIS L199_003283 MFEKLKAKIAAHHSSHPLAKQRAEFLLVTADTPLERKAHFTAEV VGAGAAYQAFQAFENNEAHFKGIEGKVSHARSKEIIVGLAEGRVVKLVEEKRLPFTSE TEKVKFIKQAQKHAAADAKRAVRESGLYSQHELEPLDADEKIAAKIM L199_003284 MPRITIPNKPFDLFYRISTPSERDKAQEIDEEYETILFFHPFWV DSFYFYPQFDDPTFYENYNLIAFDAPAHGSTKVMDISPDPVTWSYHATLVKEALTVLH IPSVHLVGSTMGCCPAMHFAAQYPDLSKNLIMSAPPALTEATNWSLTFRESMHILINA VKSRDGEPLDAITSVIFDYNATSHSKRLVKDLEEEYIQLTRSRLLNGELNGDITVPIV ISLALSRKHIISDEQAINLKCPVLILQGTLEGWEENDDQWVDMLEKTRRLYTEQNDGK VCDMQRVVLEGCPRWMTLTHPDLVNPIIHKFISKFESSISSDPTQDLLQDLKISHKST DTTTENHDNEQKTITDGGRRRSSTKKPLSPRDKTEFGIQPPQNGIGKSQSSSIGQVLQ KDKATVEPSITQTIPSGSGGASDGVKVKVEVVMKVD L199_003285 MARPPVHPPAGLGVDVNVGVHSSSSHTFAHSYVLPNNIRPEDFD GQSQSRSSSHSPIDGGSGSGNEELWCDPDDLLAIPIYDPLTESQADPLFPSPHKFNLM VQDYLKNLSPKKREKALLTQKMYDAVLSVLQDPKDTSTKTAQFRFWAKKMFQLTTFGP EKVVCHDHKPVAVKEQIYEVLCHCHAQAGHGGRDKTSAQVRRYYSWIPKEIIARFVRD CPFCQSRRTQSSAGFSNMTESQAFLISLQTSDTQLANKRPVSLAQARANAAALGHKPY RRPSIRTIDSCRSRRGSAVSDDGYIHYTNPDGTSSMGMEISSSTSNYDDSQDSLYVPS SSYEFPGTNNSFTEGEQSQSNLNVFSQSHPPLPRRASSYHEQSLLLDVRPISSESMIR RNSESNILSSHTHGHVHNQMIYSNPSSANPDLAGGNQFLSVPGSSFYGGGGLCRTTSS SSLNSLNDDSLSVSEMSYFDTTANQFDEYKIEEWREGSNAMYHQSISGNTTPLDGSFN FPMTNLSVDLPGIGMEVNLLGNSLSLSAPPSTYMLPVPEFNFDHITSLSLNPVESDSS QGQGQVQVQDQATLDAMVAVQRMFDQNNIFSLGESAGMTQDQIIEMHDNNDPSLLSLN LNISKEQVNSSSEVMSHSQMSGKTDEEINAELEAISILAMATQESADKGYRVSEENLK HMEYVLSQAIINEDARKNSISGSIPPPTVIIEQPPLTTSQINDKTGGNDIFLFSTGEN GFPPIMVEEPKSDLDLQQQLDSHTMDFTQWPEFTLS L199_003286 MLPLSLLTAAQGKPMLVELKNGVTFNGHLVECDNFMNVTLREVY QTSADGERFWKMKEMFIKGNIIKYFRIADSILDTAMEEQEKQRAANRQRGNNRGGRGG QTNNRGGRGGQPGRGGQPGRGGGPGGGRGGPGGRGGRGGGGGGRGGRGGGNQ L199_003287 MNGQPSRKALKTSFRASPRSIRPIYTGGPVVLTKDGQWLITTMG EEVLVTEVKTGLAIAKVRGDSTPITSLALSYHTEPPTLITAHSSMTLRYYPLPSSAPI SSTPKPPLLTYTRALSKAHSAPILVSAVSPDSTLLATGSSDGIVKVWDMEGGYVTHLF RGHGGPVSALHFNFPVIEGEERRRMELMTGSTDARVRIFDLRDASARVVGGGNAVKPK AVLEGHVSVVRGIDTTPDGKWAITGGRDKVVLVWDMLSGESVGQSSKKAGKGKATSTG VPKIVQTIIAQEQIESLGLLPTEENVSGSSQGRLLCYTGGDAGAVKIWDVLKGKETGA MKGVEGVDEAEVDEDEQRGVLNVIYDSTTSSLVSIHADQNIIFHSLSSLQAVRQIVGY NDEIVDTIFLNSSPSSSSSSSHTHLALATNSNLIRLYSTSTFDVRLLSGHKDMVLCLD KTADHRWLVSGSKDRTARVWAPTPDGKRWKCIAICEGHAESIGAVAISRKMDDKGKSG RFLFTASQDRTIKMWDLTSLPLDSSELPDEPIKPRSMATLKVHEKDINSLDLSPNDKF LASGSQDKLVKIFHVDFDASSGSAAGSLKPAGTCKGHRRGVWSVKFSKTDRVVASAAN DKTVRLWSLDDFSCLKTFEGHTNAVLRVDFLSHGMQLITSARDGLVKLWNIKDEECVK TLDNHEDQVWALAISSDEKTIVSAGSDSVATFWEDSTEVEQAEKNDALVKAVQSEQDF TNYIVLKDYRRAILLALSMSQPGRLLNLFRTIITSPEPAEGSIIGSLEVDEVIRTLHG IDLVRLLKFVRDWNANAKTSPFAQTILYAIFKLRSPEEILQAFNSSTKLPSQPEDDED IEEEGEGETKKKVSLTKDQKLALPISIQELLDGLIPYSERHFSRVDKLVQDSYMLDYV LGEMDGGIFGGEIMDVDGV L199_003288 MFYQSLILAILSLSTTSTLASPIPAPTPMETGGLKQATRDEIIA RHNKAKRALPSPAPPPREVRSGEPVYTLYFQGSGVIENDTDPGNMPGFPAASTITIPG ATTQNSAIKRCADHAAQTEDGYFSFQLYYDTPSSTEEGSWICTAYYEVNTDTSYFNVQ RPTAGPVFGYSVNTDGSATP L199_003289 MLPTAARRSLAGLIPPKIATPGAVSSGTTSARTAQVIDFYSKLP KGPKPASERPGGLRGRYFEGKNASGKPILATVGILFLIGYTIDYNMHLKHHKSGHH L199_003290 MSPPADGRLGKRESGTARILGSGASGVAELLVFHPVDTVAKRLM SNKSAISTTGLNNIIFRSAAQAPIHSKFLSLFPGLGYAAGYKIAQRVYKFGGQPYFRD LIDKNGGDWFRNTFGKKTGGMLMHATAGSLTGIGEVVLLPLDVLKIKMQTNPDAIRGR GLLKLVTDEGIASLYRGWGWTMARNAPGSFALFGGSAVTKEYLFKLSDYSSATWTQNF VASIAGAVASITVAAPLDVVKTRIQNANFNSTVGGSAIIREMIRTEGVGAFFKGLTPK ILVVGPKLVFSYTLAQSLIPFFGKYGDIIALHPSIDRWSVRPLL L199_003291 MSDKLEVPELPPLVLILGGTTTIARTLSQYLLQPRSPKAAFVRL ADRFSVSPPTTYLDKSFLSLLNSDTSKLEYKQVNLLNISKHSELFTPPKEFKGTKLLS RGKGDFEGFDIVFDLTGELGFDRPEILQISNTYQTALSLATSASNLPTNLKPNAYVRL TFPFYEQKSLPSSSPGHSESTELTPDGVRGRWWAETLRGIGNLDLNFGAIRCAAWYGR GAYLGEIVPRLVVGHVYQYLKEEMKFLYNSDLRVNTVHSLDVAQSLYLLSLYLLSKPR SEILKESAINLPFTFSTSSSSGFSLTSSSKRISVSDSWKTIPTVIPESTKIAIPLFNV VDENDSTQGSLAKIVADTWSIKFGFLNSAMASLVQQFAKNDFTEMVEDVNEMHVEAWS KMLASSDPPIESSPITPFLDEHAFRKMSICLDGSKAKKLLGFKPIYPRVEVSELKEIV KEFQEDRLW L199_003292 MASISAKPFRLALLQLGSLSASKASNIAVAKQAVLEAARSSPKP HLVVLPEIWNSPYAVTAFREYSERVPHVGSKYDEVDEGETVRAMREMARDNDIWLIGG SIPQKDEKTDEIFNTCTVYDPSGTLVAIHQKVHLFDIDIPGRQTFKESDTLTGGKSLT TFDTPFGKIGLGICYDIRFPEMATIAARQGCVAMIYPAAFNTTTGPMHWSLLQRARAV DNQIYVAMCSPARHPEASYQAYGHSLVVNPLGDILVEASHEPTTVYADIDIDLLNTTR RNLPITVQRRFDVYPDIAEKFL L199_003293 MHISSTTLVSALLLAASNVAAQQFTTTYPWANGDTVVLSTGTNA LGVATTRTIQTLTGTATTTARTTATTTTAADDDDDDEETTTTTGKTTTTQRVVGNTPT TTAAPMRTTTYWLDPGDGVYTVYTWTAPTTALPTVATANVPAGTIQDYNEYQSAVNSV VLESAEAAVANGSSSGGLPRRQIVGMDALTGAWTTMVLGAVGAGIGVFML L199_003294 MSKSSHPALVWPRTDSDPSKYPDTTRGSDSWYEEEGVGTPKYEL YASQVAKHLVNHLGLSVDASKQRIPLPDGYKIFAHKKAQSSGEVRTDHYVYGARDVAK FRSVPEFLDHAEWLFDTSRPLDDHTTCTCKYSKGSSRRQSMPSSATKRPSGSSPLKEG SAKKKKVESGEEAVFPAVVPERAEELRSHRRFRRGELIWFRINTINPPSHAPTPGLKP VTHWPGLISNILLKTKVLNSGDVASASASSAWTLFGGQAPTSLDTSNQTVIQYYEYHI RPLGMFSAQDEIIKDGRDVLPWQAGSDLMGGEPGWNSIGLHAEKVLKEGVKKEGAKHK GSGQSQEELESLVNKGWKGNWAKRIRFLEMSDKYEEIVFRLSVALKIGSDIAEAWAQT DKIDVLPNDQDISAEDMKAILSQKKNLYQGLWWGGERIWLDDLVRLKKTRNELPTNAL LSPSEGATERGVFLKIRVLAVEVHPDPSKPNTTGWRCVVYGDVFELAKEGTPGTESLK EADGDTDDTSLVHHYPAPKGYDYRQLNEKESEVTVDIIDVAGRIYPDLLDASTQNWFI DPSNPSNPSNKEGRVEPGEGAYALSGLKSGTTVASKCTIWDEDLYSIVQRTTKATENG MKEWYIKLSRAALGLPKLPTSQVDGNPAGAVNGHKGQNGVGTLNEVLNGSNGESKS L199_003295 MIGLKGLLNVIDNTGALKVECINVLKVKTRLKSTGTATVGDEIV CVVNKARPIPANEVIKNPSSSSNIQKIRKGDVRRAVVVRVKKTVQRSDGSVVRFDDNA AVLLNNKGEMLGTRIVGPVAAELRKSKGGAAGAGGRWGKILMLAPKVV L199_003296 MSSTHQSTSTSIDNSVNKSSQPDDDSITVRRNSTVPTAANTKKP GSSMSSKGSKRKADEEIPNTTSSEIMAPRRSRRGDTAAELFQLEFDDEDNDDKPMMAA QSRLESRSQDEAGMTQNEVDVSKESVTSHTERGSGSIKKKRGRPPLSEKAKKERERDR RTRDLFIRK L199_003297 MSLLRSALTNVSRTSLRPSLQPSAVGRVALASRGYTTPTHVRRD KNGDPLEEHRVEVEPKIASIDESITFEHPKKWADKHPGHDMTKGDFGRHTKRTLASFS MDGKVCLVTGAARGLGNMMARTFVESGANAIVLVDLKKEEAEKAAEDLVKWFVENGEA EEGEIQALGLGCDVSDEASVAKVFETVKEKFGRLDACVTAAGIVENYVAHEYPTQKIK KLLDINIMGTWYCALEAAKLMPEGGSITLVGSMSGSIVNVPQPQTPYNFSKAGVRHMA RSLAVEWATKGIRVNCLAPGYTLTNLTKVILDANPVLRDEWLHRIPMGRLADPSDLKG AVIYLASDSSKYTTGAEIVVDGGYTCL L199_003298 MPFVKTQKNDAYFSRYQVKPRRRREGKTDYQARRALVSQAKNKY ASPKYRLVVRITNKQVICQIVYAKIQGDAVLVHASSKELPKYGIEHGLTNWTACYATG LLVARRALTKLGLADKYEGVEEPTGELELTEALGDDEPRPFKAYLDVGLRRTSTGARV FGAMKGASDGGIFIPHNEKRFPGYDPETKAIDAEVLQNYIHGGHVAEYMESLEEEDDE RFKKQFASYLAADVSSADIEEIYTEAYAKIREDPSFTPTEKDVAKWKAESKKHKTPKS TKEEKQARIQEKIEAYKAGKIAVDEDEEDDE L199_003299 MRLNTPLVLAAALLPFAQAGMYGQPVLNLDAKSFKQAMSTEHAA MVAFVAPWCGHCKALGPEYTSAAQSLSPLIPFYAVDCDDQKNKGLCAEYQIQGFPTIK AFPRAGKGAARDYQGERKKGALIEYAKSLVPDRVKKLKMDSKGGNEDRIIQTFLEEKP TLPHVLLIHPSAPSIPFLWKVLAHRLSGKMHLGFVRDTTSHSLISSLGIYDSADTTKD ATRVVSWPAEATSKEGLTEYEGAMKFNALLEWLQFQLTGETSTTNDSEKVQRKPVKIP EPITSAYDSEPEPAEERSVKSEAAARRAKLDEAERRDRERRERAAAKKAAEQASEHGS GTVDDAPDAIPQEAVDAQQVTGAEAFKEEAAEPVPEQTAELQDEETVEKTAIPHEEL L199_003300 MSLPIFALRTCLRCQSVYPRVASASTSTVTRSMTSSNRYLNTDV DTSSQSDKKINTWFLNQPSGPLPLASSSKRVESSPQMSPSSEDTQSIPSIPTSTPPIL LPLHTFLTSSTSEASDVLHRHTVQVYDTTSLSQYLDERGQGDLVKGEEGSGGWYDWVI TVQVKGRGRGVVSRGDGVLRRWLLKNPLHPSIPASPHEYPKTPRIPPDSDWSIVPLNL GPDAEFRACVNLLSEEGASRWKLDELWKKAQ L199_003301 MPTITVDKAELYRRLEREYTTHEFDELCFDFGIELDEDTTKDVE EARAKGLPTPPPQLKIEIPANRYDLLCLEGLARSLRIFLQKEQPPTYTLSVPDKLQEV YVEASTSPLRPYFASAILRLSRPMNQLEYESFIDLQDKLHQNLCRQRKFVAIGTHDLD TIEGPFRYMCKDPKKIKFAPLNKDQEYTAEELMGVYETDRHLGRYLNIIRDAPAYPII YDSKDRVLSMPPIINSQHSKIIAGKTKNIFIDTTATDKTKLDIVINMISTMFAEYTEI PFTIEPVKIILPDGSSHLSPPLAPRPTTASSSYINAATGLTHTREEICTLLTRMSLTA TPSTSDADALDVQVPPTRPDILHECDIMEDAAIAYGFNNLPQSMPTTNTVAKAFPVNR LGDLIRKECAMAGWIEALPLILCSHDENFAWLNRPDPGHYAIHLANPKSLEYQVVRTS LLPGMLKTVRENKALALPMKIFECSDVAIQDHKSERQSKNYRRLCAVYMDKKSGFEVT HGLLDRVMQVLGVPFLEKRESSGKYGYYIASSEDPTYLPGRAASVYYRPKPNVQPTEP TPSGPSSEGPLSTIASTLKSALPSSSEEGKPWSRDIIIGSLGILHPTVLNNFELTRPC SSLEIDVEPLL L199_003302 MRPQVVRATRLVGRRFNSSSSSSSSSSSSPLNNPNVQKAVEGAQ KAYNQTAATVKKVAGPLGERVGSALGGYREPLVYNSKVVASVAKQVWQAEKLSPPLDT AVWARAYSQIWSRASNLGYWRDLLKTGAWAGLGVAALEAYGIFKIGEIVGRRNLVGYK VKE L199_003303 MNNPAVSNLLISLGAMQVARRIPMDDPQTVNYLRIGYVASQAIS LAIYYYITLKIRKRNDLTVLKYVNPAPPMNPDAKPELVQTTVKDYDLAEVGKAIKSLF VSLAFMAFLHGYLKYTQPLFIQGLMGLKSTLESNPAKLHLWGKKAEGDLARPFKAGGG LLESLTGKASGPQTDAASIKAAEKAGGKSE L199_003304 MSDSSAAVAPTNGNNDQAGPSSSSSNPTSPDPNPKAEASSPSVN GSQNHNHSDDPQERIAELEQELAAVRHENDKLSNQYKGLLGKLTAMRNTLGDKLKEDA EELDRRENTINVLTSENSALQETLSSLQAELSSASQESTNLTTQLNQLRSQSDSSSSD VLSLTREMRELRGEMERLRIEREEWEVEAGREREKRESIEDELRLIERYQRDEKSRLE QTLKELEEERQRAMNLQEVLEEFQIAKDSELRQATTELETQLRLAATSLSEYKLRCAN AETRLSEVSSSAGKVGQLERELREKNVLIGKLRHDAVVNNEHLTEALRRLRKNSSDNN VDRRLVTNILLSFLTTSRGDTKRFEMLSLLSTILSWDENEREKAGLQRKGGTNLAVES KGRRASGKEKERTAEEEAAMNESFSNLFVEFLLKEASQGQSRPSISSPPPPTPSAGEY PHPHPHRTFSQTSLNSPFSPPTQTLSFSPPPTASGTTTPYSRPRGLSNSSYTSERPGY GTAGRKISGGLRDVLGGSQGQGQGHQ L199_003305 MTARVTPIIKVPTDTITLPIVALRLHKPDEAFRSAVSPAEAVAV YFLSAAAWMEFRPAVTGRSYDPPESTTRSEIQDAILEV L199_003306 MWFNPFIVILSMALWCIPASGSAIPVPVPVPMPVPAPASRGTST LKQATRDQIIARNKRPYKRAAPSPTPAPSTVTSDDLDYGLYFSGSGVIETDSNPGNNV AGKPPVTINIPGTTSQNTAIQRCADQTFSLSGVYYQFQIYFDQPTAGNGDGTWICTSY YNGNSNPTYFNVQRATASPIFGYTRSN L199_003308 MGYKHLISILPLLATCSAFVIPPESNVSRRCVSTIGSYDDVEDA VASGCDIELGAITVPSGEALDLSKLGSGTSVTVTGDVTFEGGVEWEGPMFLIGGSDIT FNGGGHTFDGQGAKYWDGKGGNGGKTKPKMMKVTMGGTFSDLTVLNAPVHVFSVGNKT PLTIKGVTIDNKAGFELGDDGETLAHNSDCFDVSATDTTLDGNTCISIDDCLALNKGS GIVFSNNICQGTHGISIGSIKSDAVVSNVKIFGNTVDGADNGLRIKTIAGATGGSVSD VTYTDNKITNALKYGVVIQQDYENGSPTGEPTNGIEISNIVFDSGTAVETASDAKYGV YVLCGDGSCTGNWDWSGLTVSGAENAISGDPPITGFP L199_003309 MSDTQPTTSKEDRQAYLSTLYTLLSHLKSSESTICPSQIPRSLH DSDPSRYPDWRSLMDPVREVVWEEAREGRVEVTQKGEARRYEDKGDLRGPIRVRRGEK WDEGLVERYTSGNGSE L199_003310 MVGLTRDDYQKPFGSTVMASGMASGMSSSSSSTPLKRRRITRAC DKCHRGGTKCSPGPTPDICGPCSAFGSECTYERPIKRRGPPAKSNSNDNGDNHQRASS SGASIPNSPVSKEKDDHWVYQEVASHEQLEELIDSFYRLVYPVQLFFHWPSFTAAFRD RLYTRSRSFNCLIMSVCALASARLRDGAPKYCHLKYWDPSTSTSEMFYNSCLSSFPVD LIKAGEFDYKRTKVILSMICLQYGEIARSIVHIGDYCTLCSLDGFQNESRWPKGLNEI EIQERRRLFWAAYQSDIYIATTFGGIIRHREAQSTVLYPTEVLSDDDITANGIVERST MNKKSFLNGWNFVSNLYRILEHAVCQMRQRNQTYDGENQIALLFSSKSSRGGKKFDPG PEEILSTVERLYNDLPDCLKEAKEMSGDVDKDIYGFQTANIMITLQLVKMVIAGMAEW SVEQRCAIAGELLDSLAALPKPFMQACGAPLIHHIAGVGHILANIIQSPLSPTSYLHV RTVLLRMADLLSSLESTLKSASVLCIAAKLRDHVERIDDYMISATEASGWNFSIGSVT SNTDDQIRTPTTYPPEPLMSMTRPNSSAQRSTQMINVQSQVSNIPQPALPNTLPTDNN IQIIESLLNQPAQSTTTVDQGDQQFQLPDDLFSDWSFMFNEFGSQGDAFDFLSASASA PAPTSGNADWQIPSINGLAP L199_003311 MSCGDIPDGLATEEQGEALMPRRIKVQRFDKSKCQKCRTAKSTY IIRNVTYCKSCFESSLFTRLQRTLHPAMRSTTLTPSELKNKSLASIGGNRPLPQDGSV LIGLSGGSSSIALTDTLVSKEYIGKGDKRLVDRTKGEKQPVWNKGYIIHVDFSDVIEG SNKEEDRSEVLKNWIEDKEHGLGWICLKGQDVYDVNLRNKIRRIIGIPELDDGEEKES LAIDSKNPDLPLFPDASSSSSSTPLDRLRSVLSTLPAASRPSILSSILESLITTTSQI IPDLSHVLLAETSTRQAQRLISGTALGKGYTLPLDLSVIRKSSAPNGRDIIRLKPTKD ISLKEVTIYTHLKGLNGLVRNARNWDNAGPQNKKGDSRGKGNTRSLESLTEQFIASLA VTHPATVSTINRTGDKLRFTGEKESQVNCPVCQLPVDPNALEWKSRTALTSLPTKTFP SEDMSSDGEGRESLATMLCYACLTTFTPPTVVSKASRTEVVPVQLPYWVGGNVRDRRR EMKEEIKEFLIGQDE L199_003312 MFTGLIEHMSTISAINDPSSSNSTEGFTFTISDSAPILGDCHIG DSICVNGACLTVTEFDQSSFKVNLAPETLNRTNLGELKIGDKVNCERAMSAHTRLGGH MLQGHVDSTATIISKIQDGDSIRYQFALPPNSTLLPYIIEKGYIAIDGASLTITNVDE KEGSFGIMLISHSQEKLTLTGKKEGDKVNIEVDVVGKYILGSTSKIEGLVERLVEKKL KEKGLI L199_003313 MPPKSKHEFSFPPPGWPSNTVPTSSRKSTNHVQPYPPPPLPINI HMVQPHPTNFIPQPGYPPQPLFVGGHHPHPQFIPPHLTGNFHPVPLPPHPDTSYRAHP PQTAYQPVSAPSYRPSTTYPQSTPTRSSNAYSNSMNYSSRQNGTVPPPSRAPYTAQAQ PQPQPQPAIYYHPQSYPPGVLPYFSAPPGQKTYDVTLSTPPTSQALYTTYPSRIRTGI TSLVQPEHITGGPKEREAFYAEQEKELFNSHRGGSGTSTPRYDSPLPSRSGAVGVGST RRGGRGRVNYAEEGSDDDEEDDDEDDEEELSEMEEPPSDPEDDNYGSRRRPGTTRHSS SRRDTYNTYGGGYDSQSMARANKAKRKREEMDKGWSWLGDRTPAERVRSAKARVTKHN YVSEELLEKEADRPELLVPITIDLDIPSHDPNSQGIRIKDRFLWNINEPFIEPIQFAQ TFCDDLSIPHTYAATISELIKNQLEESQNTVEIDISNEDVTEDDVVWSEDDEDTGNGQ KELDGAETPLNGDIPHVNGNGDVEKEVNGDEEKGQEQEDVEEQEQEVEQEKEKVWEEA DCRIIVNLDVQIYTHILRDRIEWDLSSTLPPVVFVKQYVKELGLTGEAVPLITWAIHE ELLKHKKDALELELFNQTHPEEQIKFDKSGIHPRTNLSSSSRRGGHAGQGAKGLVGVW RDWFEREEYQPVLIELSFDEIIQREQERLRESRRVMRTLTTGSKRRRI L199_003314 MPKRAAPSTSSAPSSKKAKPASNSKSTTTTPKGKGKKAATSTTT DSPLDTVKQVASDLINTVSEVVDNAGDLILDDGNTPAPVSKVIEENVDVPNLKKKGKA AKSKVLEVAENAEKNMEDVVEDKTGLDVKATKAKAGKAAKAGSKKVEEVVEASKPLKG KAAKAAAAAQAKAEEAVGEVGKAAKDPKNRKKAEDFMSTAEETVKSVAGKVGEVLGNV VNQFGEASGLSADLGLEVEKGKKVAAKKGKQVKEAAEEVAQDAKKTVGKKGQQLKKDA EEVAEEGKKVVGKKGKQAKEVAEDTVEEGKKVAGKKGKQVKEAAEEVVEDAKKTAGKK GQQAKKAAEETVEEGKKVAGKKGKQAVEEVKETTKRKAKNATEAVEPTAKKAKAAVEP VVAKGKKAAKVVSDAMDVDDEEGYVHGFSSSDGEGDSSDDESDDDDEDRAVAEAGKKI DMSSLPMVAKDDKSVQAKLKKAAKKKDDPKGTLYLGRIPHGFYEDQMKSYFSQFGDVT RLRLARNRKTGASKHYAYIEFSSASVAQIVAETMNNYLLMGHLLKCEVIPEDKVHEKL WVGANKKFRKIPTARVEKQKHEKERTDEEKEKANKKLVKKEEARKRKIKDSGIEYDYP GHEA L199_003315 MAFQAPFETLKSHTEPVYRCSWPIQVVSRERELDLTQCFEHAVL LPAPLVIAILIGTAQIFRISRRLKKPQEQGGLIWQNRTKRNERISTLKLHLLSASAVF ALVSLGLSFAHIQQHILSTVHYALLLLTTVTFIHLTYLNHHTSRTSSSLILLFWPAYL LIFFVRLRTMIITGDLSGSLTHTLPGRCILARESFWFLSIVAGMNDFIFELYSPEKRW KKFRAPWSKKGKIALDEDEEEDEEALNGVDAIDGVGFAKNEHGDIESPVSTANIYEKL TFSWLTPLLSLGTRKFLGEEDMWALPSDDSAESLSQRLIGSWEKQVKMVKDGKKKKPS LTFAIVKAFGTPYVLAGLFKGCYDILNFLQPQLLRLLLSFVSSYGTDHPQPPIAGFAI SILMFISANVATAILHQYFQRCFETTMRIRGGLVTLIYRKSLVLSNGEKSGRTTGDIV NLQSVDAVRIGDVCQYGHIAWSGPFQIVIAFISLYRLVGWQAFMGVAVMIISLPANTI LNRINKRYQRQMMKIKDTRTRTMNEILNNIKSIKLYGWEKSFADKIYDIRNNQELKML KRIGINFAFVNFIWQGTPFLVAFSTFATFAFTSGRPLTSEIIFPAISLFQLLSFPMAM FANIINSIIEASVSLGRLEDFLSGEELDPDARTVIRPSDDPQGGPKNGDIVVSIKNGE FKWLAGAEQPTLENIDLEVKKGELFAIIGRVGDGKSSLLGSMLGEMTRSDGSVTIRGE IAYFSQNSWILSATVKDNIVFGHRFDPDFYQEVLDACALRQDLAVLPSGDMTEVGEKG VSLSGGQKARISLARAVYARADIYLLDDPLAAVDSHVGRHIFDKVIGPNGLLKGKARI LCTNAVTFLPQADQIIMLRRGIILERGQYDEAMNNPSSELYKLITGLGKQSAKNEEGS DGSTTPTAIEEDNDESSINEKEEEASIDDSASLRKRKAYRRMSTANMRRSSVVSIRQA KRDAIRDLRESAKPKEHSEKGNVKREVYRDYISAASKIGVAVFLLAMLAGQGLGILSN FVLRSWASRNTGASDTTDVVRYLTIYGVVGLSGSILNVVSFATLKLVIALNSGRKLHD RAFGSLMRSPLSFFELTPTGRILNLFSRDIFVIDEVLIFALGSFFRTTTQVVGTVAVI AYGAPFVLLVFVPLGFLYRMVMRYYLATSRELKRLDAVSRSPIFSFFGETLSGLPVIR GYGQKSRFIANNEARIDRNQACYMPAMTINRWLAVRLEFLGSCLMFSTALVSVAALIN YNSVDAGLVGLLMSYTISVTGTLNWLVRSASEVEQNIVSVERVLGYANLPSEAADFIE DKKPSSQWPEQGSIEFDNFSMRYRPELELCLRDVSFKINGGERVGVVGRTGAGKSSLT LALFRILEAAGGRILIDGIDISTIGLHDLRSVVSIIPQDPQLFEGSLRNNIDPTNVSS DADIWQALGQAHLKDHITNNMGGSLDAEITEGGSNLSAGQRQLVCFARALLRKTKILV LDEATSSIDLETDEAVQDILRGPDFKGVTTITIAHRINTILDSDRVLVMSEGRVAEYD TPEVLIERDTSLFAALVKEAGLSQGNGTSTAASKNASRAGSIKGKD L199_003316 MFINIYSIALFFLFSLTSVSSLSLPQRPGMISPREFPVRASRPR QVYIAEEEVQGRYIPTRTFDPSSIKRRHADDVNFDHYEEDEDENEDLILQLSHKNKRG VIPKVVEL L199_003317 MSHKPIATLSGFSLLGGIFAYTKFGSTPSLIGSFAVGSAMLLSG MRIRDGMEYGYEGAVASSIALVIPTLRRTIKTRLPIPATVCALATASTAYYVQALSEF KKHAI L199_003318 MRYPPIWRYLNGVTYRPIFRSLHTPSTITPPLSLHSSSPLIRPH GNPSSEHSLARPDDFLFWPDFFSIEECKTLVDMALWKLDRVDSSLKRRRKGKQLLKET QEQDDIQKLFDREYGFEEGHYDSVIHHYRETLLSTLPSNSSSHLITTLSKLYGLLPDL PNSELESNSIPPEGTITHLLHLSPKGEILPHVDNLEASGKYICGVSLGGERILRLRAK GKKEDGWDVRLNSGSVYLQRDSIRYEYEHSILPYSSEGSVWNGEKLNQGHRISIMIRD TPTKPAQL L199_003319 MAAQAPAPAPPNAPMAPVSRSSRPTIVGPDQPEHPYPMKLEGTV TKGFGRGARFLGIPTANLPDTSLDPLNALNMTGIYYGFARIHPSSSTPLPSTYPTPIH SGPSSPSLKPTKPPNPDAHELSKEALESIPTITAPYPPEQHAQRWSKEDEKIWPMVMS VGWNPYFKNEKITAEVHIMHPFKADFYGHHMSVLVLGYIRPELDYVSKEALIEDIQTD VKVALNGLARPDYAKYAEDPFLTKDRS L199_003320 MSSNDKHLATFSWGAGAQSVHIAGNFNNWSASATPLEKQADGSF TAQVPLPWGEKQAFKYVVDGEWKVREDEAKEWDAAGNMNNVYTAPPAPISTSEPSSSA VAAAAPTPVPASSEKTAKPTEHDKPSSPTTTNGELSTGPVPAAVPAPSTKKSLDDTPA PTSSSQKTEPSTLIASSAPGSASGSAPVLGGPVFPSSPKSKSTSTTTTTAPAGQPAES AANPVVPAPNPEAEAVPQTKANAKPLAEEPIPVQIEKVAKQANIGEAPQPTTEEEQGI AEKASDFAAGALAAIGAVVGNAAVAVENITGLDIAHTGPLSVEEAKAKGIDINTLEKT DAPTDAVAPVGTAPSASAVDALQEKVDALKLGTSKDTTGISDVPLPKTAEPTTSVAPS ETLNQLNHGEPPKKTEHDIPAQHETIENHQQVPQPVITTVSDLDPSKDRTRASTSLED TAGTKPISSNPGVSAKAEKEIAERDPARTAAGSDHPLSEPKVAPDAPTNNVTPQKDQP KNIDKITPTPEPAAAPAAPSTPAKAAPATPAKDVSTPAPASATSTPASTPAKSTHTRE KTTDSDVKKKKSSLFNKIKHAFSPKDKSK L199_003321 MISRRSALAIATLLAFSAVVLGKSEKAQELFHTPSHNPAEHGTS MKKLFSTLFPFESPAYNSILATFYISSIPNFILLAVPATLEPSSLNTMIAFATGGLLG DVFLHLVPHSFFGEGHSEDGSLRSVQVEEKRNIVIGGAIFLGFAAFFILDKTMRVLNA SAGNGPHSHSHSHSHSHSHGTTESKGTSTSVSTASSGNELKSRKSPSDVTSIEPKAAP SDVTDTKKEVNQSLKLSAYLNLFGDFTHNITDGLAMAASFYSSPALGAVTTIATFCHE IPHEIADYSILIKSGFTKSQAMGSQFFTAVGAFVGTFLGIWIAETSGAGNKEVVIEVG QGLFGTSVGAGELVIPMTAGGFLYIASVSVIPELLEESRSAKQALKEYAAMAFGVFCM AVIAWNE L199_003322 MFPSTRRTISILFRTPLIPPPQAPPAVELSTFTSSAGPSRMNYR RTISNISIRGYASDRGKQELYSDEGGSTGAGTDDVAHTDAAFNKDPNPSSSAKQVENE SGKDFTKRSSANPDYSYSPGKQGEKGSETPLNTSKEEAKK L199_003323 MQHAGKRLWWAENAENHPAPSRLIFLNLPSSIQQDTFRSTLTKP KSLISCTITDLKLVPKRRFAFVGYKNAEEAQKVKDWFDNTFEFGGGKVKVDFVRDDPL APAPAKGSKSKSKETDVQTGSNAVAGPSKRLQEFMDVMKGADPSSSTDPSASTANAVP GEQGWVADGQVSTSKKEKSKKGKEKSSEPEEIAEGQGDDDDAAWLRRRQNEALQGEGE SSSTKTSPDEELILSTGRLFIRNLAFIVTSSELSSHFSKYGQIDEIHLPTSSTTGEPL GTAFLQYHNNEDALQAYKNLDKTTFQGRLLHVLPGRPKPGQTVAANGGGVVDGKVLGK IDEQKGQVKKNVDEKRKEDSRKGLNWATLYMNSDAVAASVSSRMGISKSELLSGDSGN AAVKLALAETTVIEETKKYFEDAGIVLETLQPKVPRSQTIILVKNIPFGTTSQSLTDL FASHGKLSRVLLPPSGTLGVVEFENPVDAGKAFRAVAYRRLGNAVLYLEKGPVGMFKE SPSSAEQPMSTTEKELKEAQALVDKVNEIREEPSVDDEAGSTLFLKNLNFSTTTPRLN AVLSSLPGFSFARVQTKINPKSSSGERLSMGYGFVGFKTRQDATKALGALEGFEIDGK VLQVKFAQRGTEDDQKDKEKDTKDGNGNGGKTKSTKLLVKNLPFEISKKEVRELFSAY GQLKSLRLPRKSVPTSTGSASTRGFAFLEFTTHTEASRAMEALKHTHLLGRHLVLEWA KETDDVDVEGLREKVGRDVRFLNDDGASANRKKRKLDFSGKAAEENDGLELD L199_003324 MPGVRDISAESFIKAYSSHLKRSGKLEIPTWVDIVKTGAQKELA PYDPDWYYVRAAAIARHIYLRKHVGVGALAKLHGTTNRRGTRRSHHRDSATGVQRNVV QSLEKIGVLEVHPDGGRRISQDGMRDLDRIATAVLEAEREEEEEEEEEDEEEEEEEEA DEE L199_003325 MSTAAVTPSTPSGATGKNAARSRNLANQRKYANPLPILFNTPSS SASASSSKSSSTSSSRSLLSSYLPSARVEVPQCIGTFDPITRSIWIEDERSKEILFTK GFFGKGSLSRSEPSWRERRVALLKGGATLAAEQMREQRRIARKQFKIDRAAAMLDAAK QAEAVLTSSVRGSSSSIPGSPSPLSSPLGQALEEELEEGNEEVEADDQIRPSSPTPST STTTTTATDNTVIDPMNLTPQTFLVRPTRPDSNRNRGRKAFKRRPPQPPSTSSSQPQH APPTTGEPAKLVQTPQQAQRLEIEEDDDEDEGEDLFDESLVEEMEHLQLSLEEGLFLT LGLGVLRVYDPTSQTYIPNGPALLSLLLTPPSTPSSISTPPTTPLLPDDPILVSYIAY HHFRSLGWVVKDGIKFCCDWLLYRRGPAFSHSAFACVVIPVYEDPSDKESSPYGNEDW YEERMSWKWINTIMRVNALVQKTVILVYVTIPSLGSFNEFHKLHNGYLGPAKIDFKNL LGRYAVREVSLTRFGPSRRRD L199_003326 MTKHQPFKEVEASRPDFELSYKPHYTKTAEPDFRPGQGLNDLPY SKEFKASKDGFRSVIPENEEKSDIYKMMISGVTPRPVAFVSTLSEDGITNLAPISYFN VCAHNPPIVMISVATGKHQDGWKDTNHNILTTKEFCISIISESFLEASNYTAVDCPPE VDEWVLSGLTQRSSETIKPPHVGESAFSMECNLQHSYEVKDDQGNSTSLIILGRVRRF HIRESVLDPNDPFKILTEKLRPVGRLGGISYSRTNQMVEIPRPVWDQVKDTPEVKEAL KNGVKKL L199_003327 MAQQTQPLKILSIGSPLSELTTLVSKITAINSKHGPFDACVIVG DLFREGSDGKEIGGLSFPVPTYFSVGKYPLPQLVKEQIIRTGGEVANNLVYLGKSSVL TTAQGLKIACVGGSFTEEGYDSIGDQFSPVISKDSISTILSHPILTGATANPSESLAS AKQEASALPSAFQGVDLLLFSSPPPHLSTLSPSYASSGVSLAQSAPPLEEVVRRAKPR YLLWGDGEGFWEREPWGWTGPTGKEERWTRAVKLGALGGEAPTGGKKARWFYAFTLPP QTATSPLPAKPANATPNPYVMPSTPSSSSTSSRKRGPLEDQNYIFGGQQAKKGRTEGA VPPDNYVCKICAQPGHFITDCPQKSNREAKDHSKPPQGYVCKICQSPDHFIKDCPQKD DKPRGPKPPPQGYVCRACGIPDAHYIKDCPVVLEREEAKSKRKELGPAECWFCLSNPK VTKHLIVAIGSETYVTLPRGQLIPTLPKHIAQGGQKPLVPGGGHVLIIPIAHHPTLLS IPAEDAMSIISELESFKSSLKACYASYGAVPVSFEIGRLSGRGGHAHIQVIPIPQELA DKVEDSFIKAGEAQGLDWELEPERALAKVGQQGNYFKVELPNGKKMVHLLKGNFDLQF GRMVLGSVLGYHHRIDWKECSQSEAEDKEDAQKFKKAFAPFHS L199_003328 MSLSTSPTAPSLLIPSNPAFSPVETAPKGGILINVHRASEPTLS IDSSLGSYNTHARRPSDPSSIISEKEEIASSYSHSPIPSPISGVHTPNVNAKSLKIRF APLPDPRRPRSLSTGRNIAWTNQVDENGDEKRQLSIKDHTTPDDDEYAVQDDSDEEEQ GGEEYEGDGKSGRRWSKSMGLSSSWKVSKKLLTGKNPIKDKEKDKEDGSMSYPQGAPL KKSVSTGGFIGSSPFRWTSETERKTSMQGSSPPTVTSFLSSRSNSNPNTASGHRRNSS LEPGTGSSYSSRLSSSPSTTPIKMMNGRVYGSRRASEAAERERKIREKNEPAFVEWGQ GQVAQRTTEESSGKGGFLGDNDDGGGMAWVKRRREERERQKREKEEQEKLQSEQGVPE GEGQENVKDDIGVEEALSTSSSSSTSSLDLNSKKPALGINTGDLKTPAIEISELPPTP TIRVSADSDNTSNSPSTNSHGQPEYTKSTMNMDVGPKGERMTPTPPNVSSEAIHAPKA LVDERKEGDHVVQAMRIPSGTTAQKSNKLKDPFAQESQANENPSDEEEEEEEEEEEEE DDGDFDDDEEEEIDIRTTSSAAGVEVISRHK L199_003329 MTTPTQQRPDPASTPAPRPTSPSTQYHAALSPGRASSIRSFTTA TEDENYYDSQARPRSAVPGLQSLESTPKGGMGSQRGPPPTAFGSSFGSPNTTWGGFNN SNAGSMPRPSRVPRFLSSGTYQPPPKDGGGSMSRSTSRARPNLQHRDSAGVVKDKTKE NDDEEQPEDRGAELIKKRQKERRQARLKKQHLELERRLAAEAEGVTPLATPDLSAPTT GIPEESFSAQQNRGTMSRSISRSRAPSADRRRYPSEAGYFPRPSSVAGTETPRDGGLS PRDEFLLRPPSIHSSHADEEDEELIAAERASIVDEIVHDVVEEETGGEGHSDEEEEEE GEHDDEGVTLRDRQDALNIEHPFGLPIWKPALYRKSRSVTRNAESALHSIPSAAAERH LLPGNILWILLFGWWLALACFFVAVLVSAAEVLGGGRGGYGKTLRGLAWYIGWPFGKY VEGEGAPEDDQEDSGDDEEAGVQRGRPDYGTWTSRSASSVSPTPKQRVASDGASSSFT IRNEPSRDSLGLHAGLPDEPPVRPPPAPSSPAAASSNTIRGDEQGHDRHPTVTFSPNV KVRDEANERTALLNKQSSSTGGGSGFRRPRNKKAKFLGRLIYWPGFFLVVAPIMVFVC ILCWFFVITIPMAKLTWALLRLLYYRPLEINFRSAPKVVVPLPSNSTPHGSPESADGS GSTTLREDGSPSGYTMKRAHLTAGQVAPTSGPTSTVLLCTYRAIGLQYYKYTVGGVNI MFINLLPLVFFVIIDGLFILPFVEKQEHHGQPISPFLKLITAQALIFVMALASVIPLS YFIGMAVASISAQSSIGMGAVINATFGSIIEIILYAIALIQGKGRLVEGSIVGSILAG VLLMPGVSMCSGAFRRKEQKFNAKSAGVTSTMLIMAIIGTLTPTMFYQTYGSFELHCE GCPEPVVHNNISVIPDMALAPLRKGDVWMCDHCYYEHPDPQNDPFYQEQVETLMYCCA GILLFSYLIGLWFSLRTHAAQIWQNPQQLMKSDEANAIQAMHPAVKATLTQRITPQAV MQHILPLHKSTTASPVQPSRSAQGSPKAGFSRLPSHLGINKPPTTATVPEEGDDHGEP SGGRLSSSTFNLPAGYTPFLDSVNKDLKNSSNHLTPMRLPSTLTTEDFTRAVAVATVS ALRHQGSIIGSGGSQAEESEEEEHGGHEAPSWTRGVSAGVLLGCTLLYAIIAEILVDV VDVVLQGSGIDEKFLGLTLFALVPNTTEFMNAMSFALNGNIALSMEIGSAYALQVCLL QIPAMVAFSALYQPDKMGDVVDTFTLIFPRWDVIAIILSIFLLTYTYIEARSNYHRGS ILVLAYIVLIMGFYYAPARSQGDTSSDLVYGPESLMELGGAGLNVALTKLWV L199_003330 MSAPPELNRQEDESQTDAQSIIESASSPTPTIRHSQQQSQIPTA AQTFADILKSQPPNQTGENMSSLAPELKRSNSAQQQEALGKGRPGSSGSNNTSKDEDE QIYAWFHVLFLWLSKKHD L199_003331 MPAYRLEVANAARAQCNGPKPCKGTKIGKGELRLGVWVEIQDRG SFKWKHWGCVSEKVISNLKADFPDASDVDGFEELPPNFQEKIVTAFEEGHVADEDIPE SARKPPSPEKEDGEGEGEGPSSSPAKKKKATPKKKKADQEEDGHVEEKKPKKATSKKA KKEETESELSEEKEEKPKKKKTPAKKAKAEPEEEEAREKPKRRAATKKPKVESGSEAA EEEEERPISKASSNKRSKKVKAESEDEEEVKPPAKKPRKPRSKAKAEASD L199_003332 MKAADGSRRPLWLNQRTLTSHIFFGPYVLFPILAALTWLGGILA LLILWVVAGKPRYQSDEASVVFISDVGATHQTLFIVICACVAGFYILSLFAERWLRHV DRLPTDLRKREVIFDWLAIIFCVIGSAGLVLLSAFNAFDHSTIHWTMTLVFIVGVALS AIFQSAEVWSLHKDHPDRKSLRRNSILKLTVVVVAVACAIAFGATYGVCGGNSTATSS HSAETCNRVTSAAAALEWTVAFILVFYFLTIAADLWPAGKSSPRYMRRLAKWQARHEN VNHLENDFTGRGAFDVYPERANWQAREQEMRREMIDRNTGAAYIGNGNNSNGGTGTHP SSIDDNRYSMGSQTPMMRQV L199_003333 MDLVQNGESSKSPRLDGDIVMGNGGSENQSGVSDLILPQWDVPP PRHLHSSHDLISLLHLDTLYNDYVRPYAEINPQDTDKGQQQHRRRKLEKGYWHLIDDC IDPTPTGTKLDNQSLLPITQDFMHPPGQPPYLYSEGIEMLPDEAFQVAKLEVGHKEDG YSAGVKLGVREAEEKRRRKKANKLSIKPNNTSTTPGIPSPGIGIPNSSVPGIPSPRPG STPGTPLLPVLPPGHTKNNSFGRKPSLPNTQLGVKPFGPGPGQGRPYNPNKRPGSVEF DNNHQRQSSKKFKSGSVGPATSNIPYKPTGTGVAGSRSASPMPSQSHQGGQQGGQGGQ GGQSGQGQGQGQGLKFKIGMRSKTEGVQ L199_003334 MTSVLPSADSGYLPYFLLLSTVAGTYNAFQNHLVIWQSKEIYSR KSDEMTFLAGRMFGIWTALASLIRGMATYNVHDRVAYDLAIGTYALAAWHFTSEWLIF GSIKPNRGSIGPLIVGWTGLIWTLTQRDHYLP L199_003335 MTESFKYIQLSRPSTNTWQISLSSPPDNRLTPELLSEFSTALDQ VESQWREVGGGKPLPKQRDGYEGKGAGALVVTSRNEKFFSNGLDWERSLKIKNFFEEL FDPVMWRLLTFPLFTIAAINGHAFAGGMILALCCDHRIITSGKGFLCMNEIQFGSPLP NSFNALLSLRIPNPQHHRDTLLARRWTQNELLNIGLVDEVVESKEVQSRAVQVGQREG GKVASGSWGAIKRGAYHQVLEYSQSYRTLNLPPQEEKEFYARVGKDGSKAKL L199_003336 MSDPSRPGTSSGRPSTSSGKRVGTGKGKRRQPTARLDTAASGIS ADELPEQEFYKEGDDEGEDDFDEEEEEEDEEVFAFHRPTTAAVPGLGTISDYSTSYSG PSSSHLPTTAGTTTNISTGPSDGHLNTPGLSLSDTPHSVSVNGKVPTPTGVIDVGGHL PELMYDKSNPPPFSGRYNPNNSSFAFTMSSADESTGGAPVIAKKSRRPHSGASLMDRL NRRRGNSSSSRLDTATTDFTTTTDMSMSRISEDSGLSEPGLSYRPTTSHNNRRMKSSA PLISESDLTSESARGYSRGSYGMTEMTGDMTVPDGKTTWGDGMGGLHKEASDMGDESL GVLDPGMVEEDSPYPEVRASVSNIDDPEMPALTFRAWVLGMLFVIIGSGINTFFHFRT PAPYLSPFIVQVVAYPVGKFAAWLLPITTWNLPRFLGGSEFTFNPGPFNIKEHTIIVM MANVAIGPAYALYATVSSELYYKHKFGYGFDIMLILATQLTGFTMAGVCRRFVVWPAS MIWPGNLVVTTNLNTLHAEEDGFQGGMSRLRFLLICMGGAFAYYFFPGFIFTALSYFS YACWIAPKNRVVNQLFGISTGLGMGILTFDWTQITWIGSPLVAPWWAEVNVGVGFVLF FWILVPILYYNNVWEFAYLPVNVIQAADRFGSSYDIFNILTPDITLNTTAYAEYSPVY LSATFSMTFMLAFALATALLVHTALYHGPRIYRAIINVKTEADDIHMKLMKHYPEVPD WWFLALFAVVFTLAVTALEVYHTNLPVWGYIVAVMLPFVYIIPSAFIYAMTSQQPAIN LLAELIPGYMFQGQPIPGMLCKVFTVQTVAAGLLFVQDQKLGHYMKVPPRATFIAQLS ATAIACFIQSGTKELMFAKIPDICAAGQKSLLTCASTKVFFTSSIIWGLIGPDRLFSK GSLYHPQTYALIVGAVLPIPFWLWVRKYPQSIFRNLNLPVIFSGALYIPPASGINYAS WLLTGFIFQFWLRRKQFAWWSKYNYVLSAALDVGTALSAIAIFLFLGLPGASISWWGN TVYQNTADWNGEGATYLDAPETGFGPDTWKL L199_003337 MSRPELPHTITFHPYLSIFLGLPAHNDENQGDHPIFHPGEELKG TLQLECTVAHTISLGRIEVEMNEVIQKTFWQTSLAFQGLGLPVSSACDEDSLSSRSLA GYHPARKGITTFPFRLALSDTLPTSFTTPTALTSYTLHAVVHIYEFPSARSILHISTE LDILPRVGDGFRNFPSISRDAVSQAILNGEGDDEVLEIDVKSTDGWAVEGSRVRLGLR VRNETRLPTLPPTLEIVQRVTVQQVNRQMIDLDTIVLEWVYAAEELEADSVVALSILV FHPASLSADMWLEHHSLQTELRGALSPVPPITRAQRRWSAPLQTVITALTPPASSRSP DHPFSAAECMSTSDHRPKLHQSRHSISGLPTRAGTYPFSAYRPAYNPLPVPPRINDDY PQPDWSLYAVSEEEESRATRTPRHLRETSEIRGRSSTSPSRYSVAWSSSTFSSTPQHH SGHNPIAYLPEILSPKPIVFTNPDSYFDNQQATTSPESSPTKGGSYRLSKDTVKSLEA MVVDDEPIVVNEAGEIPKKRSTRRGSDHRRSISDLFEEENKSSEGCFEGGLYRAREHR TCDHQSSSLGSLEETPDTRSEKQEKYKIASDSIDVLDNKDHEIVRATEAKATFKIPSD PIISSDPENSARGDKGGRVTSARQLFEDKSNQTKSSTGISVADKKKSYSLPPNLLLTS SRKASTGLVQVESPKEGVSVRPDGVHLQGRRSKTSVETPSAGSGAAVGKLRELIERYK SVTSATAK L199_003338 MKFFSVALVATIASVAIAAPVPEPTVAPQGFGNPGGAPAWKREA EPAVSAQGFGNPGGAPAWKREAEPAVSAQGFGNPGGPPAWKREAEPAVSAQGFGNPGG APAWKREAAPTVTAQGFGNPGGAPAWKREAEPAVSAQGFGNPGGAPAWKREAEPAVSA QGFGNPGGAPAWKREAEPTVAPQGFGNPGGAPAW L199_003339 MSSPAKHNPSIESVQANLRKFLNVYLQHRPLVQRTLTAGFVLYC LGTTFAALTGRTSNKSGGSGGSGRGRRGKDSKKTTASPNDPLFHIRLKRLLRIVIPSL RSREAAMLALHSAFLVTRTGISLYVAELDGRIVSSLVTAQPALFLTNLTKWLLVAIPA TYTNSMLEYLQSELGLAYRTRLTKHALQTYLDPIMPPTTINDTTNEKSSGSSSLLKTD NDAQISGEQLFYKLSNLDDRIKNADQYLTVDIQQFSNKLAEIYSNIAKPVLDVILYNY QLSRNVGAEGLVILTILVQTSAGLLRAITPPFGTYAAHEAKLEGELRFTHSRLLESAE EVALYHGEEFEKNVIERGYFALVKHANRVLRIRVWHGMAEEGVIKWVWGSLGLCICAI PVFAGEMLGMKGGDLGTRTEGFVTNRRLLLSSSDAFGRVMYSYKELAELAGYTARVSD LFDTMEDVKKGHYQKKLVSSARIEDNAKMLQGRGKIIESDEIRFDQVPLISPNGDVLV KSMSFNVEPGKHLLVVGPNGCGKSSLFRILGGLWPVYGGTVYKPPARSFTYIPQRPYL CTGTLRDQIIYPHSHVEMREKGISDGDLSKILEVVEMGHIVEREGGWDTVREWRDALS GGDKQRIAMARLFYHKPKYAILDECTSAVTLEIEKVMYDHATALGITLMTVSHRPSLW KYHSMVLQYDGAGGYIFTELDAEKRLALQEEKQDLEHKLLTVPKLKQRLEELKAVKAE RGRVK L199_003340 MSHFDTLSRTTSRTAGSTVSRNQSLIKKNTAPHELKPSDILIER FTAWKQIVKMLISYFEGVADIEANTSKELTKLGAVIQVPFRPGNQFLGEGGMQDVFYT IRDKTRVIADSHSSLARTIESSIVQHLQKLRAEIKAHIKNVQNDTGKLATSVAKEREL STRAIADLQRAIGAVTHTPMQVSAKEDPFAVNQAVHKQLQRQVNEENALQKSIIIMQQ NSAHFEEGIVRSIQSAWATFDEWQTRMSSSVQETWRHLGVNMAQLMPDREWVSFAARS DHLLDPETPLRNPEMIDYPGKNDPAVTPVHTGLLERKKRFTKTYKEGFYVLTPAGYLH EYASSDPTTATHPVWSLFLPACTLGPPSSATTAKSHKFHIEGRKDGTSAYGKTPGGKG LFRGSETAFTFRARSHEEMMEVWNDLRMLVARYLVASEQMERHGDVQRAVLSVGYRSD EEEEEEEEEDDEEGSSVEEAEEEEEEAEHAGDAHEESEEVPAYTSGGAAPLEVGPNGY VVDKKDKPELGAETSSNAGKGVERQLSRKEEKAPAREEPTTGEATLSSPSTLPTTSIG EGEGVSSSAPATKPEDTLGEVAQAPGSVTGDSQPTSTELDPETPPATNTTGGGEHKGL FSRFTENFGSATKKSEA L199_003341 MSAGNKETTPQEIVNQIRELEKKGIIKLDSDAKVTVDKIENGSI PPSDPRVKGIGKLLWGLPVLGPGDTKMNAERALAEYLKSIKNP L199_003342 MSSLDPNKSLPPLPPLKSFSLTHIVYDPTHPLSIPLTLLSLSPI FLFVSYFTLTIFTRRLTILLLAAGQLGNEVLSWILKRLLKGDRPYMGHGEVGTGYGMP SSHSQAAGFLVAWGIGYSWTLASRGKREGNGNGRLGVVRRIRNGIYILGLLIWSIGVS YSRWHLHYHSPIQIIAGYSVGLVAGATYFWLTEYLPIYHPGSLVGQIRKKVEYLWEGV GGVGGWELGDAKGGWGEGWLVIGKDETGQKKKKSR L199_003343 MGKGTPSFGKRHSKSHTLCRRCGNRSFHKQKHTCAQCGYPAAKL RSFNWGLKAKRRKTTGTGRHAHLKDVNRRFKNGFREGGAAPKKVKATSE L199_003344 MSDAALFLRKRKDKDRRNRVPSRSVGGPSTSTSTSASGPSPSTR PSNAAASSSTSTTIKPKSSDTTNGKNPNITEIKIFSSGSDGGLRFNFMRLNHEKEIDP SQIGKTLLLNRKRPGPKQPPLFALDGEGKIMGKYVYDASGKPVLDAEGKPVVEKKPEG MDMSLIGTAPPGSNDDHPPPPTKGKRKMKKGTKEVFHQDIEVMRLRREEAQPWILESS KPKSSTGANNNIPETWVGRMQEPSALPTVLLVNDGTTDGFEMVPLGRTYRFDPERPFK ALDSDEAIKLFEHQAKHKIHDRWALRPEGSDTNGNGSTSEGPVLNIKAERDLEQRAMR WEGRMRLNNGNLEDRKPKIEKYEDDYVKEGRRAERGLEGGIDEELDFDEAEHFQDDDD VNTFYRNAEEEDDAKEAEEQQKKEFRLANANVGDRPQIAEDDDEDDLFGERKKYSEEG KQLKKIMKKRREEGEDDNLFSDDDSDDSDTESIDSKTSQNKDQDKDKDKKPLADGDRL SRPPSRGPGSRGTSSPTGKRPNGPMPGKASTAPPGSGAALLAQRAASRGASPRPSGGA RAGSPLSGRAASPEARATSPVMRGNSPVPGRGQSPGPARGSSPVSGHSTRDASPAPGS SAATKGNKSGKRKTTSESPGPSGPSGPSGPSTSSPSSKRKNSPSEAGRVGKKSKKSGS NTPTPGPEEIESFPGMITKQDVLDWFKGLNKETVPMSEAIAAFRNRIMNAGKNREANQ KLFLGWMKMLADQEEKMLRLKDEYR L199_003345 MASNLDSVLKKNANDLAKELEVERILKAFKLNPYDILDLPITAT EAEIKKQYRKKSLLIHPDKFKHEKGLEAFDFLKKAEDQLSDPAKRKDIDMIMTHARTQ VLKAILGSGYSTNIPDDDPRITNLTPPFDQQVRAKGREILVEDELARRRKTKLAYANE GAEKAKQEAEVAARKRKVEEQAKWEERREERISDWRSFSNKKAKKSKKNSHVLG L199_003346 MPNFSSCFPYSSSRTDNPIQNRLIPSTTGGSKVVKGCIFCDASK ENGFNIVYEDNQLTAFHDRTPRAKIHLLIIPREHVVSSVNQLTKKHLPLLKSMRSLAT SLVPDRPPPKMGFHIPPFSSVPHLHLHVFSGPHTLIGRLKYPIAHRDRGKGWSWFVTI DQVEKILPGGGKVGLGRG L199_003347 MGFNTPDHDTMAKLRDEAENNHKAYWAENGNGYQPTGKYFPGFE AGWADACAALSSGNDIPGDVAGWAKQRAQETGHSSDDDWEWEHGFKSGAEAAKQAGSN L199_003348 MLSPIPMERRNSQHASGSSSTDRMGHGRTKSLGAINFGVGLGAN GYGGPSSPKPIGSPTVGGGAEYEWADIQARTFCKWLNKQLESQGLDPMVDLVRDFSNG VKLIQEIMSEESLGRYVKKPTMRVQKCENAAKALNFIRGKNIKLTNIGPEDIVDGNLK LILGMIWTLILRFTIASITEEGLSAKDGLLLWCQRKTTPYNPEVDIQNFKSSFANGLA LCALIHRHRPELLDYHSLDKSDKRGNTELAFKVAEERLGIPRLLEVKDLCDVEVPDER SVMTYVAEFFHKFSSEDKAETGARRVEKFAELMQGLWTNKNDFERRMTLLLSSLESTL HSWSLIPQSTTYPEAISHLNKFNEYKKTTKREYVKERQELAALYSNIQTKIKTYSLRS WEPVNGLRLENLERSWQDFLVVETARSRGINATIRDIKDALRKSFAKAAEEFVLRLQE IEQAIGALRGSLPDQKQTLIKLSSSIPSLRTTLTTQISSLNNSCQEAKVEENDYTVLT YDDLEYELSLAEAGVKKKLAFVDNQLVSAQHTNVTPAKLEEFEATFKHFAYEDSNTLG VWEMHSALASLGIVYAEEEIGIIYTELEQKFGQVTYEAWLDLLVDLTKDDASSPEQLR EAFRGMAGDKPYVTDIDFQYAHLPKETIRFLSEVMPEEQSPQALEEGQERAVSEGQRA FDYHAFLEEAFTF L199_003349 MSSGVQPTQECLEKFQELKTGKKLSYVVYGLSEDKKSIVVLKTS EDKEFDTFVGELPEKECRWAVYDFEFTLPGGEGVRNKLVFVVWSPDDANVKNKMMFAS SKDALRRRLEGIHIEIQATDFSEITKDAILEKALRR L199_003350 MTANPTQCPSAAQRIVGDCPHCQKCFCSTHRQPEAHNCSGMQAC RDAAFQANKERLEKERTVASKIAQA L199_003351 MSSSSPNQNVPYKPYTPRRQRSSRPDRPLPPPPPPDEDIGAVNA LDLAQGFASPPSPPLPRLTSSQSKDKALPPPPATAPLGGGFGRQIDFSQPSSEDQDGQ IIDFSKPPNTAPLPYASAGSISGAQSSAAHLHPYHSTYPISASNPPTTLTPLRAHYLK KTLVNLQVQHELNLITDPVLGANALGLLGDPFVLPESAKQEALQKISENSRLEGRLGG DLPFLRFMFHQFLLPFPFLSTAPPTFWSGKVQPFLSSFLATTGGSSTTASSLSEEERE VMESLMTKEERKEILEKKKLWNKIEKHTSLMFGVGIKLTSGEEVVRIGQNELNRLEAL QQERRRKWLERHPQNQGGQGSFDPTAGFEVNVVGVRVVVEKGRVRSRSHEEFIIRTRR NGVADVFVSRRYGDFKRLADELRLAFPDYPIPPPPPKDKSVTAAATSPPPTAGYSSYN PLRMIYGSGGNDGASSSGYNTPPSSAGPDSPSSPTTNTTPLSREKNRLTLRAYLNSIL ALPFIINSPILRSFLLSAPTTLTPPEAVDCQRRLEADAVREEGRRRFKLEAEKRIEAL REGLAQFKGDVLSKEGGLKGVFEVVRRVERVEDLPKAEASVLEWGRISLAATIFHLFV ASDTASDTLAQLKRLHGLMPYFVLKGILKISNPMAMIRGVLDLFLARPFGGQSLIQRM FSSSLSEDVRLLQEDIDAVQEKIDDPVLCQKIEQYANAPFEIQEIYRKDAAQERIDLL VTILRSPDMPSLSRPQFQRVARATRAYHEYKSAQAELDDSDDDLGPDNEDAWLYEDLS VLLKLWTRKREKEGLLALIFEGVTAELLKDIITIFYAPLATVYKAASIADSLGDMQAF INDMIRTVEQVEELSQEDPQRTVQTFIDLVQRHEQSFYTFVHNVHSKGQGLFDSLMSW IELFLTYARTGLPQPLDLEIILPASEEERRTVMKEVDSVAEYHYKLKIAHEEKIRRRF RSAAATGPEAAGVGADEEAALLDSVMASLSIGETALAEGGEMADEESEEEDEEDQEEL EDMRNLNLKVEDQDESERSSLNSAGLAEEPQNPDESGIGSGSRRRKGSGGSATGQGHR KSFEKIRNSLDFKHTSKEKEKEKDKDKRDPANEPGRPPRSPQIPDSATSQGHGRRRKR KGRNADLLLVPPETKAIKELRPLFVEILRPNLRVRPIK L199_003352 MKILTEDDVEARITKLRSAEPDKKVDIIQAFGLDFEDVTEIPDS TFDPLILLLPPLIRSSHPLLQISTLTSFLPFFIPLIPDSPSSLSHLRLILLQVLPALL EKLNDPKDRIHSASSNIIFLIGKKCFVVDPPHPSLGSSGAGPGAKGKEKEKESLSQTF ERMLKDTLNSKMWRSKVESLKILSRLRLELGSKLGLKGWLGILVDLLEDSDGNVREQA KETVVTLLSPSSTPPAARSELKKLLLARNVRKTISNDIIARVLGGGGGVESGRSTPAN LLSSGINTPKDEAPMNGRSGAATPALSGGGASDDIEVVYIASPHDLSNELAAMLPHFE GKETEQNWAPREKAVVRIRGMLRGGVWPKFSEAFIQGLKGGILEGVSRTIVSLRTTVA QQSCYLMKELAETLGPSFDQFVEHLLPILAKMAGFTKKIIAERSQACVTAIIIHTHVH SRIFISHIAAGVADKNIQTRHFSTGHLKTFIDIHGAKSKHAIETAPGMLDQLEGAVKK SLVDVNPAVRDLARQAFWSYHSVWRSRAEAILNSLDGMARKQLEKANPHESNGIVAPA KAAPPAKRASSTMSALLAEKRKAKAAELAAGKMAQESPRIVSGPVPGSPSLQQGMPRS NSSASLSAKASRPSDQLERGITSPETPPHTNPLPSSPIPSQRGTPRPSTKATLGSPKD LLSQTRDRTSSLGRSPPSRGSPSRDSPLRQSSTYPFSASGVRSPGSSTASSSAVNNLR TPQSNRRPLPSFSTETGLGLGVHTPSGMGRSSVSHEAEVEGDEDWQSGTDTPTRVLPP GSGVVEDARRAQAAQAESAAQQLMEYVEDEQEAIPSTQTLNRLHVNDNADTTIPATPA RPANGNGNAYKTPLNVSKAWEDSPKPEAVTPLMIERLKERKHERSWWVRRQELMDKAS PLKSTTPTPSSAITEDIQGLISGQPTLRNLQKLALFSTSHPVHDTEDVEEEKKVWIDD KIFENILQGLLEFLKPNQNKKLLEQGLVVLWEMVQHQWILFDGHEQELLETLFRLRAS HDATILESTNALISLLTQISDPMYFLTLLRSSLSRFLSEHPSASDQDTANGEGISRLS LNGTQQETDKIRNSGWLFGLTSLGMCVIRLPEAVVEVEGPKLGEIIMEAMSSPSSIIR QASQTLLLSIQTILKDSNKTLSLVPHLNKGQKDLAIYYMAQNGILENTHKFEQATEEG EDGDESKAKMLKEMHGLMGRGILRE L199_003353 MLPPSTQPRPPSLPSWIYKQPSLHTRATVDLFKLSKTCRMSCYD NSAFSNPTSLPVLASYTQALRPGVKASLVKE L199_003354 MSQAVPPSWKDLGKSSSDLLLKDYPIQGTSLEVKTLTPSNVAFK VAGIKDDKSGAINGDIEGKYTDFKNGLTFTQAWTTTNLLRTQLELENQIAKGLKLDLA TTLNPAKASKSAILTAIYKQPSLHTRATVDLFKGPTFTADTVVGRDGFLVGAEASYDV LSGAITRYAGAVGFSAPEYAITLHGLGNLSTFAASYYHKVSKDVEAGAKAS L199_003355 MDPHGSWRTSKSKAHLAQVDDTRSSSESSHQGWSGTEWNTSTNP RPSLSSSDEHVPPSQPSEPYGSIPSISHRRSTISRPSLHTAGSNISLDALNNTQHPYG SSGSAILDINSTSPSPLSNGYTYGQPGPSNLGGSSSSYASTSYARSRRRETEIEMESE DENVHHPSTAGHPGTGPGAGISKHQKRGRGGSFSLPFHRTKRRLSSSLPWPARWWPGG GGSPSTSGSGRNQRKTLRNLLVMLLLFGSLLWGISTWRSKYEIQIEFSLFSKKWIKQE IDSISQLKGCFQNPSPYYNMTKHHGAKRNMLNPGISLKRGMACYDFSSTIQPNPFSEL DGNRDKLIYHTYWRSDLIQFGSRQITTFQSFLATQPLQYSKIILWTNGKSILENNPIL QPYLIKWGEYIEVKQVDMDYLTRGTDLEKVMGNGKGDVFDGKGWVDGDAIRLLVLWHH GGIWLDMDQILTRDLHPLIEEEWVTQWDCYDKPYYSLNGALMHFERHSPYLCEAFHLM ASSPFPKPNTFTWGSHLYSKLHRALLAAHIRPFGVLPWCFADPRNCRTDNRFPDPFLP DPPIFAGKKWHSEGGREELEERVGQVWSLHLHNQWMKSFPTDGWVQRLVDGYIGQLER LEIYARAKGLVGADGKIRLEEGE L199_003356 MLSFVRILTLLSLSSVKIHARPTAGDGDQLILNPTLSSITDFTY VDSPPKGHINRTLPSGREYVLFVPDGYDHQVEHPLVLSFHGAGGNSSRQEILTQLTLP SQRIDDKPFLSAFPQGVNNEIWGMKHIWRGAPYANQSVDDVQFVKDMILDISQNYTLD PTRYYATGKSNGGGFTSLLACLPDTSSLFAAFGVVSAALYQEALSFAGCFPSRAVPII HSHGIEDDDTLFKGRSRSENWRFGPEPHVDNWRKRWAIRNGHPSESNGEGKGGLPEPN EVYHPHHNTTEERWTLGKAEIIALSVGGLGHSWPSTEGLDRAGSPNQYANFNFTESHL LPFFSRQRLPEEYLGKGGN L199_003357 MATTIRPSPIHTPPTSSPPTPPTNYPTFPRLSSPSINRNASTSS SRSTATTSSTSSVQAAPMRPPPIETSTAATSRSQLPSRAESGTESDAGYSARRGYGGP ELGSVGGRGWGRNGPRSGRMTPSHIITTPSHSPPNTNTSNAHGNRPSTSGSVATVSPS TPRAPRYHGNSQDPTSPGPLKVTISLDPMDTLDYDHHSNTPPSHPSSPIRGREGHLTA PSSPTDPSRSPAFVGGKQRTLSVDAGPSWAHHGKRSGSADRERERRQSQVSTHSHSGS GQIKKPSIRDFVLGEELGQGSYSTVFAATAASSSSNQSPTSAKLPRKYAIKIINQHHL VQEKKVKYAMIERDALVRLSTPRQSTSPTTARGHRRGLSSSSSGGHGPSPGTASKRKS IASIGSSAGTARKDSGATVTPGINRDRLSIVTTDSGLSTSPLSSNAPLSPVMKTLAGR RPSRNLEQHPDMVPEQTEVLSSEDIPTTNRSRPPSPVKEEPSNLYSTPTQSTRSKVDD HPLPPPSTGYPTPDIHGSPNIGYESNHSRSTRDHRGQTPKKRRQSLAPSERSVKSSSG RTGQAHPGVIRLHSTFNDSTSLYFVLDMASNGELATYIRKYGSLDLISSKYYAAQLID TIEFMHEKGVVHRDLKPENILLDDDMRIKITDFGSAKLLNKDEEPVDDVKKRSFVGSA DFVSPEVLRNEPASAASDIWAFGCILYQFLVGKPPFRGATDYLTFQKILKREMEFPDG FDEDAKALVDLILNLDPAQRPSVQDIKSHPFFALTDFSTIWTIPAPAMATGLTQPVAT LANVAPDSGLWAVFDDEVSDGGFEYDRDEEDEHEQPHEQEGEHEESIDHSKEPRFDRH AAAYAVRNVDHPHKSVIYSPTDGPHIDIAEQLDPPKPSYFSHGNNSRDERKSRGWSHG SSSSGGNRSALTGWLESMRIGNHHSPAGIRSNRTSRTSVRSEEMRIMMGSQTSSSGTT PVNQQGSTKLANGEMKRLNLGNMDDHSKWSSLLLSNERIVFSSPINARTSSPSLHLPS FLMPAFKKRHLILTDFPRLITVKDDTSSPTHAQGQGHSHSHTPSSSSAGETDGGNMRV KGECVFVVRPSNATHHSSMSNGSTINPNQNQGTGNGNGTSGVSNKVIDVQEKGSKGFI VQTAGTTYMYTADSTELKDQWLSTIKRVTGV L199_003358 MTRCKYCRDEYTELAFDDISGTWACPSCGQVDSSATERHQYVDV SRFIGSIVDTDKQIQVREQWDKTEVQFQQEIEAIVDLYLGVRSQHTSIIAGPAADLKS GAKQWFERMRAVEKLQCDRKHLSYKAQNRKIKYMVAIAIKFAIQESLIIVLQNKLEFA GIKKKRKNLPGYTKGDDRISNPTLHEIFCQAHAFSADSFGHLDSEDYLRHMFAKFSKW VNFVMSPMETTLLHVMQITKRLRFLVDQPHEERIKHLKTKPNVSKGKREWFNSDFVDL RGIDWDQVLPHAFHLYQFQECTRLWLNGSSPSLAIALTVWAVQSSSEMIMNQYSALQQ ELAAEYGLSHYVAAEKFRDMRNMIIGWSTSITDAGLPFPVLPLPHKGAFGDGQTGYKG DSRRPIPEIDMAVAAAPTIVKHWRQILKARLKYRLDVMTLDDELWLCRKMFVVSGQVH HYAQDPLAQLTRDQVIQRGPQPLDGNRKKMKPRVSFKFRLNAEAARQAIVHFEPLKRA RAKPPPVSCWTPSGSTLLYNPMHMPIPMFTSMYQQSQQFVAPPEERSLQRMIEEPDPS SDEYDGYSSDEYDSTPKPPSLAQINANAKAGINGKQPFAFTIGPTGFNIDTNFTPPPP VRPIIQQPLDSSSDLSHSPVPMSRQSSTQSSSGMGSASEAETVIRHPHGRLSVRSMLN TPSTSGRSTPSPSPVSNYMQTNRPSPTPLRVIPSSGSSKTMSSTTPLVEYTNTEDSHV GLLIRERDEYIQFRLPQEGRDGRICPSLVESYIWRWLREQVKNGSMPRQITPEYLRGI GIIGDPRRMDLGGWVESRKFESSPLESLLRAGIRPQELPVQYIPHSVIHTKLLLEHHN DPSPSPLNREGTKIDLRMCEEELDFLCCKDAGEDYRMYLQTDKEVKLKRMRYEKNGLW DNLVEGEIDYNSIRPKNQKRKRANTEDDEDGEEEGKERETPLLEFDDIAFDSPIYTAQ ELPDVDDDPEDPEDVVMKETEKEKTKTRKDLSRIRNSLLVNSPGRRDFEGISGLFKKK NRYRRQQAEEEKEEEEAEEGEIIEENDWGGVVGLNWDEIGLTEEFGGSAIGGIIGENE DNEENRRGSGKIISSSEVMEAPIRKSRKK L199_003359 MLTNIIKSSSTIARTTTRAFSTSSQVLDVSKVILVGRLGADPIL RNTSSGKPYYTYTVATNVGAPVEGEGGKLHPPPTSWHTIFSFNEFQHPALQKLGKGST IYVEAELEMRPSEPNPSSTDRYRYDRAFLKHQKLSVINRVKPESEQDGSDE L199_003360 MAQQIHSSSSSRSIPDDPLKPGTFQSLLPLIDDILGILHSQAMV EERLTTAQASEGVAIKAKQLASAIETMKLASISLPGGHLTIDELKVISERLDEESEKR LQILNAFKDRQMPLIDSLATAHNIGEEEVGSTMPSPTGKS L199_003361 MTSETPSPPTQTEETKTKISFIERLLRLYPIQGNHHLPLPTTQR ASPSQNVRQVTPVDIRALEAFLGPIGRPRRSSIIQRRDALQPTMTDIESQSQPHPPTQ WSKPTIRASPRRIVYLSILLGMIAGWVIFIHQMTKLSKSKKDEEEKDKSGVDTLIMVG DGCFILILLTNLFFILRHSLKIYAHFRPPTSPSPSPSPPENMTEAPWTLPPLPTYVDA VGRRQRTGDVEDRYIAGECPPKYGDERGSKLLLRSISRMGEDQDLDGRQGRMEVITQG VGQDNVQNQNQNQNQNQHDGRGLETQLDNDDTQISNHRVESINFRGVSE L199_003362 MARNVSQAAMGESSRPKRDDDSHRQKILVLGWRKAGKTSCIKTV FQQIPTKEVPFFGVTQKVEKINYDSIVPIQIWDTPSNFELDQLEVPISTFSTVVYVLD MQQDDSYHDSILRFVHLMIRLHLSNPSIKFHMFIHKSEVLSEDYRGENYAEIQRTTSE EIEDFPYKSLSSQYPNIDLEDQQTVSIIINNLISDVRYSMTSVHDVTLRDAWSRVLQG GMEMLPAVESLLLDFTSHSSADNTFLFDINSGVVLATDNRHRTDDLSEQVTEYLSSFL AFRELYKHIKKRKSPYNGEADAEKNGDEQNGDIREESNGNGEGQGGDGDGDDDEDEPR NWWDEEDPDEPWMTQSTRLMPNTTLALWQFTPHLALVVLLRTETWQARRGTIEYNLTF LRQGVREILSVV L199_003363 MSTSSLTSLPPSDFRSAPSSDTLDLAPPKSAPKRTYARARRLSP SPPPLGGSSSSSIPSFIAPPPAVTSPSKALLDRWSSANQSWKDELSKLDAPSSDKVED LPDDDEEAIKREMEKMRRQARGLKSLEDQQSTLKDNQSLGVPTNPLGKTSSLTSLPTT ATSPLRSSPPPLRSSPPLVINKGLQASSSEVAEETMFPVRKSGMSGRPKKIIISDDEE DEDEDEAPLFAKDTSRSASPTDESTTEAGTSPPPNRNHDREPEDEEDNENIGDYLDNL ADKQARAEKGRAEEESRQPKSSALEGLDDLFDEEEDEPREKRGRKPKGLNKADRAEME KDIARAQRERPVAFSRPEPSRLPITAWLAQANVAVKSKEPIEHKNAVPGLTFAKSPQT SPSQPTPPDDDIIGFTPSSGLRRPLGTTSTSRISIENPNTPTPAPGKKDKGKYKVVVP GTSEGPEPDEEEEDQNFTTFMDKQEIRDKEKADRDAKRKKLLEFKQNMVKQQIAKLNL EPSKPSSSDHEHEEDESEDDDLEFDDDEPTPKKEVVKQAMPKVTGAKAVLAKNVNQST ISKQKQGFLARAGKLHKKVKPQDQNQLDISETYVDFAAKTFSHAQQKQLNGGSKPANQ KKGREEPLSNEDMAKLIQKKHQEQIVKLRQKKEEDYGRVKVLPQRVEQDFQVLLEASK NQHENDNDENGDDDEDGEDEDYNPEEEMVWSGEEQEEEEGEGEVDDQSQPGEEREGED QNEDQHALPATLEEDDDEEYTPMIKRKPRASARVALDSDDEDAQTQAQARTRSSPAQK APLAEVPAGLTATAKSTQGFGGFDLGGFGDDAGSQGFSQLFGDTQAATQAGEEDAFAA LRADRVGFLPADAMLPGVQISKTQVERDNNLIAAEIEEAAMERMQEMEKPKKQYINER GLFTQTKPAYEEDTQVSDTRRQLGGLSDFSIGATPFGKTQTQMESPNVIGSPTQTQTQ TQGDEESFTRLRRRLSDPDDAQGPLTLSPTQPVRTERSVFDRMMKASSRAERQEEQRK IMRKSRMVDEQAEESDEDNGWAKIGGAEENDDDDDEENDGFLEELVDDQEVDEEVRQR QDELAAEKNREIQAADDARIEAEARKITEGEYRHKRRGKDFLDGEESDEDERGGKRRK LSRKERRKRKLDREDGLDKLHGEANVFRQVYEDDLDSDEDEIDETPLESYNLNLNFVV DEPEEASQVAVEPKRTFREKLDMLKNRGVMNRGMNHEEMAIDDADEDEIALDPRATAL KKRRDTFIGEDEDHSMGDEGFSISRSIRNTTSVVSTSDYKENNTRKLASYASYVQEES QVNRRVGGGAAGVSVVRPQNSSRSIGPSRSSSLNNGRPAPVPHPHRQSTGGSHGSASG SGSVLLNKGNKFA L199_003364 MESTSGKSSSSRAPEDRIQVMVVGLGMVGIAFIEKMLTLDVAGK YFIRTCGEEPVVAYNRVGLTEYFQHRNIEDLYLNDVSWYAEQNPEHFAFHIDEQVIHI DSENKVVKTSKSHSFKYDILVLATGSVAGLPPYMTPERAKSVKGVFVYRSIADLEAII KYGERPEVKRASVIGGGLLGLEAAKAVYDMKVPEVSILIRQDYPLNRQLDPSAGELVL KKIENMGVEVKTRCEPSSIVTRTTDEGHELFEGFDIKGEKVESDMVIFAIGIQPRDDL ARDSGIEVEPRGGIKVGDDLQTSAKGVYAIGECASWRGNFYGLIAPGVEMADILAFNL TQTEGTAAHVPRSMNPPDLSTRLKLMGVDVASFGDYFADIRANQKSKPKGDPVADGEV AISQPKPSKHRKLAADGPIQCLTYHDPFSATYKKYIFTQDGQHLLGGMMIGDVGDFTK LVAITKKKKKLDVPPSDFILGAKKSGEDDGGDLDDDAVVCSCHNVTKGAIGSCVKSGL TDLSQVKTKTKAGSGCGGCVPMVTNIFKAEMKKSGHKVSTALCPHFKMSRQDLFQIIK IKKLKDFATINETVGTPGTIGCEICKPAVASILSSLYNEHVMKVEHHHNQDTNDRFLA NIQRNGTFSVVPRIPGGEISPDKLVAIGKIASEYGLYTKITGGQRIDLFGASKPDLPD IWAKLHAAGLESGHAYGKSLRTVKSCVGTTWCRFGVGDSVGLAIDLENRYRGVRSPHK FKGGVSGCVRECAEAQSKDFGLIATDKGWNIFVGGNGGMKPRHAQLFAQDVPPSKVVR IIDRYLMYYIRTADRLVRTAPWLESLEGGIEKLRKVILEDELGICADLDAEMDNLIGT YEDEWKKAVEDPETRKRFRQFVNTDERRPAIDIIEERGQKRAADWPRDFPSQKFDPSH LLTPENEWKWVKLANIEDLQVNSKNTTSVAVRYGSDTQLAIFHVPHKGFYATQQMCPH KRAFVLDHGIVGDDKNGDLYVSCPLHKRNFKLDNGDCTNDESLKILTFQAKIDESGKF VEVRLPPEEDLDSVIGSSKWMVKKATAEAFGRNAATAIEIVEPSGELEKEANTKTNGN GCSSGGCGDSALEW L199_003365 MDTLTPAEVTAVMLTIGEKKASQKYYITFFKAWMAAWMLNFGAM SVQIFQGGAGTLRTDYPSIINLVAALIFPIGLIMLVLTGQELCTANFMIFIMTSIKKR TKLWELPVNWLIVFFGNMAGALTYVAFLAHYSKLYSTDALVKYSAGVAVTKTAEGWGP CVLRGIGCNFLVCLAVWLGAGARETISKIFALHFPAFAFVFLGFEHVIVNMYYIPIGM LNGANVSVGKYVGQSMIPSLIGNIIGGALLGVPMVLFYTPPELPFFHRKRGNSVVVRE NVDVGGGTIGDNLHVEPVDKSTHNGHKKQ L199_003366 MVYTTACDRCRKIRMKCERPSRGQDHHEICQRCRADGAECITKK RKVGRQPGVKNRKTREAQGKEMGSYYHRRETNVPRDHDHLPNPLQVLASEAVRRHSTP ESETTMTPTHPVTYTRDSGSILDQFSVWTSKVHGITGRGDIARRIDDLLSANRQELSL GADEPSSFCGRTDMARPDAAPEHDVISLHMISLPEAQRLFDAFMKYLTNGSMYFDPQL HSLPFIRSRSSFLLAVILAMASTFTSLCASSLLHSQLTYHANRLLSHIRDNNLKSIEI VQGLLLLASWSEIPHTLSQDKTWAYVSYATAQAVELRMDSPLPYCVQSDPIYSSGIHE LLVRNAHRVCLLLYIHDRNMAMVAGRYPIFPESTVSSQANLDEWGKHELASRYDGPIC ASVSLRKTTGVHHKLAAHNVADFQADMNLIERAIADWRAKWALEITSTLEYGIIAMFS TFVLALTLLKKDHDDEDNVEARKACEDLAFEVVCASIHSYKSWTGIVNSATFDTSMVA FCALYTLQSINRCDPIYLSDWSVFRLAIVQELISELEKQAAVRHHTDRENGMTVVDAM ARQLSRGIKLLFTKKQVGRPPISSDESDASQGQQIPEMINQQQGIVMNMDGAIQFSNE NTILQTHQPNEQQFNAQELRFDDLTQLLSSTNAIPFIPDWNLESLLPDANFSWDQIDH SNHDTSSNIPFFDFGQ L199_003367 MTVPMPPSLVTIDASEPLEKIYGIIERDGGVIVENMLSPELLAE CMSAIEPHFKTRETYTSKATHDELGADFFPEGSKRVYALLSKIPDQLTKIMRLDVWQG IMSRFLSDEYYSYTGETLLPQKSGYMLASTAALRLVPGAKPQPLHRDQIAYMVRPDPS NPLFTPMVGCLIAGSKCTYKNGATAVIPGSHLWGPDRAPKRSECTYAEMEPGSALFCL GSTYHAAGENICEPTDEDALRTLFAVFAQRDYYRQDQEEILSTPIEIARRLPEDILKL SGYHKAVGGVGYVEDHQSPHEFLQADYGLGQFGAGAHAIKNAL L199_003368 MQEDDKSEAYIGTQIVPLSDIPAGSSSSTDGDALQAKISHQVNH RRLNPRQIQLSAIAGSIGAALFVAIGSGVLSGPLALLIAFVFWATVVYSIGQCQMEIV SLLPLDGSFIRLATRMVDPALGVAVGWNHFFAQTSYIIFEATIINTLVEYWGYDSSPA ILITVSLLFYLAINVYRADLFGEVEFWLALGKVLLASGLILFTFIAMLGGNPLHDRFG FRYWKNPGPWAGETASGRLESFVNAVNVAGFCMGGPEYLSMISGEAKDPRKTIPRAFK TLMTRLIIFFIGGCLCVGILVPYNDETLTTGSAKTYAGKSPYVIAMSRLQIPVLPSIV NAALITTVISAGNAYTFNASRSLHALALDKKAPSIFTRVNRKGVPYTSVILVMLLSCL AYLALGSSSAKVLNWILNFCTAATMLNWSVMAFTWVRFNRAMREQGIDRKEYLPVVSK IQPYAGYWALFWAPLFLFIQGYSVFLKGNWDVATFIFNYGIIALAGGIGLGFKLFART PFHRSKDVDLVSDLEFFDALTNYYQQVKDDNPPTSVKDKILAKIF L199_003369 MIPNLDRPLVIATILLNIATIIVLAIEVHNRGSSKVVAGLILQI FSLVCAGNTTMVNPVPRKRGERQQNANGNQT L199_003370 MLVPQLTVLLLSQSFLLSVWARPDSPRVLRRDGNHDVIQVTGEN KFGLPSGVLMELLLPPVITDVNETTTNNVTGDVNNDSTTSTAHSLEERGLANIAWAAT KLFWNPLSFSSWLNLGGLGCTIVGLAVKQIPNWVNYGCAFAGFVGAILSVFQGRQNVY DAYYQYQQEQGNILLLNELPFASFYGELRRSEVANSTGYDQINWLQSHFVNKTLERAR SSTGYTLHDATAGYGLTTPMALLLATESNPAIVSWALDGELQHLPTAVWRNESAKGIG FVGRSLNWLPVTENHKRDNCGSYCVYYANGGEQYYSSSQNQNPDAPDAIYYGEDFYGN ADQFVEIDDDFGGEYASSNGLAEAAGYLSNDAGGSGWWDTCVCFQTSNTWVSTGSIQM TWNNGGYNGYGQCWQPNCGAG L199_003371 MSGGVFYFEDDDNRNTSPNHSPSPRNSPTLSAESSSSAPKPSGS PSTSSSTNLPPQLQIPAPSILSPTPTPLPQHRKHPGGFAATPSFPSPLAQAITVPSNS DTSSNSSQSGSDDERDVRPRRPSQQGSAGSGTPKRSIDQFPRPRTASPVLPSSRPASP SSSSSAQASSSRPDSPTTSTRPQAVTPTTLLMKSKRSASGSALTGNLPLPTPKQSPPT THKKESPTHPASRRFESLSRPQADDARSVSSRERSESSGSSVGLGVTLPSSSPLNFGS PDFGPESSPRRRSIAIPSSSGVEGSKEGNVLGLGWGANWETTSTSSSSFGSGSGSLKD KGKSKDVLSTSSPRRDRERTAPIGLSSPSRQRRQSEFLNPIGLDVRKMPILSTGRPSG AMSSLSGSASMVSPLNPLPSPWTSSTDNSAGFSPPNSVTSESSTAPTSTSSAGGAIRL NRVPTSVRLAAELIKNTGSAPTPPPFQTASPPSGASTASVPTPNPSPVGNSPLVKPTN PPIPSARELAAFTSMPPPAMKPASASLAELGKGRPTSSVPATPAGLRSLSPTIEMNIP NTAPTSAIGPSSRTVSGPNLAPPPIFKTPLLPTQPRPRPSLPADPAGGVTGGFASSAR HHRYRTSLHEASLKSAMTDISPDFNDSPTSLPSAPETEEPSPTTGPKTSSYGLGLSMP PETAFLVPEGTTGTLTPGAPVGVGSSRTRSTTGQGIAMDLAGFPGLGDAASHASMIMQ SRQAKLQRWRPTSAGGQTHGEGLLPPTFNRSTSTGAPAMLAAPRAFRQAQWGDLGPSP SAASSPGEDLPPIPASMHELTRAVSIPAESPGPTLYDRSTGPAPMHSNVGPSRFSAAP AGMDMSNLMERQSSASTVGGIEWVDWMDCYKRYKEAKIRAEAEVAKRASFIAESPVLP VVNAPIPEEPHRMSQDLDITPQPNYDTSSAIALTPTTSRDDFGPPPSQSGSLRRRSLS IRSTLSLVDPKLSPISKRSNLFDRPRQSSGSSVKTDSSSSGAGQKKKKNLVSKMEGWW NAVKSNFVPDTEHHHSFRPSTLGHHVQNRIPSEPSSRRPSEVPTLHQPQPVFLAPEAR RDSSLSLRPVVSHAELRPRMIHHDAHETASIVGSTSADIAHLSRQSSEETTMPPSLPH MPMRHPSTVPEEPSFPPSRGSGSLEARRRQPNLRLDLESNVLSQPSSHSDSSASLSQP RMVPLGKSAFDKPSQATSRSSSFGQSLGPGLTPGVPRWDQTPSPVYALGQERRGSDEN RPVAPGADITVASVRKHVKHRLNAAKEICDMTLRKTIEAITGFVERQREEEDRTEEIP VDYFDALNMNDSPLIDTETESDTGERMESEGNRSRAVSSSRGPSRRPSISHAALSPTK RLSMLPASPSRVTSRRRSSAVPRNYQPGRASRNMSLALDRTQSNTSSRSTSRSRSPMP PTARIIAQNQLDEADEDLLFLTALQELIVLATEALDSSVNALVTRPSLCTEIIQKLQT VGSKWDRHEDWPGRDWYVDILMAVANLSRVLDWWEAEKGFWNFDEDDENEPLLFVMKP SREEPRFDQEFRAALGDSRYSPALAPTQIPDRPASAISIDVPSPTSSGPYTAKATVAP TAGTPKAQAVEDLKFLAEHAKSVNIVMELSLQGEQIEYVNDAIMEVVGQEPEDVLGKP ITDLLAPGDASVFSEATQTLVEDDNNTVQLRFRFEVHEYEDTEKETRQPGPVYIELEG VGMLMRENNEPSHTMWVLKPVPATQVEAITDAAFPRDGIISTEGILCRICEREIVTWF FEKHNETCDAVHRLEAEIIECNDCLHDLQQTVVKLNADIDTAQPNQPAQYQGVLFYTL PDSIITNDEGASPQMPQGVEIRKVAHEHLQDVINILNVARHIETPSVQEDEADLPFTV QRYLSRESEEKLQRITRWQRPHTSDRALNLLFTHVEDQLRRKQKAIARMQSTIRYSEK TRHEWEDKVNQMLAEREDSSQSESGSDGAGDGNQSPTEAQISPTATGNANADDPETSP PGPRKIAPQARLPITQSHPHRQPSGYGDHSGAITITAPTPAVHTSAQTPAHSHTPAPP AFNRAGSTPASVPAPSPIYIPSQSQPPQASSKPSSKTNSPLLVPHDKHGHHRRVSTSR NFRAGDGGPLSPRIPSAALQSRAAQPSIKDFEIIKPISRGAFGSVYLAKKVATGDYFA IKALKKSDMIAKNQITNVKAERTILMNQASSPYVVRLFFSFQSKEYLYLVMEYLNGGD CATLVKTLGGLSEDWARNYTAEVVLGLEYLHARNIVHRDIKPDNLLIDSRGHLKLTDF GLSRIGLLNRQVGGPRPAYLRGTSLRGSSRQRPSYSRTVSNSSSNDSPMISPELLNAQ PMSHLSQSYFAQQIQESISADESSGSESAGVIPKHIRQMSVANKLGDTSSGSGREPAR FVGTPDYLAPESILGIGQDDAAVDWWALGVVLYEFLYGIPPFHAETPEKVFDNVVSRR IDWHEDEIEISPEARDLMDRLMCTDVQKRLGARGAEEVKRHPFFNGIDWSTIATAEAS FVPEVTDPESTDYFDSRGAAHGFHDDDNAVVPQVLKQAPGLKPGPPLLSPKAAEDMSA VIDDIAEQDDFGTFTYKNLPSLKQANDDVIRKMRSDSMAPIGQSLEGPAPVNTRRPRS LSIKLQNRSKRKTSEVNLPPSPTTSTSSAASTPSRTSNMPQTPGMMPAPQHVRRPSEL NALDRVKLSDDLHNDLARRNSTPIRVRAGSGSSAGSASAELWRQRRQVSLNAESVGSA GPGGIIPLDSPEGVVHSLGSGVVDRGLDVLIAEDNPISQKILETLLTRMGCRCICVDD GPGALAATMGSIRFDVIICDIHMPVVNGEQVARMIRSTNNHNQNTPIIAATSYEQHQV VTEEGTLFSAVLNKPVSKADLIKCLGKLGFILSSGGGTTNTNTNTSSEPSSHSNSLPI L199_003372 MPAELLTLPNNAGMLRTTLKDNTVLSDVATHKKDLINDAQRLRP EHTCHLILPENSEQLFQQCRGAGFNVHFTLKWDDDIKWLIRVRQGRGHRIPLEVREAD INSEVATLQRLRAGGISVPEAWLPGYLDGCNDGMPTISPFPFDYFYTLLQGRPWPIRK TPFYPMRLPEEGIKNTSTG L199_003373 MVPVFHHHCSVRTLVIILIRGTRYSGTPELYENACTVADCENEV VTRWAECGWCWNVFCKKHDKVGFHLCRQWRYGPHNLEEKGEIELGLVKHIMVVS L199_003374 MKSEHFVLVTSVSSPFPKILMLPSNPQGGLPVAKGYLPMSLDIS KGGKQKLPFDYFFCEFIEGTPFKVPRAHWYGSIDLQGDRLLHFIDEYAKVQILHSNHP LPFTRIGCLCYEDVDHGDCSVKVGPIACRGTLMKTKSPYFFGPFSTNKERYLANVDAT LNYDRHYAPKTLRTLDKYLWHLELGELVNACNVFEEEPKEVYIKHDDEKGDHFMVDEE EKVTGIIDWEWVYVTTKAEAFASPCLFYRDQNYRLGSDELTSNEELLIEVYRRYDRPD LADCVQNGRLYVQLDHIGHYEQTLQKSGFREVFGKDIQSSQS L199_003375 MPELIEWPCDFPECGRLILSEDAICELCHEVRCTTHNTKEDHHC RTIGTKELRREKKANTKRQYLTYLISQLRVYSHHITQQATSLRPGHSCNLNIPEAVDD LLQSGLLAGFNVHIKLEFEDGVRWLLRVRQDRRHRPPKIIRQCSIDSEVATLNTLKKG GIPVPEGFIPIRTCLDDQGQDIPFDYFFYEFLSGSTWRMPKHPLKSLSLPEDRLLQLM EGYGQIQIKLSELRLPVDQIGCLRSGSQPGKIEVGPVIARGCFQTPEPPYLLGPFSSM KDRYLAHIKATLDYILLGAICQFDPIDAYLWHLELEELVNHSVILGQPIKEVYVKHDD EKGDHLMWNEEGKIVGVLDWEWAYVTSKGEAFSSPYIFYESWKYIKGDNTLTKEENML IDYYERHEKSDLANCVRNGRLYQRLSRIGRYDKVYTKKGFREPFESDPQKDFCPPEKD VDWRVYMIKRYKDHSGLMGMMEKYGFSLKKAEVEAAEWHLKQQT L199_003376 MHTYKDIILGDVKQLRPSSNCTLHLPEDYEALQKSKWYAAYNIH FLMEFDDGIKWLIRVRQNQGHRLPSQITEPGIQSEVATLQVLRDYGIPVPEAYLPGYM RVKGERNETTLDYFFLSFMEGTPMDIPRSGHLGEITLPDDQLQHFIEEYAKTEIQLRN LRLPFTKIGCIYPAEHDGMLVGPIVSRGSFMNPTPPYFMGPFDTLKDMYLAKIDSALR YISLNALQGQNPVDAYLWHLELRELVSHSKVLCEVPDDLFIKHDDKKGDEMMVDSEGK VIGVIDWEWAYVTTKSDAFSTPWLFNRTLAYVREGSNSLTHPEELLVQQYEKMGYSDL ADCVRDGRLYLRLDRIGYYDSAYKKSGFREVFGDDVPSDFTPPEHDVDWRVFSMKRYQ KDEGLKEVMNRFGWTLERAEEEAERMH L199_003377 MPELIEYPCEAAGCQARVLRWDAICSLCHVVCCSEHNTPDHHPC QKARTKETPELRMTALRQIQADTERQYVSTISPLSLTVHPVV L199_003378 MIGYFPDGGTGNPVDPVYQSSTSTSNTTGSTANSGTNTNTNNSN DTNNSNDTNNSNDTNKDNNRSSGATFGQK L199_003379 MKILNEATRLVPKMHLPTGEDGIGHDELQYLFLNFVEGQPLHPA ILNGEESPQILAQVIQDYALFQIKIKSVPFDRIGSIYPDPLNSSSTTVGPLIDLCFCF DDPPHFLEWEDDTDERKFYLKHADDKGDHIFVDDEGHITGIIDWEWAYVTSKTEAFSP PLAIILPSSFWEGDNTLSSLEEMLITEYVNLGHSDLAKCVQEGKFYQRLHILLGYHPE LNHLKALREIVTGDKIFFANDGEYKDLCLTRYGEDEGYLRLLRNTIA L199_003380 MSDIPTCLECKVRISFSIWESQKNRLRSAQIQQDEMQTKVEEAY AEYFEFQAEMSPPHIMESAQARVNDFATKLRAAQWEVSSANADLSSAQVQYEKDYKAW EVANQ L199_003381 MLTWPCDYPGCQLRSIPQTGDCRICYKRLCKIHAFEPYHPCLEL DEDERDARDYANSEAARTELLEIVRNAHLDKIASSMRNGHGCTIDIPNSGEAVLEGGV NLHLILTFDDGKKWCARIRKRNILAPTSAIRSMENQSEIASMKILNRITNGIVPMVYE IPKDLQPNTDELDFFFMDFVPGKPLNAPILNGEETPEQMDQAVHDYALFQISLKSLSF DSIGSLYPAPSDLKPKPDTSPVVVGPLLSFYLRFDEAPHFVGPFKSNKERYLSSIDLV LGKIREGIFYPEIARFAYLAHLELKDMVNRYDHWETCEDGRFYFKHGEDKGDHIMIDE DGHITGVLDWEWAYVTSKSEAFGSCNAFMDPKTFWHGNNSLSRLELRLIEEYQTLGHP DLAECVKYGRLYQCLDVLVGRYPDLDFLNGIREIVTGDQHSFCDTDEYINWADDKYGS DEEYQRLILKSSNCRLEG L199_003382 MSFFTDAKCCIVDCNNFTITNSKSVCRLCQAVYCHEHNVQAYHD CVWLDLLNPTRKHVEQQQIDRQIRLRQYGLLLEKLERSRFAIINLAVSLRPGHHCILV LPPDSKTILDNERSYGAINIHFPLEFDDGVKWLIRVRQAHHGVPPIEVLKQTAISEAV TLQTLKTFGMPVPRAWMPIKTTVEAEEAGALVEDTSICYFFCEFMAGKSQLMPHITLQ TYRHVDDHHRRLIEDYGRNQILLSNHPIKSTLIGSLVPSNGHNDSVSVGPLLSLWGVN NIQAPHFPGPFKSNKQRYLTQIDIVLSHISEGVMNENDALNAYLWHLTLKELVETCPE LAEEVTEVYVRHADAKGDILRGDDEGNLTAILDWEMAFVTTKAEAFSSPLFCYHTNAP YERQDLHTVREQVLIDFYDHSNRPDLAHCVRKGKKYQYLESIGRFHGWYVMICMPMAL MDAFEDTKPESLQPPFYADKDWTVYMVERYIDNPELRKLISKAGWDLEEERKKVISSR GWEEKDRKRSEWWSLSAEDRRRRKSEDVVAREKAMAENRLRLKLKKQKKMEEGATGGT DVKRRVKKITKSHDDTPMTIEEEESTGPVRSS L199_003383 MANSESSSEEECPPDCPHCEGCDEHVDDGDWHSEKTGHCQKCGD VVVAREDRNDKTEEYETKRDDYLVKANGTLRSTKDLAKLEQAEEEVTEAYQDLIEAKK VLKKAKEEAGYSDTEDSNADDDEDYVHPNCDE L199_003384 MPELVEYPCSFVGCTSTVLGWEVKCQFCNVVLCGLHDNDNNYEC CRLAKLEHDERNEALYKVRQATREKNLNHILEQIKTHQATLDKEVSTIRPGHTFSLII PKLEDLVKSKWYADFNVHFLINFEDDVNWLLRVRQPYGPSPPQEISDIVMTSEVTTLN FLKANGIPVPGAWLPKHLRDGNSNAVARLPFDYFIYEFVESKPLKINKDPYKPIGLPP NELRKFVEGYAKIQIQLSNIPLEHTEIGSLFTSPNDESSAKDVRVGPIIGTLTFMQPS PPYFFGPFRSNKERYLAHIDATLGYISKGALYKDNLIDDYLWHLELRELVNGCQELDR QTDKVYVKHADERGDHLMVNEEGKIISFLDWEWAYVTTKEEAFSTPKLFHQDYEWMRL GDNSLREAERILIECYELHGRSDLADCVRRGKLYTRLEGIGNYDPLCIKKGFREVFGD DIPEDFHPPENDVDWRIYMMKRYENLEGLKTVMEDYGWSIERAENKKEKWRIRQVEIE TERKKWMAEEEENMKKRFEEMKKAYYQEKARNAELGA L199_003385 MGRLRRSRTHHARRDVHRLARTRVRVKDLDQIEIDLRPGNRAKL ERQEIDEDKPGLGQHYCVECAKYYETDLALRNHTKSKVHKRRLKELRDPAYTLTEAEK AAGLGQDNRQRGVEEVVKRFEGMNNESNLTGQEGQKEVPAA L199_003386 MSWKSAGQLISGPPSSSSSEPVVKRLRPNSYGSSAFQRDTAHLS HYGPNSDLVAADLKSRKSDWDVVKENHRFIRDDEEPQNVSWEERVARAYESKLFKEFA LIDLKHYKSHQFALRWRIAPEVISGVGEDTCGSLRCKYHNPPSTTSPNGGERERQISL SNLRFKDPDTASSSRLSSVRRDHDDDDVAEDIDEIPPLSSYELPFVYMEDNQRKEALV KVRLCGRCERKLKWKSDDERDKSKSDEKKSKSNGKSRDRDRDRDNGGRDDQEKQRGPR SRKEVEEGRGRYDLSDPSDEDHKHPSRTKDREDDRNTKSKYRSHSRSRSRSPSRHRES SRSYQHSQHRR L199_003387 MMTIPRLSPVSSKGKVKWETINENITITVITRSSSNVEVHLIHQ GRFIIKQLFERLSYMKSPVRKIIHGDASMIFRYEPTQTPVISGMKMKECYQLKFISNE DCRSFIQLMRGFFEISEHKSQSPIKGNFVEPSQIIPSSPPKVPNIDNTPIAFPTKPSP LKSSSSQSQHTPRPPKRTKTQSQPEERSKKGVTPVRTTVSSTNSSPTVELGAENTPSP PIDEATERLKKTLLENFKLPSAPPDSQCVQQEHPTALPSEVKSTQVDTPYSVYGDRKG TTDQSGGNDLNQFESQSTSRPTTGPNCGSSSSSPRAGIHASVDNCPGTPTKSQPLIDF SSQSLDHPTHHSQESLPNKAKNVSNSEHMSVDGEGHFSNIAIDNYPVLLTEEELEAQR RRKGRRKREEVEDDLEEEDADAVADRLQKPRRDTSPHHSPNTSKPLPTLPYGRGIYDL APHDLENLVDQAMMESGFEKLVETIGHLIQRRLKHETTHYHPSQLQEYNQETYQSNRF QSPCDDRTYQPYLFPFQSTPNDRQNGWNIADPVPPISDHSPNPSHNSHSQYRSYHSGS SSSQPRYYSFSQDFSQQDGHHTCKPESINANTYHIPQCTVTPKYSPRVKAHSQHNHSQ YTHSYPEQRRSQAGSLNQLLQHSHIHSSPCVQDSNHPQYTQPGQSYPEYDYDNEQPQV YPPSPSKQSLFFILNVDNTDFKSSSNHTQTERHHHRENQTLDASINGTTYEDKKTKLD EEDEELADISREFDNGLWSGSSNDIGDDEEEKEYDYDAMADEDLELEKEIENEV L199_003388 MTTKYHGLPDIDTAQDIFETPDEPDSLLRPGDSGLADDEHNTIK LNSENIDVSGLPGRKNVEKVFGRGTRRRDPKDLSFRPRLPPLSRHTLSTYSPSSSEDE DSGSQFKESSISRLRRLKAELAELESEISSQPQASTSGSVSIPDGREGKRKSVLPPKQ PINLISELNGLKERLGKLDIDELNLVDSDGLGPAEWDDRLKRLTGTVNSQSQEGRDVH QEDNGNRKRQYSLGEIDKRLAILENALGPLGEGTNQNGPLIPTLTKHSHLLSLLTQPR QLDAISRRIKLLLVDLDRAASSSNKRNTTTNLSADSEKPSSSSSNVNLSQSEYHNLQQ LFGLLSRLDGYLPIIQPLLIRLKSLNELHNDAATIAESLKQLKIQDKNNSTEIGQLQA ILDKMNKGLDQAVQGIMSNWSSTEGRIKGLEERLGALEN L199_003389 MTGRALRTVINNRIPLNLRGVPAIGCRYQSTSSPASSSVPPVKE NELAKIIRDSIKSTGPIPISRYMQFCLSHPTYGYYQKGDVFGEKGDFITSPEISQIFG ELVAIWFLTRWMEADSPSRVRIVELGPGRGTLMDDILRTLFNFPGISGSIKSIHLVEN SEALRKIQGDKLSKRLEGKEVDLEWYTGINEIPETNDTYTLFVAHEFFDAMPINTFEK TDMGWREVQVDNDPGYAPNLPAQTSKSGLRLSLSSAPTPLSTVLPATSPRFTNLSTGS RIEIAQDSFKIMRRAGELISKGSGGCGVVVDYGGDRSYGQSFRAFKNHKIVDVFDDPG NADLTANVDFAYLRESLTGTGSSSLGPISQSSFLLSLGLQPRLRKLLDSATDEKRKED IRKSAQRLIDPLGMGGQYQVMGITNDSALRVAEGGEIYPFIKKKEESKVLKP L199_003390 MFSNLGLLSTARCPDPSCGRPRCFFTHAEASSSKTALPSKDVPS TVRQAAKRRSEAEEEERIRGVVRRVTGNDGRKGNTIPGSRNLDNATIKPSVKDGTSTS TKVPPAVPQTPVRPTPTPATPSSSIAINTARPPVLPINLKPSPHPRPDRQKGLNTLYT QYAKLYAPILHLSPDLAHTSAFSQESETAAASSNVRIYKTAIHQAAVSISKRIPPDKL DHPSIGTVKESRVAHEVAEKEKLSRLSREKIDRYCLPLDQFEIWRYPDPQDSSLTGIN PEEIEIDGEGEERVCNRCKVSFVVSSKNIEERMRNGECKYHYGRTLPERIEGRRKWIY TCCGKERGEVGCQDYIHVFSEEDDDRKLARRVPYKTTEQVIGKGDGGLGKWNEVVAMD CEMIYTTAGISLGRVTIVDENGEILLDELVRQTVPVLDVNTRFSGITPDQLKTAVMDL PAVRAAACMFIGPDTIIVGHGLENDLRALRLLHTKVIDTAFVFPHDKGPPFRRALRDI VKEKLGYFIQDRTSDLGHSSAVDAKSTLEVLKWKVREDNVT L199_003391 MQLSTPPNDPQMTLIANASKLQRFTSLLNSISLEKDRIIEEAQR IRPGRACILQLPPDSQSMFDAKNMYNGINVHFPIIFDDGVKWLLRVRQSHNGYPPPEI QRFVVRSEVTALTILKNGGVLVPDAWYPLFDGQSSQPDLCYFFCQLLNGASLNIPKRG IDALWTPGPKIKHIIEEYVRIYILMADIPISSSLIGCPIPDPDDPHHITIGPLATHRA LNMIEPPYFPGPFKNNQERYLAQINIALDHIFNGHLCQRNTLDAYLWHLELRELVGLC DMLAEEPNKVYIRHADDKGDHLMGDGEGNVTGIIDWEWAYATTKEEAFSSPNFCFTHN RYYAGNNFITPAEELLIQAYERFGRKDLADCIRNGKIYQRLSHIGTFESWPCPQRGFL EVFARWKPANLKPPAKFDTSWRIYLIDRYRDNETLQVLIKCENWDQEKGRAEVESERE KRLEKRNKKCKEHSSVESS L199_003392 MYNGQPPFRPPPGNLPPFPPNRPPAPGQQGQFPPFRPPPPGSFI PPPFPPNFAPGSRPPPSLSPSGPGFSSPGGPTNGGGGGGALPFRPPPSGPGGMGYNQY PPPQRPAHPGLGLPPAPAGLPQPPRFPQEGLPPNQSPTGIGTPPGFVRDVKTTSVFVG SIAPGITDDVLKNLLNACGPLHELKRVSGASGKPQAFGFAAYENPEVVLRCIRCLNGV ELPDLSPEGRRDGRKKALVVKADQKTQEFLEEFESTLGRSDNDEEADAATRKSIAHIV ALLTDPNAQHPDGPAKQGGGDSPLQVIVPEHLQDLKEGDLPENQRVAVLDQIAIFRVA AAKREKEKKAIEDERERYRIMQQQQQQRTPMANANYGYGNNRGLAKQQQQAWQNQHQN QPSPNTPLGQQTPQSQQNGAGPSRARDPQGYDKPVGFVAAQSAEGKVDSGRTDEEEEE LRRQRKQREKDIALRDAERRVEHRERIRIEALNRELAQRKAHEDLIERNRRRQIEQYE NWDDDEIIEKGRELFYSDRATWRARRQRIRQQEYQDDVRDRQQEEDDLQALEKESEEF LKKQMAELAELENEQKAKGLLTEDAKPIRLNIQPTAIEAKPKEEVKPVIPNKPKPVIL AEDEEEENEQKKKRTLIRLDAETDGQGNDGLTEAQRLAKRNAKLLDIKKSLPTSKRDI FRADIDWAAVNESTIKEKVKPFVEGKISEFLGELDQDLVEFVLEHLRDKKESDDLVDG LEPILAEDAESFVLQLWKLLIFESEAFRVGLSTGSMMP L199_003393 MPPISDPSRRSGHSEQRIRPYIPLPILLLTSLIPLLGLLFPYFS TQPIARFSTYIPSAHDGHPKALILTAHPDDEVMFFSPTILSLVSEGWTVSGLCLSTGN SSGLGVIRTEELYGSYQVLGVERKNVKVIDHRDLQDSLTAHWDPHLISDILLDHLNDH PADLTVTFDEIGITHHPNHISLSQALALLQTHTQPSPKIIHLKSPGVIPKFTGPLYPI YLNLQKILYHILKSDAQKEGQEEKGLVVVSSPAQWVQSIQAMMAHRTQLVWFRWLYLA ASRLMWVNELIEI L199_003394 MATSALSQQPQQMSVPVQPIPQPWGAAAIDAASHGAIAFCQVYY EAYDEPTRRDLEIPLLYLPNSKIIWNGNTVPSDKPSLAEFLKGIPLSRHDLQTLDCHP VSAEPNTPPSLIINVTGSVLHGPTVLAPPSSAPDNRNNNANGKDATRDMPRKFHEMFM LKAVEQSEGMQPKYAIHSSNFRFIG L199_003395 MSTTSTTTTQIGDSPPPPPKTNGVVSNKPVSSFTLKKRPWRPYV TPFEDILARKYPGSGTNEDPHIVDWLQNDKEDPQNWPAVYKWTLIAIVSWLTLAVALS SSAYTGGAQDIVVEFGASLELVIAGVSLFVVGFAFGPLFWAPFSEVFGRRYSYIISYF FLTVWSGAAAGSPNIGSLLVFRFLAGLFGSSPLANAGGTISDVLDANQRGLGMALFSA APFLGPSLGPITGGFLGLKAGWRWVEGYLTIFVGVMLIIVAIWGSETYAPLLLRRRAN TLSKATGKVYRFRGDAKKPLQVGPLFVTSLIRPWKFLWYEPIVSILTVYTALIYGILY LNFAAYPIVFQQGHGWNVGIGGLAFLGILVGTLVAVLLSIVYVNPQYIKVAKKKGGRA DPEDRLPPAIWGGILLVIGLAGFAATDGPDVHWIAPIIFGVPFGTGIIIVFLAVLGYL VDSYTIYAASVLAANSVLRSLFGAAFPLFTRQMFAKLGVHWGVALPGFLSLACIPFTV LFYKYGASIRAKCKYAADAERTMAMIMAARMAQAKQEDEEANVETKPEAQGEAIRPIE SQPAEQEGGLSKVESHAAEHASASAGTPSNIKRIPSHVPPHMHHEWTTYEALADRDEV DLEDDERIKLEELHKKFHYVKATKPSEGENQTGTQA L199_003396 MDSSTNYSNTGTGGKSYTTHNSTPSPFHTSPQTIPGGTGTNTGG TSPHSSLNQQPSPLVPAYLTRYPTSSDQFGPSPPSGSGRRPTLTSSISTSNYPDSLDM YHTNHNGGGGRSDSFSQTGNWPALPSTSAGGVKEDRGWNNDNRYSISSSSSAKGRYNT QQQQQQQQITHPPPHTGQQTQTQKIFPSAGPTAKRGSKACVACRRGKNRCEFDPTGSE TSCRRCLLNGTQCIFEKPSEKSGGGATRNRNGSINQNQNQMNQQSGSSNDGTGMSNEA ESKINNLEKTVQSLTQSQDQIQNVLQQILQLLPPQSQGNLPTPNTSSIPNFSNHSQQH QHPTAIATSSIFPSSVSPPFHQNLNQNNQGNERQDDMILQAFTAGGNGSKLKSPQNTA SGRSLSMSTTINTTTANQLPTPGKRDFPKLPGFAPPAHQFGTYGVIPLPSAPPSPSRS RHSSRSSSSHSATSSSALPRETLTAPIQALQALANAADQIAKTSPENNGEVEEEEDTK DVLMAEREEGGGEERGRSRKRKRVLIDPNLDLKSMAMSLRVKKKKKPDPTPRNPFPDV VTKGLVSEQEARELWDIFFKGCHYFVPLWDKSYDTYETFIVRTPFSTDALLAVAAKIR AGNGPLGQTFQRCLEEAQGIARSTLFGPIVRKEAVMAMLILSVWSQNGWLPCGHALRM GLDMNLHRALDRLANKDEVRPEADERDLVVSARIWLNCYMHEHLVSLGTGKPLLLRDD SSVRGARELLSHPMASETDMRLVAGVELVNLRIRILEHLTPLHGKIDSATISFVKRML SDLNSWYKEWYSIHRSRYDDEDVLVKLLETELCYAQLWTVCVALRGVQWDKLAPDQRE LAFQAKDASFRCLEIFLRHDNFRRHLKYATHDQLVSVAFAAVFLLKIAMLYPTSVPLP FLISQVSQLAHLLSAECFAERYALTLRLMLSNFRRKTGAMSTVPGTPRLSVSAGQNHH QGQTLPFQPAHNNNNNGLNVIGDLDGGLQSLLSLPSFDGLDNTTNGNGGEISGGVTDQ MWDMTGLEGFNWPTEFSPSALPVWLQDGNVNDLGLPADGSDSLFLPPELANLFLPSTG SQTFDQYVLPDSGDVGAEAW L199_003397 MSNEPSKTNGQINSLVGSAKVLVGNTIETAYQAVGGSSEPSSWT TAGQKQHDQGEAEITAAKAQGYVEGVGDRLEGKKDSIVGAITGDKSQQTSGNLQHDKG EAQMEINKREYTV L199_003398 MANTRPYTREELKGLRRANLQNLFKIHNLKGANGTNSILIDSLV EYFASPQYTSAHPPQMTEKEKEKEKDKHVPVRPHSAAGGKVENRYKNIPITAKPLPVK GRVVSGPTRKPVEKDTSSTTTKGTQKKGVSEKVLPTIAAAAPSNVDQSGPSESYEAPQ RTEIIIRPSLPTPPTSSSSQSHPVSLSQVEALLSANDARWQAKLEALEKNLNDQMERL KIEMNQLRSQVQTQAGPSRTSGGSRTWSPWENRDRSASQPLPSASILGKRRQHPLSTA IGGEGVGIEPESEDDRNESKRVRFNGSKPGDDTPITEHPPSIIPPSSSFTANAAAAAP PRTPSPQKTSAFGADYFANPSLTPLPSHSQSSLIPRTPSPSGQGTVPDNSQTPRLPND WDSEGEDSELSELDDEDMTKGQITPISRSMIPQFSTTPEPPSHRPISPTMERSFSGSS DRFTPGRVVVGSSATASTPLAQPPSFAVDDVNLNGIHLSNVTDLERIDEMDESQNSQN SQNHNQRWISPNGQLNFPTIRPIPRLSGLGTPKSTQPHRIGTGGHQRTISASSSTSAT LLAPPLLIARNSRAGSELPLPTRHRVGSRGLSPPPRPRSANAIHGRDTPPRTIFPLNL PEPEEGSGYVAGGKIRSASADYMHVAMHGLQAGERAEALGNGEAEGNDGLDFDDADIH MDHGIGKVKKERDRERTRIMDIPTPSHRTLLGTERYNDKRFGDIPVPFGLDIGEGESG IWESPR L199_003399 MASITSGYTSYESPPSPPATEEERESIPSLAQDAYELLGQQDSK MMELGDFIAELRDLDLRTAETWISIVE L199_003400 MAHALAEEGYQAYDESETRRGPLRPYYSAPLLPQGVGHSHSNTF LMAISPPPLQYEISTATSPLTPLPEGYYLDHEHGSECEDEDAQATDDIGRSHEMSTWL FDVVEALRLEQWNWLAYKTPTLCSLSQASAAALEDPVEIFSYPHSDPWTTFRDLLRDR WEGLAASSVISKETERLVSMDDEYKSNHNLTNEAGLSHHSAVALARLLELLAKQLDPS ALLEVAGERGGNTVDWMINLRTSNQGREGTCAVAIELKPIWNANPEHFGLIEEFARRS TRNSPSLLYHVKNTGIITEPALDPHSARLLAQLLGYMRRYQIRFGCIYNGDILLALEQ IDDGRFCLLPPLLTDPEKPVNFVLAIMALTNYSDRKLSDEASQAWSFASQGMEGDFFD DLSEAEAFQELEGEEEKQEGENGYGQGDRPESYGEKEVDEDIYEDMDEDAEVEEDDEM DNDTSATYLPDDDVTEEDYDYESDRSEA L199_003401 MPLCLNANGREQLAKGRETLASARAAKATHTLSIKEMITVLGAQ AVDYEEDRRQGPELDRSRTFDSWLKDGMTYVRGAIRELTTAASNEIQDLSPEHSLKDK EANVRLGKMTGASPVFTLIYPSETVEIATTPTQVLAPRANIPPRKMSFIEHQMERLLR TIFCAPADLIPYGLERRMAAKKANMIHDQNEARIARARKRAKEIKEREREMVNSFKKM HV L199_003402 MVAQLSTEQQERLDDGEALLREYQSSKKLHTLNSVQFHEKIVSQ VAKFVCGRRAGPQKDLATTYRQWIVDARLVAEMVCHNLTKLATEEIQTPQSGANPIID LVKKDLLDLGVTRFLKYYYCHSIDVSSWICIEKHKALTRKKEQKEKELILASAMNKKL HM L199_003403 MATSHFPEGIYHLTTALLSRFSFQHVIVLVMTIMVLYLLDWFRE YLNVRSQVRGLPTISSLFEFFESSYRQKLLHIPYIVPVRDYTIETPWDKYAKFRSDLF ACPQLSTPSYAVYVTSNSNAAVLISNKSGLFGKPIDMFRYVAINIFGKQITSTQSGDE HHRHKRIVKPCFNENIMQTGWSKMVEAFQTMKVEESFEGGRTIRVVKDCMIKHTLFVF GRSGFNMDFPWNIPQSKAEENMPFAEALHTVETSITVQVLLPLWILLHFPLSSVRRLG QAQRSFVSHLKAMYHSKRLELQTSSEYDEDGNTSPLPKDILGALVASQMSAESEERLK AGKTSEKVVGLMESEVIGNICEAGHETTGHTLTFLQAFLCVYPEWQDKLYEEIISVCG DEPPSYPHMSQLNICLAACYETIRLKDIVMTLPKFAMKDTTLPYTTWDDEGHISHKLH FIKKGSHIIIDSPALSLNPFVWTDPGRFDPTRFLDANGRVSSSVNNFAGFSLGQRQCI GKRFAEVEMVAYISHLIKEYRLFPIEKDGESVHEMNARILKGREELTLTPGKFAVRSE KR L199_003404 MWKWLKDMGSAHSAPRHDYNGPLFGGHYPGDFSIRRNRPDTNKP LPPPPPPKETRSVRFGNDPPAWVFYKNRPADENLANTRYCYSPPTTNRSNTTPPPAYG TWDEGPLIVRNQ L199_003405 MSEADGTGEQELLDKAEAFVRAHMAGYDPSHDWPHVDRVRRLAM RIAKTLNPQPDLLVVELAALFHDLDDKYRTLSSPTLSSLLSPFLSHPSLSPKQADLIL QIIPSVSYTSELKLTKNGEWTWQSSCAELHAVQDSDRLDAVGSVGIMRCAAFSCKVNR KLVEDSEGGESAEGHFEVKLLRIRDRMKTPFGKEEAERRHQTMVNFLSSLSRERELLQ L199_003406 MSSSSASSSLYLFTTSQTPLPPRYPHPSKPKLILPSLVHRTLHN SLDGDTPSISFDHGSGKGTVIIPHPDGLVKHDLPSSNNSTSQSQSQSHNPSPNGNEIP ENGLSDEPSKYLVDSELTVKLHLVSPSSPSNYLERVKESLGILEKFKGLSKEKVDTLL IGFKGVDYKGKKTETDSDLNSQADGDREYTIDKQLESTILDTWKEILEEQRLVGEQTK LGSLYSPLNLLKQLVSSSQGKGDVKGIKVNALDTPDCHHLPKEYTGYARGEGVELWAG GGGEGSDPLPSPHLHNLLQEFSSKLSSLTGGTIDSSLLGKLIGVDGDGLEFEKRGAVD VRWVLSYTLVSKTRNVVKDKGYIVAADLYP L199_003407 MDAACHAGWDHVHAQIVTEKHTTSVIRGKKFWVGLSDMQGWRIS MEDAHTVHLYLPPTDSSRKITPSPDIPQQPAGSTVTNDNEDEEGNEHALFGVFDGHGG SSVAKYSGTTLHTRLAGLDSYKSGDFEAALKQAFLKTDEDLRADPNFFNDPSGCTAVV GLITKDGRIIVANSGDSRSVLGYKGQAKAMSNDHKPTNKEETARITSAGGFVEFGRVN GNLALSRAIGDFEFKQNYSLAPEKQIVTADPEIITHKIDGEEEFLVLACDGIWDCLSS QQVVDFTRRAIANGDELGKIAEDMMVKCLATDSETGGIGCDNMTVIIVALLGGRTPEE WQAWVKERVKNKVGYDTPESVPDIFGQNQASSGPGNALSSSGFRVAGAGGLANIASIL GASGITFRPAYDSDDDDDEIQIIGEDGKGIDVNADKPDTGLIDDQGVEGKTKPKNVTD ELDDEKAEEALKAESKKGSVELLDEDGDSAMDSGDESDTTTTGGAEKPTEEQITKAKS INTSNTPPSPIPPSFSSIGSPTVPTPQALQRSSLPSSSKDKQYDQLKSDPQGDAPSGA VKVEGLMDTSENPLKL L199_003408 MNPKDSALDVSQKLKEGIAKPIETLRDPNVSYDDASEALGAIRN SIAKANAQFPAAGVKHDLSQLTKQVGLPLENKNDDDLNKVGGVLADVIRDIVEAVMNI KEELKKMPLIGSLILEIDTGLNTLLVGLQLVLAGVVEVLRGLLSGVSGLLKNLGNGLT FGLIFGK L199_003409 MSNQANTTTPEESDPDVTYLPGVTIHHGPRDYAAIRDGKMYGVQ KGSENWKIVHAMVEKDIKDPSIMDTIIRLADDVTEGY L199_003410 MYFAKFALLALPFIGLASTKPVVVEVEKRATALDVVNELQSAIA GPITTLKTANVSSDDAQAALTSIKSVITDATSAIGSATASKRDLIALESRQADDLAIV GQVLAQVIQDIVEAVEGLADDLKGLPLIGAIIFDIDFGLNTLLLGVELVLAGVVEILR GLLSGVADLLQSLGNGLLAGLIFA L199_003411 MAPSTKAAAKPQDAKAKSAKKAALKGVSGGSVRKVRTSVSFHRP KTLRLPRAPRYPRKSVPHLPRMDQFRTIQHPLNTESAMKKIEEHNTLVFIVDLKANKR NIKDAVKKLYDVDAAKVNTLIRPDGKKKAYVRLTADFDALEVANKIGFI L199_003412 MCIAFFTLSQPGYKLILASNRDEFLSRPTAPARWHTFSHTTSPD EDDEKEPWVLSGVDKGAVNGGTWLGITRDLRVGLLTNVRLTPPTPPLRPSPNPPSRGL LLRDFLSNPPSSSVGVHDYLSSHYPQSGEYEGFNLLLFSLHKGKGEVGYLTNRPIPCL TELHIPSSHPTPPPAPQPCDPLKGEERKAHELAAQCFGISNSPMNEPWPKVLQGEKRM VDTLKQWQKGGEDDKQLIERMFGVLSQSIPITKESDALSSTTIPLITIPSANAFTTPT TESGQSPKPRWYGTRTSTVIIVKENGETIFVERDVLVLDDEGNPKKGEGERWVKFKAN LD L199_003413 MVFALKDHQRKYLDDGQAALEAAKATQKVHALSGFMLNAAVLYT MEKHLFDRRKGSFLDVPLTREHWMVDAKAVAQEAIANITSLATQEVRNSPLAEIDIPT SHSSSRRPSHPAFVLRDRNNQPEVVVSRTVKRWLDHQVTSFLKYYFCPPIDVNHWPGP EMDKIKKERKERKERREKERQVINAMEKRLHIQDGKVEETVAQPQVDDYQHLSST L199_003414 MDEADQNEEQTVWERIKNHLNFFRIHVLIFTFTPIIAACVFYAA NGSASGNANSTNLGRQKVTFLDSLFICFSAMTTCGLVPVNISALHPFQQVLILLLLVI GDPTFVSLIMVLVRKYYFRTHCEQLIINDRLRRTNTLHPTETVFQGFVTQPMKRKIKT IKEKKGLFISGPVSAHKIEGYVDDQDRHGREGVTDSPVEMDLEEGRISENVDNDRDHD HSQPSTIGRSTTAIPTNPTSRAESPHAYTTALPTPISPATTHGLGIHPSERSYIARQR LRQQTRKATLGVGQAATIDPISPIQPIRQPTRKPTMGSPLGRIKSTPSAAYYNHPIPH PEGHKNTGMGGFPTPLELIRDYMPSSTKNKLARPVRKLEILTNPIFDRTNKDEEAHPH PAGEEGESWTEMIKGSVAKWMPDGLSGLVIGRNSRFWTEELDDEELEQIGGVEYRALR LLSHLVGSYVLLCQAIPFAIISIYLAKVKKWDAAFQPIQGVQTGSANKTWFSLFLSAS AFTGTGMSLIDQGLAPFQDCYLIIYVLIFVLLAGNHAFPIMLRFIIWLGTKVTRKGEK FETLHFLLDHPRRCFLYLFPSHQTWYLLFIVLTFIIIELFAFLVLNIGLPVVENLSGF ERFSDGLLQSLSVRASGFGIVAIGNMAPSVLFLYIILMYVAIYPIAMSVRSTNVYEEK ALGVYEADDPDTLGEDEPEFKGRRHEVFSKYLLWHMRKQLAFDIWPLAVAIFLICCFE RGKLMDPQKYDWFTVFRILFECTSAYSVIGLSLGTPNNNFSFVGEFGYASKIVIICVM LRGRHRGLPVAIDRAILLPREYSRIGNAQSQNNGVGGKGKNEKDGIHEPNTAPRKGSH RVSESADTGNRSATVE L199_003415 MSDHSHLKAQNLFSCKGLTAVVTGGGTGIGLMQTLALVENGAKV FITSRNAEKLQDVAKKYGGDGNSKGEIVAVQGDISNKEGIEKLVKEIESQAKDGINVL FNNAGIAGEGSREGYEDVNTEDAKAYSSQLLKSEFKEWDDILHTNVAGQYFTAAAFIP LLNAGAKSTKGYASQIVNVSSISGLMKGASGGQFAYAASKAALVQMSKVMAKEFLPLK IRVNQIAPGIFPSEMTAGDSDKQTHKSDLSDTSKGKGLPSGRPGNESDMAAATLYLAS YAGVFVNGQFIAPDGGATVATPSSI L199_003416 MVGFVPYPDNLNLDKLSEPIPGTQSQGQSATYRCAIWDKQWWEN LPDEPTTLFELFEQSVARHPSRALFLRRPLLPSSPSAPASTTQEPVYSRTLVPTSYGT VQTRRSNLGSALLALERDGRLKSSIERNGVSPPEITHPGIPYFGNANRVKGGSRRGWA VGIWSKNREEWQVVDLACQAYGLVGVSLYETLGPDVAQYITNHCPLPIIFASSNHIPS LLKIAPKCPSLKIVVSMDPLPRSEHELLSQWAASLGLELLVLDDLEKYGSMDGVFIEP GPVKGVQGELELDRERVVTISYTSGTTGDPKGVVLTNKNLTYAVRSNMLGSTEGITDG VEWKYISYLPLSHIFERFLHYVVMYGDGTIAFTTGDVTKLLEDAQIIQPKFMAGVPRV WNRIHAAVATQMEAGGLKGALLRRAVDAKIANWRQTGSVTHPLWDALVFRKIKALLGG QLVYMCSGAAPLTPEVHEMLKICFGCEVVQGYGLTETIGTCTKGIGQDVRAVGTVGFI QNCNDLKLIDHADMGYTSQDKPNPRGEVCLKGYNITPGYLHNPKATEESIDKDGWFHT GDIGEVDAYGHLKIVDRVKNVVKLSQGEYVALEKLESLYALDPLFASLLVHGDSTRSS LVALAVLDPAQAASLIHHALGKHVKAEDLAALEKAVGDKKIRKAVLKRLARTAKEHKL NGFEMIKGVHLTLAPFPEDIMTPTLKIKRNVAAKKFNKEIDAAYKEAEAPADKDEGAG AGAASKL L199_003417 MKLLETDTIFAFMDIGPIARGHCLVIPKHHAAKLSDLPDDQTRD IIPALKKLAIATGAENYNILQNNGRPAHQVVDHVHFHVIPKYAERGDEEGLVIGWPIA DNYPYSFDQSAQKDLSKDDITKIFEEMKSKL L199_003418 MSYKSNLTNHNPDKYQTNASFVYSAKNSSPVLELLDAQPGEKII DLGCGTGQLTIQIKSIVGEAGEVVGVDSNEGMLQSAKSSSPQSITYLQADIQNFQSFQ TSYPDYKGKFDKVFTSATLHWCKSSPEGVCDLVNWLLKDGGKFVFEFGGFGNVVGIRS ALHQSLKSINVDPIPLDPWYFPTVGQYEKVLRSSSLTPRSVNLVPRPTPLPTDLRGWL ETFARNSFLSSLTDEQANQVLDDVVERCRVDNYWSDNNPGIGVQPTSREVEGGEGWEI MYVRLRGWATKSQ L199_003419 MSTDWQSIPNASDHLKWYENKGKLKLNFFLSIVAVGMLLNGYDG SLISGLQASDAWQADVGYPDGVKLGLLNACGSFSGIAVGPIITYIDEHFGRRWGIRFY GVTILLGSIIGCIAGVSGANGYALFCVGRVIIGFGLTSFLMTSLIVVQEISHPRSRSM VAHSWNSWYILGLFIEGWVIFGCVGYMQGSWSWRIPYIIQVGFALYILIAVQFVPETP RWLYAHGRQDEAFQFMVTYHGNGDPQDPLVLFEFEEMKNAINQEQEAKAEKWSTIFSG RSNRHRLGLATLMPFLFNLSGASILYLYYTIVFDQVGITDPTTQTGIAAGLNGFTWIC QIAAVYAGKFIGRRQIVLYTWPWLLLCLIGLCVSGAVYSNTGEVSRSAGIATVSLVWI YQGFFNFACPVIYSYASEVQTYSMRSKGLLVWNTVYQLQGAYVAWVDAIALNAIGYKY YIVYMPLVVIEFVLVYFFMVETKGFTLEEIALAFDGGSKISLANADVLPSVEHSQRNG DNTEEQTRGDGEGDYKNAIEGGR L199_003420 MDIDKSAAPPRPRPRKTVKRCLCISCILFAIGVGIFIGVTVFSA ARTTISWFKDPHKALVYNGTITPNLSSTQVVRPLIDSETKFDILLTVYGRIPNDEVED EKQRKEYQDQIDKDTETDTETYTGNELALSAKVGRSPVEIRYLPQERVIYQDVVFRGL TLEDKDLEKTVKFDLPLKRFYDHFLYTPDVRAAIALLPQRPNKLDRLDNFTSWKPEEA EFPIRIDDNFIATSSLYPHTEEYAIQWKALEQMTYAFPLIESSNHGDPCNSTKADSDD DGFEEDDLYASIIDEVDGTPDKSKVEEETQEKDVVKNVKKQKSWMHPHIVTRSHVYIM KETRMFDRKAYDKAHKELRKNACGKGSSGHHVSRFLCSRTYLSNGYWENRFVLNPSEG QKNKELAYGPYIDAIFHAAGPKDVHALPVTRHNCSASHNGTNTSEPTLDPEYFPVNYT IRFSSLTPARVGLLNHFVQPHRVSHNASEFEIAHSHNEWEQASGVFGAKKEGTHPIRR LIITTLRTLVAFPILVLNSIYWYTRTTTVGINHPATYISSGGMIINALVSLGQGFKEI DGWSDGVLLVLLSILEFIPAILQLKVTLPIELVRNGWWKFDLKRWRWSHNERNSIRRG TGIDPKIWIGIFISLFSIIYFPNKYSLAILHPSILPPPPIPSESSSAITAIHESPIFA ALSRSFEIFALILQISHNAYNSTFAGNYKLTTYMIMGYRVSELVYFVPFIVGTYDLRM GIAYITVIEVLIEGVLVWQAWKLPKVDQKLEEDQNQVGN L199_003421 MEPPPPYHAPGYLQSTKSSSRRVSTASSASVSSPRPRPRAGDFF GGVSQLSQQELDRDAINAGRSVRRRSPRTDLPPALGTTTRKRTPPTKAPTPPPPPPPE PVPCPLCPEFAGEDVFQHIRRNHRGYPFQQSDFPSNSVVVCKDCRAILKVGRTALTVH KKNCKGKEERSSRELARRQSGGIVQDSRRRSIGVNQQLSPPTPRPRPSPPPQPPQQQQ QQQQQTPPRLAPPPSPALPAAAPLPQPEHLTRDISDLVPLPGSVKHLHPNLVRPFIHA ASNAAIKYNEDPSAGNLFDILALVKVGLVPEMRNGHAAVVDRLANYPAVTWPEVRNVD GSAKSEILRAKELVESGLVGRAERVLNNNVKIAPLDNTTVQSLKDKHPRGTDNPFSVP LHQSAAHATNPEDQDIIKALNSFKKETSPGPSGWR L199_003422 MVAAVASGESGLDSWGDFLKKEYRRPIFFCALIAIAPIAYGYDG TYFTSLLETPVFVRQFGDIIDGEPAISSSDQSLWVSIIQVGEVVGSLAAGPIGDYSGR KGGLLSAIILLAIGVVLQMIIVGSGALLTVGRLIAGAGIGIISNAAPLYLSEIPPVEI RGACVSSWQLMLAIGQVIGACVGLGAHTLESTASWRIPVGINLVWVVLLFGVLFIVPE SPRWLLYRGKEAKAERALKKIHAGSDYQDSLVQEQLAILNKSREEEAESSSSVSRWSD LWKNPVERRKFIATVGILVSQQISGVQFIFSYTTTFFTLVGLKDTFIITIIVDCIEVI GVIASFFVVNRFGRRPLLLYTGVFMLFTLLVVGALGAVAGQGERFEPYLADHPSFGKA VAAMICLYVFAFNVAWGPLAWVVAAEMSTGRNRQKHLSIGTALFWVSAWAVTFTLPYL FQPNEAGLGPMIGFVYSLGALASLLFVYFFIPETIGRTLEEINFMMEARIPTRKWKDF DLATVVAKDEKKGTRGTTEHVETATGAGTGVGTRPEKPRTRRFETSFLNNKKANEEID AESP L199_003423 MSSTTKSTRSIFSPIIFLLFLLLVIAFLATPADAGFTPVYMSYY CRQQCEQKFAMCARVAISSFPLPLTLYPTHLPCVFRL L199_003424 MFTLHLLKQKRISKRKDTQTQDDQIGRSTQFQDGKPVTVNNVET HSQRIPAMDLDHASRELEEEAEEERVGIWGFTRSEIERFPALRKRNFWCIQRHSATAM HYDLRMQVDDGTVSWAIPKGLLGISKKGESSRLAVETTIHPISYTTYEGADGRNFSAG RKGGTLLWDIGEYTITKPSSALDSTSDEERPSKRKRKSRSKEDSEQEGSEDRDGRYQE DLFRQFLYRKIGYGKSRSIHFILHGGRKVRQFNHFILVLASSNKHTFSSSGQIKKNWF LRLPREVDEYLWDQGGEEGDFWGRSVKTGRTLKEVTEGYVKRPERWEREEERFKNWFG DED L199_003425 MNFGAGPSSSSTSRGQGGPTNNAPVTVPLSIDLLNKFRPSKHFK DALDPESSTSTPTSFLDQQSQAGGVKNITSLSFDDTGDRLVTAGNDDMFVLWDCKKGK KIKPLYSRKYGIDLPRFTHKTGTIVHASTKGDDHAVRYHSMHDNKYLAYYKGHTARVR SVDMNPLDDTFITAGDDGTVRLWDLRASGCKGLVKDVGGSAIAAMDNQGIVFAVACSD TQTVMMYATSTMDRIPFSFVPLNDINFARDSIPPPKPIFTSIQFSSNGEYLLIGTSSD VHYLLDAIDLVLLRRLVGHQGLERDKTGNKGHLPRRGSSGEEISFTSDSKFVVGGSAD GNILFWDLSNDKGGEDKLTRVELENGQKEAKSIPWHTMPIPDLQAKVVLRGSTGTGMS RAVKFNPRLQMFAVGGEDLTFWIPEKDEDAKIQEGW L199_003426 MPRKLPPPSAVAPIETLLPPLPPSPIIQTLRKDWRWAAISQFIW TFSDAFGLVDWDIEALEADLDGDEKALIPTLIAKLLFALTYNRQINRDNAFESLRKTY AKRKPDYKCLLGTEEAPVEWETLGLSQKVHILHELCEWQLEDPARFRGLLKSEEEAVS WRVEPVGWDKDGNTFWLFDDNRLWIQRLPPPPPRPLKKSSQKAKKAAKKSRPSVTTAT SAPKKSHKRREFTPEPSLTPPPKEEEAILSGSSRRRKSVNFYGNPTPTAQALKRGAAS AAGPITPSNVGGSASRSTRSTRNNHVEEIIESPSKAKNTPLPLGTRVSRRLRNVDDEW QQIPDEWLSTPAKATTKKGKGKGKGKKTDGDESELSELTDEEEHERSVLASGARKGKG KSPTPKEEPAEQKDQQEKMDVDEKEEDNAEEMAVDQTEVEKVDREQNINVDAIQDGKV NGENHNTVPPADVTNGHDEVVESVADISHTQDTDLIAKVQVDGQQQQQLSSAGDEIKE GESKEETLDVNGAASGSNDEAVNGGVADVVETRSALEMDSVDGGTAVEKNDANGHTSS PGEAGQIPQEEEEVKVEEVIEKKSDLLLSWEEGRSHIPSDFVEWEAVCVTLYEWRTFP EQFAKSKDPDERALYALLTEEVGPTIIGFLVAKEQERLKQEAVNNRKRSSRIATRELE REEILKREAAEREMEERMERIRTEEQRKRREEEEALAIQRAREDRLKEREERALAREE ALLKKAEEEAKEREKRERRREKRKRRREGEVVSDDSDDEDMNVNTPRDDTGTATPSER WELNCEVCKKVGWNIDEDLDLVCCDDCGRWQHTECHDRLDRREGRPKRNWDQVDFKCK DCQHRAARKKQRVEHAIHPPPVQYPFQANGNPHPLSQSHSPYPVLPGSTPGPHIRPPI DPSRPPPPPLGPGEFYLPYPHPPAREDRPVGYAVFYPPGQPSPSRPGPGPGPGHQASL SDQERYHQASPAQRYPITPQSVPPHQQQQEQPNAQQYSSSPSNSGHQISPHHQQVPHY QPQGNHGQHISPPHARLPQQQVQNGLVSSPSRVAYPQVQPSPSSLVHANAAHGITPPS ASRQQASQISNGLPVTAGTGNVLPTPEPSCASQRPAGPQV L199_003427 MSDNGSPAAPPAEAKPKPEADPNTLNIKIVSTNGDEVFFKIKKT TKLNKLKSAYADRVGTDVAAIRLLFDGQRILDDQTANDLDLEDGDSIEVLLEQVGGY L199_003428 MQIPTSALFILLFSLTSVVEAKYHPPSTASPDYQKCKHGVDSDP SCIPIVSDDSVSNIRDSKGRFLLLNEKHPELKSYIKQQTKSPYMTPTATITSVVTPTT PQAPALIAPELSTGTLAPTAMATRDDNEGDMKFGGASSWGKPFLVRSEESGPGVDTKI MMKRDTNHFSIPSIPFLGGGSGNGLFSFASQPQPQIDQIDSASLGDGAPTQAQAQADL IGNLKFGYGGGWGRPWKRSPNPNPEPKNCLEETAILSTATETATATITQVQIQTATIT TTPTSTTSTTDVEDNTGSAKWGFGGGWGRPFVRSSSDSTQQVSEGPSSEETGNMKFGY GGGWGRPWKRQGPIDGVTSSSSSMTMTTTMPQEDVGNKKFGYGGGWGRPW L199_003429 MESEVGGVRGRGRSLSTSTPTLTSFVTSHPTSPPTIPLPSSRKL LSTSSSCFNVRTPSPHFSPLPGSQLPHSSSMTKRFRSTGVYPSPSPELGERTEEFNSK VLQAEERMISRELKTKSEGRGRFIRIVEVSARDGLQNLPGPAVPTELKRELVERLLEA GVRNIEVGSFVRGDWVPQMADTPKLLPLLPPLTGRSLPLPFPSPPSTRPQTPEETSSI PIAGPSSLKHIIDSTVIPASASQVHFPVLIPNMKGLDNLINLQEQHSANGGGRLTDEV AVFVSATEAFSQANNHAPLSKILSSLPPVITKARSLGYRVRGYVSCVITCPYSGPTPP EDVVDVALKLIDMGCYEISLGDTTGEGDPESWRRVWRECERRGMDMTRVAVSCHDTFS LALSSILSLLPYNLTSIDSSLAGLGGCPYSPGATGNVPTEDVVYALHKLGYETGIDLD KLVECGNWLSARLNRRNESRVGRAIWARRQARENQQKGKEGLKGSEGEETEDGM L199_003431 MAASIGSFIPVPFSETTVALLKAGPAQPLQPVSPSIGVSSEHVA GGPTGLVLQSDPFDNNGEDFLIRDLEEKVRLVCRTNTASGKHGKVITDIDGNILISLK TKISISKSYVGEDGDGKEVLKVKKKFALGSAFEASFHDALSSKSKSIQLRGDFWLGSA DILVVSGPLIAQFSRRTVDSQGLNPNKETYIVNVAPGVDLALITAICICFEQASKEKL LQQQIAESKNGSQRRS L199_003432 MTSGQQHDNSSKGRVAVLGLGISGIVQVKNLVEEGFQVVGFERN DYYGGLWRYTEDKEQISVPKNTIANTSKYFGHYTDFPFNDDVPTYPRASDIYSNLQDY VNHFDLLQYMRLGIIITLLERSQDGQAWNVHYTSHEKDIVERFDKVVVCTGPWERSYK PKYKGEADFKGVFVMGKEYKGPEEYAGKRVVVVGMSYTACDTAVDLAGVAKEVYMSHR SGVRICPRIVDGKAFDTKVTRQLSSLTTFFDKHIPWLGDYIPNKVMVSNMLKVYPNLK PEWRLLPAPAFKNTWGVINDHIIDKFTSGDVIPLNGIKSFTPNGFITEDFNGQEVHTE VDVVIFCTGAYFDYSNLSPEANPTIHQPKEWENLKHSNGLLYPRLYQNIFHPQFIDSL AFIGPCKGFSFAIHPNSDLISQAITQVFAGNLNFTNKLPQSKEEVDEWCEGNYQANVR LVKRWRTFRTGPTIARDFESFLNDVAGTSVNEYLGGWGWKAWKFWWYNRELYGLLVRG VNSPAQYRLFKGNGRKQWDGAVGMIYEANGREMPERYRGRAFEW L199_003433 MAAFIQQTLEKVPGVDPRPLQRSQFFSGLNEGPAATASRIAGLV SESHAQDNSPYYTSNFGQLLPDSGHPLNVGGIPYQGDALLLEKQQAFDRSKLQERIVH PAGWAAFGKFTVTKDVSKFTKASFLSSVGKSTPIFCRLSTVTYGREYPDAARNPRGFA VKFYTDEGNYDMVGLNWPVFFVRDPFQGPDNIRSQQRNPQNFLLDFNAWFDFLANVPE SQHAGMMLLSDHATPDGNHFSAYGCHTFKWVNAEGSFVYVKYHFRPHHGAKQFDFDQT LMTQGVDPDYSKRQLYETLKNGGTYKWTMMIQVMTPEEASQTNFDPFDVTKIWPRGQF PMQEVGEIELNRNPEDYHRDVEQAAFSPGSLVPGIELSPDTLLNWRAFFYRDAQYTRL QSANIHQIPVNCPFLSKFHSPDNYWGSMRVDGDAARKPTYFPNSYQSTNPSSRAPTFA GKSVAEVPHQAASNIVSRQSHWQHEGEPTEYDQVRELYTRVMTDTQREHLHSNTAKLL KFADTIVQHKYLVQQCAISPRYAQAIYDLLPADQQSKLDIEKIGQEASTAHLVGKDLS FKSKDGRSFMGMPIPHAN L199_003434 MSTYDNQQHEGITKTTEKTHDEHVEDSIAGDLEKGKDLNSGNAE HSDLGAAWLAEYTGPRNEITDEENNAVRKRIDAFLLPMIFIIYFTQQLDKSSLSFASV FGLKTDAHLEGQQYSWLSSLVYFAQLVFQPLSVYALVKLPVNIWICFCFFGWGASLCI MSAFTSFAGLAVWRFILGAFEASISPSMLVVVSMWWTRREQPLRNNIWYSANGMATIL GSLITYGLGQANTSLHPYQLIFMVCGLIAVVLSVPCFFLFPGHPTKARWLNDHQKYVS LERIRLNNTGTQSTTFKWSQVRECVLDPKTWFCVVNVFCISLVSGGITTFGPLILQGF GLSTFDTILYNMIPGAIGIVSNILSALAVTYTKRKFPVLLVASAFPLAAAAALYALPR GAEHKSKLLGVYFILQVYQCISPLFFSWQFANTAGHTKKTTTTGMLFVGLSVGNIVGP QLYKSTEAPYYHTGLTGNLIVLGIMFGLIICQGLYLHMLNKRNIKRRIASGKTGAHID LSLENSSKWQELRAKQRAGQVADGQLEGEGAYNDQAFMDLTDLQNEDFIYSL L199_003435 MLIKSFVLLTAANAGTFAAPQRFGWGATTSTPPAVATPPASTAP PAPASAAPPPSSSSPPAGAPPASSASVPCSAGGAANGGESHEITIVNNCGEGQPLFAY AANRAGQPVQGSVTINGPVDSGIAWMDGTKDNCGFDGTGCGFMEFTIANSMMNSADYS LLTTGLGEHHFKYAMDFKFTGECTAGPGKCLSGDNCPGAYTGTDTFSGDPTTCGGQNV GIHITFC L199_003436 MAPLTPMTLSSLKVSKHHIPAYQNFPNTSLRPYPFMIYHSAYPS SLSPTSVEQHISSMGIVKPAWRYTMYGQHHYHSTVDEVLVVVDGSARLCFGGSVSNPH KVEIEVGKGDVMIVPAGVGHALTEDKGSFEMVGSYPKESDNWDMCTGESDEKDKNWDN IRKLKWLKGDPVYGDQGPVVDVGKEGK L199_003437 MFYPSRRITNRRSIVYFPLRTTATKDYPKLPWGRTWSIYSPSRR SPLSPKAGEDPFSPNSRWRKFWLKEEERLAKAMRDAGICLFERWQYGELDDRIAKAML SGCIVATVPPQTHHDAFSSLILPLAKPSSVSILPDLPIDNLNQLLRKYTNSQLQRLAL KAFISARNRLVPTSRLKGVESAVQIWEDGGRGYDFKEGFRWDCDSGHGGWCG L199_003438 MRLTAPPRSTSLSSLFILPIPLGLLTIAYLVAVGRRPDIPESAQ VDDPHQWGFPKWYGNHPTPFDYRLTSQGEEEEVCDHPKNVLLFIDDSPQIPSALTLHS SLTSSNRFNLTTISSFSPTWNSDLSSKENLNNLGCNQFDWIWRVGDESGHADLGCGEK KVIRVEEGMKTPGRANVSLLTHPHHILKPEHKHDALKTTHGEGWELGLLTHVLPSVSE TFVAS L199_003439 MSDGYDFSQLYHASLISYSPGTTFLATIYQNRVIIRSTATLQIV RTWACQLPNPPSSSSSSSKTVEDNVKIDHLEWSEDGLHILAFSSIGRTAWVFGLASEG DGLSGEVARIGGEGIEGMVRVEWVKGGSGKCVMVWSDYNLKITIYDLSNGSTKIIQNP KSPNQCHTYSPDKRYLAIVEKHLGKEYIGIYDMLDNYNLFRHFQLSALDVQGISWSPC GRYIAVWDSSLSYSMFVHSPLGPLLAQFDYTSPTFSPSSSTEDPGLGIRTLTWAPGGR WLAQGGWDGKVRIVESEGWRCVGVLSWGSRIVEKDVIVWKEPHDWIKDTRGRGIVQFD RIQTPTSIPTIRPDLSKLNPSIGVTQISFDRDGALLSIRLDNSPNVIHIYTFLKTPTA ESPDIQHLTSTIFENTIKSAEWCPSGSGTGKNRKLAVTVVGRTSAVYMWDEEGGGWEE ESTDDTNRELNKVENDGRGGMMEGVGIPSRSDFSANELHWAPDGSSVVIQDRSQFCLL YMNESENTDTDTNTDRSMRWDDVDEGLSHVVEEEEEEEDIGGWSGYGLELRGGLRTAV WA L199_003440 MASTSTRAPAVSRLGPTTSGTSNSTLSGNSGPSALPTFDTRQWE DELSKVDISKHDLNSLIFDYLLIEGYPDAAVEFARETGLPADVDHEKVQERMEIRQAV EDGRVEEAVRRVNELDPEILDTNPPLLFHLHLLRMIELIRSEEIDKALAFATEELAPR GAENPEFRLELERTMGLLAFPDLVRFADDAGTSINVDGSEDIKSIPLETLQLFSDPSF TPLIALMKKSYRVEISKELNSAILENQGHGRETKLNGLIRLMAFGENKLVDAGLGLPV QEKEKGRNWAKKILNLNDVDSQ L199_003442 MTTSIPDTPTFIPPNNSLTHDGWSNEDEATATCFCGKVQIVFPL KSPGLANTFLCNCTDCRKVTASMFATNFTTLDSHTRFSRGEDHLTLFVQDQTTTTGKN MINGFCETCGTLMYRKSEGFPGTRFLRVGTVDDFKLHDTVLRPQHEQFAGCRVNWWGG VDGAKKYEKMME L199_003443 MSSSDLPKTHKVWLLRTRPTDKSTPNEFELTTRSTPTIDVLEEG QVLIKNTSFGNDPAQRLWMDGSIDPKRLYIKPILVGDAIRASTIGTVVLSKSNKWNVG DNVYGDGDWAEYSVVEDSGPLTGKEITIDGQSPYITNSILGLTGQTAWVGAFKEMELK PEHVLVVSGAAGAVGSTLIQIAKKVIGVRTVIGIAGGKDKCEWVKSIGADDCVDYKDP NYPEHLKKLLPDYADRFFDNVGGEILDNMLTLMKRHGFVSICGAIAGYNDEGMTLKHW GELIYNRFTIKGMLIFDHAAVLDQAVSELAQWIRDGTISNTESETVIEGKFEDIPEIY NKLFSGGNKGKLITKLVSA L199_003444 MSTITPVSPPVYDDDKILPVGAATTTVDEDPGFVKDDVRVDVHT NLYDGVQRKMEQRHMQMIALAGTLGTGLFLGSGKTIAHGGPVGSLLAFVLTGSIAYSM LVCVGEMAVYAPISGGYIHYIERWLNPSVGFAVGWQVCFQYCLFLPSEIIAASILISY WDTSFTIPHQAGYMIALAFGAAAINFFGVRWFGESEFFFAFIKIALVIGLIIAGIVVD LGGGPNHDRIGFRYWKDPGAFAEYHFAGDLGKFLGFFTNLLQAAGSFAGIESIAVAAA EVKNPRVALTKAIKRLFWRITIFYILLIFVVGLLVPYNDSSLLQSTGTAASSPFVIAF QRAGIKALPSIINAAVLTSAFSAGSSLMYSVSRMLYGLSLRGYAPRILARTTKKGLPI VSLSFVTLFYALSFMTLSKGASTVLNWLSNLNALIGYITWGTIAITYLRFKKGLAAQG IDRKSLHYWNRLQPWPAYWVIFWSSIIIIFNGWEVFTKGYWNPSDFVIAYITIPVFSV LILGYWIVKRPRHLKIDELDMYSNIPTDEEVSYEEPPPKNWGVKVVNFLFT L199_003445 MTKPDDRPQPLRSTSPSRHNYIGSSSSIAPSYYNIPFRGSFANL FSLVGLSSTLKRSKDEEDDDEEEDLTDGEVDEDDGEQSTGGEVDEESLMWDAQTALIS HRPELAIKLYTQAALPPFCSASACLALGNLLIRGSTLTEHEAHDFHHHSHGQLQPDQA HDHEREKVQVLNNNSTITSKIYSKFFGSSSSPSSSHTNSTLRPIPPRRPTLDLVASGW QIPKDGKRAVRDVKSMGVAGAWLVLGLSWLVQEELEREKKQVDKREQKQVSQTVKIVP TTTSNGINHNAEHAGDDLMREEEVLSFDLKGKLKHRNEDRLNRRTTSDTVTGIDPKFE STLSDSVDTLCPPKLNPSSNSGRTSESTIIRTPGDGLVDDPFERENEDVDIEDTARKM EVMQTMYNLLQPLLKLYRHGHIQPQDPVSLPPISLQALPSVLRPRNEAEKGRNVWRLG HVVASKIALLEMMKKEEKELGAGIEMKEIERLRGSVDIIVNYILAMTSHGLEAEIYFK NVISHPPTGYEIADDLIRQAAKRLDIITSTPKDDNLMKRTPFPDTQLPLPTNSSLSSR QKRSSPRKPSVTAHGRKPSISSITSLSAFKILSTPIKSSASVASLASITDDHYEPVTV KLSERDRENENEDAMSTLRRVHAKSMADLTEAFSRHEQHEEESARYPSLDGWKLLPPI ENDRSTSAQSHSNSFAFGVSQQSQRTIISNQYYQGVNAANTNTLRPVASSPQFGTLRA TAKGDSSSRRKLPFEPCSDQQINPIDPELAKAELSSALTKHVICGVCEMQGVNFPECR RCGLTFCSRECRVGEDKAGNGKKHICGLWESRKLLSGPDSTNPLIGNNDTRQSHIEKT IPPVRVARVH L199_003446 MPSSPSPTLRNKGKQKTPTPLVEEGGGSPTLITSGSEDDLIAHQ NDPLGTKQDEDVSDIDDIPDEQQGLLGERNVHRSINPLVVDDGKRRKGRVKILGVKLP KPLLYLLSIPLILLLFTLFSPSSSPYQSIPTLSNGTHPFHPTVLLISLDGFRPSYLTS HVDLLPNLVGLAKRDDGIRAQSMKPVFPTLTFPNHWSLMTGLHPESHGIVANNFWDPT FVGEAITLRNEGKDRGDMEMTDMGLGHVKNDKSIADIDNIDSVDGVDVIEVTGYNTAK KDESNVGAQFVYTEEDKSWNSNWWWGEPLWSVVERAKQKSAVIMWPGPPVTSKGISPS YFVPYRKLSPSKKVTQILSYLDLDIKERPQFIASYFPEIDQSGHRGGPNSKGVNDSLS LCDEMIGELLHGLEVRNLDEIVNVVIVSDHGMAETSNDRIIYLDDILGGQGVDAIEHK DGWPSVGLRFKDGVDTQYYLDILLEAAETSNDTFAVYTHETMPERWHFNHGHRIAPIY VVPTIGWAITDHHEHEVLFQGDYQPKGNHGYDNLFPEMQAIFFAKGPLVKSIKEKAPM EVYESTEPPILKSFPNLEIFSLVTRLLGLSPSFEPAHNGTVGSWDRYFGS L199_003447 MPSRPNLIHIILFALFLIAIPIIASSGDRNPTFQHCLKGCQLTY CEPHQPPLPAYLRAFGWTCKENCAYECGHTFTDNIRPGSKPHQFYGKWAFYRLGPFQE PFSILMSLGNLYVNLKGLEQLRRRVRKENGMRNWLSALGWIQVNTWIWSTVFHARDTP TTERLDYFSATLTISFTLLYSVVRIFHLRTPLSTSRYLFPISAIIGFIVLGHFTYLLS FPIGSFPYGYHTKFNLVLAAIHNVLWISWSLSFKYPYPKMTFLGTTYTYPKPYPPHDP LTQNPKPKEAQTPLILVILTTLAMSFELLDFSPVFRIIDAHSLWHASTIPLTIAWWHF MVEDAIELEGTLLSQRPQQISNNEKGEHGGDELEVPRTPNFIQIASTPKFARNPSPGK SPKIDKPE L199_003448 MSPFSAWTPGPGPLYTIITSAWETLGVPKVPIQYKTWVPGSSPL STQKEVVAAIGTYLLVIFGGRELMKKRQPFKLTGPFRFHNVYLTLGSGLLLALMLEEI VPMFLKHGFFWSICNPTAFTPRLVTYYMINYYIKYVELIDTVFLVLKKKPLAFLHVFH HAATAILCFTQLEGETSVQWVVITLNLLVHVIMYYYYYATAGGAKIWWKKYLTSMQIT QFIIDLFIVYFASTFLFSYRFGHNQYPNLPFPVSPSTYNHFATKYTPFPAVGDCAGSE GAALFGCGLLTSYLFLFIAFYRATYKKGAAAKKAAANGKADVRGSSKSKVIVQNKRGT TVVTEVQ L199_003449 MSAASTGTFNDSSNRAAMMDWSERKSIVENEIDELIEDILYHIS STRRTDPNVLKLSVAFKEQLYTEHAEHTAAIAESYRTCCYLSSDRQISQAYYRDGSLK YNIVSVFKLESEDPSVTEPIIHTINQTLSFPCSEMELKNWGGGTIKKVLHHEEPILLV PFVAAERRDPLEVYGDSLSKRKL L199_003450 MSEIKVPSYTGTLNLTEVELEKRKGALRWALEYQSNEALKNYYT QCQRSASHTNLLPTFNAISTQMGTKLGGTVSKWVDESKNVPSTQIQVNVRFSSSAYTP IDLPA L199_003452 MDPAVVGSAQFGQLFKTQLPGNYGGVAEQVFASPLVYTTSDGVQ YVYIATQQNNIYKINAKTGAIVASRNLHIPFLTADLDGCVDINPHVGSTATGVIDPNT NTWYLTTKTYANQNVVGAQGRPNGRYYVHAISVDDLSERSNFPVDLEGTVARNNPARS FNGGIHHQRPALLHQGQYIYAGFASHCVQYNFTGWIMGWDKDTGKTVEMYATEGAGVK NTVPGAGVWMSGGGLASDNAGSMFFASGNGYASQLNGIPVAGRQPPTSLEEAAVHMTI NSDGTLTPVDFFMPWEKTQLDGADKDLGTSPLEILPSQFSCGNVKRIGVVTGKSGKTY WLNLDDMGGYQNGANKLDNVLQVYQNENSVYAGAGVYPLEGGYIYINVIQYPTHVFKF SCDSGVPSFTKVADSPEKNAYILGVGHGTTTSLNDQAGTGLVWTQDVEGANLRIYNAV PQNGQLTLINSFNIPGTTKFSRPVFGDGRAYMITTTGYFYGFGSPVNLPLNCSSPYDF GTVNLNNTSAYKTIQCQASVDTQVTGLSISGNKNFVIGNYSTLPVTVSKGKTFSFQAA FAPGQVGSLSSDVLINTTQGATTGYTTSTPVRLKGTGQSVNAILGVTPNTVSFDGVIT GQQVGGVSQSVILLNQGNANLTITGIQCSDSSETGPFTTKQINNASVVCGPFTFTKIP TTIAGNSQATVNIAFDPSTSGSYATFLNVQSTGGTKVIDVLGVAGTYPSALLEFQTPD GTGWVTYDNSTAFTFGDVLENTSRNLKMRLTNQGTNSSTSLSVTVSKPPFGVSGIIGA QNQVDLAEGTILGPGESATATLYCSVPKSQINVDSYSGSAQWTMNLGDPLFGKQHIQF ACNAVSEQSLPQFANGTSKYRYQGCYKENNPGRQLKTQIYGSSSNTNEMCVKACSDAG YTYAGTEYLSECWCSSYPPTLQVDDGNCNYACAGNVNEICGGNGIDQAGSYISLFMDV TQGAGSVPKGPFTNNGTNGYTSIGCYTEGTNGRALSVGKGLGQATTVANCTAACAGYT YAGVEYGQECYCGNTLGVGSVSAPLTDCNMVCAGNASEYCGAGNRLNVYALNSATTST SSSAVSSSISASASASVSVSTSTSATSSPSATPTGPVQPLTVGSYTFVDCHTEATNSR ALTGKTVASDDMTLDNCAAACSGFLYFGVEYARECYCGNALSAGSVTTLDGRCSMPCK GNALQYCGGSNGLSLYMFSNTTTTSTSASASSSASSSVSSAISSSAATTSNTNSVLAS SSTSIVASSMASSNASSILASSSTSIVVSSSSSATSASSSATSSASSFASSSAAPLSS SALPSSSIIASSSAAALSVASSSSAAQSSVSSSVTSSSTSFTFSSSSSSKAVSSSASS AVSSAAASSSVAPSSSASKSASSTSSIVPSASASATPWQYLGCANETNPRALSLAATA SDTMTIQQCQSYCLSKNYPLAGLEYGRECYCGTALQSYSTLGFTGCNMPCAGSASDTC GGSSRLSVYNYTSYVAPQLIATVGTYQLQGCYSEPSNGRALSAYSFSNSTGMTAEWCV SGCQLKGYAYAGMEYGQECWCANTLSTSSAKLADSACNMLCPGNQREYCGAGSKLALY KAN L199_003453 MSQPNGHILAGVGVDPNWWKQAVVYQIYPRSFADANGDGIGDLK GITSRVPYLKDLGVDAIWLSPFYPSPLKDGGYDVSDYREVDPRIGTLEDFEEMTSVCK DASIKVIIDIVPNHCSDEHPWFQAALNSAPGSKERARFHFHDGLGPDKSQPPADWMAT FGGPMWTPTGKNDGQFYLHMYDAAQPDLNWSIAEVREDFLETFRFWGDRGVSGFRIDV ATGNMKDLGDLTIPWKELKQRRANKSKPGNEHIDHPLFDRDENFELYKTWRQVFNEYN PPLTAVAEAFVSPSRKRHYASPDGLGQVFSFDILLSNFNIKDYKQSIERQIKEAKEDG SSTTWVFSNHDMIRHHTRYGLPDIDPSNLFTFRKGFLRFLETDGKEPAVDWPTGLRRG RAATLMILALPGSTYIYQGEELGLPEAAAIREDQLQDPYYFRHGRSDKGRDGCRVPIP WTKTGVNFGFGSGKPAHLPQPEEFGEFSVEAELPDPKSTLNMYRKALAIRRDLQTKEE MTWYDHGLGDQVLAFERPNGWLTMINGGKTSVPLPQGKVLIASGDLGESILPGETTVW IKRA L199_003454 MSKHDEVHHIEDPHGISKLDATAEAAAEHIKLEQGLSTWASIKL YRKAVFWACMVSMTLVMEGYDKALIGKFWALTAFAERYGVYVPAKGTYTVEAKWQSGI TNATTVASFIGIFLGGYQIDYFGYRKTVIANVAFMIPCIAIVTFAPNKIALLFGEMLC GFPWGVLASLGPAYASEVAPIHLRAYLTTYVNLCWVMGQFIASGVVLGISDRTDEWAY RIPFAVQWVWPVLLIPVLWFAPESPWWYVRKGRLEEAEHSVRRLAAPSLRDEAKQTVA MMVKTNQLEIENMAGERTGWLDCFRGTDLRRTEISTLTWATQVIGGGHFSAYLVYFFR EAGLASSDAFKMGLGNTGCAFIGTILSWFLIKKFGRRPIFVIGLLWMATLWFITGGLA VVALNGHGQAKWGQAALMLVWVMSYDMTIGPLAYCIVGETSSTRLRTKTVGLSRNAFY LAQIVSAVISPYMINPLAWNWQGKAGFLWGPLSFMMAVWAFFRLPEMKGRSYYELDIL FERRIPARKFAKTVIEPEAIEQARREEGDYLEH L199_003455 MSSSSQRTGPSMIDSDATTLGRPKTKACDTCRIRKVKCTFAPPL HTGESSTKPCILCKKHGFACTYERKAKKVIGSSSEDRRTNDIGVRARPIAPVSRRPKV PEDAAIALTHSAQSDRERQENHRKGKNAIPEVTSNPFDEILPRQLLLSAISTFFDHLY CLTPLVHKPTFVADLKSRREEQPENQEWVSLVLMLVAATLVQAPWAFPHLERKEIEKL AKSCYRRGKIWLVEDFREITIGRYLFAEYAHRACMVSAHLQEIGMAEMFRGSLWGLIF KLRMHEESSYEGLDPIEGELRRRIFWLAFGLDKARCAVHADMVHVTGEHCADVQLPKA LDDEHISRTGYVTPSTEETPILLGFCYVSRIFEILGQALDLRRIDRRRNPTGIHLQMR LNEVNGLLEMCETLMDDCPPALRLSQSLDPSQSQVQSRNSFLVQQANIYMTQQMTRQL LMEYRAQLRALQRHQQLEDLGQAGMPLQDMHLQSGLSDEEKESVSRDVLNVLEAIPIQ ALAVNTTGVVNKVRFVAVSLLDGLSENGAEGGDGTGGTSRSQYHREYLWKFLTTLGEI EAMSSLKEIA L199_003456 MFTKTLVALFGSFVILNAVATPAPLQARDESHSVNLVNNCGSGN AVFLYADHGVQGSGVISGPVNGGVAWVDGFAGADCQSSGVNCGIVEFTLTNDEGAGQQ NAADYSLLDGPGLGNHKYTYKMDFVFTGSCTNGPGGPCTGDSADQCPGAYLGSSTEGG APTQCLADNTGITITFC L199_003457 MPSQPKKDASYSVDRLLPYVFLCTIGPSVVAASNLFVVKYVMCR YYWTSRGADGIPDPGDERCANRDVQALAGSVLAALATLDGVFSFLSSPYVQSLSDRYG RRPLLVIGPLIATISTGSILLAYYVNNPTIAWILFILTGIFVSASTKAVFLPSLCVAD VATDDARTRFYSRMEAVALLGPGTAYILSALVSRYVSNIAVPYFIALGAQIGASLWSF FFIAETRKFPSNNDNSSEDEEEGRGIVAEITENLEAPVKPLKLIWPHRHKGKLHWELF VVALSLFMTTSGTVFIATASLLFLSDKFNFNPENNAWMLAFLTFSRFAYLIILFPFIL KFGRAGYNRYLLWKEKKKQADGERQPLVRRDTSAKQEDANYFDVILAFFSVIVDAVAL GFVSLSLSYQQVLAAFAIMAFGAGDNPTFKAVFVSYAPPEHSSEALAALDMVFSAAKL ASPPLLGSLYAAFAEVGKPQFLFLTAGGLCAMGALLISPLIFAKRKFKPTE L199_003458 MSVHEVTYNSLKEMGIDPLIAREAASRFHSVEPAVNWCFGDGAN WTPEPARPEGPPSYDSWRPQRAYGTSVEHREVIDVDAPSSAEPSPSPQPPAFASNNPF RQHLSPPPPPPRRNIAPAPSLTPTVVNDDEDEDLRRAIELSQQSDGIGGTGAEGEDEN RQNRERSVRATGPPPPSPTNQPIDLTGNDNDNLDIGTLFGPSNKDDAEGKLAMVPASQ NNPNSMSKEDEDMDRAIQESLMTASFHSASNVRDTDKPVPSERIDGAPLVLYSESGHS TYAANFFQAMYAVPQLREAVASVVAASQASESSYKGRIVNQIYDSYLTSTSSFIEVDE QLKAFRDGREPNQLPPNAPGVDLHQLFVHEMTNIILSQIGDSPTPEDWKNLNDSEPER LFKTRVESDPPQNSSYVTFLRSSHVSPDIYSHLSQILWNADSDSQQQSLIELGDILTV MLEWGSGAKREVWKLEERVVLDRFMKSNATYSAQKRAHQSVMAGNARRTQEKIDRLTM HEGNDYQKSISALVEHLENTPETEDSMQNESRREMKQKLEKILKVLQQKVSTLQLEFE QHTQAASGSVFDTDDPAYNQHVYILRGILFQDGALVGGNHLYAYIRGDDGRWWKVQEH QIEPVDWKSIAEDKTGLWMDGGAYMLIYSRDGPRPSAPPSNASARIDLSPATTIRNDL PQSTVVPEDDLIDISMTTDTSLASTRQNTPEHSIGEGTGDDEGDVEMKSTSTLVDRSE RLNLAESEVGRD L199_003459 MPSSKGKPTDPKLREEVKEKVQNETNKDGSGKGQWSAWKAAKLS KEYEAEGGSYENEAGSKNEPEKGAPKPKSKVKKDAEEKQEAEKEKPKSKGAAAKKDDT EKVENEKPKSKGKAQDKSEKPKSKKEEEKTEKPKSKGKAASEKKEEEKEKPKSTDKPK SKGKTEKKDEEKPAEKPKSKGKPASTKNDDPAPAEKPTSTKGKRKSDAGEVDNDKKSK KSKA L199_003460 MTPQPLSPAEISQRSLKLFNPNFKSTRTVREPTKPSLAWSKLPP PAAEIDERPTSRVRRFRLWKEVTPELRKVVLDRRKRFHLRRYQSYAIPATMSEEKRKL LPIPLPRPTRPGLPTLIPNEHEWTWSTPYKKRPRLRPLSKMKDNTSLVGEGKLLTPPL SPEVQCFDDENKDVMQVLRDDGYGITKAHDVQLEPPCDMDVFEGRQSYRTSQGQSTVT SQREHSYLNGNEAPAISQEHIPMDPKSSSSSYWLPPLAPSNDLPWRNKSIAKRTRNMN YQKLLEYKWILDQEHERRFRLGMQQLMRDMMEWQNDHTLPYKEWRRGHKWKKDVDIQE VQATTEFFRKIRKKSSNIFLYLSPKNIAQVPFRDRVHSLVKDEEDRKRRKREKKEAKK KEEAHLADEKQATIQNLPARPNSNGARYPEDTSRSEVDNMIIDLSPFRNDPKASAEQR AELTDLIGRLTALRARKEELIKKLEEKKNRREELVLSQSPIEEDGSKTDGRQEKEKEM ERYLDNPIDGSGHIIVGPDMEDGRTRSKDDEDQDFANRAALSKDDGATKDMDEVQVRY GSLNLDDDTALPISSDIPSSAFSRREIEIDLSDGSHSSSFDGEGDNQSVGYDILPVKL QVDDEGDEVTITPSVDMADQRDTQYSGMGSLTSQITFV L199_003461 MNPGDIYTPPHGRQQQQQQQQQGGYTSPTHQPHSQGQPFPSYPP THQQQQPQAISYGAPPSHHYPSDPNPQYSSPMTNPYDTPPPLHQSHSSPPPQIFPNPQ SQPYGDPFNPPAPPQQVNQGWAEPQGSRHYASQPLSPTHHHQSMGGGAPRYSLPVQQQ PYGSPSPVPVPLSPPGGGGRTRFDSNISFHSSAPLSSYDNRLSSPPPLLPHDSSSSSM SNLAGLAHHPSYPPHGYGNHVAGGQDDDINDSAPLLSHAAPDARFGIPSQNQSRYQLS DNGPSQGDGGDVGMLPGRWVDNEQQRNGYSIPPQQGQDDEEVNVHYGPLPTRVVRRNR TQKRVQLFRGHLVLDIDVPTMLLDQCPIREGNEFTKMRYTAVTCDPNDFVEDKYTLRQ RLYDPPRQTELFIVITMYNEDDVLFCRTMRGIMQNIAHLCTRNKSKTWGQDGWKKVVV CVVADGRLKINPRTRSVLAALGVYQDGVGKNVVNGKPVTAHLYEYTTQLSITSNGKIG PGGSNTVPIQVIFCMKEKNQKKINSHRWFFNAFGACLKPNVCVLLDVGTQPGPDSIYH LWKAFDINSSVGGACGEIVALKGMFWKNLLNPLVAAQNFEYKMSNILDKPLESIFGYI TVLPGAFSAYRYIALLNDDHGNGPLKQYFIGETMHGAGAGIFSANMYLAEDRILCWEL VSKRQCKWKLHYVKSAYAITDVPDTVPELVSQRRRWLNGSFFAAIHSIVHFGYLYRSS HTFTRKLFLHVELVYQTLSMIFAWFALGNFYIAFFVLTSSLNSLGSAWKYVNTPLHYL YIALLLWCFLLALGNRPAGSRVGYTSSMVGFALITIYMLFAAIYLAVRGIQSITADGS ISADDIFGNKIFRNIVVSLAATYGLYIVSSLLALEPWHMLTSFLQYLLLAPSYVNVLN VYAFCNVHDVSWGTKGSDKVSEDLGVVKSDSKDEVTVDVPVEQQDLNAVYASELRVLA TKAPKEVKVVSDDQKQEDYYKNVRTNVLLAWTMSNGALAAAILQTSGGDSALATTYMG ILLYTVAGLAFFRFVGSTSYLIVRLFAGE L199_003462 MAANLPPGLLEQLLRQRAAQAASAGGTQPSYPSTFAGGPRPVPS SSLFGNGTGTQSSVPLSSFFGQPQPQQRPFGTYGGIPTGTGIPSSYFNGTNTNNPFYR PGFGYGYGCPPPPSVPSNISYGRNGETFDPSQGFPPPDWFINDTNNTSSRTGWVNAGT GGLNTGFGTNTKATSPHSAYWNSAIPPGVPRLRDGPTTIGGAFQSYITELKKDATGTM QGSEQIISQKSEIQKELSEYISGRTTNLMSVLNRNVNVGGEAVLNALRDLEEIREKRD LVNQEITNERIVKTQAISRVNDMLSTEVELAKGELSKEEAVKRSRRWGSTYLQRDANG TTWSTWDDYLTSATGTRSQLNPFLSRNESRNQAQRQQQTQGAGSSFFGNGSGSNPFSR FFNRSGPAQAQSSYGRL L199_003463 MVSEDQQKVATRKLTQWIENDNRIVTYRDISREVGCHVNTAKNL LLSHYTSNPSLSPTYLLTGPLLSTSTINQTQLHSLTQVHGSSTQRVRIVDMDEMSEGD RNSEDEDDDDEKEVGNDNGAEDGLVGDLKLPVPGSVKDDEEIGALEREEVKRWGVVLV GKDGLEEKKKLFEQDTLNVHIQSLAPSPIKDPAQYLIPNLTLREHKNYHNAQLYGTIS GETFRPAVPAVAEKKAMKDGGIDWSSKKVVGGSKKEEVKKEEEPQKEETKKVDTKKPS STTATKDTSRPASAKPTPAPASTQGSISGKKKRVINSDTEEDEPAPPPKAAAPAAAGG SKKMDPTSSMIRADDQRAMEAMMSMDMDIDMEMSDNEVKVKEEPGAVIGTESGKVRKT RRVKKSKTVEDKKGRMVTKDYSTDESYTASETDEAAPSTKTKSKPAPATKPQIIKRES TSSIGSGTTKTPASSGSHPPAKKATGGGAAKGQSTLKGFFTKK L199_003464 MSSTVTKVHARQPYAPSCFSFPFPFHQSLASSSPHLFSAFGLTI IRNPTVEVDVHTEKGRFRAQVPSGASTGAHEAIELRDKGSDYVGKGVLKAVKNVNEII APALIDAKIPVTSQKEIDDFLIKLDGTDNKGKLGANAILGVSMAVSEAGAADQGKPLY AYLAGLAGVSEPYVLPTPAFNVINGGSHAGNALAFQEFMLLPTGASSFTEALKMGSET YHTLKKVITKKYGIDAANVGDEGGFAPNVSGAEESLDLLTEAIKQAGYTGKVQIGLDV ASSEFYKEGKYDLDFKNPNSDSSKWLSGKELADLYHSYVDKYDIISIEDPFDQDDFDA WTHFTTTSKIQIVGDDLLVTNPKRIKTAIEKKACNALLLKINQIGTISESIQAVQLSQ SNGWAVMTSHRSGETESTYIADLAVALKTGEIKTGAPCRSERVAKYNQLLRIEEELGD KAIYAGSKGLSKGTTAPELKDN L199_003465 MVKYILVCGGVISGIGKGVIASSTGLTLKAAGLKVTAIKIDPYM NIDAGTMAPTEHGEVYVLNDGGETDLDLGNYERYLDVSLNKDNNVTTGKVYSHVIDRE RKGDYLGKTVQIIPHLTNAIQDWIERVSKIPVDGTGEEPDVCIIELGGTVGDIESMPF VEAMRQFQFRVGHDNFALIYVSLIPVVGGEQKTKPTQAGVRDLRGLGLLPDLIACRCT DTLLTATMEKVSMFCHVSPKQVLGVHNVSSTYHVPLLLQQQGMLDFLKKRLNLGEVTI NDKFKKKGEEFMGRWKALTVGQERLFDTVSIVLVGKYTTLEDSYMSVVKALEHASMRC GRKLELQWVDSSDLEPHTQISNPVKFHDAWSALCSAKGIIVPGGFGHRGTEGMISAVK WAREQHVPFLGICLGFQVAVIEWARHVCGLEGANSAELVPDTPHPVICFMPEISKTHM GGTMRLGLRPTIFQDHTESSKLRRLYGSNQVAWERHRHRYEVEPKYVEQLESKKGLRF VGKDERGERMQMLELDDHPYFVALQAHPEFCSRPLNPSPPFLGLIAAACGANVLTEQI NKNENGGYVDPHPEESKIVPESEAYTEQAKGKKQSIEGVIRVRGEVDGDLQQREEQLE GVAINGDA L199_003466 MSCPTTNSNITDTDEDVFFSPDGIHWDAHRIGWAVAGGCAALTT LITLFNLTMHAIRYQHPPAQRQVMRVLLMPAVYSIVSFFSYRYYREYEYYILAETAYE AVTLSAFLMLLMELVSMGTTDQQIKTALAEKDKKKFPFPFNFLRFRASKPYFWHALSF SVMQYVILRPLISIIGIICQYYGVLCPEEYSVHYAEVYLDAVDFVSISVALYGLIVFY VLCKDELKGRKPLNKFLAIKLIVFFTFYQSFLFSILQTYGVIKGTALWTATNVSNGLA ALCTCVEMVFFSIYMGWAYNWTDYTDPLKSPYQRRTSFKTYSQAIWDTINLADFGREI YLACKFLVDYIRGKPGTHSSSTKLQKTFMPDTIVDEDRPQELSNLKTGYANNQKLDSN PTDQRGGFTSSPPPQQQHQQTRRSSSGNMTPTLRFTKSYQRLQEGNPQYTPQGQEAFP SAHPTGTNQYTSSANDWSHPNQTNVQWPEARPNAAYPADTDAREMGQRQGQRGWEGLS HSQRSRGYNYNQPDSYHGDEGIPGNPKLF L199_003467 MGFFTASAPAQLHPVNPPLGLHPNYFAKQPTTLVLREKVFSWSG DDFSVKDTNGFTVVKCHGQAISFRDRKVINDPNGNFLFGLRNKLLSIHKTFIGETQDE RELFRIKKRMSFGSKMEATFTNPATNQLVTLLLKGDFWGGSADISIENGPVVAQISRQ VFNMREVFTDQQTYFVTVAPGVDLSLIAAICICFDEAKNENSG L199_003468 MLRVIETSTREVKSLNGLWSFALDTDPKFTSSSPWESTLPGNLE CPVPASYNDIFPNHALRDHVGKVWYQRLVRIPKSWDGQRVFIRLDSATHEGEVYVNDK LVVKHVGGYTPFEADLTSAGVKAGEEVRITIGVNNILTRHSIPPGELQKNELGKTVQI IRHDFFNYAGLARSVRLYTVPLDVRIGDIKVVTDVEEKGGSGTVKFDLKLDGGDGTVT VNLVDRDGKIVTTSNSPSGTLTVPSPKLWGPGQPYLYDLSIKVSTAGTVVDEYSLPVG IRSVKISGTQFLINHKPFYFKGFARHEDLTVIGKGHDDAWMVYDYELMRWTGANSFRT SHYPYAEEIYDYADRHGWIIIDEVAAVGLNLHLGGWLIGKDERGTFSDEYCDATTQET HKQAIRELIDRDYNHPSVVMWSITNEPASQEKGAREYFQPLVEFTKQHDPHRPVTFTN MGMALPDTDRIADLFDVIGINRYYGWYHETGDLELAEKKLEEELKRWAEKYKRPLVMC EYGADTLAGLHMHPAQPWSEEYQSEFYTMYHRVFDKIEAIVGEQVWVFADFSTGPGVI RVDGNKKGIFTRDRKPKLAAHTLKKRWGGLWEEKE L199_003469 MTVIQEYSKLPVISLGDHKSVQSLAQQLNDVCTTEGFMYVKDHG IPQELIDKAFAIAGDYFANTGPQDKVDLKDNIGYTAILDSTRGSGDLKECFHLANPDW LQLHDEQMLLPPILEPSRSTIERFISQINCVALRLLEGLSVSLHLSPDYLSSQHLGER NRLRLLHYPPASLPLNATEDSKSADIRAGAHTDYGSITVLFQSSVSGLQVRRGSSWVD VPPMPGCLVINIGDALEFWSGGAFKSTLHRVVMPRNLSETTSRCSIAYFLHPDIESLL QPLGGQIDDVVLDEILVRKGMKAGVRKIKGGEYVQARLDATYGKKE L199_003470 MAKQFDLIIKNGAVVTSDGVGRYEIGIKDGKIRQLSPSIDSELA KEVIDAEGGYVMPGGVDAHVHIAQDFTSGPKGVMGKCADDFLTGSRSAVCGGTTTIIA FAQHNRSDESLLKVVQDYHALSEKSGAYCDYGFHVIITRPDVQMLEKELPKLIEDWGI TSCKLFMTYSSMQLSDNQLLDVMYETRKLFMTTMIHAENGDLISWLTNKLEQRGLVEP FYHAISRPPVVEMEATQRAIVLAEMIQNPVLFVHVGSASAAQIIRDAQTRGFPIYAET CPQYLHLTWDDLKRFHSPQCFENSKMICSPPPGPDDSDQEALWTGLRNGTFTIYSSDH CPFRYNDIHGKALGVLQHEQSLGFVRCPVDQEHLSEILDDKTGHFKYVPNGCPGVETR LPLLFEYGLKTGRVSPERYVDLVSTAPAKLYGLYPIKGALIPGISDADLVIWYPNDAL PSFKLTNANLHHNVDYTPYEGMEFGNWPRYTIVRGQIVWAEGQLRASASVGEYLKRGT NQLATRPTKSIASDKRRVATWLYKA L199_003471 MPRYITVAAAQVGAVHRSSSRTEVLTRLLTLLEEAGEKGVKVLV YPETTLTTFFPRYKGLDEDSAELDRWFEYGDITKNPQVSPLIVRAQALGIDIVIGYGE RTVDGHYYNSCSYLHDGVGVSKYRKVHLPGNREPYEDEDMVDQLEKRYFEPGTYGFKA FRVPELAVTAEDGEAIAGMMICNDRRWAADQISFGHTKDADVDAIRTRSEFQHLLVMQ AHSYTNATFSIAAARAGFDDGKFGLIGCSCIVHPEGHILAQSRTLDDELVIAKIDLDD AKVGKQKVFNFGLHRQPHQYQIITQQTGVIVPAPLK L199_003472 MLYRIGYVGLGNMGRPIFTNLANFAAANGLPAPCAWNIDQSSYR EIQSDLKEVHLAGEVEEVIKRSNVVFTCLLNDEVAEKVYQKLYAAVGSEKVIFIDQSS LRPKTSTRLEKAAHTVGASYLAAPVFGRPNAAKAATLVQILGGEPEVKELVKPILVPA IATRTVDAGDEVAKGNSLILGIVEMLAETYAVADTIDFDAKVYQSFIRDFLPVYPYIA YGHNISTGSFKGAGGFRLEAGLKDARNILSLGEDFGKRVSMPTIELAKKHLERAEELC GEDIDWSALAVALREQAGLAPFR L199_003473 MSASVAKEQLSSDPLATVHVVQSIPDDNAEVFKLEAGGENYRNV SWYRTFALMFKILFSVGILSIPSVFSYVGALPGALLLVGFGAFNAYAALILGSFRLRH PGIHVSA L199_003474 MKDTTDYKRPIVASMTFLHMCYLAFALVIYRYCGVWIASPALGS AGEVIKKVTYGIAIPGLWISSTVNQHLAAKYIFVRLLKGTEHLQKKTIVHWATWLGVS SACGIAAFIIAEAIPFFGSLIGLLGAIAYAPMAVSSAFSCADK L199_003475 MSKPSFKTVIYATHDGVDIALDYRLPSVASPDAKVPILLWFHGG GAWSHLVNAPEKHGLCVVSADYRLAPQTRLPGILADIAACIAYLRSSTFLGETNNSVD QNKIIVSGGSAGGWLALFLGSGIGFEACSLTPPEPPLAVVPLYPITDICSPFFNTKHS PVSYFGRMIEHSEVAEYMNPSAPATSESVLESTRSKCYPYMVQEAIEAKLLLEGTGIP PEAFSIASAIASSAAKLPPMFIVHGT L199_003476 MEPEKDIEALADGAPQEPHHVHASATRILEINDGVPRNNGIVAP LWKAMSWFDRFGVEARGIERVPENDRPHVSWWDNGTLWFSVNSCVGTFSVGYLGHLYW DMGLRDAALSIVFFNLLACAPVAYMCIFGKELGLRQMVLSRFSMGYWTGLIPVIFNLI ACCGWATMNTIGGVSCWQAVSSTHQIPTVAAVVILALLGLLISFSGYSVIHKYEKFAG YPVLIAFLVAMGTSAKYMDLPGAWRGSGPIEAAGVLSFGCAIVGYAIGWVGFAADFTV SMPKSTNSHKLFWATYTGLVIPLVFIELMGALAVTTFVARPDWEDRFESGSVGGLITS LLEPCGGFGKFLVIIIGLSTVAVNTPNVYIFTNTFHALSPYCQAVPRPFIALIISVVY GALAATGAKSFSTVLENLLLFLAYWLAMYFGVVATEHIVFRANDFDNYAPDDYTNARK LPMGAAAFLALGLGWLGAALGMSTSWFVGPIARKIGGGGDVGFELAFAFAVVTFIPAR YVERKIWGH L199_003477 MLGQAILNFGVMIGTNLLKVLPGTNPTNLDNVPHEVDLAWMRKS IEVMPPCHFNAYGSVIVNATDGALLCSGYNSQVEIGDPTEHGEINAIRNCVAKYTELG WTPKEISAIWPQAWIYTTAEPCPMCGSTILQSGFKRVVYGTSSPTLMSLGWTEYLVSV RNQWLAAAAAVQVGFGSGRPITQVVGPIGDDETDPRLAWQFNSSYPCPVGCTRHAEND ICKP L199_003478 MGSPSDDFDFTALRSEAGPIRVSQRQPASCTECTRRKTRCDKKE PCTNCRTRGVPEKCRIERVIPTKQLNAAANLKLLKNDVQAFRTNVEKRVTDLETLVKG LIAAQSPDKLVHEVQGCSTGSPIPSMSTDQFSDDGSPQGDNDGADAAATLEFLAIGRV RAASPEGLQEDIRWASGMAEEQAPQETHFDSSPSSMTFPLADPAPSTGILSSSANFGL SRSPRPLRQRLSAARQKVRDEIISTLPTPELGKMLVQFDIEHVAWTHCCYHGPTFQSE SELFWSELGVPGVEINWSFLALLLGVIMSAAYHLPPGIFRTLFPGQTPIELMNNWFDA SLLCLQEADWMRSHSLKSHRQSGSLFHALGSSSESGARPQSTPAWTRLASTLPVGGCE NCHCKRNAFHAAFNGSCSINQAQFNTPPPLHCIDSRLGLGTQQAVVPLDVPTTDSHVS QLYKVALQVNKAYSETDHLSPIQYDTLLEIDHDMQNIRAEAPAWMRDENYPLSPDMPA WVDWQRKAYMLSAAHKVIVLHRPYLGRAIRGDLRYARSRETCLEHAKLILGIFKRCST PQFRATWTVLVHAVAASLVIILDAAQQTEPVVSVGIEMVQETLQILLGLKDVSMIAQK GALVLAALVDRSTQLASTQTGLTGNKNRKDRTGFTGRAETELNRALAIFHRTHLPKSP TPIIMHRLPQTCSLSSDTNWLGDFGAFPPSSGIRGQILSQDDTFEAMSQSTGPFDPFF GFSRPEWETLASTALELDDLVVR L199_003479 MTKPDRVILITGASGGIGRACATSLSHALPSPVVFILSGRRIAE LQETAKQLRDGTIAELVIGNVSAEEDVRSMFQLIKEKYGRLDLLFNNAGVDLLNSVPL EDADINKFRQVLEVNVMAAVICTKEAIKIMKAQTPPGGRIVNNGSTSAMSPRPNSAAY TVSKHAILGLSRSTSLDGRKDNICCTQLDIGNAQTSLGVHAAKGCRQADGSIRPEPMM DVDNVGRTLVYLAELPLGADVQKLEILPLGMPFIGRG L199_003480 MKHQNKEDSASCLTVDPLEHLCLSTPFRRNAFSESFISSLSSKD KNAPSSAKAFVAHNASFLSLLSSDARVRCLAKDETGNSFAHEAGVWVESLNEVWFTSN LFCQRPASETEGLAKMRVDISAVNVSTGEVRKLHIPQVRAGNGACPYGRFVLFCDQGG DIEQPSQLILVDPENPHKAQNLINNFYGRHFNSLNDVIVLPPPHGRHAESVQISDDIE FRKEIPRGSTIWFTDPTYGFEQSFRPAPQLPSQVYALDPHSGRIKVVADGFDHPNGIA FSPDGSTCYITDTSHIHGTGRLDPRLQSTIYAFDVVWLGDVHSAGGPSLQNRRVFAFA DTGVPDGIKCDVMGNVYAGCGDGIHVWNPYGTLIGRIVLGAADSNLGAGCANFCFIPG GKLVCFSEDRVYLVEGLTVEGALL L199_003481 MAGTLLYLVTLLTALGFMLIGYDNGVMGGIINEAPFQATFRHPS TSLLGTIVAIYEIGCCAGSIITAFVGENLGRKRSIIIGAIIMLGGAGFQAGVSSAGPM IGARIVSGLGMGFLNSTMPVLQSEVSPKASRGRFVCFQLSLLNLGIMTAYWTGYGFAF VDGSKAWRIPVAMQAIFIIPILVLVLIVPESPRWLAVHGRAQESLEVLARLQGKPIDH ADVVAQHREIQQAVEIEESLGSGKWSDLLREDDLKSRRRLLIACAIQFFQQIGGINGL IFYMGNFFALVSDKPALLAGAVFTWFFAASFIPWFLIDSLGRRKLFLVCIAGMASIFA IETGLVYKVQTTNSKVAGGVATAFMFVFMGLFTIGFQANVWCYTAEIQPLRMRQKGAS IATACNWITNYAVVQFTPSGIANLGWKFYIIFAVINAAFLPPIYFFFPETKGLSLEAI DLIFAPSAALDAGEEKPGVAHLEQGGMRELDVELKE L199_003482 MVKASFHDIPSEWALRSLQSTTIDRTPPNPDNTSATWWTDPGLR KNVYHCLGCCLCVFYLGYDQSLLTGLQALPQWNAFFGSPSGNKLGLIAASIFLPSIVT AFIGDFIAYRYGRRYAIWIGSILIVIGALVNAFAKNLGMFVGGRIILGSGGALTKVGA PSLLQELAHPRLRPSLAGLYYGFYYTGSLTSASLCIAGLYIKGNWGWRFPAIVQILGP SAVLFITSTAPESPRFLIKMGKNEQAMAVLTRYHANGAKDDPLVQWEYQEIVTALHEE ELKPKTSYMDFFKTRGNLLRLAAVVSLAFGTNWVGNGLVSYYLSPVLKSVGVTRPVEI TGINAGLAFWNLCVAVTAGLNIDRFGRRPLAFVSIGGMFISYAIVMATSGTFAQTGNQ SAGLAAIPFLFLFYGFYTLFWTPVPYPYSAEILPYALRSKGLAIFTSVQNLGNTFNQF VNPIALKAIAWRYYGVYLGVLAVYFVIALVLYRESKGMTLEEISILYDYPLKGGRQQA REALEQQVAADTDHKVMDAKRDVEHLENA L199_003483 MPDSYEVKSLHPTFACELSGVDFSKPITPAEYAEVRKVVDAYGV VVIRNTGLTDEAHIEFSRYFGDLDDVTPYQQAGRTHRLPYKELFDAGNIDPATNGVAA MTDATVIGNKANALFHVDSSFNARRAGHSLLLARELPPKGTGGATEFSDSRTAYEDLS DDLKTKLEPLVGYHSLFHSRKTAMPEYFKDLDVESLPMSKHKLVQLHESSGRKNLYFA SYVHHLEGVDKEESDALIKQLTEHVYKPEYRVTVDWEQPGDLIIWDNTSVMHRATGGT YEGKFKRDMRRTTVKDMSSTKYGLNGEGSVWRVGMP L199_003484 MPDIDPTITGFTIRDLRFPTSLDAIGTDPMNSAGENALGYLQYH TDAGLIGTGSSFSNGQGNEILCVAIQALAPRFVGQKLSTLTEDFRSTHRALLSGQIRF MSPERGVLQLACNAVLNALWDLWAKAEGKPLWKLVCDMTPEQFVSVIDFRYLTDVITP DEALRMLQEKQATKQGRMEEASRNEAVPGYSTSIGWLGFSDEEVASRLRAALDQGFKH FKLKVGVDLEGDLKRLSLVRKIAGDDAVIMTDVNQLWDVDEAIEYMPKLARFNLWFIE EPTSPDDILGHAKIRSALKPYNIGVATGEHINNRVMFKQLLEAQAIDAVQLDAFRLSG MNEILSVLLLAAKFGVPVVPHSGGCGMTEACAHISTIDYVVVSGKKSILEYTDHLHDV FVNPAEMTPSTAHHVSPTAPGYSSDIKEEVFEEFEYPSGSFWQTEKGKAMVADKWRGV PGRQTS L199_003485 MPENMSSVARSPPPTHRAPLACTRCRRKKLKCVGGRPCVRCQKV QEVCDFERTRYSSILGGNAPISEGVNERHDRLEKLERMVENLVKGMVEGNPPHGPSQN DNTFPVSVSAENQASMNSLEQMMMCPPQSTARFEGIELVSSSMQHNHHPQGASDSDSH DMPAPIAPLITTPRLYPNHSNLATTYQPYISPTTSASPAQPNHTHPQSHPLSQLPPLP DHPSLIGLSPQSQDPSPHHPVAKLRIIPPPSKTSPESRLASVAGDLTAPFQPLTHYPG IWQNKESSQPTSPAPYEPNHAGEGGSNGVFEAKVSIDDEPVNLGIITMNTALTLLDFY FTKCHRFLPIIAPIKSQLAIQSFSGYLLTTVLAIACRFHPGHDRLLAFDDTTSKRIAQ LAYSHLAASLFRKQHRLADVQASLLLAGYGLLPDGQGPDPWVVTGHAARIASRLGLYR VHLASASVSGREEVDKLLAQWRTWMAWYSFDGLLSLGFGRPQSAGQSYYEDQFLQLSL DGRDTSPEETQGDAYLASLVQLSRIGRQLISTCQALNDRSHQYSGEEIQSILIELNGK LDDWVSKWTWNGSIRSLQLGTSRSLSILQQNHLRLNLNTLALRINPSSRELSADTTRY IRLAIEAAIGIVQLHSESSAGDSSLSYAIDYITMSLAQAAVFLIRIQLLPPDPEPPSS GDRCLGDRAVLTHYIHTAIDLLERTDHSETKNSTYLAKVCRDLCIVAGLSSMAPEAPI SREGDPAVAPSSTYPAVDLQGLLSLENVDPGGDWDLAYMLGLAGSTWAPSRAASPKNG QSSFLPG L199_003486 MAEATSDPRSSGASDEVTEIALTDLSQVLVELGDHPDNVLLIRR QIRLMFRLSMTAEILDAYDRLSSLVMLDEATWLLYFDLKIPSCQRPLSLDAFVEILEK FDQAEQDYISAAVLTRHIQFVLSCFHAGVPEDAANNTTTTVDSDVTEFLSEDTTRNMI KALYQRGEGLLDQSEEIWQIWLQWELGLLYNASNKQTQLEMIHTMFADRVEIPHTNID QTSSAYSNFCSQYCPEEYEIRMVESTESSRAAKMKLSEKRYGKTRSDFEEQLIAILLE YASWESDPRARNNARGKGPQPDQQLTQSVYERAVSRYSLACRQSQAALDAAEESLRSY RHQSKGQGGRKRDEEGSDEMAAIHQQIQVAGEAAETLPRDSAGRLRIRATRACPQNGD TWINALLSEAIFEKSLALGLLTTPDGRTSDLVTVFVGKAAYENRLAPPEDIESSSHPV LSTSVNKSGDTSLKLEKFLLSWAEHRAPAYIEQALAVVEKPNKARSSSYQMVLLHTDI LSFFKAIQRSDLDWPEAVYDALIQFEHVHGTLDSLLDARTKIEREQEKLSKRREKAAL ETQKYIMSTDDAVATVQPVLQSTVVVEAMNAADTAKEPEGHHKRDREHTTILLSGLPK GTSRDRINSLFSDCGDVRETTILTDDESMFDAALVEFTDVDAVPHALQKDRRKIDDAV ISVSMLWRSTLFVTNFAPEMDDAALRQLFGQVSCILEFRKYASNRRFCYITMETPAAA QEALLLHGYKASPEGFGMNVLISDPSVRTQRSDANNSTLFVGGLNDKTTESDVRGLLR DRGTIRHLKLGWDPVKKVCKGFAFVELSSEAEANACLSLDGTPYHRKILKVQISDPNH GNKKGKDRKPDQAAERRDRLVTLSGLPENTQEGLLQQWLEKIVPVRRLELFAKTQEAV AELELSQDVGKLLLRSEPLVFEGKEVHFSTQRNRSTGVSTAPAAAPISSTSFAPRATR KAKVIAKPRPTAVAAAASTVSAVKDGSGVAAQGQSDFRALVAAKNKQREENLANAKQN TGGEKRKSEHDDNDDAKRTRT L199_003487 MSRSTFDPHANYPPSPPPSRSRRPPPLRVNTHSTVPSGSSIRSA GHSPVKPGFSYNLPTSIESPSHPQNRARPGGLAALNGDVPARVRSPPVTPGSRAHSRT GQWSRRGSNESNLPPAVLRQGSEQAPSRTRKDSGVQSPPLASVYSGREGISYHRVEGV RSPNPASSLRSLAHLHHLRLRISSFHALISSTVSSASLSPLSRQDLVSPRARSERSEK AKKFISTWCTSKTGGVEPFFRGLWGALRAQSRGGVGRGGGGSTRVVWEIDDAVFLESG GNEFMHEAVTFIKGVLGFEDQPLTSPPKLRRIRTLPRSYSESRSRPPPRPSPHEAPVG YDSQEELAASPPNRSRSRSSDSRSRAISDPFTDARPNRRGPAPPPPPSRRHPSTSATL TSKTIPNPLQRGSSDDMVPSPNPESPLLVHDPEVEISANGQIRPSVPPRISEDRVHLL GRRTMSDTSDQGSGGFAQPAVQPLEEDDEDEPTEDDIAAEETDLNKPRFRLWIFPAHI SDQESEHLQSLFPRFIGAKGDVRFPFVRPGHGAKAMEEARWDAIAVGNIDDTEPKVVR VPKVEIEDEEGVVRCGTGRMWVGCDPRRPGWQGSKWFRFKRWWRRLFGMG L199_003488 MSPLPEILFDVARNPFLAVGLPIGLGMASGFATGQSSRSNWFKN MTPPPGNPPKEVFGPVWTILYGLMGYASHLTVRAFDSAVTPSGTAQADQALQLYYGQL LLNLLWTPTYFVFKQKELALGNILALFGTVTAMTVKMHNLYTPFSTTWFLAPYCAWLG YATYLNAGYVFLNRDRK L199_003489 MSRRSSQGYIDQRNQDAAPYADVQVTHQFDDARSDASGSSIEEE SEEGLPFDDLSDEQSEQDIGLDNEVDLEGDEAGDWDVDDEDWELANGDFTKQYNRVRQ QHAATTGNAPLPARNAPSQPSSSKTAKSNPLAGVGVAMNPKIAHEKQEKDKSDRATQD QVLDARTRLVLAGLVNRNIIGKIERCVSTGKEANVYYALPGVAVKIYRTSILIFKSRS NYIIGEQRFKGEYTSSKNPRKMVRVWAEKELRNLRRLVQGGIRAPKVFDCKENVLVME FLGDGDNASPRLKDAEIEADRLDFLYAELVIAVRRMYQHCHLVHADLSEYNILLHEGH LWIIDVSQSVEHDHPKAFDFLRADLQNVEEFFGRRGVKCLGLRRAWEFTVTENIGLSH EEELSPDGDNKLAAIITEWLSQPSNKTDDAVFLSSYIPRTLAEVYDPERDVDLLKRGG GDDLIYAGITGLKLADKAEETGPKEVKAVRFEDEQTEEESGSEDEAQDDQPHKPRGFR HEDKDAKKERKKALKEENREKRKNKMPKAEKQRLIKKSQK L199_003490 MSSDEAFVSTADANVPETNLNVAAEDRAAEESEATGRISKEEVE GLKDTIGGGEVLDDSEGYTRSSNKDVSALKQEDEVDAAVADLE L199_003491 MSTICRSCRSLAFSKRGFAAAATPRPARNPKTIANKRTPLPRLG RQAPEQESLAVLIQRLARLKSENRRPRPEAYVAILRAAGDFSLSRTAPGEESENLGWQ VAQAAWEDAKAGNVELGPEGVEAFLRTAIRLACEWGCPRLALQIAEKIENDSAVGVRL DQSAWVDILIASADAHYLSGAETAWYRVKSSYTADEGLILSLLNAAGRWGRPDFASTI LESLPVAPQEQHLAPLLEAFCNAGEVPNAFQVLVSIRDAGLTPTMATVQPIVSVLSNA EVIDQAFYGLEDMYKSGQPIDITALNALIDASARLGDLQRARATQTAATDLGLTPNVD TFNLVLSCCISAQHRPLGDAILNEMSAQSLSPNATTYENMINLCLTRPTYEDAFYYLE KAKADGFKPSYAIYNSLIRKCITTNDSRWRLVVDEMKSVGYRLDNELHEFINSGGKPR RAEEKGRRRSDESKRSNGKGKQRYDKKEGE L199_003492 MAPPSVTLTAPPHSPSRSRSVSQPIPEDSALDHLDTLSPLKPSR ATVFRSQSSNNMSRSQHASGNSNQHHSSSPSFRKPQGRARSSSLVTVTEVGGDDPDNV VDRLGVGNNENAEWVNAPGAWVMHPLLILLAKMLIDAIPGMTQDVSWTIVNLGYMSVS FLMFHHATGVPFESTMTSAGAYDDLTLWEQIDYGAQYTPAKKFLTSVPIGLFLISTHY TKYDYILFVLNFAALVFVLFPKLPILHRLRFHFAVPDTDSAPTPLTSRPPSPFMDKTS KLSS L199_003493 MNPYSTDRPPPNYPPYQSGRQSEGGGDMMMGDHYSAEGMHPSAR TQDDVDPDLQNQLQNLQIDSPQSPYNPYQPPPEEQPYQPFSHNFYPPPRPFQYPAYSY SPYPDGHAPSPINPAQPFGIWTSPPISPAMSSTPFRPPPPGPPPPPHSRHGSAGDYRG FYRASYSGPPSAWTSPSMPSTFGFYTPYQQQQPTMETIRPPLTNEWSQSPPLRRNSRQ SWSGPPRNPKDAALQEKERERKAYHPQAPARRSDWVMWVGNVPSNTSHEELWRYFNNT IPTVNDSEADSEPWRGPSSIFLISRSSCAFVNLSSQADLDRAVAFFNGKSLRPWDNRC PRMLCRVRRKDDDLRSGVGAQRGTGMHRGWVKDQEPKLPRQTSTASVSSANSVPPSPA ALEHPPEGEGRRRDSIIKEGNALNKHQSSGSFASTNSSFLARHFPKRVFILKSLTTAE LEESVQTGTWKTQRHNEPILDQAFRTSPEVFLIFGANRSGEFFGYAKMIEPIDKERAK KQSTGASMTRKLTTNNDGGESRPPFFLTPSQSHLASSSPGEITPNEEAKLEHAVGWRR TDPSDIRNKPHHDNKSIQSAPEFRAQTLDPKALQHDYFPPVPINDEGQADHQEHLGGS ERQPTLDDQGVLRKDTVLTPDEKAEREEEEAHDEFVEESRGHVFRIEWVKVGPIPFNK TRHLRNPWNADREVKVSRDGTEVEPTVGSLLMAEWDKLES L199_003494 MGFHPFEVSAAHLAYTFLGGFVVIFGMLSLFLKEKLYIGEAPIA TVLGIIIGPHCLGLFDPAGWAGQKDEITDEITLEVTRVVIAISVFAVGVELPKAYMKR HWRSLFFLLGPCMVWGWMVSALLMWGLIPGLHFLGALVIAAGVTPTDPILAQAVVGGK FADKHVPTHIRHLLSAESGSNDGAAFPFLYIALYLMLDKSPGHAVGEWFYMTWLYEIV LGVTIGIILGFTARKFMKFAERKRLVDRQSYVAQYVSLAVLSMGGTALLGSDDLLCAF ACGCAFAWDGYFNKATEDAVFSNVIDLLFNCAAFIYIGAIIPFGEWNTPDLRVWRLVV LAILILMIRRLPAIVGLYKFIPDIKTFREAIFTGWFGPMGVGAIFISTLARTSIPEGD VEKDTAQVERVREVIGPITAFLVLSSIVTHGLSIPFFSLGRRVHSITYTRSRGLSMDQ RGDEPAWTTHARRILPGQQITINRDDDPEEGDMGVRRRDTLHDGFNGEKLGSQDSGGS SSSRTVPHGEEIEMREQYQGKLEPQQEEPHEGEAAELGRRRSTDSGASRTSRRSRLSR TSRRSSVDSRGCHVEGTDDEQEDEEARGDREIDDKAGERTPPLAQYREGHHLVIERKK KGSEEVEVEVIRNHFSDDKPSEMDTFVHPHRLKSKELDKMLHMLPKSLEHATSHVRNE GKEAVDRLGLGLMRGASTPSVLESENQLEQSISAQGNQTQAQAQQNVNEEAVSDTEEA PSSGNYQSYNKIPAINVHSGSGSESKSKRKSIAARLFGRKSNDTENIPSKAEEGLAPP NPTLLVPPSKSDISRPVPIVSSPEPIDEGSRSGSFGVPLTRTMSASRSPAIRFAPDVE PSSETAPTINNYGANAPGFKKNPNLAMFRSASIQSTGSNKGGEGPSVSFVEPDKQR L199_003495 MTSNQSVPLAPRKAEEDVWKYPRPPALQRTPNRLRVIWTSSEGA ETIIADSTEGYRVLETSHPPTYYLPPSAVKVPLTKTTRQTFCEWKGKASYHTLNPPSS SKGIENRIWSYPNPTADFAPIKDYLSFYASTGKSEAEIGGRWRCFVDEEEVGVQQGDF YGGWITSNIKGKMKGGPGTWGW L199_003496 MRSSISRANLPRQIATSSRRSSLIRSSAILPFRSTTPVPSQLQT RTFFGLFKKKATPTISESAPPLLSQDDLFHPLSQSPFPALREKADRIKSVSLCPTSFE KHHERVRPAFDCPDCGWPTHKNQERWEEGKEEHREYCGRLKEVNEDEHDLRSGRKMVE FENMPEEQPYESAVNFASWDTLFFTRNFLSIDSDRSVRHVSKILTYPMTIAGVLHQNG PFTSGNGRITREGRRSMAAVHSILHLPPGATEGTVPEKPQPPFRLFLLGARAESTLPP HLWAQLCWLFPRTNFNIYFIGPEVGLPLVSAEQRQKKEYAFSENGGWGVPSYTLNYNQ RLSLTSIRAPYEQIHEQFGPFDPYTDVFFAFSPGFGFPHQPLLEKITKGGKGQITFDR AEEVNKSEAGATAEDAANAYSPAEVDGGESRDVPYAPPETLVQAQTTWRRPLQQILET KCPFFFTAFSPLDLQRDVSALFGTNPPSASSPGSPVREFPDYVALPTGPIEPIEGVTD EFELVLTPGVNPFGSLKWEIAEWDVRVGVKTNWGTWGIRGKKYDVVNGRDRA L199_003497 MPVTLTDLPVELLHHIHHLALNPFLPCTNHQLHSIFHHPSPYYA STYLLRLYSAYGANEILTRSLRHPICTTDVAEEIRKIWDRRRGYIESPISCEKKRRNS TTSIEKGKHRHRSISRSPSPTPPPEPTAPAIQCTEIPRRLFRDPFDPSRPIHPLIKYL FDKYHPSPNSHKGYPLFRAILTSNYELVTFLLEHGADPGIKDSFALDIAISMKDLKMV KLLVERDPSHSTPSPVKEGLKNGKKVKLGDRVEIGTRMVEKAIEKGSQEIINYFVYEK KVMPPLHSIMNIGKTDRNKSQKRKKPLRPSLPAKA L199_003498 MAAPRRAGGQNNYSYTANAANNKQAQLTNAYQELAKELGTDKLK VVGGYTLGRVIGEGTYGSVHIATHRLTGTRCAIKKIPKSFTPHLTREIHHHRRLHHPS VVHLHEIIATESHIWLVTELCSGGELFDYLVERGRMLEGEARKLFGELAVAVGWMHRQ GVVHRDLKLENVLLDGELRIKLGDLGFVREWQRGRLMETFCGTTGYASPEMLAGRKYL GVETDIWSMGIILYTLLCGGLPFDDDDEGVMKELILKGEYEEPEWLSEEARSLIRGML QQDPSQRLTIEGIFNHPWFKMTIVDRIQGHAGDSHSIPPSPLPISPGSGDEFFAEPFI KNGSSSRLTPHMSQPSPLSLHTPTVPHVESEPSETSAADSEAGTGTTPPTTAEEDDGE DAEPPVHRVNSSEFSATEKALELLHPNSSQSTIRRPGSASPKSGSYVKNRVAMKTHLE GQKEEDEDNEGDDVAASLPNLDDHSLHLPVAQHSRTPSRTKRRSVSSTMSMERRHSHH SMSGQWQRYHPEDYLAKLNEERPPPFTTPSEKFLLNQLNDMGMDTGQLRHSVESDACD SSAALWWILRAKQAERGETDDVIVAREATAAKKREKAAAYAREERRKAREAAKEQASV APDSLENRSPAVTFKEETASIPVTPSFTIMDLGAPITGSSQPIFASPDNLPISSSSSA IEALNATNLPPFELKPSPGTLAPHSQPPNTPPHETPRDLLSTPEASPARGGDEERGGK RRSPSMSMLQRATSAWVGSKKTEEKDRVQGDIDSPASYKDEKQSTSPSKLHKPPPKPK SLPRSDVEHESLLRPSPAPTPPLINTPLSTPQRERPAPSQRIGAAVDLTEDLPTSSSV GSITADQLVAGPSKNVKGSKRDSLWTTFRHLFNEDKRRRKRDIPGSPLAAEVKAAPSV VLSRGANARAPHINRTPLALPGSRRTSLDGRPAMHSRRSSSVNSRRSSFDGHNLHDPS ELSGLHRRTSQRSHGSQTPTSDREYIDFPSRPGSSHSLQRGNSRRSSMSVRSPSLISD NASGRFKNGAPASPLHNYRRRAPGGSDSSRVRHYRVIPDNQSLRPSSVASSVKSNASS RASSAEGRRDKGAGDDMDDSGRDDASSSRSQRRRRESKSSLAHQIHRTRSPLSAQAKK GPIRDVFQKKQDDDWISEEEEEYACGLGQIGSSRTAGSSNTMWINGTRASAFATNVNT SMKVSTPSTKRRERGRRTSLEEKQENEKGKNKEPTGLGIGLESISGGGGGGGGGGSRA RRGLPPGRSAAPVIEEEEEDEE L199_003499 MSADTDIVEKRYLSLHERYSVCRRNSTFPAVVVVVVAYPNSSDL PSTSFLEQRITELQQHFPLLYASVEGPRTTKPYFQSREEVWPPSEILFHTTYTPLSDS KEELGEVFCKEPDVLAKKQDFYSGPSWQVRLHTHPAHSRAYLTLAIDHIYNDGRGLIA LLDCLLADDISSLPYEKLSSIPRVEETIDMKPSLGYFLPIVFDKLLLPKLPNFIQSYF KKSPTWPSISIRQGPIGLPAGQSIIAIPLDLLSKLKIVSKEHGIKTLHGLLKAIYMVG VWSVYRHTLTPFIIRGSTPRSERDPALGHPLCTGNYVSSHKVDIPLKGDDKFWTIAKK VSDNLIDPQSIKYGRMDMGMLAWVPDGEFDPPHEDPRRATKWEDFFLTQAYSETPFNE SFSFSNLGVTKLPKRAEDLIWGQEASPYAPPFNVCLISHEGGLRLVTVWKEGSVAVKE EVKRMEKAFGEVLRKLVEGVHDTTLASLIEV L199_003500 MKGSSGSALKALRASVVVRTATRPLSTSSTINQAEGSTRGQVYP GESAEQAWKRNLNEAREWRRRRDAQRNSLPLFIPQSANPPPRPRSPTQATLSTLLASG AALGHSHSLTSTAYTPYIYGKRAGLSIIDLDQTLPILRRTAALVRDVVKADGIVLIVG TRDGHKKMIHRAKERLEDNGYAVNDWMPGVLTNSETFFGIEPMLNKSYKPDLVIFLNP SENTAAIRECTARHIPTVGIVDTDTDPRIVTYPIPANMESMRTAELVVGTLSIAGQEG RRLRLKEAERRASEQKGRARRDRR L199_003501 MQHQQVRYASAHVVAGNPEKFAKARGEYVRTHFKNMREVAAALS GMNLKKAYTYLADVQDHKQIIPFRRFAGGIGRASQAKQFKTTKGRWPEKSVQFILRLL KNAESNADAKDLEVEDLIIKNIVVQQAPKTRRRTYRAHGRINPYQGHPCHIEIILSTP SSEVPRAKDLDVTSSSKKGKTVAAIEA L199_003502 MSQSGPPADAKQAQAAALQELEAAQKKKRAIDTNLANLEHSIYA FEGSYLDETAASGGNIIKGFDNYLKPPPTNVNKKKLEVTEADRLFSTSSGTYQQSLAA KRQQDQSVE L199_003503 MSSKMGVTTLVVGMFLTGCANSLLSKYQDMECVENCGPDAPGPR LDFEQPVWQTLNMFAGEFLCFIPLLLSYHNRANKVIAPPQASMFTRILARLPLGQRSG HYETLDEEDDENQPLLASVSEDKLLTGWRVCWMWFPAFFDICGTTLMNVGLILTPVSI YQMSRGALVLWVGVLSVIFLRRHLWLYQWTALVIVTLGVCLVGLSGSLVKKQLSDPID LLITLAERPDDDPARVALGVLLILFAQIFTASQYVVEEKIMSHYKVEPLAAVTLEGFF GLSTTLIGMPLLHIFFRRTSPYFDIPRGWHQIISNPTVYGSCFAIMFSIGAFNFFGLS VTHRVSATTRSTVDTCRTLGIWVVSLGLGWEKLVWPFTLLQVGGFAMLVYGTFVFNGL VKPIIFPPPPSIHLPHEPELEETSDVPAAGAQTRAGYDVVPDEERGR L199_003504 MDEIQPIQETKDTHDSSKDQLDNATSLENGSGRSNKSSSPVHLD SPPRLSNTKLFILGTGQVFIWGLTSASILETAFALPVIGVEFDLTPGEVQWVSASMML TWGCFQLIAGRCCDVFGRKRGYLIGCLGLMLTNIISTFMPNLSSLNVFRALAGIASAI VLPASAGIIGSLYPAGKSRTLAFAAITCGGAAGAAGGELVAGVLIEWTKWTWRPCFLL IGVLTIYPLAIGFMIIPMDPPLTTDKAVDWLGASTIGSSIFLLLLTFTLSETEERGWK TPYLPALLVASLLLFTSFAWRQRQLSRWARTPDDKNPPPLIPLSLLSMNNRNLVVIYI ASACTWAMTDSFFVFASYLYFDVLDLSPFQAGLRLSITFFSGNIAALVVALTISHISP RLLMTLGCMISIASPVIFAVRGLDWEYWKGDLWALLVIAYGTDATIAAGSTIISQTVS PEDQSVASALFQTSCRLGFALGLAISTLVQTSVEKSTLSSHANPIEEQKLYGLVDGLR AAQWCNAGYIALAAVLVEGIAAREHRLN L199_003505 MAPLNRSYSLPVSLSNPVSRLKALSGAASRDEVSENQRDSVKSP LSTPPLAAVVEGRASSSTYQRPSPESSFSDLAPSEEGSEYPNSKQSPIIVPHKRWSLS SRIKKTLSIDISPKNGKGVESASLEEERGRRRSRRSKGVRIKDTEKKKEKEKEHELTV PAPNKTYPPSTTPISTPIPLTLDNQASNLILGAIDRGRPGQISPSSSATDLNKRSSGA FAALGLKAASIGLATPSRIPEETGTDTAPTSTTSTPKASFLQPSKPVGGGSSRGPRAP SPFFRARKSREQAREREKSPEVKALKKDNYGAESEVEPETEAESVSGAPRKYRPQASA YVDDDSASDSASSQSDSESQSGSDDDYADIIDEDGEVIFDEETEKNTEANAVFFEGDA AGLGGRSATGEEGDKQDINDPEVPSISPRDDDQLDFFGEEVEQDPLGEGPNVVVPPQS LFQTSSLHQPKRRKSLRSGIELVTSRPSFARDRCTITLTHGDPDGALEASARDGDELF MISVKEDESKVDPKAWSNSDRAQKLRVQKERQTTALLLVKQVTGLLQRTRLNITVTCQ FVHAKNARHMLLDLIDFLEPTMKSSVPVMVARRRLQRPLRKTNPANLRHSPRVSLASA SIEKTASSKQEDEVMDVAESEKEDGKPASASTSGPNPPA L199_003506 MATANDCNMNIACILSVSALGFTLHHAWKFDGCRCLLPKRKEWF RVLLTWMLIGSTVMIIGWAVGWTLIKYHLGWTTIPPYGAMPFPPAMYEQKYRDLNLPY TIIFNLAFSIQASLNAEEGLYWYHLIRVVRQPKPCRSWLTSSFFYAWIVISIICTVCQ SGLGWIHSGELDLDMQMSRIMTVHGCVEFAVVLSSSVVIWKFPAFLADVKASGAGPDV RSRLHFYHEANKIRNFFRAVFAICMMILGIDGLTETKRIHLNKSWTPDNETFRNQVMV GNPDAQMRGAIEGGNLASGIALMSLLREGGQWDNDDDLRGTNRLSKVNEGPNQPHFGH LEVPLPDSGGGVEWRNNALQKKGSWDSESSELGMPMALENFTSPIAVHSAESVTPSEI KIRIEQEVTRDDMV L199_003507 MSRHDHFSTRAIHIGSEPDPSTGAVVPSLSVATTFKQDGVNKLL GGYDYSRSGNPTRSALESLLTSLETCPSQTISNDARHDASGGESLVFASGSAATAAIA QWVTLSEKEGGAGGKDGNGHGGHILAVNDVYGGTARYLARASGPTGLEVTFLNMEKAG EKGIREAIRPDTKLIWLELPTNPLLLVPPVRLISNIVHSLPSDTRPLILIDSTFLSPY YFTPLIPSNGDHPLADITMSSLSKYSSGHSDIILGSLTISPLTNKVRPNLLKGLRFLQ NAMGASPSPRDCHLMIRSIKTLSVRMIKHGLNALRLSHWLSTQTDLVERVRYPGLKTD ESFEYVQDLISKNAKKELSYLGWKFPFPLKNTETEGIEEDSLNFTRTLGIPFGGMITF AIKGATAQQAEMFCTELRIPILAESLGGVESLVEVPYGMTHAHLPQETLKELNITPNL IRFSVGIEDYDDLVEDIQQALRAAQGQVNGDGHA L199_003508 MASTSAPAKDYGSFKLLQSFPIEYAPVTVSKWRSERTGLTVVLG SHQAPVTNGYFAVASEIFDDTGRPHTLEHLIFLGSKKYPYKGVLDSLANRAGSNGTNA WTANDHTAYTIATAGSEGFLKMLPVYVDHILHPTIDDAGFVTEVYHINGAGEDSGVVY SEMQGRENTSYDLMALEIQRTLYPPTSAYRSETGGLLHKLRVLTAQQIRDYHARYYQP YNLCLVIDGAVSPEELFKVLNEQVDPLILEQRNNQPKITPPEDWQRPFVETTTSHRLS IPDSVTKVVEFMEEDESVGEVILTYLGPPPTDYFTNTALKLLGNYLTSTATSPLSKEF IEISKPLCTSISFDSADRVNHNELQVGITDVPAKHLQSIGGDINRKLEKIVREEGIDM ERMGRILRKDKRKLLDYMESRATDVLADVVIGDFLYGEKNGKDLPVAFEDLREYKILE SWKAEDWVSLLDKWYVAAPSITVIGKPSAALSEKIEKDEKERVEKRKAELGEEKLKEL EKRVEDAKKQSDVPPPPEMISGFPITDPAGITWVPVETAINNAKGQDLKVDQGEVQRY INADSVELPYQVHFSHVKSNFVIVSALFDTFGLPAHLAPYLNLLISSIFSLGVKRADG TQLSHEQVVDQLNDLTIWQQACFEFRGGFAEVLCVHFKVETKRYEEAVAWIRDLMSGV VFDKERLSVIVARLLQELPQEKRDGSEIAVAWANRLAYDETISSAQKCELLSRLEFIP QAAEMLEKEPETVIKALEELRSKLIDPSKIRVSIRGDVLGLDKPRSVLQRSFLPIKGA KTLAPISTSGQTLSELGKNPSKKCIIVQMPAIEGSYSQHYAKGPSGFDNSDLPALRLA ASVLNAMESYLWKSIRGSGLAYGAHVAVYPEPGLVGFTVYRSPNAMLAYEAAGKIMQG LVDSSIKLDQDIVDGCRSSMTYDFARRSETVLDAASTAYLNEVLKGIGKNHDQEFLKK LPSITLDDIKNAIEKYFLPLFKPETAMGAVSVSSSKAKEVEEGFSKLGFEVEKRELPV LKGDEDEDGDIEMGDETGSESGSGSEEEERK L199_003509 MSASRPKSKKIIPKAQPSTHPKLTPKTNKAPVVHKSPANGVRRH VPPPSAEVRSLMDKGKGKAKETSAPLSVHTITSDEDNEDDDFEEVPIPSVAGPSSPYP GTPTTNGNITAGTTPRTNTSAPSIDYDLEGYGGDSDAESGEGEDDGVIHLEIGGETAE EKAKRIALALRKKPMTSKDRAIRLEIHKMHVIALLASARVRNRWCSNTLLKARLLSLL PHPLQTAFNIPPSRFPDRAQRSRLFFDALQDLVTWWSYSFFDVSDPTLGLRTRPWDEI QEIIDQLPKLTRADLTPGHYLSTTKEKVKEREEALEKMSVGAGAEKLRSVNSMMKKAL QQEGSRDISAQLFVALARACGLGARLVVSLQPVPWRAEKVVAKKKPVGAGRGGRTVAS RQGMGPTTDEDEEDEDEFEEVPIPGEEEPKERKNNVRAAGTRRLQDPTDLYRLRQPKP APQTLGRPSKPKAKQKQDLSEQPPVFWAEIFSRSDQRWIPVDPVRGIIRKKTHYEPTS DSGPIRMVYVVAYEEDGFARDVTLRYTKNFGSKISKLRVPARKDEPDWWESVMEFLTR PYLLNRDKVEDAEFETSQISEAMPQHMSGFKDHPIYVLERHLKREEIIEPKTKLAGKF RGEAVYRRENVLTCKTAETWMRVGRRVKDGQNPLKMVKQRAVTLQKRRAQELAIQETG EAIQQGLYAEKQTELYIPPPIKDGIIPQNSFGNIDLYAPTMLPAGAVHLPYKGIAKVA KTLDISYAEACTGFEFKKQRAIPVINGIVVAKENEELVMDAYAESAAAAEEKERMKKE EKALKRWAKLINGLRVRLRLQAEYGTGEQLDESSALNPLADPADAQPKTKKSAASVLA AAHQQGTAKWTERVGERSPSPKSESENEMEDVPLPVTEDVVQPETIPQTSETSEDRVE KAEDVKQIEIDSDSDLEAVQIPLPSSARTTRITLRLNGQNGNGATTKSRPSRRASVRS TRKRKVEESEEDEAQLTEEEDEVEVIEVAKSKRPTRGKGRTSTTSAKRARNKIVPTPS TEGVTRSLRSRAPKSAQQAEEEEEKRRRLQEALGEDSDFDMQ L199_003510 MPRTEETRKADEQDRLWAAKFPNGQARQAERERLKDEAGCNHIR FTNTVSSEDNIPVTFYQMSTNGDQTKVGTGIAKFVSEYSVDIQIPELIEADVTTPHIP PLGYTREDGSYCRVFKDVKEVKHYISKIKDVRDLHGSYGVPGYQTEKWLELTSITIPK DDINPDTSTIISVEVENTPQEEFWLTFSRRWPKRDRCMSVPGTTHSQRTIEYSDLKWD INFDPEKI L199_003511 MPFLYSRWHTKSQCHVFDKPNSFSINHKVRESYLRNVAKLLPSS STAKGYRARSPFESALKPIAQLEGCVSCSSLIWTETRQNIRLLSASKEDQNGEGSSGL SQDVELVSEWRNTDPCCLKVIDADDPTQTKLGEGRVTIELKSKLIVNASQFIKDLESP RERNSYYHKPTGSAYPVINMKFDDFAHLQRSIPDFISIKGYLDSERKAWLGDPGDNLI EVKFSWEESGRDRSIPVSVEEVLTADFTITNCQWR L199_003512 MPTSDPSSRSRSERFKDGCERAVAKYFPGSSSGQNYRKRSPFEY ALKPYAQLNEITTLHYGGMANWTKPEQTITLTADSPDDVNEIEYVQVTSESTNDTICR LKMTDENDPKGSQLGEADVKISMKSVLKVDPVQFIRQTSEKGKRWYRPLGTSEREWNY KFENMSELEKSLPEFRGMVRSFKSGCNDWLGQVKIDLSNREDITRETKSFSMTAVKTI DSTDPIKLQFSVNEHTTDRTIPVVGQEVLTADFQVSNCQWR L199_003513 MRKQLDPRIPALINNGVKSNHRSFFVMVGDRGRDQVVNLHFLLS QARVSSRPNVLWCYKKDLGFTTHRKKREAKIKRDVKRGIREANEQDPFELFVAVTDIR YTYYKDSAKILGQTFGMLVLQDYEAITPNLLARSIETVEGGGIVVLLLKTMNSLKQLY AMAMDVHARYRTDAHQFVQPRFNERFILSLGSCSDCLVLDDELNVLPLSKGKDITVGK DNEDDRGRKRKAEELKEMKESLDGVDIVGSLAKLAKTVDQAKALLTFVEAISEKTLSS TVALTAARGRGKSAALGLAIGAALAHDYSNIFVTSPDPENLKTLFEFVFKALDALGYE EHIDYDIVQSTNPEFKKAIVRVNVFRGHRQTIQYIAPEDSHVLGQAELVIIDEAAAIP LPLVRKLIGPYLVFMASTINGYEGTGRSLSIKLIQQLREQTRPSISKEPAPSSVTSNA GPSKAASAGRSGAGLVRSLREIKLDEPIRYSPGDQVEKWLNNLLCLDATIVSKNVQGC PHPSKCELYYVNRDTLFSYHPASEVFLQRMMALYVASHYKNSPNDLQMLSDAPAHHLF VLLPPIKEDDNSLPDPLVVLQVALEGNISRDVILKELSQSGMRSSGDMIPWLISMQFQ DNDFAMLSGARVVRIATHPDYARMGYGARAIEALNSFYSGELYNFDDAPADMGESFED AAKVGPNETLQNDTVSIRDAARMPPLLQRLSERKPEQLDYLGVSFGLTKDLLRFWKRA GFIPLYASQRENALTGEYTFVMLRSLLNNVEQTEGWLTAFAQDFRQRFMNLLSYSSFQ KFESSVALSIIEAASPRLPAALNSPKKTITAEELNALITPFDMKRLESYSDSMLDYHV VLDLVPILANLFFSKKLGDDCTLAAAQQAILLALGLQRKSVEALETELGITATQCLAL FGKILRKITKQLQDIQKASIASSLPSQPNPTQSSNNKFQALDKTIEEDLEDSIPVSDE ARAAQREILDSINMDEYALPLEGDWTNAEEQVKKLASNGGEGLSTTMTVKYNKKDEQS KKDGNNKKDGKGKRRVSEGEKGSKGKKMKV L199_003514 MEEEVLLNLQAKWEARLLETRVADFARAPGSSSSPEPGEGGENE GVSPNSTIPTNPVSSPPGPSSGIFPPPGQGLALPGRNLNGGDDVRVKAEPSDDIMRIR GGASEDVKPIIPIDPNRPEPNAAGLLPGDDIIDSDLDDSDDELRDDAEGGDDDGDTDI VFCVYDKVQRVKNKWKTVFKDGMIHVNGRDYLFAKCNGEFEW L199_003515 MTTEIASATVVTEQEKFLWRVALHDKTSRPGLFSKPEERSPQSI YDKTTVLNQGIYEIHFFQFSDFTSADVTNVVGSSSHGTRNDDGFKVESERDCVNILEA LIPFRTPDSSSNTEFNGIKIRNFSDSANHNNELRRWRDTLNFNVKTPIDLSREGTHVN ANGSYTSDMKGLALDLYKDEKLVDTVDDIRGKCRGVTVIYGGRSHSGRSSDEIKIQSF LRSLSHEEVSW L199_003516 MSSQETKQGTTQLATDDTTQDATQEGANDNATRDADQNPIQDAW HDTAEGRVHHQEIRPLVFYKRYSIRQPTKTSLKRIMMKTKGLFAINLKVEADKALLPE IEEDYARAQAKFGTLLEIHNVLQSRLVETGTVVAGVSVHTPVEGYKRGRKIAMSTERL NLQGPYYLSDYEQVRPEGLAGSSE L199_003517 MASRRESNADRNIDARKALGVRPGGRPPPRLMLERPSLPNDAPS PTATAPPSPKSPPGSRDVSISVPPLTIPSSPTADQPKRFRRPTITSKQKPSRLATNNR GLYETQKLLSHLLDKLESRDAAPDLLERAAISAREISGRNKGKSKGKVQRIGNVLAHA AHHATGGIPSTPASSSILSPPGTATSSSLGPATMGIGSEDFEDIILAEGEIDTEAIYG FLEQTRGLLVLAEKQGLDLFEDEHGGGRPSIPGVPVLDSTPVKNKRKAGRFSSIAPLG SIKPSFSLSSNDTNNQKQEDHHPRNSHDNLIAGPVLLARILHVLQTLLTVDCLHRTHL FRPLCPPYALQAACLDIASYVYAKSGTDAKIKVVGMVVDGFYGMSGMLEKVCEWLEGK LGELLGRLAKERGGPGKKDQVEDMGWTDPFSTKEAPGKAVPTFAISTDSQDSLPPPHN QSTPGWKRFSPTSPSFPFFPPGDIAGLLSTYATRDASHTTIEIASLVPRILSGLTTMV DLSTSKLTTIHRVHRLLTLILTAKPDSSLDLLEIVAHAPSKARRTALEILSTFYPTVV GHNTVSRRLANTTYAAHRAKWETGQERALGEDDDAHYFIPWRCSSKEDPENTAPKCGV CQSEVHGFIVRCTMCKEFRHLHCYHTGGVHTYDVITLSSDNVSTPHQMVHVKFSPTPP RLDEQVLFGSTIRGNATSTKRRVGQHDLILVNLFNLTLCDACNIPLWGTTAQAYSCIN GCQRFFHSQCLDKLEKDNRGQCRFGRDVIIDEISSQGSNPFVISLSRFWQSFNNISTK LCIGKDDIQRKTYDEIAILWGELWIQYQLLKNGLSSGSLRITDYNVKIEPDLLNMKST LKLYEEQLKSRYSEASPASSDFTHVIGTEMPLGCGYLFYDRFLTYVIALLRAPSSTNP MASGTQTPSDGLLTPVGLPAPNEAVDGNEGSYEMLPLSIISSSLSSDLGISDQYISTV FLTQLRSIGLITINNKLSVTAEDLTSPNIWAGFNLPLLIDNSPTIEILISTIEVLLDD MDLSLNEQGLRLLTERCWPSGLCSPYALDRLGKSVIDWIMNHDDSLLQIVKHYASKHR KLPGLKISNKNINANQSSVNMYQDDRNRLMEKYAKGWLRALHDLDPIPYAAMVYEECK NPLAASKLNYDSEESNDQLASKMAGIALERMTAVVDAEVIFSTLMELVTAWLEDLGAL ADQDVVYRSLPRLLRHSNSTPSSSFDQTDLFSLSLSVSQSGPEGLIRVCRWLRVLSFS GVEIPWNVLIDLVELQSTTQSEVAARLDLVIAVGANGMSMDPEEFAGLCAKVGKGIFS DLGKGSGTGLAHIEPDLIRQSMLLCLRAYGVPLDDVAQTSLNSGELHTKQPASMSKKK RAPSGRIVFSLDKEMVLSAASVLDRAGFPREMVLDFLWLIFTKASMVDNVDGFIHRAC SKLYPVIWPLVDAAVDRKSRTRVILRMLSVNSNSLEKIVREQLDSGPEGRAKVRERLL TFILELSDSSLVFELTSWQSSAVGLILLLFDVLTDTTEMIPDNLVILQCLLPAQLQAM SLCFEDYLVRHSDEKRLILLTRLRRLRLNLPTWPIISWTKIEELLAEEVASITQLRPG RASQTMNALMDSQTVRCSLLSLGLDMLSSGVSVPWIIAQRFQQHVASCLSLPWTNPIE GITSLVLPSIRGVLDSSARITISGQTFESKAKKTALVGALFVPIVIDLGSELGKFEYL TQRTLLDILMVTFFKQNVRTVELAALSSLQTLAQFVATTECAENRLLALQILQTAPVR MDRGSVIRAVPSVFATIAGVLVKENEAEHADSAVLEQSRVFLRGIIKSFGRSGLFIQL FRIEDPATYKHNEPSSLGKALQLLHATEKTLEGQPSVFDNVFHNLSDVLKRGRQTIDQ VLVSLCRFSESLQVELSEDVAQNFGLFIARLGKQVAEYDQHEFDPNPALKTCAAILDL VQPIASTTLLHQLSTFLHLAFTRFNVSHDTLSKLLAVSERVARGQSTEDTVRTVTFEL AGSIVHGLNVTPVTLLTLLNFLAVNAFPDHDLDARSFTQQKRVLGDSVPGCVQILLRT HPTFAVGKLDADVTSAIMMKTATVLCKAEMIVQGIISQNLGNITSEASSTQVNLFIFL LLSSLDLQMGSARKRIISLYPLLARATSLCLRASADFLTLQDTAGDGAELLSVVFVVF RLTILALHDSEAGNSTLMSNMEEDAMDTFWCRIWPDWYRLLTISLDSSCVNGPLKAVS HSVFLDTIIFLGQVHSSILTKHSGTLSHALTVLVKHHESLSSASGGKMQKAAQVLDRI SNGVGIGHVSNDDRGTIVSNIKKDLSATERLKALKAM L199_003518 MSTPRRSSRPSTPSSSNPAPTPIRTNIHHHKTADELAAEEAASI LHTPTTRLRSAGSTTSVGIPIELETGSARALRHRRLNEVREATSETREREDSKSIASV SSRSQDDDGDDEVSKSLDVSIQGDDDLQSTSENGHDDDDDDVNSSPSTALTTGQIFAQ PQISIPSDDEEEDDSDSESDDSETDSADDGSSTASASSSHTSDSDDEDDSDEERMDRL LEAAKAAAIAKQSKGKGKAIADEDGEEVLDFDEDQKEEKKDAPIPDLSVPQLPRPHLS FTQDGKAQAATKIPELGRAGPSKKPISEGKKREVAIPELDDRPYERELSKREKAAQPR KATTSELWASIPSPRSDLLPQMKRDYQALALANSLDPKRFMKGGSKSDKIPESFAIGT MIDVPRHVQDTTLTKERKYRAGQVVQNLIRDDSTGEYAKRKYGDLQWNRMENGRGKGW KKRVKW L199_003519 MAPGRMVTSSRRAGRVTNKTKLLIYKGTDKVDLGAAETIVWESN DPSSSNSAHANSESTKHQHVGAKGVESGELLEHHLQAALSSASLLHSRATSAKPSSPD GKSNGTTLKPETTSTSSLNYHIPTPDATGLVKDDLFAPLYQATKYVEPVNYIRFSDTV EESSGGWGGLGYCMDDKDYKWLNEFNSKQEGSSGTANSPQTPLKEFTNGNQPQPPTSA GRGMRAKGKEKEKDKHDGIPTSLFISEDTFEYIMGVLEKYAEDSVPMLHTNLSLLPPF SSVEPLFSSPISASFLPSNEIPKGLPDLKVLSRMARNVYSHWKVRREEREGKPIIPAL NYDETNDNDPYVCFRRRDIRATRKTRRTDNYSIEQFQKIQTELRLAHNLASMVVQREK QKRELFKSDKEVWEAKWKLFETKRRWPSLGMTREEEEIITGRPISGILPPPNTIIPSM PLQTSSSTNIPQARKRTFHEKDREERDKRDRTSDHPSRITNDKIPVGSSGRPNIPEVL KERLAALQLRHEELLARRKEADSAWDDCTDNSYQPLPPSAAIQTFRPRTSLDPHYART LNRGREDSDDDDEPYPLYPSAFRLRRGRGGVLRLDRRTPLYSHRTGLPPSSPSDYADW LFPDTVPSPNSSRRPKSIDEVEEDEDDWSQKRRKLNELSRYDVSRGGALGVGMGMEED MDRVVVDDFDSKYIRNRISLLQNDDWEKLKPDVSVLDQAITALDAPMELPPTPVFVRP QIQNNPQLVAVQLQQQQQQMMQQQQQMENFQRLQMIAQAQAFQAQQQQLAQAAAQGQN LPQGQNVPSQPRAPSADAASVASPVVNGQSMPPPNGNGRPQNVKRQSTNAQMAPPAST QHRPNASASASPTNSTHPNPANGSPQIINGTVPNNTNGNGKPFTNSPVVNGNGNVNAN GNVIDPVIQQRILAARAIAAQAQQQLPPQLNLENMTQDQLAEISRMAQQAGYGDNVQG FVELRNKTFLLKMARMAQQQQQQQLAQVNQQQQANQQQQQSPALPTGGLNLKLPAHAA ARLGAAGGQPNGANGQQQASPAPQRA L199_003520 MSRNRLGSVKASAAEHEQMNSLWHSLIDTLRLLPSVPTNPSSLK SSSLPEIERERNSRTIQSEKKHLDEALDKLNVLLALRRGPGNSSSSSSSVPPEGIPGV VISNVKGEPSYPSISTPTNGIKKKRKLSLSASASPAPADHPSPMPISKAGTPLSASMS TSMSREATGKQLREMYWDQLPLQPGRRVAFRLPKSKSQDINNNNNEKDSQVEVTPSGG AGGGGDDWILATIKRCIQMDKMRYEVQDVDDGNAYNTTLRSIIPLPDPTSASHLSSHP NNLEDFPKDSQVLALYPDTTSFYRATVVSPPLPGTGNGLGLKSTSKSGKNEYAGSKKG VYRLSFVDDDGNIQEVGKDDVVAVS L199_003521 MRHQDNAGWIGRTLNEVKEEDLWSMANTLEQEGYNAGSWSIEGG HCQDKKWRIYQNESLNSGRCSSCDPQGAVTIRTTQFITNRLLNRRQFIVTIFHPTRAN ISRSELGEKLAGLYKTEAARVSVFGLKTKFGGGVSTGFGLIYDDEESQKKFEPKHRLV RSGLADKVVKASRKLRKERKNRSKKVRGKAKTKAGEPAKKK L199_003522 MLFHSLCSLLALTSVLSLTVPSTDQLARRETLVEAAHNARVLVK YVKTGTLASVFPDTTDLAGRPFAMMEYHAPCYSNGSLTLILMPISRSTQNIFQNPGHH VAYTVSMPTEGVRSPMSRGRVALMGNVTTLRDIPPSQSEGLVKCYTAYHPDSKYWLPG NDNSPHTSYWARLDIDRIYYVGGFGDIHYIGPIPVDLYAKSENGVQQGYDVKEKDVSE FFKIPNDVNDQVVF L199_003523 MSSSNDRDGSLLRGILGGSNDNEESSTRLLSQTTNARQATATAI AQEDGEWTSTGIGTTRTTNVLGPTSTGVATASSALFDEDTTSATEATSSVGQAASSSS VTSLGTSSGILSSSQSQTVSTISSSYAISSTQSLATSSLSSSSILSVGLSTKSDEITS TEETTAETTPPVIVTISAGQNVTLTSSIFSTPPSTSPDNTSKEAANDNKDDTSILNTD NKLFPLGVILVVVGSIIALVTILWFLMKIFGITRRRKRLRGAIPSFVPPERIDFPDES NEKYDAYPSVHHHQRNQSNSSWDYMPGYEPAPAGGRSITPMEGGNLAGFGVGHTNHQD IIPNFPPSGQQYIPSSQMMQDQYHYPQQQYPFMVDNHNYNNNSRDLVPVRSNSQSSNP SNSHDRYGLTRKGTVTDAYGGMETEVQRNGSVGDGHKLNKSREWQSGAGGYV L199_003524 MKTTHLVYLTTLTVFFNTINVLAEGTFGGCFTQQPYGDYSQETS DPEVCASSCSTTYSDIAYGEYCFCTASYPTHGYRVNGDFSTCISEGNSALYIDSTTFD FDHCSTAFITSFNDQDSTQYSTVTGVVGCLNQCSTSRYAVFSYDNTKGSYVCACGDDI SEDTPETCGSDSALIYSHPAGAAASGLARRSAREALRRSRQAALIQTCPKGLTACVIP GLEGTDAWECVDTQNDLESCGGCLHGSYKNSTTAVGQSCQNQKGIKLGGTTCQAGKCV NYGCASAYRLVDGDCIAI L199_003525 MKTTQLLSLTAVIISFNALKVLAEGTFDYETCAASCTTTYSYIY YGNYCLCSDSYPTYSNRAPYGSDTCISNYDAASYVKSTTFDFANCATAGSVFFDGQEN TQYTATTDIVSCFNQCKNSRYAALAYDSTQSSYVCACGNELSTQTSGQCTTTSTLVYT HPAEAAASGLARRNAREALRRSRQAALIQTCPKGMTACIIPGLEGADAWECVDTQNDL ESCGGCLYGTYNNATNIIGPSCQNQHGVKLGGTTCQAGKCVNYDCLTGY L199_003526 MAYTASTRHLPRESIYPTPPSNDFLNALEDCVSATERCSNTLNA SLNRLQVGTSDLPRLTKVMKHKHHFLVLPEPTILAHKSALSSSLAPQIDQLIVKAENM VEHEKSKVSTLEERLNILQSARSPRINSQPSNPISSPVSLAEETGNKQDTSCKISDLN LRELSILQRKKVMMLKQKRERLEKELERLKGATSQ L199_003527 MSGLGARVTTYGKKKTQIISIHSDISNPIVPSSPLPSHIQGKTK RPVLQTKLSNDISNLPSTPLPLSKVKAKSKIIEDEIQPISVPTSPEIIFKAHRRVNRP GKVVIPRSPISSPASTIKPKTKSRKSIPEVVIPTSRKVKPLGELTKQFKEVTIEDTPF SAAEDVSPIEDPQIALGDLLSACSSSTIGQFTNFLEGGRLPEYCSIRKVGEASYSEVF GLVVNAEVRYVLKVIPLLSSAEVNKTDGPMPDCTKVEDGKREIEVTKRMSQVPGGGFV EYIGSYVVEGVYPQQLLEEWDEYKGTEGSASVRPSAFPSTQKYCLLLLSHAGTDLETF KFSQTQGWLQAAGVFWQIVSSLARAERWTEFEHRDLHEGQILIHPFKPSQDDEVEDYL APSASGVQTTMIDFGLSRLKIPVSSHSESVWTEIPKEVYEGKGAQWDLYRLMRDKVEI EKGIEGWKGFNPITNVMWLHYILTYMFNTKLPRQPRQPNRRSSRAMKTYEREERAMSI LHQTEKLLGWSIDIEKDKRRKGLRGQVEYGEEDRLNSAMDVLKWGVTEGWVKV L199_003528 MSFMTQDMPSFDHYAFNLRDGLRRAAVETLSELEGSINDLGYGW LDGYMEQIMDRQNRAPITELMKTPSRTQTVKKTRATTAAAKERTDKVKDLNAHLALSP STKQNSRVALSPLQPRSLNVSTLSPTPVASPKGAASPIKSKPAEKAKSKPKATKKGKS KKVVENDENSPPTSVDNTSTSISTSEKSKSSSSASTKDDVVQKKSKSTRSKSKKEKEK VADEEEMAERPVVQAIEVESVLPVVEDEPMRDVQVEQEEDKPIEVEEEAAGIAPVEAV VRESSPETQRNALSSVPEKGSSQPDEEAVAKDVEVSMDEKDEEEEAAMEVVDEESPEV QHDVQSGKSAPAKGEGIPADPQQEEPERKVDPTMPSSFANRTMATGTSQPVRQVRSSW LSKALGSNAVPINGLPSNEASTALRKSYASAQRPSTTVDFDGLRKSVVPINGLKRKSD HGVDKEQQEEEKVENERRPEKTAKFNVDIPAPNYTDALPARTPGAMGRPTMPSKAPSF GSTGPISQPNSLGRSVIQTDNARSDIHKVTRALDELREKTAAKELAKQKAALAASQEA GAGRVQQAKSTGTGFLRGLGSIGAGLLGLGGSDPEEEAQRLARELEEERLAEMEFKRL MNEATRPDSPEREKEEDKHMDVDKHPEPEIQFGRSITPEVTSPRQQPQAPKSPEEEEE EIIEEQSVIEELIPADSRVSMATSQRQPSTPIEEEPQSTTPAGTPARPASQYQAPILH GRIEKHAHEPSVALQKEQQRDASIKKDEREVVKEQKDARKAKEPSVHEDEEMEEEDKL DEMSEEEEVIQIQKLHRAPVVEVKTHRKMPSSSSAAPTPLNMSTSSIATSGSILTQGQ NMAAKALGVKPATGPVKSLQLAAAAAKKEQAAAERKATLKEAEARRQQAAKKKAEEDR IRADEERKAKIAEIEEKRRQRAELEKRQKERAEKAALAAKEKAEKEKADRDAQAAKLR AAEEEAARKRKLAAQTAALHKSQNKAPSGSSSLQQSQKGKEPFRPTKQATLGSSASTM ALNGKMGPSAFRTAETATQSSTITLVTQNQPTGNGERKPLGPPSRPSQHQPAQPMRAS TAVPSHANSILQQSRVALQTQLDEKAAMIQSEDIVLPDIASEYSDSDDEDRTKDFIPP TWAESPQLRAALEAQAHRNPDELFGPIKPLNMEELFKVKTNKFRARTSSANWSKTGDG LTKAEEIEYARRMGFKAIPSNLGGGSGSGR L199_003529 MASEQNPPPMENYMYHFSVKLDDETARPRLFDQYRTRPDSKNSL TPSNGVRFNAITLTPIETTEGSEVTGPGGRWRAAFAPTIRTSATVTGSIASAPSDGSK PTVHDCDHQLLMTVDFQNVSTEHDFNKVTLKNLQECSDNNANCRSWKEENGFTFGSDF DLREPRFIFDTGSRIDKEPVDGIFVDLYKNNEHVRTIEALGASISAYTYLYSGPRALE TEEEGLLDVTQAEAGLPSEAQE L199_003530 MAQPVEQWITDIPPVTRVWVAASIGASVLVECQVVAELQLYFSW KAAVGNMQVWRFITTFLYFGPLSLDLAFHMFFILRYSRLLEENSFSNRRADYVWLLFL CSVFLLIISPLLTMPFLSSSLAFALVYIWSRRNPSIKMSLFGVVTITAPYLPLCLVAF SWLLQGGFQAAIGDIVGILAGHTYVFLQDYWPREMWSTTGKGEIQTPAFVKRLFGQTE R L199_003531 MAKKRSGKGVSAGSKASAAPGARINKIDKYEDTLEPGSVDDFML KRDQISFNPQDESDDDDVNANEGEEVLSLDLPKKSRKQLQREQEDEEDDNDEEEVETT KKQRKEKKKKPDLNGKGRFGKPIESSDEDDDSEGSGSGSDEDSEDENWGRQYYSKPSN RREKEDGKVDDEKREEEREMEEREVRRLQKKAREALDDEDFGFVQHDFTEPAEVEKIA EAEPQSKTIPAPPQTKDPVALLRHLEAHEPVKLALARDFPLIVQKLEKTSRGIRKMEE QKGDGELHKGLGWLHYQTLLTYATTLAFYIHLSSLPPSARGEGEINIIPRLLQLKEGL AMLEDLDFAAGSVSDGAFTLHPRMGLGDDETDEELKEGKMELLKRMQGLNGEEDDEDE DGWEDEMDDGDDLWGKEGLEEGELEALLQDAEDDEEANELREMIKLSEKAKKKGKKAK KAAKIAEAEDEFDLDSIVVPKKDKTKKKSKSKKEKAENEETTSRPTFAPLAEPEFFTG NTSSSSSKKSPVYDELDVLGDPTSLGNADYEDKQTRKRSLAFHTSKINSTLARREQGR QNRMGGDEDLPYRDKRKARDDALKRSQTNQSMGEDLDLDAEDDDTRRSKSSKRSREDE DAQEDDGEEDYYDLVKRRRKEEKDAKEAEHEAYEAEKLAAIASLDDPAHEGGPRALTR AIEKNRGLTPHRSKGGRNPRVKKRQQYEKAKKKVASQRSVYKGGQGAYGGEYKGEKTG ISKVIKSRKF L199_003532 MTEYWVSKKQYYCKYCSIYIRDDAPSRKQHETGLKHIGNVERYI RDLYKTGSMAKKEKAEEAAEMARIEAAAAAAYANDKASGSASPSTSKPSILSSLPSSS SAAIAGSSKPKAVKPTDKYSNYSTAEQLGYKEEKTEYEIQQEIKNQIGQPSAWETVTL PDPQAAQEQGQGEKRKWTGEDEEEENENWKFEYNKTSNKHKRDPYEDDWDPSSIKSLK VKKKEEKIILDPKKVKEEMEKVGLNRDNWTGRLELNPVIAAPNNGGKDKEGLEYVQGN GWVKNGEDESEDNSPVAGKDKENNQNGIDVKPVVEGINQEKESALKESDAIKTVESKT AVEESARSESVFKKRRPPPSSRKK L199_003533 MHGIKRTRLTPQAAEAKRLKEQSKIEAYLALEQDVLGRKRRKEY DIESLDQTTRLLDLNPEFYTIWNYRRNILLGLFPSLSPEEVVCHLTTDLRLTTSYLLV HPKVYWIWNHRKWCLESVPSGPGDTVKLKEGFWKGELKLVEKMLDADSRNFHAWDYRR YVLSSLPTQRPLSDELKYTQTKIESNFSNFSAWHCRTKTLSAIWEKEGTSQQEINKIK DEEFELVTQALWTDPNDQSGWLYHRWLIGSEPPLEILQREMKNIKDLYEAEPDSKWCI NALAHYTLLLSKDPSTKQEDQPKLKEDARSLYKKLEEVDVDRKERYRDMAEQCK L199_003534 MTSLPSPAGPSAPQWRSFTFFDVDDVKDHDDLAQSPRSIRSLTP PIILTPTSINSPLPQSLIVASSTHITLLDRHFNAERTFKAWETNGRATALLEAGGLLV AIGEEEGSRWPVLKIWDLTREEKRKSAQKDGNGGSSERERGPVLVRNTRIQHGQRPHP VSSIAITSNLSHLAIGLGDGTVLLYRHLLQSLTTSPTSLTALPKARVIHESKEPITGL GFREPQEPNGTGISLFIVTTNRILSAPVSGKSSTSETRTIDELGCDLGCAVMDSHRKE MIVARDEAIYLYSNEGRGACYAYEGPKSSIAVYQHNLIIISPPFYPSANSASATVRHY VSKQANTTTNSDGVSSSNPSSDISKVTIFDLQNKLVSYSGTYRDGVREVFCQWGGIFV YGGNGKLTRLSEHSTSAKLDVLYRRNLYTLAISLARSQGMGESGVADIHRRYGDYLYT KGDFDGAMGQFVKTLGNLQPSYVIRKFLDAQRIHNLTTYLQELHSRGLANPDHTTLLL NCYTKTSDRARLDSFIKTEARRSETSGDEELPFDLDTAIRVCRQAGFYEHATYLAKKF GRHEEYLRIQIEDAGEIGEALRYLRGLGPEACEENMVRYGRTLLNAEPQATTALLIDL CSGDLGKEKITTPALDSKPNGNTTTSSGPAMLSYLGYNRVTGLFTGDAPSGAQSSAQT DGAAPQPNGEKGDVPNGSGTGLEAAPIIEDEPSYIPPSPRQYFAHFVDHRELFITFLE SVSLALWNQSLALPLPTQSSTFTAPSRNIDLPPPSDPTTIDQRAVWNALLELYLSSTK LDNPDIVKLSSEKALAVISNEDLPYDPMHALILCSTVGFDQGLIKLWEQMGMYEDIIR FYIDKNDSQKALDHLKIYGKIESNLGLYPLVLRYLTSSPAILSKHHQDLVKILEKIDE YRILPPLAVIQLLSRNGVASIGTVKDWLRGKVGENKDQVESDKHLVESYRSETLTKKK AIQDLSNVDQPEVFQVTRCAACGGQLDLPSVHFMCKHSYHQRCLSDSEPECILCARQH SVIRELRRNQTRLADRHDLFIDEVKDSEDGFEVVAGAFGRGLMGKERELDDVV L199_003535 MPHADSSYIPSTISTKSEFYEHVITHLEALLEGERYWVTNLAQT SAILYHSYLASSLYGGGSIHPVVNWCGFYLHPPSNSSSSHLSSTSPLLLGPYQGRPAC LSITPIKGKGVCADGFLSQRTLIVNSVDDYPGHIACDGDTKSEIVVPLRNDHNVVIGV LDLDSTLLSTFDEDDQNGLERVVEILRKGCNWS L199_003536 MIQSLVLPLSSSIPARVHTGTFHPQLSSPSFAGQLSSTNMASLN KLAIRGIRSFDDKHVQVIEFYSPLTVIVGHNGSGKTTIIECLKYATTGDMPPNTKGGA FVHDPKMANEKEVKAQVRLRFWNVNRQRMTVTRNLQVTTKKTGALTMKTLEGILAKTD PDGGEGKRNTISTKCSEMDEEVPLLLGVSKSILENVIFCHQEESNWPLSEPAALKKKF DDIFEATKYTKALDNIKALRKERTAELKVDKERLKFLKSDKDKAERMRKDLQDAINQE NSKQTQLENLKEQLERIKGRNADFYNEAREFREIFERSESLKDKKKMYEENRQHALNT MNVMNESTEELQHMLNNFDAHLRSIESKRATQESLKEKEEGILEDLRNKERSLASKQG GLLANRRMYEKNLREREAVIREVAKTHDFPGYDYSPLEDHKIMEFVEKMHELVRKAEN DLKKLQAENSRKERELQAELDKLSNSKASALATKRSKQEQIAKLNDKIRSSEASFDSI TSLDAEISILQGKLTENEEQKSRLETEIRESRYDEQIREKAMLVRQKEAERDRINAEL SALNRQADSRAQLTIKRNEVGGKTAQVDSSIATHATRFKELVGTDIDAETMEDKIALA SGRKDRELQDAEAASAAVNRNVSQSQTSLNIAKQTLKSKKDELSRLEKSVRDGLAAAE TEKTTVDEAVEEAMEEVDYRRNQIANAQQNKALWEKFAQVAKTKHKCYGCDREILHDQ ERVINRYVQTRIDSYSPEGLKEYKEEEEQWNSVLQSLRKLQPSVAQCAELRENVIPSL EKQVQEEAEALEKAQEEVEEAKTQVQKAKLATRDLQNLKSAAALVSRTITELKELKSD ISRLERDLESTGSLKTVEEVQREVDQVTNEIKTLSRDQQSLNAEKELRTNALRSAADD ISRKTLKMGELRSQQERRMREEQALKEMREGLEGLQAELKDLDAAAQNAEAPWRQKNE ALNRYRAERQNSENDASLQVGLYQSSMSEVEGKHRACQTYIAEGNDRQIREVEASLSD IKREISVAQDARTTIESTIASLSSELSKAESLKGNISNNLKYRDDGKRVAEVQKELDS LDLESAAKSRKEFSEKYRDMMDEEEEVGGKVHLYSGQLLAMTENRKKTEKTLKSDYKD IDKQFKDQLIKTKVSEHANNDLEKYGKALDNAILKYHSIKMDEINDTIGHLWNKTYQG TDIDGIRIVSDHDETTSTSTRKSYNYRVVMVKNDVELDMRGRCSAGQKVLASIIIRLA LAESFGQGCGVLALDEPTTNLDQENINALAEALAEIIRERRKQANFQLIVITHDEGFL QRLAAYDVVDFYWRVSRDASQKSILERQRVA L199_003537 MSWLVPSLPTWARATPASTSTSNSPSDNEQEEEDIDAPPPFPLL NSHQRSSVPAPAPSFSVAPPSPKKATNDDDEPPSDLNIAISDSPLGNMAPPPSTVKKP NFGIQKGGQDSSAVEEMAKKTQMKTKKRSKVALTPGHSALDWARLTSSGEDLRGVQGF LRVTLAELKEHNTPDDAWSVFNGMVYNITPYLPFHPGGEDELMRVAGRDGTKLFMLTH SWVNLDFMLKECMVGVLVRG L199_003538 MDDPSNPFHVPSSASSSSSSAPTTLRPKNQLLSKIGQPSSSSPR TELNNRKRFGGLFASFGLGNGTGSPLRAPLGTPVRSRRTHESFQPGDDEQEDTDRKGV VGGVWSFAERMKELTLTVGSPARSKDKEKAREDTDEWDGKGLMGLPDEILLHILLQLP PTLSQLHSISQISTRFYDLSRTPVLWLRIFHEAGFELNEHAQKNGVAVEYPPEGHWSG MEWIQPGPQLEGSPHDSSSNESPEEFSSDDTEEDVADDSDSIAIHYPTLIQSRYNLQQ LILDSDHLPHPTILQGHKDVVYCLAQWGNYLFTGSRDRSIRIYDLYTGECIWVENDAH GRSVLCLDLDIDEDGKGTLVSGSSDMTIGIYTIDLPPSSSSSSSTPTSVVVGKRVGTI RCESSILSVAVTSQHIISANKDSKIHIYDRKTYDLVRTLEGHTQPINSISLSPRKDKL VSGSGDGSWKIWDITTGLEESEGLGGRGVACVEWDHDYIVTGDGDNIVKLYSYTTGQL ICTLQGHTDLVRSVTISKSAGVVVSASYDKSIRIWDIKTGRLIKIINEDRSSLVFDLK MISHKIIAARQDGTIHVFAFGDHLPYVDLFA L199_003539 MSATGKDTTTASTSSFVTALVTAGITVGALTAVWLILHNRQKLK RVFQPRSLLAPDAKRPPVLPSGIFGYWKTILISTPDTDLIVSNGPDAYFFIRFIKVFG LKMLLPYVLLTFVVCIPASAVKPNNQLEGLNLLSFGNVPANHQNRHIAHFFCAIVLMG YTCWLIWQEYNHFVQIRQAWLTSPQHLALARTRTVAVTNVPDSVNSESGMKEIASIVA RIDSTTPGSSVPSAHAAAQQPRKSTATEGTAVNPSNDAEGGVRQVWLTRKCKDVEKIW QERDKECSRLEGGAGKVIKLANKNQAKKKTPEAQGQYDAERSSGDIIDRYVLSKKRPS WKQGPLGLIGKKQDLETSPLYIAERNAKLSDLRKTIDDLPQGNTVFIRFASQHEAHAF ARLVAETDKSNKLMEAGVEVVPEDVEWSNISMNPYQRKIRKIISWALTIGLIIVWAIP VAFVGIVSNIDALCQNTAWLAWICNNGPVVKGIVKGVLPPVLLAVLFMLLPIVLRLLV KLQGEVRKTDIELKLFSRFWLFQVIHGFLIVTLAAGLISALSDIGNTVGQLPTLLAEK LPTASIFFLTFILTATFSGAAKSYSRAVPWVMYMLSGILAGNTPRKVYMKSFKMDSFT WATTFPPTCLLICITIVYTVIQPIITLLALVAFCLLYGAYKYLLHWCADQPDYSETGG MFYIKALRTVFVSLYLEGICLAGLFFLSSDENGDRAKSGLGCGAVMVAVIVAIALFQF YIDWFRFKKPYLYYVHSTTTHSKSLGIDPKVGVTQTTSDEEVNNAGPQYGNTSGFHYR AFDHPALWKKQPVIWITNDPLGIGNYLAEKFNGKNVEASTEFTSMDEKQKVQVERGPP DEAWYGGFSS L199_003540 MSASTEDQSTALNTAPPTYDTVTSPPTKYQVTILDEHSRSGVFL KMGSYTDIDTGFGTGRIASAFHLHPYSDRDGSDPRKPDQYIQLTKVSDVCPVEVKVVE TQTPNGDQPTDIDRRSSKIMRGSRNQIDLVIPFNFHPESYNSNADGEGDGDGSRGSSI FDSFALTGFTNSIKDVQELNEWKERAQFDLITNHDMTNSEVIPMVLGDVLNSVPITGL NLDLYKGEDKIKSLENLDGTVNGMTFFCGMISEGSVDQMISETEGGTAKITTEATQDD QDGITKDLDKLSVSQ L199_003541 MSSETPSTLPTHQDSLSLSSCLTKYKVTIQDPQSRSSALTRISQ HSDRQSNMDFLRSTRDKGGNLIRYLWLSDASDLGPLELVEVDGPRPENRPMIRNGRNQ IDLQIPFDFQEEFDGIEMSGFTNSIKSSNVLNDWKDKSEFQLTMTNDSELHNGDSFVS ADVIDEVVVPELTLDCYMGESKVKSLDGLVGSLRGRTIIYGKEPGQQDESTDDPASTI INEEHIRVGNPDGVSNKIDTFESQVVKVASTSVEIGNGDGIRLVIAKMSLEDDDTASF RVEAGNADGIKRDI L199_003542 MPLQDLSTRQTIFLTAAATAIATSSLILSYQALRREQRTTKLKK QVGEDVEEWERSRAGSGLGTPEEKIDRILKKERNWKKGEFDEGLIREQLTRNYNFLGE ESMDKVRNSYVVVVGCGGVGSWCALMLLRSGVGRLLLIDFDLTTLSSLNRHACATLED VGTPKVIAMQKYFKKVAPWAEVDVQVGLWRKGSEAEKWLEGADWVVDAIDNLETKADL LTHCHKNGIKVFASMGAGAKQDPTRVQIADISNTYEDPLARSVRRKLRINGIPGGIPV VYSTEVPSEIKLLPLPEEEFQRGAVKELQAFDDFRVRILPVLGPLPAMFGLNIATYIL LDLAGKTLDDYAEIKNRKKLYQSLEKSLMKFEKDLRGLREMERIPINSDDIGFVFDDL YAGRSSIPPYEILSKPSVIRWDNHKPLDVDNLVVMGPKENKRHQDLIWKEGKSLEDVY GKETVERISRKSAQARRVMSWRRNE L199_003543 MVQPSFSHPRSDPSSSSSSNSPTAALDAFLDNQHQSYFEELYKS EAVCLCILRSLPPVCRHLILHHLWSHMPLRTTEVKSFLQLDVHTQLEVVDEIVRPALN RKIIHPMIHKKNKFYWPWNDAFKKGLRNALTGLGTSNSFGVPFDRPDDVELPSQEDLV AYGEEAFEGILKYMVSSGLGIGFSVARPQAEVLELLHISGLMIDPTDPTGENPNLNKM TITSKGFQFLLEDRQTQLWEILMYYLTAKEANSERSAEVLSMFFSLGCMQLGQDYSAS KSFPHALSALNDLAQYGFIYRPSPDSDQFFPTHLATALCSGDAAATKGQEADEKRFLI LETNYKIYAYTSNELEIAILNLFVDIRIRYPNLVVGKLDRKNVKSAMEKGISANQIIS YLSSHAHPQMYNSPPPLLHPTIVDQLHLWDRERNRLKMEDTVMLEFFSKELYEDTENE AKANAALQLSVSTQKFLFIEPQTKAAITDFVKERQNQLRSGF L199_003544 MSTETTRSLDRGDLTESNYTMISNCHCPSSAPNIEFLLISLVDH FSDKLVLIACFQIASLLWISWIYKVKLNDYSWRWRHQQYRTLVDLTDILLYIVSTTVF ILQFRLLCILWQYDNTRYIPIQLVSFGVLRYALKQSSKHSKDIYLHDIVGDTFTINPN RQRQRQRVGSDSSSNSHSESSTSSRSIVESNSTSIPPSPNGSSVTSPIDSPSSSISGL PSPAEEEYDDSIPPSHNMARLREIAKRENVSLWWVFFREERNWVRMRMNNNQSIFFSQ RERAPARERKIAAIAQERDRD L199_003545 MSNLPDITHLHAQAFHLGSIGRSESAPAVLPTSSVTGVQQRTRT DSEKSSQNQHGDNHSTLRQKPSIDDIKPSLPRFFSAFAIGAGNNWRDSYEAIGH L199_003546 MEYAQPSSESGPSNSSSNPSYTVLRIKRKATEAPLSSLVIQDIE PRIRAKRRRDVSGRPRGVFRLAETVPDTWLGAGEEGEVLKTRIQGLISSSSSQPDSPV SLPKSPLAPQHTDIPASITQPTTVSSPSTSPLIPSHTQSQSQSQSQSQSQTQYRVIPP ISPRTRAMLPPRIMTTAETEGRGRDSPLVFVDAQAVPPSSTSGGGRSRNMRPANQEEE KEMANFLPMLEEYLQLEEASKKPEPSLSTPSQEDEWVYDLYYRDNTSVPLGLGVGDGV SIGQLLGFEDISPPSSISGSEPEDEADEDSNDEDYYRNDYPEDEDADEDMQGFRGGGD EDYSDEWSGSEDGEEEEDRGEWGYR L199_003547 MSRLSSHSRLFVLRTIFQYQHLSTSLPVARSLSTSRSYFQSEST PRLSRETLRRLHRLSALNPPQPDSTEEIELIDELSELISLMDEVKQVELPHSVEERAE LLNQGVFGEVTITQDSLDQLDNTRQRGNGQVEEKAIERTGKQLLDWSTNRLGDYYASK NKRKDQM L199_003548 MTSSSLAPLEISTSSLSTFTPTRILSETTSTGSMYVLGTLHDLP TIVHLQKTVLGSDKVGESLRGLEKLNVFLENQPYFSTHAWLRPTPDRAPDLQIKIICP ATETHIKKYSEQERYMVHETPQMYEKVVLDYINGFDESRLGWVYAILDGKKEADRILY RKEGEDGFVILPDLKWDQTSMNALYLTVLVQTRTIKSLRDLTPSHIPLLNSIKQAVYQ TVKDKYGVGSNKLRLFVHYQPSYYHFHVHVVHIDAEPTNGMAVGQAHMLDDLISLLQL SPSTGPSILAQKTYTYPLGVEHGLFGGMKDAGAIAFD L199_003549 MDVVTRVCFVTGSSHVSPTPTPLTSATASVSQVPLNNNNSSDSA GYILGVNDKLIVLAFGAVLAAVAL L199_003550 MTTYITKALSSLATNGTLTPPLTPNENDAKSISRSSSPHPLISP EQNLLRLKAHLQNLPTDLLKHVHLSKIRREDPSLYFSAIRDDLTGLAPIVYTPTVGEA CQKYSHIYQGPEGLYLSIDDKDRIPEILAAYASNLSTTPQILVVTDGSRILGLGDLGI GGMGISVGKLNLYVAGGGVNPHGCLPVVLDMGTNTESIRNDPLYIGLRRPRASLEEAT EFMDLFMAAASSAFPNAVIQHEDFYSEAAFAFLDKYKNQYRMFNDDIEGTGSVILGGF MAAAKQASAASGKALKDHRVVFLGGGSAAVGVAKEMMNFFKMQGLTEDEARERFWLID TKGLITSTRPDVVAGKLASHKKYFIRNDTEGKEYPSLADVVEYVKPTALVGLSTTFGA FGEDVVRRMADLNEAPIIFPLSNPTSKCELSFSDALDWTDGKVLFASGSPYAPIERDG LLREPGQGNNFLVFPGIGFGALQAGANRVTEGMITASAIALSEALNEEEKKAGLLYPR LDRIREVSARVAAGVVKKAQEEGVDTNEKLRGLDDQALIKEMNDAQWWP L199_003551 MTTTAAQQPGLSYKEIADAIISFLEISLHTILYLRSVYPPSTFS RRRAHQVPVYQSRHPQVRSYITNVVSSLAPEIHSGKLKRMTVVIKGVEDGLPRERMIF DLGYLAELDKLREGRGSEVGLIGAPNAEELGLMLRGFLIKLNALEGQLLDNQGETTFA VIIETNDSLEPSSNNNEDGSIAPWIPALANDTLHPPSSQDLEGCTEKHEPLLNVKAVE TGVIDIRLMVQECVAKTGIERLDP L199_003552 MGLLGHHHGHGGPEPPHKPSLGEKILGHVLGNNHNGGPQGGPGG PGGGYGGQGGFNGQGGPGGYQGGGGPGFGGPGQGQGYGGGGGGGPGGFGGGPGGPGGQ GGFGGGGPGGYGGGPGGQGGFGGGPGGGPGGQGGFGGGPGGHGGHHGGGPGGQGGFGG GPGQGGPGGGGFGGGGPGGPGGPGGRW L199_003553 MASSHNQGQVHGHGQYDETAAKPSIGQKIGGGIEELVGKATHNP GKIAEGEAKKHGYAGPPGGAQGYNETLHKGENPGGLAGEHSINPSAHGNHGQHEPSRH EGFNSNSQSHSGPGAHHPGGVGNQSPFPPGQGEPGFGGHPQQQQFQQGQHSGLGGGST SRTHEGIGGGPAGHDSGLPLGSNAGGPGHHGHGVGGVEHGSGIGGHSGTGYGSGQGIG GGHHTGTGVGGDNVQPPFGGSDRRY L199_003554 MAFALHPLLSTYPGASFFPNGNLNGPHLSIQSGGPSSGYWGHVP WNLPEFPEWGLPPAIIAAQEGRGTGVYGYTLEGGGQGMRFNYGWPKYGPMPLRPELGG FVGKTNPFGRSFPHGFYKTTY L199_003555 MQYYNQTPNPMMGGGGMGGMGMMDPRMQQQMQMQGMNPMNGMNG MGGMQPGMGGMMNGMGMGMNGMGNYGQAWRQLGYDYTLPVLGYKPEATWGAWDLANAQ YNGGRLERSFFDNIVRLLREYQYLKHKDKYQREHEGLQDSNSPLTTPLSPNFLSKQIS TLTNFFANRHLSEEAARDAHRRVYYQAEGADAGNKTLGGAAAYQAYLIWDRDHYSAYH ANPTQENRERLVGLAVAELFSLWDRVQPRSSRANTEDAAQYAAATAKYLFDRHYDIPH SHSHRRSGSRYSGYGADNDSDSDDDRRMRRRSLYNQQPYGMGGMQNSMMNQMPMQGGM MPGGGGGMMGPQSMGMAGMGMVQPGMGALGMPGAMGGGGMMNNPMMMNQMGMNGMGGM GMGMGGMQTGMMNGGMMGGGPLGGIPGNHGQLGEAQAGPGMHPYTYGGQSGVAYGNTP IDQMGNPTFPGGPGRSWYGYGQPRYF L199_003556 MEKRAEPHLSNDKNAGDREEDWFQAHSTQVFLIFGSILFIITLL CLYCLTRKQGQKVLPSCMKRRKKMKVRSNRNSHRPISNASSVSGLLSLNRSRSRDLNN PNHAIVGGLRIDDEDGQGMGRMRMWQNSGREEKRLWRKTAYLSDLKHATEENSRNYQR THGKGHFDEGFPDGFERVTRDTFYVPQPIGGQEGHRRNTTISSFGHPPTSSFYDYSES PSPYSVYDANGLVRPISTYIPYNPIPTSTDSLNEQLRFPPSVKRPITDHRRSFVSHMT NDPGYLEDLKAGSYGRKSGSYYPKSPASTYVPPRESVYSWRSYPQEESNYERDQYIQP GRYDHIENQHDPHSHRQYEPQDQNYHQYDRNQGYHNEQIQSLNPDHNEANSYLNPSPI EYEYTPKPSIDQSIINDCTAYTQHQPLPLPYSSHSPPPLENSLGNTIPSARRRHGRTP SMGSIKFPEPEPSPAPALAPIAIGDGMISPYTRSSTYSFPAPPTGEGQFEFRLSSQPQ APAQGRIDTYDHDYPSQHQYEYPPGNPPGEEEEGEVGDPYSPSRTEVNTKLNSASYYS PSLISKHSRMSTVPGHGHGYGQSKSFNSISKGFDDSDGMVVSVGTTPMKEKRNSSGPS LAKSDNPNPSPNFPPPPNLCIATTSSTLSSDHKDHITTSSSSGESTISTMSKKIQRIK PPSLLKSTLPPKSPKSPSPLRYSSTFDMDDMPVWMRDDVNEAGEEPVPKSEVKI L199_003557 MPSTFKTSVPVFHPDHPHNRNPYPLYESQTNIPNVGSSSSSSSS KIGRMLTKQAQHGEEGMSDSESSLSPSPSSRSRCFCGNPTQDGGIYCSVSCARSDAFS SLCYKPASQNPEPLISQHGQHPSIASAISSSLSRNPSSASSTSIASSSSNQDVGEWTA SHYRRLARADIRREERKEERRKRRAEGSLASSISTSRSTMMSVSSSASRAVPDLVGGH SHSRNPSIASSITSMSSSTWGLGGSLSRNPSSASTSSRKGGNGYGGNVNIGAAIMEDE DEEEWLQSEFSQPPIPALPVSSASTSSSKRRPSHSRTGSKSTSSNRKGRKHTPDPLPF GMGKDMRDVLEEIIQMEKSFLVSDNENDDDDHMSQSGNQIPPSTGLFTSQFDRPPRTP SPVSTSKKRGSIAPGAPIRGHRSALSHSALPPPSPPRNIRNPQPQRPPSLMGLHQSSL SESHTALYLATASPVAPGPTGRRSASPKLEYRKSITFTPDAAGPSISFDGLPAPRRIF DSPAGNGTITPMGGRRRLNLTPQAVHPSMDHWRFPSGSGSASTMATPTRPSQSQVPTP DEMRMSMSMAIEPTLLWPTQPPNLQPALFPCSPAVDTPEFHSTAVLPADDEMGRRDSQ LSAPGSGMRLGELLGSGHGFGNGHGDVDMDMDMDMEEDGDGDGSTEHGHGQGHGRSGY LPVFLEAEGFRAGDGNRGW L199_003558 MSLYNNSNDGIGNGFNKIEQSSKDRHILDDQDDPFGKIWFIQEL KARPGQEAESYTRNRTIRPPPELTQESDNFNYDPTKVLEWTGTVAGSDTVSGRGQQDD HFAVITESNASEHPTHDRGHQMGLNTSISTTEEEQSTTEKSKPPHGAKVKSSPSADQE EIKQGSVMPLSASETETKTSVSIVSQSSERPRAWASLFQSTVAPCAASTAGTSAPAPV ETSSASGVCLAKEAARISNDTQPEEVEKIEDAGGEFITVIRVKRQSRKSTDRQDKVVR YAAEDSRPEVYSYDEIDARPKDKVVCGSEKWDLDTKTHWGRGFR L199_003559 MISLRLARPASSILRTALAPQARAKAMSFSAVRFISTRYTTDHE WVSFDSNSNIGTVGITDYAQKALGDVVFVELPGQGAEVAQGDSIGAVESVKAASDIYA PVSGVIEEINETLADQPGLLNKAPEGNGWLAKIKLSDPSEFEALLNAEAYKAHCEGQ L199_003560 MAQNEDTKLHTDSREEQIDHKPTIGDKVSGSIEQAVGKITKNPD KVTEGQAKKNGDLTDQAHHERGHRQGVEDKHSHDHMKESSHPSPINPNSEGNVNTQAE GAHHGHDSVHASDIPRPPATTNPYVIDQSSTPIDNAAAQRGISRQATNIPLPPSHQNS FANYTPTTRGEGGTPSSGYLAHGGLPSAGQGQSGVGLGQGGVADRSLFPLAQTQNQGN L199_003561 MSQAHRPTWNPTQGRETKAGSQQISKLALASHTKLKFRQPGQTN SSDVARRDLKAELAAAERNALEKKRKAQGLPPLPPIEGALRIENGSTQTEEDEVAAKR RKVLEEAAELDKDDESDEEEIKDKGKGKEVNGDATQVDDDDDDDDDSDDDSDDEDDTA ALMAELAKIKQERAEEKARLEAENAASSAQSREAEIALGNPLLNLQAALGQSPSSPSS TLGSTTTAGSFTVKRRWDDDLIFKNQASGLNDKPKKTEFVNDLLRSEFHKKFMNR L199_003562 MSEITSFPPPSTDLSVLLLGSGGREHALAYKLSQSKRVSKIYVC PGNGGTALMGGKVSNLSIPWGAPPAFKPIIEFAQSNKIDLVVPGPEQPLVDGVEGAFK KIGIPVFGPSPQAAMLEGSKSLSKEFMFRHNIPTAQFRSFTSNQYTQAVEYIQSNPFS SGRCVIKASGLAAGKGVLIPETNEEALEALKSVMVDKEFGDAGDEVVVEEYLTGPEIS VLAFSDGYTIIPMPAAQDHKRIGEGDIGPNTGGMGAYAPAPVATKEILERVVKESLEP TIKGLREDGYPFVGMLFTGFMLTPDGPKVLEYNVRFGDPETQALMLLLDDETDLAEVM LAAVERRLDSVKLGYRDGYAVSVVLASQGYPGKYPKGVPMTINPDMPSGVHVFHAGTA IKDDTGVTDGGRVLAVCASGSTLREAVDLAYSGVDQISWEGKTYRRDIAYRALSSEPT TSSTSAPSGGLTYAAAGVSITAGNDLVEAIKPVVKATRRPGADSNIGGFGGAFDLAAC GYEDPILVSGTDGVGTKLRVALDYGKHSTVGIDLVAMSVNDLIVQGAEPLYFLDYYAC SKLDVPVATDVITGIAEGCLQAGCALIGGETAEMPGMYHTDDYDLAGFAVGVVERRQL LPSNDIKENDVLIALSSSGPHSNGYSLIRKIVSLSGLSLTDIAPWSKGSQKVGDALLE PTKIYIKSLLPGIKGGLYKGMSHITGGGFTENIPRIFEGDLGVQLDLGSYQLPEIWKW LMKTGQVEAKEMVRTFNCGVGMVIIVDSTKVDSALQSLAENGENGWVIGKVVKGKGVS YTGLETFGQ L199_003563 MVPHSGLYVSDIITVQTKAYSGQKPGTSGLRKKVKVFQQEHYTE NFIQATLSAIPGGPEGKTLVVGGDGRYFSPEAVQIILRIGAANGIKHIILGQNAILST PAVSALIRSLKTDGGILLTASHNPGGPDNDFGIKFNISNGGPAPEEVTNAIHKITETI KEYKQINLPDLDLSKTGEFTHGPIKVTIVDPVSNYIKLLKDIFDFDLIKNWLHTTSPK PTVLFDALNGVTGPYGRAIFVDELGLDESSIQNCVPKPDFGGSHPDPNLTYAHELVER VEKENIEFGAASDGDGDRNMIYGKGAFVTPSDSVAIIADWAEKAIPYFKSGIKGLARS MPTSGAIDIVAKDKGLEVFEVPTGWKFFGNLMDAGRLSICGEESFGTGSDHIREKDGV WAIVAWLSILAAANKEKPGSGINDVLLQHWKKYGRSFFSRYDYEECESEGAEAMMDHL RKLFASSDFVGQSLKATSSDTSFKVKEADDFSYTDPIDGSVSKKQGLYIKFEDGSRII FRLSGTGSSGATVRLYVEKYSKNESEYGLDAQDGLKPLIEVALATSKLKEFTKREKPD VIT L199_003564 MELYIPPPTNFFRVLPPKPVVPAVPIVPIPDLPDKLHAPEGTYK LNPPEGFSNIGSPAHPTAAELARQQQSTSTFLAGASGFMVAGPGMMPGQMPGGPPAST AMSYGSSIVNGQAQPPQPVKMTFVNIWYPPKQPNDQRSFGGLLGNKQQQNTPLVGVDS QPPKEENYQYEPSLYSDDGEGNENASQPSSEPPPLEMNIPGNSTSTGKRIPFTKNQQS TNNVIPRPKNNLRSSSSTFVTRVQMQENLLKNLGEKGRSGVGEMARYGFWNLGRTFAW GEENPKTKEPLTRITFSQVPTCHAVNMHTLGPDRMDVVIGFTSGDLLWVDFTIGKYSR LNKGGLLNNTAVVGVHFDPRQPHHFIAHFADSTIMRFHLFADDPPTSIMAFVLPWTKY FDSVAPTSSEKEKERKNSGSLPDATPIGAVDNEWEEGLIKWKNEEWNLLNNPNVNTKK DKDVNPWAGKNPIAAYKIEKVKISAMAYSPDGRFLAIAAEDGNLRMVDVAEEQLTDTF AGYFGSLNCIAWSPDSRFVAVGGQDDLITIFSARESRIVARCQGHSAFVMSIAFDQSR GEARAYRFASVGEDAKLILWDFSAAALHRPRHHHPTSSHHRLGAGGSSLSLSAQHSKS HLPLYVKSSIFHPAPPRSEVALLQPVMARVVEGNLLTGVHMTQTSIVTVSRAAQVKFW TRPPRTNTHHHGHNTKQRTASKGAASSNGRRDREMSTPA L199_003565 MSNSTVAGAAAGAGMDVNTILWKQMGANVNNTTWYAIIGTVLQS LIVSSILSNTSNYFSYFTQTDSIWLLEAVGLGAILSVGALGLTCAQAYHLVYENEHNI ATHFRFLMFGDMSHLLIGAIFNAAGASYYAYRAWRMSGNKWYIIPPFAIGIVAQLVIA LVAVGNGLKMPKLTIEAVKDLPTFMSGTITWFRAWGAITCAVDGTLCLFMTFMLFKSK QGIFHNQDRLFKRLISLVYETMMPPVLCLLILESCSGVSGSPLTDFRRIFTSILPVLY YHSVLSTLVGRKTIQKILARKLNAEGIEQLSGSGSGSGGHVSKSGGRVYVSRPKRGEK DVELGNVRSPYTPRSKLSEGPVVHVETEQTTTTTGPDGYEIHLPTLGRNRLPSDHHGV NSQDSVSWEGTNNYNQKWESTDRLYDGLGTGRAT L199_003566 MSSSTIPESMEAVIFKVPYSVAVETVPAPTLKDEGDIILKVHLA GLCGSDLHLYRGHEDAGKDYIMGHEVVGTIVEKGKGVKKFDVGDVVAVPFTVSCGSCW YCSSSHTARCHKSQLFGTPSLPGCQAEYVRIPLADGCVFKKPSELPDELMLLMADILP TGYSAAANARSLLDGPGGKKKDGVCVVIGCGPVGLCAISSALTLFSKVFATDLTSSRL ALAEKHGAIALPSAELKKAVLEATDGRGADAVLEVVGHEGALLTALDIVRPYGAVSSV GVHSQQIKLNGGDLYDKNVKLQFGRCSVRTFYQPALEVLVANQDIFKSFIENKVGFSQ AEEYYRLFEKNKVAKTVFVPGQ L199_003567 MHSSHNILPRLDHWRRDGCVSCDEATPQCNCASGEKCILTSRTC NQCPTINCIKSSSSKSKGVNPGAIAGPVVAVLVIASLALFWWLRRKKRRDLARLEGLA ARARKAESAGFQLSQPPSPQPGSAHSRSSLPQRPPSAARPRSPLPPAPVNAEYYDDHG ATIRVYDGSRGTIHVEKNDNGDPFSDRQSISTMGSGGTANIIPIQYIPPSKSDEALSK KSLPDGPSSQSAAAKALDAARQNLFHPRRPARAPDLDLRLNPPSTKDNLGPPTAYSFL TDQRSPSGSGSTSQYRDSYLSGNSAAPSYWSGQSDVHLDAPKIVTSKQVQIGRLQQAE VVQFGNGNKPQFIERLSPTGNGNGQLSPVRDENVLQQQQEQAISPTVGSFRSSEATTR TLTPISNRYNEEDLEEGLRSAEPSSAGSQDLRFSMGSLAYDRTSVSTMGTGRYLASAI STGHPTSSPGMTQLPLPPPTGYRNSSGSSKSFADSVLGSFPMIPPNSSSAIPSNHSGL PQSTSTNTLEHVALSRPVTQASFGKKRPETQASVADSFLGSFPFVPPNTEDLADLPTA NVPTNASGRGVSTTSEGLGGFEFRLDEDVPPVPTQRKG L199_003568 MSTIINGTSLWDSMGLSTDATTWYSSLAIFIQGIVLACVLSKTI QYFDRYDKLRDHMGTMVLVSCGSAISLGILILTCSQTRVMVYKRKIDAPSSIRFLLLS DMVILFFSGFFSFTTGIYYAWRCYVMTKRNRSILGVLIVALLAQFIVTLVTAAHGFTL RSLTSEYLATLPAFKDKGNHLHKIWSGITLALNLSMSILLSFLIFKKKDGIYHYDPRT WHKYFSVTYETMLPPTLCSLLLISLGNLPGSPMTDMRRVFTSILPLLYYNSFIQSLVG RQQIRSVIDTKSMYDSGSPLGSNGNGDKGSSYQPGSGQFMFNAIKNKSDPRVYVNSPK RSY L199_003569 MSRNLLLLFTSLFALANVASAVNVFAGCTDLESPSDASTETYQG FISTGPGCSEECTGYAYSYWASDDACYCSNAGIPAANLQTGTSGTCSGTEVRVIGTTF NSVSCLAFKDLTGQPTFTLGPSNVAGARECLTMCRNDLKAVFGTDSESSTGYSCVCSS DIQGTSNNVCGTDSVYVYNHPAAAAASGFTKRRAREEEEQRRRDAEVNPCPWGLTACA IPGLEAVDAFECIDTQNDIESCGGCIHRAYTDSTLTMTSNVGENCITPGVRLGSASCQ AGKCVAYGCKDNYDLIDGQCIAAQIAVAHDPLVIQ L199_003570 MPRLIFGLSLLASLANLVAADGLFIGCTSSYPYNDESLKFASGT YSSGAACATACTGYTYSYKFYNGQCACSNTGPTASNIAAGDEDTCAQTGRIEADIVNT SFDSTACYDAEDFYLGASDFPGLYSVTDPKQCLANCATDHLAVMQAEGSSGWYCGCAS STTATASTCTTNSYFVFNHPADATASGLARRHPREALDSAKRAQQDQCPGKMTACLVP GLEQNDAWECIDTQNDLESCGGCIHGAYNNATASVGVSCLKPGVKLGAATCVAGQCLV SECKDGLKLVNNECVSQAYQ L199_003571 MSELQTTNSTTNPVDALWGLMALDDGDAVWYAFIGILLQAMLIS SIMGKAFEYFDYFKKRDNKYLLWGVAGGTVLALVTLGITCAETYVTVHQQTLNTAPAI RFLILGDVTNLFLGTLFNLAACIYYSWRVYKMLGSRRWTIPIFAACLLAQLVAALVAT GDGYRFPIITPETLQDFQNHMRTNIREFQIWGALTLSVDGTLCILMMIMLFKSRDGIF HRETRLFNKLVSLLYETMLPPVICLAIYQGTTHFAGNPISDFRKIITCILPVLYFHSL LHTLVGRKRIREILDSNLAREGVKVISDRNRDHYKASNTGKFDFAVSGPQGFHSDYNG IGGRILGGSGFGNDIATAPNGLIWDGRK L199_003572 MGLLALGTPLTWDETKPLAEHIRYHGITQFLKTWDRWKDNTGKG LLWGDEIEYMVASFHDDEKKVLLSLRQTEILTKLQSVTLDPALDKYKPACCNAIPTFH PEYGRYMLESTPGYPFSGLPSSLVSVEADMRFRRQIIRSHLKKHELPITLTSWPRLGV SDEIFTDPPTKPDNQKSSSRSDYVGELITNPHARFPTLTANIRQRRGSKVDIRVPLFI DENTVVPDGLKANMSNGHSTPAKPEPGTPYIHMDAMAFGMGCCCLQITFQAWNVDEAR RVYDALVPIAPIMLALTAASPAFRGQLADVDARWNVIAASVDDRTEEERGLKPLKDNK YRIPKSRYDSVSLYLSNDERNKPEYHDVSAPINEDVRKRLLDHGLDDKLASHIAHLFI RDPLVQFSETIDQDDESSMDHFENIQSTNWQTIRFKPPPVNSPIGWRVEFRSMEVQLT DFENAAFSIFIVLLTRAIISFDLNFYMPISKVDENMQRAQTRSAATSQSFFFRKHVFP RDKPLSRFDLTSRPVSPPSPSTPVTPSHSRSQSRSQQLTNGHGNGNGDGNGYPSSSSR SECTTPIEAFEDNDDAPEMTLDEIINGDGDLFPGLMGLVNAYLNSLNVDVCTKCELRR YLDLIKYRAKGQLVTPATWIRRFITSHPAYQNDSIVSDEINYDLVKAIDEVERGVRPA PELLGKDYVGSGPTGCL L199_003573 MSGKGVEPVGAPRDAAITIGPFKPSRPGYGPVLSYLQAAQLDTP LKIHPLLQLRTWDPKPYAKYLAWNVIEHPMFAAIWVDYDGIKERGQPATSPIVEEINI GHVETGWSIRVRNRMGITCEDVLFAIYDFFASPLYVDELGEMHPRAVRIMEQQYFEKR RMGFFDLMEGYRKSDALLGQTYFDGIHNDQESVERLKKKYNFHSANLLLLRLGNC L199_003574 MKATFFAILPLLATVVAATAPTAAPRAKRQDDGETTGDEVGSAV DDGLDGATSVFDEATSDIDSVFDQATSAVGTGVSDVTSAFDEATSAIDSIASEATSAV ANGNPTSEIESLATSASGAVASRISSATAAIGSATSSVAGATQSAAASASKAASSAAS AASSAAASATGSDSAGCVLGVDNKLIALGLGAVVAAAGL L199_003575 MKFTTTALLTLLPAILAEPAPASPNFQIGKAGAERNVGIEARQV GSVVGDATSALGAGTGGLGSVIGDATSAVGAATSAAGSVVGDATSAVGAGTSAAGSVA GQATSGAASVAGAGTSAAAGATSAAGSAAAGATSAAGSAASGATSAAGSATSAAASGS GSSAGQLVYSPGMWSAFLGGAAAIGVARVLA L199_003576 MFKLFKDLTRAPESLTPPPSTPAASSTPVPYATRSRSNTRARSK SPAPAGLGRSKSPAPPGGPSAHGHDGAGDSGWSIGTELAVKDEESEDEDETDQDAIKV VEYLREIRGLEDGSDVMRYVETFSQLLSIPCHFISRRAFRRHTGFRTLLLSLSEGLAW TSKGDSKATLNEEEWQVREIQRMEGVRLAFEVLAWSLGDRQSEQHFELSMKLGGYMLL LPSLSKLSPPSESPNSQIIALLLAHICNNNYSILSLFQSPPTTSTSTSDQSISSIISQ RLGDLNIRPSTSGALKLLWAYIRRGDEKGKGKRRQSHMGSSFEGEEGSDQQRLIEITF QVIFVVASASTLNLFAIVTQLPQLSEFLVVRLYGIPEKRTYEVTFPARDDWIQADSSP EEEQVEEWKPPSDSLRKIYLALFRRLLEAGVTQTLVWRLFGLVKTTSPVVVEQGDPEN SGSCNNNGEDENEVNIASPSDLSPPSGVLTSPEPILDTPKVKPKGKPHLHIDVDRLPP DEERLHLEVLDLLKHAMKARWPDVFVFKGGNGDTLGGLQLKETGRTWMGGHKGFNFSC WLHITKLNQPLTLFHMSQKDSRQPLFQIRILESSQIGITTSVHSHDTASSQPSTPLSE TAPPSPSDGEMICGANDALIPHFQWVHFSVGCRKPKGADLGEIRIFVNGLRVGAMRMP FPVPSAVTSTQPPQLGVRSEIPRDAIRIAIGREYRPEEEEVKPRKSGSVGREEENEWM LGRILLLEEAVPEDLVRLMHHLGPRYTGNLQEPLGKFLTYEGATSINIYLSNLARVGN DKKIFTHSSNSILVRAIRSGPAIPEDIIILSLAARDYDPTLGACINAAIPHPLRAKQL RHGTARLVGNISPFSATSLDDSTIAVGGGLVLLKLVDLSRTKEELITTLGMFRDMIKD SWSASEEMERIHGFDLLAAILRPKMSQLFDEQCAKILLSMLGINMDKPATATVHNSVA YRALGLEFELWSYASDDVVRFYLKHFEYLLSTSKHKRFNVLRTFQKSAVVKKMLYALR SGLFDLEIVPDVVDALRLALEARWSGEDAIKPVFSYLVSSLCQNNMSFSLNPTNEPPP YQVPAALILNMIASLCADKARLKKLNKSVALHRLLVIFISSNASYYVVIPCLEILERC TSATSSSSSDQFQRAFENEGGFALLATTLAPIWRSDIQTMVIRMMLGEDRNTKSLQSP HMATCVLAALDSMLQQAGDSDDAGYMPSLQGRRRSVASIRSMSYLSAVNDNSTGSDDN RLEGLLKEITLIYRSSSGFRKQFTSKKVEALLPNIADFAAVSAGSTGKPEIIRGQREA AAELMTALVESAKLPVALVNQIKLLVEQLSTQPPSPKATTSSSFIMSPTSPRIGGSSS YFGQSFSGRFGSSPVSTPGIGGGPSRRRPSMDMPGFTKSRSIMEQRVPLKRVITGESI LEGGKDKNAAWKMIIIQTDLQSHSKMTLERKEHWQKLSSIDWPRQAAALRAENGLWPE KEDKVYWRLDGSEGPLRMRARLERVTNLPESGVSRTRHKLRDAIPSVDELSSAVSKIN VAPWEDPFALALGDSAPIAEEEPLLLPSTTSGGAATPSAGSGTGNGAGSNQAPSVASD ENGEIESYLEVEDDKNDKMRRIARTLQAGDVVEEAHNIVRIVGVDACPGLLILGKKNL YLVDGLVQTADGEVIDAKDAQKDVLSIPSGTLAGLDAGDQQSHRWSYNEIVENNKRAF LFRDVALELYFSDKRNFLIVFQDKKERQAVVQKIGSKNDHRDAISRSVIGNFVVDTVA KAMDRSEQQLEALMRKWQNREISNFAYLQLLNQYANRTPNDVTQYPVFPWVLADYTSD VLDLHSDSSFRDFKWPMGALTPARREDAVERYSATEGVGEKPFHYGTHYTSSMIVCGF MIRLSPFTEIFLALQGGNFDLADRLFSSIPRAWESASADNRGDVRELIPEFYYTPAFL WNLNHHDFGRKQTSGDQVDDVILPQWALGDPMLFIHKHREALESDYVSRYLSYWIDLT FGYKQRDPSALNCFHPLSYRGAIDLENIEDEGEKAASTAIIHNFGQTPLQIFKQPHPH RYVGGKSSLPLSVRFGVAEHWQLLFRSILPISEASVPIDDIVPPYGVDTKPKSTQKFR LVVPGHPHLSLQYGFTDGSIRIYYQESQIKLIHLVEGIYVVEAIFASPSLLITVSGHG VLTAWRLNIKSGGYRRGDATLQREATLRGHVGKITCLAANTSWSLLVSGSENGNAMVW DTNRLRYTRTLQTGMKEPIKYCAINEADGQIALASARHLCLFSLNGHPIASTTIEEGS SGASDKSSTYSETDDRIEFTGGISFLNRDFLNGGVLFVIGVGAKVVLYRCVPGQKDPM ASDEEMVRPWTLVEQGKISRSDDHLGGECCMVKFMGETLYAAFEPSDEKSKYSLYQWS LPDGPARHVSENVSHHCMAQGCARHFGLLEPKRHCGGCGGAFCGTHALHVETFTMRYC DTCRIQLSIASAQGILRSGRGTLAPPSRAVSRRNSLTGGHSRRPSAEIKATGVVGTSQ PASRRGSADKTAGTGNV L199_003577 MTFTPFLGKTSPHDRRRSGCQAIFAGFVARAIELKIRPTIRHFW STDQSSLLLPSLRSMVHSQQQLQHPAQNDNDDTSISQDTISQNTLYQLLNSKQNKFTK LSSSTLQSSDAIDQEWELTKAGKQTFRLIPNPTTSIDLATHHKQFLM L199_003578 MQGKFSGFLGKAQAALKDGQTRAVSGGSNLMQSFSLPGESQKAA KILKSFLADPTAPQTALNSIPKAVLQKAKGLAVFTILKAGFVFSGKAGSGLVIARLPD GSWSAPSCIATAGVGWGLQIGADMTEVVIVLNSDEAVKAFSRGGNVTVGGGISAAAGP IGTGGQVSASLANPAPMFSYSRSKGLFAGLTLDGTILVERKDANRDFYGSTISSTDIL TGRVPAPEIAGEMYDIIEAAEGLDESGLPAEAYVPGQGGEPLPVPSPSTGYPTAPPAA SSGVPPTSAVPPTVPAAVPGSGNKTVFDATAP L199_003579 MSTNEKVGHTEEAPVKESSIENKVAGDAPALDEAPEKKKREYKE MEHEAHGDLHAKVDMNTIQFTATDLYDKDKVDIEHVVMEEVFQLLQCDEGGLTEAEAT DRIGIFGPNKLEEKKENVFLQFLSFMWNPLSWVMEGAALVAIALSNGEGEPPDWQDFV GIVLLLLINSTIGFVEERNAGNAVKALMDSLAPKAKVKRDGKWRDIESADLVPGDIIA FKHGDVCPADCRLTEAIDVSMDQAALTGESLPVSKKLGDECFSGSTCKQGEVEAVVIS TGPNTFFGRAATLVGQDNDQVGHLQMVLARIGSFCLVSIGIFVVLEIVILYPRFHYTY RRGLNNILVLLIGGIPIAMPTVLSVTLAVGAQQLAKHKAIVTRITAIEELAGVTILCS DKTGTLTTNKLTIDKENVKCYSQWDVEGVCLLAAYASRTENQDAIDGCVVGTLPDPKQ AREGIDLLDFKPFNPVDKRTEITYRDNRDGGKLKRATKGMTGIIIELCSRNKTSELED QLEADVEEFARRGLRALAVAYEDVVGDAADGQGTGFELAGLLSIFDPPRSDTKQTIDD AMALGVKVKMVTGDQLAIAKETGRRLGLGDHMYPAKVLKDGPEAGGKHANLDEMIMDA DGFAGVFPEHKFEIVKRIQALGHLCAMTGDGANDAPALSRANVGIAVEGATDAARGAA DIVLTEPGLSTIVHAIYGSRVIFQRMRNYAIYACAVTIRIVVCFAIMAFIWQFDFPSF MVLIIAVLNDGTIMTLSLDRVLPSTTPDSWDLAEVFAYGIGYGFYLSASTIALYATMH STNFFEDKFGVNPIKATNDPQGHMVIYLQVAIISQALIFVTRSHGPSWTERPSVALML AFCLAQLISSIIAAFGDWGFTNVHSISGGWIGIVWVWNIVWYFPLDAVKFFMKKTIIA ALQRRKARKAAIATVDENGERLQRTASRHESLYSNRTSFLSRAANRLRGGAKISMSQN ELQRFSSIQAQQSGAALTRAHSRPAA L199_003580 MSSSRPLLASSYTSIEERGEKLDAVRKELNVVINALPTQIHPIE RSLYLEAILDDLSDRDDAAWTLWPQDVYILALTAIKSLGRNPVGSETLLSNENFSILL HHSGLPFPSTFSPTRPPPTPFSVPARETLKVLANLLVLYEEGRHVMASSGGAKAIARA FAGKDIDGEEVVYEKEEDNLERLFLLGRLGFLVTIERPRAVGVMVDTEDIVDSLVGHF TNLQSTPANHMALGELLKMTNNIIRFYPYTSESIGNSSAEIEQWSEIFDPLLYPILCH FHNVPFIDLSPPLSHAIHVLLSIPFLTRLLPTWHSVPSAPPSPPISNASPTSSMKNLL SKLSNMSTSQNHNKKSSSSSSLTGESLIPPNHRKTPSPSSSRRSSGSTTTYRPTVLSP STQDPSALPTRLLKILDHFFDTYLPWPKKPDDTLPHGLVPDEVLPPLLLLLTRAAAGS EPIRVILRETLLPSTLDRSPEAGPLESRKGTLGNLLRLMGCAGHTQCKNTAGELMWTI CKGDAADLCVEIGYGNAAGLLFQKGLSGPPPAKIEEIPNPESHAQADDEPQSQPPKSS TSINIQPATPTVPKHHTIPSSSTSERNPITSLSNEYSKEVDEMTEEEKEREAERLFVL FDRLEKNPVISMNKPQEQGGGKVSLMEMMREKLNSGRFEESHEDDDVQRRREEEQDKK DEEEARKEIEDYKKRLGRK L199_003581 MSDKQQQPTEKKEENATAGPSNGTATTTEKKTLPKLGALEDDDE FEDFPATDYGGNVLDAMKKAGDGPNDNLWEDNWDDDDVEDDFTKQLRTAIQEKQGVPD ESMKE L199_003582 MSNQQGHPGYPGYLHSDHTYQEGDPYGNEGYSQQQVAQDMADAE RYAHPPHSPLMSTSRLQRNGMSTYPPSMPETQEGETPSEATHAYSGTTGVNDESALRR MLGMTPVQQAPTGTGTDAGVTQYEAFQPSPPARSFTAPSTCSSMDPPATKAESTEGTH EQPAGGDDKMDEHFPSLQRAHTSTSQNSIGGTSRFPGSGRKRGGKRKYESLDWKS L199_003583 MSDKRPAIDEYVQLEETNASRGSRPGIWTLLDEQRRQLDKLTQK EEEALVPTSTDRNDLIESKYSSLGAQAQTRWSKVEEFVPNSAPQVSGTTLSTTIAKQN LIPATREGYGQRDIYSAHPTSEDPTQSRRHAPSFPISDYSQQLSSHGRLESPFEATGF FHPPGLFSTIHSDQAQPMYIHEKGHGHPHGPYDGAREGFYANPNPSYGSGHGYPFDTR S L199_003584 MLKGVKQLWPSSHNHNNNHTGRETPSPTLSPSQSFASLGGPADQ ATPRASAHPTANPFDSATVPSIHQPHSAGAGGGTPGSGLSRSTASLSLDPSSKRPNSP AVGGQTALPSSAHSAGGPRTPTNYGFMPLPLGTPGAGQPTTGVTVGDSVGMNTGMGFG LHEPPKMRKAMSKLNDGSSTPSTSPSSSSNVPDSLPAPPETDQPMNAAAGSSAASSGG TSTPQHREGGGPRGTLNVKVISARGLAVTNQPDGSPPQPYVVIQFEQNEYVSCPPHQT TSHTAVPFTQSTPQPLGAPGNLTRSTSGLGVGAISRAFADAVGRSKTRRDGDGSGAMT PRAEEPSGAGSWLGKPGPGDPIWKEEVTFDLTSNRSALHVSVYDKGRAGEGFLGMLDI KPVLQDGYTLDNWYKLATRGEEHVTGEIWIQMTYRVVRKSLSLKPSDFEFLKLIGRGT FGRVFQVRKKDTRRIYAMKVLSKKEIVAKKEVAHTIGERKILQRSLECPFLVGLKFSF QTDRDLYFVTDYKCGGELFWHLQKEGRFSEDRARFYIAELILALEHLHKYDIVYRDLK PENILLDATGHVALCDFGLSKPDLSDDKLTNTFCGTTEYLAPEVLLDEKGYGKHVDFW SLGVLLFEMCCGWSPFYAEQTQEMYRLICYGKIRFPKHVIDDDGKQFVKGLLNRNPQN RLGARRGTEELKEHPFFKSIDWDLLYKKQITPPFKPIVDSDESVANFDPEFTNSSLIE AGIIPWEENENISQSQPGKHSYLGPGGSLSSASHMNNAAPSGVAINKPQRPPLPGASG SPLTSSVQENFRGFTYTGESLMPHSMLADQSMDAESDSENAVDDEDDDEEDDDDEYDD EDEEVDGDGVRTRRQSDVDMD L199_003585 MEIPTTTITENSLAVSAYHAALSQYVNSLLQSQSHSGTSPSSHP PSWSPNNIRRRSTIKASNQSSNIHGNNSDGINELLLNSNLRMETLVDGKIKPDLLEHE NLEKIDQDQDTTNLSRRVDGPKSSVATHRSVITNITNEGSQIEVESSNISVSEGIDID RGRIRSRSKTVRPKRLGDDERSESYDHKRRLKFAKNRARLDSKVNCWLQGVRDASPVG VEMSPDIPPEILDPIPSPSIPHAVIHRSTIPPPINASASKIITPITAKFPPLILYDNF PTKAEQHSPRFVSDALSPRSDFAFNIDHIPVPIPMGKTTNPGTGIGYTKSGLNFSSNS SKATLKSHYHCNSSTGIGTSDKDKASDSSNNQQRDNKDEWLLDVLGQSDKWDIPCNIL PTSQDDTRTGNEKRRSRSPMKVVISGEMPTPKPVVTGTSYWG L199_003586 MSSMSNITTHVFTVSELTPQLWKMMKAEPEDTIWYSLIGTLLQA MLVTSVLGMTIKYFTYFTNRDSPYLLWGIGVGTTIQLGELMLTCAEDYRLVYCGPDNF QDIFRFLVISDMVRLLTAAMFNIGAGGYYTWRVWMMCGRKIYMIPPFAIGGMVQMMMT VMAVVHGCKLPEVTVETIMQLPEKMPQILKFFKIWGAVTLVVDGALCIIMTVLLFKTQ DSLFSKETRVFRKLISLVYETMLPPVICLIILEAASGSKGTSLTDMRRIITCILPVLY YHSALHTLCGRQDLRDLLEDKLASGGIHAISNGSGKGSGGRVYAAYPPGLRSLNNEES GIEMQSPISSSSTRKNPQMPMVKVEQTTVVSRSDEYILSTPEAISMPRSSTHDENDLG ESDDEKSVITNKSAPVHHL L199_003587 MPAIVISALSDPVAIVHIPISLSEVYTTKIYWTIDRSSVESAEF FNITSNRIEMAIFGSLDLITHEWSALSEREKGEILISTSWRVFEISSGDQDEVGNYDS PHLRHVSAPLAKAGISILYQSSYFTDFLLVKESDFENAKNIFNHQGWQIDPSSTPSPR RRSLISPLTPSQPSFPSSTSSSPARSTSSITPEITVLPSPLACIGFSKSTENTFSERI RKFLVWPERCYAARPLLNDNDDLERNADGDLLPRGKPFISYTKNEDGSSLVTEIEVLK NILTNDDGEEDGEEYGKSSELFRSDREELFFPDRDQDDQTEDEGEGYRSISDDSMSYL SSEGLSHQHTISRFNGQTFTPPPDTPTPYEYSLPPTPYDKRSFEILSNSHVEADHELD DGKSDYSVGEIPIKWSSGKEFTGTSTRNTSGNGNGSAGGRKRCLQLDLRGIGDYENEE KGAYHLHKSGLVTRFSELLSSSSSNGIRNGSRSQPIRMLYSSTFHTANILVEARDVKR AKRLLERKRRSVEWN L199_003588 MSRITPLRSLTRLTTRQLAHSNVSAVVRPAMAVSQTRGYKRNPQ PMRDVMTGEIIQTPDLDASLLKITKTTSPKTPLPPSKLVFGKTFTDHMLTVNWNSANG WGTPEIKPYAPLELDPSSTVFHYAFTLFEGMKAYRQEDGTVRLFRPDMNMARMNRSAA RIALPTFDGEALIELIKKLVVLDSEWIPKEPGYSLYIRPTLIGTQNALGVGPSSDAML FVICSPVGPYYASGFKPVQLLATTKFVRAAPGGTGGYKLGANYAPGVVPQAEAAKEGY SQNLWLLGEEHALTEVGTMNLFVAFKKPDGTVELVTPPLDDVVLPGVTRDSALALARA HANGDKIPGLPEKLVVSERKLIMADLVEAEKNGTLVEVFGTGTAAIVSAVDKIGYEGR DIEIPTGPEGLGSIAKGLLERMTAIQVGEIEHPWSVIANPVKSV L199_003589 MSRPTRARKPAPVFGSYVSSDQLYGSDDEGEDDTNAADEDDEEG DDEYGVAVEEPQSVIEEADSAKEEGGSEDEDEDPEKASKKSRKSSTSARKTQKRATAT EESKSDPKPEPRSRNKPTSSSASTTSTNKGKKGKDLEEVKKEVKKIELKSAPIKKKLK KIVESDIETEVDSDHEQEMEGPEDDDEDAGSDGAEGDKKKLVKKEPPKKTATTKVANK RVGKVEPSETSDGDVESTTEKDNNNEEIATDTDEPPKSSKKSLATATKAASTKKVKPS PPLLKPAATLKPTDTKRPPPKVAKNKIHLAPSSNSSATSGVKPTMNSSAATKKGKGKF TPLLPKSSSVAPSSSSSFTPPSDIVAKKTQDASAKKVKEGKEKRLDDKEEKTVKKFAK GKVEKIDFKEDQASVQATIKPKVEVKKGKPQGKATKKEEPAEDAENDQISDDEEAERM SLDPGSADDAEVPETITSETSDLKSSKVDKRAITSSSGENDKADRVGIPKKKSKSTSP KSQGKAAAQEKPSDKDKKEKKTETAESEEEEAHDVKAKSTSEDDGDRSLKDEGWEENH PKASANPKRRGSSEKKDINAKSESPSRSDELEKERFRKKKEPQQKAGDDDEMDVDEDQ PFSPAKEQGNNTQPVAYPTPVTPPKRKEVSSPSTPCPSPKRTPSATKIPPSVRGSIIS SLLAPKFTKTLAFTSIETPTYSSTKLARHWREVLGPDLHKHFEGHSPKKGKASIDKGL RIRIWDLVTKNYEKSDWKSIEEENGEFTVTKLRRHFREVMVKEGKKYIESC L199_003590 MTQSFVGTFVDTPTPGELRIRKDHLMAISPEGFISHLSPIHSES SQLLLSDHSSVAPIELPNASFLLPSFVDLHLHAPQYLFNGTGLDLPLLEWLEKYAYKA EERIDGSTELAEKVYEVLVRRLLDNGTGCVSFFGSIGVEANLILAKKMQELGLRGFIG KLSMDQSPRPTYGESSAASSLSSISQFLDSLDGYLAQFPSHERLVQPIITPRFIPVCS DELLVGLQKLAKERDVRIQSHMCEGRDQMDMVLKRGKGQDHEYWDELGYLGPKTLQAH VTYLDEEMIPLVKDRQVTMAHCPLSNAYLSEKQFPLREAIDNSLSVGLGTDIAGGYSP SIQTQMRQAVIISRMREGARCESMNCSFAESTQKEGQHLKVDWKESLYLATRGGKKGM GLGGCFEVGMEFDAQFIELASPNTPTGTGPLDLFDLSLESKLNDDESWVENIERWWCN GDERNRKGMWVQGRKVA L199_003591 MAPAASSSSSSTWVEPTKDDKPPKDADLKTAWAFLQVGVEHMMS RMHLGMSYSYYILLFTAVYDFCTQPGKTGQSTFSANRGGASLQGADLYRSLHTWLSEH CKKMRQDSENLSDLELLKFYATQWDRYTTGARYVNKLFNYLNKHWVKREKDEGRKEVY TVYTLALVAWKQNFFRHFTVSSAGMSRLTQAVLRQIEQQRDGEVIDSTLLKKVIDSYV SLGLDEADAQRQNLDVYREYFQTPFLNTTEHYYRAESAAFVSSNSVSDYMKKAEARLQ EESDRVNLYLHDSTRTDLKVKCENVLISEHNSIMWNEFQSLLDADRVDDLSRMYGLLS RIVGGLDPLREKFGEHVKKAGQAAVEKVLPAPGATTETGKAETLDPKAYIEALLEVHS KYTEVVEGPFRAEMGFNKSLDQACRDFCNQNAAATTSTRSPELLASYCDQLLRKSNKD LDAESLEAALNQTMIIFKFIDDKDVFQKFYQKKLAQRLVGSLSASDDSESSMITKLKE VSGFDYTNKLSRMFTDVNLSKDLSERFKDKERTQGVSSDIDFAPLVLGTNFWPLAPQQ TDFNIPREIRSTYDRFTAFHNEVHQGRKLTWLWHVSKNELRTTYLPQKFIFMTSSYQM AILTQFNENDSLSYNEILTGTKIAEGILKPQLALLVKAKVLLQEGENYDLNLNFKSKK IRVQLNQAVKSEQKAEAKEVLAAVDEDRKFIYQATIVRLMKGRKTMQHQALIQEVTAQ ISSKFTPKIPEIKKAIDYLIDKEYLERSAESNNT L199_003592 MSSPFRSILPISQALRPSRLSIPSTISLSRRTILTFPHPTLEDP SSGSTKLIIKSFNRNFPSLIQIYTVLKYVEKKLNIEIFDFSILNDPDSLSPLNTIFLT TLKPIQLECPILMEIPISSKNISGGSNFLGGPSLKDIQNALNTTVQSHSPLHQDTETI STAPNQSKKGGEGEGEDVLQIKIELQRKPLKSKSERQIRPKNPNKRLRHSLTGKEASD IVEQLKAFNGGFYGGFEGLAEKFDHLIIRAKEEQPQQVEQIGEQTVERGLNEGSDGQK NTST L199_003593 MSPYRPNPFFSTTNTFFVPSVGDKVDNAVAQAKALGHDAQAKAS ELAGKADNKFQDAKEAVKVTAKDAAGAPQGIDLYSRFALAGALGCAVTHGALTPVDVV KTRIQLEPEVYNKGMVGGFRQIIAAEGAGALLTGFGPTAVGYAIQGAFKFGGYEFWKK KAIDVLGVDKARENRQAVYLGASAIAEFFADIALCPLEATRIRLVSQPSFATGLASGF LRIAREEGPGAFYAGFGPILFKQVPYTMAKFAVFEVASEKILQATGKSKDSLTSGQST GLNLSAGLIAGMAAAVISQPADTLLSKINKTKGAPGQSTTSRLVQMAGQLGVSGLFTG MSARLVMIGTLTAGQFLIYGDIKKALNATGGVEIAAIPK L199_003594 MPFTSSILITGGTSGLGYSTALSLSRSEPDTQIIIASRSSSNAE ENINSEVGNNNVIYLPLDLTSHEGVRSFVELYEGKSFPPLRALVLNAAIQFVDKIHFT PDGLESMFAVNHVNHALLFFLLKEHLTEDARIIVVSSSTHDPSLKRVPPPNYISADKV AHPETGKKWDTQQEGFRRYALSKLCNVLFGYALDRQVKEQKKGWIVIVMEPGVMATNL YRWSNWFSWMLSFRIMKWFIKDIFTTDYVAVTLAKMTVGEEFGKTEKSGKYYTVIDAQ EIPSSEQSHEEKLQDDLWEWTIKETAKDEEEAEEFKRL L199_003595 MSRWAADSSRTTEQYELVPSDRRLSEDDLDAGHTSEASDLNNAV ISTNDLPGPKPTASQSSRLRHVPGEVPWTAYAIALVELAERASYYGVGGLFPQRPLPA GGPGTGAPPPNSQLTPGALGMGLQVSTALSVIFSLLAYTTPLLGGILADMRWGKFKAI AVGTGIAGIAHVLSVYAALPSLLQSGGAFLPFLLGLLLLGGSAGLIKANIAPIMAEQY TPSSNYVETLPNGEKVIIDREATIQKIMSAYYGSINIGAFIAISSTFAEKYVGFWLAF LIPGLIFLIMPFILHLTYPHLVPSPTPSSSTLIDTYQQARTYLSSSQHIRYKFEEDDS IDHADNIDEHYFGKIIQACKFFSFFIIYNIADGGLNALLTSLAGSMTTNGVPNDFLGH ANPLVIVVSIPLLNRYVYPYFAAKRIAFGPVKRVIMGFLLAAAGMAWAAIIQHGVYQT SPCGYQATTCEEGSGVSTLSAWLVLPSFILSGLSESFAVVSAMQIGYMLSPPSLRSII NSLFLFTQALSAMSILIFLPIMKDPYLVWPFVITTIITLVATWFVWRFFSHLDNR L199_003596 MDQPHPSSGHSEISHPYPPSYRSPPRNTHQLEYQRRREDRQNNH HLSVSDNPYIPSAPSSPDPSYVRPTTRLTRSASESSTNPALVLSNGAGKEYPEGWTKE DEDAEREFLKKGMFDWNKLRGWRFWIRKEWWYWYIILVIAAVLVILMSLYHDQIVDWM TPFANWIKDLPAGWTIPIAIFFVLSFPPLFGQEILAIIVGVVWGLWVGFGITAAGTLL GEIGNFYAFKYCLRSTAAKYEKNNFNYACMAHVVRDGGFWMIFVIRFSAIPGHFSTAV FATCGVGFWIFTIATILTLPKQLIVVYLGVIFANDEDTSSKEKWISHGVLIFGIIVTV WAAWYIYKKMDQARIHVWRKRRMEAASRGVSLNPVNKEWDQSINNNLDRPTVNVEDDD DLEARSPILNHRHHGNGHGSGHQSYTNPYDISYQSQNNMSIYQVDPSTYPNQSSQSLP GPKVEIEQDIGYAYRPPAESNSTDSLNPAQQQHQLYPTAQFPEARSTGMGTMGGLRRN QTSASDYTVYTNESAEFPVPLPSSHAQHQHGVDHGRDHRHVQYGR L199_003597 MSAQSKSIGKEQPSPTPEVEEKETPQEVTSTTGSDDHNSEQDED EPVPSLCDSWLVTIPGGYMEYEGTFYEVRRVPNTLSDRLMSQADFGILPRTTAPGLLI NGDKVEKMNAKQTEARKKELIPSGEVFETADGKWVDQQGLDDTQLAIQSDLFLSLPII KVDPIIHHAKVPRCLDEIKHLFAVQGVPYLVQLVGRTEEGRMVSLKFGQDLTSWILGP FVDGAKVQLPEEWKYQWVVDIVLGLRNLHKRNILHADLTTNNVLFDKDHAILCDLESG PHTDHLVPPELAQGIVKDFNAKMDIYGLGTLLWCIENRNMPRAHRKLECTGVFADLMS RCMADDPDLRPTLDQIIEELRKLPETKSLFPNEPSTTNPDKCAASSSIQGTVSDQALI PDPNSWSYTHTGAVPLRNQDGTVDTRIDVEASQLASESDILTSLPVIEVDPKIHHVKS PRSVNELKNLISIQGISYLVQLLGRTTDGKIVTMKHGDHTLFDWINEIGKKISKEWKY QWVVDIALGLLNLHQKNIVHNDLADQNILLKDDHAIICDLESEPTTLDILPPEYAQDS CAIKEKSADIYALGVLLWSIENKNSARPHRALECTGIFKDIMSRCLATDPNDRPTIDQ VVYKLWRLPQTRALFPVGYEAREANLPNDHPADVDNGHQVNTDAGDEEKTVYQIDGPQ RLPWLNWLYNDKGAVISYNGIFYEIIRIPDTFSDRVINQRADLPFVPWQSARIIGDRI EKMTETELAISRSGCQVNKEGELVPIHDGSFEAERDQEVQLTIESDIFNSLPLIEYDE RLHHAKSPRTIQEVQNLISARGVPGLVQVMGRTQDNQLATKEFGKSLSNWITDRSMET SQQTKVQWAVDIARALCQLHQRDMLHKDLSANNVLIDGDLAILCDLESRWTTGCARPP ENCQGAEYDKRADVYGFGTLLWSIENRNMPRPHASLQTSGVFKGIMRKCLDNDPARRP TIDKVLAELEKLKYNS L199_003598 MPSPPPPFHLLRSHHSPISSVHFNPSNTLLYSGDQDGYISILDL KVRRVVGYWKAHEGGILGLEEWQGGLISHGRDNIIHFYQPLKKPYISFGIGSTQKMGL EVVTSLPTNTLNFCRFSLLPLSASTVGNHDEVNKKGKGKEKEALMAVPSLLDSELVDI YHLPTMRRIHASVNYLPKVTPLTKDTVVPDQARSGLVMSIHLRSNPANSQITLSIGYE DGRVELFISSTQSIDTVFDARMSQSPDHNPWKMVWRGKGHNEAVMGMDVDRFGRYGWT VSADHRLVRYDFGKVIQDKLDIDDQSIMKEYGMKSIGNSSVAVNASGKVIAVGGWDGK IRLFSTESFKPLGTLSSHRETVHTLSFANPVNQTQRVQDTEDQSTINIEEDGDSDSDS EDGESSDTIPKERWLASGGKDTKVALWALMDFEKGLRDD L199_003599 MSSSSPTTKMLLNGEYFPQQPAPPHQHRSYSTFSDAVGQSNGHA NGSSEGGYFDLSPGESTSRQSISRPFPPRRGKGMTMEEVHAGGIISEEERSVLEFEEI PKDEKEIKRLPKKLRPYYEHLALIYSHYQEVDSLLSGSLPHDIAISFSPQRSYLQRIG DLEEEVATPPGQMGGRGSAWKIKRSRMIDQDQEQDENESTGLLGLGGNGKGNGSSKEE SRENLAKLALNVNTIVNILLVGAKAIAVLYSYSISLTASLVDSALDLLSTLIILGTSW AIGVKSDRHKYPAGKRRFEPLGVLIFSVAMIASFVQVFIESFQRAMKPSEEAPVDLSW LGIGVMLATIGIKFVLWIWCSRIPSSGVQALAQDAENDVFFNMMSLAFPWIGTFFKWR LLDPIRGMVLSTYIIVEWIKTLLQNFANLSGKSASRDQVTRVLYLVSRFNPVLEIADV ECYHIGDDLIVEIDVILPRSSSLHFAHDVGETIHLDGVIRAYVHCDYSSQNPLQHTSR PAPLPASNLRPSSTTSSSSSGTPTPRPTNHMLSAIQEGAVRGGGANLMNRGGQGGVPV ESPGEIEV L199_003600 MVNTTQPKLNKSQSKIRLRLGLGSPNAKAKRPQTEQHLSPNPSP NPLLAASTSTSNSGISRTDSRSAFTYDSSSTPSPPHKTEFDTISYNTISPESLIYQQP NGHANHIHGQINESSSTLITSSEGDGEYHVDHPSWQQQQQQQVVRQEQSYYGESGEEE DEKYDNGESTLHPAISSITSGTDDIFSITDQQLSDRFTFISEIGFGNWGSVWLCKPKH TRSSYLFDQHNENERAILKLGKKAAVSGGTGAGGKVAIKLVHRSRSATTAARVRALWG EMKIIRSLRYEPHPSIIQFEAFVITPTYAIVIMPHLAELIPVYLAPSRATPYLRQLAS AVSYLHERGITHNDIKPANVLLSHNDIPVLVDFGFAQQWDVNARGSFLSSISWGTPEY LDPIRAMGMPHDERASDVWSLGITMFEILIGRTPFEENEEEQFATPEELVLYYERSKK GKWVGEWSMPEDLENLLRHMIQPDPAYRISAVQAYHHPALQPAPPSVIITPHFVRAAA SFDGYDQAPIPVPSEYTEALAAAKTEKKKKRKAKKEGDTHRHHKDKDTTRHSHRATTP ALGESIKQHTSISKAKTHNKGDRADDKENVNVDAQRALNKLVIRKREEEIKDKEDDND DPTPTKVLKPAQPLRIKELSLIADKKVVPRTSNSNLTNLSRPTSSTSQNTTQGVLSHA TSTSTMKDKRVSAISTTSTVPKSKEEAVLKTMKSLEGTRKLVHAHTHDKAAEAIAAVK RHAPEPPRPKSLDSAVELKKADSVSRKEDERRSLGIERAVLVDVREEEVDIKVKEGNK EVDTIIHFHDNHEDKGKRPVKHAEPMSPPRDKRSSANRVPTPSPQKIRRAGRKPQAEM DVLRGKVFPASDDESPLSELRDKILVSDQEKLVRFRQVSGRGDQMEVLAPSPVTTSKQ PSSNTAGPNTTSTQNEIRQSVDSYASTSASGLTRTRSVEALEMDGRLDKMSNWIKSVE NIIEDARKAIAEGREPPLPLLTLTGAVPVSDEVHTTKKTFGLTPDKAIPSHLRTSSTQ VEPSTPPKWATTAGPMDIKDAEEKIKMANKWLEEQGRKGKKDRPTVSHVLKLFGTEKE RPVSGSRSNTPDIAQLVPLKPPATSTSSHALKGAPSTPALRSSTTRGLTKVPMRKSES NLRNFNTMPVIPLPSFVAGPKYDPLSMSDEDADHEFQSGLGSPRRVMFENLLSTEPGV IRQGEGWGSLSSGKGFMMAVKPSSSMASLRERARALLGDSNSHTHGKSDLSKSYDNGG KLEKRSSKLNLNAQDKEIPTTRPNTPGAQSVLTLRTVEGHKKGWLKSLKGAMGMGKKM DKVEEGL L199_003601 MSEPLPPSSSSSSSSKPSMTPSAFRIPLKRSPEKVYTGPTPEEL AAPRTRSQAEKYFARVANENVLPTRASKILGVGGWVLGGFACVYMALFVDFGDREHVF SPVRRQYASLKQSFFTLSPSEREMMGVEDRMQRQSQEDTRPS L199_003602 MKRSIVFCVFAAFGGWLFGYDIGYISGCLIMPDFIHQIQGVDAT AITSQNQSIITSLLSAGTFFGAILQAFTSDRLGRKGSILFWSAIFFVGVIVQVTTFSL GQLTAGRFVAGLGVGALSAIVPLYIGEAAPKKLRGSLLVLYQVQIISGLFLAYIINLG THHINNSASWRIPIGLQLVWGIMLMGGSLLLPESPRLLLGKGKVDQAIKAIAALNDCG VDDKLTRDLMRELQVAIEAENEGGKAGWLECFSTRSRMWKRTLNGCMVQMLQQLNGQN FYYYYGPVFFEAAGTGLDSYSIQAILGGISLFMVFPAMWTIEHVGRRKSLLIGSLGQA VCAIIAGLVGHFYTDTEGVSDSTRQVGGNVMIAFAVFHLAFYSMFWGPTPWVLMGETF PLRVRSKCIALAAATNWLWNFLLSYFSPLIADDIGPLILLVFCGCLIFAFVYVYFMIP ETRGISLEEVDELYRTKVPAWRSNSWVPSSHHANIDEEGVRRHSESTFVANGLGSEQE KKITQQHVENAKPNEKLPRLQIRMGLFVEQHSNDTEKRSQYEQKRLKLEDKNNTRTIR NRP L199_003603 MEAISLGLTASPAVILDVGSASRKKRTREEKEARREAKRLKKEK QAVAHPVEPVQADDGVFNDPEKTDRSAKEKIKEIKEEKAGRKAEKAERKARKSNGTTM QSENDGEVIKAKSQVLSKMAVPPIEPSTQTKVKKMDRSQTTPLRTLSQAGPSTSSQSP SKRPILTAKPVRQPSTPAKSSQQRSRSNTPRGKSDEKPDDATLKARLKDQKAVEEWLS NNWVSDVELRRLERLDILKYKKGKLTEDEKIVIRKVLDTYKKVNRLNDEKLIDTIMAN TTTFPTGRDGWQAFWLELAGACPGRPVRYVMKMVQRMYDPRGHKGHFTPEEDSMLISA YELHPNQWGKIAEIVERTYHDCRDRYMKELQHRHTRHHGEWTEEEEQKLLEAVKKMNR QTNRDEMDNENIPWVLVVKEMGGIRTVVQCRKKWTDEIYPKKKWGWESGHERDNDFKL VRRLETLNYPSEKHINWKEVQDDTLKHMTNHQVRQMYYRMKNKIEDAEKLTYPELVDR LKRICENLGRLSHKKKPSKPEIDTSDEEDELEEQEGPAEDEHADEKEDEEASQSQKEQ EDE L199_003604 MRVRLPPSVISQIESHLRPEYQPVFNLSTILQYQQDLQRSLRPH SSAHEMKSPSRSFTSPYGLRPCSENQPTSLSPSYLFRTSPTAHLSTAHQYLSSQPFDP TSSTSLLSRQMTYSKLISAFVDHPKGLEAIISLVDRSLSETVPLSIPTLTSILKCSLS TEDVASRISVINKVLPILPERLDIPLLDVLLRAVIKDINPEIGVIGKMINDCLSLEFS QGTDTGIGKLEKERWPLEIWDLLFTSHFQRSDIKQSLELLTEFRQIVLQKLSSTPSSS SSTITAAEMLDEKDRMAICKVYTTVLNTWRRSIEIYKIQSTFPKNLAMDLTNLMGEGF KPSMGFLNSWMKAERQTGDINASRRVWDLIESKVLEDELPNNDSWIALFQLYSTPDKS SSVKTLPPMRTSIKRLFNQVKQYPKLTLINALTINTILKSTLSSDLPLSLYVLRQMRK WGILPDRRTVDLVSSEMMKMILRLPLSDQVKLGVDHSMLRNDLSSMRSKRTRMGLKLF EWDVITEAIHNIRRGAKSQNPKGKEMEVIWLPLSMPIGRIRQDSTSNFNDNDQVVITS ISTSEENLEKRSLPEMVLDPLMILLERLIILLNGKSSFIAGDTDNNGKMEVDDEEILK EPMAKIHAEMSLKR L199_003605 MPSSNYPPQQPMGGLGHNGYPSNPNIPSESYTREYQAQAINGQS YLPPPYVIPQYQQPQSHYNPQPQYTPQPPPQQPAEPSKSAEKKRKSPKASTGPKTEAA NPVAVDGVIPAKRGRPRKSANNITVAESVNQDQQQQQQQQQQPSIMVYPPPPPIPGQR YNPSPLFTNDSARSGDSTPLSAGGDVKMRDGVQLPPMGSWAPPSNNSSPNSANARNFP NQQQPPYGSPGNGWSSMPPKPLMNAEQQQWSQQYQSQSDSQIPTRAMKRERESDQDNS NRPLSDPGEVEASLALAGLKRRDSAPTSGKKPKKEKEDTKSSNKKADKDGKKSCAECR RLKAKCDRVFPCSNCRRRGCALVCPEGDLSCMQGKRLVLASTEQLHERIAQLESALFQ SHGRTSTGHHPLLAPEYLDGGFASLPPPPPLVQNDSLDNSHSPKSGSHSHSNGDVHNQ SPKGPSSASSLILATPQLSAERSSSQGRMAVESLLTEDAAAPEGKREDEWAGENAAPA MIIGTAQKAPSREEVQRKAEAFWKTSVWYQTVLHKEEFDAIYEPAVFAPTPANPLSPH KLAVVLIVLTLDTYLDISSDEENLVVAEYWDAFQRCFDTRFGWAASVAGVQALALATL FVGFGWRGARASNFYWLRQMTSASMQLGLHKDPHPSLPEEEREFRRRLFHEIFVLDCL ISLNHGQRTAIPIEYVEAAYPKSATTLSLKKYDFMKLVKTQVIDIGCLPDSAPANWDT VEDVKNKLMKFDVTAIPWLHCPLLRGEPLPPSVEGFTGEDALALQSTTTSMCHYKAML YLFRPSLRRLVARLRSQPRESIVFSDADRETVSMTYRACHAITLTSYYMARKHPRLMA RCWMVWVQTFSAAVSMAALAIWCGPHLESTFVGSAYQELSEACDMIGENGSKRSLGVL SLLPILKALVANRYPQVVGKQVNETSISQEGEDMLFALLGGQVDGRDTSSASQNNTPS NALQVNPNPPQVSVPSVPSAATKTQSNTHLGQDSGDMNIPFQAPMMNPNAAAEAYAIG PYSQPNSTGWFLPPPATNGDMVLSLAQSAPSALPLNNNNNNNNSNSNNDANGNIENPT ELWARLQTFYEPTPIFWNGMAFGGMPVDGLTVGNMQNNTGGANAVAAGGVGFVDYSGP MY L199_003606 MSSTTTDGSRYTNSPVNPTITISPTDGPQRLAYFNLIGYDPDDT PNVPGLRAEFWDLSDEKLLNRLDQSEYDKCQDVSNDLEGQMECCGLSRFEGNLLELCA GAKRTSLPYSDDHLDPAQWSIARNDFDGFPQKVIEKHDRFGPIADSVFLVATSYRSDL ADSKYIDFANKIVVACQSEESSVNRRLQFIKERVSIYQRYHEEELANSRRDSQENERS DRRDSCQSASTEFHEFVERGRKLSIPQGDNTKNPESRSRSRLRDIINTDTLRRSTASL RDRSRSRSINHGNHSLIALTRGTLKLTSDLQEGMDEMPSRLCQPLERLIDALMFEDSD EPDPIFIN L199_003607 MALDPISMPCQKDLTAWENPLWQGTFDTMVPSDKVQTLRSTINE LRWNTKMGLTSFGEVPILYSYNHSASDYSQASPTPPTLKSELALVPTRSLSGTTIAPS IRSSSRATIEWADNPISFKEPQYSVDLPDEHPLLSDNAVTQDINHRVNDDAEQQQKHR QSNEDSESVYDSLSHRIRTNFDEYVNEPLSPLSTSPSPSMILTLPDVIETEFREQKDE QSKISTRKRSHSRSVCIKKRGQENIELPGINEGFWQLPTSSITNTFQKNPQASSEDRP NDLIAQMVNCGLSQDERRCISLLTGSRLRSHSSANRTDASECWKHNGRDVIPQDDIMG QLAKSVFDTSMEYRENMRRSVDSCTRMFNQCCTAQGSEMYNRLKDFKEKVSMYDTMDN QSFHGEVNSRSKAFEDSERPSYDNVVKDRFVDGGGFDLIDSNYITVIHNPQEYTPSTS TSISSQSIDNDNVIALNLRKR L199_003608 MVTSRRVSYILPSPDQPPPLLSLPPLGQQRQGHPAPFFIPKTDG SGASTPGSALGSRNPFLTAQTPLTEKPSHPRHCLGITSLALDTSTLLSESDSPGGILY TGGRDGLVASWELNVPHKKRRGGRYEILPGRGQKVKWERIGDGAEFFDDEEFDDDEND RLSSDDEGDGWVGVSQNQKNKGEIPYEDRWEIDKDEIANSKPPPTTFRQSAQTHTDWV NAMLLCNLNQTVITASSDRTIRAWNPHASSDDPASLSPSLVGSHRDYVRALAWAKYPG LLFSGALDRHLSIWDIKSQVHEPVFNIDLTKVDDFGGVGLEGERGSVYALGVDHAGQV LAAGTPERVVRLWDPRAGDHSIGKLIGHTDCVRSIIFSEDGRYMLTGSSDTTIKLWSL AAHRCLHTFNHHDSSVWALHSTHPNLERFYSGSRDGYLCVTDLEQCGDISEGECVVLA REGEGQKNGTYESKTGDEGIRCITAMDDEYVWTATGSAEIKRWRDVGRRVDRLNQDFD GLSYNIPTERSPPTDVSITVPTGLGTPFSPNSAAPNGQHATEGPNGTENDDFRSISFA PTPSPRNGPASPTSPNNPNVSTASALPSAVRDRITGNTSQRATSLSGASIANSVASED GRNKLGINGIPYQSLVCLGVPDSPYSFGFSQHRQEDMHREPSMTSVPQGLNGLLKAEN ESPRRISFQMDREQPTARMEYEDREVASEAIPLRVNPDEIVAGRSGLVRSLILNDRQH VLTVDTEGEVAAWNILRGICIGRFSTTEVAEALHLERGEKAEQAVRKHSQEVLEMVKE RVEGETMVITWCQVDTKIGSLVVHLEEGRVFDAEVYADEIGLEGFEGSKEDIRINLGK WALANLFRGLIKAEEREVTDLAVNQTPSTVNSSLPSSVPRSPGITQISIERPADIPHP HRKRAMTGSFSNPRPPSLNIPGLVSPASRPAVLPEVFDEHLSRSAPESNSFFQNFQAL KVPQSPSVASTANPTLSPNTERTSATRDYFSSKRKNDPSPSRESTMNTPSTPAASSTT TDKKGFMGKMKGLGRKKQAETPMSPVVEKVVTPEDDGPKMSEREAEQLRILDTVRSHR FSPPGPLEAPYIPLPLSTALLISEESKDAGAWVVTYRSQVSSTERDMEDLEMNSPLWL LDYLFASNTRQKEPVKLTFILEPAPGSGMKELPEGSARLSASRALRAKKISAFIVDKL DLYNPSKLKLPSFASHHLRKDSLSHGRRSSTSNEDTLPQPEEIIELICGNEVVNPKIT LATLKAYYGSGPDMLLYYRLKKGIQG L199_003609 MNLTNTQKTVIVILAIFLTFIAILLLFCIYSSRKARQDTIQAQK AVLGRWKENPGGFEECTLPNLSVPSVHTPGHRHEYDLATLPGGNELTREGKRGSGLAG IGTFSASKPRSSSHSSSTGNSRPALQSSQPSCMGVGEMGQPRKNPVPTLSRPMSWSRG ISRTRSKSISTLKSNVSDRSIFRPNKSKYFINYMSAPPPPLPRKSSARSAGSNASAGT GRDARAELVEKSDRRGGRR L199_003610 MTLTICFDALGTCFTLQDIVKAVEERYGEGLAKKGWGSKGFVMD WFHSAQRDYTYLSLISPPPPPISSILKTSLPLSLSSALSIPPPTSSELEGITSLLSSL PAAPTLKEAFSYLANNEAKLAIITNGAKSTTEGYASKAGIIDYISSVVSCDDVGYAKP HKEVYEAANSLCERLEEGGKGQRWFVAAHLWDLAAAKKAGFKTALVLELPSESVDNDK QDESLNRWYETYGGRPDIVGKSLLEVAQEIIKKN L199_003611 MTLGGRKLISFGVDVDAVAGWLGSYGGEDSPGDISRGMFAGEVG CPRLLKLFAKYGIKTTWFIPGHSLDTFPEEMAAVRDAGHEIGLHGYSHENPTAMSPEQ QRDILEHTFKQLTEFCGKPPVGSVAPWWEVSKEGTEMLLEKGILYDHSFQHHDCLPYY LRMGDSWKPIDYKEKAKSWMEPLKKGETTGMVQIPANWDLDDLPPMMFVKGSSNGFVD ARTIENKWKDHFTYCLREYPDGFCMPITIHPDVSGRPHVLMMLERFIEWVNTHDGIEW VPMKDIQEQFRKSNAAPQGAVMPKGL L199_003612 MSLSNLKVLVCGASIAGPTTTYWLARAGASVTVIERFPQLRTAG HNVDIRHLGLKVMHQMKGMEEQVLKKKLDLRGISLVNPTNGGTFGVLGGNNDNKEKKN NNNDGQSLLSEYEIYRGDLSEIIVDLSINLPNPRIPINYVFGEEISSITPTSTGYNGD CDGQVEVGFMNGQLPTGTYDLVVDCGGSMSRARGIGMGSDFSPKDHLNPVNSWTANFS IPKGPEDNTNTNLALAHSAPGGRVIFLDNTQKERTKVVATKQTTSSKELEDFRQALKA GESQLKRYVHDIFGGSGWRTEEILRGMMNSRSNDFYAGEWVQVKLPRLYSSEGNFVLV GDAGYAPGPTGAGTSLALTGGYILAGELMSAQKEGKGIRAGLEAYNDRMRPIIDDLQN LPPFIKTAMAPQTAWAIWIRNRMFSFIVRSRVLEVMGKYVAPAFESGEKYKLPLYDWK E L199_003613 MSTQLQVISSTHEAGGLKITFRSADAIEREKGSDKRSVSNSMSN SSSRCSLHHNDFLPAFLDALPDPPSNKEQAHLAVPVDDRESINAVAGIAEKVKKD L199_003614 MPIPIPTSDEEYDLFPILSRQQIDAARTSSWYDTFEDITFDSTV IDLSDLGEEEEFLHWLEADSIFLPEGSEGRYPPESCTSPTRRRSSSNASLSSESSDAP VYHLPKLNAAIRQVIEKYDGSVFPKLNWTAPKDAAFILPQTSSGPLHCTSPSDVYLLL KSSDFISHDLDPTRAYLDCSSDQQGEGSGGARRPKLELVLKRFQDINPSREVRCFVRN NTLIGITQRDNVFYDHLQNEDTRIKICDTVRAIWEDEIRENYMDGEAGDYIFDLYLSP NFVSAQIIDFQPYRSSVDPLLFTYEELLSILNSSTQPLQESENAIRSRLPIFRIIDSK AHPSVSRNAPTYQSNMMPLEMIELSQGRSMQEFKDAWDEAVAQGMTE L199_003615 MVYLPTFILFLIPLVMGQPTPTQLSSAPSSTNNLVPSSILHNIP NSTPTPNFVGNHSHSVHPASSGKHHKRAGIDDYLWMSSILRVVDKGVNRQDQKDEDSL AKAASTKQGLEGEIRLDDTISTGGTGGKAKRRRRRRSHP L199_003616 MEDLLRAAVDQATELTIMDQVRLATAMTLYRIKPSHVTMQEFLY QCRQHIRVRQARSNAEVNLYKPIDEIDDLRMVVRMMREDIRSMKMEMRKRKHVEVKEQ DSSRMDVDEGGSMKRHKSI L199_003617 MQQHPTILPPPALPDGSIPPRSREKEENLIRFQAELEFIQCLSN PQYLHSLATQGYFGKETFINYLKYLEYWRKPQYVKFIVYPTSLIYLTLLQSSLFRERL ADPVFVNELIRVGIKRHETWRIEKPQTANATTSTNNDDEKKNVAPDGQIINSHIEDDG L199_003618 MSQSHAEASSSTHLQSNGHITEDEASLYDRQIRLWGLEAQNRMR SATVLILNLRGLAHETIKNLVLAGIGRLIVADDSEVSEEDLSTGFLFREEEGAVGQNR TKAALPQISSLNPLVSLTTLESLSPFVGGNESEIVDLLRKEKVDVVVACDLPKAQLEI IDEASRKAGTIFYAAGTYGFYGYAFADLGESYEYVYNQKPTPENPSPGLAKKTLSYSS FTQALSPTNWDKPAKEAEAGGSPYRGLTKNETKNAAPGVILGLLALWEYEQKNGNLPL GGEGQISEVIEIADRLRVELGVNSKVVPSVDKDMIEHLASHATHFFPPTLAVLGGLLA QDVLRALSRKDRPIVNLLSVDTMGGVGVVGRWAMGDGVDA L199_003619 MVVVHKQAKFDPAAHLHKHGWKGKGTALKHGHAIKPLAVVQKKT LSGIGKDRDEAVPFWDHIFAATAASLFSPSPSSSPGPSSSSWAPAPIQADQKGNIISN PQEIVAKKPKLSINATARAGRELARRGLYSRFFRGKVLHIKESDDDEEEEAPSGTNNP KEVEEVEDSLIAAGPSRLNMTKLEQEEKKDPKDLKEKSRIKGKGKEKEKESMEERRIR KAEKARRKAEKEKGKGRLVEGEAEDVTENVKSKSEKKKKKKDRSRKDDCGEAQIVQGD EDQASGGTEKKRKRKDTRDVEETKGKKKSKSKSKSKDMNQIGESEKKKKKKSKSIEEP L199_003620 MTLGQSNTEAAKQNLTPDFFKSDADKAKENVESGVGDLTGNAHG HDAGRQGTSDSLSNALTPSGEQSLGEQAQQQLDKGASHVQPNETKSTTQQARDFVTPG NDSSGAGGILNQVGESISNAAAGVKNAVAGGNSETTH L199_003621 MSSATTTTTLASTNGESTGILKLRGHREDHFPELKTRGWTVVKN VIPPSKAREYEDRAYRWLESFGKGFDKDDRSTWKPDNLPWFAKGGLFNRHGAAHEQWA WDIRSEEGIIDAFAKIWGTDELLVSYDGVNVSLPFKNEDLGDRGQPWPHVDQSPNRTG KECIQGIANLAPNGPKDGGLMILDGSFPLYNQYFAEHQHLKPSDGWDWRDSYSYPEET LQWFYDKGCKWVKIEAGPGDLILWDSRTVHYGAHAEGDRPRVATYVCYKPAEGISPER MELRKTALEDYSGTSHDPINFRLTGTNVAGPLSPDEKQLPKQPAVLSERAKKLAGIVA Y L199_003622 MPLMSENTGTARPFASDYARQNIPSEEYYPMTDKSEGFTQTSPR GRGGKRKWFIIGGIVGVLALIGIIVGVVVSQVNKNNNNNSNSSNSSTSGSGNSTTTIK NENDPSDFDKDSRLHQSFWGFAYTPQDALLPWCGASQNNVTRDIQLLSQLTTRLRLYG ANCNQSALVLQAIQDTKVNMTVWLGIYVDSNEEAYNDQVKAVEDALKTYGTDHVSGIT VGNEYILNTAGTDSTTSSIYLSASKTITDKITEVKSAIAGLGLSKTLPVGTSDAGSVM SKTLGEGIDYFMANVHPWFGSVSIDDAAQWTWDFFQEFDVEPASLASNKPDVYIAETG WPTESMNATESNSGFGGPKGEASLANLQTFLDTYVCQANANGTEYFYFEAFDEPWKAQ YGGVEPFWGLFDRDRTLKDVTIPTCS L199_003623 MSSQQQRYSIVPGFGPAASQSIGEREGRYTDYPDVTMGNRRSSG FDFDNFGDARYPTVRPSSLCESSPLSFQLPVPSM L199_003624 MTNNNTPRLLPQIQPGSSSTTLSSTPPFRFSHIRHHGRKIQSQY RKPPAPPQVESQPETRSPNQLEDSGDIRPSSRDPSHLVALVLESPSSLLSNSKPTETG KKRKSRHGQEGEGEQQKERSKRRRGECHYKRKVEKPRGPPSWLLRSKPASVHPPLPSL PNAANASSTSRSRIPIIPNPSPMIIPTNPRMLSPSVTTPNPLFLPPSHPLGPSSFPDQ QDLTPLLSIQPSIPSSSSSSSYSNSLATIPSVSDDRIMRMGDAGNFEGRRSTEPSRET LVSNGYPMYTRMEDTKYEIFQSAQATPSGDPLSTLPSSSQTSFTSSTPSSFASTSTAA YKATYNAPSHLVDISSYHPAIPFLEVRSPYTSNPLMTSGESSDPNTMSMTQRYPGGRF LKYS L199_003625 MSPSSPAGSSSSSLSEGYTNVLLALPDGTIPLDHSDLRSHTISL IGGYPTFPPLPNTAQIPKGITCKVCKKPIPLLAQVYCPLENGENDRTVYVFACSRAGC QKKDGSIRAFRASIRNEEYVRDVEEKRKAAEAEAEKEREKARKNPFTLATDDQPNGSA LFGSAQPLFGAAPSNPFAPTSTPAAPAPAPASIDSTAADLSKLSISPSSSSSTTLSPP IPAYQPAQYLTTIDEYLPPPDDVEYESSDDDDENVDEELKGVMMDDNLERLFSQKVDE VFQGFVKRLEGSDGGLKQVLRYDFGGIPLPYSSQSPITRKLFPGCEKPLGKNQELDVN SLYTIDEKVIGRCEGCGGKRTFELQLTPYLISLLKPDTISITGQPSGHQKNDRGKLTE EERKKELQELAQKIKAGNAGANDEVEMEWGNIMVFGCENDCIGLNEEVVGVEWEMGL L199_003626 MSQKTSNDRHIGFEERSEPKGERTYDHEEHGTRFPLLPTIPVKP SNELVQTGTQAIVFLDDAISYGTPSNSPYRVVHEGYIPKEYLESCDASTRHFGCLEVE EYGLGFGQETIPWDENDVPFDFTLGTINKEEVSEGVCDRPQEILLAASGSCEDQEQEN PWVSQIQSAGKLPETSRYNPASETPKALAPSPSLPMISDSKTAPHINPEHLRSFAVEC L L199_003627 MEGFNNRPQNNTALSMVALDVKDTDRTLAPQTIQSSFQPFSSSF FQYDDPELEFDQLFPPNADLDYYLLPPLSEGVSELVPSAALGPSSQGGSIDITGGLQN NVSLPDTDGTSITESMLSGLNRPNDLAKSRMNPFKELVYTMPSLFQTTELRQTHLREY HFSDIGKLLLQERYDSEYERTFGCFMQAPNWASEPSGSILRSWSSAKYQSSSIIASLP KSREPFDTSTIELSRVNALGWLNTLKTYYTSNLLKGYRLKRYYRKNDGRESFRSDRAS RVSAVISTALTWAFTRFLQIHTGGEEGDHWTGDKLNDQLDRVRETLYIGSEMILHQWD GQRFGDDELERLGKDENHSQAMKDANAAKASGDWDQWVPPSKRRKGK L199_003628 MASSKRSFEALAGDEDDPIILGGDNERDHQVSSLTQQDAHSSQG AASSEIFQEPQHETVYKTGVSSSAFAEAHRDSCDSTTNELIPPPGWAPICARTAGGRF AYSKFATKDEDTVCELSGSGNLIINRSEALDWLSTYEPEKGSLSGLVDDWCLKTTSKS GKTTKIQRGRDVVGTIHRGL L199_003629 MSDIYSYTVEFPKSSIALSELKDKTLLFVNVASKCGLTPQYKEL QALHEKYGDKGLVIIGFPCNQFKAQEPGTDDEVLQFCQLNYGVTFPIAKKADVNGPET QPIWKYLKENSQPPVENIDWNFSKFLVKDGKITWFPARSTKVADVEAAL L199_003630 MAYSTHSLTYDQPPPSKKPRLMPNPLNSLPPPIQTGPRSGEAPY PTALTKKQKRAARDQFVLQDGLFVRKVMDLVHSIDTSRITEPEEVPVNLRYFFNLLKR DDPNDPTSEKILNSKCTENIIYLITCLTVNQLNLKTPRRVLSVDYQTNVGVRCDRPGL GFHYHVTRLIGLIRSEELKGILCSLSKIGNGCQGNHTSISENIHTDNNKYREVLTRGG FEFGYLSDHKIKGYNMKSIGYNSIEDIVQNVECPMTKLNYGYIRMVLTRVKTQLMSLE PKLLNRSQNINHFAFTQMQKHLTIYKEINNGISNTTAKLKYGGLATTINIGLIENLIP TYPHIEESHSDNLPSIIVQLNGPTSTIRFPQLHLDVEISTGNVLVVPLGNLIHHYVVP PASQGAGRQDQKRLVMVCYTIKCIEEEYDHSRKGKK L199_003631 MPAPQDCQEEACAIQSCLTKNNYNESACSSYVEALYRCCQKMYE STNDNESKSTACPIRSVVERKMKRIEAEKGQNGR L199_003632 MNRSTKYAFILPKREEASSSQLDEGVTELSHPSPAHEPPSESQF ELLHMEMSDIDPEEYKYQYAFQPPDEGFDSFLLSSPSPLETEGRVRDDSGESLNFNGL TSSNDLQGDLLLDPQILSSSILNIPDLDPRASYELFESDLIDLKDPDELPNDTLPDPI SQKNTIQPPPPIGISLSEGYPSLYNSTPPSQTNLEIYKFDQLGRQPRNDRLNSRLNKD LRCYMQAPVWAAELTGGGGLTPKMSSSSKMYNTSQPIVTFTSTRDLSDFGQVQGEGQL SISRKDGLGWLNGHKTYFTLNLSQCFCLKKYRRASGSTEKARMDRSSSLAPVITTALS WAFTRFLQVHCPPLKDGGEWTGEKLDDQTDSIRPILHLGTARILEAWNEVRINDEELI ISKIDAKNVDASRRVAREKVEGRYVPTKRSILYEGDGKAKQGKEQGEGKNKMEVS L199_003633 MSNSFFNTCLPCLTGGTSMLLRKTTCLSDKVGMANTGVAVHKSS IGPGMESTAIHYHLSNTEWIYILSGSAILQLVDASLPILNPFQPASSGPEDGEGERKE HQVEEVPVSQGDFIGFPGGVGASRYAHGLKAGEEGCEYLVGGDRGGSGVTHYPLANAS DIWERSKDGKFTVASAPNGSQ L199_003634 MAFSNPPFPLIKSDQIDSSMVSKRHRLNNDAERIGVCMSDMAGM KGLGVHKVRIPPNGESTEIHYHLQDSEWLYVLSGTGILLLQDASESFDTSDDSKNNRL IGRVTAKSSESSQSRVKEHQIASGDFMGFQGGILASKFAHSLRAGPEGLEYLMGGTRE RVDVCCYPRLGIRDVFEKTS L199_003635 MAEVDPPQPIETDQPQTEIPSETPQDQQPQDGDVDMTPKGEDQQ EGKEKDDEGEKIPEDACETLYLQNLNEKVRIPVITETLYSLFKPYRPLQPVIAHRNVR MRGQAFISFPDIQSANEARKDVSEFPLYGKPIQISFARGRSDSIVKKLEGDEKFDEHK AKRLEEKKQRRRDNPLRQKAQAKLKAEETGAAPAKKQRLQMPDEYLPPNSVLFIQNLP EGTTSDDLREVFEVHAGLVEIRTIPAKKDIAFVEFADENAATVAKDALHNFKIDGETK MKVTYARK L199_003636 MDDEMDDYSSTGSVGSSYYSYSYYNRPSTPSTPVRPSPITNYDI KFVEGTGSSRGKCIDLAFILDCTGSMQKYINSVRDHITGICDMIRGEEGLNGPDDLQV AVVNYRDHPPQDSTYVYKFHPFTSDIPKVQEYLKGLTASGGGDGPEAVTAGMAATLTE LEWRREAAKMVVLIADAPPHGIGEGGDQIKGGDPDGHDPLVIARTMAQNGITFFMVAC EDTLSGYSHAVDFFQAICNMTSGVMLPLTTADLLATTIVGSVLENMDMERLIAEIGVE VAQRIRQKGETMESVEEVAQELHERLLLRNEQTKQVHLPEVYAVHENAKKNVSTWMNA QYIGDAVPNILHVPGKRLTEKFRRQNYSAGFGYVPGGRLPPRKVSTPVTTTTSSAVIS PEVPPTPSSPPTAGTAASPPRRIVSDFKPFGATSPDKSAGGLSVFGAPMLPTGPGGGM FGSAGKTPLVNGGMRGSSMDDDDEDDEDDESGTRLKKDNISLDQARRIATQAVFRAGR F L199_003637 MSTQNYHSASTETSSLQGSLACKHTIDLSTTYHNSTYVPDRPLG RHHIWSFTRYIPTANNSAEVIQAFERLTSALKDDQGNINLENQFHCVLRPSGPVETGE WEGYVSEKGLRNGSTEPLVLFSRGSSDGPHRIKHIAISPQTKKEMLKLMLKTNSSANK TRQIHLKSSDLGVSNEGDEGLSVDISFKTPLLYSRNPSERGNFVAVTTKAPQEGGWPA GQGEESKEWSGELRWWEVLGTTSADVDETEK L199_003638 MSWYDQPSHRQGLCQEFDSVVKVKWRDLSSLKRLYKNEDLLKVL PQIELSQIPQGAVRLYSIEPTDEDAHLHDYPAGSNRPQPRESIEAVATLQEALCRSSE SESFGDVRIELYSELTNYNPNDARQMKMVVPRDNPLYTNIHALTRNKRSDGLNSSIWQ CNTVHVNDDFSRSLTDCISQVTFDPLPRDVTKEVANYPFDLHSIDKISVLVL L199_003639 MLFPKEERTSFYDQDILNVRVPVEPWGNGVFHHHGNTRDISGDF GEDLRLDLSEPTHESVMRLKWSKMITDLPTTSTLTLDTSKVLTNEGIDDLQVQAVQDV KTGGTFDGEGWMIVDEQPED L199_003640 MGWESGSDARTGPCLDFSDQVKVRWRSLNPRQFRGYENDSRINL GETAPNAIRIYSFEPTRASQGNQHQIALLNEYIQGSTDNKAHTATGYRDFKVFLGSEI TNAHPEDTKRMKISVYPYTNQSNIQVLIQDRKSGMHPQVWQAKVTKLDTTSHNAISRA RYMTNEEYLMDKDSLYGDVGLNLNRIDRAFRAGSRNMKAERRTQFLSPKGGSSVDTDE IFNMRVTEENSRSQTFDASGGGDTQKIVDYQDDDKFLDLTQPTLESTVNLSWSRMIPE DARLLRRMVTVPVYEAPWGIVPTYGALEDNNTRSFVEVDEDE L199_003641 MGKLRFYKFEHLATDVDPYDWGQRHKAESDRKTVSFLNKHINAS DDPIQSTNSTGGETKTGPFRDFYVIPGSECATYDESYHQKHDHLKLFAQKVDRHDFAI YKVPYLTLSPTNDDIDNGMGRATVWQSNVVSILPTSINNVLDYCQKIFNADQENNDEY EAGGRRDLIKKNENYKKIVNLSLLDSRFDKTVGVNIEHTTKFFRPTDSSGDEKFFNLR KPTEYTSFLSDAIGKGEQDRLFDTTDDRWRDASLPTHRSRITIRGSRLLQPDLDVEYS KYYPCISNEAPGETSVNESSSPSRSSSWLSKLFSSGSK L199_003642 MSVTSPSGLPAYGSLYKDFDSIVEVEWQDCNTIKGHKEPSDPSR GDDRSMPIRGYTIGDIRIHSIRPTEESANLSAIRPEYQQRESPEEIGFLDQHITGNIN PSPIKSEEDFDQKGGYRDFHIVPGSEISNSYNKKEEHPQLKVFLYKDGVESFNSSHLD YMKAISCDQFNYHYDPSGEDSLGHSYRFSNQDEKEIWQYGHKKVLHPNWSFTQTAEGT QRYLNARNSSAQRYSDYRDAPRPFQRDRSEYNIGSFLSDEEKGRFPSGITIAGWKLN L199_003643 MIGQGKTETLRVFDDDPDDWYNGDKPNYKSTIRIKWSRLMFQDE YERLFASAKGSQTGYQCQQQYYYPSQSAQNSESKVGGFQVVGEVFDDEENDDQHPGKS LFNSLNPISRTMIGLRTNDPNPPETADKEYAQQSAATEGNSRLNRLRRFFPGFKGKEK EGSI L199_003644 MADVKRPTSPGTPGGSSSSFAVARPHGANAVRRRAPAAARPSSA RAAGAGGSSNTMLKLYTDSGEAGLKVDPFVVIVLSLSFIASIFFLHIAAKIVRAFTK L199_003645 MTASGLIPSSFLPPLPRSQYTLSPLHPADILARISILKELYLPP IHGGFTSTDVFEDEEPEEKVVRSQKRERRFSAGLVETMESIGLGLDVPIEGTGNGLEV LEEEITSEEEEIIEEEQGDVDSQEHLDPFEREWAEKWLGGVMRRSQGWLEENEGGQIE EVKEVEMILRDATAVLAMMAGTSAAGSLTRHLVFPLHPSLAPALSSLHSRTTPNPNLS PETNMFLSSLSTSPTSPKNLFHRQIIASSPTATFPVQDDLISPTSSTFSSITSNKTQS TSSTRKSKKRHASLPILLHDAPMSDHLSVGVQTWGSAILLGRKLALNPAEYGLFLPPS EGNKGIRVLELGAGTGLLSILCRKLLDLQSIQDGSPRGLVVATDFLDTVLDNLKICVD LNFPPEIKQIPGSPDVNLKNTTDVGVDEGIHIAKLDWTTFPTFMENSDSQEEKEGQEE MSRFITKGDQGREGFDLVLASDCVYDETHAKLLRLVAGWTLKLPDENGENGGTFHILS PLRPTFAPELASIDTHFPPLSTYSPLLQRQQAAAVADDHSQINIELRGEGLGLKRGLK LGVRGEGKKGVKGRKGEGRVDEEGGYWWWEVGWG L199_003646 MILSETPKGGMKGGNLRVGGQYVVQRPGHPPRVATILNTRVNKI GIQEAYITFLGQDKRLDLWIKEDELGEEIIQAEDGLSTKPINANTDGHKDTSQIQPEA GPSTPIDRNKRSDRSPRSNASTPEREHAAMTRVRNFEDVRFGEYLIKTWYYSPYPLPL DDPSHPQHSHAHTPQAESSSSSAKKRKLHANDNPTLTPDQTMSGLENSHRPHLKHSRT VNEMYSGVGKGGEGARGRLWVCDLCFKYMKTRTGWDRHTSSCTMLQPPGRKVYQRGSY TIWEVDGANATLYCQNLSLFGKLFIDHKSVFFHVENFLFYVLCDAATSKRDQVMAFFS KEKVSYDDYNLACIVTFPPFQNRGFGKLLIEFSYYLTKHPSTRPSSQSPGTPERPLSD LGLKGYTAYWVSVILRFLKSLLADSESFKPPHLNESPTKSKSPVKAKVKIAPVTPVKE GEGRVLRVRKPLVDEEKVLVGSGGKKDQKMMIVVDGVGFTKTPIPNYKGQYSLSLDLS TIAKSCHLRLDDVSFTLSELGFLTHRRKVQVIEPKKRLRNGHGHGHSALKGELGEEQE DQDEEGIDEQEEIGEEWKDIEIVISKDMVDEQWMKWRVRDKGVLQDEFVLL L199_003647 MCGRYALALSNEELYDSLEARLPRLFENGRPRWERQQDNRGGNY NVAPRQRAPVIRRDPEDGERGMIETMQWGLIPHWTKHPPTGPLNTINARSEGLLDPSS GGMWHALKGYKRCIIPAQGYYEWLKKPSSKIPHFTRLPLSEKTSIDHPPLLFFAGLYD IVKYDTREAYPTGNPIPLATYTILTTEPSKDIRWLHDRMPVILTEWDDIVNWLDLGEV KGWKEGKGGTGDLLKSKEGLESYPVPNEVGKIGNNSPTFIQPVSERKDGIKSFFSKQQ SSPVKTKKEALNKSPVKVKSEDEKKVKEKAEGIESDITPKDEEKGLGDDSNAPNQDRA HSHQALPADDKGFVKVEQAGQHRKRERSDDEGEDEDVKEVEPPKRGTGGHQTKVIRKN VDEGHKEQPAITNFFKSPSQSMTQPASTSNKRRKR L199_003648 MFTSLAITLLASLSAINASPISLQPRQGGVQVINNCYQSGQVAL TFDDGPYNYEQDVANALNGGKGTFFLNGNNYGCIYDKADQIKSLHAQAHTLGSHTWSH ADLTQLDEGGIHQELEKVEQAFIKILGLKPLYFRPPYGSYNDLVLKVLSDRGYKKLFL WSEDTGDANGESTGYSEGVLDGVANSYPSPHLVLSHSTIQTTSSEVLPNSVWKIQQAG YSLVAVDTCLGDSGEWPYEYVGEPGEPDGSWTCY L199_003649 MDPLRDAPTTTPTTSPELLPSSDQPPSSAQHIVEETNGKGRTNK LGMGKDKSGWGDLPVGVLHLIFSHVIEFPPPDNCIFQTWRNRSQSYEIALAIEQRIRL CTLRKVSLGWKSAADSHSFWPTYTLLLDPSRPHSSTLADIDSARLTPSTPSFPTLFHR ARYTTLHICIPCRLNHPSRLGLYPAVRRRLTYTKKFGYTPTCEKHYNHFCSGCYREYS IESLSPTPPTAGGNRYMRNVTPVVPTNTGLLPCNAKDTDEDRTYRLRNDLICRNCRRI SISNELTNLLKECSRNTQTVDGMGVIRGLNNDWINNQYIKEYIEETTSTCVIMANKAV ELQWLMNHTRYTELWGTAMELQRNERMMKDRYINHNFNARLVEWPHEKQQRLIKEYEL KGEDFEGRESLEDHYEFMDLCKKWNEQYRKRFYNGGESDEDDALVYGEEEEEDEEEEL RVSRGELNDKYQTKLQEGCINDWFNDRVRFGFWVSPSDEVLRYQFRALPVPGESSSIH TSVAQLCRHAKHPLSNFINIDYDPIEAEHDSAGLITLNPLDIDEETDPFLSPERLLGK LDGLYEDKLRSKITPPLTELVRKFREWFGNDDIAEQYCERLAVGDIIKKLDDWELWVP RKLVEHIKAVENRRIHDTNSASVSTARAGADITYKQNQGSPKIELVQEENQSPTMAEY GIKEIAFIASLDQGEHDDGNPGISAINTPRRIEDDEVVEGSPKLGKRKSPNEQEDALP EKKVRHSPPPWNSSQAGTGGMPVTPSDRAFIEDDSDSSTKRKRKLPPSPETHHIDRVA RAVSPPAPLRYDLNRVKDDTNIGLSMSNGSSMPTTPSPMSGADADLMVDEEEDDAGVS ERSETEISSHDTIGTMPITPTPEAEEWTGSLKDEREGNETSTNVKIHVGRTDSSGQKE VVTIVDTTTGHIISLEDDEEESSEEQEEEEMYDTNNEEEEENNASQTAEIDSVIKEYL QKQEKNIPFLPLSPPGLQWNLGEKTNSIVLKVFYEQREVLRTCRCTICERSKAKRAAE GVLQGLIYGGGNWVN L199_003650 MSEPMDIDPPAGSAAPANAMAALMANAKGKGRADGEGGTKVLSD EEIKALNDKEGLPWVEKYRPNTLDEVVSHQDITSTIEKFIEAGRLPHLLLYGPPGTGK TSTVLALARRLYGPPYKKHILELNASDDRGIDVVREQIKNFAMTKVLFSKGFKLVILD EADMMTQAAQSALRRVIETHTKNVRFCILCNYVNKITPAIQSRCTRFRFSPLPEKEVQ RKVDEVVEKEGVKLTDDGRDALLKLSRGDMRRALNVLQACHAAYDVVDETAVYNCTGN PHPKDIERVVQSMMSDEFGTSYSLITSLKVEKGLALQDLIAGAYDFLDTVELPKQSRI YLLDHLGSTEHRLSVGGSEKMQLTALLGAFKVAVELSQKKI L199_003651 MKFHERRTIAKKRHSSVSVLEWKEDLVEVEGMKRDSYLVKSLFE GMNLISGDGGNGDKDGNEREKEDGFEDEDGDEDERGVGDKKESEDGPEDYPVELDLQD EQYHHRSLSNTIFSSLEDAFSSDEDEDDLIVIPKYPKDEDDDHHNNDDDDDDDDEEFL QIPISPWFVDLPTKSSPFENILKPLKDKIKIDSRTNTDIDIQQKEEMDREQEETRRTY HDLYPPIYHEKKPIPLRDPWRLRSPVQKYHYHYTIC L199_003652 MDAHARARMPPPHQTPKVSSRSTPFAPKTPSHMKSPPLTTSHNG NTPHHPEPLSNILSPKEGGAGSTRLKIKSATTPEAKSRLLVKPIGARAQVQHAQRTNN DLLSTPSVRLVNRPTTPSFAPTLADEGWMSADTHPIEASSMMDFDEYENEMAETEAVL VSVRVRPPNPVELRSDAGSVWTMPEHDPHLLKLAKGTEGTREDRDWIFDRILPPHSDN GKVYGTSARRHVRSAMEGYNAVIFAYGQTASGKTHTLSGSSMEPGIIPLAISDLFSQI RSTPDREFLLRASYLELYNETIIDLLSTVPGAELHLSEGKKGVVINGLTEVAVRSEAD VKKLLRSGEDRRKVGATDWNARSSRSHCVFRITIESRSRNPAIEEAPIGARTPGGRLK AAGDKMTKISTLSIIDLAGSEKHTSSKERNAEGKHINQSLLTLKLVISKLADLASKRN VTHVPYRDSKLTRLLQNSLSGDALISVICTVSPSSLNLAESISTLAFAQGLKRVVLKA QKKEVVDPHALIQQYQNEIAELRAQLREKEMHGGNKNERDKNEAMEKRLNELKSMILT SVNVISPNPEDNATMVPPSPAKMRYPKLEYDRPSAELLEELHAEQLHRAELEDEVARL KAELATRPLEPNKEIVGLRNEVAELRLIADDYERHLLEPSRKVRADVEKEFSDKMKTL ENQLDSKKIWANRLDENVRFITQENKALEARCLEAESKVHQIIEFINLALSPTDPDAL LPIPESEEVRELSPERELPTLVVSDDFSPSLPSSGGKSTLTLSASKMRATFSQMDLAN FNDKFGTLTVSGKGKGGKGLGIGGMGGSGWGGKMLREESSFALAEVAGDDDDF L199_003653 MDLSYNLVASKCAEQMAKYQDCVLRNQAGDWNSICRPEGKALAA CADASVPHLAELKNSCSKQIFTYRQCLDKHASQPDEVIGEKCGGLMKDLWECSERTMN NIEEREKGSSKLV L199_003654 MVSPTSTISNISPPLITPHASPPIHTQVRRSSSTSTGTTSTKTS ESNMLSPEERISKLLSTISSVLVAYSKSLNTSPRYMNSLIEFFHRAISLCPDPKAVPQ LPTLPSSTLSSGSNGSSSNTPFSAILLQSHPPLTHNNGDHHNHNTRRNSGHNNLIDTH QHLAEDNTKSQHIHPRKVESDLRGELEGLEEKVRRLEKDRWTSEIVAAW L199_003655 MSRLPTLSTPSRSSTSSPSTGIPTPTTRRPRSSLGPGHIPTPST SDDVMDRALQEALKVRPPSSLRKNGPEDPSSPSLLGVSSAGRAAPRTPGVRPKTPLGL GLPNTPLASRSVSRTGRPSLSTSTTTPFTPRRTSMASSTTSSTPFARRPESRASNAND TSKWVPVVGEKVRINSMGYEGRLRFLGATQFKEGVWAGVELEGGFAGKGKNDGTVEGV SYFSCPPLCGIFVIATKLSPPTAGPSRPSSVASSHRSLASSSASYSLNGRATPSFDRT LRDSTTTTPGRTTRAVSSSVRRAPPLDDDEPLPTRTALGTSTSANAGVERKITAGSRA SRYVGMTAKQLDSARAGTLNASVKSTATPKPSRISMGGGVTPARSARQSIGGSLITPR PARGPRASNIHEMPPPPSPSSINRVVVARQTEALEEEIRELKRRNAELEEDLRNVAEA ASDLPQLEELQNLASQAREEADSLRSQLADAQDAARLAEELQLAHTASQEELATKEKA LNDLKKEMKLVSERNEAELSAGMEAKKEEVKKMTERAEAAEMEAVEMKALVDELTNAG QQMISLNETKQYELEERVRELEDRNRILDEKLQKAREEQEKALLPPSPTTRQREAATA AEIDNETLTAQVRHQQNKISLLEEELDDLRSQAENEAEAWRGKLTRSKDAEKAGQEQV LGLKDDMKKLNEQLQGAKARVNELEGALKENQAALEGARADIEGLRGEASEAASMRSA LMTATANEKALSAAQEELKDLKAKFATAQEAESQLKEIQVKLAESDLEAKISSLENEV TMLKATASSSLENNLPQDRRSRLSTGSTEDADKKIRGFQHIIQELSAENSELKEQVES LKEEVVLMKEEIKLLEEAASDGQGGSGGAVDQKELTEAKVMIKELNREVAELESLIEA KIYREDELENRASSLEREIARLRSSSSSTGAGKLSDGPPNSLSSHTRSSSTATAHTAA DDRCELCEGPHDLDACPVFAGNVLGDGGDLGKKNGASGKWCADCESTEHDTAECPMAE DVF L199_003656 MTTSIYQPFLLPSAYPTTSLTYPQVFPQFISSTPTPTPPPTPGP SGSKGVKIIDHSSLTRPPSPSPQRLNIKLDNENKHEQNLARSSSDDSIQSAILITPET QSPLTHTILLRDQTEHHNLLLSSPEASEDHLVFGHADKQASTSKRLSSTNNVIPIDAF MEVRHSSLHPHRYLRRICQPQTAFARGEIIHPKFFSLLSSHNNSNQLNEEEENQDDDD SSSESSGYSSIIPSDQALGRICMYQYWRMYDTKIKEEKEERRRLRKFSNGGDQGEGDG EGKVRDWLFDQHHHHYQRQRQKNRIIKGPSPLEITTPTEGNSRGNTHPKTVRSSSSAY SPLSPEPWLAKPYLDNSLVPTAQISSSPKLHMVIGQRNLTQD L199_003657 MSTDTSTTNSTPTSNSSSGYTSPSSTSTSTRTSPYSTKVSSPLA LSDYNLKLTAIEEPSEGELKPSKKCTPEVYTDIQSQEKISRSTRSITKYNENEYIWFK GCTSRRVAEKEGEYIWFDTKRCKGRKGY L199_003658 MPFPYLSFQTFILMMVIPIVILKDIQWRYQQLKSHIKRLTNSIV YDFIPTVGVYTLIGCLAVPAIMFMVLFKLSSYIVRTLCSVFLFLSKSSTISLEEKEAD GREEEYEFQEKSTSTSPLISTINDSTVSTSSASSDNSSSGPGTPTTPINTASIESWSY GVYLKRYPSLTVDSRLEFDSEQFEDDDDDRLEYVKEPQPKFERGGDYPYLPLRLNVDD NISPTSTSSYFIAIDTDTSCDNTPITIHSDDPTIATRTREDEKQKEENKRIDNRLLRA KEMNLRHSKFVRRCEKESEKFNASFPGEMDIVKIRKSLELNGKKHENSGAKMDLSVIY E L199_003659 MAPSSPSQHTNPLLNLVNPYSSVARSTYPDPDSPSTMLLRELQG GDDSTVNDDDEDQLRNDRMSPTPTPDNIHRRAMGGSHTRTHVLTTSSSSSDDDEAPPR SLIFGEQPSAGDRTPKSPSRPLIHSDRTPIPRASSPGPFINHNIPSSSTSTRSRSTSP GPSTISVYASGLEGTNTNLGESSISRDASTSPETNRPNKPLPTFREPPSGSSTRPNPI PSSRQTSSSGYLDPTFTSEAESSKKGKGKAKAKSRDKGGRKYHALPVQEEEDEHEERE DRGRYTGLQGRKDKIGRKGLNNHEKALWKWVNVEDLDGFLQEVYDYYKGKGIWCIALA RALNLLTTFFVIAFSTFLTSCIDYKKLWSEASGPDAIARLDDVLIEKCIIRASFPHML FMIIISAFFIFQLSSFVLSLPKLLEMYRFYTYLLGIPDADIQTLPWPEIVRLIGEIRN HNPVTSLSNGGATALADMVDEADQATYKKLDAHDVANRILRQENYLIALFNKDLLDLR VRLPIPHSLDHLVPPSLLAPTTGSLPSHTSQQDRKFISFGANTLTKALEWNLRFCLMV YLFDTRGQVKKEFVRERRRKDLVIGLKRRFIFMGVLNAIFAPFIVVYLVVYSFFRYFE EYHKNPSSIGGRQYTPYAQWKFREFNELPHLFERRLDRSYPIAKEYIDQFPKERTALI MRFVAFIAGSFAAVLLLASVIDPDLFLHFEITPHRTVLFYLGLFGGVLAVARGMVPEE NMVFDPEERMKEVVGYTHYLPAEWKGKLHSQMVHQSFGQLFALKITIFFTELLSVILT PFVLFFSLPPCAGAIIDFFREFTVHVDGVGYVCSFAVFDFRRNGSDPKAVDEVAAAPS TTTTQGSPAAVIDHNQTTRKANRDRWTEKGNEKMESSVLHFKATHPDWQPSDPSASLF LDRLVGQLRSPRSPRSPRTNFHRGLGLEGSMYAGGGGRGLGISGVGMDERKLLERRNE YERAFDRSSHLIKPSRRREREDEVIQERNEDEQDPEDEEGLEGWKEDDKGKRVDGEEE RWRDEGVRGILQQVLGR L199_003660 MENTESIKRARSPSPKAESKDGVVNPKAEQPEEGQPPAKKPHVE PTASAPSDTPISGVNVSVDVDPEEAMFNAMSSENGEDRKKKTWGRGQGYGNGGKGKGK ESEKKGPDAVKYDRRPNDWTPREKKDGETEARLPKRRCALLVGYCGTGYHGMQIQTHG SETIEGDVFAALVKAGAISADNANDHRKSDVQRAARTDAGVHAAGNCISLKMIVEPPL PEGYKTLAEYVNSILPDQIRMWGFVRTVKSFNARTAADSRIYEYLLPSYCLLPPGRDD PLGKRLDKSSPGWRDLLGKEAVDFVDAAPSLEPEGEEEGGKVNPKNRGEFERRRGFRV DSKTLERFRELIAQYKGTHNFHNFTVGKPFNDRTVKRFMIKLEVKDPQVYGEIEWISV MIHGQSFMLHQIRKMISMAMLACRTASPPSLIPETFGPKRIHVPKAPPLGLLLEAPQF GVYNTRITTKANGLQEDRDPVDFGLYAEQMHDFKVKWIYEKLRQEELEAHVFHKWMRQ MDCSMSNGLAFLNTQGTIPPEADLSKGAKEARRAAAAAQAKEGGAGGEGEGEGEKDIA DEEMESEDEEVDMEEVKRGEWEG L199_003661 MSPSPVTESMKYFLKAEKFAVIGRTMNDRSRWDNKILRWYQQRN FPVTAVRPNKPSEEVEGLSLLTEPTQIPDLPSTSISIIINPLVGIDILKSLYPTPPDP KREPRSIWFQPGADSAEIWEYVKQRGLEDKVIGKGACVYRDGDGILDLIKNEEKEGKG KL L199_003662 MSPSSSTSSLTTLATPPSPPTSILKTPDSPRSEREKKVRFSEEN DYFTIPPRKLKHKHKHKDRSGSQPISLSEYNLKQIVEVYQSPRSSHPRTTGDTKDLMN DQCVWGIEEECIGDSYTQSGVERARREREEWLGKPSDWDRTLSFSITNLFDSIMVFLT FGSGKGVDDPSESNDMNEYKIITKRRLFRPTTYSIVFNDMTRTTS L199_003663 MSTDTLVSTSSTQPPTSTATAEPSSKIIVRDYAYTPVKVYEIIP GKQSPLLPSPSSSSSSTDSSKLLTPTTKEIPMIGLARQGENVVVCESPECSDDEDGMV WMNKEGSGKEHT L199_003664 MTRPTTATATTTTGYTKSPQASKLDMDIYHSHNPDTQFTVYRDI PSLFTYRKVIQEIKQARSPSEIIEGKRTRRKYKLVIAQLKKRKNVIRYMMSDDGVLYK RLNFVEIVKDFVFGRDNTHFPSHDRYAGRRNMKRGFSLGIDLY L199_003665 MIGFSNFILRNYYNAIGWNEDNLYSSITRSSSSLLDFQVPQSLI LQLANAPTPIFFNSYALDALPQLNGSIQYITTSEPLEQIGPSRSIRFKDVVERFQVFP PPKRPLAKDEVWLAGKRIEGRDYLLYSRLHLPSLHLSGLATTRLTPTLQAHLAFLSQP ASPAATRPASPNTPPSHSRQPSEPSVPPSQPPPPGNILLSLQHDTGRYSGEYTYSAQD GMFGIRGLYNFGWQSADIKNLIYNQSTPTGGVITVVKKETEQDGKRIDEEEMMEGGLK GRFSAGGEVYFSAKQRSFGISTGLRFTTIPQSPNGPPASPPTTLTLLYNPLIGFLSSA YSAQVSPTVALSTRFGVNVYSYESDLAIGGEWWIGRRRGKRDITQQSSASSPFSSKSI RQDGELVSEMPTKADEERKSQFESWREASLRPAEQTIETIQDGPLNVTEIRTRAVNDQ ADLTSADEAEAIDDRDGVLKARLSGNWSIALLYEARIRKCLVSVGIVSDLASRQRPIR SVGVEVQYFS L199_003666 MFAKVFTALVAASAVLAAPVESQLEKRITHDGQATYFEVGLGAC GWWNVNEDYIVALNSAQYQKNGGSNCGQGITLTNSANGKKIVVTVADECPTCDDGSLD LSPSAFSALTDGNMDQGVFPISWHFNRKQ L199_003667 MFFGKSTVVAALAALTFATAAPSLEKKDQNSGTATYYAAGLGAC GWTNSGSDYVVAVNSAQYDGSKCGSKLWVWNPATSTIAFPTVADECPSCNSGDLDMSE GLFGHLTNNNFDLGVFEMQWGYL L199_003668 MVFTLPSLPSGILGGLIDTSPPVFYPPTRNTPTKPPRTRSRSRS SAHHQIDSPIQAVPPSPSLTPVKQTQPYPGLGNLLPASASVTDLTGDWEPLPGGGLGE KRRLSISSVASHGYSHDSRPNSPPPFIPRLKTPTRPTHRRCHSALPASSSSSSIAFSL PPPTGKLQEFSISRNPLASTSAISLPTLIEGQGEPSTSHRSVPASPSLNPHSRKPSKS KISPISPNLPLEEESKLEDNQIPELKFTKTSLERSNSNSSVPPPNHSYPCSYQSMPPP PLRPDPINISYSPASSSKNRKSKTPSRPIASPRSWSVQDIHSLTGGIPRKGLHSRRGS IESTSSVSDIAVLATWSFPNTPPKNNQNEVDSDPPDNSRGRKPGPSERLKERLKNIPG IETGPFQFPSSGSLPSLPKPLVPLPLGVDGIPIKPSRPLPSHLKRVGHRHTHSSPDLL TIPTSTSTPTRQAHGQMGPPAIPPTSNPLFPPPKPVQRRPTTSRLRQPNPLSMSTHQG QPSLAVGKELGSSPGSIDSLSDTSTLCASPTTSVKSLPSVSADADSIAYTNISGKAWW SFTPFKRSNSYSSERSDDHKERGQEEDDEDDSVEVLSKLVTRKEYDWELHSSKVRTGM EEHEEEEYIDLDDM L199_003669 MSSIPLLLLFLLTLSIPPFVPLRQIRLDAFLMPLSLPFIPSSTF DTLPDSRPWDISRAIVRLIIAYSLFAGFGSVLRTLKRIGGWKIPESSTNQLVRIGLLW LLAWRGATLPFVPDEKAWKRPLDVATIVGVGSGGICAVDEIREIARLIGIKSSNQYPK SGIISRIIQIILSIHRILLSLRIISAAFTPVKQIPIDLSTASQGIVGE L199_003670 MGNIDISDRTILSTIWEVLDKTPNELFGCPAISLEELYRTLDTT YETLNSNPIIGLLDEHSGDLLGINHRVSLKSVRKGEKKEHWIVFQPIPGKVQPGNRKA SSTVSTSKPDKTSDSKMDSNTSSWQDLGEKEGKTLELLPNLPGGSNHLSHRTAPKSAR ERIFSVLGMRKEKYRNGNGSDKSSVERVIQGHDGISVEMDSTDHGGQRDLPSHHNSPS LGRSVYSKESDKGDWLKETQAWKDERKKPERKGTEWSIIDAYNYTPQNDHFAEIDINQ TRERNHANVDESERGTGERDITPNEEIKLDQHQVKTSNVGAEVQDKHEEETREEAQPE VKTKFDFTDENDISTNQRVSPELNGDYYDYQAMHGRHEDLEVGDIGVEPKGERSRTDS KDRKKDKLKHRSKDERKNRRKDLESGFEINSISVADITSSEEDSKAKDGIRHKSIRRK SGRRNRKPPEDKKRSHKTRSRSSSDDEIISENPSDQYSSESEEHHEDHKVPGPPTPSF LKAFEGLPFEPDISIINSLSWFKDRYHLILAELIILLSIVYILQASGL L199_003671 MPVPQEDALPVGNPTSSSHTVPAEAQPEVAEVLEKFQKLGEEAA AEEEGDSDDEDGEAEGEGVGVAGEGATEGAGGEGGKKKKKKKKKGKASKAVQKLKNIA TGQAPQQVIDAVREQMDPQESNAATDEEIQKALKAADFMKILEGKVALGNKSNSKNLG EHKFWKTQPVPQFPTSSSGAALEEGPIDSYKTPADVKQEPGALPSGFVWSLIDIKNEE QCKEVYDLLSENYVEDDEAMFRFKYSKEFLLWALTAPGYYPDWHIGVRVQKTGKLIAF ISGIKIEIRVRSKTFDSADINFLCVHKKLRSKRLTPVLIKEVTRRVNLENVWQAIYTG GVVIPTPIGTCRYWHRNLNPPKLVDIGFSPLPRGYTIARLVKSYSVPPHPRIPGFREM TESDVPQVGDLLRKYLNRFDIAQTFGKDEEVRHWFLSGQGKEDKDGKRLEQVVWSYVV EDPTTNLITDFMSFYSLPSTIMKHPKHDMLKAAYMFYYASDVIFQPGGSADDAATHDM KTNRKLEERLNALSNDMLSIAKAAGFDVLNALSCLDNNMFLQEQKFGPGDGYLNYYLY NWSCAPIDGGQRTTSQKQGSGIGVVML L199_003672 MASDRPPTSDQTSELVPPPVPQPTDNMSEDIRRQVEQEQALADA QRKVRQLEEEVEKVKDEKKALENDKEGAVSTTNQLRTQLSALQSSHHQSTSELSVLQT RIEAIEREKKELIEETERLQQRSNKNNQELYALRAQKTDASQKIAHLDVEVSELRMTT ETAKFNEKRSSQALESARAEILNLSKAVADVEERFGRYRAEKQAEQSKTRTEHETLLT RLNTVENSYRSLQRTYNDQSQRLSEAHANIATLTSAAAAKKASVSMEFHQLMEENRIL EKRGEEARATVAEREAELERMAESYGEKEKTWEEKWKKEERIRKEAEKRADDLKIVVE RLALAGGEGTDLSPAAALAGGMKASGKTYTQFYTDYTIQEGKLRAAENEVARLTGLLD EIGQDIAEKKPLLDEQAAEHGRAIERANALAAELAAVIASRDTYDTQVKSLNAAAAHH QEEVASLQTTVDDLSRQVQGLVRQIAIRDDPSLAGVEMNGGATVEGDIITDHLLEFRS IRSLQGQNQKLLKLTRSLMAKLDQREINRASAAQEDLDTGATLDQAADTIEKLHKQLL ETQKRVNEATRERDLFSKLLAKGEGLRWSQTSSSDGPLEDGSLDAPHQQAINNLQAEL GIVKNKAEVEVKEAKEEIRAKTEQIGVAEVERAKAEAKVGLLEEQSRMLNEANALQKQ EYTNLETQYRQLQNAISQAHNEQRNALEQVATRQAEADRLRNETAMLRAEKEQWKSTE SRLQSDFAQVQSERVKLQQLIDNLKNVATEAEKSRADERTQLEKRIEDLQREATALRG QIEQARAETRAAEAKIADFDSRLAAATSNVRAEKEAAEALGNTRSEEIKTLQAEIDRL KTESENRYRIGINWKRRADTLTETQNTTAQAHTEAIEAKDKEIKEVNEKIEGLNKEIE EHKAKLSEVEKKLVESERVNGLKENTVQRLQAELTKAQSGGGAAPAGGDKSAELAALQ TKLDQTQKELEEAKAQAAASAGGATTAVKAEGGSLTDAERMELNAKIEQLQKEKDEGD QRYADNVTRVNRVNASMKGRLDQLTAERQTAQSTVETLQARVAELEKKISELESAGAS TTASAGGEGVTEQAKIDEAVKAAISAREAELNAQHSKALEAARAQSGDGNAPQVDLSA KEAELNATFENRVSEAVKSQSEAVQNEANTLKGQVEELTTKVRALERQVKTAEISRKT LERQKAEVEKKLEAATGGGASASPSTTAVSSNTSAFGVPAASPTPSTASALSANAGAF RPSNPAAPAPTQQAQPAVPTPTNSTTHAPATTAEGAGVGAVRGVARGRARGAVRGAAR GGAATRANSVLSTVNATLNQASAPSTSTPSTITPSANPTSPTGGVKRPLEEGEVAGEG DGSSQGAGGDIIARIQGAAAAGAGGERGRVLKRPRGAGPARGAARGAAAARGGRRSSA GGQGGAGAGAGEGGAGAGQQGGSGEGGAPSS L199_003673 MSTRHLSKSTIFFLIIFTFLSILTFLNLSPNGQNLLQWVNSLEI LQPSQQLFPYDIDQDITTYQEYNDREVEKLQRCLEDPGECRRNQDKVVLAFAHAWPGA VIDGWRGGEGVWAISMFRAMRELGYTVLLGMNDWDETLRYYRMFPDQVKVIIKSAWID DCIKDPKCIRSEVNPTGIPRWKSEFSMHFFPDTHTRFGLDYKWIIHADRHFYGEEETE KQQYIGYSLEDECMKHPFVPHDQRPMAAWTLAKQASLFHLGAKETVFNKSYFELASQE AQLDGLSFRGAYQVNGEYMKGWIHDTPVQALNGVVNLGKTGPDQFLDELASSRILIGV GNPPLSPSPYVALCMGTPFLNPIKSWDPENPNDRSKWVSQHNYLKWLDPPYVYNVQAH AYDQFVSAISQAIQNPPPRYVDPPMTIKNVRRRVERLVESDWKELAREYMEEKIKEGE KYTWTL L199_003674 MERDNPKTDIILSMAHTPTEDYMQQIAQRLKSHEFRKKLYPETV KRIWFYETAPKSAITYICHVDPAHSRDRDGPLRLDGIGNKEYNEYHQDWEGYDYAYRV RSCFRIKEPISLEEMRMKYGIGGAPRGMVYVPGKMMEDVKVDEQECIWKEG L199_003675 MSSSNPSSRTYRINVPCTSANIGPGFDVCGIALSKSLSLKVTIP SNPSDQPSLPIIKYTGLDSENVPLSPYKNLLTRVALYVLRSHGINTFPDAVTIEAHNE IPFGRGLGSSGAAVIAGVLLGDLLGNLNLSRGRLLDFALMVERHPDNVTAALMGGFVG SYLRELSPEDMSAASIPLAEVLPEYPPDAGPDWGKNPPLPPNGIGHYVRFGWAKEIKA IAVSPRFELATAKARGVLPDSYTRKDLIFNLQRLAVLTTALARSPPDPDLIYDAMGDR VHQPYRMTLIPGLPKILTELTPTSHPGLLGICLSGAGPTILALATHNFDSIAAEIERI FKSEGVEVDWSLLDVDERGSTVEEM L199_003676 MSGRKVMVQPINIIFNHLQKHTRTTIWLFDNNSFRIEAYIIGFD EFMNLVLDDAEEVYDCATKPGQEPKPRRDLGRILLKGDNITLIQPTQG L199_003677 MPDGTPPTIDSASGAAASSDQTQPNVTSSNPLGLPTPVPDPITG RLDPNDPTVKALTEAALNMDKSKIPRPYKCPLCDRAFYRLEHQTRHIRTHTGEKPHAC THPGCDKRFSRSDELTRHARIHLPPAHENGSHKGKSKYDDDHEHDDHRGHHLPHLGPS YNMDLERHGYPYNLHSLQMGATSGGISDISALAAAASDQLIELERHEAFRRAEWELRH RQIAGARKSNGNSPVGTPGSAPAAPYGFSNERERLSLSGVPAPGGGTLVYPVSAPQPA SGNQPAVPAGTLADPTYLVPPSCCHEECHKSYRKRLKVAKQTQACPNCLTMTHNGNSL GGAGGAGGAGHGGNGGGDSHHSSSSNTPKDRSTHNSSEDLTKFGGSAPGGGSNYHLNS ATLTQELAALQFQHLQALQRQRQGVAHSHSPHGSYGISKPHAIPIQPPAQGHLRPYTL DLNAHRGLASAHVSAAPSPASSDDSDDEPMNEIMPHAHMEFTPATSPVLSSMRQMSLW QGKAITAPTSRATSPILHSRGPSRPGSPVEGHSANSGRHGHTSHSARDAKNRSHPYTA SLHYSTPNSPHFPPTSNSSGKHHNRMSPPKLHRTLSSDGNGRYNQFQQQKQSVQDILN GPSILPPPVDRMLPPPSNSSFTSSVPSVSYSQPTSTSHSPATSRASSPVHFTHAAAQP HIIHGVRAAFGMTPISASNSPNTKEPKIAQSYSPPHKLAPMGMSIHESVRLPSLSRGN SPVHFGMEVDSGQA L199_003678 MSSSNEHLQYGVGLDLKYCFDRPRTLKVEKQWSFSGKDYVVKDE EGNVLLKSYGRAFTASNALISFKIYGLYLGANPKDAFGFRTNFKDLLTGEDKEIAITL DSYRPYVRRGAIRLRNHHGTEGRNDRIEGEDTYIGFMNKNKKDARSYHYQIEIEPNVD YRLIAITVICMAHLTFSSY L199_003679 MSLNIRKQWSFSGLDYTVKDQDGKVLLRSHGHREYRTDLIRITD QSSREIFTCIRNHPQNHFNVFLPNADTNSQQEKICSISLMFTYRGPGEECGQGSRTHF KDHLTGINNEIVVDWMDLMRHRGLISLRDDTGHDRTNQEKFGKGVVIGSLNDYDTVFD YTHPQVEFIPNIDYTLLAITAICTESFTG L199_003680 MPQNETEEWRSTVLEHPVGLNPQHCSLRHMQLDIRKNWSFSGLD YTVKDQDGKVLLRSQGRRLHRIDSINTDPDTGRDREQVISVSFMCTYRGPGESAGVGS RTCFKDHLTGVNKEIMVEWQGIMKKRGVITLRDDTGHVGMNQEKFGNGAVIGSLNDYD TVFDYTHPQVEFISNIDYTLMAVTAICGEHVTG L199_003681 MSPVPPPTGAFVPSDDWTEDPSFDLSPSAHHFALPTSPSSSSTS STSHRSYSNARQHASSPLRQSHTGVSKGTIKLKKREDVEELLDFDEDGFELPTNTHNL LKPSRPRSSTSSSITRTVIGSGPTGVGTITKLGSSPVSQPSIMKGTVKARALAIEKSW EADVDFDDDDLIPITTIPNKSKHNTNISTIRRLTLSPPRKGFMPPPDALDDLGFDLDE EDQATLKAGVTIKAMLPPPSNKKRRDSTIKSSKSNTNLNVIPVPSTPPNQDPDSVELE SDFALPLNLTNLTLATQPQHSRRNSNKPRISNASTTTATTAESWDSPGSSNKKGWGWG SEDSPGPSDAHKRRSETSATSISDALPETPNEAKSRTRNLLVEPDNNLEREEDDIETG LILPSPTFFSNKRSKELNSILDKKRKPQFAPTPKNNHPHDSNANDEGTRRGHHMDDSF EDGLVLDEPGVELSKHRLREKKRARDKFPISTIKQRGGPSTSNTTSTKSVAREREKAW EKQRERDWGRVTPVNNTNINSRERTHSTLGLTFRSNSASAGTLLKDGGSNRVDSPSFL TGREKESMRSRSGHIHSMLPPPPPIPPAAAPTATPSSRLRHQKSHYHLAAQPTPSPSL TRKQSLASLQDALADRTFTPSSGIGVGETPRYHNSTSRLTMPTSSSKAKSRPPINSIF PTHTPQSSASSSSSIHPSLRDRDGVKRMVDMPKRSKTWGDGSELDGIDDLTIDDDQHK STIKGSAISGLGLGKPSRRGHEPSTSRPTTASSNIKPTPVSSEYTERRKKSGIGAMNI STTTANRKNRKPALIKHFGVADKKKVVGEMTWNPSTLRWEGNESILRDFDTISTSARP ALITHYTGSSVGVGGLSSPVGSAPAAPRIVGDMQFDPIQMKWVSILSPEEDEPDPFEG MADDEDDEFGFGTGTITRSSGRKFVTVGLNSIGGGGGSSNWTSRLASESSVMTSTTTN TSASTNQGSNWDENGFGMVSEELWKECKMAEERHKKEMKGWIMRSTSNTNELRERERK EEKRLWEIRNLAMKS L199_003682 MLPTLPRMTSKKALPLFYAICPDYSTDPSATLQRRLRVRAEHWK RAEEDKKQGILEFGRGTVAPPSHPLHTDPNLPSNLQAMNGSIMFFRYKALSDVWDRLK EDVYYTGDVWDKDKIQVGEFIRLPGEGEE L199_003683 MSPITKLPIMADLDMPTGHSSRYCLDEPLTLNAYKKCCSLSGGD YLFKDEDDQVFVKSVGKANIRQDTTLFDANGEEAVKLAVKMKTLDWAITGSTPSGEQV FTVKLGGKRRRDSNITTEFTNMKTGQTKMLEYETWKCRGDRKLFLDGEVVASVHKDHW YSKKRQIDIAANMDYTLVMATIIFYDIVMDQWLPAAF L199_003684 MSDQHVLLLGATGASGIAFLEYVLPLPDGPKLSLLVRNKSKLPQ ELVTRYQHKLTIVEGQLNDEAKLEEVLKGGVTAVVSLLGPYPSLYHLLTRTKPTPIAD SLHVVKRVMKKYGVKRLLALSTPRHSVPGEKYSWSQFITTKTLPATFMPQGSAEMTAI GEVCADEEFEYTIFRVPFLSAGSGDAKVHAGFYGPDFKGSQELSRASLARWLWDEIRD GYWIRKQPALGNYQ L199_003685 MDAIFGFAIGGAMEPLRTGGTGSFAAFALLFGLFQTFLPVVPGT CNFSVSSGSFPKSIRGHALGFSAAVGKAGAAVGILIYPLIIARFPMKIKGHQAIILGG ILELNGWNTSVMGSDSETVVSTPPNEQDDDKP L199_003686 MPSSLPVPNSHHTVSSSEKPASIQSDSPTVINDGKKNDLPPTAH YEESPNFGPQHIEISTATLNNPLDGMSAEEVLRDVDKFVEDKGLLEHRELFRKGALLA RVAQREGGFEDISEIPEDEKKILREEITHRWRQPFMLYFLAVLCAGSAIVQGMDQTAV NGAQQFYFDEFEIGPEKVYIRGLLNGAPYLCSCLIGCWTNAPLNKYLGRRGTIFISCF ISFASSFWMAAANSWWNLLIARFALGLAVGAKSSTTPVYSAESSPKAIRGALGCQWQM WTAFGIMLGFVASVAFQNVTAPIGQSIDPPRYPQWRWMLASTAIPPMIVMIQVFFCPE SPRWYMEKGKYDKAFRSFSRLRNHPVLASRDMYYAYKMLEAEKHQREGKNLVKEFFTV RRNRRAAQSSFFVMFMQQFCGVNVIAYYSTQIFLDAGFSRSQALLVSMGTGIVNWLFA IPAIYSIDTFGRRNLLLTTFPLLGLCLFFTGFSFWMPAGNVEAGEMSTGRVAMIATGI YLFMAAYSPGEGPVPFTYSAEAFPLYIRDTGMSFATATCWGFNFILSFTWPALVDAFT PQGAFSWYAAWNFFAFFYTYFFLPETKNLTLEELDIVFSIGNREHATYYKKKLPWYFQ KYILRKQVEEYPPLYQLGGGGSMSEKIARTQSRSSHR L199_003687 MSSLRNEITPLLAPSERNIGNNNNNGSPGSPRGPYLPLTSFRGQ STVQATGPTPVTVRPYPNSLNQPNPKTDDVDHDSKVQVYDVSILNPENSTPVIFHDDD PLTMLWKDFWVLVRCIPTVFFILWPAPKPGIDATIKGLILQVILVVVSLILTAVCLVS FFVGIPSPVVASLVLATWLYGYNFILGESRITHQNIVGDYKDDKEVWLLVNGIGTSRS GLKLILDTLYTQFGRKVIGVHNRTFGVWFDLVECMLQRDLVWNTTDTREGYNIISRHV ADPDKEKIVLMAHSQGGIIMSSWADQLLSDFSHEQLKKVEIYTFASAANHFSIPETDS GPAFGCVEHFVNEWDYVSEIGLLSFAPPPPPGLPVNSPTVPRLSGRFAGRIFKRLATT GHLLITHYLPDNNSILHDPAVLRHSKLASYLTDYEDLKRKEEVRISRIQAEKAAREAS RAAQQGAPIAGPSTR L199_003688 MSGQYTYPQLTEEVEHNLLPGISLKRLDLFLEGPYNEYNLASVL DRVRLDGEEIVKMTIWSAPGIEKVTFEEATQRLQSNEVKQLNKGDLIGGSWTQHWVKV EINIPSDFRDSEEPVVFEFDPGCEALICNIHGHPLHGITGGPNSTINAYPGYVEDRRI EHIIPTEAVNTGRYECYIEVACNGIFGIGINGYRHHEPDMNMKYHLALADLVLVRSEA HALKVDFQILKQFARSPQGAQSPVSRKALRAANEIMNVFRRDNEEAIDQTVRKGRETA MRVIGEGLNDFEDDETKVWAIGHCHIDTGWLWRYVHTQQKIARSWSTQIDLMERYPEH QFTASSAQQFLWLEKLYPTLYEKVLEAVKQDKFHPIGGSWLEHDCILPSGESLIRQYL YGQRFFKEKFGRKSNIAWLPDTFGYASQLPQILRLAGIEYFFTQKLSWNNINVFPHST FNWSGLDGSQVLAHMTPTDTYNAQANFSELQQGISQNKNLESTDQCLLLFGNGDGGGG PTALMLEKLRRLNSSSVKNPEVPKTKISKVDDFFEHLKEQTRGGKKLSNWKGELYFEL HRGIFTSQAKIKDGNQTIEKLLRDLEYFGTLASFVSDSYEYPKRQLDEIWHDVMLNQF HDVLPGTSIKMVNDDAREIYQRRILETQFLLDQSLDVLLPTRDEGQDGSIAILDGMRL DRTGVIELPFEPQFEKVVIQPGIDDKVLAFYSSSSSPSGGTIGGLINPPNQSTPLAKQ EGSTYSLFNGFITLTISDGRITSLYDHTAYRELIVPGIGTDRAGLMLYEDYPLSYDAW DAEIYHLRMGREIIFDKVEIIANGPLRATLRTESIFGKSKVVLHISIDVATSGDIPAI KVDAKVDWHETHKFLKFALPLDIHSSQATYGTQFGLIERPTHRNTPIDQAKFEVPAHT FADLSESGYGISMVSDYKYGYIVEGNTMRISLLRSATAPDVTQDKGYHEFTFHILPHQ RRLIESGVYKKALGLINPLRFRKIKSSEPKLPITFTLHPHSTSTTDGVILETIKRGED DFITGTDFTDSRLKSIVLRLYESLGGKTKTSLKITGLPKPSALKWLNILEEPEMFEDQ PVKWKVDDGVIEVNIDFRCFEIKTLGIYLD L199_003689 MANNFEDKKDMEEHIEGGLNDAPILEEKRKKHTANNQLDEAAAY LAQAGPVEYTAEERRAVIRKIDIFVCIPMCLTYFLQQLDKSSLSYAAVFDIQKEANLV GNQYSWLNSIVYFAQLGLQPVSSYALIAFPIKYWVTFNMLAWSIVTVCTGAAKNFTGL IICRLLLGIFEATILPSFIFLTQMWYTRREQSFRTIAYQVANSLAAVLGPILSFGIGK ATENSNKVKEYQGIFFFIGSISVAFVPIIFFMLPNSPTTARFLRKNNDRVIAIDRLKE NQTGTKSSKWKWNQVRETYKDPKTYIWAAMYFCTSTPSGGFGAFGGLVVKGLGFTSFK AILMQAPTGGIAILTLLVTIFFTNQFKARWAVVAACVVPPIAGAVGLVKVQRSDPYIL LACYYVAQTLAGIQPLLYSWANLNQAGSTKRVVVFATMFVAQCTGNIVGPQVYLTREA PYYHTGLYVNIGCWSVLFCLIVFMRFYLSYLNKKQVERRVALGLPADLKDMSIMSYNE LEQYKAELAAQMTAAGLDATKLYENAFDDMTDYENPYFIYVV L199_003691 MASSSEPPSFATALANVRRLTSSTLPHQSKPAQLLVAIESTISS TLGKSELPHSSTAYFASLQQVLEKAVNDEVPAGTGDDEEMMAESENMGSGALLPAILY LLAIVIPETPNQVVISKSTNILENILPLFDTSLEHPPALRSLVQITTSLLLVAPPALL NSSPLLKKGWNYLLELNLDPRPKVRHLAQEGIRKILVTPIPPRLTPGSHPYLPRAREW TVSILQEEVKSSGSSTTKKARFADGEDQEGKRAIWVIQGLRGWVPVWGDEQLSSLCGL LLSLPSLPHLTPQIYSLLAHLLSPPPADAAGPVPSVLTNLPTILDSLLTSPPGLSETP TYLSAITSALIKMSIQDPLSLSTYLPKAFNLIFHNILLSSTTPPSVCTAAADAVGSQG ILRYCINDEAILATLTYTRQGSHLPGARKKSKTPFLYRLIASLTESMNTHALKLPYLF SILTALISRLRLRVLPTTKEGGGVAKIDENGRGHTAAQELFMDLIKEVGDLRHQKGFD DKDGVDRVIGMAIEVIGVKVVLDKLPLNIEPDTSGAPSYPGRAYLLPLIRERTTNDEL SFFTHYFRPLSERLFEKKVSAEDAGREGEAKVWETLVSQIWDCWSGFCEMPRDLKEGL DTPFLSLLTSLLYTQPTLLPSLLRGLSQLISSTQRLLNSTTPSEELKKQFGLDQSSAQ QNMEFLKTLAKDMVSVLLNVFSKLPRESRGMVGEVIGSWVGIMTEKDIIETYNTVTTH LSTNLHTTQPPSAGASPISHTMLDLLIIFVPHLPPAQSQALFNAASQGTMLEHKDATV QKKAYRLLKRLLEGGKLDKTRNIEEFVKKLSEAGGGVGPGAQRDRLQLLSALVESLPK DSLHIIPELLSEAVLGTKEVNERARDAGFDLLVIMGKKMASGGKVKLQIEDDEEESMG RPDTVQANAEEYITMVAAGLTGTTPHMISASINALSRLLFEFKDDISETTLSELISTL SVFLQSKNREIVKSALGFAKVSIVALPLEVLRPHLGSLVPSLLGWVHDHKNHFKSKTV HIFERLIRRFGFDEVYNCSTELPEERKVLVGIRKRKERAKKRKNGREEGDEEGEKPRQ SSGNAFDDILYNSDSDLSDDDNDGNEDEGRPTPKGRKGQQQQQQQKKRGNKGSERDNR YIRNEGDEPMDLLSRSIAGGITSSDPSSQKDRRKPGQDASHFQTDKSGKMVIREDASS DEDNAGPSTSRAMEGNGFMSKNEVDGYTRDSRGNMKFTRNTKKAREQDRELDMMIDQE GDKKLENTKKLEERRKKRKAMGLGEEFKAKRAGGDIKRTGGPDPYSYVSLGQAGSRKQ KGGQKLNLTNKKKGSRH L199_003692 METSNNNNTSTDPFAPRLTPTLAPPPTSHTARSVSITMEDYITD GKDDQELDLNMVHDFGGAEGLGVDMRDFTNHDQDEDHDHKDSILG L199_003693 MIPQHHPHPHDYNSWSYIRALLDSSIATPAISNSVEQVTPQPPT SAGASALGGDIPPNVDDMFQTETGLEGDDEDDDDGDMTEGPTGKRQRGEAGPSGFTYV EKDGEPSRRKIKIEYINDKSRRHITFSKRKAGIMKKAYELSILTGTQVLLLVVSETGL VYTFTTTKLQPLVQKPEGKNLIQACLNAPDGFGPDGQPLGGPVAPTKAKNGGLAIRPH KLTAAASAAMAASAQAASDEHASHNQAQPQGQAQTHAQAQADAAASIGQGTPVSARPK KRLPSKKRQASSSQSQQPVLPELDIPPVPQIPDIHRQASPNTQGHPSSGGIIDPSLHS PLSAGFHMPPEYQNQQGGPPGQGGLSPNPHHQYYQPPHPPPQQQGPEGYPYYAPPPQH PHQQHQHPGYMNMHHHQMFQQQPHLRG L199_003694 MSSSSSTKIATGNRALETNHCNRSCRKSHCHSDANAPQSHELIF PILQPDGSIWKYVSWMDIARFIKGKIPEEVTQDEVVFRDREGHWIMMYAGEKVEQAQK YLENNGLDVKEELSKEKKKMEGS L199_003695 MVFNPSNPTKSLPTPEYTPVNTPASSPIQSTFDVPLIIGITGSA GLVGTDLVHLALRQGHTVLAMDIVSPEDNENVKLPKDLEDYAKSRYTYKQISALDYEG YKNACESARCNALVHLAMIPNGADVDGRFGIGKPQYEVHNSNVAMSCNTLSIAAELGI NRVVLASSVNAVGMLFSHRPTFDYLPIDEKHPCRPEDAYSMSKYFCELQSDSYVRRYP SLRVASLRFHGVVRECDVSRKSLDELAGSWKDLWGWVSSPAVSRACLLGLTSSTRYFP EGTHETFFIVAPTSCQQTSTLNLLNEHYPEISKENRLRKSIRGNEGLFDCGKAKRMLG WEEYGFWYEE L199_003696 MDAAAREISEGWRRAKSDHNTNWNKMDDQTLAPESGNPVTFKQS SSMSTNTREVFDDFDEKTQSQDTTSMSSSPTTTTWKDKIGAKISNWGTSIGGKR L199_003697 MAAVRTMMSAVREEAAALTSEMAIVARSGGLEVVERNVIMEMNP VAVRQAAETSVKEVIAGEPSLLSQHLSHIPTQSTTTTTTSTISTTLNSISGVTSSSTR TLVTQTLEADAGVTLKEVMSNALQTGVEEQMDGVAEIAKTLVEGKGPVGGMLNEGIQA GAS L199_003698 MSSSAWPPELKSWVQQCLSKATASNKDAVNAELKQILFKAHADG TIKTTDWSKVELNALKAQTQRTTHVPLPPRINITTTSFGPPQPPPPAQASTSFNPSTY LSSPAAGGSGTSTTSEKKKKKKKNDGTAKSSSFPTPYHFTSSAEEQEALARRAARFQK PAASGLGSSSIAGGVDRWFGGGEADESLNGLGMVPGQVGKRKMRGKNGLGYTGEEVME VDPNVIDWDKHTIRGTSTKLEKSYLRLTSEPNPADVRPLHILQQTLQLLKRKWKDNHN YAYALDQFKSMRQDLTVQRIKNDFTVEVYEIHARIALEAKDLGEYNQCQTMLRQLYEL GIKGHPQEFLSYRIMYLLHTRNRSDMATLLAQLTQTEKSDLGVKHALDVHAALATSNY VRFFRLFTTAPNMSGYIMDHFVERERIAALAIMSKGYMSLTLTYITTTLAFDSEEETD TFLQAHDAAIYVQSASAQPNNHWKSIKPIPLHERIWDCRKAHAACAAGISKYRVVDLK GQVD L199_003699 MSIGDSKGKGKASEVVATDFAHPEALSTGKISRPQTQEEWHDFI TKYSLGEYNNCNFTDDGPSTSSSPPKPSRLPSDESRVTAGTTGTANSTTITPSSSTSV SRQPSPLPSDSPQPPSRQNSAAPIRDSSEDEPHDFHPDTSYAGPSNQDPDIPNIDQEE SSSSSASPSTSKRKPKQKEGGEKGKSYKDQESMTTENMRQLGLEQQEDRVRERDTAGL TRDRDDESGKGSVKGKGKKGRRRHRDHAELASVARSAVSVDSSEDEDPSKIRTRRRVP RRPRSRGSWSLRSRSPNNNSMVRRGTGPSLDNALLESGTNDSTSVSAVEADYDHGKER ERVKEFFETNGYMPAPKQTPEAARRRLRVIRRLGLEDIDPFHRETLDRFTRLASTVFK TSSALISIITKDRQMFLSEIGMGKKWTDLDVSFCCHSIMSPGSGEQCMVVPDAAKDWR FKKNPLVKEGLGPVQFYAGAPLKVGSGNRSTIIGSLCVLDSKPRDFTDDDRKLLADLA DCVVSELELIYSQQASIESAKLHQISVDFLRRSLKHRPNEQVGQSRTTGRTETGTGTG TGSGGPGTDKGTATSSNSSTTGKRISGADQNQDQNNVDIYDEACREIRIALDAYAVAV VDLSQFHLFYPTYQNSSTGGGSSTRGGSSTLHTKSQHTSTTGQSSFAAGTGSTASSTA RGLGSVGEDDQDAYSKPVNIKRARQTYAVTDPLAPSRTPQVLFIPSRRRSDPTNKYSY TGDSDSGSSDNLAVLGYSCSEDNFAFNFTSSPAARKIISDFIASNVKTRKVWYARDDS EGIAQSITHLMPPGTETSMAMPIFGFDGQVAFAVVACWTDPLYTYPAGAMQFVETIAG SLLASVMKERLHRAERAQLNFAAAASHELRTPLHQINAAASLLRMALHGVLDVDQEGE LNTHDIQQGELTLKVSQEDRIEALTQLEIIEANGLSLGGILENIIDTLDIGKMASKVE QVQTNPDGTVIPPDLLRPRDKADITDFTEILENVVDEAMRLEAKTRKIATGSGLENVE VILEVLPRNRGGWKMSTDSGPLSRALSKIVHNAVKFTDKGHVHITVQDVSRDVALPGG YDNSIKLSTISIDIKDTGRGMSSDFLDREILRPFAKEDAFTPGSGLGLGLAQRMVELL GGKLAIASTLGKGTLVHIEIPLHLLNEDNDSDQDVMASGNETSSETGGGNNNDPIRQD GIFLIGWTESKSPSLRRVGKSLARQLKLNFCRVVSEINYASLIVIPECGVSEVKLAEL CKAARPTVQVIIIGKDHSMGALAPALGPATSQEHAHAVNTSQHLETEAKKHGYSHGHH HHHHPHHSNHTGSTSLELDAKTEAAIEYLKTVPTIHLNRPLRPSLIKRIMRPADLPPP MREIYKSDVVGGEKAKEEVEAENANIASGNASGNTTGEPDVVEKDYAETPRMDREDQD LLHSQPHTVGQDAATSPRRGSSTRIDVNSGSISPKSSSATLRHDPHSHHSHHNSHHHH HQQRQYPRDGGDTSESSADVMTGNDHSGGTTTESSLISERGYQASINSDSSMVSETSD SGGLPLPPRFREMRSGSDHTVKGMNVQDSDESSLKVLVVEDNAVNRKILTTMLKRTSC QFAEAADGVEAVDRFNSFHPDLVLLDITMPRKDGFAAAAEMRHLEATLPADSPVPLEE VMKALAVTPSLASDDTQAQPSTATTSTSQKSTPTPTASAASASAGPAEGGVITPLLSP PSSAGPLSPTGSQSGYNAHNPPRRAKIIAVTAMSAEHQRRKGLIESGIDMWMVKPIAM RELRGIVEKMKEEKMGWSNGSNTNSISGGSELARSDAGSTRT L199_003700 MPTSSADQATASVVQALQTLYHDPDSSSKKRANEWLEEFQHSVE AWQTCHTLLTSPEAPLEGRLFSAQTLRAKILYDLSQLPREQLPPLRDSLLSSLSPLCS PTAPSGSKAVLTQLCLALADLALQMPEWENVVGGMIDQFGKDPSTVIVLLGFLKSLPE EAGNPRIPLSNDEVQAMLSALVSGSAEQVLGVLTMYIQATGVTTQIQISVFETLRSWL QAGEVMASQVAQTPLFDASFDALTSDQLFDAAVDVLCDLIHETQEVEDNVEVVQQIVP RVIALRPQLEEHKEDADRIRGYCRILCEAGECYKDLIVRHPQDLLPLVQSIAECAAYP DLDIVPITFYFWYTLAMTLGRQPSNPSIQPILDIYANLQTVIIGHLHFPGDDEHQTAQ ERDEFRTFRHRMGDTLKDCCHVLGAPTCLKRSYDLIVNAMGKSSPSWQEIEAPLFSMR SMGAEVDSDDDEVLPHIMDMLPKLPDHPKIRYAAILVISRYTQWIDRHPENLAFQLQY ISAGFDMAEEEVSAAAAQAMKFMCQDCNQHLVPFLPQLHTFINSVGDKLDQADMVEVC EAIGYIISSMPAEPAASALKEFCQPLVQKIQSVAVADTEVDKPTLQKVADCLEQLDSY LSVVRAIDPLPQECHQTAVEVYGVLDSLLNRYSKLYYISERVSTVLRRGLTFFPSTAL QPIIQPLLSRMTISFEQTGYSSYLWITGKVTSKFGDVANQPENQALGELLLGSFQSVT NVMSMMLQDKVALEVPDVMDDYVHLLITYLTSIPTLVLSSPSLQPAISHVLATLTCHS PETILVSLDTLVILSNHLPSNHRLQEIFMTYGKAIVGLLINGLIVQDFPEDALDQIRI ILNALTSTGATQSQKLESWFGETINGLPGHVVPGGEKQAFLNGVHLHLIEPSSSDKLK NALNNLVRAARRARERGRQARKSLGAV L199_003701 MSSTIASTVISSIASVITSASTASSSPHITSSHDRSDAIFVVGL VMTTLATTFVMLRILSKTWVVKKVMWDDYITILAWLFFLALSVATIIASRNGLGKVGV DIEPRWVGILRKCIYTFVVFYNPATMTIKLAILLLYRRMSEVQPWFRYGTYATMLIVS LAGIVGTFIAIFQCRPINAAWKTDDETGQSDDSGQCIDVIALFLSTAPINILTDLAIL LLPLPILTSLRMEMRQKVALIATFCVGGFVTVVDVVRIAYLQQALRAERLFGDHGQLN ANTQFGDYTFYISLSLSWSFIEISVGLMCSCTLVLKPLILRVMPAILRKKRPTGGMGI TQQEDYALTIRSASVNGTESPEREKGKSPKSPQEQTSFVQLSPSPQDIREDTNAVSGN GNGSAQARAEEEQTEQEEIFDFLSILKEEPPQYINGNNNNDYNNRNQNKTFISNNNNM VPEIRRVPSISVNEAQGQTQGKKSNRSVSNGHGTNTKSTYMNRIRGYSTSTKKPAMVE KHTQGPTAKFFDFVNMGVNRHLTELGAREALGPILFVSILFFLWGFSYGLIGNLNGEI EGLLGFQPHESLGLQSAYWAAYLVGPVCVGYWTLTKKGFRFTFITGLTIYSIGAMAFW PSAVLTSFPGFVVSNFLVALGLSTLETAANPFIALAGPGELSETRLLFSQAIQAIGSL FSSLLSQRALFNNVDQMRLFKVQWCYLAVSIFVLFLAAIFYYVPLSEATDEDLEIKAS RRFNHAEITKDCKSFGISTRVVLLVTGVFVISNYVSAQECISFTWNGFVEDIKPTVDA QWMRTIGQGLFFASRTIASFGCYIGIPPRYILAFSITGAFLTALLPMVLPAGNGALGT LILHMFFEGPIFPLVFAMTIRGQGKHTKSTSTALIASISGASIFPVVSYKVEAIHPTN RLIALIVVCSLYGSCFFFPVILTVDRNLRRWVDPRWSIKKKGDQVARHKDIDGNMISR PNYTKQIRDDLGITSNNSFTNNSQNGRRIGLGLNLNGADLNQVTSFSSIRGLRGFEG L199_003702 MPSSTTNPRRSASPPRTRIYHACQSCATSKVKCDGLRAEGCARC RRRKTTCSLAGLPGIEEIGTRRRSAEDVQHRGRRDESHLRQSLPDAFLDLPTTQYLSS APPAPTREIEEMRQRIHTLESAYTGLLTSIPTSTPRSNTSSDITYNLPVPLITPPVTN VNLTPQLLSANPTPPLASALSEGIFIPYRVLDVAGFQKSYTMDPSTLIFDERTRCAVD PEGYPNMITRGHVTRSHVESNFQLFKHRFSLSVPMIPFLLSTRSIPSHPFIILAALAY LQDPLPAAAVGMIEESILYAMSGAACVEAIVALYILTFAPYTPSSEDHVPPTCLRLIG FAFSLGVDLGLESKAEVALGEDGHPEDLVESWSSDKLEELTLWEAVKNRYCILQMETS RCKALPTLYSHRFPKHPSDHINQCISHSQLEANIIEGCRELVNTIGRIEVVVRYSWPE ITVLMALWIETKSHLDSIEGSLDDDQWLLRSTVACLTYSLGFRLGFAFFKPPSPISVT QVEQAEALTALSLIPPSKHLVEEVVPFLMSKSDISNNEDGEISHLPAFLLTTITICLA TSRRVTILTKFSVPEPLFDEDLLLKAENFVARQPGLPGKVLREMWTSLSMSLPYKQSQ SRTTSGSAHEADLSNHNHNNGEDIGESASGNMNQNDFPPVWSDVEGFNWDTFNFDFLF ADNSLIPNPMGSEGENML L199_003703 MTMNSNTHPNSLEQLNKLALSTTAAEGLDLVRAPSAPPPPAPHA RDDIDESASIHEKSLERGVEGDRLEEDVAEAIEEFEYPEGGYGWIVVGCCMTFAALTM GWGVAWGVFQAHYAEYTFPEQASKLSIIGGLFALLQNTTSFVAGKIGDKFGFKRVLFV SVSVYWLALFLASWSTKLWQITLTQGVLTGIGVGICQPMFFSLPSQWFHSKRGLASGL AIAGAGFGGAIGTLIIRALLKAIGPHKTLLIYSFINLTLMTVATFLVRTQPKSPEARA KGKGPWFDKRVWKLVPFHFLALCLLLNTFGYSTVLFFLTQYIKQLRGVPSNDILGALP LSLLNFCAGVGRISIGYAADKLGAMNTFVFVCMASAAAILALWLPATTYNVIVAFGVI YGLIAPTYYTLIPMAAAEVFGPDNLASNVGVVLLFTAPAGLGGGLVGGQILEKTGEWK WLIVYGALLHAVAGVCILITRLMIDKRIWAKV L199_003704 MPNQQEQPTIAQRVNHIREAWHEGRPAYGAITKAPGAGLVRTLA GLKRYGLDFLVLDAEHGNYDEKSLYDAYHAIAALGVSPIARYPGMGSEKWGIRVALDA GAHGIMIPLLESREQAEDVVHRAKFPPFGGRTSGGSFHTQAFHLTGQGEPAGRTLTQE EYVKNANDATLVIAIIETKAGLENIEEIVQVKGLDAIFIGQYDLALSLGSLPQSDQRV INGVEKIFQTSKKAGIPVIAWSPGDEAKGAIEKGYEGIIVGLDTTVIVNAFKKDLATA GAPVRW L199_003705 MLKDLLKQFSFSLVMCKHILNAQVAIRAPCCKKFFDCPQCHEES QDHPLRKTMEMAFMCKKCKKAFRKDMTTYEESDEYCPHCDNHYVIEAKEPQAMIGVEG EDARIDARMIKDDRVREDPQRSLFAQKDVSDKIDTPLWQLPGRT L199_003706 MEQIDTVPVEMPVPVEHQVEQVDLVRALESIDNNHPLSIPIPLA IDPIHHPLRADDAQRQDNDIDDDGQELHEWTREQLHAEIVKLRRLAKTNNNNTPIPTP APDNVDKQQDEVEKALEAVQRIREHVSVLPNELDPALGNHLQNHDHLASTSLHAFSST NSDQRQPGGSSANRKRTKRKRNTNDNNPNDKDDNKDQKMIKRDEGTGKRLEKDRKTEL SKCVRIKIRSWLGIGMEEPLPHPHLPSLLQDGQEPGHGGIFIPKWNQQLNDDSNVEWI NKISNQVYEECLNGLYPKIPQSDINPETIDRTTKTAFMNMCKRYAQENDPKGEERREK YTKKRRRWARKDLKQKRRTKTASDPSFQDLNLPLSALHIDYMSSEYSSSGEDTEGELE DILSKRQGLWEGMRKRQMEEDQPVPDGNGKNGWVVGLSEKILEVRTPRWRSETLNDIY KRLDAHATHMSDTRSTSKNVSHKTQTQTTTLNASPPSSSYNIRAGHVAPSHRRFTMTP GIMRKGGLPRDLGEGWMWASGMGGVWPEEAARWIGGTEFDPNHTAVTGADNDQSAATG FSDERGHNVGIEVNAVQQQEEDHEQEQARLGLVNALEGL L199_003707 MTVQSSVDWATIPNAADFVKWPKNRGLASLFFFSSILYVGQFLN GYDGTITGGLQALPDWHTDLGNPDANRIGLMNAAAYIVGICMGPINAYVVDRFGRKWP IRWFTLTMMLGTIVGVIAGVKQGNTGYALFVASRAIIGSGIPPFLMTAQIMMQEIAHP RFRPQLAALWDANWVFGSTIGSLITFGSSYLNNSWSWRIPYLLQLVPAVYMLTAVQFV PETPRYLIANGREGEAHEFFIKYHGNGNVEDELVAFEWEEMKATIALEEASKRYTWRQ VLKIPGNKHRLGLAALMTFMPQLNGSNIITMYYSVVLAQCGIKGAAQTTGIGTGLNLW SFILQLAGAYSLKHIKRRHMVLVAWPCLAIGMAAMGASNGVYAKSGQTNKAAGIASVA MVWIYSIPNNFSQPLFYSYPAEVLNYSLRGKGMAVWNTVNQAWGAYGSYVNSIALDTI GWKYYLVFVPILSVQWVLAYFFMVETKGFTLEEIAIAFEGTGAAVSKVDQRLARENEV AQEGKDDEKGPEGAVSVAVMEA L199_003708 MSAVTDSPSGKLTIAFFKCDSLQKESIEKHGEYQDVIHNLFEPL LPKHLNLETLTYDVLEKREYPKDEELEKIDAIVISGSFEDEAHSDTRWILKLAGFLIK IYDEYPRIRILGICFGLQVIARAFGPSQIKENPKGWEVGSTRMDLTEIGKSIIWGEDM KSAKEPENLKDHVTMQQIHSDIVTEVPSDFHLLGKSDICPVQGIVAFYPEDHRPPAFT HSHHHTLPSEPWCNIHIIAFQGHPEWHEDILIPFIDNYEKKGTFDSGFAEKAREKSKK EHDGERIGRVLLRVLGVA L199_003709 MLIPSSRCSALCTPCSTISRCCIQPHIFKQSRSSYATLTHVPPH SSQPISSIHHHPTAVNDPLTQYIYDNIATITRYSPLSSGSHRLSISRTLPEILPYEPY PEANRRADSSQSLNDRQGDGIVVVVHLFKSGPDNQVDFVISSGFVVQPDGPQEEQMVI TCSHTLDQISNRYSKSPIYSFILSSVSTSAPVVLPITAYPSCSVADLLICTIHPSNVL LRSLPVSPFPVYRGQEVLVHEFTSQKTSRGIPWIGGMMQREWRSAEMLGYRNYGWREV QPGTSSVLPYIIFSTRPINGSSGGPILDAASGAVVGIVSGSRTLSAVEGERGWGASAE NIFELFSLPGFIPASRKKRL L199_003710 MPSLADWRSVTPMLMFCILTFATADFTFGLDTGTFGNLQAMPAF LNEFGERNAAGKMILSTNRKSIMNSVVYLGRMVGVFIFEPATERYGFKMMFVCLCVVQ LIAVVIELTSKEWIQFTIGRVLTYVTIGLIEAVIPSYSAEVAPAPLRGFFAGLFVPLQ SSVGMLTSGVARAFANTQGKVGWIIPITLQGIPSLIGLSLIFFTVESPRWLLTKGREE EALHNLRRLRNQHDVDAGIPEAEIEAIKSAIAYSNSISQGSWMELFSGPYWRRTIYAS IMFMAYEAGGNQFYNAYGPSFLVDAGLGNKSFIYGIIVNLFGAIGSLMTILSTDTIGR RPLCIIGSFLVVLWDCLIAAFGGASDITTNPQKQNITVASFILLIFSTKVAFATHSFI VTTEMGGVRMRKKLMMIGAFHDVLWSFLTAFFTPYIMKSIHADIGYLFAGIAGIGLLY AIFLLPELRGRSLEEVDELFEKPRFRWGWQYKGVVTTGVGAQIANLESHNAAAAMHPD VETGKDSDVAHNVVEVEDQKY L199_003711 MAEPFNPPAADLPGKPFVEKWVPPPTSKLDIDWAKLRTIELSLL DSPDPKVVQQLVDTCREAIRVDGFIFLTDYGVSYDQLNRQFSIAQYLHRYMSEEDKER LHWNPDETGTFAGWKPRFGWRRDKGAPDGIEHFNYYAPQFESMDRVPTCMHPFWDEIV AFCNYLTYSVNRRLLKLLSKVLELPDDFLWDRVQSKQGPVGQGYFRQALYYPADDDLS RRAGGTRMNGHCDYGTTTMLFSVPISSLQIWGRDEKWRYVPYTPGALVINIGETLELV SGGHFRATRHRVVDPPEDQRNEERLSLVLFQASEGDLRMEPAYESPLLQREGCIDSQG AYREFKRLRDKGVPVPTNRQWREIQIADSHHPTDAAPNDTIVIINGAKHIQREYLGVK ILLPA L199_003712 MAPAAPFNPPSPDLPGKPHVPKWVPPPPTKETHNFAELHSVDLS LLDSPDPKVQEDLVQKVKEAIREDGFLFLENYGVSLEQLHRQFAIAQYLFRNFSDEEK QRLLFHPEERGTWEGYKHPYGFKRERGTPDFYEQFNFYPPEWADHNLWPKVLLPFADE IEAFATYLTTSVNRRLLTLFSRVLELPDDYLWDNVQSKGSPSGEGYFRHALYSPVDDA TIKAGKGMRMLGHNDFGTTTLLFSVPISCLQIWGTDEQWHYVPYKPGALVINIGETLE IVSGGHFKATRHRVYQPPADQAHEERLSLVLFNSSVGDLRMTPVDSSPLIKREGCVDS QGLYREFKRVMDQGVPIPTNRQWREINIAKAPDPLDPVSQSVGKDQAIIDGKLVTERE LLGVRVVLPV L199_003713 MSSWPNAHNTTVSNPRLKLLNQLRTGDTALMTFIGLPSVRLAQI VSSTGLDAVIVDCEHGHVGDDQMHNAVAGIAFNGCSPIIRIRSPHPDLIKRALDTGAH GLMIPMINTAEEAAAVVKYSKLPPRGLRGQGSPFPGYAFGVPIPEYNKQCNETLLTIV QIETKEGVENVESICAVDGVDMIFIGPNDLAMSLLGYAPAKYTEPVFLEAIDKVVKAA KKHGKWVSKLVYNGQQAKDAAKDFDCLAVGSDSKILKEWFTEQVNVVRG L199_003714 MVSTPGAGPSRPTPPQIQSQPLPQPHSQSHHRSQSQSQTQSQSN ATQPTRPPKRLRTRRACDYCREHRIRCEYTNGNEQGSCAHCENFGIPCMKVAEAPPDE RPRAAKMQKSGGGSSARDESATMSESPRALIPGTVTDHLYLGPTSFSQQVHHHFSGTP FALDQLRNLEEKLELSYDVFQWAKGTGFLVGSRGNMASSPLPVSPQNRRINPSSAPTF SRINDKVVEEIGGITMWNKLMYQAQTRIIPVWPVVSVHESLGSEGPTEEFLQTFITHH PESAPITPPPRATRLALCTLAAMSRDVPSNIRESLLSALNAAVDAHDFSTSSLSTVQL LLLLSVNDVLANEIGRPGRAVYNATRMATEIALHRNVSPTFAAVSHLHRRARVWGACI IADRWCSVRQGQMPSLDLSHADAPLPFSYPDHVVEPDLTLTPCFMFHLAMTRLAELLG RAYHLTGTPAGLARAEDLKLLLWQRDFEAWVEGLPAQWPYSFRLETPEAASILSLLAV SVLYTFLQPFFWPTAPIPPNLTYRPPAKMMEDLQSRSTAAINWVSGSGQYYLDIWSML IHPFLSCLLVQAKGLRSGDPLAAVMLDTGIQSIQSWAECGPNRSLMHLPRDAISKTVE ILKTEQALVFPWIPATISNWMTEMNL L199_003715 MPAVPPGSLILVTGASGFIASHVAKAFLDEGYNVRGTVRSASKG DYLVNLFKNTKGKFEYVIVGDIAKEGAFDEAVKGVDGVAHTASPVTFAAERPEDLFEP SVNGTVNVLKSIKKNNPDIGRIVYTSSSGTVNDPEMPCPVRYDETSWNTVSVGVCEKL GSKADGGHKYRASKVLAEKAFWKFFEVEKSKFDGATIHPVMTYGPIIHQCDSPESLND SINRRIWPFVNGKRTQKDLPEPGSSFVDVRDVALVHVRAMSVPEASGERFLASSERYS GNDVCVILNKEFPQLPYVPKGDDSPGARERINAVANFMDSAKSQKMLGIKYRPIEEVV KDTTQSLIDRFNIIAN L199_003716 MQLQNASTAGYGYVVSLSQNITWAYPFLPGEPPNVIRYQFAACV PSNITIASTCCSAVNGTFVEESLSNARSLNETELQSILGNGTDRGDYYRIGNLTTAAD AGQVGNIHWCTMAYDPLSSQSLQGIGYQSSNSLGNVPESMNKWIQCFSDNVPQDAVNN SQAAYVCATDDVRKGGMIEGFNRYIEKKGNGAETGLAYTSWYSVLGLMVGLVAWLN L199_003717 MTCLDESSRDGLQSTTTSTTLIPSAVLTTASTAEKLDAPATLPD GCTLGPVLGKTSRGIISLSKSSEEAVEEHEEVRVIWVDFPPGSQENPFFFSKRRKLGI MAVALFFANITAFETSSYSIGIPSMKRDLGATDLQAAAGISLYGWGFAIGPLAIAPLT EEFGRYWMYIISIFTYTLCHLSHGVGKNIGSILVGRFLLGITGCVGATVVSGSVSDLY PPHKRGVPMALFTLSVVLGPGLGAAVMCWAEADSRLEWRWIVWIQMIILAVYWPITLL ILRETRAPVLLRRKAKKLRDERGMQDGGRYTARSEVDKEPFWTAMRKSLSRPILFVTM EPVVTFFAIWGALVWGVFFIQIAGLPYVFKTIYGFGTTAAGSTYWAIVIGTVIGYFLN YFQDALYKRNVGRIGVEARLYAPCAAGIVFAIGCVIFGLTSVPNVHWIGPCIGIAIIL AAAFTIYQTCFIYLSECYGSHASSAVAGMSFLRILVGSSFAMFTNQLFDAMTPRWGLF MIGCIALLLAPIPFIAFFKGPWIRERSPYSKILMAEERKRIEAEGLMEGSDDLESRME HMEGESVMEDDRLRDEREHPRRLQSNHRKDVHRQGQ L199_003718 MNDLHQSLPPQADVIPDQLVFPPFLSVPPIINLKPISEWNDYGL WLPKTVRTDEISPTHSAQRKHARPCDSTNTPLISVGHPFAGLSFEEKEEARRTKRREA DHRRKEAAGRPGFRVAKEECWLIGHDPTWNEPEGTSKSDYDEAIPPFSRLLSATRDFE YSRHAEFQFTRSKRLFQLVRNAFGLRSSFQGRHWSGSGPHGYEYVAPKSHASRGNECT PFETDSGPDVDEVCILLTDPENCVRGILALARREAEAGWDFEPAHKFTVITAAFLSFL VHYDVLPEPALQAPLRKACDIARSAPQALLDAKALEDVLSSGTGWNRANWTMYGGSWG GSERGGLEKGSISWGEQNAKGKTSEEVADDGGWSVSKIIDDSPVALTKEEVYIHLVPF VRPFDIGHISLIQYIPYARRRVVSVLPPISEDPGVPAYATRCHRLVTAPAPWTAKEKW RTHNRFHTDDSNDDEEDSACDDSNPLDQEVATVDEPDRLIIWVEDLGEDPSLVDKMVG MGLRGRWGLMGRKHGSESDFTQWWAFKAKDYVLPSFWSPYSSNAT L199_003719 MPISSRKPPPSPQPYLAASTYSHSADSHSPAYAPLPSQGSRNPS PAPPYDHRFPASPDPFTGTRMLAYGPSGPAQTPSIQQSYNPPYAAPPPQSAQPSSQLA SNRLPFFEAALARSRGEVIAEPPSQTGATPGYGQPLPSYLPPPDPNHPNLAVGFTQSN TIRFATSHSPGYARESSRSPSPGLDESFEEGYERTYNYGGEHDVEKALLDNGDLLQDQ PGALNQKNWEEKPVDYFGGHDGDLSLPPFRGAVGTDPSGVPSIHVRGPTDPAFLSAHP DMAASSTQHFGPAPTGRVGRRTHNAAGHRRIKQSATLDDNGFFAVDMPIPTRLAQFLP VKGVEEQKSTRYTAVTTDPDDFPTSGLRLRQNMTDPPRQTELFIVITMYNENAELFCR TLYGVMKNIAHLCGRKNSRVWGKDGWQKVVVCIVADGRKAVNPRVLDCLAALGVYQEG AMTNKVKDRPVTAHVFEYTTSFALDADLHFKYPDKGIVPCQMIFCLKEKNAKKINSHR WFFNAFAPLLSPNVCILLDVGTRPAPKSLYYLWKAFDLNSNVGGACGEIATFKGKTWR SLLNPLVAAQCFEYKMSNILDKPMESLFGYCSVLPGAFSAYRWIALQNNADGTGPYLY CQYYLAEDRILCFEIVAKKKANWVLKFVKSAVGETDCPDTIPEFIAQRRRWLNGSFFA AVYSLIHVAQIWRSDHSLLRKLALMLEFAYNALNLLFSWFSLANFYIFFVILTRALEG DAFNIPRIDILNSIAQVSGTKES L199_003720 MGNRPQGSPWKYKATIYFFAVLTTYMLVAAVLCTVQAIKNFDSP VFSRMVVSLISTYGIFVASSVLALDPWHLFTCFIQYILFSPTYVNVYAYSNLHDLSWG TKGSDSVQESDLGAVQGIGKHVEVELVSAQQDIDIAYQDALDNIRLKGTRVEELDNKK DNSEQAQKDIYANFRTNLLLCWSLSNALLASVILSGGVTSTFDSAGDARTAVYMLIIL IFVAGMALFRFICSTMYLIIRLFAG L199_003721 MHQDSPAASGHIPSLILSDLAKELKDEDCQETRDIQACGPAVLG IVSVCVDMLLQYGVTAEAISHNRADMSMVILKLKTLETLSHEMINTIPFNFVPKHWLR LYTDISLIRVIHDLVTGPGEGTQEEVEVEGFWMESIRRLDKAIIVAGAIGNRRKKWVL DLIKITQTKLSLSPLKGDDVQESKRRAKRFKFEEPPLDTSLLYAPNPIPVLEEPPSIE EYLQNHLNHPFILRGYLRGTSSSPPWPACDRWSSAEYLIDTVGRGRVIPVEVGKAYDD INWTQRIVPFTGFLHRAGFRMDQIDDERRDAGPGPGSPLYLAQYALFDQFPELEKDMC FPDYVWSNPPPPDESSSYTSPPNDDGLIVNVWVGSGNSEIISPAHTDPYYNCYAQVLG RKRVWLAPPSCGPHMHAYGEKTEVGDGLADQYMTNTSKVPILKPIDDFKRLESTYPEF FDHVWPRSLEALLEPGDMMVMPPGWWHAMRAEGTEPAWSVSMWY L199_003722 MAIFNRKSKDRPSDVDGAAAPTEKEKVKWSKRPANTAFKQQRLK AWQPILTPKAVLPTLFIIGLIFAPIGALIVWGSGKVTTITLDYTQCDVDAPTDGSFQT MPSSAYDYAFKSGSSDTKSSISAPTWSFSNDSSRSVGQEARCEIEFEVPYNLGPGVFL YYKLTNYYQNHRRYVMSLDTSQLTGDRRSASQIDSGDCKPITSSGGKPYYPCGLIANS VFNDTFGPVVLLNAQNGAQNQTYNFTEKGIAWGGIKKNYVVTPGYDSPSDVLPPPNWA RRYPDGYTEFPNLYEDEHFQIWMRIAALPTFRKLWARNDDEVMTQGRYRVSAYMNYPV KQFTGTKSIVISTVSWIGGKQPFLGWAYIAAAILCVVLAIAGLIRHLVKPRKLGDMSL LSWNQPNSGR L199_003723 MDLAVRENTQRAARWEKGVKVGEGTFANVYKGNEKSTGRKVAIK KIKAGEMKDGLDMTALREVKFLQELHHPNIIGLLDVFSVKQNINLVLEFLDTDLEAVI RDKALIFQNADIKSWIAMSLRGLEYIHRNGDLKPNNLLIASNGELKIADFGLAREFGD AGSKMTCQVITRWYRPPELLFGSRFYSTAVDMWSIGTIFVELILRVPFLSGETDIDQL KKTFHAMGTPTEQDWPGHTKLPDYHEIGSYPKNPWWNMISSIGKEGQDLVKDMLKYDP AARVSAREALEHRFFSSYPRPTPPASLPKPLAELRPRALAPDETQGKPALNSSGSGAM KRKAESPQPGRNVARKLVFA L199_003724 MPNVSDGPSPVQLSPASSLKRRASFSSSHTSDHSHRSPPLSPEI GYNAAHQYSTNPPAPGGTASVRRREANRLAAQRFRSRKKGYQDSLEERIRVLESEKEV LIRQVDESLSRTGHPSTSLQPRSSNLRHAQVDSDGVDGAHWPGKRNSNPTHLSTSPER RETPLDTDVRIASLESANRRLQDDVRNLIEENEQLRDEVRRWRTWHGENDHSRRMDMA DDHHRRRSHRLDMVDGDSHDRSHRHDMMDGDHSPRMDRVDGHTPRQDRVDGHIPRLDR VDGHTPHSHHANMVDGDNPRPNLPPLDRSMAYPSSSSSSSFGSTPHSSSESFPRSTHQ DGSNIQLPPLRLPPIRSALPGNASTTLPSPSLPISLRSFDGPSRDRQPHMDGHR L199_003725 MTYGSLFRLPAVSLRPSLQHLAASSSCRSRLRYASTTSRGAERI DSRKAYSHTLLLPKTDFPLKHKDVVEAEKKYRLKTSDLLYQAQINRKDNPLFVLHDGP PYANGNLHMGHALNKVLKDIINRYNLIRGKRVHYVPGWDCHGLPIEHKALAAIGKSHT ALNPTQVRAEARKVALDAIDVQKSEMKALGVMADWDGENGTYRTLAETVQDYGRKRLR PTYYSPSSRTALAEAELSYKDGHQSRSVYVGFPVAEEDMSEGLAEVYSRECGGKGKLE LAIWTTTPWTLPANMSSTDRILVIGVDRLEPMEEILGRLDIIGRLPGECSNVDMKLIL TGSQLVGTRYTHLFHPPSLSQPRPLIFAAGHVTSQAGTGLVHSAPAHGHEDYEAFIAV GMLPEELRCPVDDDGRFTSDLESWVEGDIAYSLVGKEVLGKGTDAMVDLLSRSDVLLA EQKIEHRYPYDWKSKKPIIVRATPQWFADVEGVKSSAVEAMDRVHFHPAIYGPIMDST TLEHIIGVLDKKGVDHWWEGKDEDFVPPHLQGQNITRSFDTLDVWFDSGSSWTLLEGL SRQPPADVYLEGSDQHRGWFQSSMLTKLISSTEKIPPYGTVITHGFVMDEQGDKMSKS AGNGLSPMEIIHGKKIERYILHELSHLESVAQEAYDSHIFNRVLHSTTTFATSTLSAF YFDIIKDTMYCDSLESPTRRAIVAVLYHLSWLNPTVKSEMSQIISLRAEVQRLVENAR AEKRIKVGNQTEVYLSKVLGSENSGTLSALLGVSSVGSSSSADQNTMEWTYESSLVIE NEPISVLLGPATRFQCPRCWLYNAERENSLCSRCEEVINVT L199_003726 MSVLLPSRGELDVYDPNNPFQPLVTQSTFPLATRPAPATAAAVP AKMQVHKNRSVNHIQEGKDQFDVFRSWKKGIDSIAPKGSPANREVLASVNTNIASKSR NPLTSLIPRPSATPDVFAPEIKATPTGAPTNNVDAVLSSKRPRDLTQTSLSSVPQKRV KLENNAVDPAVRNARAEEEKWRAKWVKVFPTLVFHFEIGVEEGVGKNLRHRVSKMGAK IDQFFSTRVTHLIVKGSASPQKPKPAALFGRDTNRESSKNPFLDGTGVTDLAQKAEAL NIKVWTVKKLADLLSRISPVENTNHDSLSTLLEDERINGTRERDLSAPRPDYYYFKPG SKYLLVEDATSKHRTVMVKEYTYNQKDGAEWPTLFDGFLRVSTSMQTNVPVEKIRERA WKLYVERIPFEGEQPPHDLKRSTSLRAFPTTPKLPEAQPYHNASGNSVTLTSTIASTS TAGTPAFGGFNGLPGLGANKDRAIMQMSKRVQVLKGNARLAAAKRDDSGYDSPSALST RRASMGYSQPAKTFMTQEQVVKMLQQAREPVHETSITVQMRMRNREKVDMGLKGREQD TAAGYCENCRLRYTDLSVHIASKKHRRFATNDENFEDLDRLLYTLQRPLHPSTVILKY PPCNELHAKDDDCYKCDVDMASETGSQEGSASEAETRSPGGDSDAVMMFEGHEYRLSD DLEEMLGRGAHY L199_003727 MPSPAEKMPMSDSGPGVGTQLHDHQKVGLSKMLFMENDYRSLYE AMVRHDPSIKNRRFLDLSSFPHMWTNEGGDQWSNHFSQTLGKEVPRPLQGKGMILADE MGTGKSLIVLALIEASVGAIDEWMSVSAILSEEPTYRLPKEYPMSKIFIDDAPSDNPI LPSGKGCFWREPEEIDSNYQTTSATCQKSRATLLVCPKSIIGVWEELIEYHWKGRNGR WWTSAEVLRPQDRPLIVYNHYEKQREEKIDKLKNASIVITTYDALTLSHRKKGLLHKL LFYRVVLDEGHHICNSKTQRFKAVEALRKRHIHVLTGTPVQNHLSELYAYAKLFNLPS GLTSQSFFEGNITSPALLQNTIAIREFGQIFSLRRLKKDLGDVDLPSKTIKVFWLSKK MPLEALEGVEDRSLVPWTSSTTRAEDDIESRAEWLTTFRTRRKHWWAKKYRPITAKES IKMKWFRFFLENQAHGKIVVFHHWKHTAKMADNILKEMQYGIVYLKANMSIEDRVKYT EKFNKDSKPKLCLLASIKVGGEGLSMVGASACVFLDLMWNPAWHAQAMDRLHRQGQIN PVTVYMPMTRATYEEEIWLRQDAKRGFLNLIYPNDPPGKLRLCDYPPELLQWLRDNPD PQEEGNEDDEAEDMDMMGGAEA L199_003728 MSHFPPFPPTQSGRYSPSRAYNDRPPFPPPIPPIPPRDFPPYPY PNSAPPPPPSGYRPYNGYPPEPPRPPYPAYDQPRPSYEDHYDPYQPSANSYNAVPPAI RIPSGGYSAASAIEPPRRQSRQSSPPPRRSIPPHARSDSRSAPSGPPDLTLLTHPTTV NIGGFTAAVHSLPPSHLPITKYVSLNRDDAIDLHRQLCSTRSSVWYADGSSRAGEAWC AAVEWKVNSNLSGSKMRGHILGGDALESEFGGIYKAAEGFRELLHQSIKDGVPISHEL TVFCDSQAAIIGIDTSSRLEAVKFDQLWREICTEYLHAHMTLVWIPKDSGVEGHNLAD KIATVGASNSYLKRRKERTLADIYMRPGGGEPEPSASSEPGPWQRGDSEPSRPRMPFD RPAPAALSPPILTSGEPGEYNDLELDLGAMQQPSAAMDGEDEGIQPREGAIFVTHFPH EASAKDIGILFAQYGEIVAVDIFHISPALPRYANVTYSDPASGIAAINDLHRKPINLD SPFARENEADLDLWKNWAGQLTVVLHEPPRIVPAAVEADFPDLPDWARGEKDAAHKNE EDETMEVEGQIKEERSPSPEIESRKRERESQPEDKIGLATQSIAPPSPKRARQDIDDA IPNSAAEQQLPRKSDPIPTADSTHIVPALTQSAVSNLTEDSPASQDPITSKIASPQVA APRDTPPVIGLPPFPPTLKANDTAKVPTPQAQPISLAPMNGHTSSHPTDTLPPTPLTA EKPSVGATIPSRSPRQSTPQSTTSSSAKPAITNSASEPQESPVKISAKTLRAHLILVQ NSLSKHEIDNWIAHTCLIAHDIDHARRALQADLYATDECFISGRDLERALSARGFTPP KVDAFITKVLKVLDGIAAAEEPESDQPDSDEDIERLQSELTESLSNYPNETKEAMTNA ARVMEFLMRGKELQEKKRLEVERRVKVLEGMVKVGEVVGGVVRYLLTEDK L199_003729 MSKPLNADAKRLRTIIVSFPILVVTTAILYRRTMLGEEQRKIPR DTTDAKIAQERIGQVGGVPWEVQGKEHVSQRSSSTPS L199_003730 MSAPAAIPTPPAPIAAPIPSEDQIARKFDNCVADLLVNAGLGFG VGVVASVLLFRRRGWPVALSTGFGAGVAYSNCNYSLNPYVLPGTKVLPANKS L199_003731 MPPQTIPQPILEITNSSSSTFHSFVPRANITPNSFQTNTVIAAC VMFLAILIAWNLPILRDAIAGLKLFTVGVHELCHLAMGLICGGQVVSICIDPNDGGAT HVMGLMRTTPRIPRNPYAMPTFAQTFWSPSAVATLASGYIGSSIVGFLFIFSGFNIVA SKACALVLHFGLLVPVLRADHWIAYASIIGCEALLIGLWFGDHGNALRFFVLFVGVMN LFYVVWDYIDERLFDKRNTSDCAQFSELLGWPTSSWFIFWFVYDSLVFTAAIFAGICV FRRTDEQMYREASKFLPTR L199_003732 MSEDLGAVSSQQLQQSLESISIPTSSPQATFTNWAKTFKCQPQR VFAPTTALQCRQIIELARREGARVHPVGVGHSPSDLACTNGWLIRMEGLKGVVKINHE KHSATFMAGTTLHQVHSSLATTSPPLALPNIGSISDQTIGGLISTASHGSGVTFPVLS QHVRSLVLVLPLPGAPVVKVSPNDDPDLFKASLCGLGATGLMLEVEIEVEEAFRLKET KEPQSVDEVLDNLDEIKKSAEHVRVWWYPDGKGMIVGRANRTYQPAQPTASLLAHILG FHVTQFFLFVSRIFPSFTPFVGRWAWWLSKQESEVVDDGYKILNFDCLFPQYALEWAI DASQAKQCLKEMRVWLDQEAADHDGLRVHFPIEIRWSAQDDIWLSPSYGRETCWIGVV TYRPYGLAVPYRKFHEKFASLLASHGGRPHWAKQHSLRPKDLEVIYPKFNDFRSVLQR VDPNAIMRSENVRRHIDGENVPDRVFKTR L199_003733 MKRRKPALISTAEPLSDDEFIAESKASAKAYAEVQKIQAERDRI KEDNKERKKRGEQALALPKLPGTKRKSEGVVKKDGYESSSSEDEDEESWLEYGEGKRV KKRKRDEDLKYEGQVILPFNPLYHLSGLPDPEGKASEAQAAKQKIIDRLSGRKSTSSP VRSSPIPASSSSPMKPSLSTGISSQDTDDNEDEGLLEEEAPEGDYDDEENEVIVPWGH MVEVVEGNGAEIEEEGVYSEDEELFAKTHAQPKAHKSTSRDRLELDDLPPYRPTFPFT DEQAKIGSYPLDDEATDIAVPQTINRFLKAYQRVGAKFLYEHYKQGMGGVLGDDMGLG KTIQVISFGARYLGLLRICYLEIRQLVRFLTSYDTARNTIEHLKSMPLSVVIVDEAHR MKEPRAQATLALKSIDCKICFALTGTLVQNRMDEMWSVLDFTHRGWAGTLKEWKEFAV NPIKRGHRHEGTAAEVITAIMRLGVMTQKILPHFYLRRDKRLIAHELPEKRDMVVFCP LAEMQIFAYRNLIASEDIQFLLRRNDPCEKVNEVLLKNLAACKKAANHFGLLYHAKDD SPHTRAINRHFFKICTGQDYDSKRHNVVQAALDPGNCGKWTLLEQMLLQWRNDPDDNK VLIFSNSVRLLKMISEFISTSSTLAGFAFDMLTGEVGNTERMDMVDRFQDRSQDHFVL LISTLAGGVGLNLTAVNPANDLQAMDRAFRIGQKRTVDVYRLIGQGTVEELMYERQIH KQQRSRQLNDGTFESRIHQGFDGARTEKDQGELFGIQNIFRFDPRGFVSRNIERVRQA EDRFVQDLIEAEYIDSEGEDEDDSEDEAGKAMKNERKARDLHRAHLRAASQRGGEHDA VSRRREEDVVNDILGHGSISAKKDNDDILQKLGVNTRIHEQAFRDSPEERAIYDIGVQ VLRDNPDLARKIKANDLGKLGRSVVRRKKVIDADNAHDAEPWKKRIQERTEKANSETS KEAQRVLAELSD L199_003734 MAPFTRQPSNREKYRQHALDLMSAHPLIDTHVDLPLILRAVNRN PAEAAEQIAGPYPGHVDVPRMRQGKLGGIFMAVWLPCRPGTDYCLPSDDVRDALEQVD QIQLIMREKDFQYARSSEDTLKAFKAGKFATFIGVEGGHMLGNSLSILRIWAQLGVRY ITLTHTGHNAFASSAGSGDPLDPVHPGNGLSELGKELIKEMNRTGIMIDLSHVSDETM RQSIQLSKAPVLFSHSNARALCDHPRNIPDDVLDMIGSEEGKNNGVIHCVFCDKFVGL EDPTLEKVADHIEYIAKKCGKAYVGIGSDFDGIDYSVEGLEDATKYPDLISEMMARGW TDEEIIGLMGGNLMRVMDEVDRVQQQLKDQKPSGAVYDQRTDLPGIWLENLPQLARTH LAERNK L199_003735 MSGSDSDSEPDVEGLKEVGKLIIEIHPTDDKASVPFKVVPTTKF SRMFTAYEDKVNVQKGAYKYTYDGNRIHRDDNTTPKMLEFKIGKQYVVDAHLEQLGGS TG L199_003736 MPRSIIRSPGQPTTTIKTVTKTAGHVSGDCVRLFETMSSTAAVS FLAAVASQPLRDNGNNGNSERWFVEAEKDGAILGFFNETMKKDKTKLLESYAEDHYDT LRLLFNGSRLFALDEHTAKMEEMAAGKAYEIEIKNEQVGGLFGRVFRRILKGGPRFDL NNDI L199_003737 MYSTLQRLNHISSLATTYVMILLGLISIASFLSLPSVDVGKVEI KDLIVQKGRLRRWGARQEELASLKFDIRTDLNPLLNSYNTKQLFIYLTASYEEQSTGH THDVVLWDRIIQRAEIRDIRAEAKNKYQWRNPSGTFKDVEYANMTLHYSLMPYVGILS SGVAATAQGPVTIPELIKR L199_003738 MAYPRRTIRPSRRSTSSSLMSKITLFAFVLIAVICFLPVGHQVR AEDKEVDVGTVIGIDLGTTYSCVAVHKGGKVEIIANDQGNRITPSWVAFTEEERLIGD AAKNQASNNPENTVFDAKRLIGRSADDADVKKDQKHWPFKIVNKGGKPMIQVNHKGDL KEFTPEEISAMVLTKMKETAEAYLGHKVTHAVVTVPAYFNDAQRSATKDAGTIAGLTV LRIVNEPTAAAIAYGLDRTGKAESQIIVYDLGGGTFDVSLLSIEDGVFEVLATAGDTH LGGEDFDNRVIDYLVKQYKRKTDVDVSKNNRAMGKLKREVEKAKRTLSSQMSTKIEIE AFEGGNDFSETLTRAKFEELNMDLFRKTMKPVEQVLKDAGVKKEEIDDIVLVGGSTRI PKVQQLLKEYFNGKEPSKGINPDEAVAYGAAVQGGILSGEEGSSGVLLIDVCPLTLGI ETTGGVMTKLIGRNSVVPTKKSQIFSTAVDNQPTVRIQVYEGERSMTKDNNILGEFDL NDIPPAPRGVPQIEVTFEIDANGILKVSAMDKGTGKSKSITITNDKRRLSAEEIERMV QEAEEFADEDAAVKKKIEAQNSLQNFVYSMKSQVADKEGLGGKLSEDDKETILSAIKE KTEWLEENPSAEAEDYEDQLSELQAAVAPITAKLYGGAGGSSYDDDQQPFSHDEL L199_003739 MPGSNTNSTTNTKQAKSGKLNPLWYTYACATLVAAVVLGNLLRY MFLDWSDPYHCSALLNSGTGKWLDPGTWRNWQPEGCFHLPLSGAKFQKCLSIPGANTP KSPFASSYDQKRTALFVGDSTVRQLYFAAARTVGGVSKAWEVEGEKHTDRSLSLVDPD GNGQLELEFWWDPYLNTSKTASLLSGGRSEPASLLVMGSGLWYLRNPSSGGLAAWGGM IHDTFESLKENQGSPKTALMNPWDDMRLGSGVILPGLLPLDSSAPTIEYRRSLNSNLT SRDTRVERRAMDFSIADSVIFLPIPNPVHEKLSPSRAETIMHTDVEAMNADLYARLTH PDPPPVIIPSVLNDLLVDEETEDGLHFSDKIMNKQAELLLSWRCNDIMRKDGATGTCC KRYDWTAPVQTLILFLLVIWAPVGMLAASRLPSSSPILTYLPSSNIAPALSTFGLAMG YLFIADRTTVFLKEQKDYDAMIFGGLTFAALVAGLATMKNGGKDLGFLNRDITDEWKG WMQIAILIYHFFGASKISGIYNPIRVLVASYLFMTGYGHFFFYYKKGDFGFQRVAMVL VRLNLLSVVLPYTMNTDYAFYYFAPLVSWWYIIIYFTMMIGSKYNERPTFLVAKLFAC AGLVTLFMHYTFLMAYIFKALNAIFRIEWSAKEWSFRVTLDLYIVWAGMFCAYGYIKM KEYQIPERPWFNTARTATMVGSVLGMIWYFWFQLSLENKFIYNNYHSVVCIIPIMAFV FLRNASPLLRSTSSRLFCFIGQCSLETFILQFHGWLASDTKAILLVVPATRWRPVNLV ISSICFIWLSYRVSGATGEITEYLVGKKKNLPLPVTSTNGSASVATTTAGGNGTTSAV VHAVVEGPKDGAEGGIPESIPLMNQAKKEIPGLELPQSEGMERRESWPAWMAATAASM TGRPSVAGYQATDRQWKDQTLLSIITNIRTLAQQHNSIKLGLILIGLWVLNWLY L199_003740 MPNITITPRGVSTLEFDPDQTTIEDLKILIFSSTEIPPSEQELK YSYPPKALPGSNNQQKLSSIPITKGEQIIVISLPPATSSAQTSTSTSSTTVGAGPTVK TPTPAPSIKAINETISAPSPIHPPPRAESPLSQNDIPLETNDSVALPGRDAGYLQLRV VPDDNSCLFSAIGVVFEGGIEAAQKLRKVVADAIRDDPFTYSDVMLGQPADQYINKIK RPDTWGGAIELSIFSKHYKTEISSFDVATGRCDRFGQDEYDSRCLLVYSGIHYDALTL SPLPSSPPSFHTTIFPITDSTILPTAQKLVDQLKARHYYTDTSTFDLRCQICGEGLVG EKGAREHATKTGHVQFGEY L199_003741 MNFLSTSNSSPSTSKLNTTSLHPHPPKQSPTLSHLVSNSASPSP TSSTSSYLSYFDNRSFGGERRESACSNTSWGSMGETPSSKPPDKEDISMEEEKPINPR MLSDKSINSVFSSGSGSSSSASPDPKLSTPELSSSTEKIPPFLTAHNNVNQISPSSST ASKGECTPRAELPPPVWPHASSSSPARKSDYYEEPSAMASNGDESRSSTTRKPLLRRN GSWRRKHASLDTPPTAPHLDPGFPGFTALKHTPPLGPAISAPAPAPAPSQTPSHNLYT QPEGRLAPPQSTNGNGPDAISPDVRRLSGESFRSTGSGNSIVAQSPTTGCEISAPKAP PAGYPLPSVNGMQRRFSVPEQFPSPSSSTSMSTTSTSASSSSSSSNGSNSNKSPNPLP PPPRWACPPAKTNAVSGRALSFGSSQDRPDVSFDDFDPELFEGSENEWIEIIKGAEGR IAIKSTPHLYDIMVWLPGFSLDNITIATRGTRTIHIVADQWDEGDHAQWDIKLGEDAN LKSVNAKFTGKELRVTVAREQRFTNPRLMRMSSSNRTSFNSAFSAPSITATSGHNPLE RATVTR L199_003742 MASTPPPTASNMDLVDLESPPPSPPSLSPTRSFALLPKFTFTQE SLIPEPLSRKVSSSIYDSDGTVSSAQHVKKAEKIENPVENVKQDEENDGQDTIISPTA LLSPTMSLSSFPMKRDGSGSNSSDGTATSKGSLSAAATPFTFVKKPTQLSIVRKGTPP SFNFHDNQTTIQDTPTSSKRSFNPYSPLPNQGQTHTQTLPTYPYQSARPSYGDWNLHL NTYDQTVTIQEPKEDITSPRQSKAIRIVSPLQDSSKAKKSRVDSSPSQDNHPPSSSFT PTPTKERKDTTLRERRGKADILSLYSQSQIKQVKALSSNEHDDPSVKVGSHSFSDPKP PSVFDNYCFSFSLPSSSTTLAGNIPSPIHIATALLAKATSTPLPVTPTTASSATMDGI QDAQEVFSPLPQEVPLPLSASTMPSGSSLPTTPTKPSFPLDTPQAVSVSLDDESSETR HKRSQPTELTKQSIAELDGMEEILQEIEEYGQIESDRKEAEGLYMGRMEEVMARIKNA AMHHICLDPSKTKGNPSQGKERTSLEELYRSTFLNLQAQNLTLKKEIHECQNANTTLE EKNEILEKSERSKQEELDEAYKQFGHYKAEADVVKSKLQELLIQQHQLEVDKLHSDKK GAKVAKMYHKAVKEKDRWEEAANELQQRLDTWQISTSVTETEKPDKDKELPFVAVLLE GHARMFDHKLIQKGEAGGRELASRLDEAVNSVWKRQNPGSSSYLIVVHLFIDVVTVTK QLRTVGITHDHAQFRSFLDGLTAHSELSIAQDCPDSEQAYNKMTEYIKLYSHTPNCKI ILLGMPEASKYLRFLELLKTRGVRDIINGIQSTKQAEDPLRVLGADRLVEVPGLFPME EVEWTRLYQRSKISNDVSVTSSIASSRVSSVASRSSSALSNHTIRAQSPHHERKEGSY QRSSSLTVKSSHLADPTPIPPWNRPALSAKQAPKATSDFVSVIDRRYNLSPPSSPRNV KSTIRSTTSPTPGRKTTVKNKLVVPINPEIDNIGGSPPSSDEDEPSVQLPHSAVKHVQ RPTTIVQDASDEDDDDTGLPEGFRIRGATNPARKLSSRIGPPHPMAFPPWEHKASTLS PAQAPRNYKEIIGKHMARDERSASISSLSYGSVNSIPLGSRITRRSSISTQPEDRMFS SGSQDIGGHRARRKAEDYMFLSRLDPKPCHHHYLEGLCPNTHCKFAHGLSLTLKQHKL LRDLAKEMNCFVVTHGTCKKAESDCIYSHRCPLGRECNFGTKCWFHDTPGAHDFDRLV FWLWV L199_003743 MREMTKRKSAEKCEKPGMAKQSKNEIDHKTTTEPAAIDQLFQPL RKEINQLQAKYNEKEEAYSLLSQRLDSRVRSYDRLKAKHDEFWNLCDGMKDQYASLEQ DYGSSMDSYKSEQVKCQALERKHKSLEITNKTLSAQAISQKSEIKQLKAELAKEKRRS IYYKGEDIKLISDMLGTTREWTKKFEKMNFEANVAFSEMNKYREMEDEYR L199_003744 MSNQTAKRKSMEKSEKSEITKKSKSDHCNTPEPVAIRGHIPSLI ASLQTATEALQDDYDRNEEERESTEKWVAALEKDLEALRDRRDELEKECDELDNKYDS LDEKYRVLQSSEAFQKNEVKKLKDQLAKEKSKNEAFSIDKRKMVSDMLGKNKEWLSDF EKLETRAKVAFSEMNKARKKNGDSPYSKEEFEKVVERYI L199_003745 MPPRAGSSRARPNRGRPSFIPSTQFLAGPSSSGTRSDREESDDP LALSPLKVTPTITRTRRSTWSSNVRSSSPASAVGGLPSSTQRVTRGSKRRDREYAELE LGEEDLVPPSEDEEYEEWKESRKARTNRDKGKEVDFGIDREAGVEKREEDMVKGLGRP KKPDKSLDHNRTFESLLPSPSPPSPTPSISSSITDTRSRETSPTQPLSFSQQDDPFYT VHEDERSTLDEDARARWEHDELELLRQVPRFQSLDRPPEELAKQIDEEKSRFVIAQTV SNLPLRYDINKDGVEAKTVDESSAIAPDDNATPNEGSSDHSTRSRTTTPHTIPMLPAR DEGLETLLPDDRVSDGPDSSVDQEGSESMIEDGNLHAEQSSQQSRSSTPRTIPFMPNQ DQAFETLLPAIGLNHQDLPNPVHRPLTPQTSMNLVDHSTISTLRAHSPTPISSARDSN LENPLTVDEVLVSPSKQPTPLRQSSPAKSLSPIFAAVAELPDNPPPSAIPHLPAPTPP PADPALAQFRGARTFRTRTVLQLQPYTKERQIYEAALRKGGLKKGKKAIAPSKEITQE DVEEDEARVSESSEASAEDDSPGRIVIGNTPPPKKPREPKKLVDADFDEYFFEHGMAA DENDPNHIKDLQKIARRRLKAEKEERKRLRADEKEKREFARLMKEMRGEKEDSDEQQA DGGGQQNGKSRIPLPRSAVRTIRTPAGTKTYGNKGRTQRPAIQGPLSDSDEDAQPSTR PPLISPSKSQGNTPTASYRTAFSGFNDGDMDVGYGQPQDDFYPAIDATTSHSPLERQN SFVIDDLARSPRLPRPSIGSESDSASSSASTVHDRRQKIARRMMPAAMLRRLEAEAAA KERRKAENKKKQQAAIESPIRPGRAVVRRGGGQVDMNGLEGLFEEDDPEVVSEQTPPL SHTRSISNSSDQPILISDGGTSSEAEEDNQAEQTLARLHRGDFESIVSGNRWKAAAKA KHQAQSRPKARSSHSRRPALGFRKRVRAPINENNRAMVQSRLDFPVMDQSPVSPLKKK RKRPFYQGKQQRPAIRLDDHVIFATADFEFDEEDDTPTRPSTKQKLQTIPRHFARTTS ASLQQNKSLDADMGKARSWANFDKFPIDFEITPLPSGLHCSKNSIPGSGKLDSLVREI KGNRNEEMIDPCLDYGIELRQDMSATSIQAVAPLLFDAVHRQMVVIANEDDAEELELG CFRFLGRYIRHHHHDIDENVTLLKTEVEDAIGRLEGKLEDIDIGRAKQGREALLNLRW ALVELSCRLEIDNSSSGETDTSLLRKASVKLFHHLLSLGFDKTIRPLKSIMRGDSDSA EIKDLTITFWICLIHVLTAWDERESRFSNDTFLTYLTQAFDSVFHLDQTGPIAAERVW FLVFGLCALTQFDTDGRISSIFVTAPRWSLVRRAVGLIRVAFDEDAEKRAHLDQLQGR DRYIKVVMARCVRLSAVWKWSFDRESFSVATRDLGIIFKDRQYRNLPTEPPVDYPEFI TRFDMSLTAAEDTKRETAFELYLRLVCVAASDIISAAQTLSEAQQAEKDVQRLVMSII PVSSVKFNRIFPPSPKDLGQLINRYSTMIASCYFSPSLLTYLLANSKKWSAFDEADFD SRQICIRGLMYLSVARRHHKQPLQPVVNRLAELMGILQNELEILTGPSNQTLGVGPTK LEIERTMVLIVSCFRQMIEHHSFDVEEQKKAVYPDPCLLHESWTVRIFDLELAKDLKC GLEVIATIQSFLDTRAAALPKLAKRRREAKESHNESFDEFGSLGIDFTDADVLALGGD LGEEENEVEKQDEEFARIIEEVISPKIYRLLSDMLPPVPDDDARTEHDADQQIFISKL TKCWSDCASVLVVEHQQLDWSTFISPFGRQSWARLGDERGRVQVGLHFMLNVAQLDPA AFSHHEEDFIALLFQVIGTDKLTVEHKYLSALLAMPGAYNHPLLAPLGGIESLEGELS RDGFMGVREVALRSIFSALPDLLKSSKTLASNKSFIYRCINLFVSSIVSYDKSINPNK VIHKQSYRAFTDTIIRDLRNIAGEYINSLSVPGLKHFNSGI L199_003746 MPQTTTSSATPTIDLKMRVRKIKASELPVRKRRRVSSEPGEETV AFVGVGDPLQMSNDDGHRAASESENRSVLGKLNSAGSGSKCESKNGSENESDSDSEVG IFYKRLGEKLVHYALKYDKRQLREENETLKEELDSKKKELEEEKEKVEELSEKLNEEK EISSAGKEEYTRMRDDLDKFMEAYNSKYVWLLTELRKRQKQLGEKSYTSKQVTELIEA ASKERKAAGRDQTK L199_003748 MSCSGNPSNCSFCGNTETNCAKVEVNGCTTNPKECTVCQGNGCV AKACTGNTSTCQACKDGHYTTCRKAQVQASEGIEPARPAPIA L199_003749 MAAINNYPFSRSTQSTSPFVSSTPPIPTSHKLTTPLAPPPPPPL YMSAHDHSSGPERQVRYAGADHTLGPMFSEEEDGDDTAFIAAKMASLGLDPTGRPYNQ SGYVQQNLRLQQYRDPRSRSPLAQAQLQAQRQAQMQYLNQQAQQQQALYHLLSQQKQN QLNPQLREAMAILELQQAQQSATDRHAYAQQQAQRAYNQAQRQPGWEQTKVVQQQQQQ QQQQQQQQQQQQQQQQQQQIREMQYLQDLHLQQQLTALQTTNTNTYNRPNNERTALSA QMQANLQARQGRVLAEARGMTLDDSELRARFEAAENYSHTAPPPPNESKPRFDPSTLP TNGNSPTSPSWKSSGSPSPTKTNILTPTELPTPTQSTSSVRSPKGGRFSQARQAMAAE GTEKPYGTLTATLSGRSLNTENYVQPMFTEKKIQEEKEEVVDVPASPTVEKKPLKYTL GALGNGRPSTVSSSTSRAVTLPVTETNSAPVHNQRAFSQPLLQVPSKVFVVRQPHGPP CEASELGDKNFQSRLRRQAGLNLTMLNRRTESPCPTPVLA L199_003750 MSTPTQTPPFRTRSLTSTVHSNSSPSTKTSTPSRPNQPLHRRKL LLHIDFINLYWVIRYLNTNSLTFSKDLNVRSTMTKRSLEIERGSRIISFPSFSGLRHS PFSKGGWDWHDISSDGEQEESEVYNGLENKTVKFVSSESTSTAESVTSASGTIPNSAS TRRVSSESSTTTSTSRFSTKDNPTRTTSRSHLHSQEDHPPLSSLLASRSEPHIQSQPD PHPRPTPIPPSADALVIYIAADKYRLDILRGLAKEHILKYLDEVNCIPLEFASYSYDE LHSEVLDYMVGGSWNQVKSSKEFLKCIQEVRQDVWGVNGPMVLHNIYMKL L199_003751 MSINTVHLTPSHNLPLQHGEDVLTAGHTAEIELKVPATIQGPKR TETAKGRIWVTDHRVIFVADTLDLPGPSSAGTNAPPNPPGYDAPPVLSSVEIPYTSLR TATYNLPTFSANHLVLAFVPSPTPAGASLPNPGIGQFIELKIWVGEGAGHAVWKRIEG ERSRVEERMRGRAEEEEGLPAYAPA L199_003752 MPVGSNLPLKSIILRYPPSTIEESEESYQLLELPPEIIKAVEAS KGKDKESIFPLTIKGKPSDDAVLCTPNSTFQLRTVGISNSVLVCRSPSSSTEAPKKDT LQIRDTCHEILECVAISPNLERIRTILKDSAWKGINSSTNNNLGKRKRADQEKKVKKW TRDQLSSVIQCSEEELEKGLKERNVIEVNGKMLLLPPKELKELLSIVLSLLTIHSLDP TNSNIALSQPILEALQDDHDVDTSISTEVLKLFGTLPNEDGAEEETWKADIKRIIREI GTGLLIGVKDKKLDEYEHEWRNEVGEEWGDEIDIKLLEGEYLLSPKPLTTASKSSSSS SSSLILHFPMSSLPLQPSERFSDLFLTRSKWRPEEILPFLKGLTRDGDVKSRDKLVQK FVRVVKEKDGSMWWYPRRSS L199_003753 MSPTSTTTAQPRRDQIYTSTISPPHLPRMSVYHYLFPFKKYKSK HRSRFLYYPDPNLEKPSFIDGITGRFVTRAQVEEQSKMLATGLKIKGIERTEIGCIFG MNSLEWINACFGMQALGAVVSPANYAYTPDELLHQLRDSTSSFAFVQPALLPTFLKAL ELDPNYSIPDNRIFLLCTKEEKEHLKGKGSEAEKGWMDRFLCTEELWEKSGTPKRFQD GMEERTAYLCYSSGTTGRGKGVETSHHNMTSQVQALNCSYEPIKYKDVILAMLPFSHI YGLTVTLHQPLTVNGTVVILPRFEEMNFLKAVERFKVTWALVVPPMLIALLNSPNVAK FDISSIRGFMSGAAPLSSDLIQAFEKKFPHIKMTQGYGLTETTPVSHVMNLEEARGKG RNGKIGRVIPTYQARLVDQETGKDVEPSKGERGELWLRGPSVMKGYWRNAQATQEVFA EGGWFKTGDVAMRDEDGYFSIVDRVKELIKYKGFQVPPAELEALLITHPDIADVGVIG VYSKEQATELPRAYIVPKSGMSSFPTPQSRLDFTNKVQEWVSGKVANHKRLRGGVILV DIIPKSPSGKILRKDLRARAVKEEEDKVKEGRVAKL L199_003754 MASYLLAINCGSSSIKGKLYGIPKSPSDPLDSQASLEVVNIGSK DEKVKIKITWEGDKGENLEEEGKNGDEVDYESLIPFLLDHLTSSAVNLKTDDIKFVTH RIVHGGAHTKGIIVTREHEEALEEMDRLSEFAPLHNHHAVVAVRSCLDALPHHTSLMV FDTLFHASIPEEVYTYALPPPDRDLVMPLRKYGFHGLSYASIVQSMSQQLDKEPKDIN LVVAHLGSGASACCIKNGESIDTTMGLTPLEGLIGGTRSGTIDPTAIFHLTANPAEGV DFKDYTVSKAEILLNKKSGLSALAGTTNFGTIISRLSYTSGCTKEEHERAVLAYKVYL DRLMNYISQYLFKLLSTSSIDKIDGLVFSGGIGEKGSKLREDVLNRLQWLGVELDKSK NDGKHTGKVTGITTEKSKLKAWVVETDEEGWSAKLARDEFGF L199_003755 MLVRSLRSSLLRPRLPPSQALRAISTSSIRRQVQEITRPAIDTP LSLWNFTEEENMLRETVRRFAEDVIGPKVSKMDEEEKMDPEVIQGLFDNGLMGIETSA DMGGSECSFTSAIIAVEELARVDPSVAVLCDVHNTLVNTVLRLYGSKEVQEKWLPDLA TKKVGSFCLSEPASGSDAFALQTTAKLDSSGDFYTLNGSKMWISNSAEADTFLVFANV DPSKGYKGITCFVMSKDMGVEIAKKEKKLGIRASSTCLLNFDDVKIPKENIVGEVGKG YKIAIEILNEGRIGIAAQMVGLAQGAFDKSLTYAYQRKQFGKPVGEFQGMGFQFADVA TEIEAARLLTYNAARLKEEGRPFTKEAAMAKYFASVIAQKAAGNAIEWAGGQGFVREV GLEKYWRDSKIGAIYEGTSNIQLETIAKFLRKEYQT L199_003756 MFRQVSVMDVDTGDREQEPNFDQPPPKPTSSSNYLHHQPLYATL AEYTLSSPRSFPIDPSSSTTIRNTSSSSRRPSSPLRPIEEYHMNEQPGPSKGYTFPGS SSDTRSPAKENISIGMTALAPSMSPTSSSIGALPLASTSMTSTAQHRLSVSGRPRRPS PLLHEIQPPSRRLSAHQMLLLTPFGGPLPAGALNGAGGLGMSRGSSSMGHALPTAPAR LGSATSQSSGWPRRDSATGSSHNTPVQLAKELPTAGPRAPVNPAPSMGRESPLPTANR FPPRSRHSLAHPVVNPSPLASAPMTTIFSEGSSEGASSRDPSTHEMERNQTFMSRDEV GVDARERERDEDHEADSPPKTRARLLSATVAMTRSNSLPVLTLRELQALKEKDGELGI QRGGDWAWVSRDGDDPDDAELETPSLGTESSTTASTSTTSLVTPSEQPSSSSSYRNPF TSFNDPFAPRQPGVTPVSIYAPMATSSDYHYSPTGPGAELRRMSDAPPTTSTATPSPT SRGGRRSVTDSRRPSAPTALRQSISAMTPRPVQRRTPPQASQATFQQQPTYQPFTAPE ETSPHGSPIASLPPASRPRLLRYKSSPARTTGLGLNIVVRPTNAGGRNSGSDKSPGDT GSAGTDSRGSMGEQSNSAGPSRGSFTTGHWAEVDFVDSLAAAADIALAATTSETVSSG PASAIGMSRTSSSIRTTAIVQRNLSSSSNSNTGRELSSSRKVSSTSQSAPQTSIGSKY KIEQVTSRSHIQEFDNASEPVFKGRSRFESVDSAFPLMPGGQGGRLSVPTQGAGEMYA HSNRNSSFSVVENGILPGLSEGFPRRGSLGMGTFARLRKMVSGSGSGKQQSNNNNNDN NNDLADELGQRLVAASGSSGALAISSPHWSERRGSWAEGWSNGN L199_003757 MRGAGLPRLVTSRSLPRISSLRAFGIKRPTSPHLFCAPAAGGTT LPGRRYAHIASLLGATVPAEEPLETAIQTNTYSNVGSLLDDKFISLGTLLDHYDLTSP IPSDHLLPLLKHQSRPSDIITLMPSIIAYVEETEIPIDHIVDLIQQLLIHLGRHQMFA LLPSVVQLFLQRMEVEIDVTVQLDVDDLQAKYKGFIQHLRYFTILGPSRATQPLPLPV RDQITRIVAHLLKTLSELPSSSKVDSQPQLSPLFLKYIFRRRFLTPELRRMLVGYSFS RKIELTRFQWQQCTLSAMEEGDDKAARKYRIRWKLAIDKTNAIATSKANEENEKEEEL DEDELLEKAQIVNEEPIRSTIEMCTPTTSVSERDKQISNIISEMVLSQYSKSLDNILP TMKPYINTRSLSDLDAHLKNATGPGLKNSLRGTKFRPARYDAHSLLRYAWSILLDRCS KDKRVTAEALLEMAETLPGDAVVGHTLTPIMYGLIKRGEPLKAWEIWRDLIEREKSAP SIAKGLFVDRITLAVATEACHAALNIETAIVLVDTWAKRPTAITKRKENSWAGSIPLD AQNINILLNLCRLDGKPSLAFRLWSAALPRYGVYLDDISLNLLLDIARYSEDDLEDEV VLSHQEENELFRRRLRAIAQEFQFRRKRRESNDNNQEEGDEWLSTEDENNRMYNDKTW ANSSTSILLDNPNTAWRYRFDKGGMEAPWKKARRIFRQVVLGNWPHLRDVQSPLELAH QGAFGSILSFFSSSDASDPKQEQANREILIPSPNARFTHIIPTSNTFRSYIALLGYYN RHSEIPIVLAWMKSLDIKPTWSTMCLALLHICESEGPRRWIKGFGEDGKGLRLVRDEE IMRKWLQDWLEGENSKTKGNTNMSYNVVPTEQDVANSRRWLAERRQRLTA L199_003758 MLQSSEDALRLLAEGQTLLGEGWTHVDNFDELDEDEYEEEEEEI YVTMDLGTTLDAKALQNENQYQLVGLDTPLPFLKLGNQIFQGQNTPLIGDEVVLGLIR HSDNPHDPTHPPLYSTNHRLTFRAITLEPRSQSQAEPQDEQTAQAEPGPGPSSSSFNL SSDSPLAALTISGPPMGNFKKGRSKGTGQVKPRFVIDKPEDLENFDVKAMKTSQKVEL GPNVLRSLGLPPSTHGENVLLSKTDLSQVISGYSSRSQASQNRKKGKIWGVDKDGKIA LIDSDQQEREKDKSQEVGVPGDVQMEEVVQDSPLQGNNEGDTARIDAVQPFNTVQPDE DQVMGNVEVEDDPPWAEVENGQIHQ L199_003759 MLLRSITFIAPKRGIGVRGVHDFIRGPTPGGTYKVPLNNPKIIG VYSSRGSREYQEDAAVVGSLQLPSSELQTTLRKLKSPVEWEPSTAGSEFLAGQVAAFG IFDGHGGKEVSAYLKDNLFQQIESVSSSDIPDLVEWTKKRHAGYFKRWRGGALSRWTR FASNGQKPAEGESMTLEERLTTAFLSADKVVLEDIEKSKRCGSTASVVLLHSLDEPAQ PYWAAKKLSLTVAHCGDTRALLCHQPTGQVIPLTEKHHAESRVEASRLRRMGAELLVS DSFGESRWMGVVENTRGFGDGEWKPSGVTVEPEVTSRVIDGHEHSYLILLTDGLTSLL SDQELVDLIRHSFDPTRAAKTIVHFAEDLGASDNCTAVVVPLHGWGHVGGEDTTKERR EWRRRRFGEMNTRMQRM L199_003760 MSKIVIKTGSTSNTPSLNGRSKTRSESVEEIEIEPTHAYNTTND EYNPPLNKEEQRIRDSKARYEEDVKKHQVIEVKPSRLEDDWQIAYVWSFIIKFNLRNH IPKLESLQDFEGCLKEPVANRPDDIFESILICFLTNLKNLKYGNKNLTPENIQQQLSN YINDQLTNTSEWTVWDRGWPINEEDRGSCCTSDPHRSELGRLRYYGEPSNARASKNPI SQVEQKGGGLFEMDWWERAKLLRQLVDWQLTHSESIRNIIHREFPAKANDSRGKKPQI ENEGRDSIIVKELGLTRDRARIWSFDDSWRLYKSGNPYKRPCQLSSITTDRESYERLI EEMESFSKSVPELPAGKGSAAKGSKAALESKKSAQAKKNEGDLTEKLKERVESVEKEE SRIQRARRKIAQAIEMQQQAEMRSTRTRRQSKKVDYVYDDHSDFDFDSGPSRKRRHIS PEFQGLDDKGRPIIPGERRSARQLALDVKQQQTENQEPVVEDGSVQGQEENSEEGLSV EMSRSAGMTHSSTDSGFTANGTGMDVDDLDTPNANEHGNGDGSEEIKKKRKKGMKGYA WVEEFVPYGKA L199_003761 MYRTIPLLRSALPSLRLTLRATAVSAIKPQKVVFQYRSYAAAAG LSKDDITSRVLDVLKSFEKVDSTKLTPGASFTSDLGLDSLDAVEVVMAIEEEFAIEIP DAEADEITTVQKAIDYVSHSPEAH L199_003762 MKHGINQRKLGRMPAHRIALLRNLVSALLHHETIKTTLPKAKEA ARMAEKIITLGKKGTNPSRSKAMAYLMPPHNSPSPSPIASSSTLSTDPEQFIAPKSLL PKLFEELSLRYAERPGGYTRIHKFGRRQGDNAPHAIVTLVDGPRDLQFEMLAREVGKS SLDLLERKGGKLDEIDEGWEGLGEKTRLKVEKVLKYKSEDEKREFKLKAKEFADYIQA EEAAYGGVRSPKASPDAPAFRKPGMNVPKSGRLLHAGERLSGVSTHTTGLGLARGALA RTPRGKSHVDKSPRFWGQARLPEGVVAEVL L199_003763 MRGEHCIAGATILSAVAIILLIFAHIGQVGSGALVNGIHFMEVN VAAYGNGYQGANNKSASGLYDSKNDALGSGKGLRQYYRYGIYNACAYQKDGSGICNSS TFAYPAEPLSQILADTPDKFTTETRNVIKNIDPEPTFGQDGYNHAMTRGGSALIFIGS VLAALALIFGIIKVRISFLAAAICSGVGAFLLMIGAALWTAVIAKDNFVNTVKVRSGA SLGINVYAGPSLYLTWVAFALVTLSCAPYVIACCTYRK L199_003764 MSLRLVPPTAQSSSEAHVVSSQSTSHPISGTHDTFRHGLKSAAQ GVTAGNVHPLQTRLEKWQQTQNQLEQNMQRNTFGLAVPLRKAMEMKIVSENLHNPLLE NSTTTGVPLGGSSNLALEVLQGQDETLDAGDFMGGSNSLNEVLDVNGVMERSRGI L199_003765 MAYGAPPPQNRMTSMDLRVGGKYRIGKKIGSGSFGDIYLGVNIV SGEEVAIKLESVKAKHPQLEYESKVYKTLAGGVGVPFVRWYGTECDYNAMVLDLLGPS LEDLFNFCNRKFSLKTVLLLADQLISRVEYIHSRNFIHRDIKPDNFLMGIGKRGNQVN VIDFGLAKKYRDPKTHLHIPYRENKNLTGTARYTSINTHLGVEQSRRDDLESLGYVLM YFLRGQLPWQGLKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYCRSLRFDD KPDYSYLRKLFRDLFVREGFQYDYVFDWSVQPSKVQQQQQQDEAHLAAQQQAMQQKRR VMPEEQLGVSGENQRQLRSQTRNNAREQGGW L199_003766 MGKSTNDENTSSSMSSKDLEKLEKKLKKAEEKMKKAEEKMRVAK EEFEKAQAQAQAQTLAQKQVQEEAPTKSEKKEKKDKKRKRDKDEVEQEPKAEQQSVEV EQVDETSQIEGQQKDGDGERVEKKSKKEKKEKKDKKKKTKLEKALEAETTAENNSNNH AKSGEDEGGAKGIFEDGFLSDQAKKNIYYAHLYSISRQPDVEGETDGVKWKFSKAKQN WLIRNFFSSEEIPDKYVELVLNYLKTIQGLSKTNLIESANKIINPPAAPATATTAEAE GEGQVKEDEETTQEQEKKDTEQAEQVVLPLPDSEKAQSEQIKEDLQKERAERLLSIMQ L199_003767 MGLSLSRIYGSLSSLAFWGKDKEVRILMVGLDSAGKTTILYRLQ IGEVVSTIPTIGFNVETVSYKNINFQVWDLGGQSSIRPYWRCYYANTQAIIYVIDSSD VSRLPTSRSELLTMLSEDELKSVPVLVFANKQDVEGALSPGEISDKLGLAGQEKGREW SVRGSCATKGEGLEEGLDW L199_003768 MSSPTATAKGYGIADTSKYTDFSVQEYKLKTPHSDDVTLDIEVC GVCGSDVHTITGGWGDLGAPWVVPGHEIVGKVTHVGDNVKEFKVGQRVGVGAQVLSCL KCNRCNEDNEQYCPEQVDTYNAKFPDGVESQGGYSTAIRTNQRFVFAIPDAIKSEDAA PMLCAGLTVFSPLVRNGTGPGKTVGIVGIGGLGHFAIQFAHHLGAKVIVFSHSPNKKE DALSLGADEFVSTSEDGFADKYFDKIDYILSAADANSIPLGDLLSTLKIDGKLTSVGL PDEAWEGLKPQSMASNAACVGCSHIGSKKEANQMLKLAAEKGIKPIIDEVLPMSQAAK AIESVKNNTVRYRYVLKQDLA L199_003769 MAELKEFDKLLTATITAPRLSGSKVQKLASQAIELVAEDYQLVT TFFKLNASLPPASQSRISSLYVFDAIARAAKSDVNKGIGTQVNKERGKGTQAGLLLKL EGVVDSWIEGLLDDGKGGLWIEGKEKTKKIVDIWSKAGTFPQHCLERLTKKIASAGGA QAGPSTKLKDVGRSGSSGSGPGSVGKGSTTPPYPAPAPSAAPTQPGSLPPEVAKLLGI AASPAPVLSPTNNGATLPNPNGISTPTPAPPIIPNLDLAAILASVNKPQPSPSLPGQP QPQTVASPPINIPNLANLAALLPQPQAQNKPPPPSHPVASDQAGPSNIGAGTGHKPIL NAAQNAALAKFAALAQAGPPPPQTQQAGPSHPPSGGPPGGYRRSPQKGYSDLPLPPAP MRAEPMRGPSSNVENRFQNKDQGNGPGHGRRESQDYKHNGGSGNWGRRGSYENGPGSG PGSGQMGGKWNRERSRSRSPHRERRFEGRSSDSGWGNRAGRGGFHGQGNNREHDQYNN PPNGMISNHGSGPQPSILLGNGLPPRPKTNPGQGQGQPTGNGRAPPPAWMEEPSQEGG EEDMTLDDGSDDGILQPSIQRQNQQDYNNNNHNDNASQQPNTNTMMTLDTFPINTFNP SSPDSWSNLAQAWKNSMGRDPNQLELMAFLSGGMGMGMGMSMGGGNGNVNEHM L199_003770 MSELALFSTMVCPMPFTLRKRMFHFLSENPVVAKVQYGLKITFI FVAVLFVDAVQRMVRIAQEGAAAKAKPDMVDARTETNYAARRFYAQRNLYLTGATLFL SLLLARVFYIVLDFIHVQENYTALQTKVAKQSGASGENEELRKQIKELEAQLKLSQGK DRDFETLKKQANQQNAEYNRLADEHNKATGSVSSKKAD L199_003771 MSGSFPSSSSSQPEQGSSNTSTLPSTGHSIQTDINQDQPPLPPY ARPSVGEYSPTSAPASKMSSSNSTPPELGSGSGSASGSGSSINNEEEQLDLARSTASR LGLPLQRPSLGSTPSTEPLIAPGDISPSTTPVNNPFSVNTNTQGQRLSVGGGGSANPF GGSSASSKSSISIPSPTAPKIRINDMPNSPPSEDVSQAPTPPAVPDSAAPSSTSSHGF SSPFSKPSALRSSINSIPASPTNKRASNGSTVSAAGGVLTASGVEVNQGKNNIAARRA LRMKQAQSTDSKGANGRYSNYTQPNKNAALNRKAFQSTRLKGEIYKPWLEQRDPALRW ARWITIGSIVLGFAIAAVICWDGYRSVPKLGKVCNLINEDFSSGSIDSSIFQHEVRLD GYGYGSFQWTTNDAKNSYVKDGVLYIVPTLTSDDIGVDAITNGYTVNLTADGTCTSTN VSNCVAVSNSSQLTVINPVKTARLITKNSHSIKYGKVEVKAKFPTGDWLWPRISMLPV NNTYGAWPRSGQINILNGKGNNASYDSRGVDYAQSDLHWGPTVDLDRQYLTWGYREQR RTYYSQKYHTFGLEWNDQFMWTYIDSRVSQVISYRFNKESFWSRGKFPTTYTNNTEVI KLINPWLASSENVAPFDQPFYLMIDLAVGSQDGWFPDGTGGKPWIDQSGSAMSDFWAA KSKWWDSSWTAKDATAEDRAFAIESVKMWEKC L199_003772 MPSGPSNQESEPLLPLHQPATTSSTPSRSRLSARRYNPHSLSST SRDKGKGKATALSDIEAGRTSPEKDSSDLHSPNARRKGKAKAKEDKRGRNVTIIFSNE SEITGHGNLECWVEDGENVGSLKEQIKTLRPSLQSHNLRLIHSGRLLTDGILLLPWLR SLEERVKRQAAGVGGDVENVLKEVGLTEDRSESSSSDGDDHEDDDEGGKIRKKAGEKI VNEKVWLHCIVGGKEEKTSTNEEEEPSAPRRRGFDVLLDAGLSADDVAAMRRQFYESR GEEVPEGMEDGDINDEHARALEEQWIEGDMTAETATTTTEGLYSSILHGLLTGFLFPI TPWFFFREPPLPNFFDADAEAINLSRIDQTANRRTSAASTSNVNPIDNTHVSNNGEAS EPITTTNASTSTGTDGMASAQDRFMRAGGIGMGLGEIVASQVFGKRMQMGILLGTILN IAFGALRFLN L199_003773 MSIPIRSARALDVSSAGSSAGIGHSCDCSASSNDDTSHRQHSSN NPAARQDDTFPGGYSTKGIDISPTPAVSSEHEKWVQKHCLKRLDEGSLDPSEGIFDNL PYSRIEAHLFYEDTACLPHAWSQKYENTNNPMKTNLIPLKENDIEAGLKRCTISEEYT TEKGSRGLLLKLSEFEEPNPKTNFTKNENCNLFPGTKIQHSFLKRKPTITSHTERNEE GEGWIDPSKFYLRTLLDPFIRVNHSSQYDSHVEYTIRPGMHGIYTDPASYGGLCPKHQ QCIMRALKDSRPYISRSGDVYLELARATWKTAKMISSISNLSEQEQDEYLNSYIDKFG DYGTAPLYGTPHDYADDDRPNADKGKHRMSTQGGVVVIDNPNNEIIPQFSVSWAKLRD YQDRKKESANKMRLTNGDKDTRFDVQDTYQDFNTSRWGKTSTREIVCGREPYKRDRMN RFQSHKNMQNPSSGKWDTPLPFHLLSFIVSEPDDENHVRSVSNACDKVENSDGEHDED ASHPQTQGQAEHDTDCSDGSSSDQGDFYDDDEPRPDTHVQDEMVETKKKARRAWRGYR RMIAWDNKLRLKGAVKPAQSWSSGAWMT L199_003774 MSLQDRLASQDALSLLTTATSLALVTAGSTTGFGYNFPLMLFGI VAHEMHSTTVPFRQFLSLVLFTGIFDLYSLLFHRYSFFILLFSILLFLIKIPLFFSCL AQLRERGSDLSFGSWRMPQGVNLPGGGNWTVPSMPGGFTSAQPQGQQQQQQQPGNFPS SGGFRLGGDDDDNANNGNQPPVPPPGRNGYSTIA L199_003775 MRQITLLSLLIGVSASQHSLKLPEPTRQLEWKDVNFLSTSDIHG WLLGHQHATWPEPNYSGDYGSLASFATHMRRIAHDKGVDLLLVDAGDHHDGSGLVSSS SDAAGKAEDIFSMLPYDIITIGNHELYHYEDALEEYRNKGRWNGRYLTSNVNITIQSE DGSFQSVPLGEQYLKFVTEQGRKVTAFGIIFNFQAHAKGISIQKPSKLAKEPWFLDAI KDKPDYFVLAGHMPARGETAEFGPIFDAIREAHPKVPIYIFGGHTHVRDCVQYDDRSI GVVPGRYLETVAFTSSSLPQDNDEEPLDVARRYIDGNPVSYKWHTNTSDEEFDLPIGR NISLALLRLASNLNISTPLGIAPHDYFLERHPYGHPRSVLTVFSDQVLPRTIIDKERN GTRVIIGNAGSLRFDLFQGRFDRNDELTVSPFTSKFLYVELPAGLARNITEQMNKSGA SKLLPSEPTNKFEEEDRVRRIYNQWMSEQWEDYLIQGGDDHLYDQLESQRIFKDDESV IPKKPRTMGFVTKDSCPGKGDDIEHYPVPHVGNQPDFVQTPFPDVNNDEIIDVVSMDF ALDDFLAAVNVLDPTIDLKEEHMKPYAEGLEINVVFGMYAKEMWQDGL L199_003776 MASLTRGLMVLARASVLPARNLRITASVSRRRNIVAASASIISR RGYATSSGEATTVDPTFTKALDLLEAGTRALEDGDLSKAAKLYRESVEVKETSEGWHN LGNCEYHLQNKVAAVEAWGKSIDLQPSADAHTNLASAYVLDNPPKPALAIKHLTSALE LSPEDPEIAFNLAAVLESTGQLEPSLTLYKKAQSGGIERAAQNVRNVSAKIIGERAKS QEKEKEDQQ L199_003777 MSQGIIQSIPSLDITPDKIDFSNTVQLTRPEKPSALWAGYYDLS ALTEEEKYHLRGKWEGDVQSIIDMSITHLTAQEGGDVEICRPLSYVDGINWSKAVSRR TALSSNQKPRFTYTDLKILRISPNSALVVTEDEEGDMRVAASLVQDVLLECVSKLREI PIASHSIFFSFPSETEDQINQDRISDLSFRFRSSKAIYGDRTHERVLTKDDQKVFGQI IKGAFETASRIREFERNVSVPYNEMLTTISPHFQFRSNSGPLPADYYRQDLISILSQL TSLNGMSTQQGQTLSFGLDPDDNCENEPEIRWADLPEPHQVPLPLNPQSIQHHLRSVE TIKSEFTYRFEEGLSFDIADRALELYKSGLSGAKKDVYLLLCEDILKETISKTPSR L199_003778 MVEAIIKTQPSLSITPDHITFGIETHLGRIPRPARSSRPPSKSF KDHAEEYLGNLNSAFDLTAHIKSSQWQNSYDLSRLDDDTKSRLYTSWKDRVDNIVDAS IQVMRSEKGVKLNKPLADGEYTTAPKINWSRALTKSYNFSSTDKPKYHDIDMERINGW DLQEEQDSLEDEALRMPIKFSKGMLGKTIPAFQDIQVPSHTMSINYPPSEGEYIEDID NLVFEFDSTRGMYGQERSAKDELNEEDRMMLSQVVKSAYRLASRIRAYESGGQIETGK GLYRKDDLDLIMSRLTSLNGHTAQRGKRLLYFISDEEGAATRQIDRDDEYDRWTWKTL YVASDDHAGFAQPIDLETIKRLRKISAGAQATFDYLKQSQDGLSVADYILSLYSLDDR QKDLGADSMLCGNILEEVADGAE L199_003779 MIYNDLIRSSHSSRNDVNDNGKRGPGSTSSTIEDSAELPAQLAQ NTLFATIPTFATIAVPTYSLSAIVPPTSSGNEDNIVDIESMSFQFVNILGKYGEGTYL ENDIDLEGDASLISQALKSAFETASILRNYQNGEEGKKYRKSDLESNLKRMTVTSYHG ELTKPSDLLLYTGVKETSTENGDEDKGYKRRWNELATSSAVLQSMEFGDFKSIVDKSR LFKANLIRGISRGEYTSIPQYKLKEDIAKSKGV L199_003780 MIEGVIKTSPTCTVSEDNTIALSIDTRLAKPIRGRSSTTSRWSK SNRNGFLDNLQEAYEIAANSRLTQFMFETKEEGFDSWDEEALNRSWAFDVDSIVRAGI DHLQSQNNMTTGFE L199_003781 MSMLLRSAPLRAARALPAARQQVRNAHFENVVDHTLPTNVTNKP WLAIKMIVFTTLGFGTPFFAAKWQMSKSGAA L199_003782 MSRIASSMLRQAAVAGPSRIVRSRNFGFIPTASPEQKLFPDQAS NPVVRDSLVPIVVEQTARGERSYDIYSRLLRERVIFLGPVNSVDSTLLTAQLLFLEAE DPSRPIKLYINSPGGVVTSGLAIYDTMQYISPPVHTFCLGQAASMGSLLLAGGEKGHR YALKNSSVMIHQPSGGAQGQASDIALHAKEILRIRSALTDIYADHCTRPDEQRDTARE RFEKALERDYFMTADEAVEFGIVDKIVTRRADGQIGEEEKK L199_003783 MKLVVALSLLQVLPMGLGKKHSNSFERRSRNARHGDLAERQIVE TIYVTETVWVNATPTETSTSPVDGQPTTQLEIAALASPSDSIVPDQDSITSSTSTAAL SVQTDTGVYGLSQATNPIDTFVSPSSSTESGTISDSSSVSTVTTDAPSTSSGAVEAQG ITISAGLNITLGGGNPVKGNSDKKVFAHFMIGIVYAYTLESWLEDIELAKSKGIDGFA LNIGLDSYTQPQLDLAYKAAEISGDFVCFISFDFNWYTVDNTTGVSETMKRYNDLPAQ FKVDGKPFVSSFIGDGFDWAAVGSGCGQEIYAVPFWAPTQENADNKGLSGLFSWTAWA SKDNGPIDPPLTTVQDEAYIDVVGKANKVYMAPVSSWFFTHFGKEVPYSKNWLFKSEN LWKYRWDQILELGDKLNYLEMVTWNDYGESHNLRNWGGLHSDDGCSKWAQGLDHLPML DLSLPYIKAWKSGSKTPIVEKDQVFYWYRPHLKSAECDSTDNYGSKPDGWDIVADTVF VTTMTKLGGTIKVTSGSNQPVMKLVKPGVQSVEIPMAAGKQTFEFFTLTGGYKKGEAT IEVSEQCWKPMTSNYTAIYNYNYHSGVLNC L199_003784 MSSQSQAKGKQKEKPIASLLAGAIAGGTEAFVTFPLESLKTQLQ FGALEGGKQLTPYQALRGTIQQRGIAGLYAGVTPVIIGNAVKAGVRFTTYDQFKSLLK DDEGKLTAPRSMLAGLGAGMSEAIIAVTPSETIKTKMIEDSKLAQPRFKGTSHAVSTI IKEEGWLGIYRGVGPVMLRQGANSAVRFSSYSTLKQLAQGSVVPGTQMPGWMTFGIGA TAGVITVYCTMPFDVIKTRMQSLRARTEYKNSLHCAYRVITEEGFFKLWKGTVPRLGR LVMSGGIIFTVYEKSYPVLAAFV L199_003785 MPVDNKKGKSRSSPYKKPKSKSTQEGEQAGPSRPRPTHKIPTVE QRSGDALPGISKLKGQIRQTKRLLAKDTLEPGLRVQTQRRLTSLEADLANAMKRDVEK KNGAKYHMVKFFERQKLLRIIKRLQRKLKEAEEAKSDKRSIKYKEELEDARVMMNYVL NFPNTEKYISLFPPSSSSQSETSIKEPKLTIPPLLRPPPTSQQLEGEYDKSAKRRYEI LLEIRELMQQGKLSENPEEEVKREKKEKVSLVSTEDKFTSKNTKGNEKGEDEEEDDFF ENDDDEDDEDEE L199_003786 MSARAITSSLRGRAFRAVRYSSTTAPAQAAKTPAPPKPIDDSTS ALDYKIHKTARRLPHLVTPNPRTPSAEEAVTNILYNTPPPSNEPYKRHLLNCLVQNEP GVLSRVSGILAGRGFNIDSLVVCQTEIRDLSRMCIVLKGQDGVIEQARRQLEDLVPVW AVLDYTKTSVIERELLLAKVSILGPEFADAQLNNSSVPDQSFENALEHQSAPSFVPAE GSQDSASQKLQREQALARSFEESSGEQSQSSIGNQLYPTRRSEISPSEALIAKNLHLS AIKTLSDQFSGKVVDVSENSCIVELTAKSSRIDAFLSLMRPFGVLEAARSGVMVLPRT PIPRYGEEEDAVAEKEEVDLSMLPPG L199_003787 MFASAASYLLIAAAALQPVLAGVYVTSPVASTNAIGGQVLEVKW ADDGNTPTVASVGPCSVDIYTGSVNNQVKLQNLAASVDVSKTSSISATIDPSIGQDDS HYFVRFTSLSLKSESNSQYPYQAFSAMFPISSMTGTFNASVLAAIDASNTTSSSTAVV SAAASGSSKATAGLAASASASKSATTSGSSSTSAALPLADVVPAGLLLAAAGFVSYLV L L199_003788 MSGPSINHIPYTVGNRYLNSKTHHPLTLKYIGSLPPSPSSSEDD KIWLGVEYDDPSNGKHSGTYKDIQVFQTVQEGSGSFIKYIPTLKGGPLQEGNSLISSI QDRYGSILPNFTSTSSISQEDGQDDGINTEKEEKVILGSSSNAITVTLPNISSVKERI GKLEKIRNMGFEDEYISCLGGDKEAKTVLRQRMKSLKWFNLSNNLLSTWEQIWEIVDI FEGLEVLTLSHSRIRPISSDLDLSLDRKERFLSTFKRIKELHLSDCLLSWKEACDLLP LFPNLEILHLEANKRIDHLSSIPHGAMRELKELRFGGCPISKWEEIVTTLHELPRLEN LELSFTPLTTVPIFPSETKLDNIKSLILLESNLSSWKDLDNLSICIPNLTKLRFTVRS HNHDETSTAAATSKTNDETELNSVDDKSLRSICVALFPHLTSFNSNTVTTNERRDAEL FFISFIQKQPGTNKDWGKARYEELCKVHNVTQAVVDDKKGKKSKVGMKGRMITLKIHT SLSPSQEPDLQPQTISILPAAKISLLQRKLCKSFGLPITQFQSIQIWNTKPLADGSGM EKVNKVSVVWEDKDVGWWFEDGDEIFVEFEDE L199_003789 MSNQPNNNASMSATLAQLSPFNLQQLQAKFPQLLSEAERQLPED KRNEIFRNKMMNFMRNSNNQQQQQQNQQAKPPQQQRPPQQQQQFQQQQQQVQQQQQQM QPLQPQQQQQQQQQQFLQQNVQPNQQPQQQQQPSPMMNQNQQNMVNQNQNQQQQQQQM QMQPQQQQSGMQSQPGLQQQGQMNTQNQASYQDQLRLQQHAQQQQHLAAMLEKTQRLQ QQAVQAQAQQQQQQGTPQQLQTPQQQHQQAIPHPHPTPASIAAASPQNHPHSSPQMVR QSPISNSNRNVLGMNGIKTLVENFPKLLELKRTGKLGAEQEKLFDAFISSPEGRNHLQ QYQAHHARTLVESGLANPVGLPHGAQQQQQQQQTPMMNGMQLPLATQQQMAALQQQQQ QQQQGFPPQQNVHSALQAQLQQQFPGGVVPGMNQQQLMGNQQQQQMQSPHMGQQQMHQ IQLQRLAAAQAQAAQQAQGRTPMQNHAQLPNNVNMNPAQAQALARAQAQSQMLQQAQN PMNQQYMQDLQQQQQQGQQQMPQPQQGQQQSQQQQPPNFGSPRPPAPQLNVMQQLHLN IQAATNKMPPEKQANIRNVLLRLAQMTEQQREIAFNNNPPYRQLWNQVSGFTQAAAVQ QIAQQAQVAQSQALQAAQLAQAHAQAQGHVQGQGMNQLPTGVSSPHIVRPNANSTPTF PAQATVPNLHIPPNKQRNLSQGQIQTQTPTQATSSPQMRPPMAQPQAQAQAQQLQQAQ ATQAQAALIQRMINSGIPVAQAVQNVAQARQQQQQQQQQQQQMGQGQQGLAGNLPFQP PNLVGGPTNQIHGNITPGMIIAQIDQNPNQIRPNINGRIDSTVGSGGIRQPSEMSVNL LLDKSQSLLSKVKYEPTPESDLLLKEKLNQFQDPITSHRTSSGRGTMGMMNRVLSEVT LEKMPESLRLMVDEAYAETQGDKDESTGTGSPSEGTLGMIAKKRKVQDLAEGLDKALK VDSDVESLMLQLAEEHNEMISQISCSLAKHRKSDTIDRKDVQLAYETIFGRSIPGFSS DLIRLDQARSSRSRPTNPQRAIKMKLVNEAKVNWRKEKEKKAQAEADAEAEKANNVEN GIEENLNVNGTNPIVNGLSPVPNMSSGGLSLPNGNAIGNGSGSVAVVV L199_003790 MDSASPQPEEAAAAAGSPSEFLKNIVGKRVKVRIGSGVDYHGLL TCLDGYMNVALEDTEEWANGRKTAEYGDCFLRGNNVLYISALEDL L199_003791 MKVPSDRHSSDPSYAPYSVTSPRSFQQPALPSRASSSSKKSQAK APSPIKTEEQPSQYKVARAISSCTRCRSRKQKCDGKLPACSACERAKVECIGFDAISK TNISRNYLHSLEQEVTSLRAQVAALQQNDTIGQTKKYIAANASNAVSSFRSDFPIDPA LMGEDGSSSSLRSPLESPSLSSYGYGHGHGHGHQRRHSDYPFPSTPLTSDPPRSPYQS SSKHHHNHHATGQTVGIQSTSLTRMVHDAAYRTGHAANAVSALYASGGGSGSEKGSSV HGGSTDSPVISNIDPASHNNNGTNDSSPETVLTPRSATSGIPIQGNKRRHSVSPLANP AQLLSSSMGSVGKPKRRTFAIPPLPPQPAVERLVAAYVDFVGVTGPIIHIPSLGKQLM KIREGTDVEESDIFVVMMVLALSTMASSRFVDPPDELRACSEAFHAEAMKHLDAVFEE QSYVGLQAILLLVWYSLLNPDKGSIWFLVGLATRTCVDLGYHNEHNTQVDQIDSLELD MRRRLFWCTYKMDRLLSQSLGRPPSIPDGFINVPLPSPMHDIDIHPDHYGPLEGETCS YKAVFLHTTKMRQLQSEILFNTYGVHGSTGRIPSEEWRQDCFERLKTWLAEAPEPRGT VSTEGYAISFHNSSLLLFRPSPGCPRPGRSALTTVLTSSSYVIRIYRRMQLNNRISWL WMTSHFSFMAGLSFLYAYFNLYSMGGGPDVPTIEDALMVIDSCLSVLEFLSPRVPSAS DCHRTMQALSQAIFEQLSKLDLPPTMGSSASSPGGRGILRGAPISSSREDPLPNETFP APLPAVELPYELSLLDNLFRNPMASHNKASEYTSNQKCRSKKKKEHEQVNVDYGGVGN YPSTSSSSHGHSHGHGGNKGYPPNAFHTSTSEQIRRSYNNGGGDPDRRGSVDDYTNTT GGNGSGTGPGNSLGPYTLAMGLGSTAFSSTTKDSTQTPTFSQPSLSQSLNPSSNSNSI GTGIAAKERELPMINGSNEGGVGGADMDQGNFDLFNFLMDEDGLGGNGFSGLDVPSDF SLWS L199_003792 MAPIKTVRNILMELRKICQHPYLSEPELESFDVPEVEQHKQLVE ASGKLMFLKLLLPKLKERGHRVLLFSQFKIALDRIEDFLYGENIKYLRLDGDVQQAQR QKSMDLFNAPNSEYDVFLLTTRAGGVGISLATADTVILYDPDFNPHQDLQAIARSHRY GQKKKVLVFKLMVKGSVEENIINKGKKKMVLDHLVVQQMGKESEEGDIDDLLLRGAEA VYSMQGGINVPDIVYNSKNVDELIDKVEADAEAEAKEMEEREKAIAEGTLEPNKNKSN QQFGFAKIWETDRNQLQTIAAEENADDNDEREINWESIIDAMEKERQEKLQEMMENST KKKRKAAKNANVADLTYLSDGLLDNSPTKKSKKGKGKAIADGSSESEYNKDGKNSNRG SESEGSEFNDFSDSNMPAELQGLGLPGMLKKSKIMRNTQTTENLPTVPEPSNNPLPLP PHPTLPGLINNNTNPLAQGQMPAPAESSKQAQMKEDKQSRKKRRLEHKQAIANGSSSN STLVSYPFNNRYQQVSPEQQVNQHILEQRYAEARHILNLLYHILREFGNEKNIRRWAL IALPELPAGERIHRYTKLAEEVDKHLSSMNQSMYFSLPEQLRIVSFLLISREDVVAKG NLGVPNIPPDVGLIVPPKQVAAPAAPAPRIRKKGDTTSNGNTATPYPMPMAGGGTAQP QHPQSSASSSTLFNSLMDAAPPTCQFCSGQHELRDCKNLSSMEDLQAIRQAILQGNEP EYDKTIALKEIDRTQLWLLRAGKLPNGSNTNILPPSNLNNLNGNTNGHVNTHTPNKQI RQTQPVASLASLKVKMPKSTPITDKTVIEIPDSPSPIPQPTKPAKATGICPFCEASCG MTIRDCVERNGGRKALKMKIRGCEDRIQGGDGSRGIRDIQQALYSVYRSWPRDQR L199_003793 MSGQNIRQADGLLMNVHDPSKGPVLPKDGERNVLITSALPYVNN VPHLGNIIGSTLSADVFARYSRTLNVPTLYICGTDEYGTATETKALEEGVSPLELCTK FHLLHTEIYKWFELSFDKWGRTSTPEHTKITQQVYLDLHNNGFFKLETADQTYCEDDN LFLADRFVEGTCPQCGYDDARGDQCDKCGLTYSSPTELKNPRCKRNKTHKVSVRPSTH ACMRLDLLQPKLEDWMQKARVKGKWGSNAVITDKGEIVEPRMLGEGLRPSAVTRDLKW GVEVPKTGDAEEDKAMEGKVIYVWFDAPIGYPSITATYTDQWEKWWKNPDNVELYQFM GKDNVYFHTVLFPAMLIGTGQPWTMLHDISSTQYLNYEDTKFSKSRNIGVFGNNARET GQPPSVWRYYLLSQRPENSDSSFLWSSFIAANNNELLANLGNFVNRVVKFVNAKYDSV VPGPEGLAGGDFVPESNPSTPAAQLDADFVNDVNTKLTEFRASMDETKLRNGLSVAMS LSARGNQYLQDNSLDNALLANQPERCAQVLLNAINLIYLLSVTFHPFMPSTTEGILRQ LNAPARSLPTKFSIDILPGHKLGKAEYLFKKIENVNGAQEKAWQKQFGGDSVVADKVN PAGPGGHPEGGKVPNVKDVNVDKKAAHLAKQAEIAKIKRAAAKEAEKNKTPEEKELES KIESQGKLVAMIKKGVQTGNADEELTKAKELKAELAELRKKLKEASISK L199_003794 MSSDERVEALESLLEQLLDDYRDLQLRDITVYDEPPSSLEALRM VNRAHPAIIKGFSPLTTAAHKHDWTQPDVYREISGERKVTVAITDDGLADSVRELEDG STTFVKAFDDKMTISTLISHLQSDTGGDGGEAYYLQSQDGNIYRSTPRPGGSPELEVF QDHIQRDVEWMKEATGSEAEAVNLWIGDRKSTTSLHHDPYENIYHVLAGKKIFTLLSP IEGLWLDQQFHKPSTLGRSSSGTLHPVLDDDPAYPIPWVSSTQFPARVHPIRVELKEG ETLYLPANWWHRVEQEEGNAGIVVAVNYWYPADIDPQIYAYERLARRIARLAGRDGVI PVPGDEDVPDDIWGEDDSGEEWDPAEWGR L199_003795 MSDPDSKDQQPNSSSSSPRKKENTGFSTIGNTMSLPINTLSSLL ALQDVEDHKPKLSKISWLPDIFVFRSSILPIVLGPVLTVTLFSAGVAAASQLWGKEVG LTNNVVPLLSVVVGLLLVFRNSSAYERYAEGRKDFTALISIARNLSRNIWVAVNLPPL PSNGSQPAMSRRQLTAEKRKVIRLVVAFVVATKHHLRAEGGVHHDDLKGLLPSKLAGN DIRRLKSAISNSSLRGQSNYGNQVTNSPDTMTPSHSTPGLIDSQQDEESVVGLSNDLH TPFSPSPSLNNLVHSPRPNQTTFSTTEESHGNGGVVRPNYPRNISSVMKRRPTAVRVV DPNDYNDEMEQTLTLSKSCTGKSIANANERTPLIKKGVKPDTGMMIRAEQGLGRMVEL GLPLIISHEISRSIFRFRKMGCLEAVGPAGMNALQAAVSGMTDQLGSMERIVQTPLPY IFCVHLKQVVSLYLFILPFTLVDAMGWKMVFIMFGISMTFMGIEGIASMIEQPFGTDP CDLNLDLYCTELLCECEAILERLPEGDQEEDLITFERDNQIRDDWGADDGDGE L199_003796 MLSIRENGHGDIALMSYGEVDSSKEMGWGYHRGVEIVQTDLSLI LLDCSLCIENDKGVLVDLYIPRHCSATGRLITANDHASIQIQVADVDADGKAIKGQGQ TIAICGRIRAQGDSDDSINRIATKQGLLKNVWSYTR L199_003797 MSQQQHPYTNLESSSTAVNNEETHNPWSDQPSHPTTSASRVQSR HSIMQETEDELTEDERIEYEKGLITWEKAKNWRFWLRKEWIWWYIAFILIVVVVALMA FFHHSIIDWLTPFVRKIRELKAGFVIPAAILFVLSFPPLFGNEIVIVLVGLVYGLGKG FAIVVVGVGLGETVLFLLFKNCCRARAERMTRKSLNYACLSESIREGGFLMAWVVRLS VIPTHYTTAILAICGLPTWKFILALLLSTPKQLISVYVGVVLGQTNASTNSHVISDCV FAACALITLGALYFIYKRMIKVRKSVLISMREDLHKRHVEVAEPPEN L199_003798 MALPPTLQVHSHFQPEEAAHVATELVSSLDNLPGEVVFLLEEIR EKDVRINQLIQRINTRHIGLTKTAKSLSTLPPSTATFPLPIPPGSPLPTSHLSQKDAQ NLTKIQAEWVKVEILQDEKLKLAERMERIVNRARQRANHEWIKVGGKEIVTDDGISVD GDSKVGIMGEMGGSDLILPSTGLGTGVDGRPQKKRKPNTMFLPSPSASSSMPPPPAPV RSHSSSNRPSTSTSHRHPISRHHSSVAALSDPDLDADGENEDIDVDAEGEVDTAGETE TDDTLYCICQQKSYGEMIGCDNDSCQYEWFHVKCVNMSAGHLPETWYCPDCVKKLGLL SSDGKMPGTNRKNRKK L199_003799 MNPSTIFRSGALITATGISFGAFGSHGLRTLKPPISEQKINSWN TATSYLIYNGLALLAISFHPGLLGASRKYRLASGLIISGAAVFSGSIFALVLGRDRFR WLGPVTPLGGLGMIAG L199_003800 MDYLTILSTRSLILFPSHHSLPSNIPIHRPLPTSPLSSPISPTF ILLPPEPIALPISSLLYNETNCRYYLIHHVLTTTQSDPFRLQKDDRRKRNEVEVMVFK ELDRVKMFVRELGNWFNKQSVNGMEEDELRDLAGKYGLGLQMDLPKGDGHAASHGYES KGETMVLV L199_003801 MSLTPQALRLLSRETITLRSDPPEGVRIVVDEDDLTNMEGWVQG PGGTPYEGGYFRIRFSFGPEYPNLPPKCTMITKIFHPNISKSGEICVDTLKKGWNKSY GVGHVLVTIKCLLIYPNPESALDEEAGKQLLADYDGYCKYAKLMTSIHATPKLPPPEF RNSSSSSAVPAGTARPVTSGKPTPLGTNIKQNPSPIIEQGSTVGDDPTKVIKPVTSAV PATAKIPAAGAGAGGKTTAASKAKRGAKRL L199_003802 MSFKSIIIALPFLLAVSASSPSSSTEHNIFLGCTDPLATPIRPL KVNADSVSSCVESCGSSAIKNTFAYWLGGEESSCVCSTVGPAEEYDIAFPRDGESSCD DHEVAVFVTKSGHSFQHQPKRSGRSSSKFKRSLPPIERDTSCPEPLTACNVEGDSSSY QCIDPQFALNSCGGCIHGEFGSTSVASSAVDCSKLEGVAEGGVICSAGRCKAYRCSDG YQLSSANTCVKK L199_003803 MPPKRSLPTGKPTNSPSKSKRSRPSNTSTTPRKRTKVQRSIWNS KDDWDTLISESQLSTSSISIRPPRLRELPSLVKCCSESIARGFKRLWDVSGDGTGAEF KVAWGYLPNHLKEGIRDSVFRYWGGFLTVKILAEVFLIPPHLYLPGELLPSISQAEHV KSLIPSPELRDQFTSLSLKHASKASDLGISAIIYNLSYLESINLKGCSLAGGKAIKQI LGRCSGLKRLNMKGTKITEKDVKYLLDRSGKRLEVFKVDSISFENINDTFSSQPYPSL THLSLPGDFLNSPSKDYRHRSKILSQSFGGYPQPRQANPENVIQWYTFSDHFPRLTHL YLPGLLIPEDTLINLSPGLIKLDLGSGGPPVPVEVLYHLLETQTNTLRSLKLGHIKSA KLLSTGIPDSAPFTRLGEILSRCERLEEFSMKVDPGGSKDPKCEACMGRYSDLVFRDG LSGSWRNSLKKLSLIIPQSIDSSIFFPSDSHHEDERSVTSPLEQLELPSAIINDTHTF ALALQGFPKLRSLDLSGTTITDEDMGIILEGCKLLSRIDLTSCRGINVRHRRNIFKAY KSN L199_003804 MSSSGGSTTPILTPPAEVINPADEEFYASWGLCILCLLLIGALI TSYYLQIKRIRAVHETVVSIFAGMVVGLIIRLSPGHMIREMLSFKHTFFFNALLPPII LNSGYELKQENFFRNFGVILTFAFLGTFISAVGIGVLVYIWSFLGLEGLKFTLLECLI FGSTLSATDPVTILAIFNTAKVDPKLYSIIFGESILNDAVSIVMYETLSQFHGEDIYL SSLFHGVGIFLFSFLVSMALGVAFGLACSLGLKHSHLATYPHIESCLVALVAYTSYFF SNGIAMSGIVSLLFCGITLKHYAYHTMSKRTQRTTKYMFAVLAQLSENFIFIYLGLNL FTQDVQVFKPLFILVSAIAVMASRYAAVFPLSELINWVYHTRGQRYEELPHSYQMMLF WAGLRGAVGVALAAGITGDNADALRTTILVVVVLTVIIFGGTTARMLEVLGIRVGVED EDASSDDEAEGWTSYNGNLALSMGPGSRRYAGQNGRGYSYGGGEYDDNEIENGIDLHS PENSPYISSSSKNQLHLPRSRQASMGARSTFGTNNSRSGFSTNSESDDNEEVLPSAGP SGEYEGGRNDEEGGVGGGLGGIRPGMIFRDGQWFTALDERYLLPLFSNSVTARRHHAK KATRKVSSSLYDAAGEREGRGSESGHGTPRGGNSLELNRGGQGQGTDEDYAYDGESEN GKVKSGLPRTFSGSVTDFFFAKADPSSSTTNLHQSSSNISLPLEDRSRGNSSSGPGPS TSTNIRRGSGDVSVPANVKTNPNPNEQGWIWTGSGVGEVEGTSRSSTPKLTKGD L199_003805 MSIAEPLPSTRSPFLDQPTLDSLSSATAGATRGDGDDESGNPLS EFAQQVLGVESDSDAHNLLDLGRSEYAIINEEQTFNDLLQNQHQEREHEHEHGNGQHQ HEHEIDPGLEHELSRQFTELDESQRNQSQHENHDHVVDENTKQGEQISTLVYGGRISK RKRVNVGNGTGGERPATEEIITDADGNPVGPHEYVRLKKDSHKEVERRRREGINDGIN EIAQLIPGGTDRKGKGTVLKRAVTYIIELNDKVRADQEELTKKDIEKQDLEAQLAHLQ RQMQEERDRSMRFETSWREAEDRAASSNFEVERIRDELEQLKKSQA L199_003806 MDFDYPDDNQNPYYGGGGGGGGGFVAGGSPYGSQDSPGGKKGRG GNQTIRPVTIKQILAAEQVHADADFVIDGVEVAQVLLIGSVHNSSSSATNVSYEIGDG TGYIDVRLWLDSADDETGKAKGIEQDHYVGIMGSIKMFGGKRHISATHIRPITDFNEI HHHLLKALYVSLQLRGATTGGGGVAPTGSSHADYSAGIQSHSNESAFAGLPSLQRKIM EFVSKDDSDDGVHVSLISRQVGSGNGEAVMDAVEQLMSDGMLFSTIDDLHVKSTA L199_003807 MDEEASFSALLSSTAAPSKPSWDSPTVPSAADDPWANPFSDSTP SFSSTILPPPPPQQDTRPFGTPDSPREEISPYVQKINEDSIGKLPDPPSVIAAREQQQ QQNDIQGVYASSSFNTPTLPEPHINPFEPSSNPFGHSDPANQPFFPPQPLHDDHLPST VQPTQPQPKIKGLPSSLIDEDLMAESDPEQSLKKAFVKSTPAPRTSSPASAIKVEKKT YVFTPNVSKKHAKEEKKEGNVVKHKEEKENRSKDAEKLEEKKVEVESKDDDGISQAKT DESKPSVEEAEDPSHETATPTRTSPSSPNKDNTPTTPTIKSPTLIPLPQSTAATPTIS RVPTPLPPANNNITDTSSVLATPSTDRVSVSPLDAPTSVESAEEDYGFKSLSIGGSAP APPVPEKEWGAASASEVVSPPSSRFGGKGWGVLDDDHEDGLFGKGGPSLVSATTRSDM WGNNDEHTSGWGETSMEDALASAGPSNGFASPSRLNTSLSNSTNGGQEPVSPGDDGSI STPTTSPRRKISTLPVFQITVSDPTKVGDPVRGYTVYTVRTSTTSPHYRKGNFSVLRR FSDFLWLSEILTSNNPCIIIPPMPGKHTFGRFQDQFIETRRSALQQFLLKITSHPVLQ LDPDLRLFLESDSFSVDSKNRKQEIVANEKYQQLQNASTGSTGGILGGLTGMGLGMKF NEFDDWFESRNNFLNTLENQLKQLSKSIEQSSKQKLELSQSILEFSESLTALSESDLG ISLSTSLANLAGIAEREKNLYENQAKLEVIKLLNLAEEYIRFIQSVRVAFGGRIKAWN VWQFSERELTRLKSTREKLRNQGKLGDRVNQSLAEIAEAERTVREQHSNFEHLSRLVK SEFVRFEKERIEEFKNTLESYLNDLIDDQKDLIEQWEGFHKSLLSIVEKSNQKNAGGV NGNGI L199_003808 MSALAARRAAALLASQTSTPSTSKAPSPGPSAASSPSPEILESD SAEEGSTPPPLPPPANKKRKLRKSSPPPSLPRQSQYHDSSLSTITNPEPAIRKSNRKR RFSPSAPAEEPEIVFEDGSDTSVGDSDADLADEGMNDVDEGRAQWSVPVTSIDSTPGP SRIKTKHAIQDNINIDNTSKIIPLENINLYKVSQDDLRLAGLKDDYAGDGMIISLAKE ETLIIAGTYILTPLSGSISISSCTLTCDGSSYPVFAPTSHPIPVISPVSARTNLGAVS WLNKLKLPKGFRKGEILFLIRQNTCGIDGLRYGAVPGFAHIWLEEVGSWGLKGVHPVI GSFSTPIYPHITPPTWSEALSSLSSNEEDSERPFVGLIKGPKRSGKSTLGRALLNNLL EKYERVAWLECDLGQGEFSAGGIVGLWVLDKQVLGPSFTHPSIPYRAHYLGTYTPLTC PDEYITSLKHLLEVYKFDIQHSLSASTSTTTNKINDQVPLVVNTQGWVKGLGEELLQS IEGMSEPTHIYSFSSPYEEEQQYTNTNGWTNSPVYPTSLLPDPYSNENVQVKQIILES APITPLQARFSAADLRVLSILSYFYSSISPEGVEWDFAKPLTYLNPWEVEYGTDTNKA INRIYMKGEGSEGVLSEDLGIALNGSIVALIEFINPMSMVEDGDEDQVYAQGRSPPEL DSINFLGLGLIRYIQPSSLALLDGKIHLLTPLSPEVLGRCKGLIKNGAIELPTAGLLD WSKSNSSTQGEGEEGEIPFFDKSGIEVVGGERRRWRKNIMRKGM L199_003809 MSTVPPPPDANGNPLIALAVAIIYAILYVLQGFRNLVGVLTIGL PSAIVRILHYSLTISLGFPHFLALFAALLLGLFFLVRYRYLTRYTKLKEPALPPPSPP SLTAELLPLDTPGIGINDKRSRSGSFHNYLDDFLSAIRIFGYLEKPVFHELSRHLQTR RLAAGDTLDIGGGDFWCVVEGKVQVFAPDSSPLSRSTPSSPDPYNLNTSSFNGYHLLN EVSTGGTLSSLFSILSLFTEDIKLSWTSPNENEDGEVLDDDDMIREPSLAPPGENRGK TRANSDVSQLDHEVLSKRVGSPENTSPTSGSHRPQHQRSSSLGTGSSMVHQDAENAGQ HPSYSMPATVSPSPAGGRSQSSSLHPSPQIRPQPGAFPSTTTSLHDSPRPLRRVPRRE DTGAAALKGTISRATVDSTLAVIPASAFRKLTRKFPKASGTVVQVVLERFSRVTFMTA HKYLGLTKEILRSESSLNSLVSHPLPRSFYTGGGMQALRNRFQPEAQAKDRSQKNFSS IGSSPSGRISSKDYFNYVPSSPTVKAPSLPSATPQQVPSTPSVKGGNLSVKGLQALSK IDAAVAVESPAENTPDSNTAIEPPSAGVFSPDSAARHGSEFVRRKSAFRKQVAAGDLA MGQGNVPDEKGGAYYRPGVQTPGLPRMDTWMGRFSGSSTDLAHHNGVAITPSSGDYGT PEDESFDLKEAVLMSIARSIGLAQPTESNIDSIGRNSFAPSVSAVSTPNSPMFPPNGR PGVKSPFGNVLDMMAASTHEGVIGGMLREAALKAKVNDDEASSISASMHDSQFGTAAG DKKVLKDLEGNVEILFFKKGSVLVKEGERSPGMYYVIDGFLETSLPVHQGGVETPRSS PSVNPTSASPPPSTFANVNGRPFGAALGLDTSHNNGYSSPFGSGKGEETLYTVKPGGI AGYLSSLCSTDSYVNITAKTDCFVGFLPHHTLEKIIERRPIVLLTLAKRLLSLLSPLV LHIDAGLDWQQLGAGQYEKGDKATDFYIVINGRLRSFHDKDGSMQVLREYGQNDSIGE LDVITAVDRSDTVNAIRDSELVRIPAALFDAISIKHPATTVQFMRLIAGRVRKAMGEQ MKIGHLPLNVPTTDVNLKTVCILGNNRNVPVAHFAGKLKTSLEELGASTSYLDQGTVM RHLGRHAFARIGKLKVAGWLADQEQHYRTVLYVADSPPASQWTLTCIRQADLVLVLAM GDDPSLGEYEKLLLATKTTARKELILLHDEKAVAPGSTRPWLVNRPWVHAHHHVELPG VVTPHKVTPTVHDAAAVAAFKHLRERVETRIRKYRGLRPFARPRRPSHMNDFARIARR LCGKQIGVVLGGGGARGISHIGMLQALEEFGIPIDAIGGCSIGSFVGGLYAKETDLLE TTGRTKQFAGRMGSMLRILSDVTYPFVAYTTGHEFNRGIYKAFYNTHIEDMWIPFFAN STNITHSRMEIHRTGYAWRYVRASMTLAGLLPPLSDNGNLLVDGGYMDNTPIEPLRQN GIRDIIVVDVGSIDDTSPRNYGDSVSGWWIFINRFNPFYERKVLSMTEISSRLTYVSS VKTLEDVKNAPGCLYVAMPVQQFDTLGGFKRFSEVLHIGLQAGRETLKKWKDQGKLPT GLVDASRGSKVIQRGNRLRRMSI L199_003810 MPLSSFSFSSSLHMTKNHRQPPFTASSSTPPLKHRSSNNMAIPS NFMISNDPSNSAGPSRSSSLAGRKRPRESDGDGKNRTPSRRTKSTGDARSNSSKDREA FQRGLISVFVPKALQESKQGNLAHYNDLLAHFLPSPTTPIPALPPLLPLLRAISAHVS LLSPEIHSPLVTAIINLPWATGDEKFVKTFVGWAAVLVSAQPGWAKEVVGMAVKGLTW QLPFTSSTSAPISRKLFHARHHLLLSHLISLVPTLPNVLQPLLIRNFPHKREPEVAQT TWIRNCCELIGYCPELGGRMWGEIVDRMLRIDVEITNSIEDDDDDSDAESDDEDDMPP SSFPRLSPTPTLDPLDLLISQQLPRPRTASPSPDIGVDDDASDGDPDPDELSSDDDGE SDDEDSTNAAKLAEAREKKKANVKAMREKLDGMLVHFFEHLEEYMGAKASHLPAAEMA AQNIAGSSGASTPTSEYPLPSSSTIASLLVKRPAPTPAQSLSFFQTLLNLFSRQILPT SATQHIPFLLFHTASFSPSHTDLFLGLLVSQALYAQTTTAPHTSQPVSMNQRIAATVY IGSIVCRARFVTDDQARTVLTYLLAYIDGKLHQSRINKKEGIDELPLFYAVCQAVMLI FCFRWRAFTASASKDENENDTIVGDLELDGEESLDGENNNDNKWINDLDILQRAITSE LNPLLGCNPTIVSTFAKVAHHTNFLYCFSIIEANQQSSHPPARSTSSQNLASTASTNG NSNSNNNTQRNTPKLPTRTNSSLIGSQTLPRQARQMNIDLGLDSYFPFDPYDLPKSKK YIERLYRTWSEVAIDSGNNDSDSDSDSESEEEEEEDESDDSSLEDHMKTTFPHKINIG SYGEHRKKKLFNGTGQRDNGLSSSLEGMSISPNLNGGLGMGMGVGVRGA L199_003811 MPRSIQKYDHCLQVFVLPSLPKLDLPPLPPIVDSKLRNMVFTHA SLSNVPRSYSTSVFLEEGQGSLDYEKLEHIGDSLLEAIAVTLAHELYPNFRQGSASIM RDKLVSNATLAQISCQYGLPNHLISEPSLRFTLRRNEKVQASIFEAYIAAVYYSYLNF ENDNTLTSTQSNDIEERSTASSSPSPSGADPSKGETAQPDSDGESEESDQEYFDAEST TDPDTDDNTRSGESDETTDYYDGIEIIFKESSSESDTDMISSDPQESSKSTSTSPSSE PTNSPNNTTSSDTIKPRKTRGEAYDYLFQWLRQVLEPIARFAVEHLEVEEKRIEKKYK GMPGRAYVTPESRKEEDEKARGGKMALHSHFKEGELPTYTETRLSGDANTSLWKVTCT VTDSDSKTWTAEATKSTKRDAANVAAWKICVAMGLIKDDE L199_003812 MSETKFEHPVMRTGIDSLTAYQLPPFPSFDLPPLPPITNPHLEQ IIDSYVSTGLRPLLFDQDVFLMDGQDERDFEELQLIGNALLEAIATTWGHDTFPAFRQ GASSQLQSHLIRKATLCQMAIRYNLHTKVNYDPNISIWTEIDIGRVRSAVFEAYIGAV YYSLLGKTITNHKDDDTNQTDSTSKGEKGDKSSVDVGDNQKLEPEKSKKEIPPPIDSM NMTRGQAFQYLYSWLSQLFKPMSKFILDELKKEEIRLESNRPNRRKIATKFPVPPEWE LEDRKAKGGKTTLHTQPQLIKVKPTYTATAVVGPWNLQPWKVVCTAADVNGKEWTAEA TRTTKRKAENMAAWKICVEMGLISEDE L199_003813 MRYDTENNPLFSYVLPPLPQFPLPPLPRIVDTDLYEQVISHVSI QCLTRRSVMALAEPEEDWEKAVDYEKLEHLGDAILENVATGLIHEEFPWLRQGGAAII RDYLVSNATLAQLSVMYNIPLLIKADPSSILYVRSSEKIQASVLEAWIAGVYYNYLKY GSVQDEEDEEDGEDGEKPPKNLQPVLEPIRSCQSINTDLKVEEVKKKRDEVSHTADLE NLINTMMIASTFSRSTTVVHTTTVSSTEISTIPGPGSESLVATGINNQSSDLPDDSSL QSSQLLLPSQLSSLLMTDTSAEKRQPPLISPRTKGQAFDYVHSWLQPLLTPYCRWIYT LLLEEQNKILSTLPPEVPKLVIPDHWKEEDRKSMGMPQALSQHPWIKNVGNKPVYVKE PKVGQRWKVTCKVVDLDGKELLGEAIRPNVQAAKNVAAWMIYRQLGQ L199_003814 MSPPILSSPFLNLNTINTILSSPTPIPIEQRIQLLEANIHLLTS SLPASIKSATTISDQRSRSEISERDRATLDIDKNRVDLGRTYLSQKVPDYIKAEMEFG IVDRNCKSILKRIERSLTRHDGEVSSGSHNKEEPPGEAWVDELKRVRIDALKGMISVE EGLGREARAERWRKAIEGLEGQANIPI L199_003815 MVSILSFFQTKKKGKRARSRSPSPTQQQRPSSAPRPTQQPTPSG TSNTSRPTRFLSLRHKSGSSSSTKPYDLTGRRGGTNELTRKRSKGKSLESSKPDLTSA FIPKLDLGFDIPRTEDAIQKDSLDIHGVGEKMVLRQQEKEVISKLQLSVEEVKLAWEV IGKALRESDLNQHGLVLPLRPNTDPTTQLYLLAIYCLVIRPDLLSNFPTIAAQFAQPT SNPSRIWRERLTSILKDGETSSDLSEVLKYTLRRLQPTPPEPLVDTGLYTAFVKAERS SSYPLDAFETLLSPRLKTGVANYLNEIFEVWSAVITHAEQNAMTPGRLAHLLGWWTWG CSTGKFKSWEELYKDWKSAGQRMEHLLYVWIRHQSTKTQLPTRLLEVVQDYPFGEAST TADQPPSPPPSSFPRQTLHITLTSSMPVTGLFDTPEDILSSSLSAKLNENATAPLWSA LKAVKDLDLSKILSTDSMNFLGQVTEPTDIPSTPYPAQMRSPIQVNVTPSGQPTSNGG TFRGRYHSHSGDLSSPATNVSSSTTSPNLSTLLNVREDDDSPKSVKKQASLGVLPKTG GSAWDDFQKSGFGDSPKTAGKLDLAFSPGSTSKPIVPPASTIQLGANITEGPVAMKRA TFSDSKGSKAVYGIANEDVIEIDDTFMAFVEDAQLDRPSTASWPPFSLVRLNPLVQPL HCEKVIEWLLITVVHRSPRIPAPEPIPQSTQELEWNRPTSPSSSKAGTPRGFKGITES FKRSSSFQSGMNLRRSFFGTSGFSLSRHVSDELDNLPESESDNAHQLRAPLSAASLTP TEYTITEMGEMIKIPSPNEKEDEAVVGPPVSGGAGPSLAAEKEIDADVATIKGKPMAT GLTTGPSVDTLASQWKYAGEGAEHIVFSHRGTFSEYNGKVLRLRKSQFLGNSPTDPGY RQTLNEWTFNLLPQLVPSELLIQTQEVNLAEGWVKDLFAQADATRPEERKLLGDLKSL VVGEAKGVIMEDTTTNEKKDGIVNLAFEIKPKWGFLPDASTIVPPEAAEVKSKHCRFC MHRHLKGHDSSAECKFCPLDLYSGEEVRMKKAINGLWGIWEQSQGKENNWRVFVNGER ISPDKSENVAKYFDGGHSLADYIASSIIPILNSSQVFQTLKTLQSTLDPTDISDLASR FSSAHPDAGLFDPALIPSPTSAELEEFIKLYLSSPEAGKTGDAWTLRQRMIGFALSAI FKDCSVFVKFTLASSSPPLSPSTEKTEEKWSLVEGSGKVKIIDLDLKPIQNLGKWRIT DDKIWKYWLDTHPSSTPTTTKPERDTQKSDDAVTEEGGRTLDIAIRHKAISGLTLSGI SEVGSTNTSDGAPTRALFIPTPDRKRENNPLPTPALVTAGSSMEDSLDPVDPRSDQNH QSESPVEDRGSSVPQAVDEAIEPRRTKRSMAPSPSPPPSPALDIPEQDEDRSKETPKM LKDDVSPEIPLDNESTAQDHQQERDILMPPTLHDDENVGKPQKTDESSSIIGAGLAGL GTVVGLAAEAVHAIVEEFTEPQPEKGQERELVKDDAQVDDQSKAVTSIEPDGVLASEV RQATNPVEEPASTEQRVDNIDTQSISAVDNEHDGQGTNPFAPNEQLNTDNIISSEPAV VHEMPNEEEAEEYHEHTPQAEADRVNVEPDTPQAELENFYTPAETPLISQPPTFDIPA NLPAPVETSDSLELPSLTESSDMINDVAQEVSDQADQVDTASTADTPEVTIIPIEDAT PKRDGDEAVSDSFEDQGDSAPVPIKIEDTSTEQNNAVVVPASTEINADTPLQSVDSPE AAAFKTGIPASLISTTKDPAVSRDLSSQDVSAPPQDPPEPPVAAALAEENSSLEVDGH PADQVPHDEAEAEGAPMTQHSSAPPPVTNVEIPANQYEVSSSLGLAPVEPDHPHELLE DEKTENTLGPNDDVQASEPPNEPSAGISAPPHSGLGLAEATSNETAKVSEIPPILGQP INSTTISFSNSELSSDNQPIIFEDPTESQDHLSPLVSDHHQAEEPVYDHHDLSTISED GESSAPSSTDMSKDASLPTTDDGEQWVEDAQPSQEQEEEETPRAIHEILVEEVNVRRP VDEREGRLEEEEGNYESVSEPVHEIPTNVKPAGASNENGITPSESTSIVKPAGEEVKI TESVVNPRSNASDDAGVSHLVEKEYLHGITPQP L199_003816 MTFLTILLTLLLPVIGSCPLPIPTYADLNSAPLPECSGKFATRA EESGGGGGIGIGAIAGIIIAVLAIILIILFIYIHNRRRNSIPNLSSAYGSPPPAYTSP PTKIYYPSQKHYPTLPKYTGPNTSPAYVPSSGGRTEGGERGVRFGGVGVRGYN L199_003817 MSTLASHRSHVSFYTDDDTHVGSSSSSPMMQDGALVASSSSPII PLGPSKTIPAINRRGVIFSPRTDTKYFHSDDLILPSPTHHLTPKVPSSIRSYSDPVTP IDSPSHSRSKLQSRNLSDTPLLVRSILKSRVAQQIRLAASVEEGTLNNKEAARLLVSG KRPKLLAKDSFQLAILRNAAGDTNGKGKGRAQDDMPSSDDSTSSTNLPGQQISSEGNE DIIVEGDSSDEEEETVEEDGALSTSSLIGIVLDGAEDLLTLEEAYNTLTLRLRTRIPI QNDTADPLTPAQIDDVRVSTQPIKDEAPAMVRAIQRDLQRLLGKLPNSEMDSSEGDHT PFRGLMPLRDYTPTSNGQRGRFTPSPTPGIGPTSSSPTKAARQGYTESEVRYRREASG VGAAVLRFLAFTFHSPHLFSCFSEADLQSMLEQVMIIPRTPKLPTPNPKRTYYLSIVI LAQMNIPSACVQPVKDKVVRALEGAMADTLGSMGGNMISGKEGPSAIKKEGYNAVTNL VSNYPTILFPHYTDLLSTCLKGMVNPAQLIRYKSGLAISAFARAKFSLLATTQNALMA DPSNPVIKENWIKVKMLISKSEFFIVSHLKSALKIPGKQAPIYGKDGEKKLEWHSLEQ MFKDTVGSTTDVHWACAAWSVIVTLMGSAYASSGLSSGFDHIMDRSLQPSTNVVRPLL ARVAWNHAIHAYLSSGFASSITENGHIICSYKPFAASSQQTVEQRTANIQFPVNLALA KATDKASYARALTNPKPGVESHCVWQRSEKSKKLQWLITCGLGSTAVVYAHTGIALYH EDQPAKEMSQISGLPSSDGGMVLPDLSPEESKLPRLDIAWEKVVNPMIRSFFAICGVD RLIIHGWQILDAITSPTSESQPEWSLDRLLVTRYMSGEVFLKEKETDFADLLNDIQDD ELKPSNIPSWGHFWAAKRLGRLLTLFDEALSSINGMNDPSTIEWIRNDNGLPIIPRPL SNIWENLLLALKSAKIAHAPPTPLFLVGLQTVTTQLAQTLTRDPKSYVPISQIDEKGR CLLDEDELRIGMMTHLFDVAVEVLGEDILGSTRLRPDHLPPSASEQSNSSIFQNAFGG DANGYPTVAGTLLGHLLRSNLPSASPSSKYQFKRLVSNMLDIGSLPGYAGKLLGDITN AMPFLFEDCEEIQLDIWRLLATKWTQIIDLQPSSTTSSTNHTGALLVSLLSGPFRARQ ITSYWHRQADKSDLEIWENLLKVTVLRFRAKRFGSNCGVLEALAGHLEDFLGEGEKTS STTITLSCLASAISWMSFTTPTTEGSQASPWHISDEDFVPTDFLTLLNNALVEAYPVS DNQNETQTKMDFSPAVFDLLNNLIRVLESTPKQFVGKVLKPVQSGLAIWLEDRERVVE GEMNQQLDVIYISLLNTLSSSMPTEELPVNSETLNSLAELYFPRISRATSSAVPFTFQ SFWNKTFGTASSGRLEYSEDVADFLRDLLMAVPGMIVCPGLEGDSQLSEEESLARFPH VQQLPKPPVPGPIEIEVEVELTNPEYDADISQSIEPTQPQLPLQHELDNEQPEEKEED ILVDATKDTIEPPSPTPLPVTDNRDKITQEGEDVFGPAAMTRATRSKATKKASKKGKS KTKKSKSTGKIPGTEVEESMEMQSLPGTKRTRVEPPTNSRSLPVVHITPLVADSEVDE DGEDCIIVVPSPGYYRRKGIPQPQPSSTHTPAVEAGPEVEMKEEQDDVVREDVIEGTP TPATSEVPMDESEIEHDAPLNVVAEPEPELTQVAQDLEVQPTLSNAALPQLVAQPISE LTHDVRPTPSESPSRPSLLTSASRWLSRVQSFPFFSPSIASPPAELFPPATQVEPAAD NDFQQEIEDTSQIESSVEKSQIKVTTKKSKKSRINKKRRSSTPASASATASINDHTEE NTPSQSQPQTAVASQTQPVKSLSKSTSTESIASNASAISTTTRSSKRKRGIEPEPEPV DQPISEDRKGKGRAIIPEIQVDEIESEPRRSKRRKSRSKIEETTESQRSLPSPSRSVE LPEPIEIDNEEEEDELLLSPESARRRKREEDELIARVSQGTSSKVWSESISGRFDDAP EDLSSILRRPSLIRSGLSGASASSSSVRSNTPSPTNSTSTLSTSSPSKTKTKSQSQIR EKIKASPNKRNTQQTKILNMLEEAVKSKKVIENLDYQGVKSLLKNLNELREAAEQRMY ERMEELRNAK L199_003818 MGNNHNKRKPKALSSDDDEFNLISMSSDPDDTNESDVSDYSKPN KGKGKGKGKSSGGNVKVTQDDLYAHRAFCEKCSREPADEILDKAKNRKNRKKKRKRNE EDDDMISDEELANMLEGWLECEKCVVSSHWGCLTPAQRKDVLADIREREGAPAEGERP RRTIAIDEAATFICAKCALNPDCFVCHKDDIKEKATNAEDAKGKTKDRDGKDEKMDVD ETEEKKIVTVEDEEEIPKFRCLRCKQCVHYEHLDVPHALGENPSLPEIAFHYQHRTEE GDAWICHQCRAWIWTVDMIIAWRPSPPNAVEPELAEDEKANWKDPLPREYLVKWTGRG FRHVTWVSHPWLQVLSSHRLRHFLEKGPNLDLITDETLAAKGDEMAQPTISKLTAEDD QVSGRKSGHSLEHGSASQEGKKEWKGIGPGPEKDAESSLPIEWSTIDRVLDVMLLPPR QKAKPSTTKRGKRIMSTSLSASASESGQANSSAVANGGRNPADEMRRQYGLRDGQKPP AEMQIDIDTWEEKAGRELDEDDVDEVAGLVTWCFVKWDDLQYDQSTWDTPPPTDSPLY LAFKHALARYLRSRKIEIPVLTPDQVRMRDTNAEGLYVPPTNQPDCIVGGQLMLFQME GFQWLLYKHFRRESCILADDMGLGKTIQIASVLGYLGSSKYKIYPCLVVVPNSTITNW VREFEKWVPHMRVVPYYGESASRKIISKYELYHKGMQNKAEGLNAHVVLTTYDMITGY EFRVFRNIPRWEVLCVDEGQRLKSDNSLIFNRLKTLNSVHRILMTGTPLNNNLRELFN LLNFLDPTTFKQLEDLEKRFENLNESLVTELHEMIKPYILRRIKADVLKLPPKIEIIV PISLTAIQKQIYKGVFEKNSELIQAILRARKKRLRLGR L199_003819 MSRSPAASHSRSPSTQAEAGPSRQTRNTSRTITVDDGIPPSDVD QDLDELNSKSGQSSPHHDIVSSDPLAFSEGGSSIIIKKDLPNHIEGHEDECEYEYGRT EKLLNESRHAKREGSKKLVSHSREGSLFTSKPLCGRDDENERSKISVKSISRHQSKGS SIIHLPSTNTRKKRNRSPSKVNDHNKPIIIDSDSDLVSNSHRRRPIVDIPLMPLNDIR TYLHLRRDTENPTERSRRKLPGLISESEFRSSSEGSSVVIINEKRRRESSVSYGYEDG DGDGDGEMSEDESDMGSDEIALRRSGRSGMKNGRRGSYEDDGDSEIAERTRARRPIVS F L199_003820 MFRSTALKSLRAPLQAQTQSRSFVSKAQLVGRLGAAPEKGTTSG GQDYYRYSLAVTKPGKRDAEGKPVLDEQGYPVRESSWFTVFNFKERAAEALEKLQPGS LLYVEAQIDTITTPPPADGQPGSKQYVFRESSHRVLSKPKSD L199_003821 MSLPSSNILLDPIPEIRAALKSNSFGISSSRIIEESSFPVTQQD LKSVKDESRSTGTTSVRVVGRAEFQLLGEEGKVGVRLDRSGWTVETIQESSSSQIHEK LNKTYESLESLLIDISELYVREMNNEIWKRFGMDKHEDGQQQENI L199_003822 MIRSRAIIRSLPSLSSASKASSSSRIAVRSLATVSDPPVRHYGG LKDQDRIFTNLYCKHDHGLKGAQSRGDWHKTKEIILKGDSWIIQTIKDSGLRGRGGAG FPSGLKWSFMNKPGWEKDPRPRYLVVNADEGEPGTCKDREIMRGDPHKLVEGCLVAGR GMNANAAYIYIRGEFYQEASHVQQAIDEAYKAGLIGKNACGSGYDFDVYLHRGAGAYI CGEETALIESIEGKQGKPRLKPPFPADVGLFGCPTTVANVETVAVAPTIARRGGAWFN SFGRDRNSGTKVYCISGHVNNPCVVEEEMSIPLQELLEKHCGGIRGGWNNLKGIIPGG CSVPVIPREDCEKVLMDYDSLKDAQTSLGTGAVIVMDQTTDMISAIARFSKFYKHESC GQCTPCREGTTWMMNMMDRMVEGRAQEREIDMLLELTKQVEGHTICALGDAAAWPIQG LMRHFRPEVEARLAAFHAKNGQTLFGGRLLSEADMRYALPDNLGGDAHRNIAQISAP L199_003823 MPHSQPSPRVPYRATSTPPRSPLHLPAVPSYRAPQREYPESPTS GIERNRELRIKPPVFSLGQPPPPRKRYLPKYIRSPKRALVYLVLLGLLGITVKTAYSH LKGNKVLSLGWHKRGGEGFFGGLGQISQQEEEEEYDDGLCRFVSPVEAYQRDLHRLRS FYPNSHIPSSPHHHIHNHNHSHTHSHHTYSPTGHLIISDDPDAPHPIPQLLSLGEKRW EELLSRQSRTLSEAVKEYTRRYGRKPPKGFDKWWEFAMEHNLVLPDEYDRINLDLAPF FALPKEEMKRRMEMVENMEKTFTLVIEDGQVEIEIRDPGGLKWGGTLPRAHETSNLLK GFIKYLPNMKATFSIFDQPQIYLSWARRGSLIDLGLRGEVTTHLHETDDSEVKLSRSC APKTNFRKDPGYSEGKSFIYDSLEAGDPCQNPYLIPLHGLTLEPHGHDSLPKPHTQLL PLFSLAKTSINSDILVTPLDQFHDNPGKDPIWEEKTSSKLVWRGSPTGISMMNRTLPW RQSHRIRLHHFAANTSQDFMEFMIPDLGQDQSFSEEGDDQLGDEHQRGKIQYHASPPP SDTSSRSERQRQDKEKRSMNDPLTSLEDEYSTEEVMKFYYDMKLSGQPIQCSEEDGTC EDMRREIQWAGHQGAEELNRHKFLLDIDGNGWSGRFRRLMSTNSMVIKMTMFTEWFQP HLIPWFMYVPAKLDFSDLTDIMAFFRGTPKHPELGFDETAAALARNGQCFVQRMFRME DLQAYMMRLFLEYARIAADEGVDMDFTLSDIDDDIDREKQDGSADWEESEQNEPQSTM IDDDSGVMSQDGHDQSSEEYAESEEVQILRGEDISVERDE L199_003824 MSRRDVGRVVMDVAFFAASQVALYYALRYVLSSLDGGSPSSRSS KKAKEKGKLLLSETGLTDKQLSELELDEYESTIAGEIVPPSKIDVTFDSIGGLDEIIN SLKETVIYPLTFPELFASAGGGLLSAPKGVLLYGHPGCGKTMLAKALAKESGATFINL PLSSLTNKWFGESNKLVAGLFSLARKVQPSIIFIDEIDSLFRERSAGDHEVTAMMKAE FMTLWDGLTSGTDTRILVLGATNRPNDIDPAILRRMPKRFPIRLPNYDQRIKILTLML AHTTLESPFIPQLALKTDGLSGSDLRETCRNAAMVPVREVMRDKGKAGKEGLEEARRE GFKLRPLRLDDFNIHDSHAYAHVEPSRKQPPGAYGDALD L199_003825 MSTQPLAQMMGGLSLGAGGGPPSPSPVGRSHTNNNQSSSQNRLP PVLKKYMNPGLVRPPNTGLTSSSSTNNNYGESQRGPLMKLAGINVPVSTKQTNSPAGK LKQHTAHGLHGPVHSTSSRALSSSINPNIPKYPSSTTQTTSSKGMELGKYDGGLEADV EDKEVVTGESAKLLEMDSGSAGIPLSLPQFQIGRPLGKGKFGRVYLARTKAPPHFIVA LKCLHKSEIVQGKVEKQVRREIEIQQNLRHPNILRLYGYFHDSKRIFLVLEFAMKGEL YKQLSKYGRFDEKKSSRYIAQMADALSYLHKKHVMHRDIKPENLLIGLKGELKIADFG WSVHAPSNRRNTLCGTLDYLPPEMIEGKEHTAQVDLWALGVLCYEFLVGSPPFEDHAG HQATYRRIRNIDLHIPDSVSPEAADLITRLLRYNPEDRLPLSEVLVHPWILKYQKKRS TGGVRES L199_003826 MSNLYQPTGINVLVNSNLCAIKYPPPIPNRRRNFLGEYQFRPSG SSVNFTLIDALTSDKGPNIRLAEHSPSTSEPETHSGLWSEGNLVVDVSDDIVQSRDGS TKVTSYRGTSWNTQSLSGTMYTIRNGKEVPVGNAVVSASIIPKRTLVASTDIAPGSYW FHSEGLERGIKGLESYDRKAIVRELSVQSSWYAYSNPNSDREEGIRYVSSRNRPRDLA GMISGTLNYRMMDAKDAKSMDKEDWDRYDIDLDFEVKEQVVN L199_003827 MPELALSNDDLNGIFAASQSNPAQSDTQPTHRTLIGRCRFIPDG RSSYFTLRDALIADSGPDVNLGPKKHDAIWSGGNTLISIRDDTVPMSNGTDTTVKSYQ GLSWDTKHVRGEMYGRSKDGHDIFMGRTEKSIRIISKKTLLADSEFAQGYHWVKSKGL EEVMETLDDYERYKLNKALSRPSEWNAFYNSTVEGGIEYEPARKEPVELHVLIVGYFQ AVQETETKDEAIASGCKRNRERVRQVDEIDLDYEIIEN L199_003828 MSTQTSHDPRLGMEDLTDRFAGTNLHTPPPGYQKLSERHEGLER AWRQYKKEYSHFLKDHVNYYSPRRGNNRYRERSILRDPVVVPGSNEDIQTSITEYTDE HDQIQRQVDKIFRTQWGTTCISGYFKSSASSSCKIKGTKQESFKCENLIGCANIQVES HDLSHIEEFRPKEGSLMLTFDSLNSHLNKWRKTGTSRMVEDVRSDLKEACEYGCYQSK EGTISIYPITSDPLTINGLDACLRWVDRTTGTRMKLPIGAKITIHPMKLRRGTGS L199_003829 MSFLFGGGNRSVEGSVDPAKIEMAVAELDMITDVFNRLVNSCHT KCISQTPNNHRYVEGDLLKGESVCIDRCTAKFFEVNKKVGERMSAMGSAAQATGSFGR L199_003830 MGYFQAALVKMGMAQPGPKITAQDRAILDLKLQRDKMKQYQKRL QVVLNREQEIAREALKAGNKTRALTALRQRKYQEQLLAKTDAQLMTLQELVSTIEFTQ IQNTVMHGLEMGADVLRQLHAEMSLERVEKLMDQTREGVEYQREIDEALMSRMSPEEE EAVQEELERLQQEALPAIPSVPDRQPVSLPDAPVEEPTTAQPAAETRQAERKEERVAI AA L199_003831 MFGTSLQDRLNAAVKTLEATGSQLQARALSVNANNNQNVSTSSP KESPSRSASPSVTKSPIPSTSPLATTGTNTEKKGDNVSPTKSTGGSGSIATGSYVGST AHLAENALSGLRKSFHFGNRSPQDLINRPTVPTSPAAGGSESNPQELKDITSPTSSPS LAGPSTSRPSSPNPRLLPTSTFTLGSDPPSRAVTPTPTSGPGRRGSKLALDPVIPLPP SDPSDPATYPLPPSPTLSATENLTTPSTGFADPLGASPIIQASADQDVPKLGLQEATP DTEKKEGVVGLGVGGLDTDKVEVQITTSAEGDAEDVEKPVIELSGEEIKKLADSERRY EDLSQRFTTLLSQTHKANQVLKELTPLEGGIADHEALEGWVRMVTGKVDMITAEMKRL QDKLTLQDSRMEELRDTHRLEGSSQTDLITKLRSELSEAQEKLKTASTENSTITQLKA DLAKAQTQAKEEEEKRTKAISLLKTVRLKLVKVEKEKEEIERDRAEERAERAKASEEV ERIKGEKEREVTNLRKGFERELNGQKEKYEKDMASKKATWELEMITTKASHAKELSAK TTKVNGLEAIVKELNVNKQKTFEDLQAKQAEAESAKSEMELLSTRTKELEFQLREANE RIALLEDSSSSNQRNLLGLGLPNGSPSGSPMGSRRNSTSNKSTGGVDIQKLLSEAEAK SESKLSDLRFKIKSLELERNEIEEEWSVKLQERVRELEKLRRQIQEKDMEVSDTLRGL QERESKIQDGEERVKSLEKELIKLKGKLEQGKGDIQIAVEAERSARDEISSLQTQIHT LQTQLDESKNHITQLKSTNKTLRDEMRKLQSSVQLMERQRNPGVGYWSGTAQGGSTIN PRSGVPSPSPTPLSVSVSGSIGSPTQSESRNSLESNSTRTGAPGTPTTSQTVTTGSSG DAKQQDEEEVNLEYLRNVILQFLEHKEMRPNLIRVMSVILRFTPQELRRLNAKLQS L199_003832 MTTISSLPTSLPTITLLISNMHCTSCCETIHQLLSTIQSIQSIS TSLLLHSVTFSVDLENSASSSNGRPKTIGRVIEEALKVLRGEGGFNVIAESSVGSSRS KYLDSLGEDEEIGWIGRLLLQTKRGKERKKLEDRKKKHLEHCKICQDELAGRDIALGQ VQEAQSSQGVDLPLENDRIPSIQKNKDDEVGLNKTTLSIEGMTCASCVNSITSSLKST PGIISVNINLLGSSGIVRHRSSTSPQDVANLIEDIGFEARVIKSELEGTPLDEEEQGS QSFKSVFSIEGMTCASCTGAITRALQDHEGIESINIDLLNNAGTIVHSSEITTDQIED MIEEVGYGAVLSSSQPLSVSSAKGKEKDEGPKLRTVQLKIEGMFCHDCVRKVNSYLDS LTGIETYTPTTLQSPITTIAYHPYQPLTIRDLIEGISNVAPEFEVEILKTQSLSERSK KIQKKEVILLASHCAVAIVFAIPTFIIAIVSMILLPDHNPFKMRMMEPVWGAANMGTV ILWPLASVVQFGVGRLFYKRAFASICPHLRRLVPFALRTESMRRLPPRPLGWKTLISF GSMDLLVVLSTTVSYFASLAMLILDVRASPGTESVGTYFDSCVFLIMFILLGRTLEAF AKRRTTDAVSLLGKMRPDTALLVETPPTSDDTEVHNDMERKKSQDTTSTSTLESENTA AGQSITRTIPVDHLEIGDTILLPPGSLPPTDGIIVSGQTTCDESSLTGESLPIKKSID DQVFTGTVNLSSAITMKVTDLAENTMLEKIIRAVSDASARKAPLELMAEKLTGYSVPV IVYFSLLVLAIWLILALTHTVDNEDEGKAGGRVFFALEFAIATLVVACPCGIGLAVPC ANAVGNGIAAKNGILASGGGEAFLAATRIRRIAFDKTGTLTIGKSVVTDEEWMIRDEK EKEWVKRATKEVERGSTHPLAVGLVEYLEKEQAASGSNTLDDQKQNKISINHVEVLET KEIAGRGLKAIVKIDNQTINLLIGNVAHMMDHNVVLDSTHQELVEKWSNQAKSVVAIA SQLASEERYKLSAIFSLSDPPRETSADLIKSLKERGIQVSMLSGDNQSTALAVSRMLG LEEGEVKGGVGPEGKAEVIRGMQRDLKEGESGDGKGLVMFVGDGLNDSVALAAADVSC AMGHGSQATLASADFVLLSSSLPSILTLIHISKKIILRQKLNLLWALLFNVVCLPFAA GVFYGIHGIRLTPVWSAVLMALSSVSVVGSSLAMRWGL L199_003833 MAQTISFQPSHPLNFYCPSSPDPTPLRLLAFSVAEAALQPDPNS PSFNMAMGKMPTPLEMEGWSDQPSVKPWERRVSQPQTQARKSLWDVVERAKGRRVQEK PSPASTESTLPQSPPVSPSSYNFVLPPNSPLAASVAAGNSPSKKSEPSSSMPRTDYFP LFTQSAHPSPSKTTFSGLDQSRPPPEFVPRLSAVEFSAKSKKTSSVAAKHGRTKSDIG SGSPSSDSSEITAEEKAKARSKLPSVPSRLPSLAQIQARISTDHKRCSSAGSPNRIRT VSRTDSQESIEVIKTPTEESPRREARIVLASILSNRRPATPPTEPLTTPVKEPRLAPF LRERTTGRLSGSGPGGKARPMSMPPMSLGELPSFEAIAATFNSSKSKPSLRVTPPKER STSFTSGTGAGNVPSPTKGAFSRFSANGMITPTESRFRINSLDSYCTPTKQPSPSPRR AFSSPSPASPTESIRSSFSNLSGSPSLSVPMITCTPAPQTILKNGVEQDSDEEGEGDV VLFEGDSYDNDVESDFGSEADAGAASEQEEEMKEREKRAEAMKKRLMLRRRSD L199_003834 MSSNELIPLFPSATHPAIPISAQILSTLRHLTGPGKGNDLKPEE RAALVGVSALLGCEKIQSKDLPLSSAQKASSVSPAHFRSTLSKCRILLESIPSPSVSP SKRSTGGSPSKRSSTSTAGNSPSKKSSNSHSNSLGIPEDIDIEESITPSGGVRPEDVL SPLQTPKKKYKFSSGLDISSLVKSPRTPRTYDSVIASPLRQSVTRQPSTKHPQHTTKN QQEEEDVERTPSKKNKFIATPGHGPGVDLENPPPASAIRSVQKRRKGEDPSAFFALRP GSGNISHTPDKIGSGGGGQEVEGEGWMHRRPEETHRKNKVRRVDQEGEIRRKKRKEVR KVDWTYKEGVWGRQKEAELDQVWKDLDIWLERNDMSSIEDTPVNGRNAVDILLSLVSG SAESKGDGH L199_003835 MATPKIRSANAASTFILAPELPKIDKLKEGRSYEISLVKSENLS KKAQDSIFTLFDENMYDLQKTSSFPYTERSKREELFNRDARYIILLSPPQSLSSTPIP IVSGNNKKGKAKETPTDFDYDNLLGFCGFRFDTEETLSSRDAEVAYCYEVQLSSKCRG QGLGKRLLDILQDIGRRRGLDKVMLTCLKSNESALAFYTRQGYIPDEIDPTRINEEEP VDEDEEEEEEEVDYRILSKSLKRT L199_003836 MTHHQILAGALTLLSVSQAAIVPSYSPRLAAPDPAPPAVPPLFG VNGPVLSDAIQKMDSNSTDDPQAWYPATAAILANVNSSSIQNLFDQLSTTGSTTTVKL HLLDGGVKNYTVDYAQAVVNGSQVMGDDNVGWPAVLRSAIIQHNTPGVETYRLGQGVA KDCLKVLTGETYRADQVETVDLWNVWNDIGPDKQPFIFRTVEQGVTKLTPEHDYGVVQ VFKENKTIDLYDPNPEVGRRVYSWDDIVKDLDIVSWPVDLTKEVD L199_003837 MFTSALLAVLCYIWAGPINVALARPVDSLPGQDEQFKDNIAGPL WSEGGPVVTDVLQGPEGECWFQATLAALVKCHPATITNIVEDIGIGNGEEGKDTDKAK IKLLTKDDEWKTFEVDHKSSVTGIADGLTNWWPAAIKRGVMKMGGYEGVKENTLDGGK ASNALRFLTGKEVDAIIEPTIAGAWYWIKHSNNSRMVIGTQGDTSKLNDGHAYAVMSY SGDGPDNARARLRDPNNGVKWYDLKDIVDNIQNIAGLAGFASVP L199_003838 MHISATLLLLPLVLAHQGAPPAHPHRRHHARAVVDANRPVPRAG GVIDNGTLASISQHNAEASATQTNLATSATASAAQDDDDAITSAVTSASNSGKFGDGL PSTTLSSSTATSSAASTSTEDLATSSVTSDTISSTLSSDVESSTSISTEAAPSTISQV ATSAAASTTAHSTVVLVTTASKSQSTHKASSTAESAEGEKKSSGIGKTALIAIIVVAS VVGAAGIGWTLFRKWKLRPSNRFGKRMNPIDFSPNNGDMNDDFFEKTLQRTASNSSAS RQRRELVAELDDPNHVPGVPPHDFTVGTAGVGAYAMYNQDPYAHAEQYDYEAAYNEQG QPQHGYDQYQYPPQAVTQDHQGYATYQGAPLTQDDAGYADLQRGNSIGSGSGHGHGHV QAMHQHDQQGVYPGELSFPNASEYGALGRPTGGADGPYAQAAQYRGY L199_003839 MSKQPPGPTLIQVPNLFSIVEPGVYRCASPTAAQIPFLSSLNLR TIVSLTPEHPIKPLLTFMRTSGIDFIHLGLTLWRPPGTEWKPVRDEVIKVTLEKYILD TRAHSILLIDPIGVHQTGCVVGALRVMQGWNFASTLVEYRAHAGSKHRYADEQYIELF DPDLVNLPPPQYRPLWWIPSSTIDDESSAEEGEEESQTVNREVNGIVPGQEGVKPDEP DEVVVQ L199_003840 MLPIRAAVRSSSSQRCGIATSSRPTHLTRSISLATRPLPKSKSL SHYIEISRSRDAVLHQRGIHSSSRLMEKKRWVNPASENDKDKGKEQEEKEEGKKGSEE VEAKTQSEKEGVKETEVEKKKEIEKDGSEEAESSKTAEERAKKESSSSSTARSSASGS SSSSNNPFSLPGSSSGSGGGSGKEIVKPNIPETYPQVLAVPITHRPLFPGFYKAVTVR SPPVIKAIRELQAKGQPYVGAFLLKDSNSDSDVIESLDQVHNVGVFCQITSCFTSNEG EGKPESLTAVLFPHRRIKIDELVTPGQLSSEAPLVNVSESSPETDENPEGHVESFEPD VPSVEEVREELGTVSRESEEGQDQVETPKPAESKASKPLSPINFIHSLVPEISITNVS NVSLEPYQKDSQVIRAIMSELISVFKEIAQLQPMFREQVTSFAMSNTSSQVFDEPDKL ADLAAVVSTSNLEDLQAVLESTNVEDRLQRSLVLLKKELINAQLQFKIARDVDTKIQK RQREYYLMEQLKGIKKELGMESDGKDKLIEQFKEKASKLAMPQQVRKVFDEELNKLVH LEPSASEFNVTRNYIDWLTQVPWGVHSPENYDISHAIKVLDEDHYGLKDVKDRILEFM AVGKLRGSVEGKILCLAGPPGVGKTSIGKSIARALGRQFFRFSVGGLTDVAEIKGHRR TYIGAMPGKPVQALKKVATENPLILIDEVDKISKAYNGDPASALLEMLDPEQNKSFLD HYLDVPIDLSRVLFVCTANVLETIPGPLLDRMEVLEVSGYVSAEKMNIAEKYLSPQAK EASGLKEVDIDLEPGAIEALIRYYCRESGVRNLKKHIDKIYRKAAFKIVSDLGEEALP EPKEPETTETVEAQEPDIKPASEHLPGDHSPSPGDAGTTKHVTTVPREPLKVPEGVHV RITQENLRDYVGPPIYHKDRLYTSSPPAGVSTGLGYLGNGSGAVMPIEVTAMPGKGNL QLTGKLGEVIRESAQIALSYVKSNAYSLGITKSDNEVTLNDRDVHLHMPEGGIGKEGP SAGTAILTAFVSLFTKTKVDPDVAMTGEISLLGQVLPVGGLKEKILAAHRAGIKRLIV PIACKPDIDENVPLSVKKGIEFVFVEDVKQVLYEVFKGTENESRWRETLPLERQPERE KL L199_003841 MTTYINNYAQPSPTPRDIHLTTPPEEYDLNYVFDVKELKSDKVE LRPIIPSLHAQLILEAYSRSPEVLRWLGFAPFRDLGDVLVWIENTCRRASDTLMLAIF TQPPNTTSPVDPKDYVFAGIIGMIASNYQAMICEPGYIMILPEFHRTHVQTHATGLVM HRILDHPSQGGLGLRRCQWITTTLNIASQNAAKRLGYTYEGVLRCMRVLPPGKEGARE GRQDVRQSDGQVRDDWYASVTWYEWEERVRKHVDRLMNRQ L199_003842 MSFLPYSYNPSLSPYPSYSYPFSQSLTTPSPIYTLLIVGMVLFM AANIMPNLIPAADRVWHVAPMVLQWGFIIIIFALMVQMLSIVPGVPQLHITYVHMAIA SLLFILMWNHIISEIPPSAEEKKSSASSSREKPSEKKEETKKNDEGPSEPSPWDDLRS HPSAFLTTRLNKLMPWPFPLGRAKREGNELWWEKGMPSHVGHFNKPDPDIERKAKEEE ARKKKDTERAKLKEEEKAKEAERQKEKERAKKEAEVKAKMKEEEMEKAKEDAKKRKEE SERKKVEDRHKQKLWRTKYLAMIIGVSMLNKTLGFLLLLLFSLQMVSQELNDTPQASS TDSSSSGSSSSSGSSTNQEEKENIARLQPQREKEKAAAAAAAKSSSSSSSSSKTSPSS SSSRDPSKSSSISGSSSSKSSSKDHVSSDAIRKASGSTTVTNVDPDGGGIGVPYQVGF GMNYIHTPNSDKKDHLTLPSITIPSTGMSHPLMTTTYRQYAN L199_003843 MARAGAKRPSPSSQPDDEESESVRARDIKRVKRERIEEQNRAEE RDGLSDDSSDSSDSVPSLDEEDISDDDDDPLDNYEDVQFKDDVLVQAYQAARKRQKGY VGSTSEGGVLKSINLVDFMCHRHLTVDFGPRMNFLVGHNGSGKSAVLTAIAIALGGKA MTTGRGQGLKDLIRKGADKAIITIIMANSGPQAFKPDVYDPHIIIERTISLNGTTAYK FRASRDGRILANKRSELSAILENFNINIDSPLTLLTQDQARSFLSSSDPGQLYKFFLK GTQLSALLETYESSMQNIEQVNTLIKRQVEAVPALKEKVDTLQRKLLASDAILRQREK YKTILNQSAWSYVTDKENERDECQQTVNENDQKIEEAQREVYKHEKKLVTLAEEIRQT EKDISNFDETRKPLQKAVRDAKEKVKREKKELGDIDASIMTVQEDIDTDRGGLQILQR KIDAKLRLDANAQRDEHARLLRDRTRYEEFADKLRKDKPKKQLQLAEKNGDLKVAIQA AEQITYQLQQKETAVYNINEKIKNLEGQSTNRLTAFGHGLNNVMRDIERTKWRHSPPL GPLGMYVKLNDMYYRDTIQGILGATLCTFAVRDPHDRATLVEILRRNMRNGYRPGTGQ NQVPPVYMHGGDTFDYKRGDLSHLGPTVLSKLTVTNDDVLRLLITLHRVERTFLARTV QEANNEMKRFHTNKILDHVNFFSADFQQISGTRSSKSSNPSTQWRGNLLFTRDLNDDV QQAREQLHACEKELQALTEKKLEAEKTIEEINKEVKDIGAAIKKITQGLKPIDSKLDE IRGKLAEISSTEMDNWEAEREERMRKIQDKEDQLQAFINEKQKKELDIERYQQDSINR QRELDEHMPQQNQQAEILTALVQHRADADAKKKYYENAMKIYQIRRDKAAAEVADYDA QIEEWASQARGFCPVRVRSTKSSAQLAQERATLEAAIKEAERHLGVDTSQLASDHRAA KRLLVDVSKNIKQMQKLNRVFHHAMRNRRTWWADSRNNIAVRARTAFVVFESMRAMEG RLEFQHKEEKLSLVVHSTTRTENEDGELTERSHYKTPKNLSGGERSFSTVSFLLSLWS TVPCPLRALDEWDVFLDAANRRVAAKSLMEGARESEGKQYILITPQDMSGIELNGPDM KLIRMPDPIRNQ L199_003844 MRPSSLSILSTFIFGSISALAACTSNTTDTAGLQQLIHDGGAGY KLELCAGQVYEISEQLNYTALNQEISTEGYPTDDTRATLKMVGFNKTTLVMAQDAGLS GAKLLNIQLNGNRLDSEDIYTAGGGNIEFGGQNQNQTIEYVKSYDPRGWSCLHLAEGT FNCVNITVQNNDIGPCGRDYFQNWADGISLSCADSLVQNNQIVDATDGGIVIFGAPSS VIRNNTISVKTRTMLGGINMVDVLPWLPEGNFSNTLVEGNTIHGGFATEMGDAEHGPN NASAIVKIGIAVGPDVWFSDGRYGTNKSTGAIIKDNIFSGAFAFGMGVSSAKDFTISN NSFVGNTSFIGSYGPNCTTETETPHEPVPLLLEPTSVTNLNLTLPPDSPYQFVNGTAL GLTCFMPPANDQNAWPYGDGFNSGPSVTSDGGATSASTSSPASSGSSGSGQSSDAFRS IQISGKVILGGTILGLLVGLKVVL L199_003845 MSFKKDEEAGGMSFYHDKSTILQEARVFNESPISPRKCRALLTR IVYLLYVGETFSTQEATTLFFGVTKLFQHKDAALRQMVYLVIKELSTIAEDVIMVTSS IMKDMQPNLEVVYRPNAIRALARIIDAQSVQSVERFFKSALVDRSSSISSASLVSSYH LFPLSPSIIKRWSNEAQEAVNAKSVSSFASSASSYLSGGGSGGSGGYQAVASSSYIMQ YHALGLLYLIREKDRMAITKMVQQLGSNGKSNNIIKNPMAMCMLIRFARKVMDEDPNV RKQMHEYLESLLRHKSEMVNIEAARAICEMRDVQPGDLYRTVAVLQLFMNSSKPVIKF AAVKTLNKLAQILPESVATVNVELENLITDSNRSIATYAITTLLKTGNEASVDRLMKQ ISSFMADITDEFKIIVVDAIRSLCLKFPGKQAIMLSFLSGVLRDEGGYDFKHAVVEAI FDMIKFIKDCRETALAHLCEFIEDCEFTKLSVRILHLLGIEGPKTRNPTKFIRYIYNR VVLENAVVRAAAVSSLAKFGVCIDDKAVMKSVNVLLRRCLDDVDDEVRDRAAMYIKVL EEKSLADVFVREEATFSLGQLEEQLVSYVKDETKHSSAFDVSSIPRVSKEAAAAEIAQ ARSSALDIAGPSTPKPESSAQASTSAEAQSSSYASQLAAIPELESYGPVLRSSSKPVE LTESETEYVVSAVKHIFKEHVVFQFNVSNTIPDTVLEQVAVIMNPSPESGLTEDFIVP IQSLSAASGTGVIYVSFTRDDPSTYAAGTFSCTLKFISKEIDPNSGEPEEEGYDDEYQ VEELDLGAGDYITPTYVTFSSEWDKLAESASLTETFALSSSESLKDACKSLIEVLNML PLGGTETPTSNSVHTLNLSGLVIPTNGNDKSSKVLARCRMTYSPGSGVTLELSVRAEN EQGARLVMAAI L199_003846 MSTISIQPGQPEASSSTPSIVPKHLATFVFDSSLPRSRYKKLTV ADGVVHKLVQRLMEKHGGETNLRLCLLITASASDNRKSRVFRKEYTGPLAFLTSLPNF LDYFPKSGRQPSKTPRWPSFSDGTSEERGNAEGIRDKKRKRTDEITLLNGVVGGVETL NKPYVNIKHSPSRTFISLTPSSATPLLDSLHAPLSTGDETPGSPARRYLVVVASDDTS SDNIKDQQVEVILPHDNRDSTWDGQGWQELASGIKGKDIRCSCVVVGQSGDGETPSKK LKSMCKEISNGSSEAPWFSIPPEVDLTLSGYSIESGSTPPLDMASTVATEPIETPISN PQLQIPMPAIPTVNADGKTLQQLQNQAMQARMAQFAKAVSSVSMANGANVKVDPQMMA SMLQAMKNPGNTPIDMTDPKWQQVRQLLQLQQQRQMAASSNNNAMINISQPTSSAMPL PVPNAVNTGMNGNGNPQDQNVMAAIQQQRAQQAQNFVQNQNQSSQQQQQPIVQNIQAQ QQQQSQTQSQQQQVRPAQQQIWSGSLSSASQPGNYTHLLNLDASKFSGNSEGILAQHW PKDLQLRNVVVLEVQTLTAYAQAKNCPIVVFTPSITMGQDSTANSYKYTQLANSLHAK GNMVIIPFGTQDRGIVLFSASMPVQPPTPSGSRQYHLMGVVCLHVPFPPLNAATIRTT PSQAPQQPLQPQVQAEQQIPQPRPPVPQQQQQQQQQQQQQYRPPPSGQNAQNTNNGNA MYHQQMLLQAQSAMQNQSQPQTQSQSQQQQQFVPQQQQQQQQQQFIPQQSQQQQQQQQ QEKRGISQQQYTQLMLHAQRLGLNIQQFDYNNMTSNQMQAIINGIKMAEAKLQQQRAQ AQAQAQQQQQLQQQQQQQQQQMVQLQQMLASQGQFTGAGMGDGQGYSQ L199_003847 MDQSILRGLNDKIYERRKAAALELEKLVLSSDIPRISSIIDQLC GMFSSSNSALHTRNGGLIGLAATAIALGQDVAPFLGIIIPPVLACFQDPESRVRYHAC ESLYNIAKVSKGEILIHFNEIFDALSKLSSDSEMSVKNGAELLDRLMKDIVAEAAPHY VSIYPGNYNPNLPHSHAQRIENETTTTSINNKLSGLGINSNGHNNIEKDGNLDPCASP KIGLGLDSPSKSNDQSHGQQQREEDRRAFSLARFIPLLAERIYVISPYTRMHLVSWLM VLDSVPDLELVAWLPEFLDGLLKYLADGNVDVRLATENVLAEFLREIKYIAQVQEKQA EENRLKKETRSVRTRGSRHTLESAIEDEDEAIADESMTTTTQSGYDHDEDDNDWEGEG SGNWVPGQGVFVDHAAIMDIVIQHLSYPDELVQSTAMEWILTFLEFAQNTVVAFTPRI VPAILPNLASPHRHIKLAAHETNGSLYRVIQSLPLQVQPTPATASTATVLLPSTSASV PPPISSVAGSPPSTLALTGTSPNPIKKDFALSSSTTDPPDSAKTTSNIPVNDPLDVTP STTKNATIGGSGITQTLSATNLQSHKLKGTLPTMSEPVTPATGEFPGSISKKSSIRPE SPPQNQQGQGQGPLSPTIEQGLLLADDVDPFDVRETVNVLTLQFLSDHAETRIAALEW LLMLHLKAPNKILSRDSGTFPALLKTLSDPSEDVVKHDLQLLAQISASSEDSYFTSFM VKVLELFSTDRRLLETRGSLIIRQLCLHLNAERIFRTIAEILEKDDDLEFASMMVVKL NMILITSPELADFRRRLKNLESKDGQMLFSSLYRSWCHNAVAAFALCLLAQAYEHASN LLQIFAELELTVPLLVQIDKLVMLIESPVFTNLRLQLLEPEKYPYLPKCLYGLLMILP QSSAFISLRARLSVVHSSGYVPISSTKSSTGSTFSSAAAVTKSRLGNKEEIKWQELLS HFRSVQAKHEKARRQLHSADLPGSLSSIHYSSPSQSGLNSIPNPSSMPSAGQKSSGGA IKKKSASTSASASRQNSVEISAAGIGRSGISPLNPKRITSISGQPTPTPSVSIGGAVA SMNPNISIGARSTSPIHPGRKKLLGGLRKSTGGGGN L199_003848 MAASVPSFKLNTGATIPAIGLGTWQAKPGEVRAAVAHALKSGYR HIDGALCYQNEKEVGEGIKDSGIPRSEIFLTSKVWSSYHDRVEECLDQTLSDLGTDYL DLYLIHWPVRLAPNGTHPLFPVKEDGSRNIDWEWDQAKTWEQMEAVLAKGKVKAIGIS NAGIPIIEKLLKTAKVTPAANQIELHPYNPEHELVKYCQDKGILVQAYSPLGSTSSPL HKDEVLTKIAEKHGESTATVLISYLISKNVVVLPKSVTPSRIESNLKTIKLDASEVEQ LDKLAESGKQTRVNSPPWGSDFGFPNWYGPNNKNAPEGARLLYGKSA L199_003849 MSAIFTTTQPSSMIRPFNTDISYTPKECIEVTILEAPSSPSSSS SSSAHDETESSARISRHLEIPSLTDEELWEPWFAFPSRPQMTLHRWAEGNKSQLDMFI HNLPLSSPPSLYPLFLTKCRSTLTSLKILVLGSILLIRGVNHGPQMGRTLFGRIIGDR YNDLMAFRNQNQHYAKSYIALFEDEEVIRRSVEEARCLQIRGDQLFTQGEYEASLEHY AMALIKLIPWDTASLPPSLSYKTGFQEIDQALFLSIALISIRICQTLPPDYRSHLTIH GSRLYRLSKASCDYISHSPISGNAGEEQVTLACRLESMAREIANVPKIDRFEVEDGKS VREVRRRFERD L199_003850 MPFQQGPRKAEKDDKGNPVYPCLWIGCKKSFGTAGHVRRHEKTH VGITPYACPHCDKSFNRSDVRAKHVSTMHPEKEGQSGSSSSGMVDGDDEPPNKIRRTS MDEDTSTQRGENAEFHHDHHHERRYSTSSDHRRPSITSINETSNPTPNPSYPFASISP LSHTVPIQVAIPASTPHQKQSSINVSSIDQLWNTFNGPTPPSASGQSTGLTPMTNPSP SVLMSGSGPAGMSMMPPPPQQTTVNTGTPFSVPSVGSSNSGFIDPAQLSVGTSAGLGG DFGADLFGPPIAPDQPLDPFDPSWEWFGQVFGWGSDENIDLDIGLQSSMFDKGGVGPI SSTDSLSAAWLLCSTPRGGSPVNGEDSTNPLNKGKPVLGMPDPFGRKEDNPWPSIFKP KVPDRPLTLAGVKASPRLHKWKQGSNSGMPHNAINETSRNAMLSLIYLSHQPTWLMPD IDDFPDSETLSDFVDLYFENFHPLFPIVHRPTFFKGDTPAVLLLSVAAIGATYANKEF GPLAVALCELVRRMIAWMRGSDQRAKFDRNTLLAFLLQTALGIACGSREMYYHAEIFR CSIVTTCRRLHLLRGIGSAMNDLYAREEFPTDDQRYKAYMEDETKRRLGWGVYYLDSQ MVALLHIPAVFAVNEAGIHLPCEDSLWEAPDAASWAALIANGEATDPSTTRPKFLKVL AKSLAGEDIGLKMDDLGCAIISLTVWRMLLDQQMLQKALGVGLTDNGMDKPSYTHEAH VLDTKPAHLLLRLAQTTYLSPSPTHLRLTPAALYHSAHIQFTRPGLMDRIRHVSGKYE PDMTTKGSLGWLKAWMKDGKEVRKVLWHAGVLNALLAEFSRGSFAELFWTFDCALVVW AIAKYAPHQITNNGLKSALFAANWFDTIPPNLWLLHGGEMVFPFLGSSANWTVSNLLE LFMNRLESMKWGLAVQYRLVLNTLLEAEKVGKTLAVDGGRPNKRNGEGGDYNTDSDGK L199_003851 MNDEADKTQSQSQSERNLNLGSTSNRNDPLSSSSHLSTVNNEAT TNPRATRGKQRRQSDIIPQSQPSTEAITTRRSARFSIGDNTVPASSLDLPGPASTGGK GKKRVHIEETPQAGSSKKKSRASPPHASVPSETSPTDRKGKKRAPRSPSPPASNHNPS ITEAGINRTKRASITSTGSGRYDLRHKSEEEIQPTTPAASSKGKGKAKAVVKGKVKEK KAAYKMPKKANPKQKRSTLGSSSDRIKKGDTSARHLVDDHMDDDDSLWMDEPSGSGRD ILDDEDDDDEHMERSEHDDDEEEEEDDDDEDGEGDAGNRGGGPGGTAYDRLARLASDT GLHLDEATAAAIFGGGFRAFGGMMSGLSNRFKQLKTNLQSKNVATRLAALRECSELLL VSNEDTLGGAFSPTSFATEFIAILNGKPNINEDQDSGDENQGLDEMDEDAQLAAALAM SSGGMMPSGDQDDMECQLLACRCLAHLIEALPGSGHTLVHLGAVPVLCSKLAEITYIE LAEQTLSTLEKISAEYPAAIVREGGLGALLNYLPFFSTNVQRTAVTAAANCCRNISSE HYAQIKEVFPTLRDTLSSGDQRLVEQATLAVVRTIESYRHNAEHLEGLLEVPTITAIN ALLMPSGGSPLVSPSTYTHLLKALTTSARGSAKVSLAFLEAGMTSTIYQILTGVLPPA HDEDEQGGSSGGQGLAGGIADMAVLQNLAHRPKDQVEEALGLICELLPPTPREGVFDP KGYTEKNLARVKKGRKADRSDRPGAPRRSSRVAEAASGTSSGPPTPSGGNAPLPADAV TPTAVASSSASSREAAMLKALKEANAQMEQRFELLQSNPEIIGKFIKAVVPVLVDVYA ASVVFRVRAKVLSGLVKAIAFANAEQLKSTLRFVPMASFLCAIISSKDNPTFVQHALQ LVELLATKIPEVYQASFLREGVVFEIDALAEQEMAKEKAAKEAALVKTEPEENEETAA GPSMSTPTNAPAIPEDLKPLLSLASIPGGIPLLGEIPSGPSTPKRSSSSYLDPSDANI VRARMLQAKKVFDVGGDHHQAASQVLDEINAMVQKLRRAEANEAELRDTLRDIASRFS NVDQALSSFELLKSGLVDGLLEFVDIDGEVSSSDRRLMLFEVFSDTSLSNPSPLTMLV KRLHESLGRLENFDVEIAFGGGTDASRPSASSLSRTMRIRLQAEEGEDIPKQVSTLSV TIQAIASMQALNDYLRPRVADGNYGSGLSRMFAAYAAGMPAGGGNPTSRLLSALAGGG GPLSRLGDTSMATAGSSAAATASTSTENKAEPSTERNKAEEADKAEPSSKPQRRRSAR LSAQETGESSNSVSAPAAAEPFTPAPAAAASISASGPSIFPHMPMDMDFDEDDYSEED EYGHEVFEEEMGEELARPTESVVNMSVAADGSRVEAKTPEGTRIATPQQNPSAATATS GPDPSASGAATPRGSSYAGAVKTAPTDWHLEFNINGNKLSLDDTLYGAVHRNQDSISG GGSAGYGGIYNMPVTIKFRKVEGPAKSDVPVEAPSPASVTSSFPAGLEPGTPTSKILR LLRVVHNLSVDGKDAFRLSDNALDENLFVNNKLTAKLTRQLEETMIIASDCLPEWAVE LPKHFSFLFPFDTRYNFLQSTSFGYGRLISRSQQGGNSRNGRRDDVSHLARLVRQKVR ISRSQLLESCSKVLEIYGTSTGILEIEYFDEIGTGLGPTLEFYSLASKEFARRALGIW RDEDETKEGNYVFHPKGLFPSPLNESSSGSESRLSWFKTLGLFAGRALLDTRIIDINL NRVFLKLILGQPVKKTIATLKAVDTGLARSLERLQAYSYARKEIEALKLPASTRRTKL AALTVGGAKLADLSLDFTLPGYNIELKPGGSHIDVDDSNLDEYLERVLEMTLGSGVEK QVKAFQEGFSMVFSIRDMRIFSPEELGLLFGNAEEDWSRETLEQSLKADHGYNLDSRA VQNLLEVMVGYDKEQRRQFLQFITGAPKLPIGGFKGLTPPFTVVRKPHEPPFKADDYL PSVMTCAQYLKMPDYSTKEILAAQIERAMKDGGGSFHLS L199_003852 MSADKHPESIPALMSSLRHLRDTNPKAVRANVHIYPASLYANNG ESSSTSSMRDRKITSWKMTEHMYFSSQNPFPTLARGLFTEEVQENDPLPGEVNSAGEG CTRPKDRIVARGYDKFFNIDEVEWTNWSAMKIHTEPPYHLTLKSNGCLILISALSPSY LIVASKHSLGTTTDAQEQEALIGLADLSIKDNDQKQNKSKKEVQQEAKAEKAEHEEAK AHAAVGRDWVKKTLAAKGKTEAELAKKLWDGNMTAVLELCDDSFEEHVIATPAHWTGL HLHGLNHNTPHFSTSPPDKVKSFAEEFGFIQTKYVELQTLDEVKSFTDDVAKTGSWEG DMIEGFVVRCKVKQPPQSSQTNGKPPYKVGSPFFFKVKFDEPYLLYRQWREITRVMLP LLEKTEPEDIAAIWKKVRTKSKRPEVSVYADWVGKMIKTEPALFDNYDKGVVRVRERF LRWIEDDESAKKLWNDAREGKAKNILGKNGSEKQQSKEGLPKKWLLVPIAVPGCGKTL IGVALSKLFGFGHTQSDDITTKRTAPTFIKNITALLQKEDVVYADRNNHIPKHYEELS AIASSRPMQKYDVRLIGIVWDISSQPYHRLLRICSERVVARGDNHQTLRPDPTVDAEH EAVVGQFLRNFTSPDPLLFDQLVDVNVLSSPRDVLGKIIDSLVDIVGLPRPEEGDIDI ALNEAGEYKVTTPYHAPAKVGKPIRYFGIAPEIDLGDIVGNIIQNPTSFGDQNEYSES AMEIFDLIKSQGRITSKPHITLSHEKNVATEAEQLASEGKEGEEGPQKRLWDTCRRLS EMKVSMLFKFRLTHLIWDKRVMTLAIEDFRPIPVDKEGGIQVDLESVLPSDVKSLLHI TVGTVSEEISAFESRGLMKVLRENGDSESGEKDEVVEGGGKVRWIRVKEMEGEGRVKG MS L199_003853 MRFPAITKIGLARRGLHTSSIARVPLLITPKEYQDLPKRTTLPL DVSWHMPNSTRSAVAEYLSGPRIPNAKRFDLDEVAELDLDKNPLSLTHMLPKPERFVE ECRKLGIRNDDHVVLYDTIGIFSSPRALYTFKAFGHENVSVLDGGLPRYIEEGYEVET GDVKPTLRESQYKLPQNWKEDWVRSYEQIVSNSEKPTTDPTSEIVLDHRPLPRFTGEA PEPRPGLSSGHIPNSLPLPFPQYLNAANDKIPYSSYKSIEELKQVFEKALGGEENMKK YIEEQRGVVLSCGSGMTAAIGWLANELLREQSGKALKTALYDESWTGYALRKESKIVK GNA L199_003854 MKFHDMNALTPIALSAPTPDDQLNATDPHIAKRDGQVLWGGYYG PQPSEIKGSKLDNDWFLCGAASISRIDPNAIKKRFSAFQPESDNANGKIDSVDVEVYN IGASRFQTANSKHSDIKDENSLSGDAWWIGAYEIAAKQIGGNEYTSKNGFDKDANADI GLRMLTGLESMTNEPIKGDDEGLWDILKKGKESPICVKKGKQWYGVTDIEGELQDGNA KVTLYDTKKGEYESCDFDDLDIKYYSKLKGDEKV L199_003855 MFMSYYYNVISVLGLFATVFGLTEASPVALPDTHIEKRGDYPLW DVSPKWQDIKKEKFDDEWFLVAAATLVNWDSQKIKDRFPAMQTSKKRADNVDAVTVEL WNKEGAKWEKRDVKYSSITADYSGSNQYWWIAALEDAAMRIGGLGGKGIDKDGFTDGD SHFAYQMLTGEEADLYETDRDTKTYKNEIWKVLEKATQSSVCIKTGPNPDKSDGLRKN TWYGILRAEGLSFDDQGNEIGEGQVYLFDAKEGKEFYLGFEDIQDDIAWYSKPKYLMP DPDVDPSISAP L199_003856 MVQHNDMGCASSKRIDPTPIYPPHSIYIPSESFPGDSRRSTPYH AQENKSRSRRSGFYGGGGSGFPLKAEVASPRRYLHHTDEEIELELARIRAENGIWGRR G L199_003857 MVMGNLERLSDVTKLSAHVTRVLGQNPGMMTLQGTNSYLLQPPS NPHAPLILIDTSSPHTANQYIDLLFTHLHHLGLESGVRETHFESSYAQASLKNVPEDK VDEIKAAILNKRSEDPRYEEMDLLEYGLGSKWVPNQNRERKLPNIEHIILTHRHLDHV GALPLLLNTLKKHGCSLPKIWKCPSPDESYLASSKNENERLTSDGEIWNSLPKGTYHS FSPFQPFHPIIPGLMISIIDPVYKHLLKHDKDGKPKWNEVPEIARVSLRCLKTPGHTQ DSISLVMLEGEKGVFTGDTVLGTGTTHFADLGSYMTSLRTLLALKPNVLYPAHGPHIP DAAKAKAHLETYISHRQERENQICKILKDFKTSGKSLADILVDLKKEIHTRVEEENRA KGPLMLDKRKPIPLPDFEEERKAIEKADKEGTRDKIAIPLTVICRLIYKSEEEKLLFA ASKGVLAHLTKLEQENKVKKSKAKWAKLIAKEVDANDLEEVDVWEWVGEVGEEEKKD L199_003858 MAILNTTPNGSSTGIMTPEGLVKPYPFFAQPLQTPSTIAADDDL VKQLREAVVGPTGQDIPKPQVLGRNDDGFIASSTIFNGAVKTPALALVKPITAQDVSR TIVFCREHDLELSVKGGGNGVHGWSVAGHIILDLSLMTDVTISLPNPSPPTLQESFER LQVRRDSGTSTAGEPRRPSLASAHAGSSDAGTKRSASDDFTTDGTDDDGARRKGKVDG DRSGPYSPLDRIDEVNPAAEGSRASSAMEQDNNGSGSGSNSGSGTRSGSASRSDSYGI TGNGKDSTPATSISGTSEFTRSPKSPIEDGYILPTHRFSFSSSNGSTTSPEAGPSSRP GPRITYVNPSQTPTSSFPFVSTSFGANSSTSSSYSTSFHPSFASGPSTQLTLNTHPDP PPYTLVTFGAGVNSKSLDAATAASPYGAFHVPTSAFPVGAGQFISGGFGFIGRKHGLA MDNLVEVEMVLADGRIVWLGQDGKKGGDWKDNEDPEEVWWAVRGAGAIIGVVTRFRAK AYYLPSVYAGNLIYLFDREKTPSLLRHVRDCIKGSPRTLYTNIIMTAGPPGAPAIVIF QLCFSGARAEGEMYVQAISAWEGGRSLFQDFSERKFERQQLAVEEILKGGSGRKWFIK SDMLKSLSDEVIDETCSRFHSVPDGCTWLFEYTGGGAIADVKDSCFPSSHRESAFTVA ALHQWSHSEPPVEDTRCVTTAEEWINEVIHPNSPGGPLPCFLQSSLSSSVSAVYGESF PRLRALKKKLDPTNFFCHAMWPQNESEEDGINGLGEDIKEGKIEGIQREDIDRDGFMI EDELRKRKKDDLEGKEKENDKGKGKAI L199_003859 MSHTPTSTTPSGNASSAGQQDKKPSLTGVRIKQRKGQAKATAKF EPEGFRDALLLHLALISTPYTTDSLVSKLVQAGSTLEFLKYYEQLFEILFVGGLLQPG GSYLDDKRSPIYILKDESEDDGTWGKNVGVKGMIEVLKKVIQRYKYLQKPLEENFLPD LLGYLPKWDEEHRKKLAEAIALLVIDLQISSKFLVSLTKEHVVKDNIGLNFFTSFAKF YLSKSSIDQFSSAVRRSGLKDILNLFPLQTRDKTHLDQHFKKEGLQPIVDWYNKLALG EVKEETIQSVERMINDEESNEQIIETLKSQQAEKPVPEADLVDWIWQGLMRTVDMTAR ADQIDAFVVQHVTKYAPILEPFCNTAKAQVNLINSVQIYCHTDTRIIKSFVQILKIFY NADVVSDQAIIYWHQKGAKPNGKQHFLKATEALVKFLEEQDSDEEE L199_003860 MARNQEKAQSMLYRFREQQAIEMGMGNRIKGDRRPRMASSVSSL RECERWRGDIMRDINRKVGKIQDVSLTDYEIRDLNDEINALFREKRHWENQIVNLGGA NYKRGNVAMTDDQGREVPGTRGYKYFGRAKELPGVKELFERGATQATEESARNASFQM FRHQGPAYYGDEDEMDPKLVEEEDELARQEWEAAALASAKLLDVDSSIDLPAYPVSSS PSTTITQNQNGPSSSKRKIPTDSEKPSGEHGDAIEVDGEAEVDGEQSKKSKTTNTTTN GELPSNVLPAQSIDEAMKSAQSAALSFLNVLDPKALQFPVVPTPQEMEGVLLEVRKKA LREEYGV L199_003861 MRFTATAVSIVALLGAAVAQQTSGDITVNTPASLVQCQPAAISW TGGTAPYIISVIPGGQPSAAALETINDNESGNSLTWTVDIAANTEITIKLTDSTGAIQ YSSPVTIQSGSDSCLNGSSASGSSSATASGASAASSGAASSVSSASGASGASTSAASG ASKTTATATAATSTVTGSASASAASGSATSRASTPASSGSASGSSASASSTGANSGAL PNGMVAVPAVVFAALGAIVALF L199_003862 MFGQRGGAATIPGSRQGCFKCGNLGHIAENCQAPGRLCYNCREP GHESTACPQPRSTDGKQCYACGGVGHVKSDCPSLRGNFGGPGQKCFKCGRGGHIARDC RTPQFGGFRGRGGFNGGFGGRPRPPPQNADGTPVKCYRCNGENHLARDCLAPRDEAAI LASKKCYKCQETGHIAKDCPKAEELPVAE L199_003863 MGCFSSRHIDPRMISEPVPIPSQSHQPYPSTYHRQRHHAYPPSP ARYDHDTPPQLRPYQFPTQSFEPRGLPPGHPFANPYSKYMP L199_003864 MPALPTPLPPTPIHSPALQSQDLKTMTTEQPVAGPSRGWRPYPT PPRHELPPTPPVNEDDEDSHDRRGASSINFDETNMPTRRIQPTRRPASAYPSQIDAAK PHMPYRKYTDPTPHPGRYDQPAHQLDVDLDAYSATEFGTEEGMDEREPTLSFVTTSTV DSTASTPSMGITYGYRTDSNDGDGKVKIRQTAGRSHAYSSAESSMASGAYSGYGYSDQ IYNPHPPPLPHLPGNYNEHVGLGISADFTQRNPPVESPSSNPPLSPSHSFTHRPWKRD VVNRLRSGSASSSISTASCSTTDSGPSSSRIPPPDNAFAYAFEGFNSPWQQPPRAEAI AMVDEGRENILNVEKIEAMGGFDALTVDMIGSFAGVTHLLLPSCGSHIISFLPSLLET LAPSLVVLDIADNDLSFLPETLQSCTSLEELKVSRNPLRQIPAWTGDLIALRVLAVDD CGLQSLPAELAQLGGLHTLCARRNKLVSLPSWLCLFGHLEMLRIDNNPFAAEWLPIVA PILAGPSRPSGPSRKNSHHRHLSINNGIRSPPSMASLTSSLTASSLRDIPVSASSNGE QPWATTPNSAAQSVYQLDSIAEDHPHSAPPIDQGSQSKALRKMRSAGALLGSKNNSPT QSTFTNPPLPTTNNLAPANASKFASLGSSEGRRAASAMGNYQNEQPATNSRLAAPGMT TSSSTKTGKWGFLRKMSMHRLKGDKDKTATMNASASANLKSLPPPPPMPQLQHNNTDP IPTLPSRPTINGTRSAMTLPTRSALGPEASEFGQISLESPPVSSATLPNTGLPTSTSL YSNASGAIPARGKRRSFLPIDLGPPSIEISIPLTSPFIPPLTGFDSLDRLPSATSEAT IATMTASNSRLDTSSGIIEDRYAQGLESIKSYLRDLFDLSRPPIEPYGGFEVVASHDG SCGASSAPSDNLGSPMTGQSTFSTDVRRARRPTLDNQSSRATSVVESEQDGEQSSLSG KKFKNDKSKRAKIIREIYETERTYVRGLGELVSIYVRPSCQPINPNKSNETVVPASER KIVFGGVESILTIHRDNFLPALEKAVRPLLEGQDDEEGSMSASTAHSVGEVFRTYIAY MKQYSTYINNFDNALSRMKTWSAPSSTPNTPAFSAKGGASPGISAAAVSVGMSAISSL SSGPDSVPISGSQMTSSQKKRVKTFLKRCKEHPKHSQINLESYLLLPIQRVPRYKLLL EDLAMCTPPRSDGVRDTLDDALNEIASLASLMNEEKREADSRLRLLSWQQRISKSGPS PLVQPHRKLILEGPLSLIRLVKKASAFVETDSSTSTSATDGDHTLTLASKVVVPVEYI KPELVDRQVMLVLCSDLMVLATQRNEGWEGMVDLFNVLRMATLREPASIVHGNVLRVV DNKSIYYFNGASHENTLQWCRAINSARKR L199_003865 MEDEGPPQLLDVSSSGDDEAGPSRDFYDRPKIPLTLLTGYLGAG KSTLLDYILKEEHGYKIAVCMNDFGDTTDIEAKSLTLSDPTSQSTTTEFLSLPNGCLC CSFKDMGIAAIEEMVANAPGGIDWVMVELTGVADPGPIIRSFWANEEMGDLILDGVVC VVDSRNVLKQLAEQREGDEINECQKQVACADVILLNKLDLVTPEQMKEVESTIRTINP TLRVHHTTQSKAPLSGLFNLRAFSDPTALTSSTKPTTTHECEHDHDHSSYNCSHPSHT QTHTNGISTILVPLPVLNQKQYFKLNEFLESILWDNKYPISKKPSPEILRSKGYIRLD DGREYVLQGVADLFELKELPGSEQEQGQGQGQGQGQGKVVFIGKNVGEEVKAALTEFV GI L199_003866 MASAVKRTALKLGMIPADGIGKEVLPAAQRVLEALGSSIPKPTF IPLKAGWEEFQANGKALPDETVKILKEECDAAMFGSVSSPSHKVAGYSSPIVALRKHL DLYANVRPVSSVPIPNQKSYHQCDMVIVRENTECLYIKKEEIKTNDDGSKIAFATRQI TSRASSRIGKMAFEIALRRGQERQQAKQAGKDVWWNGEPKVTIVHKSNVLSVTDGLFR ESVRAIKEGTDRYNGVKMEEQLVDSMVYRMFREPEIFDVAVAPNLYGDIISDGAAALV GSLGLVPSINAGDDFVMGEPVHGSAPDIEGQNIANPIASIRSAALLLSSLGYVEPASR INAAVDAVLTEGQYLTPDLGGKSSTTDVTEAILKRL L199_003867 MKFGRRIKDSRYAEWADQYIDYGGLKKQIKSNLPWNDTAEADFI QSLKNELAKCEKFQREKSDELMLKITSLEKEVLGLVEKAGLQDSDDEDEDEGRTPGDV ERHVESHRDDDAGSDDDDDDDDASSDISIDAIEERFRELEEEVAVLVADVHDLALFTK LNFTGFIKIVKKHDKLTGFSLKPTFNKEFLERHPFYRMNYDPLIVKLSKLFDLVRTRG HPVEGDSSAGGSQNAFVRSTTKYWVHDENIVPLKLAIMKHLPVLVFDPNKEFSKADSA ITSIYFDNEDLELYLGRLEKTEGAEAIRMRWYGDVTGTTIFVERKTHREDWTGEKSVK ERFTIKEDKMNEFLAGHYTMDDEFDALVKKGKKTEKEVEGMKQLANEIQYAIITRKLR PVMRTFYNRTAFQLPGNATVRISLDTELTMVREDNFDGNDRTHGNWRRTDLGIDHPFS TVSPSEKELFPYGVLEVKLATKVGEEPPQWIRDLINSHLVEAVPKFSKFIHGCASLLP ERVDLVPFWLPQMDQDIRKPVSAKSRVLIERPHSNAHSSASNATSAIHSPARSSQPSY HEPVSEGEEDEEFMVQVAKNEDEHLRLPPGAAAEARAARDFREKKLRDEAHLQARPPT QERVPSSSTKPNGDQVEGLPRRNSSNKYDPSLRIDPLASADRFDKNVSLLDAKSLKKL NEAVAARTGKGSSSPGQEQGGQADQQVDSEDEHEHEVDEEEDEGGNQVIYVDQFRAPP GKRIAVPVRVEPKVVFAAERTFLKWAHFAILLSGVSITLLNFINPRDSVGMISALLFS LTALLAIMYSGGMYAYRIIKLRKRMAIDYHDKYGPTILCAALVGSVLVNLVLRLREL L199_003868 MSSRNFTPQFHSDLMTVQENKRKCEDDHQVSSSTRTSEDGITVI GYDEETTLPTCYAPIEDPTSQDDCNDSNIPSFHTYNSPPPYCRPDEVNWEQYNLTSDK MREFGYNHRGEFKIIDEDVRYTEFMVMQAIDTLDPKTIDQGRFINNFTGRLDLAPRWQ YKFGKVASTASQVDEEEETEQGGGSDCIVYG L199_003869 MSSFNGNSGSNTGRFGIRRPDGTFVPLSFGNSNLSDSFGAGRAE RELNNYFGSIPGLGPSGDFGPNREAYRAFDQHFGQFGVRVPDSFNAPDQPERTAASSK AKTNTTTGTGTEGPKASERGSKNAFSYMSSGSTNTTETKEGELNYDDPSTMPDRSGRA TETQARFFVGQEGNTIDSVKELLRSKGRGT L199_003870 MSSAEIQEKISAARREADALKDKIRAAKDQTADTSLRAMANDTP PLPRMTLKVRRTLKGHLAKIYALHWAADKRHLVSASQDGKLIVWDAYTTNKVHAIPLR SSWVMTCAYAPSGNFVACGGLDNICSIYSLRGASPGGPGGGQVKVARELSAHSGYLSC CRFINDRQIVTSSGDMTCMLWDIEQGVRTMEFNDHTGDVMSISLAPNANLFVSGACDA TAKVWDIRTGKSVQTFTGHESDINAVQFFPNGDAFATGSDDATCKLFDLRADRELNTY AHDNILCGITSVAFSISGRVLFAGYDDYNCNVWDTLKGERIGVLAGHENRISCMGVSG DGVALCTGSWDSLLKVRLT L199_003871 MSYPYLAKTTLKYKSPHATDLSFPKDETIRVTGPNPDDEDWLIG EYLDGSKSGGFPKDFIVPVEESESETPAQRDTEPEAQVAELAKDEADPAQSPPPVSIR QPVPPSPTLDPQSRLPTPGIAPSPAPPSPVEPSAPAPAPATTTSAQPKPTTNPADSPP RPQSMKDRLAFFAAAQDKPAPPPIKPKPLGGTGGLTWSQRQKLRQEQEAKEREANPQS QPQSDPQPTPASSQPETTSSTSTEEKKKEEEGKGMSAADALSSITKGGSLKERMAALQ GHGAFGPSSENKPTPPPVSSGKVWKRPPAPPAPETEAEEDAEAEGSSSTDKQENAKSP PAVEGGEPLAEAEGQGVPGEEGEEDEEEKEKARRAAIAARMAKLGARGPMGMMPPAKP TRKPTKEDTASPAEEKAEPVPPTAPATTGEKEEAKPSVTSEEPVSPASSAPTEPASAA APPKSIPIAAMPRRTAPPKRRTPAPTASTTSPEVVRENPSEPEKRFETTDERGAPIPP PQVMVAGEEDPLPKTESGVQKEKEAEEVGKGAGGLEGAAAAGIALAPVDPPTDNAPKE EEGAKEEINTPLESELPEKKDQPLVGAVAGADSLSRGTGTVITQDEGEPGGIIDKSPP VQEVEEGIVEGGDEKDDILKEAQEGKLKFEEPNDPLDEDTPTPLSPDPIGMVPIHPPA SDGSDYDDDEPAPPLPPARRPRGLSLDVPLDELELKHEQEHTHDQDNDPSQQPIEEDA PPPLPSQRRLSTEKPAGPRPLPSPGREGRALPPPPVGNALIPPREDSDDDEEEEDAPP SPPARPPQPPVAATQEEEEEVDIPSPPPPGRHIPSPAQDIEAHEDEDVPPPLPSARPL LAPPAQATTDDKEDGTPPPPARPAPAQDDVEEEEAEEVDAPPPPPPARKPSMPAPIQV GVTAPANAPKSPSAPSPSATSPPRQSRTIAPEEPPSSPKAEDEDATRRSGIAARMAKL GGIKFGMPPPTFKKPQNITSPSSEVPSAEEGHVDSPIDKEPPLPSPRGEAPVSPVTGG DDEGEETPEQEAARRRATLARLRAGGALGGFGLFNPGGSAEPEEPKEDPRGIEQDKPV PTSTEEVEEEDAPPPFPPGRPPVPSGRPVLAPSADVHEEEDAPPPPPPGRPTISTSVG GNDAPPPPPRVPQTPTSPAVGSPVRTPSGKRPPVPTEKRFSLSHKRTSTASSAIITEE QPISGIGSGEWQISDEPAAILSSQIDNQEAEDEGPPPPPPNRPPPPAQVPGQSPQPSP RRSGSLLSRKSRTSIDIPPQAQTPSRVPPSPVQQQSLARQPSVSQAQGGRPGFDQLKE ASQRYGAQLARSAHNIFCQGKKAQVGDGSPAGFVLFAMDSAQLPRPDTEKGWGQVVFE QEGGSILRRYDDPRPGDIAAFHDSKLKGKKGLSTYNQHVGSVEEPLIGVVAEFEERKH KLRILQVERGVPEEVSYRCEDLKSGKIIVYRPGL L199_003872 MSNFPHSTSIAASLSTSPYAVQSNLENLINRARDHPHPRRGSTA THPDHPDYHDLSPSEETAPALTPGKRRPSAAPSGHGPHIGTIQEASYEENAIIFHDDD DIEAENERSALLDRDWSSRRTSRASRRSYGSTSAPLGQQRMGRKQKSLDGLNGGMAMT PSGTTGNSRSRSKARTPPRKDGHLHRHQHQGDSSAAPSDEEEEGIEAERRGRGKTSRP LSRNSSPYVSEIGLPSGPAGRRMSVTVRMADDSSGDEGGDVTRGLVQSAGGAMFGGRA GLGLTPGTGAGAGPMDLDPVEELDAVDLELPVDEQGVEVRVWSEALRAELPIILRSSI PIFFSQISEWSLNLASVVSIGHLGTTELAASSLASMTAAVSSFSILQGLCTAMDTLLP AAWTSSDPSRVGLWTQRMAVVLAVSMIPMFMIWWNIEGVLVGLGQERDVAQHAAMYLR WLSLGIPGYGGNVLMKKYLQAQNLMHVPTYVLFFVAPTNLLMNYLFVWGPDFCRLGFV GGALATGMSYNLAFITSLLWIVFYGPREAFHPVKLKHCFSKLGTVTSLGLAGTIMLSS EWWAWEACALAASILGPVHLAAQSVLLSTASTFYQVPAALGIAAAVRVGNLLGAGRGW EAKWASRASLLWSLIFAIINSSICVIFRKNWGYLFNNDAEVVSLVAEIMPYIALFQLS DGIVSTAGAVLRSLGLHTTGALINLTSYYVIGLPFGLWLTFTPRFHLGLIGIWVGLSI ALAYASLLEFWMVWKANWTRAVERIRERLGLPAHGQVGEDGKWDLREEDNGHAHGHGQ YRDEEEEGVI L199_003873 MSVPFGEMTAEQLETITAAAFGSDRGARGFNLGPFLMGCLYDAV LFGVMTQQYIDWWRYCEATERRMIRWVTHWIMLASILWTGTLIWYTMRSFVYYFGQYL VFTFVDIALLWPLLGITMAGPVQVFYAFRSYRLNGDNIYLLVLFLMMIATELSMTLVI VIKAQPLKTIFEAGEIGSIVRGWQVVTMSTDILMTLTLSWGLWKSRTGWSHTDALVKK LLLITVETQLAPTCVMLAFVISWSINSTSTLGIFFDLAIPKAYTVGYLATLNSRYSLR RETASQGQKYSAETKTNTYALGGSRLQQATVQVDTETYIESFQMHPTRSGVNRAPQQG LYEVKEHNDEDESIENLDYATNLSKKNLHDSSIA L199_003874 MTMDIIDIKGMPDEQVEAIARLAFGGDVGWHIGPFLLAVLFDCI LFGVVSQQYMTWWMYSRRTERKCYAWLTHFLMIASAAYTICEISYGMHNFVYHFGHYK VFLEVKYPQVFPLLGWITSAPVQLFYTERTFKLNGRNWYLVGLLIALISASLGMTIWV LVICQYLSSELQAKLIIDQVQAWQCITLAIDLIITVSIGWGLYKSRTGWSDTDALVKK LMLITLETQLGPTVLMLCFVIEFTISPPATLGIFLEQLIPKFYVVGYLATLNSRFSLR RESAPISFGKASPRTLNRVNTYGHGSDRPQQATVNIETETYVQSVQMQPTPPGINRKP IFEEIKDKESPDDQWIQHLEFTSNNRSNLTLHEHDTSDVV L199_003875 MSVDFSGLSPEELEGIAKAAFGVDIGLKLGPLLLGGIFDVMLLG VLIQQFQSWWAYCKPSERKLIALLTTYIMIYSIGWTGMVIGYVMHNFVYNFGIFSVFL EMPYFTTFPIVGMGMSTVIQGFYIERSWRLNNRNPFLLVFLCICVLGEWAAVLLLVIK VTSIASLLQATEGVPHTRAWQCMTLAADVMITGSIGWGLWSAKTGWSHTDALVKKLLL VTLETQLGPTLLMAAFVIELSIQPDSTLGVFFDILIPKSYAVGYLAILNTRVHLKRKD NTTSGSRENPQTKSNTYHLGSGRLQQATVHVETDTYIESYQVKDPVPGLNRINEHSYE ESIENLDYSSNLSKQNLNKPNQGF L199_003876 MSSIIFPPNRYFRYILFLLFLVILFFTIPSLYSPSSRTISSEIP NDVCGLEESSSSCTDDSPTTESEMQLELDGIKIDLGSVVSNIQESVKRNGKKYDEDWY RNELGFRIRYEDLEQYRSTLKGIWKRYFSNDFEENHRGNELDDLLKYTNLIRSPSGVV GRQENAIPKDIYTTSMEDPDKLPDQFSSWTKENKDHNVRFVDDDGIDEWLAEVLSPSS GVGREMKWLKDEGRWGVVRSDLFRYLVLLLNGGIYTDTDTACVRPISEWGKNPIRYRS DNPLIEALPQLLSLSSSSAHERYPISVEVEDSPSLIVALEVDSPASHTDWRSETFVRG IQIVQWTIASKKGHPILLDVIGHALDKVRELREAEERGWEVDDEQDILEWSGPGAFTD AVFRYLLIRYGFHPKSVSGYDKPLRVGDVLIMPVHSFRADASEGYQGDEKVVWHGFFG RWKPT L199_003877 MPPQPPAPPVFYSFPKVDVLQDSLANFVVKAQRDAVEKRGKFTI ALSRGSLAANLKGLVGQENVQWDKWEVFFCDEAAVPLDSEDSNYHSNILSFLSDVPIP AGQIHTIDANLLDDLEELADQYEKQLIDHFAKSNAARYPTFDLMLLGIGPDGETASLF PGHELLSERDAWVAYLDDAPRGPKRRITMTLPVLTHCYRAVFVVSGNEKAEMLHAILD RPEEGLPCSRVRPASPGLVFFFADSEAAGLTKYPPTAFRWIDNEKEAEEAVAAAKRKA ARRAAEEGGE L199_003878 MSAGAWLRWVFISSTIIGGGVLMMRATVPTEEEFYNKLSPELKR EMDKIIRQREGSQTMKERLNEAGEKDQIVWGDQLSNRSNTSSGFGPGGFGGTRRL L199_003879 MSEHDIIPFSEKPPSTDEEWEEALKCYARDRERGEPVETKIVQD TSQQRWAVSSLPAAQDMTAVSKPPLVHHGDSDDSFNANIGIPFHRPENIPGPFKHIET VVEVPVDIQRYDNKKLSDMTEKEQSAEMHPIPLAFDTSRTGPGKRDESDFHDDDDRSP KMERRRMKEFYLKEGWLPGPIPSKPTRLKRRRAIRRLGLIGEEEDGRKAVLSKYAEMA ELVFDVARSSVAVIHDEKEFVYFSDPHKPPVSRLMSQTICSHVIDIHDGNCWVIADCT KDWRTINNPLYADKQYKFSAAAPLRYHGKDGSLVDFGTLNIYDTRPRHSFTQRERSLL LKLANMLVYQLATQQSEYMAKRSSAMYEASISFLTRSIIPEPSEDQQRRSSSKRSSAS TKSTSASPLPSSKAKLQPPNTDENAIVASKAGPRDSRDARRQAFISDRGIFNDAAATL RMLLKADAVAVVNLDDYHLFMKKQDNAGHLHNKKGKDRIKSKEKIINDYLKGEPWPSD IEPVINYVGRPHGAEVLGISADKETSFHFDTTGVESVLAEFLKVYLSTRQFWWDREEN ANPLSMRIMDLMPTEAQTALSTTLMGHDGKIKFVMFATWNEPPSSLVDSSIVSLPFVW ILGGCLMAALAMKKIRALEQSQISYSNLQAHELRTPLHQILAITQLLRSSMTDLAETP QSPSSLTSTEQVRDLLPFLDAIDTSGRTLHGIVDNILSFLDLKGKEASQSLGDTGLMT TPSGAQMSIEVLFEEIIQDAIEEDRKSRKANGQADCHIETIFEIIPPLLGEQVSEDAG GALRRALAKILANAYKFIETDGCVEIYVDDVVDLLPPEGCEDIALTKALSITIKDDGR GMDQAFVNDKLGEPWAKEDRYATGSGLSVHLAYRIIDLMGGCMEITSAPGAGTTVQID VPLPLRSAPFPESPSEPGSRRESTASIRQLSLHHNAMKIDRKVCLTGWLGYGPRIEMV GQALDRQYAKIGCEMVSQVEDAQLIIAYGGIEEDVNQARELFEKAQTEDIVFLITEEH SAQEEVLKLEKQMELKIRRFKRPTNPSILRETLFPNHSERLKNLFDRETNGEVKVDSG SINTPHLMSPEGDDAGHPSASDENREDRNKKNAPSPSPMTLSTFGSSDWSFPTNSNTR ASSGSGPGSGSGDWKPSNMNIEEAVASLSLGEYFPRMSPKQTFRGEEDASSEIPILAA EEEDDEKEESHTTPMNENTNVEDVNRKYSVVTEKGSESGQSISTSTSNNGTSSINSVS STRNNSIDLTFSNSKNENKNGKIKIMVVEDNKINRTLLVKLLQKQIKLPIEILEAEDG QEAVDLFKKVTGPLIVLLDINMPKKDGYQTCIEMRSIEKDNPHRKKSQIVAVTALASA DEKKKGLVECNMDEWYSKPCGKSVISRIIDKAYQRLFA L199_003880 MPDSVSDPQIPPQDNQTEATSPTDGSSSPKKPRARLGPTEIVHL PASDSEPEDDEEPPRIPGEAKEIGDDGDFLKDYPEDTEDLQLQHLRLKSNSLPPLNIP RFSKYLKRLCLRQNEITSPLPSGVFEGLTELEELDLYDNRLGSRVEDEELKGCGNLTS LDLSFNNLRHPPSLHSLTKLNVLYLVQNKISHIEPGELDWCQDTITSIELGGNRIRSI ENLDNLRKLEELWLGKNKIRALENLSTFSSLRILSLQSNRITKMENLDALVNLEELYL SHNGLTKIEGLEKNTKLKTLDIGNNMIEEIEGISHLSELEEFWASYNKIPNLQSLDNQ LRPLPNLETVYLEGNPCQLNDMAGYRRKIILALPQIKQIDAT L199_003881 MSVAIPQPRPTPTSSSSTSSLATRPTMLPRQSTQNAKVQPRPAT SISQRPMIPKPSSSSSSSSRPPINPSTSSSAKIPLPSKANTSSAPSPSPSSARPALPS RTSSTVSTPTSTSTSTAAVAPLMVAGKDTSSSAGKIFAKPSKEWVLPERAKPGRKVSV EEPDNKRQSQNRLSQRAHRARRTDYIQTLEERLRQYEANEIHSNVRLQEVARALKTDN ERLKNELNNIQNKMIEYNNERSVWEMEKKSLGVLVNQLKVEIESLKNSKSIGTNNPST TTTTIVRMELDQDTIDSLVPGPSPVIQRRQSLTHTQRNSYSNQSVTLPTASSTSTSNG QKRDLVDCPICPNPDPDCPCQQGSPSTSTTVRKDVTLVQPSTCGLCHSTEECLCRVVV DQEDIKPIIPLSPNTISSPTKSFKSIDDGCGLCAGGGFCACRAASDTPSNHSGSSGIT KAISIANSNSSSSPATNVVRATSSASAIPLRLKSKSTISANTKSSIWTLNNVTTTTTS PKKEAVCTGDPDNCDACRNDSFGREFCQHLFEDAHPDATEGLEGGTSEKKGCGNCSGP NGCMSIKSLLSPISSEQARAVPEPEPGPLTNTKRLSTPPTAVYEEDQDPKILAPLQMA CCGNPELCGGNHGHSSGCTGEIVLGGLNSIHEDDEHGSGGPLESNATNGSSGPMVHVH IENGHEHGHETLRPDQAWKQLKAHPNAKFASLALLADVVARRTNVLGGFNNNTPSPSP MPPSPVSINAKPTSSTSASGSASLGHDHIHNNKKRGFDIETSAVREALKYLDKATPVG SPAPEEGEERDGKRRRI L199_003882 MAPPPHLPPRPSSSSSSNVPAFSAPSGPRIPPTGPKALRVKQPP SGPAGGLNPRHEKISFAFPQSQSSVVSQNGVSSSDAIAGPSRARLNSLENKTSASSSP INGISTPRINGIGESSRYTPSPTSGVNSPKTPFRFNVPSGSAPNRKPSSTPSLSQFPS STSIASSSSNTISELPKRPPTSPKKRHNKSLSGGQHNSEEDNSYRANFLPTDEHTRAK VSISFGGVGVSNGMIKRPRPPHMDFEKSSPAGTPPPPPPPPPPDGRPPTPPLPPGSGP PSPPPLLEEEEEEKEDFRPPTPPPDDDHLPPPPPSPPTQAPPPPPSAPRSFFSSPSSR IHSSAFVPPPPPPPSINDRPPSPPPIEPSSPPPPAPPSPGPMSTNAPPSFHFRDLSIR PKSPSGEAGADNDDGDVGDVNMEPEESAQIPPPPPRTPTPPYVPPPYVPPACVKPRPG IGSFLNVKGKERYDGIENGQAVEVFDPRAKISREQLNKGRGTRKCRTSFYEVDYEWDD CSTGPRPPPPPAAVLITGLSPLTTTEQISKFLRPHGRIKDIDAKMDTKSGMQLGICWV KFDGPPHGRTGTAHDVACQVVRICDGQRISLNADEKIKVVLDGRGLRTQQAVKDEMTR RYPPKPKVVPKPAIPVSTPSLIPPTAAGTSTPSSAGAQTPRADSSISRNIPSIPKPLT GSLPSRPYIRPPPTQPANFQISLPSRPGSLPSRPLLPPHSTMLPSRPLGLPARPETVQ HLASSFTAAPFSRHTTSADQNRSKRYDQSDSYTPSTRRAPSRSRSRTPYSDYSSDFTS DSEDDHARPAYRSRDRSPYGRRRVNGRVAPQPTKEDEKAMERMKEDLLKNGMAHVFID SKALPPEREYENRLKDHFKAFKPSQILYNHSGWYILFSDNTAAYRAQRVLDTTAIGGH RLTLVVKAPPSANKKADDERPAVIAVGEANVEKGTWKYLTITKKNRPAPTATPTGPKA DRLKEVQKIRSRMIESDESSDEEEDIPLIKPRKRVPSFSSASSLSDDELLASRKPAKP AVEKDEMAMDVDEESISPAPPLPADKASIGEMQKEKTEEETPIAKGKKRPAKAKIAKK SKKARLDSPVTTIDESIVGILPPPEPEVAEITLDQEPSAGGEGEVKVAKKTGGKKKGP KSDFEKFIGSSIVDEEDAYWLGRALAAAKEGLEPTFADDAKAEEEVLLDTAHPLYHTS GSWRAEGHKKVAPASKSTYLPQRNKATTAAAEDSSSSLAGAGITTGRTARLAGRDQNR QTQSSSINVTDSELFAFNQLRIRKKQLRFARSAIEGYGLYAMEMIHQGEMVCEYVGEL CRAAIADVREQKYLKQGIGSSYLFRIDNDVVCDATFRGSVSRLINHSCDPSANAKIIK VNGQSKIVIYAERTLYPGEEILYDYKFPLESDPALRVPCLCGAATCRGWLN L199_003883 MDHRRRTSVTSTSTIRSARESSMQVKNPRSPSIGSATPKMEDEE DGKPRRTRGRNPLPPTTGPLFPPLPPKQPKNSPKNSPQAASRSPAVRTPSGLAPPPDI VLGPPTASNVLPADSAALEEDAISARSVSPDVQPEAESELEKVPVQSLSNASLTPPPP TSEDTNPDPDPELEPATDVKEQNEGEGKDDWEDYRRHRTVRGFGNVSVKEEPGLDDND IENANEIGNEEVTPVHTRSYKRNGLNIPPGSGENTPLATTPLSNTERSGSASRQTRKR RGEDELLLDDHLLPAEIRRTSFSSKKQKKEKDEEEEQKVEEHAVEVDDEPEEQEEEQE EEEEEEEEEEEEEEEEVKDVTRCVCHKEDIDVMMIQCDQCNVWQHGECMGIWGDDEAP DEYFCEECKPERHQPLLKWIRRLGRKSGGFVPPLPENLENLHNDRDDYPPSQSKRWPD GPSKEAPEPTPPPKPSSRSHHKRQATSPHVETQDGRRSTRGRQPAPSITRDKPPSSSG KNDAIKSLTGRRVRGISSISPDRDSNSPQPLSAKEPKRRSTMNSRDAAYEEEVKAALE ASRAEMLSPPHETDEVAQAEEKEKERGEKRRRDDEDEAEDKERTKKGKRKRDEDEGSV EPGNLNKPKHPNQYTYRKPPSTLPQQPPAAAPSPARRVAASTPVPIAQPAQHEHGTRR AGALAAAPVVYHPLTPESANHLSWFLPDHLSAFADLLPSTNPNALEVPAPRVLSYLPR NHYHNQRYGPFSEERDENGKLVLPDEPSGREVVGDQSTAQLDPPARPRYPVKRITTAE MKKRVRNVLEYVGRVQVEEGKRQERARLLGIKLTKVNSAVVDGDLDGDVNMEEEHQRP EEEGKRSSPMPEQTKSMQLMDELTQDLINFQESFNSSGNGNGYASPMPLTTSTFSNGN GGINSVPPTPTLPNDRTVSEVPVPTTAVPSEATTETEAELSEKDVLKQGEGMDVYRQG IVSTVITTEEEKDAAEKVGEILAQAEEGIEA L199_003884 MSSPIAKRMKSTKVIGTHSGTFHCDEALAVFMLRLTDEFKDADL VRTRDPAKLEPLDIIVDVGGVYSPEKHRYDHHQRGFTEVFGSGGFDKIKLSSAGLVYK HFGKEIIAKRLGVDVQDEKVETLWLTLYSEMIESVDGIDNGVNISSSPLSYTQRTDLS SRVKRLNPNWNEEATDAIYDEKFAQASKITGEEFLSQLDYFAFAWLPARDVVKQAIEK RLEVDQSGQIVVFEKSCPWKDHLFSLESNLPSSSKILYVLYPESDSPGSKWRIQCVPE STDSFVNRKSLPEPWCGMRDDKLSQISGIPGGTFVHASGFTGGNETFEGVLEMARKAL NF L199_003885 MKTEEHIDPGSLAAVLAADYPLIDPPLILAILSDYPSSELQSKL PEIKDQLGILEATLVPDPDIPSEFAESWAGTDSTSQSGIDDLSNRLSSLSTTNINEFG STNTSATTWSESDGTEGYGDEVDLLKSLFPSTPELELTSVLHSYPILQDAIDHLLSLE LIRHVEEEGHWPEDEQDVKVLSEPEPEPESAVEEWESTQSKKSKARSKSKSKPSSRAS SKPPSIESPPVVTSSPKFDYIAFALPSGSHSRSSTNGGSISGSNPVSTKKSKKRKDTI TIPIVDTLQRKPTPTPSARSRTSTAPTSRSSSPSRFEIRSVPANNPWHTVTSLSSYLS DLLSQPSTHFSSYLHSPNYHSTYSAVLASLAKLPAHPTKTDSSSRMILEDIYASMFED REEGLMKRDLEICVHAAGEDIATVMDLMDLLKDISDWSSDDHHRNNDGFFDPTDQPDY KINTDSTPLPSTSKPQTVTLNLSPVQAIDMARSTSATSTISNRSLDEHAISTAKSSLP GKMTRPEKKVRPAKVKEEPLFGGAIREAKIREVPGSKTSLSSLNSPMVLDAHEIFSPI GSAPSSPKLGNRQLGGSGKQIHPQNWRTVTNSTPHSRSQSQSASFRPERKMTYEECMA NAQLERARRETVIRAAGRNFRPNVNGVAGGGRAVKGVIAGHYASQAMEAAKRARDWEL KAARLRVNSQLQTGLQPQTSNTHTASHNYIERGRDGGVGGRNQSIDLHNLTINEALTI VNEQLDRWWKVEKERRLERWKITEQGRFIIITGVGRHSINNKGVLGPAVSNDLERKGW KVDRGDSERGYLVVRGR L199_003886 MPKVVKPSKQRHDPLHVQIDADESLRKFGRPSKPSKKKSREDEE LDDEPKAEDARMSRKILDLAREQQEEVNRELGHGDDDDEAWEDEDEAEPSRRPREMAQ IPSDDEDEDEFEEGDVSGDEYAELEIDPADHATLDALNAGHNQAGTSDEPGEPPKTLA DLIFSKMEGGAVSKGLEDEDEGPPDPKKGLNPKVIEVYTKVGFLLSRYKSGPLPKALK ILPSLPHWAQLLALTKPTEWTPHATFACTKIFVSNLKPTEVRVFLEGVLLDKCREDMR MNGGKLNVHLYEALKKGLYKPAAFFKGILFPLCETGCSLKEAAIVASVLSKVSVPVLH SAAALLRLASMDYSGPNSLFIRILLDKKYALPYKVVDALVFHFIRLANSQRSRTGEDK LPVLWHQSLLVFVQRYGSDLTPDQKDALLDVIRSRPHPTISSEIRREIVNSVERGAPR PEDGEDVLMR L199_003887 MAPKAATAEGKKGGAKKPTPYNEYMKVQLAKLKAEAEKSGKPSN HRENFKKVAESWKTAPENPVSILINSLLF L199_003888 MTTPEAPIGAKVQVAAGVGYVRWTGSNPGFAAGKWVGVELLEAG GKNDGSVKGERYFDCQPNHGVFVRPSQVKILELPNRSVPSTPRGSVSRTPSTPAVSRP PPTPTSRLSSAGPSRASSPQKASLRSSTATPATPPRAVSKPTATPGQPSISRRISSVT TPASTSGRTSVTGGAFKRPPSVAGRASTSFAPQNESEEKAEDVPRRTGNMSPPPPPLS ARQAISPPPTTAGRRVVSPTPSLTGISPRPIPTRSISSTLSPAFELETPSNATQQNQA PSAGDSPEKDITFTQKRELEELRIKIRILENRKHEDQEKIKVLEGRVGEADTLRAARV KLQAKFQEIQSSLITAQITSKDLQSENNRLELKAAEAMDQLEMAALDREVAEEKAESA ELEKEKLNERVQELELEVAVLKEENAEYEKPVSGLEGERTSLAFVQLEKHNERLKEAL IRLRDVSAEAEREHKSKVAEMERELTSQEDLLGQLELAQAKLSNAEAQVEDLKLQLDD ALGAEDMLEQLTERNLQMGERIEEMRVTIEDLEALKELNDELEENHVESEKTLNQEIE SLTVQLRDEQSRATDLENVVLDMEATINQFRDLVSRLQSEIDTLRMQQATVESETASS SKEAQALMNLNLKLQSTAVKAQSRTVDLELKKLEAVQLAEHLRIVTSYLPDAYNDTEI DSTTIYLFFNRISSKVDMLISIIAQIHGLPNSLHNATSETLVGLCELKGKLRHFSGLN KRFYGIIKRCSPEDWLVFGKLLNELGGVESRVDGWLNNVKNDEFNESDCARELSSLIA QFDHLSETSFNKSQLSVGETQLALTWNFDSDLDNFAAAVGFARQAILGLTKEDHIEID EGESSLEEGVYEPVQRILDLVRSVKVPSGKLVAHVEEIVSASSALSPELTLALGDLAN SVSNAVDLAVKLAQRIGAHVASLRSSKQPLRLADIENFLIEITAESSASSDAHPWELI ALFVARLGNELGNVLPKVKEAVKAGHLVSMDVPPPWLARVATIKQAASFNADTERKVV ALSEELKDMLREIKIRDQNLQEAGVKVETLERRLEASRKQADTIIELENDVAKAKKQE KVYEDAIEQLQAEQDALEAENARLRKSQGQGQADRQGNNITSTPGGTTIEPINFGGAI GTGSGSGSGFGFGPESSLQSNELIENLRSTIRFLRHENALLKSKEFYNDLKTLSPLKY TSLSTPSSSILPELVKSMSKSPKSPSAGSSSGSDDEDWEIPITPTDKSILKNSLQVES KLLFDRLIDFQTTPKIVDISKSGTTTASTTGSEQIKYWRKRKEEPEQQIADWKLKERK LQKRLEDLKVRFNGLSNSTIKSTGAIRR L199_003889 MAVAVPKKNLSEWHSPSTRPEVIHELIHGVDRYNPTNLPFMEEY LLSQIKEGQYDLFANLAILKLYQFNPQHSNPDIIIHILIKALSSTVHGPDFNLSLGLL REPTAILHDIESDDEALSILMPYLTNLHELIRTCQFTKFWKEFNGESEAANILKTRYL PSHSTPIDDLRFQFSLSIASCFSTISLNQLSRWLDLSSSEVSGYVEKIGWNVEGDKAV VPKNGDNDVKAGVVKENVQLSQLTKLVAAAGY L199_003890 MRPSNLPSTPQTPSPVPRQTEQITQSRQLEKHKHNPLGAYPTPQ SSLDVTSPLRSHSTTSSTRTNTSSTDERSQDGQGEDDNIGSYLEAHDRLRHLYPNEEK VPSARLCVLGAQSAGKSSFLSSLTNLDLYCAERRATCCRILISSRRGGTSFSADVQIV ILHPGTERAEVIPFASSITDEGQMGQICNWAGEEARRADGGYQIVRSWSQVKTLSTEE RIIGRSGWAEFTKNVVIINVRGPSRPNFDIEDLPGLNGHPIPQKLVSDCISQSQNIIV LCLSAGSKDASPADPEIQLARKYDPTGERTIGVITRADLMAASMDEEGSTFVDYLLGT GAHLGDFIPQGGWWPLRLRSHKERSSGTSLHQIRQKEVELFSEDDWLQIQEKAGRNFG IGELEKKLEVLFGAKVRETIVILKTSLRESMRNHNDWLVENPTIQDPIATLHDQVIYQ FSNVLKGRIERSNASGKLVDLQEELEQVIQRAVPEFVPFTKDESEENGTYQSYCKTNG IVVEKEDTVYLDTLAEMIKGVSSRREPGVIDTTTITQAFVDKYTARWRTLANQHIDQL WREVEDIQRNVIKEICGNNAILSEEVSMKLEDLVTSLKIDSMSFMNQMCRIITSPLSH SGRGYAQGYQSALKRAIEGYSRFLQPSTGVHRREVSTSSSIPSQTNSSVPNIPSEIYS KTEREQCTALQAKITVLMMSRALEFSSSVGEHAQECVMEYLEKVIPTLRKKMGLDQVV EDVRKRAGDLFENDRKKKRERERVVGEMKKLDEIQQHLERIVHA L199_003891 MSFVAHERNSSSSGSEEEKRAQRLASAKKKLQTYRASRSSENSD SSPMVSSSSSSSKPPSPTKIMPLTTEASINSPFTFPQSHSRSSSKGKGKDIELDQPVK SITGGHRHKRSDSQAHRRQRSSIPISSSMTLGQGLSRPSVMGVFDGPKEIITTPATPL LDSPDIPPEPRILPDENHEEVAARLSTFSFGSKPPMSTFPPKRRQQQPLLSSQSLFSQ DSFPSTSPLASPTSSASAPNLNRLSTPSSRPPSFLLTHPTPLAFGSPTPSNPAGSSLA SLPSSPPTPARKRHSHTRSNSISLPNLKLSGARPTSLGIPPSIPSSPCSPTLVGDSAS RSRLSSPITGQRLKFEPSGRGAEAEKERQESRRKALEKLTGGPSVTSKSPIVEPQVAE ISLPDLDDEDSSSVASSNRPLSGAFGSGSGSNCFSRPSSLTLPPLTASTASSASAMSS STFSWGSPNEQQSPVERWSGFGFGLAKEYGKDDGMNFGMDLSTAMAKRPSINRQLSAL AEVDESEEDEGDFADNEAPTEMVRTFSDEPESMISSFPVEPTPSRLRELRLGSMTSST PRQESMDSVHSFSFPRHPSASPSASSPTKGYGSIGRGRPKPLTIGSTSSTPSNMSIPK SAGFSSRKRTAPGSGSRGSSISYRKDDSSSSSRDLSIGNGRNVFSPESVTSPPLHPTS PKFSGWGNLPASRSTTRPCPRPRTLVGLGIDKTGSGRVLGELDEVDEESSPIPGAVSM WTSPIPDNNDTIHPYHDEDFGIHDRRDSFADEATWRDVQLDMEMEREALKEDVELLKN RCASLEEKLEMERKESTVLRERVRKLGDRLSSVSSVPTDRSSSDSHAAESRLIAEMRE QLFNLTTSLEAERRAKEAALSKLADMQRPAQPSSLFNVAVDDESVFTAKSEHQVLLTP SIASPVIIPPSPLAQLASSPPMEGSTQSTPDPNLARMKGWGFPREPSPVKSNQSKRES FFGLSTVLKRSVSADEAETHNGVDLPCFVLPNQTPASTALGASSTSISFSYVQQQQDE YAPRSVSEPITKLAENFLSEVDMEADMGFTNSAVSFISSYLPKHSPSLDLKRSDVHVQ QRYIEKDNQLYKVSMEIGELEKSRLDFRGCCKCCTGTVIEL L199_003892 MTNYNPLMSSYTIDSHGNLSCTNPTESSGWMCRQSGTWGIQDCS GFSISVGSNGPKGKYGTIFFEGVFEGGKHQFVPGKDYESSSDGTFRFHHPNPSNHAWL VVKPGGRLMYEDSKGNFTGVEKDGEVTFVDIYVENCVEDDEARSNGNGDSDSPTSESV RMPWMNLGRLVLAKRIGRGQDDNEGETISHHLSDTYRIADEALPCSNCPILPTRHQ L199_003893 MPLTEYNEKTPLLTSDTDQSSLAPSEATASAKEVEVARDVASQT KDIAEEKPPTGPVVRVQWEEWMAYSIRYRIRYDRPVEYDEKGRKYNWSIWWLGLGLKH YHPTDNSSSRKGKFKIVKGEKGRYPDEEYIWPKGRGKKV L199_003894 MVFGLSHSHSSNKEKKELPVLDSSSETDLETATVKTDDVFGTIS EDGPNYRNVGWLGTTVLLIKSQIGVGVLSVPSTLAVLGIVPGIICLWVISGIICYSDY VVGQFKRRHPQVYGIDDVGHLLFKGRLGREVIAVMYWLFMTCVAASGLLGISIGLNAI SSHGTCTAVFVAVATVITFFLSCIQTLGKISWLGWVGLVSIMSALLTLTIAVGVEDRP ATAPQDGAWDKGLVIWGQPTFTEAITAVSALVFAFTGTPAFFGIVSEMRDQRKYTQSM LLSQSFVTAVDTVVGVVVYYYCGQFVASPALGSAGGTLKKICYGLALPGLFVTAMMFT HLPAKFLFVRLLRGSKHLTNNSTKHYAVWYSCVIGCVLFSYIIASAIPVFDGIASLVG ALFGTVLCIQLMAGMWLYDNWEKRTTNKTILYKFLLFWNIFMIALGSFLMVAGTYGAV IDIKDSYAAAEGAGAWSCRDNSS L199_003895 MSLFPSAFEGSAAGPSTSLTSAFAKSSKNNNKRKRPSTGGHHDE SLLKSTQANLEKLMSKLENGDVKEKIGTKSMGESSKKKKGNAHIEFKKGSKVVETPKG KDRSQIPQNQSTPGSSKNEKSTPQNQQQLKKKIKEKSGPVELPIPTVSSSSEGKLKVG GENLTDMQKNMQAKLEGARFRWINEQLYSTPSTEAVDMMKKDPKIFADYHMTHRVLTA GWPSPPLQHIIKALSPLPAGTVIADLGCGDAGLARALVPQGKVVLSYDLVGDSGVPGS SDQAKEKKISEGWVIQADFLEQIPLPGRPGGIDHEASIDEQAPKKEKGKKKSKGDGKA SEVVDVVVCCLSLMGKNWVGGIAEACRVLKQGGTFHIAEVTSRFTSTEAFVEKVESFG LKLEEQDSPSTHFTLFRFIKESPVPLGLARGEEGWQERVKEGEDILKACVYKKR L199_003896 MDYQNRAGANKGSGGVAGASETAVDRRERLRKLALETIDLAKDP YILRTHLGTLECRLCLTLHVNEGSYLAHTQGKKHQTNLARRAAKDNKDASLMIQAPPN AAQQVKKKVFVKIGRPGYKIIKIREPVSQRMGLLFNVSLPEIKEGEKPRRRFMSAFEQ RREIPNRAFQYLVLAAEPYETIAFAIPSKEMVDQEEDPESIWEHWDQDEKVYSCQFLY K L199_003897 MFTHTLLSTFSFLVIAAAAAPVDESWVQPRDSPVHELFYKRAPN PSDPNFASNYPAPGSTPPTDSLPQAWTDKLKTINLPDVQPSSPNNGYPTYADGETPND QKICSFTYECTTDEDLYNPPSGVLALSFDDGPGEASPDLYSFLATNNISTAATHFMIG GNIVYNPTGMQAAVDAGGLIAVHTWSHQYTTTLSNEGVLGELGWTMQIISDLNGGRIP KYWRPPYGDVDNRVRAIAKGVFGLETVVWNTDSADWAIGSDAQYTHDSVVSQVNQWLS GNKTNGLLLLEHEIKQTEVDVFKAIFPSIGSNGWTIKNVADAWGMNWYVNSGKTNTEA ITTMSVGASTLAVTNQTTSQQSSTSASASASVSTTSGSGSTTSAQVATSTSTSPATAA AAANSGSSSSASSTLVIPGFALAGLGFFTALFLQV L199_003898 MKRTSIKLSTLPPTLRTILLQRTAQTAASKSSTESPGEPISVNG WIKSLRTHKNVSFAEINDGSSGKSLQAVLKGKGKADGLTNGTSVNLKGELKKSKGQGQ DLELLVNDLNILGECDSETYPIQKKSLPNSVLRDNAHLRFRTSQTAAVMRIRDNIMRD WHDWFEENDFIHIHTPLLTSSDCEGAGEVFTLLDQPKPNNTQTTTFFPHPVHLTVSSQ LHLEAPTHSLSRTYTLSPSFRAEPSLTSRHLSEFYMLEGEVGFIDDLDQLLDVVEDGI KFSVDRILKEGSKRGKRVKEDLEIISKSLNDNTENEVVEQQGVINRSDPLNHLRQILA KPFTRIDYTQALDLLRQAKQERREEGELPLKDVPTWGEGLSTENEKWLANHFNGPVFV MRYPNKIKPFYMLPSSSPSTGQIGHGEETVECFDLLFPLIGEMAGGSLREHRLDHLTR AIEQNGMKKEDYEWYTDLRRYGSIPHGGWGMGWDRWISYVTGVGNVRDVVAYPRWKGH CKY L199_003899 MSIREHKPKTSGFLRSHTNLISPQSTHQVRPIAFLKNPAIPLDL LTSFIPHTPTIPQARISPIDEIHNDPSADQATDKVESRTKNTSKAMVLSTQRSSQHLP LSQGALSSQAMDPRNSQNPRNHKDGQGNPSQQDKFPSQAGLYTPQDHQKNPIRGANDH STGVNHLNRPPTQDSNVHNANNRPVKAQDYATYPGNMKEADRIGNSSSGLPRGNITSG QTDSAHKQDTLKVNMPPPPRYDNKCIPIVPERQAYNGQTPAQTPPALPREQAPMTLEF REFRNEFQAEEEFDELGEDYDNFEEPTPVEHGPVGVPPPDASRIRYQHPFEISNNVSQ SSSRYDADSRFGKTSYGHRSNRPDDPITPIHVGRNINSSTKLPRRVPTNGYNSYSMPG RPSFDDELALGGVPSRRIPMAESVLTFDESGQPVTPDRNGGGDKSIFNFTPSAKDSEA EKEVVPEPEARFKIPVELEDPFALIRSFIDREKVFEGVLKFTGDPKFQPTWATIKEGH TKLSSSLNSTHYHFESVDGSLTKLVEIKDKELERKIGLTKRYAEAGSDLSEHCSMMQL ATRNNVKRKKIEMEVD L199_003900 MSSKVKVVGCLSTTLRSTPHLRPALPLIGPARHSSDTRRILVHD PNLPGCSKWFSSTSSQLNIPQRTFFTSNHDSSPSQQATRRLPPIHQNEEDVHIHRTTS TPHLPLPPRLPYSPNSYPFKRHMEILALSLYSSTADESWTIYTSLHPSLRQYIPDETF KSLIGHQVDHPEQQKAWSRVRTLLRLAKKCRMSLSEIDQKDLIRIIRLGLRRYRTEYD RSKEGETEEDDIYKLVKRLWTTLESKIPLDKFPHELKRGWLGLHWRRVQRLGKKGRVT KEEISDQIIEIEEMVIDMVKKGAINTSLGHYIGEILISSGGNTLDGLERSFKNLTLCI AQGVNIKHAHLHKIVRKLDVVWSKEGNALNLEHFIPSILESLNIDPISSTSRILYSAL DTSTRRARTRVQKALDLLENHQSSVGGLIGRGISLSKSTQGDILFRLDTAIRLLELAL QQKEGDCGALISSLTIALHHAKRSTPHSSSSIHEIDKLIIRYVRSLHESQVIPQLSSE SIIPLFRLILSVLPSSEAYILSRKIYQHSRSTTPLFKWSFKNLYLWQKLFRYSLTSPN LHLHFASRLYTDLLADGLSIRKPDMLLLIRSIGMKSSPSRAILLERHIKDYLWSGSYG HSLSPLVLALTQGLTHGGIQDTDLALNLTERLLQDQPIPLQALETLVHNLSRSTRSQD RIRVFQLLQQVSRKEEKAIRIYNIVLSNLIKSSSSHQRVSNDGEEGNARLSHRETLGY AIYLYKEMISKGIKPDNRIISNMVRVLLDSGHLDSALDVFKASIDSSSTEERTGFRIK SNVVGKLMVNLVMANRTTEANQVESSWRKINEKSEGKVWDKGVIGARILIDIKDGKEV DMDQVMRQTGWKGKKGFLNFLQSLRPPSSTPPTLTPALGKDRVDVEKREVVMNHDDGN DKGVRSAWDPNRRNERDSVRVDLCMSSELGMIYH L199_003901 MSHLDPSYPNNPYGSSSSSYSLDPSSSTSRLNSNSNSTAMISSG QPERSYLNVDDSEGEEEVFDRHSIDPELRLRTVRTAHSVLAESIKSEALAEKRAKRRT LFKSMRRKASGVGSKRRKTSMFEEGITELSDGSQSRRDTQSTIHTPPVPQSEVGSPQK SVPTLEVTPPQPSSEPGPSLTDRIKGKGKAKAPPPRRSVYVNMNLPHNLLNVKGDPIV RYVRNKVRTSKYTLVTFIPKNLFEQFRRVANIYFLFLVIIQLFSVFGAPNAQIGMLPL LAILGMTAIKDGIEDWRRSKLDDQVNNSATTKLAGGWRNFNQPRDPRNWLERLFNVGL APGKTSKGVKKLREREGSAGNKIMMEDNQKSWDQDPQEELDVVVVDKESYPLSTMPST VVPSLNITESTTPDLPNEEGFRQSLMLRKTSSLPSMASRRSNGVVDWNRPTSGSVQWE RTLWKKLEVGDLVLLRDNEQVPADIIVLSTSNADNLCFVETKNLDGETNLKIRKALRA TSSISSEEDLEHARFVVDSEPPHANLYTYNGVLRYTPADSYGKAEEQAEGITINELLL RGCSIRNTKWVIGMVVFTGADTKIMLNGGDTPSKRSKIEKETNFNVIMNFVVLLVLCL ATALLHGWYRSLTGTSAETYEPGAEASDNIYLDSVIIFFSCLIVFQNIVPISLYITVE VVKTVQAYFIFQDVEMYYEPYDTPCVPKTWNISDDLGQIEYVFSDKTGTLTQNIMEFK KCSIQGKIFGEGMTEAMMGAAKRDGQETGPAMEDQEVELAGFKEKMLKMMKHTIRNRY LREDKLTLIAPNLVDHMANPSDPLQHHIIDFFRALAICHSVLSDAPDPSKPHELDYKA ESPDEAALVAAARDVGFPFINKNNNYLDIEVMGTPERWIPLKLLEFNSSRKRMSVVAR SPDGRIILYCKGADSVIYSRLDPNHDENLKQTTLKDLETFANGGLRTLCIAYRELSEA EFADWSKKYDAAAAATVDREGEIEKACEMVEHSLTILGATALEDKLQEGVPDAIAMLH RAGIKLWILTGDKLQTAIEIGYSCNLLTNDMEVMIISADSEEGARAQIEAGLNKIASV IGPPPTTPASGKIMNPGMNTSATFAVVIDGESLRYALQPSLKGLFLSLGTQCSAVICC RVSPSQKALTVRLVKEGCNAMTLSIGDGANDVAMIQEANVGVGLYGLEGSQAAMSADY AFGQFRFLTRLLLVHGRWSYVRVANMHANFFYKNAIFTISMFWFLIFSSFDATYLFEY TFLLMYNLFYTSLPVAVLGTFDQDVNARAAMAFPQLYKRGIAGVEYTRTRFWLYMADG LYQSAVIFFVPFFCYGGGETWSSSGRDTNCLYDLGTAVAAAGVLAANSYVGINSRYWT VITWVIIIASTLLVFIWIPIYSFLAVFPYAGIVEVIYPTFTFWMTIGMTWAIAVGPRW LVSAFKQSYFPKDSELIREAWITGQLKRELGIRRRKDRKRDNKIAGQEEPSVPSPQKS KSKGAPIRQKSLTDHFSFQSTYTDVDERERGAYQAAMTFSPQKDFSRSPAMSVSLSDE NNPYGPGSGSGTHTPRSMFSYPPSPNPPQQQQHSQNLINFQNSPSLSSSRFDVNRPSS MLLRQLSDPGQPSPTSRGFDLRPPDDIGMGRNQLITPVSRLSYTSPSAFDNVDNEIRK EVRRLSKDSINIKRASLSGENSPIKGDSSSPTKRRMSLPLFSPSSGTTRGKNNESTDI YEEPEPETEPERDLFAPTSGSSGDRRHEYTNASTDRSPTRRNGDGDGGKSPRDDGKYG YAF L199_003902 MSFVRPATASMRLAAAPRAVMRPRALPKRVTPIPSTASRGFRRT YATTPSAPEPSTTHNTLLFAVLAAVLGVGVGGYFYLKPVRDVAAIAHEGVKSAKENAS QLSTGLSTYAQAVLPPGAFALYQALDKQEGGIAGFLSKLKGKDLDGALDELKNVGGDD AKKVIEKIQQKVKDAKGKVENVDWKSLATELKDDLPASAQGLIAVIAGKIPDNIKDLD FDFDSLIKKAKEVGGDQLKQVEESASKVYKEVEKARKEGKDQADAFLKGLKEAAPADV DSLIKQLKEAASKAGLPADTAEAWLKSKAKDGKVDAEALAKQVESRLKDAAQYIPGEP KDLIKQVEQVSPSLAKLLQQALQQADVIDEKGNKKKQ L199_003903 MTSLFDFGSKVVKEIKHETDSEAHQLLRKYIDDRQDVNESGPTI PGILHPGATGVTYCTDRAMANGYSYDNDQWANLGQGAPEVGPLPEGPPRPRTIDLSQW GDEVNEYGPTTGIKELREAVAKLYNEEYRQDKESKYTYENVCITPGGRAGMARLAAVI GDVYCGYQIPEYTTYSEVLSVFKRLIPIPTALDARDKYKLNLEQLKREIENLNLSVVI ASNPRNPTGQCISGDELRDLVHLAREKTTVILDEFYSWYQYPDDPKELGTCLSGASYV DDVNEDPVILINGLTKGFRLPGWRVCWVVAPKNVISAISQSGSFLDGGASHVLQKAAI PLLDYKRVQQDKISLQKAFRIKRDHVLDRLEKMGLKVSIPPVATFYIWLDLKGLDSPL NNGLTFFEELLKEKTICVPGIFFDINPSHRRNLFHSPCHHFVRLSFGPKLSELDRGLD AIERLLRKYRETPHLLGTE L199_003904 MSFRTPLLRTACARRAGQSVQLRSQVVRRRFASGGPEVSPPPPP RSSSVPYLLAGVGLAAAGAAYLFYGTDGTPRETAKELESTARGAAAAAEGKLGLRHSQ KDYQKVYDRIAETLDKEGYDDGSLAPVLIRLAWHSSGTYNKEDNTGGSNYATMRFKPE AEHGANNGLGVARDHMQKIKDEFPWISYGDLWTLGGVAAVQESGGPTIPWRPGRIDGF EHNVTPDGRLPDAAQAQDHLRFIFYRMGFNDQEIVALSGAHAMGRCHTDRSGFEGPWT FSPVTFSNQYFTLLEDEPWQWRKWKGPAQYEDKKTKSLMMLPTDMALVKDKSFKKFVD IYARDEDAFFKDFSKAFAKLLELGVPTAQFAGEPWKMGSE L199_003905 MSSSRFLVKGAQSLSSSSRSSMLTRSMATLQSSIGDKQVPMSNL EKGKFVNYARIESNLQVVRQRLNRPLTLAEKIVYGHLDNPHEQDIERGVSYLKLRPDR VACQDATAQMAILQFMSAGLPQTAVPTSVHCDHLIQAQVGGPKDLARAIDINKEVYDF LATACAKYGIGFWKPGSGIIHQIILENYALPGLMMIGTDSHTPNAGGLGMVACGVGGA DAVDVMAGIPWELKAPKVIGVYLDGKMSGWTTPKDIILKVAGILTVKGGTGAIIEYHG PGVESLSCTGMATICNMGAEIGATTSLFPYNKRMASYLQATGRSQQAAYAQEFNHNLQ PDEGSEYDRRIEINLSELEPHINGPFTPDLATPISKFAEEVKKNNWPEELKVGLIGSC TNSSYEDMSRSAHIAKEAADHGLKTKSIFTITPGSEQVRATIARDGFVDTFENVGGVV LANACGPCIGQWDRQDVKKGEVNSIISSYNRNFTGRNDANPATHAFVASPDLVTAMTF AGSLSFNPLTDSLKGADGKEFKFSDPAGHELPAKGYDPGENTFQAPPEDGASVNVAVS PTSDRLQLLKPFKAWDGKDIIDAPVLIKAKGKCTTDHISAGGPWLKYRGHLENISQNC LIGAINADNGKANEVLNQETGEYGAVPTVGAYYRDRNIPWVVVGDENYGEGSSREHAA LEPRFLGGRAVICRSFARIHETNLKKQGMLPLWFKNPADYEKISGSDKLSIVGLNDFK PGQDIKVEITHKDGSKDSFLTTSSINEGQWEWFKAGSALNKMAAAAAARQ L199_003906 MPSSDALQPHLQPAERAIVKSYGGWTNFCVSYGLKPWEMDQNNE ALAIVSALAKMDEENEKKGKGKK L199_003907 MSTALTKKQQKLAAFRNKQKAKKSGAGAKDVDQPDVPEQDLIDD NEDTAEDAVVVSQSSGKEKAKSKVDEVVAEEGEGAQGSEELKTADKGKKKKTAWDDEE NGEEKKKTKKDVKQRFILFVGNLSFKTTKEDIQEHFAPTLGSTPSVRLLTTKSTPQNP KPKSRGIAFLELPTSAAMQLALKLHHSNLKGRTINVELTAGGGGQSESRKRKIEERNN RVGVQRERKAEKEKEEAGEGVEGEEQGDGGEEKKDGKTKTRGGRRVKSKPKPTDGSAP PAKKPRSDPSDPNAPLSGWASRQAGTVSAAPSFNSSRPPRRDFSSNNRRDQNGGGGAG GKFQKKKWTPTGANAQPVG L199_003908 MAIEPATSPAAQAQADAQTPVQQLKAEQAAIAQENGPVNGQDEQ LVTGEMDGLESQMSSATIGELHVACAEGKLDDVRAVLSRGLESLESLDVNTGCTPIVL AIRGNHHEVVRELLSAGAIVPPPGLTNDPLMLSILYPQPMYGVPPQFMGMPPQEFYQQ PGFYPADAQQQQQRGMFMPPPAGPTGQRKDGVTASPNGGNPNNLPPAEVSKTIPCRNF PNCKYGNSCVFFHPRHQPFFPAGPGPIGPNGQFIPQGYENGYPAPYPPVPGPYFISNN QAFVPNQVDQQQQPASDIPQQQQQESQISDTQHDQTQQQQQQQQQQQQQQQQQQQQQH VPSAIAPVFVPGFQPPIPPSVDMMSLPPPPAGQFGMSPLSPSMLGTSLPSIPPAEAFF AAASPPPAGFMPPLPPVTVNGPAAAAAHARRQSFNQQQFGMPGKPFGHGKKPSFSGGA PKWMGRPAAPNGPGSAKLGSWKDGNPPPCAFYNQGNCRNGEFCKFPHLDAEGNDCRHP DVVRGVLPPVPSFSGRPRGMRLMGGPGFHNGPVDPSFRQQQHQQQMQFLQHQRMAAAQ AQQGLNASAPTSTSAAQATEDKSEATPAAAEKSEPADVPSATVDGETAASEEPAKTLP AKPVMPNIIRSASQPGVQRVHANGNASRSHSPAPSNVSFHGNGHPRRAGSRVPPQANG HGNFVNGRNDKKGPTTPLQRVPQAHEFPALGLGSGTPTSEKKEPSWGGKTAAQVLSAP APPKPEPPVQVKVTEHNGDGNASDSEKSGKAGSVTMDSDSESDAVIISTKPSTAAQSG TASPAPPAAAPEFKKTPISFASAVGTANIPTALETAPVALKA L199_003909 MDFKFPSTDLKGWRLKVGEDSHGQQKWVYLRDEKQREEWPQDVT EKYWLGLDTGTPELPEAKTPLEAARNGYKFYRNLQSHDGHFSTEYGGPLFLIPGLVIA MNVCGQKLLPEQETELKRYILNKRRKEGGWGLHTAAPPTVFGTVMNYVALRILGMGPD EGPMTEIRALIHEMGGATGIPTWGKVWLSVLGVYEWDGVGSIPPEIWLLPDWVPFAPW RWWIHVRQTFTPMSYLYGSRFVGPFTPLVFTLRQEIYTQPYETIRWSAQQSNISPYDV YSPHHPVLDIAHGFLAYYEAMPSLPGLSSCLPLRSSALKRVYDLIRYEDENTGYQTIG PVSKAFNMVCRYAKEGPDSKAFKSHLSRVDDFLWLSKDGLMMMGTNGSQLWDLSFMAQ ALVETSLADEQEFKKSTLKMLDWLDKAQLRQDPKWYHEDYRHRTKGAWAFSTPEQSYV VSDCVSEGLKAVIAIQSLPYAPKTLDIGRMRDAIDTILSMQNPSGGFASYELQRGSTK MEWLNAAEVFGNIMVDYEYPECTTSALSALKHFSKLDPTYRQQDIQITVDKAIKYIHD VQRPDGSWYGSWGICFTYATMFALESLAIAGETCANSARVRRACKFLVDRQMEDGGWG ETYMSCVTMEYAQHEQSQVVQTAWAVLALIYGQYGDKSVIERACKLIMKRQKPDGRWE QEDTEGIFNKNCAIDYPAFKFIFCIWALGRADKYLKSESQ L199_003910 MKVIPIQARSDNWMYLLIDSSKQAAVVDPYDAGKISTAAKEHGV EVTSLITTHHHNDHSGGNSKFLSLHPGLKAYAGSNQSPGTNVLVKEGDTFKIGQDIDV KCYHTPCHTQDSICFYVEDKKTGEKGVFTGDTLFLAGCGRFFEGTPEEMHAALTKLSK LPDDTLVFNGHEYTKGSAKFGLTVEPDNEALKGLLKKAENDNCTTGKSTIGDEKGWNV FMRLDRPEARKATGESDPVKIMGKLREMKNAM L199_003911 MMGFKKKLSSFLPSDATNRLQNVLTVEWEGQGKTVLVELPDTAR NENDEVEMAGLTSSAGGYGRLNDDDEDERSYSRPSRSAYNHNYDDPYQNPYQTTSSSK HKKHPSYSSKTLPPLPPSNHNKVGNVNRNPFEPEYHSPQPSSSSTYSYSSGSGSSPYT GSTSQFGAQQAYNQGNGSLNRIGEKKPMPNPWGNKYREDDIDLLGDIGGGGIHSSTTT SYSRDELRDTRDNYDSLENPFR L199_003912 MQWFSRSKGKGRVDQQPFPGGDEDSVVKPQLAIAHFMLGNTYPF TEDDWLKTFDLAEDTSLDALALNLGQEEWQLTQASTAYRLLSSSSTSTKLKLCLSLDL MVLPKDPKLIITKVIQIIQFGRSAQLKWDDKILLSTFGGQDLGDDGWREVISGVESIL GEKVFFIPSFFLPPEEILAKDYVDGAFHWNGAWPMNNHTTNLESDKPFLEHEKPYMAA VSPLFFTHYGAEGDWAFNKNWIYRSDDLLLPSRFSQLLSLPPDQSPQFIEIISWNDFG ESHYIGPVLGAQPGSDRWTEGMDHEGFRVMIKYFIQKWKNQIHRGPDRNLPSGKGEEE KERGKLVIWYRTQSRDMQTAQDRVGKPDHSEWAQDLLNFFILLPTSQYSTKDTFTLHI QNGGHSHRPLPLEVGTVNLIPVPFYTGQVSFQVMKYGKQVVIEGKGMDISQEGEGGKG WNYNMWSGVFDAI L199_003913 MSTCVMSNSTPFSSTAQDRTIEFQDNSFNTTLSDDLFDSSFSFM NPDSSNMEYYIFSPDGRRSPEPPTTEQQHINHEDQPQGRDENMGGNDINNHQIENHHF SLSPLTMTDPHAIFSQPQANTEITSGSYPHPPCAMTYESISAPSTSHGSTNIAHHPTF FHPYRSSATGDFARNPRSPPRSPALSSSPHGSSVSHRLSFGAPTSVSPSLVSPHSIVG SQLSDNSPSHPYMYQLPLTYGTPLTSVSNLANVSPSGPGLSMVAGFPVPTQLHLQQTS PPAKGRPITRPRQARTNTKKIIKQEEDEISDVEDEGNTGGGGGGLGLSAGANNNEDRV PVSSKREDVRKARIESEQRRRDELREGFKRLKEALPPSNQRASKVSLLDRSVAHIQSI EAANRYLLGQLEDANRECTKLREILRNDIVLRQRTASNSPGSGSHGNRPQ L199_003914 MRITSLALLPILATAASANLAADALGWAGELVSGGGKVSTTVKD GDVRTMDSWSYVDCGLATDAVQLKSIHVTPDPPVPGKNLTVEVEADVIEPIKEGAYAD VTVKLGLIKLLQKQFDVCEEARNANATVQCPVSPGPYKVKQTVELPKEIPKAKFSVQV RGYTDTDEDMVCLDLFVDFMKRPGGGN L199_003915 MEVSNFFTRLLQPPKEAKAVKQPDDLGEFDVAWQGIKETLEYPD ERQIVRGISSTQVPNQLRHIVDALVYESNRTDEDTTGACLEYFLKNDLLAQLERLCEP DRPHGIKAEVLRAINNLIVSLSERFLVHNAVHRPLRRLLRSCVGEEPEEKIDGGARIL GAAGMSPDMERRGSNDDIEEDLVGLMCTLASRMVAYPPLLLIFLHDRGWLQPHPSRVP TPLERALSPAPSAHTGISGPSSRTATTHHFEFLLFSYLLRFVHREGQIGDPARAGLLF LFDIAFLPPSEIVSGSPNPTDKDGSDPLQDARDALGEFILDGDFAEVMAAGLGAIYSL LPSKLHVPSIAELAEQERELGGSASGTGGMYLGAGVDVPDREIPSSTDQEVQDQLDLI LKLFGFLQDIIHRCTSMIRHPHSDQNSHEISTTHMLGSAISEATLDAIQSSFLDNVLY PSVLECSNHDGSSVAVLTYLDVLFSDLDEGPLLDRLISFLMDTGSLEPGHSAQDRKKN KRKTGAMGYIDQPVYTSDYFADEGRFTLKDLILDNLHSSEPTSSTAALRLLRTLLGDH CRQAVTGLLSVIRDPTATALAKRPIPSEIDTLHSFLPHPVNSTDVHLQEVELYSSLVT RLDPSLSSAEMAAGYAVYLTDMTAIIEVDPCLRSARIPTTFLGEMEKNILHLDMTAEP IQHRVNPSDPLIKNILRTFSNFLANTPDENVAMTGVISAIALCPHRSLAGWLLYDVNP ETDSWKSRSKQPQDSLSDFGTDSDDDSDLDVDRPRHGGESDPFSVRSSVPLPAIYQIL REIIKTVSSYRSSIESFDRLLAERRQGLLFADHLDEAMNLMLEVEPSSFGLPETPKPE KKKRPSAAGLVGGIKSFLTPKKKTPASTPNRSSSSGLTPTLGSLLRTPNKSPGPVEES GRFATPIRVDELDMMNPSPFRAHYEQTDVDLQVEMSKSEETISSGPWSNANSLTALEN GDASFTEDTLHREMSDHVEEDSQAKTYEGPKKVSLNVILDNCVILEEFIKELVAIITA RRAMGIDQVGFV L199_003916 MDTSNTVVCSQHRHRKEHRSEEETWYPYASPLENITSLFSSSSS ASSSSSTKTSINDWIPKDPVVVGIISAAGATCLTLGSIAGYRRYWRRVRNANSVTTGM LDRKTWIRGVVTSVGDGDNLRLYHTPGPFFRYPFKIRSIPSTTKELKDETIHIRIAGV DAPENAHFGQPAQPHAKESLEWLRGTILGKRMRCQLLAKDQYNRIVAVPYINRLLWFD KPLPILMLKEGMAVVYEAGGAEYGPWGIDKMKSIEAQARSSKKGLWSLKRFEHPSDFK ARMKRPDEVRVPSTGKAKSRSLLGRIWGWIRG L199_003917 MWRLTFYAVIDKDTKVSLGNLHGYQPPTSNDALELLMSNLAIYK DYLDLIMTIQMIYHARSLTPEEKYLNVFVGEPSLLKDLKLESSTITSAGKGNQSPYAN KISHSPSMVTQHRYPSERSIPPALTALPTFYPVPDHIMRGPNNFRYDPIMQSNFHVSR HEDEGASINRINDSREYQSKEGRMSYLFRLQLPPSTYESETMRRTTLFYKKDTLPDAL TLKIIAFRHLCEAPGCKSIWKANSEDEADFVEHHEVKVGDLLYEDWLTRQLKGKAQES TKKFMQVNIHFETVTSAPQADRATVNSPLSSLSPSSASKPSSVLKATLQEKGQETYDD RDLAQAKKELNVPVMHSEDVAKPAVPSCLNWDKIQSLDDVQLPTITTEPKDQEEVALA INQLSPEEERWSYYGNNQKAGKDKQLRKDEQASFTYPSFISSSHAERNSNSFLQQVFA PPPRTPTSMPPGYMSMIPRNNYQVPRPVRQIAPWVSPSGPGSVSFPTSPHPLYFEFHV IDFHLRPIGPSPWVFSELPTTDILRTMLGRYIGEDSALKSRLSVLNDKIHTDLLYEKF ITALWDGHGGWECYKRGLLSNRIQIQVWSSGEFVKAETVPPLPKEHSSPARGSIFEEV SDSFDNSSSILEKRGEPIVKSSSTGVKGDLLPEDYSIQREDVKPAHSNEHHSIKEKRN GRCGFKLEETLESRPETPVDPPTHSSLLDGKDPLPAHAKDLPALPPAADLADSNQAVS NSPSNLPLERVTSRDYLEDLISASGNTTASTRLLRIMQAESVSPASSLGLKLLDLAKA LRTDGELAPSRPVEDTTTNKKVENIENRLNDFADMLNHIAESVGVALDTSSTSSSSSI ISNGDEDEDGSTTATVGGVPSGLSFSQLAISGQQVNESIDAIQSPYRTTVSLLETPTV PSAKANLERLVEEAEKLEKEVVDHDHTPSVAEPKNMGGAKLPKSTSHIRAHPVRTNEQ DYQPARNECVPMSHSQVQGLVNPFAPPSQFGYSYRPYPSTVSESYSHVPAPTGFHQAP GQGGMMPRSSVYPSYHSQYVYNNWHNGPSDNGVSGNSPGSGVGVAPQHRIGAGAGPGA GFGFAQYSQVNPYAALGNCQYQGTAWPRG L199_003918 MRMNKSDRVNRDSVLGIIKHIVSGWTYDSWWYDYGSKSSPWIST TTDFRWAIWEIARRLTKLNRDQVELTRTSEDCYGFCQIGFGGVVPWRIFDKDVLESTT WTRYSTPFDLPEYCYIAEKYWTNGESWLDRLVWNPRLASFYDADEMMSKRDEQISSSR QPSRR L199_003919 MLRTGSRQLCRSCVRHLTRARRVSPAASTSSIVSTPHSSSFNAI RSYASSFSEQPSNPSPPKRPSPYRLLQPHKFLSQFAPLHISGWRLDSIAQQERLINPL LAVDEALDVEGGDLQDRRLVRVFTMGDGKEGWKDAMSFVQKAGEIIEEEDHHPTILIT PSSDYIPSSTSLQNTGKENNGYIIEISTHTHTPLPPYPLPTKNPAHKMRPGVTGKDIR LAERLEEAWEGVMGGKQKVEMKKE L199_003920 MSTTAGLRQRVPSPPAGQVEKDQLLREAEEAELTEGQKFIVPNF TVKQLLDAIPAHCFHRSALRSSLYVVQDFVALGLLAYGAFHIDPFLAKYNLAPAAYHA ARIALYSLYVFAAGLFGTGVWVIAHECGHQAYSSSKTINNTVGWFLHSALLVPYHSWR ISHGRHHAATGHLTRDEVFVPVTRKERGAPEMKEEAEIRGLNVSPERQSELAELLEDA PLVVLFNLFIRQFIGWQAYLAVNATGQRHYPKGTNHFTPSSIIFKASHFWQIIWSDIG IVITLAALAFWAYKRSFSEMFVIYFLPYLFVHNWLVFITYLQHTDPVLPHYSANTWTF PRGALATIDRTFMGPIGAYVLHGICETHVSHHISSKIPHYNAWEATEALRKFLGPHYY RSEENMFVSCWKAYRSCVFVEENQDIVFYRNARGVAQRVAVEENGNISDSGVDMTESK L199_003921 MPLLSLLSTIKVKPKHKRTYPLGDSGGTPIQILKLRGGCASASN LADYEVIIHIYGRSMMLTVPRKARRREGRREGKG L199_003922 MGLKSIFNFKRRYTTRSKDRSEPPAKSYPLSSSNENNNRALRLR GGGCCCCGGNARYYEDDIPKRRKRKNNYVFAYSGNGMSCGGGGGC L199_003923 MCFTRILSKENGGPNYDHNHGKAERKVLRLRGGCCTDSAVFAVS FSSPILCLSMFIIRDQDRKYGEYCKKIRNRDDTQVDGTVHKHRHRAGGRNGAIAGAVA GGGGGGGGGGAGGC L199_003924 MAVRNLMKTLRRKETVLDEKHQEKEKKEKPLRIRGGCISTASVS IHLPKFNTVIPRLADVHLLCSSSNDPTSKHYKQDSGHGFEMWGSHMGDRLESLGRSIS RGGQQYVGPVLHYYHPPSTQVIHHHYHQCHHDENLPQYTHTPNHGPQHVFRGWGGAAV DGFEHKQ L199_003925 MASMDDLLATFNHDLRAGPQGNDLRDLHAKLAQTLNNPIPYQHH RPIPPPAPSTSSTGTGAGPLPPPAPASSWNTPPPNTSFLFSSSPQPKSFTKGFPPSSS SNNPNVKRESGFFPTTIPERDEASHQSQRANENVNEERPYHAEVLPVKADPKETNGFT EDAFRPIWDGKSQDQWSGFKQKGT L199_003926 MWLSDGQKIGIALVAFGIFFQFLGIMLFFDGPLLALGNILFLSG LPLIIGPTKTFYFFSRKEKWRGTLCFFGGILLVFFKRPIIGILIEFVGFIGLFGSFFP VILQALRQTPFIGTFLSLPYIRGAADKLAGVRQSAV L199_003927 MSIPDNPFQAPTTPQAPVLQIETDDTSHSPTSNPNGLASPYSHT SSPGQLDSSPQSATVSPPAGPSQATTGGLAPPEMGTKTPKRRVQWTSDSHIVQLHPLQ PVPSSPHQLDEGNIEQFRDALEAHRTGSIRRHRPPSSLSRQSSLDGSETRQGTEDEDY DYRLDVDPPLDRVTSQGSNPEEFIDNGMREHVPTYIDPGERDGLPNIPQMPDQDENQR DAAKDLVRAHTGKWGVLRRRVKTSGNVNRAFGSGRSHGITTATQDPEKPQENERASQD AFAARYPEPRRPSLAALTHGGGGGGGPGMPGGASILSSLLALYGQQDGMHSGTTSAAS SRPNSDDEDSSEDEAARKRRSGEGSHKGMKGLMGFGGKKSTSSSNSNGTPAGDVVIHD APHRASVTDETAGEPLAPQPSRYSNGERPPPSPGLTGFFQRAKEQIQYHRPDAAKSGA GVFGALIQNTANLSGAATPAASALAPAAKRPGYQLNRYSAPNLNPEEKVTNWRPPSRP SSRAGSRPTSVHSSTAVSRDGESPSQDDLSFKKKAISSDDLVSMRRSENDDSSLTLSS KYQQPGQPQSGKKKPKAPLKLDSLAALPVNAFKEGGKQIKSAEKWLLNAAKTPLGTPP EKGGPDYFSRPLTEDERRRKEWEAEKKRRKKAREARKKQEIFIIQHVAAILARQQFLM KLARALMMFGSPSHRLETQIQATAKVLEINAQVVYMPGTMLISFGDDATHTSETKFLK QATGLDLGKLLATHHLYWNVVHDKMSVEQASKDLDVLMTTPVYYNWWQTLIIGAMCSA FITVIGFYGSFVDAIMAMPLGALLVGVQMLAARNDMFSNVFEIAIATVISFVSAALGS TGVFCYTALVSGGVVLILPGYIVLCGALELASRNITAGAVRIGYSVIYSLFLGFGISI GAVLYEKITGNDVLNASDYTCSNTHGGAPWYQVTPSALWYILCCPAYSFFLSLRNQQP LWAKELPIMVLVAVAGWSSNHFSSLAFPGRSDMTSAIGSFVVGTLGNLYGRISNGSSF PVTVTGILFQLPSGLSNGGIFNFAAESSDGSSTAYSSGFSVAQQLVSVAIGLTVGLFV SAAVTHPFGGGRRRGAGIFSF L199_003928 MILIPSIHNPPLTPPPASPKSHFVSRNDRLRRYISFDSVISSKS PLYFPPPVPNLELHSAAASGNVGLVHYALTHGQPVNSVLHGVLPLHAACSGGSVSVVR MLIENGADVNAPRLPRRYSDGKKGTAPSVGTAGSTPLHFAAANGHAPIVQMLLASGAD PTKPDKNGNTPEDLAAINGHEDVVRVLHVYHHLRHQDALNDPANSDKAEPSSPSGSTS HLPDDDDSSVNTRHAWGIGSRKGKERAFSLTSTKSESAVKVKKSLEGLLRRGSRYSAG GISSMSDYRSANANDSPRSEASGSMMGNTIPRISTVSDMSSINSDQLQSPVDIDIPGS PSPQKGDNTPLGQIDIENPGQSSPRSLTRVLSGQSSNSNGTSIVLGSPPNSAGVTPPQ RGRLNSTSSHRPTLPSILEKAVHPGQAFRAVMRHHTHDKDQKDHTVQFPPDPSSEDQV AHEHDSSSHHSSSGGFFRGRKKSHDSDDKKQSHGHSHKHGFKGLFRRGHSPPSRSPSP PMKSDNAKPIAGEELEEGIARLKRASLELDRHGQVSVSDAGNTDAVQDTGIDPDIIGA ISISDDQDATPQARQIPFSAPVTKTKFFPDSPTGPPRLPSRGNDIPSSTASSPGTMQF NRPRTGSEVIAPSPLANEWAHDEDSDSSLPRAGIRRVKTEILKSPISSSPLSPESEIT SPTFPTGSRRRSATHSGGLPPPTFSTINKGLNPPKVIGLGWEGDVDLRKVAASELIRR ESQRRQAEVDSEMDAEDEEYHDALSPAFEPADAKSNDQEDNDSTPTPQSGVIPDDATP TEDEQQGRLRGESVGSMTTDSSRLSTPPAPSSAQFRMSSINDDSEESANRRLPSYGQP TTTDGRPRGKSVSSISSAASGMGYSYAQSTSTPPTSLTTPSALSLALVSGFPPVPENE VAHVPPTHRRTLTQRTISSHAEAKEVIKQNENDILQLAQLPPSLDSSRSLAEQLAAYG ENYAIERQFAEIERRSNTGLTPTGRSEDGESFFSAESSNRSWGSSKSSERERRRGPSG NFTGLQPRAISNPNPLVPLVSVDAPRSSLPSINSIYDKRADAYRKHMATLASVQPLLS SSSSTRHAQTRARQRAVSAQEGWLNASRPSRPGSIMSYTTANEIPNQDEEERIKYPHI SNPLPVLTNPVTGQRPRKSSGGGASSMGHILPSSHRHRSTSASTTTPAPIADILSTRY QGINPPSSSTVGIGGVSKYNTAPVGSSTSSYLNTTSKPISGNSSSTNSNPMGISPYVS IFSNRYQPKVPLNDDDSDDENDNTREYTVIENDWRGGHVVRPDELGLGSSTGSGSEGG KKKWGLKRLGRK L199_003929 MSLNQRKQERDFTAEVKALQPEVEQLAKNGKLQEAVDRISLLEK QTRNAADMTSTATLLTLLTRLCWEQSDLDLLNAQLTILSKKHGQLKEAVVRMVDEAMT WLPTLKSQKDQGKFNGGKDRWLELVKTLRDITEGKIYLELPRARLTVMLASYHEQLSE TAPKESSSSTTPAPSTSTATDKDKAKAEPVTAKDHLDVAADLMSDIQVETYSSMDKRE KTEFILEQMRLESLRGNWVRVRVGSRKINRVYLKEKDSQDIKLRYYDLMVQLALQDDE YLEACSAYQEVWDTEEVKADPAKELNVLENIMIYVVLAPYNNEQSDMLHKLYADPALQ KAPQHFDLVKCFVTRELMRWSGIEQLYGPTLRQSPIFASGSTLGKKTGIKAEGKKSEE IDNPGQVRWEELHKRVTEHNIRVISTYYSRITLQRLQELLDLPSTQTEKTLCKLVTDK TIYARIDRPNGIVNFKNKKSTNEILNGWSNDISKMLGLVEKTSHLISKEYAMHEASRG KKVTA L199_003930 MRRIPSQVPQAVSRLLQGNVISHPPTWYIPVLSNPPPQLPPRQV VQRKRPASPSDRSNEDLSYIPPGELERRDRLRKYKSRKQKPERIVYLEDKIRRQFFKD FPFEALRPISLVEGQEIDESRKVDGESWVKLEQRGEYPTVEDTIAFVLNVQQTRQIPI SEAYAIATREFISLRARHEQATIAAEIEARQYGAEFKPDAFERQFNLENKSLSSLIPP SSTRSSDSTKIKYRKQPRWQWSNTIPSFASGSGSNEFTGGKSYMENWKLPRPLETSQG AQQSELLSSIPQIENASTPVDAEDTRPQEESDLEFLQAVLGKRS L199_003931 MYIQRFNGKYHRASEVSQGVVLLEFNRPPVNAFNDDMWKELRTI VDTISDTPEIRVIVLSSALDKVFTAGLDLNSQTELNSPALDPARKAIQLRDHVLDFQD AITSLERCRQPIICAMFGTSVGLAIDLASACDVRIASNDTTFGIFEVNVGLAADIGTL QRLPKITGNESKLKELALTGRKFGASEAKELGFISDIVRNGRKEVIAAAVEMAKVIAA KSPVAVLGTKHLINHARDHTIEEGLRYTAIWNASMLQSIDTTEAMKAVMAKRIPKFAP FGNPSSPLKSKAKL L199_003932 MSSLLSERQKDELHKSMLSYLHSAGLHDSYEALKRETDNGDFQV DDPKARWVGLLEKKWTSVIRLQKKIMDLESRNATLLAELASPHRASSSSSSASTPFIP RAPARHTLTSHRAPITKVAFHPTWTVLASASEDSTVKIWDWESGEMERTLKGHTKSVG DVDFDPKGGLMVTCSSDLTIKVWDTSNEYTNVKTLHGHDHSVSSVRFTPDGEKLVSAS RDKTIKVWEVASGYCVKTFTGHSEWVRGVVPSDDGKWLVSCSNDQTSRIWDLSNGETK MELRGHEHVLECAIFAPVNCYPAIRELAGLANPPAGDSRAKSPGAFVATGSRDKSIKL WDAISGQCLRTFVGHDNWIRALVFHPSGKYLLSASDDKTIKIWDLANGRCTKTIDAHG HFVTSMSWGRALIGGNSTNSESVVNGDSLSGGKKKEEEGSGSGPRRINVLATGSVDQT VKIWTP L199_003933 MASTSTRRIQKELGDLMNSPPKGIQVVPDEDNFQIWTITITGPP NTPYHKGKFKLSADFTKDYPFKPPVLLFKTKMYHPNVDSDGNLCIGLLKTENWKPATK MSGVLQAIYDLIETPNPDDPLVSSIAELYTTDRKGFEKKAAEYTSKYAT L199_003934 MKVNFSNPATGAQKLIDFEDERKTRVFLEKRMGQEVPIDSLGEE FAGYVVRVTGGNDKQGFPMKQGVLLQNRTRLLLADGHSCYRARRDGERKRKSVRGCIV GNDIGVLAVAIVKQGEKDIPGLTDTVLPKRLGPKRATKIRKFFNLSKEDDVRKFVVRR EVTKKNGKTTTKAPKIQRLVTPLRLQRKRHLRSLKKRRTEAQKETVADYKAALAKHAE EKKTHNAAVKAAKKAKRSA L199_003935 MHSSGKGMSASALPYRRSQPSWSKATPEEVSDQIFKLARRGLSP SQIGVVLRDSHGIPQVKNVTGNKILRILKTNGLAPSIPEDMYHLIKKAVSVRKHLERN RADKDGKFRMILIESRIHRLARYYIKTQQLPATFKYEAATASTLVA L199_003936 MSVTSHTSFPPPTPSARSNVTTQSTERDFESDLTHDLGPDSAFD LDGTGIAGNEEEAVHFCLLAEFDIDAGATLAHQYPYPTGTDEHRLAELMLPDGAHLRA EDWTIFYLGQTPSSAIAPMLSHESSMRLSTESSSSNTSNDDNRRTSVMPLDRATRGVA GSGGGLLYVLNCVRMKEDKKMRRGAMVKAMAICTPNPYIGIYKPLLLLALEEYFLSPS PEILARLFDSANAISTAGMPRLTRYERILLRCSERKDLFEEKFGITEPLSGTKEVFED LNSEPGHGTGSMSENGHGNEDLPRTGSGSGSGSASTPTSTSNHRKTLSSSSGVQMVRK GSSSSQQFHLATPPSREGRLTPDVGYGGVTTGNHGKRKGVPRDTHFFETEARFKKITV PIRIPMTVFDEDVGDYSLIELVQTFSHNITPFPPPYHPHLHTNGSMTHPIILILNAML AHKRVMFLGHGLPANQVARMVLAACALGSGCGQVLRGITSCAFPYANLASLDILEEFS GFVAGVTNPRFEELPTTWDVLCNLETGKITVSKNLQSFSNSITNTGSGGMTGSNNASS VNAANVGSMRSGRSSETSLGSSSMIKVEDDPSTTSGTPQAKMNSIAKSDCLDNQFMDE ISSAMTSHYGESNIRLRFVDYLNRFIRIASHQEYTQTGSTKIGYPSVPYRDGVLGSGA VFADDQSKQRELWTNTHRVEAWRKTRSYKLFAKDWQSRLKKRSVGFDVQHQIARLRLA KNMSDAEAEAIFGALNNGIRNYDQVVELLTHLPAHWGGLMPIANGLFHRWVGVRENAL ELLITLQQYPVGRQAVSSMNYFHRKAFISLLERREANLKRQRELTRQQEEAYTSSTTA HANGWMAGDNSPNGTVQGGSTNVTPQGVGRA L199_003937 MANSSSEDLFVYRANGSASNSAGPSRSTSSVNLYPLNNTSRPSS RKGPRRGSKDHEAGEVVDEAGFAERGDVLFKAPDDDNDHDHEHDEHSAAAPLLSSTTS RREQLTEEEHELFIAEGPNSGARGTLMDAITNMANSIIGAGIIGLPYAISQAGFFTGI FLLVSLAAVSDWTIRLVILTSKLSGRDSYTETMYHCFGPAGAAAVSFFQFAFAFGGTA AFHVIIGDTIPRVISFIFPSLSQHAVLRLLVDRRVVILLCTLAVSFPLSLHRDIVKLS KSSSFALVSMTIIVLSVLFRSVAVDSSLRGSSLDTFTLIKPGVFQAIGVISFAYACHH NSNYIYKSIHVPTLDRFYVVTHISTGISLVACLLVAVSGYVVFTDKTQGNILNNFASD DWVINIARFCFGANMSTTIPLEVYVCREVVEEYFFKGRPFSQKRHVIITSLVVFTTMG IALTTCDLGIVLELAGGLSASALAFIFPASAYYVLTSGKWYSWNKLPAGLVAGFGGVV LLLSCGQSIANAWYGEGKAKVCT L199_003938 MAFNASPYGRNPYGNGMNGYGGQGYNYPSMHDPMYNTKYETYKE RKKRKRREKAEIIGAMGEVGGALASIADSGSGGSSGDGGGGGSAGCGGGGGVGSS L199_003939 MGNTSTKPSKTHNMNSSAKKNGLFSRWENRFQDSNKIDQISREN NGTSKTLQEARRRRKQKQAERNGLLAEGGFHLGDAGVGLGQALSTSTDPSGGGHSAGA SACGGGSSGGGGGGGGGHSSSGGGSGCGGGGGGGGGGC L199_003940 MFFLRYHDEQQAAEDSVLRLRGGGCFDWFDQVSLGTTVQYNHFF MSSLNLIKCELHKSTPSDLTGTGHTPMLPQLNDNDPTSFEDQKTKSKTKSKDKSQDKT KTKSKEGDVKIKDKGKKFKIKNKKDGSTQTILKNQNDPVVSPYTTSPTAGLGLGGTGS YEPIRRRRFDLGREGEQSRDQAQLPPEQVREGGALGLSGCGGGNDNSWRGDGANEPGL ISMEFAK L199_003941 MSRQPNVDLQMSSMKNPSPLGGGFGSSGGPGSFTPVFETSPQVS PANSRRPSISAAAGSIQTPVPRRLSEVASGRPSFSQQAPPPMSHQVSYFSGGGGPPPT TGGSVVQGGGGGYSGGASLSRRASTASHMSSGPRPIQRADYSGPNTPSLLSRAGSPTL PLGNEHTTAPRAYFEGAGGFSGLDGNRELRQGQFIGSLDCGTTSTRFIIFDKRAKIIA EHQTEFEQILPHAGWHEHDPEALVDAMTECINKAVEKLEWMGWSRKSIKGIGITNQRE TTVCWSRTTGKPLCNAIVWDDSRTLGVVREYEKKLEEEGLDIDDEEEDLKGVPEDVEI GTGGEDAAFGEKGDVVVENADGQVESKGDGLAEKVGAKLENLGLAQKDKEAKKGQANG NADVHVHKKRKGKEGIVDVTGIPLSTYFSAIKLRWMLDHQKQVHEAHENDDLMFGTVD TWLVYALTGREQGGLHIMDVTNASRTLLISLKTLQWHPPLLRFFGIRPSVLPKIVSSS EIYGTISESIGTPLTTVPIAGIVGDQQAALVGNKCLRKGEAKCTYGTGAFVLFNTGEE CVRSNYGLISTVAFQAGPDAKPVYALEGSIAVAGSAIKWLRDQMNLIEESSDMDILAG SVADTGGVYFVTAFSGLLAPYWDREASGTIIGLTSYTTSAHIARATLEAVCFQTRAVL DVIEKESQTTLETLKVDGGVTNSDLAMQLQANIGGFNVARPAMRESTALGSALLAANA LKLFGWDLSRPETLADVNTAGVHVFEPELEEKDRKKAIKGWERAVSRASKWHEEGDEE EEEERYEEQRGLSRLPSRQH L199_003942 MPPRTSRSPAYGVSPKQKWSKSLPTTNHPSSHSSSFPLSSVGMI PHSVPRPIRRPKKILYLMVIFFLLYWFGIRHGLGIERIPPPPLGFSVPGGRRGRRSSL FWGNKGTATLLPPIQGLKPEHPIYELMEKAETRWTNLLNSQSKTLQQAVNEYKRRYRM SPPAGFDEWFAFCQKNDIKIVDEYDQLMRDLLPHHALPAQTFIARSKALEGTGFTYTL DISKQGVALTGERSNAARPKHLQGLIGGFVNDLPEGFYLRVTGSDHDTGSVVLGKDQR ERAMSLVREGKHFDETELKALEDPNRTPAWGWFKACPLESPANIRPGAENATDAVLPK SFIFDHLPTMDFCEYPELKRLHGAMSLDYAHRSPSILKPILVLSKFPGDASFQTTPME AYMNITESDVPYLGSWEDKTDNRLFWRGSTTGGYGGQRDWKESHRMRLHLMINGPKGG DTWWNQQLREIMVPDGEGGYKVVRRWERVLSKAYADVKLSGKPVQCPNADVCQQVADT IEFGERVWPDQAAAFKYNLDVDGNGWSSRFHRLLSSGSPVIKFTMFPEWHMEWLTPWY HYIPLKPDYSDLYDIMAFFVGPVDEAGNIDTTKGHDYLAQKIGEAGQKFALEHWSWVN MQAYTYRLLLELQRLHSVDRDLMSYKEPEPRKQG L199_003943 MQGQGTLRLRGGGFFGLFRVSREPNLSFLSYSSPKSYRDDIVLK VRYRLVDLSQRRNKITPITTPVSSSETQSELEKPYKSLTPPKPESKPKSKGKVKKAKT TTYKTSTISRRNDGYGSGYGYNAGSYAIGYGTPYVPSCPPTDLGNGGFGHSHGQSHGS GNGCDSSGGGGHHGHSGDSGGAGGGSSCGVGSSGGGGDSGGGSSCGGGGSSCGGGGSS CGGGSS L199_003944 MSSSTENAYANADANVGKGKGKKQDNDGGKQVNVGALRDRQSVY RYVGSLLKITRKTLTSVKEAWKVKLTDQQLGLSPSPLASSSSIPPANPDLSHPPRLIH VSPTPPKRDHISAGAYASRQRDLFRLKQAIQDNPNTSIPLTSSTPTPAKLDSNGEVLW CNQPNTHPPSCCCLITQEKKDENRREGVVQWDQVDLGAFYNLMEAFTSDGNGGYCDCH GHPVNLCSSSYDSGGGGMDASGCSGGGNSGCGSSGCGGGGGCGGGGYGRTFFI L199_003945 MSGYSGDVQPTQQSTMSRKKDKKVRREEADDISIYSFSDEHSGG WLCCFGGSSSSKKQKKSKNTNTHSNQNRPIYEKSLPTPQTSPALSDQGYDNTVFQPYS TADQSAERV L199_003946 MSFQPPSPSSSSTSSRGRYTFNTDEKQKRQGNVSKHPYMTEYRE RQGSASDESTGPYDHLAHRPRKSFLKKLTSCFEFDDSDPVARYRPRHEAIFGKDPVYR NHQIQPQNVTQAKMIPCETQAPNSFAGGMTGGSGTGGGAC L199_003947 MASLTNGQVTELQQKEDYAIKSEAVTPKLDTSQWPLLLKNYDKL LVRSSHFTPIPTGVSPLKRDLQTYVKSGVINLDKPSNPSSHEVVAWLKRILRVEKTGH SGTLDPKVTGCLIVCIDRATRLVKSQQGAGKEYVCVVRFHDKVPEGEKAVARALETLT GALFQRPPLISAVKRQLRVRTIYESKLVEYDDKRNMGVFWVSCEAGTYIRTLCVHLGL LLGVGAHMQELRRVRSGITGENDDIVSMHDVLDAQWLYDNTRDESYLRRVIRPLESLL TNFKRIVVKDSAVNAVCYGAKLMIPGLLRYESDIEVNEEVVLMTTKGEAIAIGIAMMS TVDLASCDHGVVAKVKRCIMNRDLYPRRWGLGPKAQEKKKMIKTGKLDKYGKSIEGVT PQDWNKEYVDYNAAQTEEGGLVPTQPPAAATITPIEVDEKDTEKKRKRDTESEVAATP SKGDGEKEKKKKKVKTEDGIEREETAEERAARKAAKKEKKEKKASA L199_003948 MKIPPSPPKDAVKFCEFITSSPTPFHAVSNLSSKLLASGFKPIS ERSPDLDQLQPGSKLFYTRNQSSIVAFTLPSHPSRETSISFAVGHLDSPCLKVRPVSK RQKSGYLQVGVELYGGGIWPSWFDRDLSLAGRVIISDKSANGPSYVSKLVKIDRPLLR IPTLAIHLDRSINDAFKFNKETEFLPILGLVADQLNGTGAGQGPSRTGTPQPFSGHST PKENEEDVAKMESKHHPLLLAVLADELGCEVGDIQDFELSLYDTQPSTVGGLSNEFVF SPRCDNLMTSFCSIEGLCEAAESSSDNTIRCVILFDNEEVGSVSHHGAESNLLPSFVE RIVSLPTYEKIGYHNLLANSFLVSADMGHAVNPNYENKYETNHSPKINGGIVIKTNAN QRYTSNAQTTFLLRRVAKKAGVPTQEFEIRNDSTCGSTVGPHLSTHVRTVDIGLAQLS MHSIRETAGSHDVRYYIDFFKTYFDVVREYDLDLKVD L199_003949 MGRVRTKTVKRASRVLIEKYYPRLTLDFHTNKRLLDEVASVPSK RLRNKVAGFTTHLMKRIQKGPVRGISFKLQEEERERKDQYVPDVSALAANADSPLEVD NETKDLLKSLGFDDLNVNVVNVSANAPRERKTRFVPGSGRA L199_003950 MSQYNNNTINPSFLVPRLPTRKQVPSQNPRLDTTNLDPNLINSD RPHTAVCRPAPPPLHLHRRAISSISSLPVILSASLEPSSMSYQWPPQSGYDSQNYNNA VQQSSQTSDEKEPLNLALGPGQGVLDLMGMHQSGLSNSAPSTATTSNTSNSSGFSSYH NGASYSYRPSLNVDTSNSSFITPRTPVQFPPNSAYSSNPPSGFPTDSTSDGEIITPGL PIQFPNGYNTFPSNTNMTDFYPTNDKPAVSFHDFQYFPSQSTYQSAQQIYQPQSQGTI SPSQLGNTQNLKPTKSFSDLLMGSRASSSSSSSAEGQHDWSGNVLDEWTRPLGKALNQ DPQSSSAIDFSNLAGPSRNPLSSLPSVQVSPAPPPGALDDAMRQYVHAPNRLAFGERK LIVMSPKVGQKSYGTEKRFLCPHPQATLIGGSWWNKAQDGCPVSPLVAPRINISLTGE QPVKDAAVSWTAVNGNNLDEKINTQALNTEDQPFVGNVAGKNLHISDNDGKRREVKAL VTIKAPLKVFAGPNGWGISKNTLKDISDERTLGIFESKEIKVISKPSKKKSSAKAGES DHGSTIALFNRVKSQTTSTRYLSVVPDFTRITGSDGLPVTGAQPPVYPSQRSTFSGFT ADANNWESFIIWLVDPNRPGGPSLAPPPHADWPSPPANIIAPSILVPPIRYNSTVVLQ SLQTGVISPVLIVRRIESDADAVGMDGHNADIPSALPMGEYAGDLVSQLQKVAFELYR TDTMERLANDPRYGGFWLACVQEAVSDQLVAQERKWSNVQISHRGGSRPNSVPNTPQQ RFGVLPMTPHNASMNLPSTPSSPVSSNSSTTFDYFGTHSRKSSTHSLMSPNIPEVPLP PSSTDGGPVRRHRTGSMGKNASGPFVRPLHKKRGSSSGSLEYLPSPAMTSSPENHRMQ WTMDVGDSCIWSIVSTEQTTYTFYVPPFAQEPVEPYAPFPIANRMLPSNLSADNGPAR YNQQYTSHTDAPLMTLYGKNFVRAPDGHAHHIVYFGSTPAHYNEVRCHEVMAAAEPHL PPGTRAPIFIVREDGGVIIPTNLTYPPT L199_003951 MPLLDKVEKLKKSSKAKTAGQYAQQARNEERIMRLRGGCCSTRG VNRVSQNDQLHISVSDSLTPLCRKSSPSDGTPRVEGGIESAGRSGDRGEGGGEIGGDD GADPAPAPHPEHNHSHTHHSHGGTHDHGLGGSHSHTQLAASTHDHGTTSHSLHDHSSS SAFGGGGAFSSSSAGGMGGGMGGM L199_003952 MKYKLFSKSTNISVTDTAKVDAVRPEEWGNQGQDTSRGRSSRSR SGNCGGGGGGSSGGDGGGGGCGGGGGGGGGGGGNGGG L199_003953 MLILSLSISVFLLPLLSSAQDIQRPQTARTCIPSGNEEPINAAF SRGGAGTTVTLCSGSVHRLNSSILFTAEKQTLTTENDEKGLNRAMLIVEGPDQSAAIR ADCQQCSYATIKSLIVDGNRPQLLRIPKGDALIEVGNAERQVVRDCKLYEPRGWSALH FREGDRKQCRYGKVINNEIGPCGEEWDDDYDGQNELEPPFGNPRSDGISLACKDSLVE KNIVYDTTDGAIVLFGSAGSEVRNNDIYSRTRVVLGGINLVDYDPWQGDYMLVNVHHN HLHAFGRFFTGGIVIGPSTWSDDTESTVHSGSVTDNYLEGDHFGYGIVVSSATSFTVL RNTVHDDAKFRGVNGARCPKAPENGKPTAFLINRGSAKGTFQDDFVNGEVQHIICVNM PDENGKPYKPWRFRDSSQAIAARAASGDSSDPVVQAAFDARIAEALVSYQFALTSAMD IINDKIEKITNPINEEDFAVPVYKHEHEITAENKQKKKLTSSGNRDIDELSNKLEALE QNSRKVKKNFDGLKLDFEGLSGRLKKGADDNKPIIESIFIKVQNILSGTTPLISSGSS GSSKHFVQSGNSTLRSSGTFDSIPFGIFGAVVGSSAILLAASNLFKKFRKRRGKSNKA I L199_003954 MSGNNEAGPSTSVPSFPSGGNDSGIPVQPSKIAKNARLPATMIN PSGQVQKSQKKNSKNKQVSKKQKARNEKGKERAIELSEKLGNKVKEREEKKAKRQRAK KAWE L199_003955 MGIFDSIRRRSSSFKDTSSIRPTRSRTTNTTSTGYSTRYSSAPT SRCPSPISRTRSDELSSIISRSVQYPNPTSTPSPGESNSSQSIDLGLARIKFNFSSLS IKLKSHKQLDLNLNQDEKKKGGPEGFRSFVQNAKNQNPDYRKEKLKIMKPVSSKDGFG WLMDRKRNDRVDE L199_003956 MAAILRHQDLPVILHNDKRGLLTVEDGRLDVLQLSRDGRPPKRL LSTPIRNFLLAKLSNDKGKGSGQNRRLDLHTLGNPSGISTSLKLTKLHVLVEPINVPE AEEWCENTMGLAYRAIKRNKKVLLLVNPVGGKGKAKGIVHDIVLPILQAAECQVDLRE TTHRLHAEEITNSIELDYDVIATASGDGLVYEVLNGLASRSDAKKALKIPIAPIPTGS ANAVCTNLFGVKDTFNIPLATLNILKGQTLPIDLCSVLFLPSQQRRFCFLSTALGLMV DLDIGTEHLRWMGDNRFLYGFLRGIVNNKNCKTKIHLKVVEDDKISMARKARDRVKQR LVKDDKVWGGGTNPLVEGMNGLSVSGNIGSRKSEGDGAKTPSAEDGHANGVGNGSTDT NDMVAGASRAEVAEKEYVPDHGPIPDAKPLEPDSTWITIDSSVALPTSTLKRSSKGSL RGNRSSTVNGGNSINIQNTVKKGDWIDGEGLLYFYAGMMPWVARDLMQWPVSISGDGL IDVVVQSIVPRMMMANAITGAEKGEAYWLECQHYYKVSAFIVENLDKANQPIFTIDGE SFPWDTFHVEVHPRIANLLSLNGDFYVSDFLRKHDEK L199_003957 MSNQHDSSAVTPHRPNIPSKISSGSGSFAISLPASSSQDVTPSS SSSTKARFSTLSAAYPLKLLTPSALPSQPSSLGILYTLAYGGGLVSGDLISLRGEVGQ GCGLVMWTQGSTKVYKKRPGIRPKSSNTKIQGEMTKQRLHVTIQTDGMLLLLPDSISP FSKSKYTQHQRFVLPSDCTGSALILDWVNSGRGLQDQQKETEIWSMDYYDSTNEVYMG DELLMRERMVLDNQHSITTTGDSEPRMAKSLAPYHVYSTILFIGPKFEKLTEYLKFKS NNTRQYQLKSPQELIWSYSETDQKFMAGVIRIASVEIEQTRTWLREVLEFAGIKDLVG EGIWPRCL L199_003958 MWRPGIARPNMYIKTITIQGFKSYRDQVAVDPFSPAHNVVVGRN GSGKSNFFSAIRFVLSDQYTKMNREERQRLLHEGTSTTTTLSAYVEIVFDNSDGRFPT GRSELVLRRTIGLKKDEYSLDRKSASRSEVDQLLEAAGFSKSNPYYIVPQGRITHLTN MTDKERLRLLKDVAGTEVYEQKRAESTRLMEETDTKRDKIAEILVTIEDRLNELDEEK QDLKDYQEKDRERRCLEYALHQRDLEDVTVALDKVEEERREDIHNSNTKRKEFNEKEE QVQKYEESLTTAKHALSTTQLALRQYEAEMADLVRARTEIECVIADFTTAGEAGEQRR AEVAEELRSLEERIEEASERLDELIADAERRIAEERQARETLETTQSKLAVLFAKQGR AQQFATKAARDRYLQGEIQSLRDYETDQQRRVDSLRTDVENAKDQLTEVMARSRERGR QEVERRENLRKMAEEVTTLRGDLDGMQERRKELWREDGKLGQTVSNAKSEMDSAERSI LGMMDKDTSNGLRAVRTIAKRLNFDGVYGPLYELFEVSDKYKTAVEVTAGNSLFHVVV DNDDTVTKLLEVMNREKSGRVTFMPLNRLKSQQVIYPKANDALPMIQKIQFDRQYIMA FEQVFGRTIICEDLQTAAQYTRSHNLNAVTIEGDRVDRKGALTGGYHDVRRSRLDAVK AAKKWRTTYETDSERHREVKEGIAKLEQDISRAMGQIQVLEAKRKQSVDGRNVLATQR DLTARDEEGAKERVNKLEQALEDAEGELRDARSKRASYEEELRTPMRQRLTDEEVTQL EELTQDAEEQKKALLEATQARTKVTGERNRLEIELAESLRRRREELRARLDQMEGDAG SGVLQAGEVELRNAELRNLIRSIEDLSEQVTESENRIEELTSEIAKLTENLDKVQSEQ LENTRAIMRVQKNAERYLTKRQTLINRREECNNKIRDLGVLPEEAFSKYIDQSPNKIV KRLHKVTEGLKKYAHVNKKAFEQYNNFTKQRDELLARREELDESAEKIEELIVTLDQR KDEAIERTFKQVSRYFEEVFEKLVPAGRGELVMQKRIEGYIDEESEESGPVGREKSEI DNYTGVSIRVSFNSKADEGQRIQQLSGGQKSLVALALVFSIQKCDPAPFYLFDEIDAN LDAQYRTAVASMIHDLSSKAQFITTTFKSEMLAQADKFYGVIFDSQKVSNIVVIDKTS ASDFVETSAQVGQI L199_003959 MDEDEVASILGETSTNNPPSRPGVPRRGENREFDTYFDGTTAEY DPSEVDFQELRRAAWATWRTRPPAALGGSSSNRVEEEDDELARVLALSAQEHFSRTNS RQPSVVVDDDDEDEELKRAIAMSEEDARAPKRRKREETPEEERRMLAEAMAASLAESE PGPSNSSTQESQASTIASTRSSTASTSTLVAVPSTETVKAPVLKIGGQVIDRAQLERE RRERQAARQAALSGTSTPITVTPTPNPTSTSMPIAGPSRIAGMSSIISSVSSAGPSTS RASQSSSVHPLQSSGPFPSDSAGEYYPNGELRHVALKIGSPTTERTFSPAQIVGNHSQ ISLIIMSSFVIDDQWVMDKNILPPPEEVPTIVVRPHPKDKPEYNGRIQLHPNGEMWVY PKMTTGFGSAHMKYFWIFYKTGRLRVVISTANMVDYDWEVIENTIFVQDFLPLPKRCP LRSDLTTHDFPLQFAHLFTHTRIHTALRSLIRAHPNGSQIPFTPEDDFGDLAKYDWSK VKVRLVLSIPGTYTGYEQINRFGISRLGKVLNEEGWIPKSGEQLDVEFQGSSLGTYSL EWFSKFHSFISGKTAQQISNRSRPNAWPEIRILFPTLANVEATQLGKEGGGTMFCGKA FNNVTRDLFRDSRSKRGGVLMHTKMLIATFEPEENKLGLDKSSTPTKSTKRKVDELKD DVGGWIYVGSHNFSPSAWGNVEFKKDPPTLNIRNYEIGIVFPLDRDKAQAQADKVAPY VRPAKRYTAGDVPWNQYAHRG L199_003960 MSKSFSILGKGLKANTADDLQPYLSELEQMEDVEEVHFGANSLG VEACQAIAEVFKGKKNLKVVDLADIFTGRLISEIPQALSALCDALSSSTSLVELDLSD NAFGGRCADAMVPFLENNTHFSIFKLNNNGLGPIGGSIIAKALIANGEKCEKEGKESN LRVLVCGRNRLENGSSKDWKEAFAKHRGLKEVKMPQNGIRMEGIKNLSEGLSHCEGLE VLDLQDNTSTKIGTRAIVKHLPTWKNLKVLNLSDCLLGKSGGIALMNSLRDGTNTELE VLKLQYNELDKTSIEILSVAITQHLKGLKELELNGNRFAEDDDCVEELKKALEVWGNE DALDELDDMEEPESGEEEEGSEEEVESEDEEEKEELAKEEVDGTAKLPPVTDKQTDDL ADMLAGAHIEAK L199_003961 MSGIAPVNPKPFLQDLTGKTVYVRLKWGLEYRGYLVSTDGYMNL QLANTEEIENGKSNGALGEVFIRCNNVLYIREAKDKVRDD L199_003962 MSGFAGFGQNNNNSGGSSGAFSFGAKPAGANPPAGGSLFGQQPA STSQPSTSLFGGGGSSSTPAAQPSSGAFSFGNSASTTQPASGGSLFGGGSTTPAAPAG GSLFGGGSAAAPAPASGGSLFGSKPLGGTGTPGNSTPSFSFGGATNTQTSTSTPAAAP APAAGGSLFGGFGSSTNNTSGSTTPAAKPAFGGFGSTTPAAAPPASSAQGGLFGSKPA APGGSLFGGGATSGTTTPTTAPAAPTGSLFGAKPADTTAPSTPASGGLFGAKPSESTT PAAAPPPAGGFSFGSKPANAPTTSLFGSAPAPAPATSTPSDANKPAAPAGGSLFGGGA GGSLFGAKPAEPPKTDSPAPAPAAAPASGSLFGGLGGAAKPAEAPKPATGGFSFGNLG GAKTDSPAPAAAPASTTPAAPEAPKTGGFSFGNLGGTTTSTADKGKEAAKEPPKTGGF SLGGTSSTTPAPAPATAPTTSTDKPAEPPKSGGFSFGNVGGAKPAESSTAAPAPAATT GSSLFGGAPKPAETASAAAAPASGSLFGAKPAGTPAASTSTPATAGTTATSAPATSSE PAPNLLRGKTLEDIVDGWNKDLDAQVKEFEKQAGEVREWDKVLVRNGNQITALHRQVL EAQQNQSAVDQALDYIEAQQKQLESIMSVYEKEISKLSNDSTRPLAAKLPADREREKS YTLAEDLNKQLDDLSRNLGQMIEEVNKLSTSSSGTSNSFGLDVNGTPSATVVDSTSQL PDDPINQLSAILGAHLRALNSIDSNAGRLEHKVEELEGRMGASGNHLGDRRGWGLARR L199_003963 MANSTLRGAKSIHGGNPQYLIEKVIRARIYDSLYWKEQCFALTA ESIIDKAIALKSIGGVTDRNTPTPFISLTLKLLQLQPEKEILIEYLLAEEFKYLRALA AFYIRLTFRSMDIYEILEPLMKDYRKLRVAHSGGYSLTYFDEFIDDLLTKERVCDIIL PRLTQRSVLEETEGLEPRKSLLEEEEEEKEARSDASSQRYISRSPSPDLRRARSRSRS RSISSDGSDRNRYISRSPSRSRSRSRSIDSGEEGDTRDRYISRSPSVSPDRMLVDQEE DDERLEGDV L199_003964 MSDSGGPAGDDDIGLPKATVFKLIQEMLPEDIACAKEAKDIIVE CCVEWVKLISTQSNTVCDESSKKTISPEHVIEALKQLGFEDFIPEIEESNKDFKQSQK ERTRAQPDTNGMSQEELLALQERLFASSQARFEAGQ L199_003965 MQEAYQENETRRIVTLEQFIVDTFTRIGEDGIERAVEQPDQIGG GGTYAIIGSRMFVPPSQLGMIIDYTPDTLPQNMRHVLCEYGKEMWTFRKRQDGHPTAR AVNRYNRQTRGFEYLTKPILLTPFSLLDTPFGEPLPSTIHLISYPAPRIEGVLDEVRA LKQQKQWDPIIIWEPEEESLEVTRKVAKDIDLIGPNHHEVLRLFSPTIPSSPTEADLK AVYSQACKNLLSLQPKTGVVVRCGHLGCCYSISPPLGLDPEVRWVPAYWNPRRKGWNE SRVVDPTGAGNAFMGGLAAALDSGKSLGEGVLWGSVAASFTIEQDGLPTLSKLGGKEL WNGEDPWERVRIMKEDLSMI L199_003966 MSHQNKRQKNGSAIEQPAAGPSKPTPRLFAPFRALGHVTDHVPY AMFVHTPQGALATPTVNITTSVGRSWLMWDAARMTLVFAGPDAGAHINSLAMTGTDIY ASAGARVNKYHRGKETGYYLSPDQSTLGKMLIFGDELLVLKEDGTGMVIYDLNSKEIK NQITFHTSFTATHIMHPSTYLNKVLIGSQQGELQLWNVRTCTLIHTFSHPTPASASPI TAIVQSPAIDVVGIGYLDGSVRILDIRQGDLVMQMKVEDGAVSGLSFRMDGPPILATA SSTGSLATWDLSKGGRILHVLRGAHDQSITGLQWVAGQPLLVSSSADNSVKQWLCDSP TVVPRLLKFRGGHHAPPTCIRYYGEDGKQILTAGRDRSLRYTSVVRDSRSFELSQGSL IKKAIGLGVTVDHLKYPQITAIASSSIRSKDWEDVVTAHADDAVARTWRVQEKRLGAW TFEMESGVAQAVTVTACGNFALVGSSTGDIRMYNMQSGKERRSFSLSGPAPGDSKPKI IAQSQTAKSKAVKQSVNGTASKTLEAITGIVSDALNRVVVASTLEGKLYFFDFHTTQL IHTAQLSTSITSMSLHRDSGLLSLICDDLTVRLVDIETRRVVRELRGFKGRILDTVFS PDSRWLISTSLDSTIRTFDIPTGRLVDAFKTASIATSVTFSPTGDFLATAHVDSVGIH LWANKAQFSEVALRHIPEEEDVPEVGLPSVQGLEEDAAIEGIEDIGAPEFTDIYTTPD QLAEGLITMSLLPRSRWQTLLNLETIKQRNKPKEAPKAPEAAPFFLPTVSGLETRFDL SAAQEKDTASNGKNGNRLDLASGWLESEFTKRLSREDENGDYNSFFEYMKSLPPSTLD LEIRSLNSLDHLNMFLASLIGRLKSKRDYEAVQSILSIFLSVHSDLLISNGSSQDGEE GLGEKLKELKTEQERESRRLRGLIGYSLGTLSFLRGT L199_003967 MLNTSAALQGGKMSREEFRRQKDLDAARKAGTAPAAVDEEGNAI NPHIPEYITKAPWYADTGKPSLAHQRIGKSDGPALKLDEWYERGQTAGPAAKKYRKGA CENCGAMTHKKKDCLERPRKKGAKFTNKDIAPDEVIQNFQSDYDSKRDRWNGYDPSSY KNIVDEYEATEAARKKYREEEIDNQTSTADMSTAKKLAKKEKKENGGGGDDDDFGSSD EDEDDEDKYAEAADQIGQKLDTKTRITVRNLRIREDTAKYLHNLDAESAYYDPKTRSM RDAPIQGMAPEDMKFAGDNFQRYSGDATNIQKLQMFAWQSSQRGHNVHMLANPTAGEL LHKEFQEKKEVLKDTNKNSILARYGGEEHLERLPRELLNGQTEDYVEYSRSGQVVKGR ERAIPRSKYDEDVYINNHTAVWGSYYDLSSGQWGYGCCHSLISGSYCTGEAGKTANSS SSVSALLESSARVKEIEEKAEKERKSLAEQHLEDLASGKKDKGKEREAPKYGSRPDDL LDDDKVDLDKEKLRKALEQEKKRKGLNENDAWEQNKKSKTDVTQEEMEAYRLSRQGYE DPMANYKDTGGYDLV L199_003968 MWRPAARTTGTNDVPIGNKRRFGLPEEAPPPSNPPSHAPRPPAS DIQFFNGRQDRERSDRDDYGRRDDYDDRRRDDYRRDDRDRYGGSGGGDRDRYGGDDRR GRYDESERGRERDAAGEEGPRKRRSRWGDAKVDVPGLPVAITGKVSQAELDNYAIHVR LEEINRKLRTGDVVPPEGQRSPSPPPSYDAYGRRTNTREIRYRKKLEDEKARLVDRAM KSDPNFRPPAEMQNRRGGGKPSDKVYIPVKEFPEINFFGLLVGPRGNSLKRMERESGA KISIRGKGSVKEGKGRPGDFPEDEQDELHCLITADTEDKVKGCVALINRVIETAASTP EGQNDHKRNQLRELASLNGTLRDDENQLCQNCGEKGHRRWECPQQRVYSANVICRLCG GAGHMARDCRGRGDPNLAQNKQTAFDSEYTALMAELGEGGSGGAASGRPAGAIGAAPP AQDRVPPWRVPENWISHGPGGPRGPPGGGGYGGPPQQGYQQQGYGQGGAGYGYGGGYG GQDNGYGQGAPAGGADPYAAYYASMGQQAPTAA L199_003969 MRLPLQTLFTILLFLTLQSPISAFLNLPFLSSSSPTISSSLKSK NLIPVKRYLSSSKRTTQLKRFGYFSFSRHPRSLPDWDPLEGVEGVLVHRGTPNQEVTS LKAEAESLAIDQLPNDDPVEEGEEDMEGQLEERDDEEEEFILEDCEKRQDNVDSGNSQ SLIAELGSIPQEEVSLAQTQFQEDLDTSDQLDIDPSSSVSMPTDGGAGDSRKHNDKIL WSGLESLLPLEKGILKKRHSDKSGGGRMYHSSDGGGKNDEGDYSSVRGRKGSHGGDYG SGRKGYGKYDNDYDSGSRHSGQGQGGYNDATQSHRGGYKNDKGRPGVGGSHKGGSGDG GNGNGQYQEDWERPSSSSSNNHYGYGSGSNDEDGDEGWHHGYGSDSSSKGDGNRNGKW DDGQYHPWTSNSNTHSNWDHHPSSSDWDNHPWHPSSNTNTKSDTDHDFSSQSSSNNHR TDCTNLSSFYRSAQQNGGEWLKHNGWSSSGINGDDTSDCCTWFGVTCDPISRRVTALN LRGNGLEGDLARSLFNLDALMRLDLSQNTLSSLPDTFDSLPRLTHLNISSSSLSSFIP SSISTSTSLINLDLSDNLLVGNVHLSASTLKSVDLSNNKLSSFSISPSGMNSLSRFVL NNNNFEGELPDLSGLRRLQSLDVSYNNTGPLFDISNLTNLTRLDVRSNQLTGSFPTLP PSMQSLYLSSNRFTGPIPSLPAPTGLTSCYVLPNNFSPCPSKGDLSDPNTLASKCHLK TCGQQQPTTTVISSSTSEGGGTTIDASQVSVTTLPNSQKGIPVNPLPGENLDDSQNGG QPQVVSSSGWQGLKSKNQPNQLPQAQRLGSNKLSSEGSNTMNTQGQYTIVVGLFVSYI VFSFGL L199_003970 MPTANSRPRPKLPGRSYSNLHDDPPSDATKADDDAVDDVYREVN STGSLQAAAKELEQYGRFADDPEESGFLEQHNIQEDVIMTDEDTDDELSPISPGNAPK QNSKGEVVHLQEVVDGLWIGDLVAAMDTEGLQQKGITNILSLLRPALEFAPEFAVYPL EIDDSADTDILTHLPSCVAWIQSILQLREKYEASNGNEAVQIERDPIISRLAGEPKNG GVLVHCQAGMSRSATVVAAFLMREYDLDPVQAVVALREKRPVVDPSETFWHQLGLFYN ADGRVSLKDKSTRRFYMDRTASQFMNGDGGAPAIDQMAKYPLTPSPSNPPTPMGGHGR RKIRCKMCRRQLAVREHMMDHILDQQPVSRPRTPSNVTLPSPKLSTSSNFAPASGSSA KERERRASVVSDVINPLTGLPGRRASHTSISSLSALQTTSMDNSSKDSQSQQPSPGGG IPLPRKPATPGLTLTASSPETGNHSKLSGEKEHMPSILGKLENNSSPKLVSSSPKPIS NAPFPSFDNQPPPSGGRVFQSAEQLNSRLPPQLLALRMAGSTPTSQLSSPIGSSPASS PEKENHTPSQQSYPPSQSQNVTNAARRMSMLAMTPNTPDTLERRGSISDIQGGSGSGG YPILVNNKCSGYFVEPLTWMEPVLQNGDIAGKLICPNEKCGVKIGNFDWAGVQCGCKE WVTPGFCIHRSKVDEVW L199_003971 MASAMRSVLSRGYATASAVKAPIQLNSLTGTYATSTYLAALKKS PKDLESLAKDIEAFDKKIKEDAKVSAFIQNPTLSASERTKALSSVVPSGSSPILLNLL TVLSENGRLSSAPKVFADFGSLISAYRGELEVVVTSAEPLDNKALNRIDKALQGTEIA KGKTLKVVNRVNPSVLGGLLVDFGDKSIDLSASSKVNRFNTALTRE L199_003972 MSTTLPLFSLLPNGGGSYPPSPTFSDPSFSTGYDGGFDLKNGGL GNYNFPTPPQTSNTPSTSSFSSRHHYPHQLIPPNFTNSSPFPGGTPDGDDPTSSFLDL DLSQPGPSTYHNHHHAHSHGNAHGGPAHSASFGEDYLHDADDDEGIHHNDDDELVKIE NENEHENQDDLTHGNYDGEEPLEVDGEVDNEEPLYVNAKQYHRILKRRLARARLEELN RLVRSRKPYLHESRHRHACSRPRGKGGRFLTAEEIEQMKKEEESKIGDNGGDDSVSPG QVGADIGSGLTTSV L199_003973 MAEIAPDQVSPKTSQEATIPSAASPTTGANGTNENGEHPLSLRS LVSTKEAGIIIGKAGATIAHIRNLTGVKAGVSKVVPGVQDRVFSVSGDLESVSSAYAE VARLLLETPLSDSSLPPPPVGSFTSIRLLISHNLMGTVIGRSGLKIKQIQDLSGARMV ASKEMLPQSTERVVEVQGSVDAIKTAVAEIAKCLQEDFDRGAGTVLYHPGAAGDAGVL AGGLGAQAVTGPTGGIRRTSVAAGFGNPFPTGERRGSAVPRASISGAAGAGAALADRR QSENPPINLNDPNLRTQNISIPSDMVGCIIGRGGAKITEIRRLSGSRISIAKVPHDET GERMFTIQGTPESTERALMLLYSQLESEKERRVNQNQDGAEAA L199_003974 MNTLSRLDYYLSSFISIPSSSSSSSSSSSKPTLSRTVTPPGSSS SNTGAGPLSTPRLSNLPPTPRSKRRYKTSSNGNPTRNGVGPVGGRRKKVVTTYSSIKV PPPTTPLILRIALVLWSILLAFWRSLVGETRSGRSRSRSRSKRNKTTADGLRELGESL MVSAGISSPSVPVPDNQIGKLEGEVEQEGSSSEIERDLLETNENETEQEEGEGEGEED WIDPLVTRAPSDQPSLDKPPPSEDDFAITTINDHDHLEEKDSENRNPKNFTFRLKSAP NTNTTTTTLNDPSIDGENDLIRPLPPHHRQSITNLQKPLISPPTSILSNPTPKIISRE DQGKEQEGQVEPKPEKARKSGILANPISTSILDPSVPAPISKNDSIIFRTKTTTPTSS STSSSLLSANTHGNGMKIPRHITTPFHLQKTLILDLDETLIHSTSRPLSINAGSSGGG GILGLSLSGLMSTNSKNRSKGVGSREGHSVEVVLNGRSTMYHVYKRPYVDHFLKKVAS WYTLVIFTASMPEYADPVIEWLDAGRNLFAKRLYRESCHLQPNGSYIKDLSLVEKDLS RVCFMDNSPVSYNWNKANALPIEGWTSDPNDEALLQSIPVLDSLRFVNDVRRVLGIRG FT L199_003975 MSSPPNLLSSLDLIPGLNSPANIVSPQPSLPSSPPLPTHHQRSK TAPPPNFSPASHHKALPSSSSSSSKLDESPIIDTNSPHHSPPLASSSTETSPNHASCL RRTPSSLSTGSSNGHGREKKRLRFTSLSHTHAGPSKSASTSAAGEVIFPGRALEEGQY TPKSTKGIPRDQVDYLMSDPGTPNLSETADQIRRTLSLASLDSLLLLSTNPSGERDKL IAEVSKAGKDLVWRSQNEKKLLPRDPERAGILALKRGLRSFLLAFSVRAGINVLLALF RNLRNKKLRLALFRHAIFGQEPFRFGAMLGTFTFLNTLTLHMLRLAPPIGYYRRRLKN GLFNKPTFGPPEREGDEGERRWQAAVAGAVGSLGLLWESQSRRTGVAQQMFVRGLQAS YNQYTPRLGIHIPHGDLLVFGACCGQIMFAWLCSPETIPREYSAWILQASRVPGFAVN ANRTLVRQKIIEPVQVKKALEHKAITPTNRKTLEQMLVKLKEGWKPSVVPCEMVHPWV DSCPETNLRRFFAVFRFMLPVYSALHLIPMLVLRRHHVQRDPLKMLARVIWGITRSCS FLGVFVFIYQTLFCLRIQSVEQGWGTNFLRNALKRKETFWLMGFSTCLSLLVEEKKRR AELAMYVLPRALESAWSSARKRAWVPIVPFGETILGAVAMGMVMDAYKHQPDAMSGIV RRLLFQLVGPV L199_003976 MPRSLDDTRRHVQVLPERIGAVAGSEFPGHYPGEDHSWNLQKFK ENLITSVQRLTPSTIEFDLVGVDASIANALRRVMIAEVPTIAIEEIYVWNNTSIMQDE VLCHRVGLVPLKIDPRSLKYRPSPHSAPHETDTIVFDLSVRCDRRPGVDKSEKDPKKL YFDSNVYTGMMKWSPSGDQSRKYKGKEPKPVDRDILLCKLRPGQQIDLHCFARKGVGM DHAKFSPVATASYRLLPHIILREPIPIEHQQKFQKCFPEGVIEIENDQVVVKNPRKDT VSREVLRHPEFADKVSLNRIRDHFIFNVESTGQYNPEELVPEAIKILLSKISAVEEGL DKLFASEGQVA L199_003977 MSEGLLSLPIIPSSPSLQSHLAALDHLSLQLQHLTSSTTHPVRL SLGSKASTVGDIVHTNDIKVNIGCGYWVDMTAQEASEYVKRRKDQLLEEHARLLEGHK RPAAVDRLEKKVSDVQSKGKEGKRKLVETKVGFHPIFHKMPKAADAGPSNSSAEDEEG KAINGEEAPQAQTKQTEVQTLISESSTTDLTNTSIQNLHPSPTDTTIQSVRPKNSEQS VGASLVELLDDQDGSDTAVGVSRDSLGDNTTTNEEGLPIHEIRETLSGETIGPPPPPS TSTSTDGQPIEEKEDDYFSPEAIARRAALRRRLFNEDTSSDEDEPPVQSTIKAKGGII RSSNTVTETSSSTTQATPPSPYSPPVRDRRPSSSQPLPSKSILKPSNPPTLKKSVTFD PSLPSPPTSPAPDESLSQMSKRFGFPLPLAVSDESNSNSGEFSIKPVPVIPPPQPRKR DDTSGFAGFKRGFLDGSSRMTTKLSQAEYDKTKLRDLMDMMGNQTRDITPSSIIENAS SSPSTLASPPTTTTKGDKAFNDPPPKSKIKKQSLFSQRLSQPEIDASAPNIQTTSTAR IPNLPKVSESKGTNTIKSGVIEKPPVVQHGVKERLDNLKIVERPISNGFKAPKKSEID VSVGRTNYTTNGENKYTNQNEVPTKNNNEEEEGEDQDDDDDEFSEYSTGEEDEYDLDQ ALLAREVALEYHKRQTYKPLNRDLDDPHFDELQEGEGEEGEGEGGVMLGLPRISEFGE PMIINPKPEDLRRFIRVGKLENGNLVLAPGEESLETDDEDQDEENREDGEGRKERREN RENIKKKLMELEIPTSQLIEQERQDRERKNVEKGKKKQYEDWEKSLPPILSNDNPEKG EVAVDDKDKSKSKPPIIPLVPESPNISPNQSVSIPIPTPTPATQTASEDVKPKKVSRF KASRMGNN L199_003978 MSPLQGRKNSFGSVFVESPTIESSEHHHSNHLPVPVSMEEGKGK GKATDLSYLIISGGTGANSIASAFGHSPSFVLPVSDDGGSSSEILRCFGGPSIGDIRS RLIRLIPLTPNPTAKDDVERLAIYNLLAYRFPSDAPEKDVRELWHEIVEGRSELWDGI GEDKKECIRAFLVHFQTLCLKRAHKRFSFRNFSLGNGFLTGARDLFGSLPSAIFLFKS IAGVNHGVQVIPVINTNQTVTMAAQLANSTILVGQCNISHPTSPITQVSTSSPGAITP TPSSSSSTKPIISGPLRHHFRRDSRTFDTPDTSLPTSRRTSFDFPQHQIQGQIKEGEV GWSDQKAVGGNLGYRKGEEEAPLEARIERVFYINLYGQEIYPEPNLDFIDSLNQRDIL VYSCGSLWTSIIPCLALKGLASVIAASKSLKAKVLLLNSSNDRETPEYTASEYLSTIL DMLGHYDKPKRNKPIQGVPASSDSSRNVSPSDLISHVIYLEGGKVGIDSDVIEKLGIK IIQVPFNVHGYKHDQIPLFSPESVEWAMEKVLENL L199_003979 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMAKKRKKKNYTTPKKIKHKRKKVKMA ILKYYKVGSDGKIQRLRRECPAPTCGAGVFMAWHKDRQSCGKCGLTYTFEPGTKPTAA L199_003980 MVVMTVAETHSRAIAHALRLLTRRGLALRPTSTRQFTTSSAVNN EHSDRPRSSLDGKDGISFSSGEISRDMSSTAESSRAANSSEQSQNTSEEDRQYAEIFA TLNEQWPKSNSNTKAKSTKANHPPKPESIADRLTFDGYSTPSPRHRSVHSRFRRSAGS TPKEAETFNEILAGIFADLNYGSASSSSPGSSRLNSSNFGLDEGYGTQRGIGLNDPYS ATKSGLGGFGYKFGINKSNSGTIKNRARNLRSHFIDLEKEDDKDDKDLELLEEFEMLK EEMEVISSDVELIEWSKNRVFKPLANIDNDSNSKTGTTGLPVITYSPTYPKILAHLLR TLRVNYNSPHLVLSLFNYAQNISLESYLSGCLTEVYNEVLLTRWESFRDLKGVELGIR EMEIMGVNWDQITARLISKIVEEISKDLLSSSASASSTSISLGDEQTIDNGGFSNLAS LSTVPQIQNDIYKKYGDNVIERLRRLDEKVSKDVRKQEKLYEIHQRRKRKLREDREQK LARQFEDDARRQKQQEESENEDGDGDGHRRIGYIEDPKEGERAYI L199_003981 MNPSVLIHTLLSPSLIPSPQLITFDEYNTQPSLLDLDEYDPPPP PAVNIPSSPTITITPTETLYVGGGSPRDINLESLNPEARAFYPSTPQSILLSSQGNHQ ELEELFSLYTNSPSRSSSPPPSPSPSPVPTPAATATKAKQRRYSSSSTPSPRDRTNRR RRRPRSSVASSGKPIPPTLSPRRKGTKRAQKGQPLVDLDHPDSYPPSPILGTPDLTSG STASSFHLPNRPISASSTSDQPILISDPSEVVGLFAYSGTAHPFPQEVLAPVEPGGGG LKSSAAAKVQEELIQLEDHQDSSNPLHKSTEEQEDSALIDLLGLSSSAPETNLLEEVE FEDSEYEREEEAYTPYSTIDYKFGLDTDEPIDIDELTEETMNHSSPYGGPNGSSTYQV PNGDASILEASTPNFPSSSSLNHSSSSSSNPRVHNVSPYDVLLSEASPRHTVPSNLVH PKQLDVFQTEIMQAWISTEPIQESKVFVKNLLSTLTSTINMRFGTKDNQRFLVDVFGS VSWGGETGKSGDLDLVILDRAQLRGYEPSLWRQSSDDTSSNRVPSSGRRSVPPAIREL PRCYYTYDLANCLRDAGMREVQPIPGASTPIVKFKDPTGKMECDINVNDLGGWYNSSL ILHYCLISPYLLRPMIYILKRWLSAQDLNDASGAKGPATMSSYCLTLMIIAYLQNRGC LPNLQRDINVPPVTSASDTSDPDVIWVSWSKQQGVPAHVAFALTPPEDWKSAEPDLTV SDAVKGFFTFFSHTSPLFSANAQEKARFDHTKEIISILQGGVASRVTSVGGGRAEDQL QRNQLAQQGFTSGQIDSVMEMMRESRIKGEDKMGKGDRGIQPRNWSERRLVVQDPFLW QKNCAGMISKAGLDRFFTCVDRAHDMLQAKGKSATIEELLFNPDPMIYKTPTTGRGRG FRGSPMLMRGRPGGRGLWSP L199_003982 MTKLSSTISYRLLRKWDHFLRHLSPVARYEIWSDASGKGYGGHL GPQSKPLDVWQDKHQLLSGGKGSTEYIEAQALLLSLEKWGSGLKGKKVWCYIDNYQVY QILRRKYDPVDILRPGLGLGLGWKRRFYFTSNNTGNSMWLSSTFIGDLTSTNYEQSSS SSSSSPTYQNRNRGASTTKARCPKVTQTFEEIDELINKYGITIKARWVWGKDNFLADK LSRLVDVNGNGKGKGNLTPHVLSLLEAATTATTKITAEGEMDMIGGTSKDETRLT L199_003983 MLRRPLSNKNGDPPVTSATGGGFGLVTFKAFKPPSAKAPKRDSA LPARKRKAVNYKGQGGGNDSDSDEDGKPIKKGKFAMGNKEYGEDGVLGDMAKWCNRKF PVFEPKEKTVVFTKSFSIPVMLNPRSSEPIIHALSHASLGARRHPTLTPRPLHDPMAD TAIVLFDPTIDDRPPPEEIDAAKAEEERRKKEEEARGPHKSLKAILGIVDVKKDRKEV KVPVVIDPRLSKVLRPHQIEGVKFLYRCTTGLLAANAWGCIMADEMGLGKTLQCIALL WTLLRQSPIAGKPTCEKVIIACPTSLVGNWANELVKWLGPGAVNPMVVDGKGGKAELI PAVRRWVQAHGRNITLPVMIVSYETLRTLQEELANCEIGLLLADEGHRLKNADTLTFQ ALTSLKVQRRVILTGTPIQNDLSEYFALLNFANPEYLGSKGDFKKNFELKILRGRDAD ASDKDKAESDAKLKELGGLVSKFIIRRTNDLLSKYLPVKYEHVVFCRPSPLQQKLYNL FVTSKDVQRLLRGKDSQPLKAIGLLRKLVNHPDLLNLPEDLPGSENVLPDDYHGKGRD RTVDCSYSGKFVVLERMLAHIRNHTNDKIVLISNATQTLDLMEKMCRNNRYGYLRLDG SMSVPKRSKIVSRFNDPEGKEFVFLLSSKAGGCGINLIGANRLVLFDPDWNPASDQQA LARVWRDGQKKECFVYRFQTTGTIEEKIFQRQCQKQNLSACVVDEAEDTARHFTQDDL RQLFKYNAETACDTHDTYKCKRCRDGKQFVKAPALLYGDASTWNHFPNCELGKMHDDL LRAELGSPEVSFVFQYISH L199_003984 MCGIFGYCSFLVEKDRKYVCDVLCNGLARLEYRGYDSAGIGIDG DTPTSPLIMFKTVGKVASLRKDIAEATATPSPAEANTAEPQKVDMKKVFLSQTSMAHT RWATHGVPSNTNCHPHVSNALTEFSLVHNGIITNYKELKLVLLKRGYTFHTDTDTEAV AVLCKYVWDSQPHKRLNFTELIKTVIKELEGSFAFVFKSTHFPDEIVAARRGSPLLIG VKTDRKLKVDFVDVELPTNEERVDGVEAGGLLAVPNSVADGHGAAGPKLRRSQSRAFL SEDGMPQPIEFFVASDASAVIEHTKRVLYLEDDDIAHIAEGELHIHRLRRDDTVSSVR AIEHLEIELAEIMKGQYDHFMQKEIYEQPESVVNTMRGRVNFDTRQVLLGGLKAYLPV IRRGRRLLFVACGTSYHSCIAVRGVFEELTDIPVAVELASDFLDRRTPVFRDDVAIFV SQSGETADTILAMRYCLERGALCLGVVNTVGSTLSRETHAGIHINAGPEIGVASTKAY TSQYVALVMMAVQLSDDSILKTARRQQIIDGLHDIPAQIRKVLAMDKALQEMAKSMLA KEKSLLIMGRGYQYATCLEGALKIKEVSYMHSEGILAGELKHGPLALVDEHLPVIFIM TRDSLYPKVQSALAQVTARKGRPIIICNEDDETVSDAAKCIRVPQTVDCLQGLINVIP LQLLSYHLAVMNGVDVDFPRNLAKSVTTE L199_003985 MTSSDLPPSGSDGNAHNTNGENVMEDGHTPDQGSVVIAKPDIES TPSEHKKAKLYHVEVPAPAGEGEGAEVDGDVEMYKDQQGLVSTSTLDESTPSSTIVDD SQDTASASSDEAEEPEEEDPSDNNTVQAVPLVEELEKFEEWWELKMTWSGKVFDLRVG GNDMVYDFRHLISTLTGVPPDGQKLINLLPGPKGKLSNEHDAKRFGTLGVKKGQKFVM VGTREEDRFKDKLGVGVQNTDDFDVTYSNQVKGIAPADDPRNKRMIQNIVDKVPITVM NEPREGKKLLVLDLDYTIVDTKPLISGALPSSECARPGLHEFLELVYPHYDIVIWSQT HWRWLESKLVELDMIGGERGYKIAFVSDRTTMFPVFTQRNGKPFEHEVKPLAYFWAHF PHWSAKNTIHIDDLSRNFALNPGEGLKIRAFNKAGQPEGMVDKELTKLGNYLVKIATT SEDFTTLDHSVKMEEKKPPRT L199_003986 MRFFNLFIAVLPLVYAQDPTSSSSRSTSASGSATNSSSATRSSN SSSSSSPTPTLNITTFTTTLTTYPTTTTLSASFEPATTLALTFTLNASDYTSVNESIW KGNYTNGTIPWDGNEKTKPWQEGDGFIPFNIKIDPAFGVLGGLLIISGIPVAVLGGKN RWSSNAISSGYALMLFTLVMVLRFGVEPNIQPPSPNPPSTTLRGLYLLACIIASFFGG AAGIFLYSFAKYWVSAIGGFTFGWFLLATRQGGLITSVLGRWGLLGGLSVGGLVASLP KQTNEWMMLISTAWIGATAFTLGVDCYTRAGLKEFYVYNLGFHDLFPKLYGFKYPLTQ TMMIELGILAAMVVIGAAIQFRVLNILTKRYRKMREEEEAKIEAEEIERAAERFKNVG AELNEWEEKHGNASPTSGPGSSGPTDPYGSLNGEAMRATRESILLPQLGFESARDNRP SSTLSLLRDTEPKGNYEPVAVKSPTGLDTPQSMFVGLQELKSGSPEPEPNSPTGSNPE LEQQMRLLAEVKKAREDIRGSLNRLKASTPAGSITGNDILGRITPTPTLGTNSDKEQR HTSTTSSKLLDFSSDFRSTSAMGNRERHLSSTSSRNLDFPDMKATTSENAQSTPPPPQ SEWEKYLSERKVLSPTSSESHQQQQQQRNYLDRSSAYSSVPLSMGMDDKRDRTTSMLE PRVSDFGPSESARNNGTFPTDKMQRTRSEGLDRPSTYHDYLNDGGNGNGNKLGTPIII GSVADHRGGRNPQHMSSGGQRAMTYDELAERHRKRLSRLQDPVTSKMKEEIEIEEARL RWEKQKKLEKEEMKRKERERFYRESGVEQQGGKGKGREEGIKQAQEWRKSVILSPPPP AATAGPIGPRGGGGNDKRKRASRQFAS L199_003987 MASSARHSRHNSRNTNHSIAISTSSAIRSSSPSPVASPSSPTPN SDGLSRRLSWNRSREDTNLFLSQPPVTSGLATPSRTHLLNPRTEERDIVYATSGNDIW EGTHPLQSTEDLNTPIEDIPLSPPRNRNFGSKQYESETSLGSNSGSDIESFNEDRERL TPGQTYDHPSHSSPGQKKRSPLSNGSSPGKKKRPYDEKGLARSSTLRKVSKTIRSASV RVVNIMGVDRKENDGVERLGSDDDEEDAKQKGRENIGLGIRIPDVGATTTQRPDPRPP EIGLRGRTLGVFSKNSRVRKAMNDLLKYPYTEPAILILIITNVVVLAIQSAPAQNEPR VDDGYFQSWEDYVLLVLFCIFTLEMFARIVVSGLLLDPDRSLRDFLFSPSGIISTVQR RVGRAQTNLQRNLSKSKTSHRAAWRSHNDPTNGSSRTHRHNGGPSMSISKIKEEPKRV IPILPEAPFQSAVAKQKNLALQGRPYLRHSWHRIDMIAVIAYWITFFLAISGYEATAN RHVYIFRALSVLRAGRLLVITSGTTTILHSLKRAGPMLITVAYFLIFAAGIFSIIGVQ SFKGSFRRFCVLTDPNNSTNEIILENQCGGSLDPITLQHVAYLNLDGSRSTISPKGYI CPLGQVCKTTDDNPNNGVNSFDNIFQSLVQVVIICSINTWAPVMYSAMDSDFFSSAFY FLAGVIVLNFWLLNLLVAVVVNTFSDIRAETKRSAFGGDESLLGTDAQWAAENKQRKM HNKVLTIYQRTEIFWVLLILTDMVTQGTKTASSSASMLDLLKNLEIAFTLAFDVEMII RITGHFPDWRSYFLSGRNCFDLFLAIACSIIQIPVIANGGIYQWLTVFQLLRWYRVIL AFPRMKPLLNTFFGSFAGLLNMVVFLFLMIFLSALMALQLFRGDIEGDPIDFSQTFNA FLGMYQISSSENWTDVLYNVMGSEGQFAQNWLSAIFLCGWMLFSFFILVQLFIAVINE NFAVAEEQKRKQQVEAFIRRAEPQSAHISWIDRLNPYRLMSARHNAVKVGTLPPSLVL PLRQNIGADVGSVPNSDGAWGNTAGAKGAMRRLLGRDKEESPIPLRNLRRHTTPKFED LDNDLDDDRGLTDLLPPLNAGPSSDEHMDALRERRNQQADFIAAHPSFDQSLWIFRQN NPIRRFCQACVQPAYGDRIFGRPAHPILQLVVKAIVFSAVVASIVVAAVASPAYRRKY YGQHGYIRGTWFDLTEVALGTVFIAEAGIKIIADGFMFAPNAYLLSLWNVLDFIILIT LLINTTTSLIFIGGLSRMTRALKSFRALRLITLFSRLRDTLHAVLFAGALKILDASIL MVLYLIPFAVWGLNIFSGLLYYCNDDSVSGKSTCINEYASSSIDDSITYLVPRVWANP ALDASKWSFDSFRESILILFESVSLEGWIDVMASLMNIVGRDEQPQNMASQWNAIFML IFNLFGGVIILTLFVSIIIQNFSTRSGNALLTTEQRQWVDLSKFIKAQTPSQLPKGRP TLPFRAWCYDRATTKDGFWAVSFTWIYYLHILLLMMQDFSENILNEVQLDIIFLCLTV LYAIDLLIRFYGLGLRSFRANGWNLFDVVVITGSFATTIPALQAASAGLPGNQVNIQL QKLFLVSISLKLVQRISSLNQLFKTSVASLPAIGNLFLLWATLFIWFAIMYLEVFGLT KMGNNAGTRFQNYYSFGNALIMLAFMSTGEGWNGYMHDYTISPPRCTENSNFLESDCG SAPGAYALFISWNIVSMYIFLNMFTGVVVESFAYVYQMPGGSSLNREEMRAFKRLWAE FDTQRTGYIKRKDFVRFFSRLTGVFEVRTYPIEYSIPNMIRNALPDPIDNASGKLFVA NGVRRAVDIRRLEDQIAQIDYRQVRERRLLFSRLYSEAKISEENGRGISFTSMLMMLA HYKLIDDEKALQLDDLLVRRAKTERVTDLVNLDRVRGLLRTIYWRRRFLASRDERRRT LNAEAEGIPAIVLEPMPATPPLDEIDRNPFHNLPGELKETTPSPPQSRMSSPTPSPEV FSTGTHSHPLSPDVSFNNNASMSRHSPALSVSSSTGNRPTHQSRPSLGRQTSNGSMLS SEDAHYRRESPTEEVIADDYFDSMATSVWGDMMREAVDKEET L199_003988 MSSSLQIDTSSLVVPSASSSTKPSPSVGLTTFPNPFEDEPEQGI LPTLLSKVKQTFTSSSSSTNPTPGGGGSSAVANSSKDKGSPVENSSKPETELRPKPYQ TEAQAIAEAVRKGAQQAALAASARIQDQNQINVPVQSTNGRRQSSGPSTSIQPLSSTT TVTPSVEISRPVNIQATSPRKLPSKALRPPLSTVNSVGSATSSVQSPSILSSSHSQAP TNRRIQAPNERQWKPSLAAPAQVTISPVTSVTTTVQASASASGSGPSSKRPQTPEVTT PFPAGPGYNINRHRSSHHHNPSHGGVPSSRSMTPLHHHVHVNSLSNPVQRVRRSSIAT LPDSPSSLSISTMLAANAELSTNFNYVPGFPLNQDDTRSIRSLGGFVKKHNSVSKIIR RIRGEGLSKHYWMSDDACKECYDCKSVFTAWRRKHHCRICGQIFCSRCASNIIGARRF GQEGAVRVCNLCLKIMEEYRDDDEDDRRSINSVSTSVHRFPSISDRAFLDAAISPEVP YAKSPFAASQLFTSHPNESLTAIDESSVPTRWGGENDLDRPYTPLEIGGGSQLSDSED AHIWTSSRPQTAAPFRRPIEDEQESNHIPEDGVHNEQDASQPQSSPSSPEALASGTQS RAEPVSKKGNMGPPALPLPPRVEFPRTDTMSTDGGLETRVPLTRMDSNLPLIGLRTRL SSRASQGGLTALLDSEKSEGLWRARSHSFAQKPELLSGASLSHFHLMLQQAIARADLP HPQQWHRTLSNLLLKVSTNLQPNVKAGDDIDVRAYVKIKKVPGGKISESEYVDGIVIS KNVAHKAMPRRLVNPRIMVVTFPLDYHRVENQFMSLDPILAQEKDYLRLLTKRIIDVR PHIVLVQPTASRIALEYLLEANIAVARSVKVSAIHQVARCTQADVVASMDRLALEPRL GRCAEFRIQSFEHELIPGRRKTLMRFEGGHREYGCTIILRGGDLETLRKVKVVTDFMA LVVYHLKNEIILYNDEHNIFPPHPPLPIEYQELLDVLKEEETVSHEKSESNATIIQIT DVDQDGEPITPKPADDDDDEDEKQVERREALQTTKQIAQSLQPYLTTVLSASAAIRFP PPATLAKMAELDRALANLRQSIYDEEAAQILQEETKIVEPTKPTGPIAESDSSSTSLS TTVNDNTESTTTEVSSSTAMSAIPVPTAPIKEATRDPYRVLRKPEEVARESALAQIEH NHFEQLKLWQWYTRRFTDPLRAEDYQGIVYLYSLGCEGTDKPCVEPALQTINFYQAGD HTLGQFLEELALNAAQRCTSKTCERLLLFHFHLLVHGERRLQIAMDQFPCPSPGHEDQ IITWSYCRLCATPSPTTILREETWKMSWGAYLEHCFYPPETRAGFTCPHDAFRDQIRY FAHRNLAIRIHNEPVDIYEPLRPSISLQVKAETKVILKNQEYESALHKNTAFFDSVLF RLRSFDTDICPPEKIGSLKTALENMLSRAVADREEMVNLLNRTYKLTPMTDVLALNTV LRTLQDKVVQWDSDFAEIEKSFMPSEKDLRRMTATHLKRLFANQDVFNSLDRNVASLT VSEADEKEVKEDISSESTPAPSEPVTPGLESTDTDNASLSAAPSVLDLNSDTNTPVGG VIREEPNPLSHPPTPSREREYDSDSTISAIRRDSPERSPLRVAESSGLDSDTHQFISR LPRRSRPAPSIADLVQRFNDVTKLQPYEAVEEPERPGSSASVRRKARRSPHPEASDSD HSPRTRPRLRRGRTEQPPVRYREVNKPSGLLSDGDRSYATNASRIPSTYSRNKSLAGP SSEHLRPGLRSRTPSYTGRLSPRSPRSARVSLERPQRSSTEPVSSGQPQGAPPLDTKP RMTGKGKSPRPPEPSSGRTSPGLVRTAARRTLASSSRVTSIARHFDRLSREAERERQK RISMVRGKRARPVGVTKAKVQVFDNLRDAFRDEFDTDSSEADNEEDDMGTDDSAESAG EVDEDGQPKQRRRSSPTKSRSRKNSPVKATKPLEPIPVQPSASPSTESIPEQAETKTD EISISASGTSLATQSILSDTRSEMSFTDRLQIELPSFETSAPLPSHPVTPQLSIDTAD ELPKGPQHPTMSQMSQMSESELSSGGVERSSILKTLTGLWAFRAGDFTPLEYPLSASE HLFADSRVIIRENEPTSIIAFTLSSKTYRDKMREANQSKNGSGNGRTEAFMPDEHHHG NDRASTWDIVSMDEAMDNENDLRREGGTHLKYDFESGASTIFCRIFFAEQFAALRSAC QCEDSFVESLARCVQFDASGGKSGSAFLKTKDDRFIAKEISRLEMDALTKFAPAYFEY TRKAFQGQRPTVLAKIYGFFKIGYRNAITGRYMRMNVLVMENLFYERRFSKIYDLKGS TRNRLIQPTGRINEVLLDENLMEIVYKHPLYLRDHSKRILRTALFNDTLFLSNLNVMD YSLVVGVDSENHELVVGIVDYIRTFTWDKKLESWVKDSAFLGGAGKGEPTIVTPKQYK LRFRTAMERFYFPSVPDRWTKVGLDEVPIEEDGQSISNNNS L199_003989 MQRKALKQLGKVTQWTNEKVFSGEKTNLSSEFTEFEKEIDVRRI GIERLHATSQPFYNQLTKVKPTADPYPPPGSGKDKITYTEALGLVMIDYGDEIGEEYG DGLSKYGRARCRLAAAQEDFSARLGDGYIAGMETALAAVNEYKALRKKLDSRRLALDA AISKAQNSKKDSAALEEEVNIAKARFEEIEEETQQRMIGIQETEEQQIIGLKDLLEAE LEYHSKCHDILKDLYNNWGGNGSRSINTRARSNTATSSRSLGRTAVTRSHTSRHGLPQ SSDDEGAVTTNRSRSHSNASSNGKSKEKKSMLPSFGSFGRKSGLSAVASSSHKKKSSY SKDKFNDSRAALNSEEDEDYGEEPPTRSMSQLSTSSSFYNAPQPPAMRRALTSPPKYT DPNAHYVKALYDYHGNASDELDLTIGQVIEVKTKVSDDWWIGECDGRSGLFPKSYTED YTPSPQTAVPPPMPTRRMLPPDSSSGQRNMPPPPASSTISNKRNNLPPSPVMGFTESE SELESDAYGFSDAEHNQTASLAAEAHPVAIARERSNTIGKKGPPPPPPQSRRSASSNN LLNIGATSSSAHLTPPQPIFGRQRSGTATSASTKIFSPFAGSEDDEDYHDHDHNNTVS NGLSSMHISPQASHGEVNAGQCGICGCEDFTQNVFKSKGTCSTCFHAH L199_003990 MASAQAQQCSNFGSSLDSTCLCPPGFNVPSSQCDLPVCGGNLYN PANAAPGGTGGFGNVSAGGCGCDDGWTGPGCSVCTSSSSCTSSLTRYLNSSNSLSSSS TSALNNTLTCSTIPVVYSASQLSCSVIQSTLQALFPGSSTATITRSLNSSLSPGGQDT LTQAGLLHDNGQVQMQLWYEGVEQFYCQATGCAQNVVAGQGGSGHGSTWTCPEMECKC RENTLFCGGGTSPSQNLTGAINTLAGPLTIDCLASNTCNFKQSFLVALFGTNGLELSS CSFGECVQQYVIDQALGITSTAASGDGLSGGVIAGLAVVGAILLAIIGIIIWGFIMRR KARRDMNANGSIRKSGGVGVEWKNVGYNIKSPSYTSRWSENLYSFLKGSGKRSNSNTE VEKATSSRYPDINQGKSVLAGSSGSILPGGFACILGPSGAGKSTLVDILAGKRKEGIV KGSVGFTQEDGRGSRVRVGYVDQADVLSPTSTVLETLIFAAQLRLPESIPSSAKIERA QTVLSQLHLDHIANTRIGSSEHRGISGGEARRVSIGIELVSSPDILVLDEPTSGLDSV SASRLVRLLKTLAESGTTIIASIHQPSSALYQAFDQVILLSRGNQLYFGRGGIAPKEY FEKKGYRCPEGYNIADYLLEIASSENDLPPQHNGEIERDSSGETSYTSIEQDGNANLD QASESPLNEKTITYPPSPRSNVMLSSRDDNPTATLESQSRIKDSWWKWPRSHCATTFL TQVEILSGREWRNLKRDKTLLVAHIFLSCLVGIFAGGLYYKVGITIAGFQNRIGSLFF LGSLIAFSSLSALYNLVEVRGLFLRERAGEFYSPQAWLLTRVIFDVIPLRLIPTILVS IIVYFMVGLSHDAARFFKFLLIIVEFSMGMTLFNFLLACLFRNGGVAILLSSLCNLFL MTYAGFFVNISQIPPVLRWLRYFSTLGYTLEALSVNEVGSGLQIVDSLNGVRVEIGAT IIMQTLFGFGMNNFYRDVLVLFAFIAGFAISLVFIVVYILRERR L199_003991 MPDLNELLRWSIANSTLPSSQDGQQTAAEGGQQVSSSEGGLTIR YNPPSSATHSGTSALHPSDLAPADLSPASTPGPGTPTGEIPSQTFPLPSTTPKRDDLT TEMLDLILGKSDSITMKEKMAFAVDEKNPLEERVEALDDFEMLIELIDNANNMPILKL WQPLLSLLSSPEDEIVSNALWIIGTAVQNNIKAQAALYIHSAFPLILQSYLNSSSPAV RSKAIYALSASLKHWPLAPQALSQKHENVTVTGYEVLLKGLKDSDKNVRKKVSFLVST LVLQSNDKYDQKELPNEVRNVIEELTKSNTVKEEGLIDGLSKSGIFQESLDQLQHVKE DNLEFEENSLKSLINALKTSGLNDEERGQFKGIWNGLNAQQREDRGLGKDEIESVNGI LA L199_003992 MADPPSPGVSNTALPSAISQSRPTAPDTFHPVPVPKDQKNVVGT IPAPLQHQKDAGPSTYDYTENAPDAVVQAVAIERERERKEGPQEMMVGSWTSWAGGEN DGGITPSSITRTHIRPGSRRASIASRQSFTQDRPSGQFRRQTGSQVDLLQSPSSGVFA IDSEDEDHGAGQRMGRSYSKRSTRRHSRAQSSQVAAPSGGYFSYRPDEHVQAQAQSPA AMDDDYEPSPASPMMSPARPSTTLGRIASYIGFSRNEVEDEEAGLPDGHRRSYSHSRS RRGSGSEASSRRHRTRSRSPSTSEEDDWYGDEDDADSYMSERDPEEGYTSSLADDTSL PPQSRPTSPNMPLVPSATDGIFGEPNARPYDMAEPKDFVSVAVPSRQTVVLPDEDLSI RFTCYRTDPFRNAIWWFGCIVTFGALGLLGRWIPSIWVKFLGKETAFDEAKEGTWLVV ETPYGDLHVIPLQIIPYPYPLSTVFPHHAPTAPPTAGSSTAPSLRGHNGSPNINHTSN GDSAGGGVGGAKDLLPDLEPGKTTWEETMGFLKTMEYRYTKFALDPATGRWAMIRDWR DPKWTSARAVAHGLESPVREQRMVLMGDNIIDIASKSIFGLLVDEVLHPFYVFQIASI VLWSLDDYYYYAFAIALISITSILSTLVETKRTIERMREMSRFHCDVRVLVDGEWVVM DCAKLVPGDIFDASDANLPVFPCDAVLLSGDAIVNESMLTGESVPVSKIPVKDENLRS MSKETKQGSSEIDGDLAKHYLFSGTKIIRVRAGARPPWAPKSEEPVALAMVTRTGFNT TKGALVRSMLFPKPMGFKFYRDSMNFIGVLTIIAGLGFAVSAVQFIRIGIHWHTIALR ALDLITIVVPPALPATLTIGTTFAIERLRKSGIFCISPNRVNIGGKINVVCFDKTGTL TEDGLDVLGVRTIDRQDQRFSELHSEIQDVPIEGGINGKTPLLYALATCHALKLIDGE VIGDPLDIKMFEYTGWTLDEGQSRPIPNKATSTSASGSGSGTKPQSLIQTVVRPPGTD RWKMEDALKAGSKHAHFLELGVIRTYDFVSALRRMSVIVKRLKSNSMEVYVKGAPEVM PDICDPSTFPLDYDDMLSYYTRNGFRVIAIAGKSIEGLTWLKAQRMRREVAESDLQFL GFIVFENKLKPNTAPNIHTLRAAHLACRMVTGDNVRTAISVARECGMVSHSASVYIPT FIPGTGTSEGAQLDWSSVDDERHKLDEYTLKPMVTQMGVTLDGQDPESHDYQLALTGD VFKWMLEYAELETMERMLVKGVIFARMSPDEKAELVERLQALGYTVAFCGDGANDCGA LKAADVGVSLSEAEASVAAPFTSRIPDIGCMVEIIKEGRAALVTSFSCFKYMALYSMI QFTTVTLLYSFASSLGDFQFLYIDLFVIIPIAVAMGRTLPYPKIHPKRPTASLVSRKV LISIIGQIVLNSAVQIFVFVWVRKQSWYTAPNTNVDKLETFNYENSALFLVSCFQYIL VAGVFSVGPPYRKPLYTNPSLVICLLGLGAFSAYVLLSPAQPIALILDIIKLPLEFKF ELLLIAAINIAAAFGFERFGEKPISRLLVAIKRWRFKKRGRGYRAVEREVR L199_003993 MLRINGSNQYISSEQVDQMVPILDQFWNSSISWTEEKGSWVELS FTGSDIWTYGMIGPSYSSIEFILDDQTFGTFTQEQGDLDYHHLLFEQHNLVDTDHTLR LVNVEGEGKRMGFDYAIIQSEKMFNDTSSLSAPTSTTISSSSSSSSEDPSPVPSVNAT QGQTIIASLSNTPALAAQITSLSFCNQINATLATSPESAQAAATSSSAAAALAALPTQ YSLTQLSSLKEVYHFHWNPAAYFVVIFSSIMVLVFGWFIIHTYLKSWVKRNRSGTGND LEAGNGNENGNGKIQSSGERDMMDTMALRIGSPINPKKNGQPNF L199_003994 MQAAIEYLRQRRGPGEDHVIVTEHHTTKIFQQLSTLDITEEDHD GTTSTPISKLLGLTNDNPFRDFERPLNIPRKVQEFCNYVQENHKRGLKEFTRKRLVEE FIDNPFPLYLRPKEYMLTAKAIKRTHDCSEGKKVLPSVYTQLGGKGKFSTLDRVDDEI GEERKVDEDEALNLKIHLSNKQERELRAWLQDRTEKITPHLNHWKGNIEDHPILREWQ EAAESLQDLARVIPEPMFPFSSYQMFTDVPITPDRGGDMTVWEDGQDELGDVSGWADD DIGDEAYRVETLEKFDGRILPTTTHTSAKPLRFGSTGRKSYEDDTLHWPRHEYQPEAD SPRDDISMMESSTYAPHNKFEHPDVEYSPHVYRPTPISSPTSGHIKTYWSPNHDGPYI SPECLDGPAPVEEFRPKWNESTETILKEWLDPAAFQTPSPNLEMQMNNANQSYQTTSH QDQIIAQNQWDEGMSTRRQPTWDPAATMPVKASGSVERHSHAHYLASDHFTHVDGMEG MLANQRDWVATPEVPTPYNDYQPKLATPYWETSSLAPHTIAPELINPSHPIVGSETSL ENDGHAASPMTPSRPRWATQPPSRVDDHRGLDQKESSQLFTKARSANTRTPDQFSQPA LVPVISHKRATPSSTSADQQRATKELAATNKLSLKDIVLAHKASSDNRDKKGKKNPSK SDSFKRPCAPNMFSLDGYLALHQRNDLLSKSGKKYHESKKDEIDKRLEEEERTQWMSD PCIKNPKWYNNAIEHPTNTPREGSFPILVAMSIFQNLPLIRALRSEGFLLVERENKMH SVDMVLSPAIAVLVQDLAKLSYGYEQLLKDVKDSCTKFKKVIVIFETISFAKSEKDPE SKIKVNPPTTDAPQGLATLRRLLPIALNSVRETIGSVELVFAYDGASQVAKNLMWLMD DAGKKTLKKDKKGYQDVYGDRKWLEDEPDEQELELLMTHFGLNIFCAWYATSRYGTAQ RVVTGMDDVQRKKAFEHVFGETAVERFNEEIAKKEKVFRKVK L199_003995 MVDKATHDDIDTELELISSSLLPAERLASSGSGSWPRVIDITSE DSRLSLHINVDEGYRVKDAVQIEVKSKSMGREEASGWKERVGEKMEDWNEEEDYPLYQ ILTIHFLPLLAPSTSTPPMTISPSQDPVPINDTSLKPHHCLLISHHLLSSTKRKDLLS LSSELSLVGFSKTGHPGIMYAIGLLSDLEEWIREVKSWNWLALRVRVAPEPIPEEEGV FEKAGKGKENGARGGKGRGEWVELEKINEALDWLRGRGQGRERILVDCGVGG L199_003996 MPPSLPCPSSVTRAAISLPLLARAASTTSAGTAVTPNKPPPSKD HHVHTYSPEAFPLGYTVSSTHAGIKKKAGALDLGILVSTSDTPCSAAACMTRNVFKAA PVTVTSELLRNSNGRAKGFIVNSGCANAVTGKKGLEDAWSMSNTTTSQLPPSGAQSDK EGTLVMSTGVIGQHLPISSILDKIPELIRTLDDSPKSWLDLSKAFMTTDTFPKLRAKT FKIGDRLIRMAGIDKGAGMIAPNMGPPQPPHATLLGVIATDAAINSEDLQNALNYAVD RSFNNITVDGDMSTNDTILCLANGAAGSAEHGGRETKEKMKELTEKENPEEFGIFKEH LREFAEELAQLVVRDGEGATKFVTIRVKGAPTYEIANAVAKSVANSSLFKTAMYGEDA NWGRILCAVGYTPLSPNPISPTSVSVSFLPPATSSNTTPLRLLTNGEPEPNIDEARAS EILKEEDLEIEIDLGDGNEEAKVWTCDFSHEYVTINGSVSTRIDIVISLGHIGRGRMD D L199_003997 MPAIPQPTSSSRAKHFRPPDPNHAPRDLSSHRPSNAPIPEPSPK IISSKSHREHHIPRRFIGSIPQKTLNSNEVVEKRRRLSELKRSAISHLPFFGEANGSN RDGTSFIGSDSHGEADERHLIREAARRIKIRRRDRNGEEFEQHLELDPETPLEDGSKG KTGSKGKGKDMWSGESFDIGREFLGTIVDDQEEEEEPPPPKSTTQEKGKEKEQRKPIR PTVSTRTTQDSFVTARTEFTSLSTSEGNTSKSTLALDEGHGDVDIGYQVTPQPSLGDN PDLDQEEGDRSKIRNSQSSSIQPLISENAITVTSTHDVLEEPSTLGTSTIKPSPKKIK SNEGISTKLKSALRRPSNVKLDITSTKSAQGNNSSSSTVFAVGKQGKSKSVQFPLDPT QPTSSLKRLGRVQEGNESVQGDRDPVHPMKVLERTGQEAEGTSHQAVEIALEEEEEDW EEVKRPGEVILRDRMIVRVGYHREDKLPGFDEAAQRRNPCARLDPLEEYIVIYRKNQI ELYSNYNYPFQEKIVGHKHLAFVIPLIPHRTSLSIFNPEDVTLCLTTSVYKLQDDVSY LMRSNSSRLGDMRNRIKQSKQIQWLKGHNKGSQVFIMKLGERSRSLDWYWEIWKELNT NGEEGLPDRFDIQIPSLSTSIRLFTNPTGDDEYDPLGSTSQLEKFEKTKVIETCWKML LDSDLNVEDLKRQSESASTSKGQLDLQLVWKNQKNGNLDWIAYGDTVQGKKRGWNLLS GLARAQGETTCRDLQLRSARHRPSLIKLEDGTSLEEPPGIEGYLTRHKDGSTKEQVYI SSHDGNIFVGNMKEARPPLPPSKESSTPSELFPELYKTFIDEEHRRMASFLARCSGCI DLRDIVSIKILPDKTKHQHQPRPSMPQEPYGRTFEVEVNTGGNVRLEAQSPELAKEWV DNLRGLKKYWERRHRVDARQRMDVITLHSRENPFTGTELSNESDDFLSEVWDWCVIKG CRSITVSGRLFMRKDKWDKFRSKYIVLSGGTLISFKIKKKNAFHTRKKRYPLFGAYVY SGMLALDEIPSTSSSDAFTSESRVYQDGLQSSDGAEDTTFCVRLTSPSSSKKEKGWGK KVTQPWENQDLVKDQNFLPPDLSKRPSQLLIFRARSKLERDRWVWAINAEMERQVRSH VKQEEILRNHGIVPDRW L199_003998 MSSNREISVTLYEIKRVENGRPVCDNRPFKSTIQMNEKLETLFQ KWQKDREPETPLKEFEFLLYRRRNDEPGHGGMTSGGPDSGKGVIRLRGDQTPEQVHMQ DNARIYVKRENLQCDVEEEPQMA L199_003999 MSESDHMEITVLELRPDEDGGMIIGPSRSISVGVQEKLGDVFER THGQVGIKAPLEDLEWVEFPFGEPIPSTDKEEGSGGVRVPATLHSHQTPESLRWKSGV RIYYKRKTDKIDYFKAPKGR L199_004000 MGEIHLEVQIEIHEHHKELHILGGDHNKPSTYSTVMAWDQEFGV IFKRWHDENDKKEPLECYEFIFYPLGSPHPIPDGFGPGPVNNPVIVKPEDSPKSLGLS NTIPLWVKNNHHHHQHHEEYSKTDA L199_004001 MDDNIPLKKRKSKYERVESKEKTLVWIREINSFNADGQATLSPP QGPYMLELHLPLSLLFDAWENERKKDVPFSSWFYARYPYNHPTPSQKPPEGQNEPQQL SGEHTPYTVNLQNGTTIFARNLVILPRPIIEPQSPIGSRRGSTPLPYIPQTETVFKPL SPTASVSSSRRERDRDQYDHRDRYKMMDGDDHRDGEPDGHSG L199_004002 MSQSQEPNMMDDGFSYDPNYDYTQASGSQPLTQDYKDDVKFGMD GIEEEEEEEEPISQEDYWTVINAFFDEKGLVRQQLESFNEFIENTMQEIVDDNSKMTM DQFSQYTGVSGDETKRYEISFGQIYLARVNHTEMDGRTNMLFPQEARLRNLTYSAPLY VDIKKRVLQASGVDDPIEADWRPAVGSDGLPEGVEEDKASIGKVPVMVRSNFCLLHNL PDDQCHDIGECHYDQGGYFIISGSEKVLIAQERMATNHVFVFLKAAPSRWTYFAEINS QKEKGGKVAAHTEVRLYQKVQGQSGGVIRVSLPYTKVDIPLVIVFRALGIVPDRDVLN HICFGPNDEALLEYLQPSIEESFAVQDRETALDFIGRRGQHEKAPRAQRQRAAFDILH KEFLPHVSTAEGFESKKAYFLGYMVHRLISAAMGRKELDDRDHFGNKRLDLAGPLMAE MFGHMFSKLREDMLRYLKKCVETNKPFQLNTAIRPNSITDGLKYALATGNWGKRGNTR AGVSQVLNRYTFASTLSHLRRTNTPIGRDSKAAKPRQLHNTHWGMVCPAETPEGAACG LVKNLALMSYISVGSYSAPVMEFLEEWGLEELNEYQHAPQATKIFVNGVWMGIHRDAP TLHSNLLQMRRGGQLKHEVSIVRDIRERELRLYTDAGRVCRPLFIVDHPTQSLRLKRE HIDRLEEAGEQGALAGAWDQLLSEGIIEYVDAAEEETILIAMTSEDLENARRKNSKEE LVKDRAAHDFESFDPTARIKSTVFSKQYTHMEIHPSMILGVCASIVPFPDHNQSPRNT YQSAMGKQAMGVFLTNYQLRMDTMANILYYPQKPLATTRSMEYLKFSELPAGQNAIVA IMCYSGYNQEDSVIMNQSSIDRGLFRSLYYRSYTDTEKMKGMIKAETIEKPDRNETLR MKHGSSDRYAKLDVDGLVSPATNINGDDILIGKTAPLPEESEELGQRTQMHQKRDIST PLKSTEQGVVDQVMLTTNGEGHKFVKIRVRSTRVPQIGDKFASRHGQKGTIGITYRQE DMPFSAEGLVPDIIINPHAIPSRMTIGHLVEALLSKVSTLTGSEGDATPFTELTVEAV SKVLRSKGYHSRGFEVLYHGHTGKKLQAQVYFGPTYYQRLKHMVDDKIHARARGPLQI LTRQPVEGRSRDGGLRFGEMERDCMISHGIAGFLKERMYDSSDAFRIHVCDICGLMAV ANLKKQEFHCSVCRNSTQISQVYIPYAAKLLFQELQAMNIACRMYGESD L199_004003 MVTLSKKDARKAKVGKGKAKEEVSKPKPSKKIVEEELEDEDDDS SEDEDDYGVSEEGIKRLMELVDPEDLDEFEKALLGGDDEDEEGEEEDGEDEGEDEELV SGEEALSDEDSDEEDDEEEDEEDLDNTIVNEKPDEDAISLDGLQSDVSVDEDAVPMRK VTINNKPAMRTITEAIKVTSMPWPEHLVLNSKEIVEVDPSDDLQREMAFYKIALECVP QAKKLAAKHDIPFTRPTDYYAEMVKSDEHMERVRTKLVEEAQGIKKSEAAKKQRDLKK FGKQIQHEKLKQREMDKKSFQDRVQGLKRKRKEGMELGEDGDDDQFGISVEDAIEGKP ERGSGGGRGRGGKAKMPRHVRDNKYSFGGSSRRDKQNTRDSTNDFSFGGGKPGRGGKG GRGGRGGGGRGGGGRPGKSRRMAGRV L199_004004 MLCDPASRPQSPFLRATPTHSPHIHHQSFYPTPSPGQSSSRIYP SYPTPPTSGSGQPTPIPSLPSHQHQQSFSVPPTPSLSQRPTLSHSQSQRPVPSSSSSF VGRPSKPSKKSSGSTNQKQKNVQLSLELKVEKAKGFHAFFVPLCKNLPPPPPSSPVNG HPPLPQTQTQTQTQIQTGDYFGQWNKAKSHDQWVEDISNSFNKQNQHQHQNQSGGDGM EVDAH L199_004005 MFDHETYPSPPTLPASNKTDVLTLNYSPTHTTRPYLPLDIFLLI RSVLITNSQKATAARLMQCSKSFYEIFLPVLQYGQLELKSGIKGEIGVFEGLMMSDSD DEDGQLKQSHFSTYPFPTLSTHRRKLSLLQQCRHLIIHDLPSALSIIDAQRSVGKESP IFPNVEKVFFGSNLILSLAMELDKEGCQDIQNLSEFIDPQEICIWYPSPIAFPAKHSG ISPSYSLYRSLDYVQLDENRFYEIIHQTSSSGVPPSSSTALCCDEIYDKSISLKYFIS SFKPSCITLHNVNMQSIIYIPSVKEYKVFYATSTQAGSPSRCSILKDLWLESLSLKRA VRITEGLPWNIWKEAGIERNFIFIDAELSDHHADEENISMEMDSHSEVEEGEISVAEE EECGKVEKLVKGLLRSSSTWNDQSQAGISFVHRGEVDGCDCCGSK L199_004006 MSISSSTSTPFPAIPSFIVSTILAFVPTVMAGGSTAPSSIPQVD FGKMGTVGLGGSFSGLDWYSSDSPFASSSSSSSSSTQSFSSKGDTLFYRTEDGIFRAL GSTNDGGIINSLCWSSSPDSSNGNGTLFIGGTFTSLSGVSANNVGSFSLSTNTFSALS SGLSGNVNTLYCDDDNSEIWFGGSFNAPTGQGGNVALWSTSSSAWTEPSFGGLNGVVQ SIAPSSDQKSLYFGGDFTTTYLSTSSLNSTSRTNITSQPNAPVNTTTVGQSGYLTPLT ISASASDSAQYQIQAGPSSSLNQDGQNYGDTNGLLCPGTSTWLAQENTISNVNLVGDE YLPATGVRMVNGHENGRSTTYFCFTSLPDYQELNMTYTDPKTGKNETCTDHCPLSTDS SIAAQDFIFTQGTHNLTGFEIQLKQWTGDGAALSSVSLLTDGAYSSATGAGASSTCSS GKNSTVQSVGDWSTKTAATDSATESYLSSSVSTRDPTDAQVTFYPYVGSAGQYDIYVF IPGCKNIGDCDGRTSVDIEVFPLQGGLGWTSTISEQVDYDTKTLVYSGPVDATTDAFT PTLSLALAANPAAPARGNNYVVVADRIQLVLTGITDSTGSIVSTSSSSSNGTSTSGNS ITNTTSNSTYNVAYGVFEYARSSNSSLNAATSSLTNDTETALTRLGFGLDAALNASGS AASSWIVNTIVAANNTVFVGGDFSASNNFTNVISIDTSSGQASALASQGLSGVVNTAA VVGGYVFFGGDFTSTASSGGVALNYIARYDPSLKAWAALGGGVDGYVTDLLASTASTN ELIVMGNFSHIVSSNGTSTGTGGYAVYDVSTSEWINTGIVFGNVSAGAVPSSSSGVSS ESFFAGKVYGTAGNSVGGVATLSTNDNGDAVISSLNGVNFGTSGSAPVSSSSPSSRRR SSIVARASHSYTRSWLARYTDAIVERAHTVLSSRATPPTIPSQTSQAPAVLAGAFWTN SSASGKPTITILGGNFTSSSGDVQGLAFYNEKDGGLTGPSTALEGVVKTLNVIGNNVY IGGEALNVQNVGSGLMVYDLKAGNWVTGGMAALNPPSGSDNVTVNAIRTRGDTNTVVV AGNFGTAGSLGCAAVCLWDSKDAQWSTPGSGLSGGEVRAVDFAGDSSDTLIAAGSFAL SSGDVAYVASYSFTNSSWTPLGTLPGPALAIAVDDKNSSNIFAAGYSTSDGSSYLQQW NGATWTAQSESLAPGSLVSQLAFVPMKSEHDPQGSIESDRMLMISGDLYLENSGNVTS ALYDGSTFYPYLVGTSSSGDLGAGSSLFWSESDFSFKVRHYLARGLVVLVAIAIATGL ILLLVLIVLLLTFCFRRRERKEQNIYNDQKEVGSDGDVDSTHQNVFNNVQAALEASLI GGGLAGAGAAAATNKQNRVSDPSSYNSGAYPIGSDAEEYPQDEDEELEEDGRETTMRY DFDGPDLQEGELPMKAGQRVIIVDDEQSTEWWFVRDPLTGREGVVPATYGELYLLIA L199_004007 MVPTAVALLLFSLTIPSLTSATPLRLVGRQGLFPPSSDNSTSEN NQPNSGSNSGGGGGIALEIIIPVIVILVAAITCIGLVHFRSKLPKFFKSLTFSLPSSS TAALQPISTRESLPRTVTADQLSGNTTPLTNGNASSINDGPTPNTNTTAAERRARRAR ERERNVRRTESGRSVKTLPVYSKEAGDEELVLVRQRSQSSFSSGSYSDEELHPEEGLE GDLERGLLPSHRRSTSMRSNSTVRGHEESNTRDNGLSPVGETREDTGPDTRTPSLSPS DQHQPLPDTPSPETPQRSESLVRRESLARRSWGLTPTYLEAMSAPLVYPSAASVNEDV PPPRNLRTRTSSTFRGLLSRAGFTQQPNPSSAQMMEIRNDRNRTRNRESSTSLLLQPT TSRASSSFAFGRSRSPSTTSTPWESTNSLLISSPLPNTAMRASFDSTTLPRAGLSEDQ MKFLASKEAISVAGKKIEDVPEYKRRRRSRTGGEGLSVDEAAGGRRRGSSTSFDVRLD GGEGEGGQLPSWEMSENTRRNQEAYERRNLNRPPPGGIEDEQLDPLERADQPERENQN DQRGPNTPTNRNENEYEPNGLIDENHELDLPDDSHLNSSRNNDSGNMQTFRQKPIPTP INVGRPDDTHDEIEDSTSSNTFMTAPGTPLTSAPTPTATARTNTLTLTTTPRLEVEPP TPVVSTPPPSLTR L199_004008 MEAVFLGASKGIGYFTVLNLLQNNQNWKTTLLLRKPDVFDKNHL IKPFIENGRLRIVQGDATNEDDLKKLFEGKKVDLVMSSIGAAPQFGLSGIKIDQPDLC TRGSIALLHVLENLPQDVPPRVIVCSSMGIGEAHKDMPLAMRLLYSWLLDKPHQDKKS LEYLFHRSATNFPKMTSPHDIPPESLLSKQAIESTKANFLPEVIIVRPAFMPTEEPFD AVPGPKNKVGVEEGLSCYTIKRSDWVNKCPVVGYI L199_004009 MPPPEQAESSKLYRNTTVEDDDDDDLDDLDDVLASFNTTSQQNN SILPTPPITTTSPPQTQNQETNDNDDNDDFEASLMEGMDELLKQLAGTHPPGPMLDVP PRSSSSSAQSKAQSSSPSANAGGLSKEAEEEAWQKALEMVLSGEGLKAMGLDDHQKQK SNGESSPQPQPQPQPQPQAKASYEETLAKTLESLNKAGSKPNTNGNTQQQPDLSALLA SLGGDPDLLKDLNLNLDEGGDGEGDGDLADVLEGMMRQLMTKEVLEEPMNELASKYPT YLSSPPEGTTQEDLIKYRKQNEIVQKIVGTFKRPNYSDEKDGKEIAGLVSEMQDLGGP PKEIMGDLPEGFDLGALGSLGGEDGCTIM L199_004010 MDIAHSIHSEVTSLSFSFLSTDDVKAMSVKRLDNPILLDNLNLP TKGGLYDSKLGPMTARDVCETCHLSYFACPGHFGHIELPTPVFHPLFMNQCYGLLRTV CLFCHHFKMPELILASYVARIRLLDAGLLTESHEVAQIFAKAMGTPASSKDDEPDAED VEGGHKTISRENAAELIVRVDAYVSATLEAAKKVSNGSTRDAYKDGLVFEERKRLLSE FAKKNWAKCSRCSAYAYTFRKEKAIKIIEYDLSVKHKQSNKMAHIKRKDVLAINSKFS RHRRSADPQDIDEGIEMDSDKSSSSAEEDNAMDVDEEEPVEENDDNEEDDQEEIARTV AKSASGQVKGARGRNERVMSAAEVRAHLRLLFAKEPEICKLLYGRHGLNSNQPTSGPM ADMFFMDVVPVTPTRFRPPAKMGEELFENSQNSLLSAVITTSHRIQELNGRLIEQAKA EKGEAVLDAIAKADGARAFELLLEALIKLQHDVNSFMDSTKNPTVMRQGQLPPQGVKQ LLEKKEGLFRKHMMGKRVNYAARSVISPDINIETNEIGIPPVFAKKLTYPEPVTEQNV HWLRQLVINGPKNHPGAALVQNEDGTQISLDRTTPEQRVAIANQLLTPQGDDHGIGSS TGPPKRNKKVYRHIQDGDVVILNRQPTLHKPSMMVHKVKVLLGEKTIRMHYANCNSYN ADFDGDEMNIHFPQNEVARAEAKFIANTDNQYLVPTSGNPLRGLIQDHVVAGVWMCNK SSFFTREQYFQLIYGALRTEDNYTGRDKIITLPPAIFKPRPLWTGKQIMSTILANLTP RNAKGLNLTSKNKVQNKLWRRDDSSDPAMSEENVIFLDGHLICGVLDKSQYGASAYGL VHSVHELYGPYIANRLLGVLSRLLTKYLQHNAFSCRMDDLILTAEGEKMRKDILDKAS GDGATAAMKYVGLPEGSKIEDPDTAKNLAIRLEEILRDDHLMAGLDAVMQSAFNKTTS KINNDVLPDHLVRPFPDNNMQMMTISGAKGSKVNASQISTLLGQQALEGRRVPTMVSG KTLPAFKPFDTSARAGGYVANRFLTGIRPQEYYFHCMAGREGLIDTAVKTSRSGYLQR CLIKHLEGVRVHYDHTVRDSDSSILQFMYGEDSLDVTKQKHLEHFDFAARNHTSLINK IRPGDIQGKVNDDALSHMKKALKKPHKYEPVLAIYSPSRFIGSMSEEYARKLDKYIDD NKFGYISKKGVNKSSPYASERIPDKDFLRLARARYMRSLVEPGEAVGLLASQGVGEPS TQMTLNTFHLAGHGAANVTLGIPRLREIVMTASSKPTTPTMKLPLRETVSDKHTESFI KQVSRLTLSQVVERVTVTERLSSKASESSGTRQRKYTVLLEFYPQEEYGPEYEITSEQ LHESLAFSFAPRLKREILGEMRTVAKSAQQDLQVGKGLKVKSGADDLAEDEDHDVDHE GNAKRRGKDDELDNENDDEDAYNEKRIKQSKQHEYEEDSNADSGIADLEDFVEKELDE ADDDEDEEADAIEKARRDQKSDDLAELFKLASKYATTFSFDGHKGKSAQFDLEFPANA PKLLLVDLIERTCRAAVVHEISNIGRCMKIFSDKGDFTRTLITEGSNLRGMWALADEL VDLDHLASNDIYAILTTYGVEAARRAIIDEISSVFGAYGIAVDYRHLTIIADYMTHAG GYRPFNRTGIAAKSSPLLKASFETTVAFLSEATLHGDFDDLTSPAAKIVMGKPSSSGT GSFDIRAPTRIA L199_004011 MGLFADIDGQFHRAVDIVQSLPKGGPVQTSYEEKLWLYSLYKQA TEGDISIPRPGMLDILGKAKWDAWNKQKGIDKQEAKRLYVNALLKILRNHGESEGTQN HILELESFDTSINQDQPPRPVSPASSSSSYHSSQASPIAQPSPPNYNMLPPDPLLPPP DVAENIVPPSALTSSHRSLLNLSQAGQSPSGTQSQPQRSIQDSIPPAHVRSRTHSLAG GQGSIHSFRQRQPVPAPNESNYLRNPISNPNVQMPQYSHSPNLPAVKDFVQIHTPDIS NSPYLNIQNPIPPTGHTPITNYPTRIAGPGSASTFNAAPLNLSVNLHNIQTSLSALHE RLSILERNQSIILRKQANADRKRNGWFAWNGNSEGEDELDQLEEDELQNSASASASQH LRNPTAGSRGSNQITVTRVKVRKPKLTIRIILYLLSALRRAVVDLSVGLTLMVVCIVV LGGGWRRARWTLGLLQAKFQRYLTEGHI L199_004012 MVRSTTIFRVHDGLPLAASVDDESTEKALTEYKQQSKLIFRRLN ANSEPACSIESGQYTLHYLIVDKVIYMCICDSSYPRKLAFSYLDELSKEFQRSYEGKI EGVTRPYAFMGFDTFISKTTRLYRDSRSLTQGAGPAASASSSNQPPNQLDQLNENLKD VTRIMTKNMEDLLWRGDSLDRMSHLSTSLRSESAKYRKAARNINLQALIRKWAPVGGI GFVVILFIWYRFF L199_004013 MSTVFYRWGASRNEQRVTFDGTHISVFDLKREIILGNKMGNGKD FDIGVYDNVTGEEFKDDNHQIPRSSSLIARRLPSSAKGRGNAQNYIVGTSAADALTGD HRIESHARQAMQDKQNRIGGVRGSAGTFGSMSKRFDGRDDAKPGEPSVPISTGNAEED AKIAAVLAQGAETWEQMQEDMSAGYRAPAVRAARSNKPSGSAVAAATQKYDFGLQHDK EPPAGYICYRCGKKGHWIEDCPDNEDPGANDRKRFVRVTGIPRSFLKTVETPGGVEGS SGGAMLTADGGFVRAVPDQRQWQKQAAVKPRALTGSDVRDSQPLDPSLICPICKKLIW EATTTPCCKTNFCEECITNHLLENEFECYTCESKVQSLDKLEVNQELRDKVNKYVEGE VERSTKEKKESDEKEKEEAQENGEGNDNGDNPDEIQADGNKIDDEIEEGAISPTKAEK NPRPNLNGSDIDQQSKNQMIGANGQAVDLDNLTPQVINTYLMGAKKMLLNPSLLPPAR NILNQQIALLQTQLLKLQMMNLNNGGNGGAPNVALGQIGNGGFGQQNQFNDMGMNVMM MDQMNMNMGMNMGMNMGMGMMDNMGMNMGMGNQLPFHQQHQHHQQQQQQQQQFGMNRG RGGFRGGGGRGRGIPFAPALTGRVPPRGPNMGMKRGPEDDLSNVNGENKQQKVM L199_004014 MTNRPPFPFHNLRHPKSTNIKHPLPPPPITGGYSQPFNGNHCQV ISLQERECTDNPSDNVIPQKRHSTGVTSSQGKKDNNVSVSVSNPARPIPSTSKRSGAR KMTENGEKVPRPDAASKGSVMRLNTKKSSDSMPGPATTSTRDLSSLDRLPSTSASNPT SCRMGDASPCETASDHPNVEKQPISKSQNPRPSRTFSGSQPSHSSDGQHPNVPSSCLP PQSSALPAQSSALPAQSSALPAQSSALPAQLASRPKQVDVPPRSAGLGPVKITLKITP TVFPSSQQESLARPPQIQTTPNARKRKLGIPDTTQGTSSRRPLSTQSGSNPKHLISSR SANFEPSTAAPPTAPTSLLTGKAVAKSPQTKEIDTSRQRRLDTRNSSQDKTPKHHIPA KPASHLKQVVAPPQSFGTSTTPLPVPSILPPVGKIFIESPQSQTSTTTRKRKLDTSKS PQDGSSKRPKLGLGLAEHTRPRMTTDVIDLTNIDDDDDGDGDVDMQDTDHRSPSTKVK TERVSPRTHSRRSKPQQRSNIQTSLDDPMVDAIGVNPQDDVRRQSPPHISIQQIDLTK PLNSDEIWKIACNTHDKFWTLCSKKLVVSGEEFVGSSEWKRKLKNIRQRHVRENDRTK MPTRSIKQSLPFLPRNLRAGVPHLSRLKFPYLKDKITARVMTPKCFQRFWDEWDNRES NVRTCRELRILVVKLGRFEFDNASIPAVNGPKPDSVTISFYRDGIREPLFSKTFQLKD FEFRPIGMNIHAPFPIEYSRFYGNRSPLSMRATFRSGNQLWKHVFLSERIGHIEPNGK FVTRCEPFTLRTAIRSDQSILRLLPAPVWAFDSATPLQPLPRKIIDKPASSDHIKIRF TDSAGGSHQCFCGLDDRLIEKFDSEEEVATYLAVIHKGDLQVRSKREETDGRQIAHIE LLQIGSSPEEQRIQSDVRRHSVTRENLDVSIAGLVQEPLTEIGNPAAGSPLVSPAPPR NVSKVSEYDVPTDHLPSEQQSLPNVLPITQIEINNALLVPGSRTEEVKEKSSTPVITV PALGPLSITITDAGSATKGNDTVDAIKDRPQVNTIFVESLKDTLSTIPDIEKDAHTMK PTSNGLAGPFMSDRNNEYTDWSTRIDPGTLADLFPALDDVWNGGKIRHLIRDHEEAVI WTRYHLTEGQRFLACCWNRWVYEKGPIPISNRLKYYLSFIEAYGQVMIRAGITREIGD LLQIHWRDKYISLKEMGEALKVWNEISRFHEKLKEARENKQKEKGTRPIARY L199_004015 MTLPPISSSDPQVSSEPRRTTKETHPHLPPGLILDENGKVCKVC NTWQDFAKINKKKQTQSSDGTSSQSQSKTALKGMGMAGFANLLNNSQASSSSSSSSDP TSSPVEVDRSNCPPDTASLGRSTWTFLHTTASYYPSNPTQTHRSQITNLLESLSIFYP CSWCAKDFQKDIRQNPPEVKNREDFMKWLCERHNTVNKKLGKPLFECSIKNLERRWKD GPEDGSCD L199_004016 MPSTAQARPPLLNSIVHLLFSVATTVLLYLISLGPIPQHVGFVM DGNRRYARGLGKEVSEGHGEGFAALKRTLEICLRLRIRVVSVYAFAIDNFNRSENEVS ALMRLAKDRLAELCQHGALLEEYGVQIKFIGQISLFPPDVQQAIKEMEEMTAGHKNGV LNVCSPYASRDEVITSIQSTVRSIHNRELKKDQITSTTVFNNLGTSQAISKVNPGLFK RPEESGKLDILVRTSNVKRLSDFMMWQASEDTQLHFVNTFWPEFGLSDMIPILLGWQQ KQWIKQLGWA L199_004017 MLRRKLSAGLLRPTTSSVLAPSPAPITRPRSRSLASAVLLSSQR NWKNETVVTLKTELKKRGLSQQGNKATLISRLESAEQSSLLGPLPPFANGARGLSTTA SVSQPPKPKRDSTTGPGPATSEDPSVTSTGPQISSQRTEARKVDPIEPEKITVAPGLP KSDVAATKDVSEKLDVRFPGSQAEKDVEQVIPLTPDNFSSGTTTDSAPSLSAPKVLTV ASASTHLEGGPVHGVHESHDAHSLETESSGPSLKDIPSLTDALSSLITAPGRAWSNAG IKLPEINFPKSTEGQKEYKSEKRNLNDDEKRGLYVLAGVIGLGLALGGGKKDKKDKKG LKDKVESAIAGSGIPGARSVKGDTKWEKASGAGVVGHGSRKG L199_004018 MGEGERRRSSQHISRMDNIIQYGRKIALLVLISTAQTARGVDAN NVTPRWGHAAAYIPSPPTLIIQGGKTDPSSSYTYSSSPNTGETLILPLTSSFSTSSAP FTSLNLPSAPTSAWHTLTPLSSEGEGIWKLLSFGGDGGTAEAVQTGSNSAWIMDVKTD GPEVNYTRQESGNGQPMRRIYHSTSSTSEDGQVYITGGLKDDGSGVTFSDVYSFDSST SSFSPLPSLPIRLYHHSSILLPNGTLLALGGAYTSPSTGGATLQPYSRIYTLDTTSSS ASWTERQIPGPMPEGRRGASLVMNEDGSKVFLFGGANAGLGDVYGDSWEFNLADGTWK EVTTAGQGPKARYDHTAVAIGGNQIAVFGGYGDGGPADSTLHIWDTSTSSWITDFTPI PTSSTSTTSTTSSGKSVDPSGISASHIIGTKTKSASGLEHTPSGATIDSSSASPSSSS TSAPTDAGAHSHPLTLPIKISLILGILAVIGLLVGLCLWRCLRRRKAKQAAILASPWP ASGSRARTPSRPYRSREKGGEGLMEQLSPENPIEGGYEAWGLREKGASIGLGMGAIGA TLHSISSKFSGKKEDPYAELHDDPSEEVGGPLRKSSRRIGDGIRLLGPRPQREKSLYY SPEKPVRKASMIRNSRIDMLGGEDMPNYAAGTSSRNVEGEGEDADWVIDSDESGRNWK SAKSLLNNRQSDDENQDPFHDREISFDDDAPILPPLRVRGGPVPTPHDSRSDLGTLDE IASMSNPYSELSRNPQSELSRSPCSNVSRNRLSHNSSLEYHLPSLSPSDPLDLTGLLV PPCDNRYSQTSIPTSARSGRSGRSGQSNALSDAEEGIISEARYLHSQSPTLVSPVETA YVPIKRSESFFRRMAAGGITSLLSSTKSNSSSSQKKEMDIRDPAPQPTLWPVMSSENV NSPSNPSSISPESSNHPPTSWKGDTLDLPSNEHGKGPSLSSLNSAKSMRDMVLVQRET STSSVESEAVIEMERASSLVDQTWTQVHNKDESDGTLVRAEESDSLSPLPSETSTSVI PLRPDGAGHYRSGNGQTTFDDGNSGLETPGEIVFNGADFASPPILPINDFASIPSNSR QKAVDLDTEHDQTLKQSSLRPTPSPITPKRAISRTLNATVVDESSLPPSGSPVPSPLV QHRRPVRDVVNSINKRGNSTPFSLLSPMSNYSPAIDRKSSLSSNITGSSNVTRTKPGS TSSGQGEGEDPFGTPRHRLGPGTSKIQRPVTIHGSPDAGDTSPMSKRVSSSSVDKRPT TMWEVIKKEQLRVVNPDQNQQRKFTGIDN L199_004019 MGIDLRYHHVKKGNRSAPKSEDPYLLLLVKLYRFLARRTDSKFN RVILKRLFMSKINRPPISLSRIVKETKNSNPDNSKTIVTVGTILDDERLPELPKLSIA ALKFSTAAKERIVAAGGEAITLDQLALRAPTGSNTVLLRGKRNVREAVKHFGGPLKGG KPYIASKGRKFEQARGRRKSRGFKIKSTHK L199_004020 MLVEYSGEPLERIIYPFAHGIVDTNTWSRIFDIYDHIHSIGFCH NHPLPLHAANVFYDKATDQYRLINLWRAFNLLEPDKRWDRRSMERLLAAEKDNIEFYK DENRKRINGEW L199_004021 MPQTIHLTIGKDLLQRTHILNPMYHELEAGIELHRTPEVFIKPP KQPRSSDDQSRVLPAGMIPSIESVLERANSNSQTTESSSSRSSPTFLAAERINIVASE YLARTELWDIFQGIYHTKNGQTKSFLVHVTSTCQFPAYRPYNPPDFTGGVERFSCMEV PAVARGEARFYQKYHSGQLDGIIPHYIGLYRVYLP L199_004022 MTYIQFPRSTPASSSSSPGASPSTSYSPIRHSPLSQPPISAISR TPSPTSYAGNGVKPIPTPSRRSCQPTSRGSLSPLTSLVSPSPRYTNGSISPNSSFHTP PHDNIHSIDQSPSSSPSPSRRLSRSQPLLGSYHLSLLHSRMSSAHQPHQLTNEFSISL VSIGKGKSCPAHLRYSSPLEIPFSAVYYDLEDPEAMGSLPTRSTQSQYQSPWTGGVDL EKYYYDFFAYPRPDPHHHPHSHAIDTVTRKDEPPSFPGYQVSPVGQLQILIKSSNSPI KVFLIPYDLRKVPVGGRLLVREKTYCKIRDDMVENAGKGVLKYAIQLQFVCIPSSSSH INRPKPSTSLPYTPSQGHSHEGKSYYVSKSTKVVFVFTPPDSREMMDVERTDEIVEPP TTPTNSGNKRRRSSLAFSPGSLGKTSEEWEMVRMKWFARRDMEMDRSEVDNTGDKVDR GDSTSSKRDCDMGLVVEKPRIIRKPSLISTTSPSPSSLPTDIPKSTLSPLPILSPLPI RSTTSTLHRSRPSTPTSPRPISPHINGSGSGNGNGPPLIWSPTGQRRMRREDGLEEVE LSERLRQMGMGVGVDKEE L199_004023 MSTTASERQPLLSSAQLPSGRDVQNAIPSKGQRIKVAEVSGALG AGKLPSQSQLSKLIQTILESDTLKATGGPNSRTARLGAEGTRVLEDLKGVLRAAKNWG EEKNDDDLLQNFFYNAATADVDVDVSSASASRPSQKELSKDGQRAIESFRTIASLIVT NDTFRQLGSDLILLTRDIFADAASVAADNAKQAAEKTRPSQKEREQGVDFQNLQNKGK KGLKGARTGKLQGDVRESIWDEVENVKQYFDEKLPEGQQKKDELIGNLQKVITQAQSN PQYRRSLTTIVNLFKKYANKAEQALDETQKKSNVDDEDEKVKQAGRDLKAFVEKVSNK SLDDVISASQKAADDVRSDDKLSAYFEELGNYFDRLLYEPGYVTSQRAYRKATSLYDD GQSLIAENPQWKADARELQDQLESIVNGITNDEATNKLVESIEKLGSSLSHAGKVGVG ALKAEGQGLYRDFMDVIVPRLIGLVKEIPVPRVEYKSEDVDLVIDDIRLESVSFIPDS IRFVQHNDLRFTQGYATYASEYDASVRLRVQGLHFSASNIAFWVNLKSGFMPFEDSGL LDIKFGPQGISFDVTLENADEDDQETFFVVKDVQVWISGFDFEIRKNNKWLAAWFARP VIKAFVKRNLTHALEAQIAEYLRQADFRLYGVQQRAIAATNARPTAANFINAVFSDSI FPHPSTSGPVTVGSKGVVKYGRRGEYVLHIGVDEDLFPNKPPSYVSNTQRQKLKAAAS SSTNRAVGAADQFRGKGKQATDQAKAEGEDLTARAKEQKRREEKSEGWRSDAFDV L199_004024 MSPQAASSSALQLNIPQPPSRITTTSPSPSSDPNGHAHTDHQTN GNGNRDGRSLLFDNTPLPNNGNTLTLNSPTTSNFNNRKSLDIHRTPSEFDLSRTPPPR TFAPSPPPPISRSDSFTDITMHVNVAPQRPDGVNGVNGVRISKKYRDESELSRLMRTV DFAARKHSCQRRKDVDQTPYINHPIAVANYLSSTGVTDVKVLQAAVLHDTVEDTHTTI EEIAQLFGTDVARIVEECTDDTSLSGLERKTAQLRSAPYKSREAQQVKLADKIHNLES IRRCPPVGWGIKRIQAYFIWAKQVTDVCAPAHPPLAEKLQELYETAYTRVDGVYHPCH PGVCGPLTEPEKDLVDSRLRELKKGDKVCPAPIFF L199_004025 MELDPLYHVKQLFYQAAIEEALLQPHTPSDDRSSLHRALYIARS HLSSTPPDIQSAKSILSPFLSSSEPSIAARTVDAFASHLAGEDKVDEIRDFVLEVEGS EDEVEESTVRVIASTVFILLGEVEEAVATLNEGRGKEDLECLALLVQLLLSLDRRDLA QSTYNTAKRIGNDSTLVQAIEAWIGLKTGARPLHQSYYFYEELYQLPSGRTPPVLASH AAAHLLLGHVDEAKADILEASQKETGDKQGDVLAVGTSLGIEGYAEKLATNAPQHPFA VDLAEKSKLFDEASSKFAVTA L199_004026 MSNCHPESFVEEVRFIVDTVIDRSFTQAHCKPLRRTWQDCISAF GQLKGLFQNWVDDVYTGDDVDQFQFRLGFDGQVLTGDERPHDLGLHTPTKITATRLFR L199_004027 MSGCANGPTLIEEVRIRIIDLDAQIPIKKGWQGFHPGSNYIWYY FTLSSPNGHTLSGEESCRDLDQHTLTTIYAKRLIK L199_004028 MSGCVRGQSLVEQVHLWFIEYRNNGSYTYRHSWDGCISALSPLR FIFKRWAGEEHCGESIENFHFRLENPTVGRTLTGDESSRDLDVHSGTRIYIARVAGDA E L199_004029 MSGCIDGPTFVEQVRLIFIDKTQNPTHVVWEGCISAFGPLSGIF ERWVEENHPGSPVGQYSFTLDSLQGQPLSGDETCKELDVHSGRKIYAIVWLG L199_004030 MLVKYPVHFVAATRDQNKTEPEFEVTIDVDSDQKLEKAFDTVVK KLHPEDSEKHKYTFLLQSPNFPPIVINGASKPSDFPNFSRDWKVIVISAKAKAAH L199_004031 MSDESERLVTAAQTGSSASDESWPINLTSTSGPQRSDGQNPHAF SSFESAMSATAELELSKAIGKVRRLNRKRKDFWHRYNEHNELLDGIEDELERWTQAGD KFRETLESAQARTPQQQALITEWDSLSPESAAVEEYPIDDYDFSEQYRQLLQFDAERT KRLASAANKDDGDKAV L199_004032 MGQHSADKASSSPVTDPTNPPNYSGPPKPSPPAETSAPSNEGVS RPVTDQPDDRPRMTFTNAPIVVDNTNLPNEGALYCSLCWGCCVINVSMIV L199_004033 MWWPFQWLVIYVLGGLTFIPLVVVFAVVYVYKYGSVPIGDPDPF KVEKAELQGQEEKDEQIGKSKTLTSMGDKPISGWLTVRRQFKPLSAGAGSKNAIATGT SAESADEAEHGELEKEGEKRDTESIITSGSTTSTATTPSNNNPSTYSARIAQTYRSMV ESRASRKEPVPQEFFFCVLKGSVLFLYEDESQSNCVAALGVDQYTVRIEREDGKRFKG KDAEMFSKRNAVVLRVAKGVEKKGLPLVSKDTQVGSEEGKERELENKPIFLFTKSNIK MEDWYLALLEASSQAAQAKTSEVFESRDMQALVDTIDTEPDPIPMRWFNAMLGRIFFS LCRTEALEQFIIAKMMKKLTRVNRPSFLGPIVVREVNVGTSPPFFSKPMLKDLTAEGT AAFEAHMQYRSHPSRPNSHVRITIATTATIPTGFKPYVVDLVLAVVVKSLEGNLVMQI KKPPSNRIWYGFTSMPKMDIEIIPVVSERKIQIGMVLKAIEKQLRDVIAESVVLPNMD DLAFFDTAKMSVRGGIFNESSKIKRGEETEQPETAVPVSESEIQTSNEDPASAVPSTS SLRKRNPLKSRTIDINELGQAEEAPQLGLPRTDTAPPTLSNGTTNRTAATVQATKKWF AQTGSARPPSLTAQTVTGGFKHSSDDNQLRQRSNSSEVQGTALSDPTLVDANFTNSPA IAAVQVSSSTAPLSEEEKRSLEAVQPSDSTPTPTPRGDINIRALQGEPRASDASVAST SSSSTGTIPSAAPHSSTASLISSLRARDKQAIQAQVGTARDSLKKWGVGLAAKRKAMK EGVQHREEHRPPALYRPPEEDFREDERSSASTSPNRSLQDRLNAAAHAGATSVPMTIP SRGRSASSSSRPSLFASPKSAASPASASPPKWSPPSSKPTTGVVRDDISQISNPSTSV PSHTRRTSNTAPVFVQPTSGRSMVVPRVPKRPGQVTGIGHNAAEPMIRKVSTEDGLRE KRVEDVHAEESRAPPALPPRKSKESSRPTQDSAVGDSNSPDIPSPAKPSTPAPSDVPP PLPPRKSSPAASSTNVNTKSGLPQQPDHVSQINDTPPQASRPELAERSISIAALPSID TTDPQFNEIPNIDITSPRTVEIESALSKSPGTTSTAENALRSLVAKNEEALKAKTIKS VPPSPNPDISTTHTIANDHASGVAEDDGITNAQNDGIQAVESKD L199_004034 MPIDMSAATFAPAPWTRAPVSTIYSQELIDPLDSTVPSAVHSPD SSYSETSPDFQSPTFPLDQAFEYDNSHEEIQAEEYDEVREQEEEDEEVPRWGMGRRPS WALSAGGSVISMSPRGSFSSRAGGSFSGSLDSRRGSSISMSLTKAPKLPFEARRKSNP SLLGFDLAEQRRRSSAKSQHSLTRRRSSALSSAMFRSRRNSSLIDAMEEARLRNIASL DLLRRRFSEVVEVTHGYSDEEDDLDGAWDYQAWSSCTEDYDTEYDDDSEIHTESYVPS PDSRNGAFLPALFSNYPLESVAVAPTSVAPDDNGLDLSSVSPSSLLQAPTFTRDDITL ERIGTPPPSAQPNAILRDPSRPSLNRAITSYVAPRTVGVPPGAAPPRPGLARSVSNPH VTTSSSSKEAIHALELRAAGSFPLARTTPLGVSPLRESLRRQSVISDGGESSRRSSLG ERRRSSLVPGMSIGSRRTTRSGSFNGSDINDASRRASLAERRMSLVKESAFRRMSGSN GTRRSSTRKSSEVDTRMKPGRPSTSNESVLNDSRNSSIVSIGEYGYLAPQIVIDGPKV APSSSTSTNPVRSEEIPLSVPGHKLRANAPSSIALPSYTFPSMNSLTSPTSLSPTSTT PNSGPYDPMITPTTSRSYFASESSGSPKTPRGINMIIDRGRPIPSPEYDAAKVLPFKD TSEPKEEVIVRLGDRSSARKVLSIEDMHLVLDDGPSASNAVPKEVNVSGNKPGLKRYP TDIQSPPGSGSGSVQSQRPSLFRGLSFPISNDSVKQGYTFPPHTDIATATTTTPIAAS TTKRDGGRGNESYQFPQSDGLANKGKNKVQLSVQVQTQNSSTLPKNRSITIIEPPSPR SAKPKMGERTSSFTRFFQSKSKKV L199_004035 MGSPTKQHFYASRPSSRAGSRPTSPPTPAEVRSQAVAKLKRAAS LPRRPDGRRPSLAQAINAEHSTVTNHHTAQIFNTQNENTNTLSDQQQQQQHAGPSTLD VSPNPSEPQEVLSPSPVATTFDHSNMYPSPTPGPAMQMQRSVSANSTYHMASPMGLHT PPAADWAAMQLAQSYLPSLTPTGLSPNPYPHSVPIGVGRNTPSPLPTLGELATLQRSN SNAARAHAMSKLTGGKEAPQPEDEFTLSTPSRVNLTRAGTLGGPRMLGLAINKSHAAE APMEDTSPVLTLAEARPRLQRSFTVSSSNMGEERRSAVGRRMVERLAERRAARQKEEE EVRKLWEERRAQAEVVESEQHEDQDDEDQDIPGEEDGDDDDKYYDENQAQEYQNQHSH ENRDDHHHEAQPDNGIPLFTRHSPQEPPQPEFIPARGGDLLAAGAASGDRPISRVTMI STQEPFEYEDHLRRSLSSRTARGAVGTAEPLPSIVTPEHDNQQYTENLAHTDVQVSDE PLLPPKPSYATPTRPGHAPQSSTSTESTIQGSQSPGASTLSGLDSMMFVMGGSSLPGS AGLRPHGNGQHWPQEVHEGSEWGTPAKDLHQATFTDSPILHSPAEFHQTQVPLTEGPV DDESDLTPPSRTTTRTDSMMSWEEVGGKEDQEIRVPTDKTYHQKTGSFSAKLKGSVRS AMKKRSQSRTSITSFTQSPPASPMNLQPATFSRRGSESSTSPSYSKEHSPRHQPSVSS LSASIAPDPQAALLLQHQLSNDPSQVSFLPRANLNDPRIMSAKLSPFPGIAQLERKNT DGTLAPGEPPKLIHQVSDSAVPSQQRATPSVVQESIYALPLPATHPDDRRASADSATK RNWLSKAFGHSTSPRSSGSVSRESSSPDVAGEARTLGQGAQIISSDVDPFAPPPPPQH TLGVKPARHRSASPSVSVVPEVSEEGSRFTRFISMRGENNTPAVPEQMEEDLDQRSKD VLTRMDAVLAMGPDDPARPEILDDPPRKLLLSSQILQVVNTHTVKDRYLFLFNDILVI AKPIISHGIHATLDMKYIVKSIVSLDRLAISGFNEEPTAEPPRHPVVTNFIERFAQDP VSACAYLVERSNPKVDTVTLASLIFKTPELDKAQVGYLLANNDKLMRHFIDRFNFSNI RIDEALRMFLLSVRVPTERTSCENLLRGFGYRYFEANQSHISYDRDLAAELLLSIIQF NDSLYGTFGFSLPNHAINEETFVSAFQSKDPRGLVPVELLSDIFTSVKQTELVQSLDP SEEVGRSKEIAINPRIPSKLSYNTWSDKITISIPTPDPSFKIKLLGEGLVFEPLLLDF ANSSEQSFRLKGTSLGVRHLLFNRIGSNAALYGNLGNTRLFTIERAFMKYTFHVSFTS HLGLKRKYCFSLNDLESKRRWGKLLSKQINLTKQTKSSAITTVQEKIRQTAENVSIQV LRDALIPSEWKSQHQPIDASAMISNGNGCRVDSNNNRGRDRSSASKEKTTRSGSVSIS YTKPLKEEYDLGPLVPTKSTNPPLGLNGQERQSGIMEIQTGKELVLLCRQNSLLPGLL GLLHSAKEGAEDVRSLKNGNEIIERNDSIRNKGLRV L199_004036 MITLTPLSSSAAASSSSEPICYLLELDEARILLDLGQRDYRASS LQDNWEYEEKVRELAPTLSLVLLSHSPATYLSLYPYARARWGLTCPVYATQPTVEMGR VVCLAEVESWRAECLVDEGSSLSANGAESSKLDKGKKPLRGPFVPTVEEIHEAFDWIK AIRYNQPLHLGGDLSHLLLTPFPSGHTLGGTLFKIRSPTSGTILYAVGINHTSERHLD GMVGGHNGPTGYAEGVLRPDLLIVEGGRSEVVNPKRRERETALLDVVTSTLESNHSVL LPCDPSPRLLELLILLDQHWTFKLNPTNKRWQASSDPWPYPLCLVSRTGQDMVSFARS LIEWMGGVVKESGGEEVVVGDLAGGQKGRKKRRNAGAALGSEYGALDFRHVQFFLTPS DLLQAYPLMRPKLVLAIPPSMSHGPSRFLFTTMASTEGNVILLTSRGEDTTLARDLYQ RWEQEQEEASKWGKGKIGKLGGLKGQLQIEMDSKVPLAGAELDAHLENERLAKEREAA HQAALDRSKRMLEADDLESDSESESGESDVNEDDGIMAPVITKRRQDANAFAGDGEDV NRTMSFDIYVKGQQMRVYNRPGEMTRFRMFPFLERRGRKIDAYGEGLDIGQWVRKGRE IEEEGETEEVREKKRQKEEEEEKQKVQPEPPSKYISEQLNLDLRASIFFVDMEGLHDG QSIKTIISDLQPRKLILVRSEQEITSSLVNYLNSVSGVTRDIFVPATEEQVKIGEHVQ SYSITLSDTISAGLTKKWSKFEGYEVAMIDGKIAFAPGSTVPVLESSQLVKPLPIEEE QAEKKVEISQDGDVEMTSEPAKEATEETAQDDQVQVKAEPEEAKDTDVNEVVTTKKKV PVPYHIAKSSIPSSLYIGTLRLTSLKSNLTKLKPSIPSEFAGEGTLLCGPGLLTPPEE KVKSGSVVLVKKIGDNGIVVEGTIGRVYDLVVGAVKDELARVNSS L199_004037 MEVDWIRVLHHTGNARSNKGPKTSEKCREIWYSAGKTIKESSES DRTDNDNDSIVLGENGLHPDIDMTLSNDEACQAALAHLKMFEWIERRAESNLPV L199_004038 MSSSAITSTSELVPSYLSSSRRVSALPSDCSTFSTFSSLSDPSN PSKDSLGSSQAGEYQGDRLLRDTSTGSPAKDARFRNQRQRLQKWYSDSSKSPTIGNNG IISKPNTSRRNSRRDTTNYRRSARSKFSRSRMGPKSKAREFRSLLREFTAKTTLASPS LSAKDHFLSSINTSKYDQKFIDNLWDAASDWAEDLHIRVKKFRINKGEWISTVGMNLD AFKASIATQLLRSDDAKSKTRQRTVERRVEESDGVVRFRDTGNAWNLDRRFKRDDASQ LL L199_004039 MPKATRKKKEKQADFTKAKLKLGKGKKQASNATDTSFKARSIAL PGQQAISRAILNSDGLGPSEPTTANGLTLEDLFIRFRHPNAGVRRESLGGVKEILSID VGRDIGKVLRALGGLVSDDDATVRKALIGLLAWYLPQFPVSTLSPHLPLLILQTSSSL SHIFPEIRLDACKLVHLLLTHVPSHVVGNWPRESSNILEGLRLAVGLGVNSQIGRLTG GAKLVTLRAMMEFVKKGLGQGEEQSNQEWLEGWVEKKDKGKGVEIKVKNEPTFEDLAQ EGWVVGSGWDLQKEVEVSWEVGRLTAQGNEDEDGVLSVLSQLYISLHPLLLSTFLENA PTAFSPSSTSSTPSTEDIPLALCTTTASLTELLARAILTRSSTTISTELKEVRGNISD FLKRMAAWFPFSSNRLNVPTPSGLTAGFELSLVYSNLAVLLAPRPVELIWPKDTRNTK EIGWKERVRVIENTWEEMRRKQNVKGKGKESADEWALEEVASWVVEVLAPKKDILSPQ LTPAAYTAILPIIFSLLIQPPSRSIDEEDIPSTVGDAFLSHLLRTSSTSSIRTVGDGF VVTLTEIHEQRHPRYPFYIPFSNTELRGKFQTWFESLPKVLWELGTKDEGATQQILEF LLRLGLRGKEALDDKYSILSADKFTSISSKLAPFFHLQHPSKGSIPGPWTKLSDAKVK KLGLDVVRVWIEWDDGRLKDATSRAVRDGEWEGYWLR L199_004040 MANSEILHPKAVAVITGAGSGIGLAAALKYAKYGMSLYLADIDE SSLQSAIGKVKAVDGVGEVFATKTDVSKVEDVVELRDKVLEEFGEIHILMANAGISKP TPAFSLSTPLSELQSNWHQVLHTNFFGVLNVCQAFAPIMARQENASAVIVTGSKQGIT CPPGNAGYNVSKAGVKTFTEQLAHELRNVPDSRCSAHLFVPGWVHTGLTGAKTGAPKP SGAWTPEQTVDYMVDKVFEEGDFYVICPDNETNNALDKARIQWNLDDILQNRPALSRW HPNYQARFDDFIAAKQGLSAGARSRSRGRRALGSQDSGFPTEADISRF L199_004041 MPSSSSSTSPKATTTPHALFLPFDPNSPLLVAKGVLTTGTVGAI TGASIGVIQSKNPFALSINMTINLSIAGLTFFSIREYLVSPLLLSIELTPSHSRRLSL LQHGEGGLSEVQRGKLPSLSEVRWDRVSDSAIAGGLTGGVLSAAFRGRATFVKAGITS SLIASILQLSINQARVIRLKTLAKRQSTSTITSPPSPQKTLEGSFNDQNQSQSQITQS FEQPLKNPIPNTDIKLESQKHESNVTFPERMMNSLTKFLPVRKLTNEEYVQTLEKKRM EVDKMLREIDEEEKRMYDWAQSQNGTRS L199_004042 MAPASSLAALMASPAPSGGDATVLAHGQTLPDISLGSLGSSFRS EDEEREQAERAARAGNAGSSALGRPSRAQRHDQSPSPPSSPKRIPSSALHSLSPPPTV RKSSSHSGLPQSNAPRRPRVLKRQTSVSSQSSASGSDLDVDGPLRARYGDGDNTDDEA LLSSLSLAASPQKSSYAQKKAPYHGGVSRRGGRHSVAAGDMSNNGPMTLRDQEKQLEE SKKEVFNLQLENHFLKERLSNMAPEHIEAALKENVKLKLEILNLSKELKKLKKLVLQQ DRDLAAASHSAGGSGSELRELERMWKEEKERRKLAEEALKSQANGDEGLRERLEDTEE SEKIWRKRSEELEDELEHVKIQLEDANEQYQRVQEVADRAIDEVDNLKEELERLRESN AEGLSRSKESRLANKVQELEQENASLQADLSLAKKGALSEADAELLEEKLNELQDQLA AAQLDVESRDREIEELNNELDKHLRDHEKELQQVEEEWRDEVLEARAQVDELKDALDS REQDTKEVHEALRDREEELSAALNKIEDLQAIQAETHDRLEETLRNIENDNREKDGEL LAANREVEELGQRVYELEEALEDYRIKESDLNADLKSADEAFENAKSHYENLVSALKE ARRKLQQERDGALSQVEKVEEMRIEELDRIERERKSEEGGWKRRLQEKDQSLSRLTAE LNSTRDRLAQRERDLSNVENALRSLEDERKKLGDEHTSDRFGLELELERFKRDLNRAE EDLEILKRELEERDAGLRDRDLNLARMLDKQRDLENRLASERQGRLHMSDKLDQTNKI AKQHEKEAIHLRERIEELEPLLTETQHERFALQKQSESQRQERSELLLRVYRDVNRFL GKDDALTPSNFTVFRDTLVQRLKSMIQIRTDFEKKIKETESSVDQRVSALKKQLEQKW RSLDNFEAAVKKLELAKMQWKSKYAVKEGELEAARARNHELTSQLSSQRTGMTTSSSS EIKSLQSRAESAERRAMNLANQLAIIEARLAEAQQKAGQAENKWEARVKEYENRLRVA GEKIKTEKQGGKERAMQLEAQVRDLERQISETRKRNQRVEGVVAHAHANVQNLSPDMN EFRRRGDIGYAFGGRTSR L199_004043 MGQEDEKPKVITAYKEMTEIKKQEQNLPGKDTAMDPLAEFTKLE WWNDDGEPYLQEYRGNGKLKGKKAIITGGDSGIGRAAAQQFAREGADVTIVYLPQEEE DAQRTKKAIEQDGQKCLTLALDLMDEANAKKIVEEHIKEYGKLDILVNNASKQIMVKD LADIELENVESTFRSNILGMFALTKFALPHLKRGASIINSSSVTAFKGSPSMMDYSST KGAIVTFTRSLAMQLAPKGIRVNAVCPGPVYTPLQPASRPAEQMEEWQLGTLPLHGRA SQPAEMGPAYVFLASPESNAMTGQMMHLNNGQWIG L199_004044 MSLPAPAPSLIPLAPISNPCPLVSNTTPNNQADPSPSSKSSSRS ITPPIPGAFTREPVPVPVSSTQVSPQRPSSQRSSRSSRTSHTPSPPLISSQPTPILPM DPKQPISSQDLFGTKTKEKKQGWCRLKWERCKDRCSECCSNCQCEVSFGVGMDF L199_004045 MPNLDFSIQSWGNPSSPKRALLLHGLMGTGAVWFKVAEILVAQG HGWAPHSRPYNVQSITQHLADHLRNQDVPYEVIGGVSFGSSFASSLYSSLPENQKPKR LVLAEPILDYPPFTKEALDGMVNFTKDIPSEESILKANPAWIRAEAVLRRLSLSLIDP EVIVQLSDAMVKGEFSHSNLLPSQSASSSTEIIILGADPSVKTVYPPENSERLEKEYP YVKFGWVKGATHDMHKSDAGVLAKVIVDGFVGAEKAGVAVLRE L199_004046 MTDTSDLRKTIDLLPGDLYVEILKSFRKFGVFGCQIAIIREIDG EVEPLGVSYPMGTHDGERDVVGADIFPLGRSSLFITLVALHKALELKGYDVNTPLKEV LPELDIEGEGLHEVKNCIDILNFKKCSSDEIDGPAETIIEQLTYRDYATFVQEEIFKA CNMKCSKFKHGVIWSNGRDLITERASQIGLAKGTYDGEEVYEIASEDDQYGNLTMIMK GHKTKFIVLYRKVDEERHGGKEDFLACLKLRLIEHFSKLGIL L199_004047 MPVRISSEDAPEAGPSRRSVPPRTSPEMDGEDGMIEEEGWTKET FENRSISKSTHSAIPLLRTTMDKLKEVISRTEEGLEIVKETAIALEDSQQDEPSIDEA ENSFFKALDQRELLTIKIGVLEDIINQLRAGEEYSNIESSYEQLSIPRETEYLGKSKR GKYKNSKEYADFRSALWEVNHTTACPPVSQWLEKGPDDESDDDDFDVGGVTQNYRCPI TLVLFEDATTSNKCGHNYSGAAIRDLVDSARKSRRPAKCPVTGCSAVLDKNDLKPNPA LQKRADEFARREKRREDEREEGDDTIAIEDDEEDY L199_004048 MSSPLTAGFCELVFNSADAPEGVTPTLQVLSVKKINAPGASGQD RYRLILSDGKHFIQAMIATQLNGMVESKEIDRNVLIKLTGYVTNAVQGRKLVIILQLE TVPWNGEKIGNPTNIEQQQQQGNQSTSTSAAPAPVPKQEVGGFQARQQSATGRGGAQA RAGAGGRGKAEVGPLYPIEGLSPYQNKWTIKARVTQKSDIKHYSNQRGEGKLFSVTFM DETGEIRATGFNEAVDNFYNLLEEGKVFFISRARINIAKKQFSNVNNEYEIMFENQTE IEPCDDDTVPQVKYNFKGIDQLGDVQKDELCDVIGIVKEVGEMGEITSKATNKPFAKR DIQLVDSSGHSVRLTLWGKQADTFKADDQPVVAFKGVKVGDFGGRSLSMFSSATMSIN PDIPEAHQLRGWFDAEGRNTQFQQYTNAMNNTSGAGGAGAGVGAKPSELKTIGEAKDE GLGMSEKTDYFTTSATIAFVKQETFSYPACANPEGCNKKVVDDGSGWHCEKCDRKWDA PIHRYILSMNVMDHTGSFWITAFNEQAEQIMDISANELMRLKDEGSDVNSYFQKATGK TLTFQMMAKQDSFNDQPRVRYQCRKVAQPDYASDSAHLISLINSMNV L199_004049 MASTKTRRAPPTNVVMSTPPPQSRSKSMYSEVEKQGLLANFDIE VADKTLYFRSILSRTLASFRMREESEILSIPRELRGMTLAELEKKWGGGWAGTLQKIR RESFEKKEKVREEKEEKEREEVVKAKRKRNGTATTDNSPERGKKNPRRDAPTPSSTRK AQPPSSKTRSKAATSAANRKGKSVAAPSTSKGPSSLPQNHIFNPALPPTPLFASRSNP NRPLTSPLSKSSTRSKPTSSSRPQSEQEEASTSEEEEEENDGDDDLPNPEEIEAKMLS SKTPSSKSTSSSSRLKKKREPSLIFRQSLAANTNNHTHDDKEEVEVDKNGEPLSHISL SDGRTISFNPFNLTPGRVEKELNQGEQKLSKDEKKKVQEQINEQVIKSLRERMERWKV L199_004050 MTTSITDARYNVLHHTPSYTSSLLVDEPPETGWSVMPGYDETLN IPIELEPPRFDADETLPNQIHPSYPYGRPQSLGKKPGGSKGKGVGNLLGTIGIGMSMN LRGGEWTGETGRTGGQWQEWGLEEVKSSGGGGRREVPPGMAGAKRPPSPPSPSLHPNS IPPPRSMHLRRLIERQQSSPSLPNEGFDAPVPNETYDEPSSPQQQQQQQNQPIEQTRN NRNVVATGSERENEDEDGNGQGGSEEDRMDDEED L199_004051 MSRPTTSLISRSARHGITSRSLFTSVPRYYSNEPELPPQPKSAE ALGFRTQPGRQRQLPTDLKINISPSVRKDLFKETSGVRIERQQAKKGRNETKSRITLN PRASNENKDTLDINNIQEESNFFENSDLTSSGPSTSTSTSRKGSLKDVSLDVISESPS RSSLPPDVIRRQRKENNRTNNRQGQGQGQNQRNARAGNRRGASRDTPKLNAREKRVML PKRQLTFEVADHSKNGLFGKNPLLLQSSKSSVIIGLGHPRKTSSTHQSLSTPQFPSSP IPILSPLPSKSSEQAIQIASWTAALNGSIAPRVKGRLEEIVRAQLGR L199_004052 MSTEDYDEFGNYIGGDLESDEESDVEIVPSAPSAPGPSAPAQSY APLEGLEDEDEEMEDDEDRGMQMTLHGVDGTAGNQVVLHEDKKYYATAEETYGEDVEA MVQEEDLQPLSEPIVAPIKVKRFTVQEKDLPETRFDRNFMIDLMKYPEMIRNVMVAGH IHHGKTSLLDMLVFETHQMTYDVDKPIRYTDTHVLSRSRDISIKSGPMSLVLQNSKGK SSLVNIIDTPGHVNFVDEVASVGRLVDGVVLVVDVVEGVMHNTEQIIRHALQEKLNLV LVVNKMDRLILELRLPPSEAFFKIKHTIEEVNSIIASIDPDDSYRLSPERGNVAFAST QMGWCFTLRTFASMYSDTFGSFDVDEFALRLWGNIYFDQEKRKFTRKPADVESKRSFV HFILEPLYKLYTQVLSEDSETLKETLADLRITLKPAAYKMDVRPLLKVVLEAFFGPST GLVDMITEHVPSPIANAETKVRHTYTGPLTSDLTDSMVKCDSQGPTVVHVAKLYHTSD AETFRAYGRVMSGTVKVGQAVKVLGEGYSLEDEEDMVSAIVEGVLIDESRYTVDVPSA GAGNLVLLSGVDASISKTATIVSKDIEDDLYIFKPIKHITSSVVKIAVEPISPSELPK MLEGLRKINKSYPLVTTKVEESGEHIILGTGELYLDCILHDLRKVFSEIEIKVSDPVT KFCETVVETSALKCYAETPNKKNKLTMISEPLETGIANDIESGKVTMRMTNKERGKFF ESKYQWDLLASRNIWAFGPEENGPNVLINDTLPSEVDTKLLSSVRESVKQGFQWGTRE GPLCDEPIRGVKFRILDANLAQEPIYRGGGQIIPTARRVCYSSFLLATPRLLEPVYYV EVQAPADCVAAVYTVLSRRRGHVTRDIPKPGSPLYTVKAHMPVLDANGFETDLRTATM GQAFVQMSFDHWSVVPGDPTDSSIQLRPLEPATGQALARDLVLKTRRRKGLSDSIAVA KYLEDETIIAISASGNADLLG L199_004053 MRDVIRDSYFGHLLRLVHKPHYLRYAEEEDGFVIPKFDQAEEVK DGDRQMINTDSLPSVRGVDLHLVTWYGPKDSENPRNWTLDKKCYVVFLIMILTTTVYI GSAIYSPALEQASEYFDVSTTVGSLGISLFVAGYGVGPLFLSGPSEVPAIGRTSIYII TLFIFFILNILTALVTNFAGFCILRFLAGFMGSPALATAGASLDDMFPSHKLAYSMGF WGLAAEAAPAMAPIISGFVVEHHGWRWAFWEMTIMSGFSLIVLFFTLPETSPDTILLR RAQRIRQKNGNWHYQSQSEITHAALPYRQIVVDSLLRPIALTFTEPIIIAINLYTGLV YATLYSFFESFPLVYEQGYGWSLGVSTLPFAALFIGSFTGWVIYCFWNWKWVDIPYDP AAPRPAPETRLPTAFIGAFCFPTCVLWFAWTAIRCHWIAPVLSGIPFGMGSTLIFNPF LTYLPYAYPRYAASALASNDFFRSMMGAGMPIAAHPLFKNLGVAWGNTIIGILSVAMI PIPFVLYRAGPWLRKNSKIAL L199_004054 MSFPASLKPAARSAYRSMLRASRITFNGDPTRHVQMLSVLRQTF SSPSLTPPQPGSAELRSSPDATFQPIVEEQVEEGEIKKRIEEWKETAQFLRKNVVQGV QDEDGTWKLRVTDETELGDNATIKEPPKLPSTPFPNRNKRRCTD L199_004055 MNTLLISLTTFLVLALHAQAAITGQQYCNRYMCVTGQHDSDKNL DTYTLQPPIGTNIPVSQFGWIAIGFGNTMINTPMVIAWPNSDGSITLSQRKTSNHVTP IVDSNPPRKATLLSSSSFSNSSTTSITFTVPSNSSATNSTNLIWAYGNKNPGSSSSST SSLAQHLASGNTQISLLANSLPNTTTTSGNGTTSVSAGGSTGGSSKQVLIAHVACGGI ATMAILPIGILVPRISRGLTMHGWWFPVHGALNGLIAFGLIVAAFGIARANFTGGFNS THRKLGLTLFILSIIQTLLGILTHWWQPKHRLQTKSGRGPVNLLHMILGLVVVGIGFG TVWWGLDEEWERWSGSGKPNVGWKVGWGLVVGITALAYLGGFYFLPRQLRMEKERRQW ASNVSNGNGHPTSKFVPTTSNNSSLPPPPPPTHTRPLQPPQSVVITNTNTSTGYVPPP PPRRLPPRI L199_004056 MPPRPSASAPGMATKRIKKEIADLSKENLGAISLQPNESNIFNW KAILPGPTNSPYEGGVFEVDIKVPEDYPFSPPHLHFVTKVYHCNIASTGAICLDLLKH AWSPALSLYKVILSLSSLLTDPNPADPLVPAIAQEYKRDRKKHDATAREWVKKYATPK QAPPPPIPTTKASTSRPKLLNRPSSTTSASASTPTPQIAGTRRPASTRDTIDLASDSD EGEGQGDVSIQVLGNSSRSTVVNGTNGNGGERRGTKRTRLNNVPAGGGGGSVGDAIII DE L199_004057 MAGSSVPSGTYPVQFSPSINAQMGVQSKKRRRDENELIAFKYAF KPASITQNTPGQYHVPSGIGGNGQVVFDTYTGIQQVFDVREEHSKARECVLVWDDEAK SFTLHALPSTLHLTLNRSTSRNKAPSVTSSTSSKSIPLAKSSTQPQNDEDDGTESVDT TQTQEEAETLRPKKKARPSAAQTQVAPPVVTRQTKSGKGLPRKKPLESAPIPMLSSSA SNSTSAKVKKSTTKKAKAGTGANGKGRGKKGSGVMVEPPTPTKYKSSEYIEDSDEEIL ASESNNPPAEEEEMDEFANLLGQSLAQGDEFDDEDEESEEEEEDEELGGARLVVGSGS GTSRPVIEDDGSEWI L199_004058 MTTPSTRRKSFSRYSNPSQIAGMPNQPQRPSVYAGPQGQGTPPM ANGRRMTSGPMAPGPGPGSMMNGPPPPHNDHSSPQTAQGPPQRYNQPQPPPHPQAQPP QQRIPSNPNASPVVSSSGSGPQQQPQQQQQPSALINNTSYPWMTRQLGLYTPQTSPPS APQSPFPRYGLSVPAFPSHSGHMLIFGGLVHERVRNDLWSMDIRSCETLPVKTKGDAP IPRVGHASAMADRIMIVWGGDTKVNVDDPQDEGLYILDLRSQEWTSVPVQKGPVGRYG HAVCLVEGKFYVFGGQADGAFMNDLWMYDIKQLSGNTHRWEQIQYTTPAPPRRTGHIL VAGNNGKLYLFGGTDGNYHYNDTWSFDVATGAWSELSCIGYIPLPREGHAAAIVDDTI YVFGGRDVKGKDLGDLAAFKLSNQRWYMFQNMGPVPSARSGHAMVAAAGKIFVVGGEA NQALTDVRDDPTVIHILDTGKIKYPPDTQPPRNPSSVQNHQSPTPNQPQPSQEQLQKQ DTPPKNVQQRVNDTPQSVKRGLPNSSSIDSLSRAASPPGNGERLPPNMQPLGATLPRS ESGDLNQAQATPRANVNGGGPPQRPRREGDEEYRRAMSPANGPVSPSNGNFPGGRVTS PTHNGPASPPSNVKTGFNPSVLGTRSPSPRLRMLDGERPAPPPDAFYYGRSPTSANGF ANNRPSSLSGAQDLLREIKNKEHEIDLGKKRELALKVILARAVQQGFVTGEEEDISLP EKNEESEEKEKELIHKLTDALVKLKQDKATIQNDMLAQIRLASEKAMEAERLRRGALQ EAAFYRAKIATLESNSPIDLARIEKERIVELEKQIGIISLAHASSQKELESVFETVNS VRSLHTAAAERETETLKRAEEAEEAHREAVEEIEQLHEKLLENEQTLREHTEKLITLS STVQQREAEKDHLQTHLEEAIKGRDENIGLIEQAQSALKAAGLRTTEMEGLYEKANAR VSSLEEELAEVKAELEIKTRDAEISEEKYREVENAWTQSREEADSLRAVTTSRLGEIL DSHKEMRADESRYSRGYQDQIKALEQETESLRKMLKEAGQRVDSAESGVSHHRSKTRE LESKLQLLRGELRGSKTKLLNLQSENSKHKEIQSVKDKELKEKEIHLTDLETRSTVLR NLLADHGIAVSDSDLEKEPPSATSQLETQLRDRGRANEAAQREIEDLRMRCEEAEDKV ESLGRLIERLKDARSPTASSMRSPTPTGDSDRRVGELEKKMNDMEKEHKEKVKAVEND YQTAVRYVKGTEKMLKRMKDELNKQKATNTTLQNELDSIRGQPGTRTRDLSSSGRSST PSLLNETNELNRKLSLLQNQHSKLQEDFQASQDVLNARNREVELLRMRVEEYEREIEV LREDLDQAKQRIQTLLEVGVSSGDDQGMGMGMGETEDEDEGSEEASMAFDKFTKELKQ WERSRSPGQGDHDDDETDHDDDDTAHHHIPGSTSSHNQHSASGHGNGHRRHSSEYSGD WVQ L199_004059 MSTSSTTLTSGWYTNAYSGPFRSGRLRAPSLLPGSRRPVVTTIP VQQTLVVDLNHNHTHNHNGNNGAGEDNKNVRRRETIFGPDVGEDDEPGWTENKNQEIG VEKVKEWVERSKNEEGLHATTTLQALVNLKRPTLLLHQIENAIEEGRSSLDKAPEEDI TVAAVTSSPTRNSISGANSSQGHFKRDSVQTQIINAPPLHTLSFKYDATTPLVRVQLE IYPTPRTPATHVDGEGVVEGKESIVEDHDNEPKIIYSGLHQGGFNQSFTLPHTSALDL SDAIIPIEEQQQQQTDAAAAATVAHNQAQNVPVPEPVTTPPGEDSTSGSRWRRGLFRR NREQDLEAATGIEMTNRLDANGQPIAANGETQGEGDNANEKKKTVEKGMRLLIRIDGV GPEGEPLPRKNAQLTHILISGTWVTDNNASTTNTTQPPEQGQLPGKRVWVVKVARREA VIGSHTFLLKEIYGLSSTSTSSTSNTQYPPTQDDPYASTPNECIVCLTSPRDVVLLPC RHLVVCRDCAVGMVEYGAGGKVGRREDAENNTGADATPTGNGNGAGAGGSGSGNNTTA QVAGGTTTQGRERRKKKVKGWYCPVCRQPYTSLLRLALPESSAVDSPAENELARVPSR AASVRTTRTARSVLAPSIAPTLPDGAERMLDALRPDDVRARDNDDEDDDDDENVNRQV EETERPQFVLGNEEDKAETEHKEDINDFTRSTADPKTFDLGQPVSSTTDGDGSRRSQD GKGWKEV L199_004060 MGGGDLNMKKSWHPVLLVNQERVWKAEKSANEEKKMLAQLRKER EEERQLAELQRLQEATTGKKRVEKMDWMYAAPGNEGGALGGQKIGEREMEEYLLGKKR VDEVLARGDKDVGASHKDFIAVQNANSARDTASKIREDPLLAIRKQEQAALQALMNRP DIRKQLKAAKKEKESKEDRKARKKAEKEEKRSKKHDKDRRSPRSDYSDDRDRRHRRDS YDRRRDRSRSFSPKRERDDRDYGSRKRYRDESPTRRDERDRRRDDDSRGRYRDDRDRS RDDRDRRRDDTDGHRRDKRDDDRNGRRIDYRNGDRNDPRIPPPRQYPSESRDAKPHPS RPSALDMADRPTTSSRSQPTPPSANVNGNGQSLDDMRAARLAAMQSSATEMYDQRTKT LAQRAEEDRKESERDEKMRARYGQEQASAGFFKQQSGMNLSETLSRRAGKGLLKDI L199_004061 MSAKQVAGGHKAAINNDSVPQESKEHSKQVVDEIENSGDVETEA AEGDRPKNEGNVIGGHKATLKNPNVSEEAKAHSKQVLSENGVDVEA L199_004062 MLAPYLAPVGVFTFLTLAQNVIADGLFVGCYKNGAFTAQITPAA DDSLSCSTVCGNGGYPFSAFESDTTACTCSQYFPTGYYMTSGTDKACDSSSSLQVRVT KTSFSSLGCLDSAGYKDDGYVDTEVNNPKECLSQCASGFLATFRDTGAATYTCHCAAG GLEMVGDSVTCATNTYFAFYHSADAQASSLSRRTSREIREFKAQQLARRTEYCPYGLT ACNVRGHSGNYECLDVHSELESCGGCMYGQYGNATAAVGQDCTNIGAALGASTCVNGQ CVASACKKGLKLVHGKCQ L199_004063 MTIICIYSLHSGKEFSGIHTSSIFVKPVAPLTPPKPIPLKAMSR SFQQEDEPSSSLECSTFCGNDGYSYSAWKDDDSLCYCSNNYPQEYYLLSGSDAACDSP SNLNVRVTQTSFMSTGCVDSVTYAEDGWNDFLVLNPKDCFRNCNGAYGATYIANSQNG YYTCHCHSDDLVATGDPVTCSASSYFLFYHSQAAQASGLTRRRIRDFREMQARRLRRE IEYCPYGLTACNMDGHSGNYECLDTNTELESCGGCLYGQYNNHTSVVGEDCITIGAAL GASSCINGKCVASACKKGLALVGGRCQ L199_004064 MLIMRLAVGGTSCFAVWASAMFVEVLGLFPSWCLVVEDGKSKPN YIREFGHPPLQDTTLTNLFISSSPSPQQNTGISSRTTCSQGTDAGCTDTAQHSVGLTK TSFDNLGCRNRSGGSNTYTCHCSQGRLASVGDSVTCGADLLSPGAQASGLSRRRARQS KDIQARRRRREVEYCPYGLTSCNVAGYTNNYEGVARGASTCVNGKCVASACKKGLRLV DGQSQ L199_004065 MSTTLVLPGDSIPLPSSSKSVVLGPGLAASSSRIPAPSFDEVPS VISTKLGLMSSAKGKERSEQFWVEGRSKRYIPAQKDMVLGTIIARHAEGYRVDLGSSQ MAQLDGLAFEGATKRSKPNLKVGTFVYARVALANRDMEPEIECFDPNTGKAEGFGELK NGLVVSCSLQLCRHLLNPKFIVLPTLAATIPFEIAVGLNGRVWFKTETVSESIALKRV IEGVDSGDIKPEKGEVDRAVKEYLA L199_004066 MALVSTHAPTTLNPSSMGGHYADTNSEFLNTDGTFTEAAKDEIA SEGYRLLGEIANSASDPESEDTTQIMRDRVRRTIFDREDLAEDPSLTSRLNSWASRDS EGASKATAAYNELMGKRDLFRLALHGVMGPAEKPSLGDGPLPGTWQSASIAPPGHTEY PSDEMYTTEQGSRALVPRTVKSAQEIEDELATGYNQVGIPTHLLQDLRRNGKTPLPMA LTTVGPTSDENTLVPYTGVGDIVDGLNQIDVPTRLTRPSVESYSAPRTAVRREYNGQN VPTALSRIGQMWQSMVSQMDQDRSEAIEWRQDHPDGGMTEGGDAEEVTDDGVRTQVSY RTGRFRNGSFSSYTSISTSGGPAGALPQGMSPMMRSTLPS L199_004067 MSSSIESRIADLLPRIASASGMSASDQSSFRDAVRSLAQFKDYL PGCSHDDMQYFACKAAQGLSVYGEVPPELARRAQVGYDESKVSSQARDVEKSVQSIVS TFANKLSGIDLLKDEGLKRDIDGLIGHACRNQFGQEDESSYYDNGSSSDDELSHCRSS NSDRSSDRSSWSSHDSQSSRNRRSGRYSDSFDSRNSAYSAPPSFATAA L199_004068 MPSTYIPHHLVHAHLPPPPSIPSAIPELRILSDQAVPLTDEDYA EPLHPDHAVSAIHDQSTNILARSLYNGHVLELRSFNPVISKARPRGLDGSEIIRIFFP ERLRPLAQACITVSKRDKRLFVLVVSQANVIYRLNFPLGTFRSGTEDRFVFTTKGNDD WYEEWEVPEDVVGACSGISAWTTLDENTIVLGGGDGGIVRVTRSGYWSSDSGRWIATH HRASSRLRLPSLFSRSANTDEQIISFAQYHHHDHIRVLYTLSRDRKLRTWNASTGACL RTVDVRFTSQELIVRGSQDGSSSTIIEDGSVNMIRVIPHPSSASRYSHLVIAFASTPY SSSSAGAFVVYRASTSSHSVSDLSPAGDKPCSSASAGAELRGFQILPPVKAEGIDGGW KLWATWDKKGSTFCETLTMDDIFQFTTYIETNDALLLSEWQQVTSLNDEENFDAAYFD NILSSDPPNPADPEDNGDIPAAFIQHLFHPGRFSILTLTTALEDYIHQLSRKNQAQQI ATSFASLSKRFGGVVGSQIEMEYSPQTGAPVVDAYRKKLKLDWLGVWSNVRDLDKQAR WPITTALLDNDLLVLTREGVSTSVPTDLVGLVDRLGKSEIDPNQFLQLSEGAVRRLYP ALAPPKARTSAIAVSMAGSFISSILKGQDATEDTGTALDDFVNTTAERLATVTHEPPE TIAGGIWDDFVEESLAEEDRVSVRRILSESSSITRGLDESLNILQQASFPLSASTLDE LSWSGSGNALLTSNIAQFIESRFNLARNVLLVTFFHTFESRDHSYEDDEGEELIEILA RALVIFHRYKVLKWVCDQTGEEARERSKTKRSNKRKVNGGDDVLAEGFGSLRMKEGEE DQGLDSDMYDIGYSLVHSLLARQTPQSVTAGAIDRFLETTSAFVSGINMVEADQTDVG ARKSDLQLGYRILIDGHAQLAGAFTDMYPLSAGIAYVKGRAYLECGVIEEAVKFLEKA AAGCKDGSLSPILPSTSGPNGLSEYYRHVCRVFDDQGADEPVVYFGQLAIQSNKEDIA STKDLWTKVFLASIALGRYEDAYSTLTSLPFLDLKRDFLGQLISVMCENNEVGRLNSL GFIGFQKDVEEMLRFKARNSDPLRFPNYYKVLYSWHIARGDYRSAGEIMYLQGRRFAE GKSSKIPAFELSAMQARSYLAAINALSLVEKRNAWVSVPGAPSKALRGIKRRKVSSYI PEEEFTKEKRPVDIISLADIEMEYTLVLSQLRLSSHIPDLHEHGVTVSPQEVVGLFIQ RGMFDIAQSAASSLQVDMTDLFQALAARCVELSRLSEHHGDFSAATFLQSSPITARLR GSPSALSIKYLQTALSRHDSSRTNWKYRQAVADTLFEMNKDKKKGWQMPVWLSQWEMD RDPEAWIGKALKYGSVEEALGWTIDLVRKATPPELLPKGKSHVSNLPYNLIDRVLAAS EEGDEKEEKNVQQKAKVLREEVQRRIKGLEKV L199_004069 MDPRRRNNNPNNNYRPSPSNSLPPSTNQYNAPPTGQYGSVQPPV NYGVPPPQQQQQTFPPFPPQTGAVSGSSTPPFPPAASAADPRLRPQDPRNRYRPGQTS TATATPTPPPPSYATPPPVQAITTLPIPQPDVKPNGMALASSDGVIQNGGGETHKGKR RPLFCVVCASNNNRSMEAHMVLNKNAFRVISAGTGSAVRLPGPAIDKPNVYRFGTPYD DIYRDLESKDPILYTRNGLLPMLDRNRKVKKAPEKWQELRTVNADVVITCEERCYDAV CDDLLTRGGEYNRPIHIINFEIKDNPEEALIAGQSILELAKAIEAASDLDSEIDTILL AHADKHPHVLLHTVAFY L199_004070 MRLPWMKKEVDLEPTTGEDPKDSNVLERKMGLSKKGAIFAATDV DDDDDFDDEKGASLFSDGYANASIGPAATIIKTYIYPEAFKNRPVNAKLLPAIAFAGI IVGQLSFGWISDKIGRKFGMLLCTGIVFVFSALQAASKGPGAQGTINALLAYRFLVGI GIGGEYPTGSVAAAENTEDPDIPKKTQQRLFVLATNTMIDFAFVISYFVCLVCLWIFG MNHLNAVWRVTLGLGVVPPMFLFYFRLKMREPEAYAKHSMKHTRIPYWLILKRYWVKL AAVSITWFLYDWITYPFGLYATPITAAADPNGTLYTSIGWGCLINFFYIPGTVIGAFV VDWFGPKYCMIFGLCCQAIVGFILSGTYNLLTEPGRIAGFAILYGIFLSFGELGPGNN LGLLASKAIGPTAARGQLYGIAAAVGKVGAFIGTYTFPQIQASFGDEGSYGYNTGVFW LGSGLALVSAAITLVFIPNIRPDAMHDEDLAFREYLEANGWDTSKMGLKDTVSDIEGK QIAAHGKADKELDSNATTAPVL L199_004071 MSEKTAMMSVLWACVSSWYYGYHLSELNFPVNSLTCITPAYPPP SRLPLCLDLDSNLYSIVTAIFTVGGLVGSLMSSWVVEREGIKGGIAWTGYLNLVGVLG MGIAPHWSLLALGRLIVGISSGIAICLVPPYLSMVAKSSPELASKSGQIGTMNQLAIV LGICSAQIAGLLLTGEKGDIPGSWRYVVSISGVIAAIQILTAGYIVAPDEDQKPSCDA PTPIFDERDADVEEREVPREREAGADEASPLLSTSTPSKPTEQLTLHSILGNPSLRGP AILVAAFMAIQQLSGVNAVMFYSTPVLRPLLPTSAGVVGVGITVVNAIMTLPAIFLMD RLGRKTLLLASIAGMGITSTLLAIGLNGGHQTLSAFSIVTFIASFSIGLGPVPFLLVS ELVPPPAIPAVSSLSLSVNWITNFLIAILFLPLRDILSTPIDPRDPQSDRRGEGRVFY VFTGILVVGGLLVWRGLRPGK L199_004072 MSDPNDVWQDREGSPRRGDDGDRSPRRDRSRSPAAKSNGDRGRA EEGASQNQGNNLHISGLSRSVDEAKLREFFGTIGRPVVKAQIMLDPHSQESRGFGFVM LETGEDAQLAIEKLSGQPLEGKTVTIAHAKRGRARTPTPGRYHGVKVDSAPRSYGGGY DRPYQPRSYDSRYADRGPPRYDDRERRYDDRRYDDRRYDDRRYEERRSYRDDRDRRDD YYSRDAPRDAGERGGYREERPRYDERPRYDEP L199_004073 MSSEHGHRQLGGYKATLHNPNTSLEAKAHAAQVLTEAGVDLSND PTVSTFKASASTIDGYHTHTTHDGHAHPHDGHSHNHADGGEEMKHEHHVLGGYKATLS NPNTSEQAKEHAEKVLKEGTEHEHHVLGGYKATLHNPNTSEGAKEHAKEVLREHGAPV A L199_004074 MSDDEFMMEDAADDEEYDFDYDDDDDEEDMDGAGDVENQYYKAK ALKEDDSESALKAFRTIVDDQPEKGEWGFKALKQMTKMNYLHLHRPEKAIETYRELLS YTKTHVTRNYAEKSINNILDYVGGEGKHAALSPKVPLDTLEEFYEATRVACEEAKNER LSTKSNLKLAKLWLDRKEYGRLTPILKSLHVTCAPTNGSSSSDDQSKGSLLLELYAIE IQMYSDLKENRKLKEIYNAAMQVKNAIPHPRVIGAIKECGGKMWMMEKAWAKASTDLF ESFRQYDESGSSQRIQVLKYLVLTYMLMGSEINPFDSQETKPYKNDPQIIAMTSLVTA YQRRDVKEAEKMLKANRATITGDPFINYFVDDLLRSLRTQYIIDIIKPYTRMELDSLA KTLNIARGEVESLVVSLILDGKIKGKIDQVKGLLVLDRFNTSSRERYIALNNMTAQLD HLTHKIEVDKLTREGGGRSGGWAGGLTSFG L199_004075 MAEPPSLPYARDEGSTSQPHSAYPAYPVEAYEPHEGFLDEIEEE HTEISAALQYWAAKRHRGKGKAKEDENDLSTMKSWRLKSKLKTVTAGLFICLNIGVDP PDIVKTNPCAKTECWIDPTQLPSNKAIEAIGRNLHQQFETLNPKVKYKPFLDPSIEET KKQCVNMRRSAKDERVVFYYNGHGVPKPTPSGEIWVFNKNYTQYIPVSLYDLQEWLGS PCIYVWECSGAGNILNNFAKSAERRDNEARAAQAQAGHAEGLPGSPYSEALHLAACQA NQILPMSPDLPADLFTCCLTSPIETALRHFVLQDPLRRNGGLDPNDPRSRITVDMVMR IPGDLKDRRTPLGELSWIFTAVTDTIAWLSFPREVFNRLFRQDLLVAGLFRNFLLAQR IMSAYHCTPTSIPEIPSAHNHPLWHSWDLAVDACLAQLPELLDLEAAREAGQSNIPPL SVYRPSTFFAQHLQAFEVWLQHGGAVPNRLAPRPKKGVPIPRSPPEQLPIVLQVLLSQ SHRLRALILISRFVDLGPWAVHLSLSIGIFPYVQKLLASPAVELKPVLIYIWARILAI DRSCQMDLLRDSGFTYFTQILAPYPQAGSLVIPNANEHRAMSAFILSILCRNFRVGQT ACLGVQVFDSCVARLGEDDWLLKTWCLLCIAQLWADYDEAKALFMQSQRQNELLGALR STAVEVRAAALYAFGTLLGASSAPIESTDAKGGGGTGAQLGLSDIQQLEIEAGLAFAC MMSVKEDASPMVRKELVVVISCVVREWRGWLVSAAWAYYEQESYLSRTERQSNGTHIE EEEEDIVSEALKEWTSNEDKEPHEHQHNLTLLSSFKVLFETLLDLSVDPHTEVALMAS TVVDYIIALLVDSAFTRVKGSAVRNLLKRHYSSNRPRTLSRQVSAAFSEGGKYPNGPL TNSTQLKPPQMQRSNTNVSETGSGSSSLKRSGSVANALRSLASMTGLVVPPDSPDSIN SQKEKENEFMKITQPKIPLSETSGGYKSPYPDHGHERILPSSPAPGPGPNGPSRSRSA YGSALPRSATAGTGGLVKASSVLEALTAEDMERLHFRRSKGSEANTDIDGRFGNNGLP RSHDLGLGMVAKEVKDDVLPLRSGFFDWAMEYFREPQMKAPDADEPGSTIYNEQAWKH LRNEQMVEDSRAAEGYAATHVWNVEAGTLQNDSWPLQLAFHSYDPVIAVTDDADNVCI WDWQSKRRLNKFSNQNIPGSSISSVHFINEMASSLMLTASTEGSIRIFRDYETPNETA LASTFRAVSEIHPVAHSSGVLTAWEQQKGHLLVGGDMKVVRLWDATVERHLRDIATQA GANLTAIASDEPEGNVFVAGFGDGVVRLFDKRAEDAGEVVLRTWRQHKIWIQSVHLQR GSMRELVTGSMDGEVRVWDVRKPDEPLYTIPRRDEGLMALAVHTGASVLARTSGLTSH STRQELEITGFSDPLHPIRLAKLNLPTSPAYNNPLHSHRINGFMPSAASLVFHPVEMM IAAGGFDASGTVRLYKCPTPKSAIATWEQMNGNGSVSNFN L199_004076 MPIIALGADEGLSALDSVLNEKSPIDTFDLTDSPEVNQFSPKSK DDARLGIDTGLKQSAANYPTPTSPIRTPAPPSHPHYQHQHYHTYQDVDENELQNSQAG PSHPHRAIPPPPNPRRHRSGVLMSRVRAASSGSAIKFTSNPHGSKSHGDLASFGGFTS LDDDEDEDEVDDEVEQLDLAEDQPGSSSRRRFRSASRDGWKRHVSDPHRKPSVDEGGE DNVWDNSARYYHHLHSRPPSRNGSTANSPEHSPVLRSRSITSPHTSPIYVRRNLTLPT NGPGPPQPNPGVSISLSPNQHFLQTHLPPTFHHGGSSPEGSIPEHHGPAMVFTPTTQE WRDLQTTPEWQEIHGTGNKDHQSRDMEPSFSGSSSEDEGKGSVDSASGSGQGRSRSIS SSMLLKPDRQNSPQRSTHKRPALHTSVSAEFLGSEKQHTPDPGSPVLSPADERQEIEF KTSRSNIPVFNPVIIAPSPIMPVADKVATSIDDSPTKLSTHSTPVTPVDSTHDHDAYH HKAFDDDEDIILADPHADPPARFASIGRRDSLRVVKKPGDAPPLPRTKTKRELEREKL LSMVDEELEADKHSPPLDESNGWGGGVQVIGSGLALGSTPPALAEVRIDPSDMTTRST SADPVLHGKPEPSNPFENTFSQMKSISLPGKPKAPLSIPSATFKPSPLQASPVNAPSG LQTPSSSATSPAETPNNVTSPTPTVPGPASLASIRDYAKSISRSRHPSVEKSLGKDAS PPMSPRSPRRRDTNRVSLVAGRVVQPFAIPPSTALPPQTRPQMDRNPSLQSFSPFRSP APESRPSSANSIPQFPRLDSTISIAPSTLAPSECPTPTDETAGGIGGRGIDDYVILKE AGKGAYGLVMRAKVKGPKGEPVGDEVIIKYIIKARILADCWKKHKVLGPIPVELHVMD QLRHLLYHKPNKPLPWDPSRARHDESPALPTADSPRSQASSSFRTPESNWSSAHSDKD ADSFVSTQKYIAAEIKTSPERGHPNIGKLLDFFEDREFYYLVMPRFGTGLDLFDRVES SPTGLEPFEVRSLLGQLTDAVRFLHANGIVHRDIKDENVILDGQGRCQLIDFGSAAHW RPGKRWDTFSGTLHYASPEILRGEMYGGKEQDIWALGVVGYVLLVGETPFSELPDEVL EGLTDPDSRAVQVLVERCKNGHEDEGLESDGGGRLEDAMDFVKCCLELELGDRPTAEV LVDHRYLVGNGGWTGKKGWVGMKK L199_004077 MPKDEITQPHPGAGTLYVYGTGRGSSPSGRGRGSRNRSSGRGVS PFGIGLPLVKWDELVKHHEDPVIAPNPDHLLKHGITEYTYLHSSSGGVLGKGKFSTVY KVLGADGSYYALKHTPLYPHHPLISARLLREPTLLAELPSHPCLIGVEGWVRTEGHFY LIEQYASSHVPLPAHSLPLQPSRAAYILDQLVSVVRDTLHEKGRVCHRDLKGDNVLVD VETGEILILDLGLATRFSASEPKLTTCCGSPAFHSPEIVQALAKPPGEVTYYGPELDI WCIALTVLSLLLQVKFPLGPKHTSPYVMRERVRDRLQELDEMYPPHSPWRPPKSSLSS DLDLDFEKKEWSRVRRAMRDFLDIDGKVRMHKFGLYQLGDKIKQRVSDWDEKEQSRRF KSTSFIESEIKYTLPIYLDDQDSTNTHSHGKKGKIKKDQIVLRNPMGESERRCKSYIK YLLRCAGILYHLLPTTQPPSTPSTPTIPTNITTGKEDTVFQLVMNVPYDTSSDSQPNT PSDPQIGWFPSLFSFHKKPPPLNSAPTSPQQRSVSLPPSRRSQNPTNGSQVRSRDKSP KRVLRCYIKLEFVHPPQTSPILDKRRGSVETFTSYRDGWGSSFTPLHPVTSTTTQHTA TTTANKNYAFPARSVSVSRPPPNRPGYRRSVSHAPSVHPRQPLTRVSTTSSIHTAPLS PLSRQVSLSDSPPEVTPGQLQPLSRASSRSRQSSLGYAYHPHHNGVGPGGHNEGKIIV HLSDPRSYPILKKALDIKPNMNSNNNSDLNLLSPMTIRRPSLAPSAAETGAETNSEED EREVPEERGRPRSKDSNHSNSSILQLQQIKSRNSIKVKHVPLPVISPEMGDQIALDVK HVEVDHDKEKDTKKHKNRGLLDVIFGTTRSSTRDDESSPSSSGLGMSLGTGYGRAMRA KSVPPYRGVDELI L199_004078 MAENALPLDPYSSDDDEEEDAAEVARQERRVERENLISEYGGLT SSQRDVVRDPSASVGSAILAFSRDPPSFSRDWEEDSIWGIIDYDQLTTALQYKGLASQ TRDSLLAAPIQSFLDERWRTKYAMGCKSFLTFYSRRCPQSTQDFWLPRRESMNLDLND SRSAEETLRIQFARSPSGHTESSEEGKELYALHIKKAKWEHIAPW L199_004079 MEPLADFEFNLPEAANEASYLLDDCTTLEFAPETGADSLVFAED NLDHLTRQLRAEAIRNSSTTIEQVREAFQMTEDEEITVMENIDYDNYRFPPLYEPLNF LEMKAALGDGNITDACRSAIITVLYQQHFKEELVGDRAYMLKTFSDPSKWIEISSEER SQIVISENMPSEDDIDTPTYAAKQITVSEGDSEGATTLTAEGIAVYCMISARKFAEDL HRDISNYTYSTLSDVPQEVLSMVPTRTVPQISASWDSVHRAYEIGLNQKTRESILNAE RHSRLETFRNWPVTTL L199_004080 MTRSHAKNNTTQSTLSYYERTLLRKDGAARRLGRDSFKPLDACY LCLSKVNDPVSCSSGHIYCRECCISDLISQKAGIEAKKREMERWEESERLEREEAKLK ARERVVSDFEKGMSLGGSSTVKRIPFGEDKDKSTTKSKFELDNNAVEKVAKEAEEKAL RVMELEQSESRKAKLAAFWLPSLTPEAKLGPLKDVKLQTLCHVGGSPHPISRKTLLPV ILTYPPSSTSKPICPTCTKELSNATSSILLSSRQPIHPADGDGDEGRKKKKQKKDKEE AYVCGHVICQTCSDTIVKPQGRCCVCDAKVEDSGRIPLGKEGTGFAAAGGAEVKREGV AFRV L199_004081 MSATKKALKSIKSQLEEKNSEAALYEATNLLKSIGEDAPEAAQV LIFRGLALTQLQRNEEAEKSYIHAYKLQPSNPLASMGLKRLYEKNQQWDKLGQLLEVL VQASYDQNDGQRCASALQELLEVRAKYGPPEKLYRALDQLLPSSPLVPLLQSVCPPSG SYVPFPSPIYPPSSAAIPPSLPTPLPHALHLVASLPLLTNLLVRAESLVHTTTEQKVK VGRQRLGAGPEKEVRRKVEAEVLGGELGMRMVELLKEVGSHPDVAEDVRREVEIREFN FWRKLGASLPSKQAVKPDAKKTPSAKSNKEAQPSKTSSTDLPTTSLFSAHSYPTPDKD EALSRTNNLANGFVLLGITGKGAEEGWSWVLEGKDEPTLFYDIELLHKYAKAFPESRM TDFIDDYCRWFKLPLPEPEEEEETPQPANGEESFAQKANKKTKNRRGRNGMNARERRK ARRAAGKEGVLSEDLDQEEREELVSGMTKLVDQLPKSIFAHRVMARISLQEEDWANAI AFGDKAKALVKELENERGIFLPNVRASIDTTLGLACVPYFAPKHHPRAIRLLDGVLKS HPDNKEARFARAQIHQAAGQWSYARKEFQTLIDAGGDENDVVAAKEELGWCLVNEGEL EKGREILEEVVEIRDARKEQDGKDDEAYQRARAWYRLGRTEWMIGDDESRQHAEEWFM ASIRALPTFPSSYTSLGICYSSATPPDEERALKCFQKAFELDATETEAAHRLAIGYAN EDEWALVRTIAMRVMEGEGGLDGVAGGEVMNAKGRFAPQNGWAWKALGSTEVHYKNYA KAAQAYQIALRGDPTDVSMWVMLGESYVKCGRHNAGLKALNHALELQPTNWRAYFNIG DTQAQLGAFDKAIEAYEKVLSLTDTQEIGIVAALAEANLSLGRQTAAGGFGQRSRNAL HAAIRLATDVLRDGRSHRAWAWKTIGDATFELSNEESSLEEALSSSEVVQPVLQHLVE DDADRRSQVEGLGHAANLLQTSPDLGYTIKTSIFAFAYRAYLLKNEPRVADPALYDLA SSLHALALRLPDSESKTSCLKAAISAIRLALERDAGDERLWNALGVICGTAGPQVAQH AFVVSLELYSKDPIVWANLGYLYLRLEDAELANLCFLKAQIIDPDYAPAWFGQGMLAD RNGDKQHAKALYSHAVTLSAGSLLEADLALAIATFERFLVPGAQIDSNLLHQPAFALR HYCHQRPNDFAAAHLYALICERLGLADEAASSLERAAAVLEEEFERTESADIESRYAI ALCNLGRVQLAAKKYPAALDTLNNCWELISDSTDGTIVSLKAQCRLLQGLVHYWLRQI DESLEAFQNSLDEASASQDLKIKEEVAVLLSRTLWGLGGDDAKETAKSNLMECLSQEK PSLKVISTLAAIAVISSDPDLVEAAISELSSRPVESRVKEDPSGQSDLVLYLHAIVGG DTQESHKVLERAVQASPTNVKSRNRLAEALIQVGRSKEANDVLQISGRVEDVESKARM ESLRGTAEILEGDEGGTSRLQKGVMLAPWEEDGWNALTWGRRVVAEAETE L199_004082 MLGAYTYISSDPGQLDALRDEVVRSRETTHEDVARVFASQKYDR DTFDLYFGGLDWDTLIEKYHDTSTTVEARPASIVALLETAITNQLVTVVRAHATGQAM AKWHDPEYSDSEEKKRVVCAYGLDHRDDEGALKVLLWQTQGDSTVPILSEYGKEMLAI DITRRVQDTIYDGRSSEQVISRLPSEATEMLPEKARSHAEKAWRLRSLAYDSRFSGPD PMSPLVLDEMMRVQEYKHWDKCREGIPITSDEPSHGTSSKVNAQLPAYDPGDSSFVRS DEELPTYAEIEQALSRFTPTDMDKKRIYEMRKQYGGKSSVSEGYLHSPPTHRELSALD LTMTEAMPSASTPASENGGSTGRSQRKHSKNWEKFSKIWKSCFGSIEDTA L199_004083 MSSSKSSTSNFPSRSLLEPTSTSPPTSASSMPNPPSPKVKERIA HGDSSQNEEKRCKHEPSAHDESFEDAEVVKDEKAVKTPSNPKNHRTDATKKIVKEPFR ERVKKPQGARINVKPLSKAEIERRKKIAELMRARKGRSKSDD L199_004084 MGAFDGAFDNLRGNQISSGFWGEHTSTIDWCEKNYVHTPYIAET VNTLTNLPSILLGLYGFFSVLNNGLPKRFAYCYLGLSLIGIGSFGFHMSLRWEWQLMD ELPMIYVVSYAAFLILETSPGLEFRYGILGPLVMIAWDVFVTTSYIYLPNPVYHQIAF ALILITTTTRTAYLAFKLPPNHPSKVKIGKTMAWGVVTFAVGFGIWNIDNIFCNQLRV IRDAIGPLGVLVEGHAYWHLMTGYGSFLIFTASILLHLCIKVSPDAYTFDEKAIFPVV YPVKSDDRRKVKQNGHTQ L199_004085 MADTSIHIRRGHPIFFGLLLFFALIEGCITAWLVSRYNDHDTYP SNSIRDRLKFLVFVSWWTVFFSAAYIAAFYTAFLSFVASIASHLAWLALTWIFWLSST AAFTAALGGGQRCGSSTLTYCSQNVAAEAFGWIETILISFGLGAILFIGIGAIRRGDR LSGELA L199_004086 MLSSTRQACVRRLTSSIPFPPALPAESSRQAIIRAAAIAQAPIR RVHSDSNPTSVTRRNSKDSSSSTTRKSRGISSGMTSNAALAFAEDPRSSRYDRRDESI GITSDDEYTLQPNSDPPTPEWLDSWLYTYDLATLPPSPLPPLETFRGLVVSQPLLALL TLSTLPQSDLRLIKHHELRSLMHGCNRVLRERPVLLSRLNNDQVLKSLRILRAILFSL SGGNKGHENLNQGNYFRGKIVRQFLGLCSRLNQLRLYKSVFQDRLREQLSHPDEGVIY FDNIVEDLALTYRWKLIIELFNPDSFPRQYYTSDILAYYLQAHFGIFQSTKIPRLFQL YQAFDLQPTAEAYNHLIQSYLEIGDLPTARDTVREANEKGIADHQTQQLSILRGYRAL GYDVDIENRVLSDIERLNIPLSARLLNALIRLRMQNGDLTSAKYLAKRFDLNDWTGIQ DTSMSKRSLHSGHIKVKPNLATATLIFDLYSQTGDIEDLRSLWRDMKVGQVVITDQTI TTLLRALNGLNLLDEAVSIFEPSLADNEWALPDGVKPDIKSFNYLIGVLGRQRGLKGI ESGLALLHEYGVRPDDLTLKIVVDFVRQSIHHTPTELANWVERIMNSSTLKPTQTLLD SIIQSAIKSIARTSKTSPKDNDLLRPSTSSNTFSPTAGINLSPRFRQSLEGILNALKS VDTTSGSRSLVNRLRYDSMTSSRISNLPSARIVWNSLIQRGYKPDQRHFVALMQGYSD AGLMYQAQDLLGLADQVGCRITKSMLFTLLVGWGKIQRPTESRKIYERIRLMLDPHSK RRDKQTELEIITAMIQAYNNSGQYSEASLMCYTDLRELDIHLDRKAIVVSSQALRGQG DLKGCLSILEKYGPALDRITRKIVRGVRNYQRKKLGLPVNSPIVSSSTTTNTFTTQEH TQLESWKEIEMMIDDHKHDDRHYTYRQLEKLKADQEILDLSERLLREDDLARPIELRR MTRLNNRTNRALKRALLGEQAVKERNKDLIESRRIHRSDEKRGRVRRRIVRSLQRRLV GGLSTVRIGEVGQRAWKERKNRRRYKTRMNEE L199_004087 MTDNLNLPAEEVEIPFSILDTDLYKLTMQNAVLHHFYDAQVIIK FTNRSPQMLFNRECFDWVKGRVLRLGELQLQSHEREALKSSYPWFSDEYLDYLGSMRL DPINQVELCFYPSKDSEDGTFGEIGIVIKGPWRDTILYEVPIMSILSEGYFKFVDTDW NYDGQFELAKKKALDLLSPPSGVSPLIFSEFGTRRRRSFRTQDTVIRGLIAGYEEWKS NGGNGGLLAGTSNVYLALKYGLKPVGTIAHEWIMAIGATYGYKEANARAMDMWEEVYP PGPGGAPLTMLTDTYTAQAFFTDFISNPERALRWSTLRQDSGDPFEFVKSAKEHWKII EDKAGMVRKDDGEIGRGKRVIFSDGLDVEKSVELQKGCDEIGIAASFGIGTFLTNDFK KCSNPSETSKPLNIVIKLNQIDGKNCVKLSDDKGKYTGDVEEVKRAQEELGLSHEHEE KRRG L199_004088 MITYAPKTFTVSRLTSLCRQSQSLTQGLSSSQSTKEPYNQPSVT IKTFSHRTQFTEGVLRNKIEKARLAHLKRHGKL L199_004089 MYEATVSAPVNIACIKYWGKRNTKLILPTNSSLSVTLDQDHLRS TTTSRADESFAKGDRLWLNGKEETVKEDGRLGVCIKELRNWRKIEEDKDSSLPRLSQW PLRIASYNNFPTAAGLASSASGLAALVASLAKLYSLPQSASQLSLIARQGSGSACRSL FGGFVAWREGSAEDGSDSLAEEVAPQSHWPEMHALICVVSDAKKGTSSTSGMQRTVET STLLQHRLKIVPGRMDDISKAIQSKDFATFGEITMKDSNSFHSVCLDTSPPIFYLNDV SKSIIAVIEELNRSSGEIVAAYTFDAGPNAVIYALEKNMPKVLGVINKFFPTSEETRD PFDTKAAELPEGFNVNVVREGGWEKGAVKSLIHTRVGDGPRTLGKEESLLGENGEPKV LA L199_004090 MSITQSQPLPPRTSNSNNTPTPASSKTPLPPLAKIEKQYRKFLA KRYISFAWRSGVWISVVLSSSIVLYTGSFGKIPFAILLSLPVFIALAILLRTRKSYIT QPPKHLPRPTLLGSFSSSIWNERSITLLFSYAIFSLTISNVWCTLVGSDELALFSPTT RHKLALNERRIVLCSSNLALFLVLGVKDVLGDKLKPVWPQKKIPFARAVQNSISDSLT FDTSNSLSISIVWSIVVPFAYRIVLRGYVWQWVNWRIWALFLRPFIGSFARPSTRAPS AWTLTPQSLVLDLVALVVLQLPVKALMPYLVQPLHFDAFYKKSPLTPERYLITALKSQ DPYYLQFTLMELLRISHIPSHRKIFFSDASKSPNLIVELWQELLLQLGLINSKLSSSS TPVPRSSVPAKPSVPDPRAIPIKQGDIFRPIAKKQSTYGLKEILDGPIRSTPPAPEPI AKVGNLAIQKVEQVQSQVVHRIEATPIGTTALGEVRSYRQGAYDWMGKEWARRNIRLS AGDWILAQRIIEIMTTFAVASIEEDTYGYVQQVLPASLEAIVRIRASIMGLEARLVGQ AGLLGQGRTGAVGEIGKELGAARSACDNSIKRIGEKFGPSLGAFRFPPAIAQTLGEIC KS L199_004091 MAAAVALSNYQLGDILGRGASGSVYRALNFLTGETVAIKSISLL SLPPSSLPDIMSEIDLLKNLNHPNIVKYKGFARDKENLFIVLEYCENGSLQSILKKFG KFPESLIAVYISQVLEGLIYLHEQGVIHRDIKGANILTNKDGSVKLADFGVSSKNQPK PSAASSPSSSSSLEYSKKEDNDNEVVGSPYWMAPEVIEQNGSSTASDIWSVGCVIVEL LEGRPPYGDLAPMQALWRIVQDESMKIPDGASPIVKDFLYHCFQKDPNLRVSAKKLLR HPWMMSVKKSAEPPIPPLPTKSTPSSRPQSRASSVRVSSGGKDTLRSNERKSNNVGQN NANVSSSSGSGTVRAKKPMTVYDEAVQRVQEWNEALNASPKALGTMRRLPLPQPRKQS VSNVRQRGESNGPLGPGLFALPSRSSADAISSQLHPGTGLPIPIPQPGLIGKNLHVSD VLNRAKESEGDAESWDDDFAADITLSKKLGHRRDESAASEDLSQTTLRPTKSPAVAIN SLPPLSSQNTKTSTNGRSASAGQVEDYSDIGLDEDESGLETKLRNLKLKSNGRRGLMH PDDIHKVPLSPVPPTQRSLSTPAKPSLPVPITPSPRAQARSPPSSRQNSLRGKNTPRT GTTGDNSESLLELNKYMEKDEDYDDIFEGVQPSQASGSKLQAQSLQLTRRSNVSWASD EADEEQDPFAEIEDDFVTEDLEAILLRDKRATLHANVNKLVEGLTPNTPHGMLKDGCD ELLSLLENTPPEMGLEAHFVAQHGMLAILEVLESRLTRDVAVRLLKLVNLIVTSDLEM LESFCLIGGIPVIIPYTSKKHSLETRLEASIFIQQLTSSALTLQMFISCRGLRILVEL LDEDYALNKTLVLSSLDGISSVFDLQSPTPKPDFVRMFVREGILDPLSTALLSILRDD VLKEKTKGIEQEKIEGQMEQEELEMAVNRTVSTLLLFCQVAQGDRRVQDGFANRGVMT RILKACDLLSGKSLVLAIKAIKHLATSTQLIEVVQNSNGIEILVGILARNMKGSHASE TVSNLFQTIYSMTKLSKSRQEEAASSGIIPLLKKVVQSKSQMSDFALPILCDLANAGK VSRRLLWRYDGLNLYLDLLSHPYWQVSALDAILTWMQDETARVEDVLLEKSASDSLVK CFVQASGVSFEGILDPLIKILRLSTSLTSSISHPQFYTRLSETLDKSTHAAIKLNLLR LTRVVCDTHPDRQNLVIRFGLKNVIERLSKQDSAILVRELAKEVLPGLLFGNDSPDPI DLANLHDRQDNGDGGQTIDLRSGADRGTMKRTMSQNVISSDSDTFRYSQPSSSSSPST VRSIHSISNPPPSSSSLDKPSHQRMTLSRSSTTTLTRSQIDSRSMPPPPIPTPSPILP SSGEGERPKHKRKISRNQLREVQWQTDENGKVRSVRTPSKLVGYTID L199_004092 MSEPVPQETQAAPPAVEATTAPAPTQAGEGETPAGPSKSELKKR AKEAEKAKKAAERAAREEEERKKREAKEAEDHAKQNYGKLPLHQSQERNHRKFLKFAE LSPESVGQRVIFRARMHNSRAQGAKIVFLAFRQQTHTLQGVLVVSGEKDENQVSKQML KYSQLIPSESIVLVEGVIKSAEVKSCTITNYEVGIHKLFTAVEVGDLPFSIDDASRAE ADFEKAEKDENLQYSRVALPTRLDNRVMDLRTPTNQAIFRIQSAVGQLFRDYLNSQGF IEIHSPKLQGAATESGASVFKVQYFNGTAFLAQSPQLAKQMAIAGDFERVYEIGPVFR AEDSNTHRHMTEFMGLDLEMAFEEHYHEVLEVLDEMLKNIFKGLQSKFQHEIEVIKKQ FPHEDFLFLDETLKLPFKEGIKMLKEAGAKGSDGEELGELDDLSTENEKFLGRLVREK YKTDYFILDKFPLAIRPFYTMPDPTDSTLSNSYDFFMRGEEILSGAQRVHDPVFLAER MKSVGIDPASMTGYLDAFKLGAPPHAGGGIGLERVVMLFLKLGNIRRASLFPRDPKRL NP L199_004093 MASSAPEQAGTSAETQPRQPIWLRCEKKPFEHRSALTPTTAKTL IDNNFEVFVERDPQRIFDDEEFEAVGCKLVPNNEWPSAPVEVPIIGLKELPESTDPLP HTHIQFAHCYKQQGGWTDVLRRFREGKGTLYDLEFLEDPKTKRRVAAFGFHAGFAGAA AGALALAAQQKDGGKGVLKGLKPYKNEQAMVAEVSEALKTVQGGKENVKVLVIGALGR CGSGAVDLFRKAGLPEENIVKWDMAETAKGGPFQEILDVDIFVNCIYLSQPIPKFITS EFMAQAGDARKLAVVVDVSCDTTNPHNPIPIYDINTTFPEPTVEVDTKGVGRRCTVVS IDHLPTLLPREASEQFSKDLLPTILSLPQRTTEPVWTNAEKLFKQKLEEARVEDEKNG IKA L199_004094 MSTENEIELVAVSARSSTFDGTVETPTSQDPPRVETGNAALRSQ EQLNDHQIEALPPVDGGKQAWLFLIGATYMETLIWGLPFSIGILHVYWTNTLFQGQGA SAITLAATLQTGLLYMACAFFGPIFAAIPRWTKTLQVIGLIIGSVSMISSAFVTKPWH LIVTVGIFYPMSCACYFPCATLLFEWWHARRGFASGTMYAGTGVGGTVFPFIMQALLE RFSYKAAMIALGLGYLVTGSIALIPIKRRIPLSRYDQDGISRIRPRIDWSFGKRKALW LGMMVIGVTSLGNFVPSLWLPSYADDLGLHSPNGTALVAILNAATAIGNGLLGYLSDK MSLRSTIFISCAGSAAACAFLWGFGQNSGVLVTFAIIFGLLGPSFSAVWSKIIHLVSR DDPAVTALTFSIFAFVRGVGNMSSGPISESLLKYDVLRGVIGAYGYKNYGILLIYTSV TILTGGAAGFFFNKVQSV L199_004095 MKFTLAFSVITLLASTAVTAIPVPNTEAAPAPTTDIAAAPTTAV ASAPTPATSEDCEDSMSASPAPAEDCGENCATTPAEDCGDECAPAPAPTTDMAAAPTS ASPSSPDTGSSGGDSGETGGTPAPAPEAPAAPAPETPSTPSTASTPSAPETPSTPDTP SGCTGEGCELPSTPSTPSGPSGGCTGEGCETPDSPCDEFGNAIGGGGHKPSGSEGSSP VGGGSMPGGSGSSPGGHSGGGEDCDEYGNPIGGGSKPSGGDTSSGSTPAPGEGSTPDG SSGSDN L199_004096 MAQSSPKTTTDQVYWNLPDTIAPIQVLPDRYTKPAAAQVENLKG KESDPSRMGVFVCLFDDKPHLHPSLISLHKHRLNIHHIPLPSDPSNDLPTPRSSSTLQ LSGPPQTDEDIPMTATSPTPAEATSTTQRKPEADAQVEWFMWKFSEEEENRRKSYLPL A L199_004097 MSTSITSFQHPPHNSSDLIEHLEHSHPEAESRLGSASEKDSDSN SDSDSRSEASISSVLYLFLLNKQLRFLAPRVIFDDIWWDFWVSARNRKAQFLKERGLL RYLDDKLEDYLSTTVDELSYHSVDQDPEEEEAGEEVFEQLRNSVDTLTKEERTRRIED WLNRLVDYQRESGQEEGSQNQSRQTSKIEKGL L199_004098 MESTNKQPVKLARVLKVLGRTGSRGGVTQVRVEFMDESNRSIIR NVKGPVRVNDILALLESEREAR L199_004099 MSSSNTPNLHPSSSIPAPVIPKPIPFERIDTHSIKQQLHDVLGE DGLPYWKALNGYLLGQLARSELEGMVRGWFKGDKLELHNTLLLSLLNNASIPPTLYTP IPTTLSARKRKRVSYDDVEYDVDEEAIQPKLRVTQWISGLNGRERMRIKRSVLGKNGN GGLEDGSGANEGLVTGGRRASAWGGQSNFFPPSTSNPTRHLPSSAQLSLQLSQYAKIH GLGLAPDSTAEIGEFLAVGLDSHIQDMLYGLIQLTGHDRPGISTVRLSKDQDHSNEDG EKNDEMQVSTKMKKEETDESPKVDLNSLNHLLMLNPTLNPTISPSIYKLKSGQISSTQ DTTIPTSLKDTNINGGSQSSSPVTSTSNRNRNNDNNNGNKPRSEVITNRLLENSLLKL DNITTTAPGGAGADQKKEKKHTSHWKYEDPAVLLKDFLG L199_004100 MPPVRKRPQVIESDEEEEEQRGQSSSASPAKKRKTVVQDSQDIN DSDLDEIDSGNEDVEADDSILVDGDVGGEGEQLERGKVSFKPEYDRGDDGYVAGSVVR IKMTNFMTYDHVEFRPGPHLNMILGPNGTGKSSIAAAIAIGLGFAPKVMGRANELKAY VKQGADQATVEIELKGKSGKRNIVIWRKFNKEDEKSEWKYNGSTCTRREVLEYVQRFG IQANNLCSFLPQDKVAEFAKMAPEVVLKETMRAAGDPRLTKWHETLIEKGAKVKDIAN TYEGHANVRDRLQAQVDALAPDVEHVQEREARELEVEILEHLVAVSQHSQLKEAVEAA KKEKEQVAKRYTKLQGRRQPLKELKDHWEKKAHKDEVKVQSTESKYKDGMKDIRSKLI KARDEGEKSTQIDQQLERLRMNHQRRQEEKEKLQNQIETCQKILDEPRDDVNDQVKAK HRQKAELQATSRQKGNTFEELKDEYEDNKKMFDDLNQELEDLNRKQRMLENIEIQKEN AARDFDPSIGFMLDWLQKHGHTLEGPIYKPPMISVSVPNREYAWQVEQCTSLRDRSSF ICTNQADFDRLLDLNKSNYPPRISSNGRRIEGGRVKLNLAYTEVTNETVNPKRPCDLE TLKTLGMDGFAIDYVEAEPAVIAYLCSRANLHATALTQRPSVQVDGSALTAVGIRAWA TRDDHTSAIQSAYGRREFVQRTNQKVPAKAFNLSVDRDAVARIVEEIARKKKIIFDRE QPHSALKARLDEVYREREDIKRQVAELDKEIKALQEKAKRWQRAATDYEQHKAKLAKL EAQPSQEEERRKLKAEKLKFAKARLGPLDAWLDSCDFVFETCSDMVTATLTGLQSMIN KQAVSSKLSEGNEMLNNAKKDLEAAGVKVDEAKTQAANKWRQLTAAIQESSNEVKDEV RKRAKDVSALPPLEELEGQLHTIRAQLEMAVNIPGNVVDRYNDYKDKLERAQNVVDRE EAELNGLKRDIKKTLDMFDPALETLVQAVSAKFSAAFARVKCSGEVRIRRVEGDFSAW GIEILVSYRDEDSLAILTGSHQSGGERSLATVTYLMSLSEMSRTPFSLVDEINQGMDQ RAERAVHNQLVEVTCDSDAGQYFLITPKLLTGLTYHPKMKVLIINNGTNLPDPRLQNQ RYGDLSSCLKKYQKKHSIAAH L199_004101 MNAPTPLSPLTDPASLAKIPILLIPVHLPSTPIPFNIYNSYQTL IKRYQTLRGDELSRPLTSRNRQSTYGGNSYELPPNPRLRFFPPSTGNSISISRGSTTN HVHLSYSGNAPARHTYPLSLLRMAGFPLIVLGMAVEPDDQSEEESSKGYSLEEEGEGS GDITDISTPTAPTFNHHPQISLEQKVNPEQSFDETISSIFPPTSPFPLVKRLLVVPNQ LPKSPTSPRKQSGHSSGSRGNGKDKGYMRYAPIDGAESWIGRVLGEVVGELLGELGEI ATALETPAGMRTLSSTLLPSLTSTLPHPELGNILASQRSTTPSDYPSRASISTPTGIP HSQSLDHLNGMGISLTRALTPGGRPTSIQPPSLPPIQTSSISPSPQPQPVAASSNPFR RSTALSSPFTRTSSATSASSSTSNVPQVTTTKYTNATLSGVAGGRLMKLLGDMYLLAG LYGDAIKCYDDGAERCRSVGDVLWEGMAREGRAVAGIGEAWESRDGSNLAQPFPSSPI PVEILSHYLSALACLSRSPLPYPPTILSPSPQAVSGSISFPAPSSSSNPSNVGTGEGL LAYLHTSLSLKVAHFLLLIWAAGGWGSIALSSLMTHTLPRSFIPPLQPHENIDHHLRR KRHRQLTMLSTSSGISRQSIFAHAENALQPYHRAMTKTEQVILHNEAIWLSRWLDLPR KESSITRELIKKLAILVVEGREETKRSNGSGIQGGFSRQSMKTPTISAENKSDEASSA AIGLGLGFSVPNQTVAVRRKESTEGNNGIMGLFERAAQVMGIDLLSFGLSPESIGWND QHHDNATNDDGGVRRPRFGWPELQVEFLKEGIAISESLPDHPSIIRLCLTALNNLNGY LNPQSQHMLNKMYPISLATVRRRAIEIGQVPWWIGEKIVLSVEIASLPPNKIPIEHSI NEISPIKEGKKDPFLYNPRLKAAEAGKTVIVANEQIDVFVTVRNPFTFDLEIQDLSIL TSGVPFITSPLPLTLPSNSVQTVRVTGQSPISGSMSIKGVSIRLNDGSSNDFLIPIVD GKAKLKLDKRKSKIKSDLSKVKRSGLDARFQSIENSKNRHAHAHPHGLEDGADDKKWL ECKVVPELPLAWIKKTSLTHGTVMLYNGETSTIRITLENSSSVPIDFIKLTFDDSTAR EAQAIIQEGDLTPEQAYEIEYDQMNKPVFTWDQKDGAGDKLEIGPGGKVNLDVRCLGK VGCTDGTIRIDYGYLNRSSSSSGEERPNNDSAPSSFHTRQITFPILFTVYHTIEAHSL DLIRLGSSSINVDTTARPSENGPVANGDISKLERSSSTSQINQDRLRNALEVENDDEH CLLALSVRNIYGVPFEITLERNNQDEGSVQCTRLVPPGATERMILPLPRLSIPQDLLT RPIPSFSERQYIVDKEKKSARRVKKDRELFWYREELLGMVKATWKEPGSMRRGNLNIR DQLFSSSLLDILRWDEIGISLSLESEGDKVNVMDFVNLNVKVTNHFERPFRPFIHISP LPTSSTEQLWTESPTHQQPNQRNSFQPQPPTTTSKNVLFDGIASTILPLLSPGESVQW NIGVVFLALGKYGLRVAVEEVPSIDPTIEEDEEKEKKIWFSSVLDVIVE L199_004102 MRAARQIQKLATTSKTSRSTPFLLSTRQASTSSTSTSSTFIPDQ PPHLSTPSSLSQPVPKLSSQGFFDASRPSISLPIRASQRESETYTELQELVSKPSSFR TEIGSAKIWQKYITLSPAYRRSLPIDFLQKIFKYVIPNRKHVRELSSLPDTDGDVPKG KLYRERLKHHSNLGNKWERRLRTITNDMMFSSREIDPQVLISGIGKLAMLGDKNGCES IIREIRFRFDDKLTYRQLRIMYGYGLRSISKWLKIHSHRFTTTTTTGGPTVGGGNKGE LELIETAESCKRLIRSMQDRNVSPNSTTAENLLNVSRLICSTNLQNDKLRKSFEELSE SILVNGYNLDIINNLSFPSTVDEERRIRELKPSVKLAVVDYFGRKRELYKMLAAYDNL FPGDVDKLPEDVWSSPRGVNQSTKVEEEEDDIPTLSRMLEDEKRQRAERGWFGQRSVE EHGHEGVTSISSDTFLPQQSRSFHDYLPPIPTPFDILPPSSLAASTNLQSIISPTTPS QRPIDGEGAVVSSILSMLSRAWSSKIKVSPNDTMYKDVSIHILRLAVRAANIEQARFI HSIKDVSSDGGVGPDLRIEANWFKACWRTVRWTRSSSRRGTRFAKVLLNELRDVQQRL AEERVILQSLLPQDGVQEEARTSVDVILNHIKQLESLELELSEIEETIQANLRIAIER KARSNSARVVKLEERRQAQLAYRGKKRGLLGMSTEKNKDNDSSWTLDAASATAPAVSP AMA L199_004103 MGNRRITKKAIKGKENLHPSSRKAAQLTRVNLRVDKLKSQAKAR KDYADAKLQRPLFFLHSLSSPHPLSLPSLKALITEVYLARFDSRIEELTAERRAGRPK PKELLELEEIKKREKNDYESGLEVPDLTHAPTTRLMYHWLQSETSMNLSHIDLLRHIR VSPNGEVILTKKGRGEEMGMGVPLPGEVEGKADDWTELIKEDNDKKKEMDIEG L199_004104 MYPCRPHHLTTLDQTIHNLASQQATSKFIAVMGRNPKEQDLGSA QYSTEYWNAHRHYTNHFAAQAKESSDVQSHIEVAARTDPAAITEKTNTHAHNGGYWTR AEGTKHGMNRIQYEQFPADGGAGTAATGGGREHRPDPGNPIVGNTSGVHLAINDQVYD QSFNPSNNGVPTQNYQPSSRAAGTSHGDTGYLGLPTHHNSYSPLSVQGWSGTNSPAPS TSGSGNGEDDGAGYPYYSGSEGGYDISQGHTPDHSGNY L199_004105 MSDTHTPSTGLQGGIEEGWIPSDILSHMAQRQIHKENTKGKFKA HSQLANSGEEYCRTRKHFGYKKDAPEGSQGRLSGDSGGTSDGNGDQTSQLPSFELRCT LNFTGLTRPFPDKSDLNQVTLSPPLGNQYAV L199_004106 MDPEDIKISKLVPWPPPETKSRGGSEIEWTLYSAQVALSGTLKK KLDKSDLKAHADLLGDLERESARTRPWYKYYDPEGEDLYKTSAGCTAGDTSSKEQDGN SGNSTAADGATN L199_004107 MSDNHSPSTIYSGNGYNPFPKNLVRSTASAHLPPPSTHAGMRDT KTSSPTPYIPRMTSNEISDRKKRDIGDGWGEAKEDEKEEAEKKLDWSEMSVHTPIMTK KSEIGDTASHVKGDSINSNPSIIPAGNFHATTANAEDDGGWVSGNATGSSPPSQPFHT RGQSRRYPSGASQYREARPTSLSFQPAGEDRPNSRTHVTHPYQLSLTSSHMHYHGHFN QPPVHPAVQPSRGFRNAISGALGNPPIVSPTYPQQPINILPAAHHQLADSQAPQPQVP TYTDPIVGPPRQQIAGLRDANRIPVSHAKQWGKSATPEQKGRYDDASTAMQINNVQPQ LNLSQPPGNPMWSEIGDPAQASWDKDDRLARWANDVPPIPPSHQATPHTSIPPSEAEA TKAEEEIETFARIETPEGNAQAVTIEYSPGEEVRTPALTPHGTASPPQEESEIIDPPS AKTKPEKPPAVLGVAAEGHELPSTPPSDPVDSSPHGPRGRLQNGVDRSWSSGKTHWWK RPPHPMFSPQYAAQRILVQLPFPVRTDNLKQLMSDQFSRYGEIHSVFHFEPNGNFCEK AFVVFKEKEAVKKVFSDPESRIWVVKSSRTPGSNPVELVIKHSSPHHLSRTVLIRITG PRVDARARSASPKPAHPNTNDEETFALRDQLGPYHRAPLPARIYIDAVPALFRDGRFN FRAKIRPRHISFKQLYDHAKEETGKDMTQIGELDLRRRVPEKDIIPVLCDYFAEVCDI SPPTAKGQGWLVTVGGIQDARHLMDEISKIPGFFARWADEGDGYDANAEAEVPPSVTT EPVTPITRVRNEMEGRSSAANRRTQFPMSEDVNNATENVESQHPSYHLPPPEPFPSAG GEGPIVTPLSQPIPQYAYPPSGYSPNHPNLRRTILHTYKGRPLIQDMSSDEERFIDER AIFVGRLVKHVETSATLLKRFNKYGTISTIEYNPSYSAASYATARILYQDKESADRAI AHENGSISFGSSIKVEVRKVLSNDVQFKEMYIDDSGRAISPSMVSQYSPASATVQAPR FLPAMDHANQHPLPALYHGMMPPAHFGMWFHPPSMIPTPYPVPTPMHPASAPTGHAVE SAPSVTPPFMDSQNPSQIPSNMQLPMLCAAWGIGYLPPGALPFPFAAPTSATGNEGAQ SAPPAAPVPGPDLNPETSSAESSIELPDGLSSRSRLNPIGFTNEDGMLKPVYDPKDLK DYCAENKITLPRGADTSSMVKQEEVTLLSIQSGGHDHAGPDQPVNSLAQGTPLSPKFA SINDPPRVNNVGETRTVPVPIPFSPVEPSQPLPDQQSYMNVSNTNGSSLVSPPPQSQV WSTTGIPIQNANSAESPLFTNNPLPLRPDQQQSPQHTTSGLLLHTNDSVAHHSQPVPP RHDFGGPPVFTNDPLASQHNSVGSTSITNDPLGLRHQHHAFFNGNFSSSSHLPRSMQA LHADNSSIHHDRRWNSPTLTLETGGQGAGGISPPGTYRRTRRSRGDIRNTQSGDSIGN ARPNMIEQNDAGGW L199_004108 MKLSILGSLLFMALPAFSTPVEERSNEHLNNLQLRATQSSQGWY AYGCYFDCYDGMHRRLPILAYVDDNNNPDMCIQHCLDKGHKYAGLQWGKECYCGDALA GELAKPDECDHKCADGKNKCGGPCRNNIWSAFRKPGH L199_004109 MTSSPARAYPLTRPSVRQYIFSPQLLLRPSIRLKTSLYVLSITI ILLVILALNAATSGPSTGQEVDVNTVPSHDSPADSGEGKDVAKGKDAHLVDEEIQRSF EEPDFSLLSSVQPHEIGCDVPIEGREKERGVLMFLGIFSAADKRERRDLYRQVIIPDF PPDQFTIKFILGTPPYPENPISQEAVARSRLMNKVEAEMNEFGDMVMLPMIDNIDLGK THEYFKWVAKEYSGPGRVKGRPRFVMKADDDTILVMPNLISGFKDLNCADNIYWGTSA GRSHYFGDYFRGLAYAMSWPLVSWIGNADMPLAHIIKIEDARTGQWLRHLDPVTDPVK RIDMGWTMGDWNQLEVGVETVALHWLKLDDWVKKQHQRLLDIWADDNRPYDIEHGVSP KVSMQKGKETPESAQKEHQRQKDLGWDVGGNLD L199_004110 MPSKVKVSAVQTCPVAFDLTASLDKYEKLIGDILQKDRADLVVL PEASLSAYPRFLDFQIGTRTDDNREWFSHYVKSSVKVPLDAVGKDWLSDKPIYREGDD FYAFQRLCLVSKKQGIYLSIGVVERSLVGSTLWCTNLLFSPIGVLLSKHRKLQPTAAE RIVWSQGEATNPAANGEVEDNLPVVQTKIGKIGGLICWENYMPLARYQLYRKGVEIYT APTADSRPTNLPSMQHIAQEGRCFVISVNQYHAPSDFPADYPPSLALSRSEKEENKAW SRGGTSIIGPLGQILAGPLWDQEGVINAEIDLDTLHGARLDFDVAGHYSRHDTMLGLL NLPAASAEEAF L199_004111 MSDSSAQSLKQLKIKTGVVKRLHREESIYVQEVVDQKRVIEKLK DNGADGADIRAAERVLRDSEMMIPRTKSQLEEAVQALDDLVTALQSEDSINGSVEYKD AVEQLKQVQAA L199_004112 MSGDTESNTSLSSTPTSTRTRAASPAPSTASTESPSTIRRSEFF KYRITTEIEEKAPKGFEYETSTMDGGKIKHIFKKDDQRSVVYEDGKDELGRGHL L199_004113 MSSAKESIFFAITMSRSSDNKTLRAQAENIRSLAIANIQGSEIS QDEQDRKKSRLDSAYNSYCDSMGTDNERLSFRKFEQAVQSATGISVP L199_004114 MSPSRIPRPGGIGSPTIATSPLSQSTTTRPALGTLVHTSKNPFT QLNNKSPHGSPTPSNGSGNLSVSSKTIATNRRRIKPPSPDLNDDTSLSAGVRRPTAAS RIPSGPGPGPNQRTIMRQPSTPLLVSNRTPTQQPGGNRPRALSSTSVNRPIIIRGTTP HAQQGELTSSTGGMRSLPSQKLFESSFLESREPPVPPHIRVRLRLIHQLGVVLGIDAV GISGKIDIPGLLARVDQAYDREKDRSSPTTGTGGGGEMVALKGSIAPGAGGKGVLGMF KKLSGSGGRRIDESVAAGCTAPQEGPAFGVPLSEAPPGSWCTSLIGGQKHELPLVVFT IVEEIYRRGMSQPGIFRLAGDGIRISHLTKAFNLPPLYGDSLPINQEPIHNLTGLVKR YVRDLPEPILDESLFPAFLAFCVGTNKDSETEGTTPESDESPTTNGTSPAKDSDESPT SHSISDDILKLPLETRITAAQILLKLLPPLQFSLFIYLLAFLGQLPLFPDNRLNVESI SIIFGPAMCAARGKGISGLGPTVNTNTSTKSTGKGIGTFDPEQVSDLVSKSQNVLGWL LRNWGGISEKVLEDDEVLSSGINASGTNTEDTIMVGGKDKEKEKRNKKDKQVIDPRLL SPIDLRGSNDGMRARKPDAPSVIAKDSIKDDLARAGEPVSPQSPNIQTPHTHIQLGLG LRKSSSTHTLKSSPSSSSGFGMKSSPSSGGIFARALSSMSISSLAQGGDGGMIKGPKR SASFTSLSSLVKKVGKDGGKHAPMPTRSLSDDRDNAHSTVNPQITTVLGSLHDLLVSK DKQIERDARELALLRHTLLEMDEKLQKATLASPLPGPVGINGCTCPIHPNATITHRPV DKEPTPEITITSTPSSKTIPVSNSYTNLNKEINDLQTQLSTALAGLETSRLTTRRQAE KILVLEAKSSRFDSERKMEIGKLQVALALEQARSVGLIEERDLARERLEKVKTTLFSV A L199_004115 MSSNYPSLFRSEEMSLVQLYIPSEVAHDTISELAEMGDFQFKDL NPSLTSFQRPFTPRLRRLAEMARRLRLFRSQITGLSPTLGIPPLAAVPPFTTVGPRAQ NAYDELEEKLKEHERRLTDMNKSWEDLGKRKSELEEKRWVLRETAGFFNEAEHRHTEI RTSFDEGDNAPLLEHAAEYGNLPGETGLSGFDLEFVSGTIERTRMPTFERILWRVLRG NLYMNYSEIEEPFVDPTSGKETHKDVFIIFAHGEELLAKIRKVAESMGGTLYNIDSSQ DKRADALREVSARLEDVDTVLYNMGQTRRVELSKIAEQLEAWTDAVRREEEIFKTLNL LSYDQGRKTLVAEGWCPSRDITAIQLGLRRAMDTAGTSVPAILSELRTHQTPPTFHRT NKFTEGFQTLIDSYGIATYQEVNPGLFAVITFPFLFAVMFGDIGHGILMFLTAAAMIF WEKQIAKGGVNENLETFFFGRYLIVLMGAFSIYTGFMYNDIFSKSLHIFNSAWEWPHN ATGLVEAIPTGHIYAMGMDPGWHGADNALIFNNSYKMKLSIILGVIHMTFAICLQVPN HLHFKKYLNIYAEFIPQMLFFHSIFGYLVICIIYKWSIDWSQASSAPPGLLNMLIYMF LSPGTIEPGTQLFAGQAFIQVVLLLIALVCVPWMLALKPYMLWKEHNRIKGQGYHGLT GQENGGERHSTEHLDEEEEEVGMAVAESSDEDHPFDMGDIIVHQVIHTIEFCLGCISN TASYLRLWALSLAHAQLSEVLWSMTLDLALEYEGGAIGGGVFVFFMFAVWFAGTVAIL CVMEGLSAFLHALRLHWVEANGKHYMAGGYPFTPLTFANLGAEEEQ L199_004116 MANILFQLRLTLYAILLLLVSFFALIIGLVCTLTGRRLNTNYYV ARTFYHVAGPILGWKFEVEGEEYLWKLEGEGGGQAGKKGRSMVMVGNHQSMVDILYLG RIFPKHAAIMAKKSIKWIPGLGWWMMMSGTVFINRSNNKSAVASMTQAGDDMKRKRIS LWIFPEGTRHMSAESDLLPFKKGAFYLAVQSGVPVVPVVCENYHRLFDGKTRFKRGTL KIKVLPPIPTTGLTASDVPALMEKTREMMVETLKSISSSPTSTPVPISELNSPAPLLA HQEESRNGYFATHAADEQAVENAVGEEEADSGKSIKSVKTGDQKDTSKVSVQEEGNVG KPKKKNSNKKLSIAMISDFFLPVVGGVEGHIYSLSVEMMKRGHKVIVITHSHSKRVGV RHLSPGLKVYYLPILPISSSATLPNYLLFLPYFRSIMIQERIDLIHGHGSLSSLAHEA LFHKDLFNPSIKGVFTDHSLFGFGDAVGVLTNKLLVGALRNADGVVCVSNTGRENTVL RAQLDPELVSVIPNALIPEDFTPDPSKADSDHITIVVISRLYYRKGIDLLIASCPHIC ALFPEVRFVVGGDGPKMVELEQMREKYQLQDRVELLGRVRPGDVRDVLNRGQIYLNNS LTEAFGISIIEAASAGLFVVATKVGGVPEILPENMIEFARADEEDVIRALTHAIHTIK SGKHNPIKAHERVRTMYTWEEVAERTEKVYERAMNSPARSTAERLSRYLALGPVYGPL LCCIIAVQHYFFWFLEWWDPRERIEVVQSHWDLTEFNQMIQKETELASQVKFPL L199_004117 MPNEYGTAMTLARRGNNEEDNEIELITNEDTLRIDNPPKRSCLF SRATSGDFVPRSNATFEKESGESTSIELDNKQDERIGHRFIDVTQSPVERATIKIPDP SEMTYSELDEIATQIYNELSPDLRHMPGNEYRRLSESFEATHRDWGSWISQIKSGSVS QEDYNQRADFEWRAIRYSTKDSLKHHSIKHMSPLLLKFYQEPDSDDDSDGAW L199_004118 MPPLIHSLTTLLVLHLFIASHSVYASYNTPQVPIISPDLASRAI IDHPLYPPRINYQLLEPNDEESDLFDISEGTISYPVHVTGDEKEKFNLMFFADGYTLN EFDKFEEDVERLKNDIISPNGSMGHVSDLLNIWATFVPSEQSGIGTHDRPLEGAALGL YRPGSELRAVYVKHPKRARRACKWFREGGAGKGGCDQAILLGNDPLYGGLGGEFTTIT ASKVNGPQVLRHELGHSLIPVGEEYDGGWVYSGVNSDKIENLDKLKWKDFLSTSERAR VEDAKMAVQAYPWWDLDSGRFNVTFISANIPENADISYPTALLRTSLSSIPHPSHINL TLNDDSINLMPYFTEGLEGSLDRRWLDIIIPGLKPGENTIQVELTDEGKQAEAGQGGK MLTSVEVIEYGGEGQFNHTIGHIAAYPTFNIKGHVTLRPTNEACLMRNVTHPRFCPVC EAGLRRSLEAKIAHKKEP L199_004119 MGEPAQSYSQQNGSPAPPPAAEPAQSPGGLETPLKDVNVGLGIQ NGPPTPVKELPPAPSAKDPQPNEQDQDQAIAPLSPSEIPLSPPPPPPKPSKGIPIINE NESKETLQDVELSRTGTPVRSASIVSSQRPEASIRRDSEGPVTSSSRRVSNHASTSVT SLHSITSTPSSRARSTRPTTTQGSISEGSHSRRDSTMTLSMSHTMSLPPNTPGLHQLS TVLIMPPLQLLVDSKEAKKSASFRAAAQKALELCQVSGNGEGSSSAYLHPREIFEPLR LAISNPQTTSVPILITSLDLLSKLISHSFFSEPYGPPKGMSPLPDLITHTITLSYSEN SPPQVALQVVKALMAIVLSTDKGMLVHQSSLLKAVRTVYNVFLLSNDAANQVVAQGGL TQMVHHVFGRVIRPEMKTVSASGSLSGRRSTIGENEARRLGLGLSDADTKENQEGQPQ SQPQTPVPGQEPTKQEEKLTLESFAQPNPNDSIPTAPAVIASDAEPEPEISPSTPSSP PKVPQHTVSIPVPNGDALDTPEPTSAPMQDGPTASAPGGLDEQEGTLDAMGRPIPTEE LFVKDAFLVFRALCKLTMKPLVSESEKDLRSHAMRSKLLSLHLVLTVLKSHADLFTNP LVCIPSNTSLEMTPFLQATKQYLCLSLSRNAVSSVNQVFELSVEIFWCMLKHMRAQMK KEIEVLLNEIFLPILEMRHSTIRQKSIILGVFIRLCQDPQALVEIYINYDCDRAALEN IYEKLMNIVSKIGQTHFAPPSKEELGQGGSSKQATGHNGPAIPPSLSTSALAGDHGHN AAHYAGLSPEIKLRRQSLECLVAALKSLVAWSTSNPSTKATQEDQPRPSEDGLGRHHA SDSMSGSTAQLAAPTPVWPAENSARSPAPGVSSNGSNTPDIGEDDVGRFESAKQRKNT LQDGIKKFNFKPKRGIASLIEHGFIRSSSPQDIARFLHSNEGLSKAMIGEYLGEGDEE HVAIMHAFVDMLDFSRMKFTDALRMYLQSFRLPGEAQKIDRFMLKFAERYIHGNPDTF FANADAAYIHAFAIIMLNTDAHNPNLKQKRMTKAEFVKNNRGINDGKDLPQEYLEETY DEIQNNEIKMKDEIEVPQATTSGGGLTSVGRDLQREAYVAQAENMASKTESILKAMVR QQRRGLIRPNDQFHTASRLEHVQFMFQVAWMPFLAGISGSLQETDDLDVVYLCLDGLK SAIKIVCLFDMELERNAFVTTLAKFTYLNNLGEMKPKNVEAIKCLLDVAVSDGNNLKT SWKDVLVCVSQLERMQLISSGLDVPDLNRTSSSSDKRKSTTITRKKGPAEEVAEESRS SQVTVAADMVFSTSKNLSGSAIVDFVQALSEVSWEEIQSSGSSARPRMFSLQKLVEIS YYNMGRIRLEWSNIWLILGEHFNQVCCHNNPNVSFFALDALRQLAMNFLEKEELSHFG FQKDFLRPFEYTIVNNKNSDAREMVLQCLQQMLQARVQNLRSGWRTMFGVFSASSKVL TERVANYAFELVQLVYKEHFSLVVKYGSFSDLTVCITDFCKVSKFQKISLQAIEMIRG LVPKMLECPECLLPPQQLQQNQNQNSSNNNQEDGEGKEGKKLLPSDDPMLKYWLPVLH SFYEIIMTGEDLEVRRLALDCLFDTLKTHGTGFSIEFWNTVCQQVLFPIFAILRAKSD IRFRSAEDLSVWLSTTLISALRDLIDLYTVYFQVLQRYLDGLLDILVACICQENDTLA RIGTSCFQQLLESNVRKLSPEKWMSIVSAFVQLFKTTTAFQLFDPVLHAEVEPSGTMD DADAPFQKFVAPAPLEPYTESSPPPTLTSLTYGEQRRIFKQIIVKCVLQLLLIETTHE LLQNDEVYNTIPAEHLLRFMGVLDDSWRFARKFNADKDLRMKLWKVGFMKQLPNLLKQ ESSSAATLINVLLRMYRDPRDAHRATRNGVLERLVPLATEIIKDFIALDPDTQPRNIT AWTPVVIDILKGCHGFENEAFETHIPTFYPLVTDILTKEVASEMRLSVRDYLKKVGQV KGFIGGPTI L199_004120 MSTIYGTQLEPFEENQSPSPHETTDNPYETTLAIRSSSLPKRRG SGPPSPVNTVNTLHRQLSGDPESPPPAWKRRPTVSNRQSRSPSSPGSPGPVNGDSSST GTQRSPSQSSVKSRNSVGYRRPPPPGLTMTTSSPKPAVPLPNGMFLHESPNSSSTNLA SGDVDLALPNPAFRRRGNGNGSISNRSSIASNQDLGSLTSEELWAMGQEQDSSSIPDM SNPMRQAAERPLDTVRRESRRIEKDRIFTSGLPGDVIWPSAPPVEEILPDARSKSFTN VATLGMSGRRMSRRTKSRQSSIDVTPSNGKDADGLYMHNASQPASPVANGMGVLSSAH SSSTSLASQALQPNKSFSSLGGRPSSTYYSRDFLSSLAPREGGYAIAAQMGGGLGAVG SMSVEEKRRSSMIIEDGRAKTLGMGSRAPPSKSAGMGRWSLDGGENFGRPYGTASAAT TSSNLSAPPINSAEPSPSAEEITPSLPAGASPAYTSSTAPSIPVSNTPPNPSPLAQQT SAEAVSKSAPAVSAPPPIPASTVAPPPALATKKSKKQLAKENKAAEKAAAMQVARQRA EAARAEALKKQAEREEAKRKEKEEKARKKAEKKAAKKGKKFLGISGTNSTSSATSGSS TPHPQSPPQPSTRPVPERLQSKTPIAVQQPSPTPAHPASSIPTSGTPFPKPIQSSQSA YKVPVRDTKEAPRQTHKSMPLNVAAPPPAQTPASGAGSARPPIEGKRSLFGTIRKRFS YIAGSDSNVPGPQARDTAPPVPPVSRTPTTATGITTSPPVASSSQVNGLTSPPPTAES SSLPPRRESLLASESIAKVASPIPIDQSQPQSPMQPQPQTPVLDKTLSRELATSSPPP SAGTRKRNSLHGPRPMHSTNGGSPRPSSVSTHESGNAIPAGPQAGQITPTPSSTTNDS SSFFLQSHNSHMTNITPITSPEDNDTFDRDLDAGDEVQGEPKKSGSADSNETVHVGNV LSNTSTSQPILA L199_004121 MGPKKTTGSAQCQICKAQISKYKCPACPVRYCSVACYKQHKLIH EDPSTKTPQPPSQTNDGTVQDPAPSNDHEIPQPFTSDIQSHGPAKEDDSLPFPKPLTS LLWPPEPDPSIFTDPLLKEDPKPLKREELLRIATSPSLRSLLSSNPLLPLLLKSLDSL PTKSRHIALSRLLNLDPESLSHAEGINQSFLSGRNSPPPLDELLCTLTNPHESNHIDT TTLDSSGSGSGGKGWYLNVPGETKKIWIGEEERKLMRLFAASVCTAIDGQQNEGGEVE WGQGRLEWEF L199_004122 MSSTTSSSQVPLPDRLNQLKIQDSDENEIDRPSGTSTPGAEVGV VLGRSTYPKGMNPKLRYIKPYWWPYKTHVKQRWIGRQILEVITTEFRDRSMEYYRHAL ESGVTRVNGVTARPDLVLRDGDRIDNTVHRHEPPITNDPILVLHIDRKKEFVVISKPG SAPVHATGRYFRHSVLEMMESDYGIKCYSVNRLDRLTSGLMILALSGKAASRLATEFA QGKVEKEYVARVKGKFPGEEITVDQPLLTVDRQMGLVIITPEGKDAVTIFKRISYDAE RDQSVVRCRPQTGRTHQIRVHLQYLGHPIANDPLYSTTEVWGQNLGKGGVDLTPAAAG TSRMAALQARVGSAAPIKKTVDRDHDDIDLTSPILLSEQARSIISKLRRQKDEAEDWI KWKEVIFTAKQAQDELEGPQTQSNSTSTSSTDPSEGEIQSSDPHAPSPLKAPAYLPPG FCGECFVPLPDDPDPETLFIYLHALRYTTPDLGTWETPLPRWAGENWDGDWRGWCDGA VIRDVLKEDMERQRQEERGKGTLD L199_004123 MRNTIITFFPLLTLLISYVAASPIYEPRQLVPRGTAPLWNESGP NVRDVKQVKVLNCWWAASSLAVLISSQKWIENMVKYGNGSSMVGQSWPTDSTVQVTVW NPNSGQQQTFEADHNYISQTEDHPNGNWWHDAVGQGAKAMGKTDSFAGVISGENPDWD PESGSAKIGLKILTGFETESKYREFISVDEFFSYCEKASSGTPVIFNTLSKDDVKTTV PQLGHSHDYAVYNGTTNSDGERVIWARNSWGSTDMFKLSDVYENSYQIVHLRDWNVLG GGPFDTTHQDGSTANTNVNTTASANATDSATSTGTSNDTATATATTAAAGNNSTATAV DGNASATASDAGAGTSSALGQSVTSQPAGTSTAVPATASSSAAAPETSAGGPTSSPWQ WTSMLPGWSTTYPSTPSATNQGLVAAVEAALTPGSAEEERSGDGDENVERKRNNADGA CQ L199_004124 MFFLYPLTLLLLSLFVVAAPITPSSSKRLPEFEPVRFLNHFGTS IPADTKITLEWQGGSGRGFDVYYIPQWPEQTDYYPVELVSGTTDTEFTWQTPKKDDYP KGTTFILGVNDVVTSLSSDWYDVTGLLNFAH L199_004125 MLALTLLALVGPLAASAAYTPQGRSAGLAPRAGQTCMIQSSGSN STDDSPAFRDAAKQCANGGTLLFQGGVDYYFNTPVNATLNNVDIQLKGNIHLPQNITY VQGIVNGSTGGNVWWINLTGDNLNFTGTTNLSTGWVYGYGQQWWDANPKNGSGIASRP HLWRFNVTNSHISNIKIRKPIAWVTTLNGHDIDVTNVFVDAATTPGGGFPFNTDGFDV AAKNVKINDFTIFNGDDAVTINNGGENVTVTNGFIGGPGCHGTSIGSLGQAQGVYNTV KNIWFENIKVHGCVYGSRIKTYLGGQGIVQNVTFANYDVSNTTFPIYLTQNYFNQGSS QTQNGAGVQEGGNFGGRVDNSSVIIEGVTYKNWKGDINTYQPGDGSCVTDPCWYDVPG ADGTQAIVIGCSNSTACSNFEFQNIQVIPQSYAETKINCTNLEQASNPNLGIVCTNGT LISA L199_004126 MSLDHEYDDKKHADVYSQQVGGADQIHQPQYTEEIVPHDGLKRS LKSRHLAMISIGGVIGTGLFLGTGSALAHGGPLGLFLGYALMGSICYSVMISLGEMIS FLPIPGGHIKLAERFVDPAFSFTMGWNYWYNWVIILPAELSAAAVLINLWNKTINNAL WISICLIVVVVINLFGAGVYGECEFWFASIKVLTITGLIILGIIITAGGGPDHKSIGF QYWRDPGPFVQYEGISGSLGRFLGFWAVLTQAAFSYIGTEIVAIAAGEAKNPRRNLPR AIKKVYIRILVFYLGGTFIIGLLVPSNDEGLALNSGTALASPFVIAIKRAGIPALPSI INACLLTSAWSAASSDLFTSSRAIYGLAITRQAPKIFARTTKNGLPYVAIIFCALFGA LAYMSLQSTAGQVFGYFANLTAAAGLMTWWGICLVYIRFEKGLKDQGISKSTLPYTSY LNKNAFAAKYAMVMITIILFFSAWSVFLKGNWDTATFVTNYLPLWLFPVLWIGFKLIK KTHFVRTTEMDFVSGLDVVEAESYEEKPPKNFAGKVWAAIM L199_004127 MESAPVPPTGLPASVVNAEDLHKDKVSLEPEAGVHPAGALEYVN DDEEPTDEEFNTLRKVPAKMKWVSVALCTIELAERASYLGCSGVVKNFVNRPLPKGGN GAGAVAPGEAGENQTAGALGKGTVTASAVYNAFIFLAYVLPIVGGIVADTKWGRFKTI AVGTGVGIIAHVLLVISAIPSVIGGGHAIGPFLLSLYILSFASGFIKPCLATLMCDQI PVKRPTLKTLPSGERVIVDPQTTVQRYLLIFYFCINVGGFFAIASSYSARFVGYWLAY LLPGIVYMIMPLVLAFLYKKLYHAPPQGSVTLEAFRVLGTCLKNGGWKTIFDADKFWN RAKPSQILAQQGSIDHSKITWDDLFVDEMRQSLAACVVFLLTPIFIMADGGIGAQENA LSSAVTLDNAPNDVIDNLNPLTIIFFTPIITYGLYPFFEKIGYPLKPMTRLSIGFMLG CINMIYGAVLQWKVYKTSPCGYYASTADVCEDVSSISIWALTPLQALPAIGEIFVMVT GYELAYTRAPARMKGLVYAICLFSSAISSAIGLACSNAIQDPYLIWPYVALAVACFIC AVIFPTFFRHLNEPTRVFADPARQAGKLQPIEGEEIKHSANTSSEKI L199_004128 MKLLSLLLPFLPLAFSLSTIYWPVTNPSETNPWVIGNKNLVAWK TGGGTGIESFDIQLHNSNRTIMVGFLPIALRVPMEKLPGKKYYGGEIEVDLVDGLPTG DGFFLIFMNTYHGEVYAKSPKFSIYATKPDNYTDPDLPTATVTATLTTVPNPTQQWAM TLNGIDPDATASGTAIAGNAGSGT L199_004129 MFTKAIIPFALFAAAAQAIQITNPSNQTGWESTGSQLIEWTSVS TDPGNFSIYISQPGSSAKEVIQKDVQTSEGSFIYTPSKTISPGQGYQISFLSNDNNNG ILAQSNQFEVKEGESTVSPTSTASLTTTAATTASPTSGASTTASQSESATSAAASSSG SSSAGYLVSAPSAILLFVAGLVGVGTLA L199_004130 MSYTPKQIHLETFDSKIRSSYVEVSSASLGGKVVACSDDFFASR HNLLKPNPSISMKGQFGPNGALYDGWESRRHNPSFDWVIIQLATPSTSVSYVDIDTSH FSGNEAPQSQIFALSQTELSTTTAKKISPNTKGWIEILPVVDLGPNSRHIFELGTDGK EGKWGWLMVRMIPDGGMARFRAFGTPTPPTLPTTLPPDYRSTEPIDLVSPLIGGSIVS CSDANFSPPQNLLLPGRGVDMSDGWETRRSQHQRGKYSSGGQLAGQERKEWVIIKMGV EGVISWVEVDTAFHPGNYPVACTIEATLSEKGSDLSQAEWTQIVSKKPLGPHRQHFFD VEKSIGEGRVWSHVRYTVYPDGGSKRLRVYGYPLSPSASLTPSTSTELNLPVLPLTYE AFKPYGQVIQGYSFPSSAPKGINVTVANQGTAAKFHRLGKIEETYPEGVGKPGGSFVG CVKAQSRLEVRDGAKMKVELLERHPYTTQAFIPLGRPANSPPPGAFIVVVALNGADDK PDLKTVRAFLATAAQGVSFDAGIWHHSLFTIGGDLEYAVIERGTPDPSSLAYVEKVSP SLDTYLQIPPFPPTSLPPGTIETAHIPHPAPVHTHTQSNGPDHPKTNGHPAGSGFLSS ILHHGSSSTHASNSAILEPVLITPDNFSKYGSIISTSPSSTHKDSESSPDGKTIKHNC LAPIISTYPADSGAITGISVFRATRKVGLERGRVFDVRYMERHKYTSQAFLPMGKAEW SGQSEEALDEGGEFLVIVADNGPDDKPDPKSLKSFILPPNMGLSYAPGVWHHPVLILD STIDLACVETQISTGLHGETDDRDCELVSWEGQKVFGRVAVPSL L199_004131 MGDSHSTDIQDQSIAQDSCPANDEPSNNTGSQGITTCYSPDAYR DTPEGYEYLNSARSEGGFTHYYSGPYGTTETSEAGTNPRS L199_004132 MSQRAPSQDSEYSNSVNASNSGSTGDSSVTVETYLPPGTVIASV FPNSQLTGSYRSSTGQYTHFYNGPNGHFQVTECAWTEKN L199_004133 MTSDSRPRQQSVRHPITSGVRYYRPSNGSSRYYNSASRQWYPLD PPQLPRHSYSPYNDSYASGRRYIPYDNTTRTSYYYGPSRDDSRDRYNSTRREVYDDDG CVEHGDRRYVDEHDDPYQDYENYSDPYDHQDPYAVYEDHRRPTYYDSPEDNYAHQRYT QRRSNSDLDGCLRRPFPRSIRRPNASPGREGQCEAQSSRPRPSRTTIITKASGEGDDD IPPHVLDQLPRGEGYEFSYSEQTRKGTTYVFEKNRDKPGQEQGFAVVDKTN L199_004134 MSADGSSTGGSFDDTRSYTRTLTESADYLTKLSTALTIQKITNN LMMSNATSDVDRQTREISMKPFHNALNAYMTQIGTDNERDRLADMTNALARLEAEFGG RSNA L199_004135 MGVSLLKFSFIAASTTITTTLAPAAAPSATSAKAGAFDLATLFV ADKAARDEAAVELANAAKKNGVEFFGQIGLNDALVKALTDKKSAAAREGAASAISTLL ENGAAPLLEPYIVSSAPNTPFPALLEAFADKAKEVQTASLAAVKSLVQTMNPWATFVI LPALLNQIRTAGKWQIKSGSLDVLQQLISSAHDQMAQAMPDLIPVLAEAVWDTKSDVK KAAKATLEKACALCENKDIEKFIPALIKSLLNPIEEVPKTITVLSATTFVSEVTAPTI SLIAPLLIRGLDERPTATKRKVCVIADNMSKLVDSEYTVRPFLPRLLPGLIKTSETIA DPEARSVANRAIATLRRIGKVPESSDGSDLPPLKIAEGPNLATNFVALIKKDGVSVEQ ANPGIAYAGVLAASLVNAHNYQQQVWEAALPSYIKLALPSYDPLPAVRELLQKKADEE ESDELKFDDEEEGEDLCNIEQFNLAYGAKILLHHASMRLKRGHRYGLCGRNGTGKSTL MNAIINNQVEGFPPPTEVRTFYVQHDIDGSEAEISIIDWVLGDKRLLATEEEIRSTLE SVGFDAAKQKHSIGSLSGGWKMKLALARAILFKADILLLDEPTNHLDVLNVDWLINYL TSLTHCTSIIVSHDSDFLNRTITDVLHLNNFKLKRYPGNLEAFVGMVPEAKAYFQLEV AEEYQFKLPAPPLLDGVKTKEKSLLKMRNVNFQYPGAPVQQLFDISLQVSLSSRVAIL GPNGSGKSTLVKLLTGETEPNKGGQVWKHPNLVIGYVAQHAFHHIDNHLDSTPLEYML WRYQTGEDLEEMNKATRVMTEEEKQKMKEGAVVVKEGVKRLIDELVARKKLKQSYEYE VSFKGLSSAENMWLSRDELVARGFEKKVMELDTREAQRLGLMRPLVRREIEKHFEDFG LESEFVSHNSMRGLSGGQKVKVVLGAATWRRPHIICLDEPTNYLDRESLAALIAALKN FEGGVLIITHNREFSESICSEVWAMRDGHLEASGHNWVEGQGSGERIDKKKDDDDEVE YDALGNPIAKAKKEKKVSAADKRKAKKDRMARRKRGEEVFTDEEL L199_004136 MVDPISLIARFEHLPGRPKSDQARPLLEKIASQVKPIMKKRGWK VGTLAEFLPSNPSLLGLNVNAGQRINLRLRPPGNENTFYEYDQLVLVMLHELTHNVHG PHDAKFYKLLEELEEEYYELKRKGYSGEGFHGQGNHLSGLRVPEHIGRQKGLEAAEKR MNVQKVIGRGGVLGGSRNTTGKSMKELIIEAAERRSRDDKSCAVGHGQEAEDESRKAQ KESIGVDAVDLQKGLEMDSEGEISSRGRETDTSEAKVIDLTGDSDDEVKSDEKPNKIP KTTERQRTTSSSQIRSTTMNNNLNKLLSPAGPSTVSRPSSSTNNTPKQVAVTRAQEWT CETCTLINPPSSTKCEACLTPKPATTPVQVEGIKTDQGWYCTFCTSGPNDMERWSCGV CGEVRKWG L199_004137 MAGQARKRTAAQRKALNEDEEPLNPTEILDNDGQEDQIRLLRQK NIDDNKQAHLALDIGVLIALVITILQFFDHLSSPNPIFCILSIIQFILLPFSISPDRI PSNLPFTQISPENHLYSLSVHLTVSLCALFIRYHNSLPSSGMDVVALELGEVARWIIP TLVVGAVDMQRRGERQSEIRLGELEGMKYDLKGA L199_004138 MSQPPRPALKPGDRKDSRVRFSREELAPIRSDPSDFLPSHDPVQ HQHQRASVVSTYSDAQEGIDAEYYDSNSYPFHSEPVELGESVDTVEPTQTGYYREIPV QEDYHDQPQHDGYTAAPPRVVGGRRWSSAQHRPTLDTLHSTEEEYHQQQQMATSSPRG SIAQQVYHEDAPSPYRPPSALRSSLVHRDREIVNGSPRSGFYTEQDLPPTSGNRIARS SAPNIGYTPPRRMLEQHRFSVPTGSPNMNIGRNQEGFSPGVGNGRGTFGERERRYSPV PPYQQEEHEQRRDSHAPGQVQAYDDGKDKLWVEDPSMEKRTRSDSDETLYGEQDQKAK YSPNVRGRQRSLSDSSKLVVPGKEGGMRRRTTRQLEEDDDDASSYHVKGGVFSQLLKL TGRTNTMRRRISSRSGFGGGESKGPGLLPTMKSLGLRRLDSTASTTVGADEFDENDPR VTGQKKKHKRRNSLSDLPFMRTGTGDSMLPGGKRKRRASIQLHVADILTRQQFVLKLA KALMTFGAPSHRIESQLGATALVLEIDAQFIHFPSIVIASFGDMDTRTSETHFVKVQN GGLELGKLHKVHNIYKSVVHDEMDASEGTKLIHQLLKAPMEYNLWQRMLLAFLCSGLI APVGFGGSLVDGLASGALGILLSFMQLHVASKSAMYSNIFEISIATVVSFTARGLSTT GIFCYQAVASAGVVLILPGYTILCGSLELASKNIMSGSVRMVYAIIYSLFLGFGITIG SDLFYVFDRNARLASQNAARAAHSYAEIHGSFISDSMLNFTSATTGLPIPMFNGTFTF SNSSTDQITSNLNQGSIICVRDPDWPWWRQGMPQIYLILFIPIFSVLLSMWNMQPLRS RQLPVMCFICCMGYLTNALANHYIFDRSDVVSALGAFVIGVMGNIYSRVFGGTAFTSM VPGVLFLVPSGIAAAGGLAMTTNPHHSDSYSQGLIIGFRMVQVAIGITVGLFGSGLLI YSFGRKKGAALFAF L199_004139 MARKGAVKYRSLRSNLVHLPLSLFAQLAQQQARPQSLIIHLSPL SSSSSSSSRQPKSAYLGWSGLAAASSLSQAGDGLESIEVDPEVAMSLGWPESTLVEIS IIHNPTRANSVSVSPLSSDDWEILEQHASFLEDHLLSQLRAAQKGQEIDVWVMGKTKI RIRVDETNPSTSTKEAVLLNNDTEIYVAPKPRGMIQPKRQVIEPSVLENGIKSSSHKQ ISAVRLRMIPPRVVSDWGHLNIPSTSTASQVGICSSHTMEKIRRKSRLKPSVSEVKVS LELDDDDMKADADNQRKQDLPNGESREKQEEKKHVDIQLVEWDEMPDGYISLVGTTEE WENGWGNVKIMPLVGRKVKTRNKGVALALPNLSASSCLPGTEQLIDDATTYLSRTLST PLSQLLLLQGNKGSGKTTIAKIVAKRLEADRSILAEPIYVDVAKLDPESRLTTLKETM FSWIGNTEKRRPCCLILDGLDNLIGMETELNSSSNPAILADHFCRLFSPASLPPDVLV IVTAANITTLHPMLTAKHIFGQTLKIPPLTKESRQEILQSLVEQQLFPQPLRMNGDLD PEGNVDYITLGGMTEGYSISDLVDLVGNTTQQSIVRSIKSGDTPELTMEDFTLAQEAF IPLNLRGVALQKSDVKWSDIGGLHEPRRLLRETLEWPTKYAQIFANCPLRLRSGLLLY GYPGCGKTLLASAVARECGLNFISVKGPEILNKYIGASEKAVRDLFERASGAKPCVLF FDEFDSVAPKRGHDSTGVTDRVVNQLLTEMDGAQGLSGVYVLAATSRPDLIDPALLRP GRLDKSILCDMPTPSDRKEILQSVAKKLHLANDVDWDELGERTEGMSGADLQAVVYNA HLEVVHSTLENMTMNGDVTMKGKGKVKENGIRGDGDKRNYRQLIPKEEDGNAADRSEM INRMATIGNNAKGQGHDRPDALKDKQEAKKPTIHHHHLLASLESTRPSVSPADRQRLQ MIYRSFISDRDGKLGDGDLGRGTGTRMSLM L199_004140 MIVNPGPNDDLPSILHHAKPPPIAPPVPSSSLLKSESSISSGRK FLPELECMIIDELASSSLTNLRKKDVGDTAKLLLGPTPSYLDSRDELFKRRRMKRFKT ICASIVYLRLRDERGGQALVFSLYYAGPSASSLLPNLRYLILGNRFISCSSFLSLPSE KKNDYGYKALWLISKNVNPEHVCMTMERKWISPARYRFGLQHLVWLFEYFDQVGSLTQ QLDSGKKLVEVVYGKPGLRDRLQGFPTNSFTPINARMIASKEATQCVCCGKRQR L199_004141 MPAPVSDKVKICIDRGGTFCDVIAMSETKGDHLVKLLSVDPDNY PDAPAEGVRRVLEWFTGEKIPRNQPIDTEKIEFLRMGTTVATNALLERKGERCALLIN KGHADALEIAFQTRPFLFQLAVKKPEVLYSKVVEIDERIIPEWYEFVEEGKTVSENGE RLIKTSSGVTVRELKPLNVEEVTASLRGLYDEGYRSIAIVLAHSYLWAEDEQRIAKIA EEMGFENVSVSAEIEAKIGFIARGQSATADAYLTPEVKRYLNGFAKGFKGKLEDSKCR VSFMQSDGALADFKKFSGLRAILSGPAGGVVGFAKTSYDPLDGSPVVGFDMGGTSTDV SRFSGTYEHVFETTTAGISIQVPQLDINTVAAGGGSILTYSNQIFNVGPASAGAHPGP ACYRKGGPLTVTDANLFLGRLHIDSFPKIFGPTENMPLDYEIVKEKFQALTDQINKEN NSNLTPAEVASGFINVANSSMARPIRALTEQRGFRTSAHNLSCFGGAGGQHATALAAL LGMHNVIVHKYSSILSAYGMALADVAVDVSEPFVQEFSKEYLPAIDERFEGLKARALQ KLIDQGESSDSIVYDSYLNMQYQGSDTTLMIAKPQDGDFAKAFVEEHRREFAFVLDAP IMVAAVRVRATAKALSDNLSETSPYVEELRQLESSEISVPEPQPFTTNSVYFEELGKF TDIPLFRLQDLRPGMKVSGPAIILDKTQTIVLHPQNTARILKSHVYIDVGLGPKKEID LNSVDPIQLSIFNHRFASVAEMMCRALQKTAVSVSIKERLDFSCALFDPNGELVANAP NVPAHLGSMQYAVIYQANRRKGQLRPGDFLLSNEHTAGGGHRPDITVIQPVFDEAGKE IVFWVAARGHHTDIGGLEGNSHHPNQIDSMEEGVRFESTFIVRDGVFNEKEVVDAFMA AGDYPNCKPTKRIDHNLSDLKAQVSACAVGTAQLHALFEEYGKDVVHFYMRAIRKNAE ACTRDALRPYAGKTYEATDYFDDGTVVKVKIDVNEDGSATFDFTGTGPEVLANFNAPP AITRSGILYCLRTMSGTDIPMNAGVLAPLNIIIPEESVLSAGEDAAVSQGNGEVAQRV ADIVFTAFNVMSGSHGSMNGTHLMYKKYTWAETTCGGASAGPTWDGQSAVHTNMTNTK IGDLELLESRFPAILREFSIRRGTGGAGQHRGGDGIIRIYEARVDMDASHDGQRRVIA PHGSEGGEDGIRGASYLRKRKRNGGFRTVKLKPAHQISMLAGEQLIVHTAGAGGWGVP PGKEKQNGAQGPYVVSKPGSQPPPFTRANGSVSQWNQTQAECD L199_004142 MPPKRKATDTISDSEHASSTKGQTKRPTHTSRACDNCRRRKIRC DGQYPQCGVCKERDCPCEYKDEDKRRTHQEHMDLLNNRMDRFEKLIEDLLRNTAGGSS THSAHPAPAPPSVHPHTDYPHPQSTSQNQIDPIIPVSRDHRTSEAGPSNWNSIQPIPD VSGSTFREQSDPGVSPVTSSKLRNLGGTSGYERFQRVEESAGALLQYGPTSLWTVTSP REEKENRNEHSPDLIELGSGDWIDWSYNLPEALNITRTIHDRVIGHFSAFYAPWGISV DIPSFLVDLNKCNLIRAATQTRPQQSRTASYSPLLHCCVIYLGLRLIKHEYPALMKTY ESVFIKHCMNLLLEECDHTALSSLRAYNLFSTCLHFVRMSSSENTLNAGQRQFATGYL YSGMTIAGVHALGLNINCADYVSKGLISEKERNLREYAFWTIYIFDTLRALAAGRQPM FPDQTEVPVPTIDPAMDDTPWTAPTTPIGNGIGVRSLRSTTFHWMAKLARICRSILEN LYSPASRSTPARQDEIIDTVSLKLDDWYKRFPLRPIEITPLPHILLLHMYYHLSVIFV HRPFYRGHRMESAQRCNTAAVHILELLHIFKRTHQIRYAHHNMINVIFGAATIFLLRI AEASNTNDSDVHKRNFDQCVDFMAELSLTWVEAGITRNILIALQSEYELPGLGHPDGH SQTPQTAGMGIGNTDMTTSTVPWIDPFNDMQDIWGMMFNDQSFQWQDFGNGTQ L199_004143 MISKESPILVVGGAGTMGSSTALHLARRGYTDVRVLDMFQPPSA NSAGNDMNKMISTQYVEGIWGRLAFETWDAWNNDRLFTPFLHPVGRLDLAHNDEGRLE RLKKQYDLNHSTGRRDNVEWLRSKEDILKKASYMNQGDVEGWEGLYIRDAGWVAARDA LTAVGAELRKLGVKSAFGTSGTFKSLILGEDGQTCLGVRTVDGTEWPADLVILATGAW SPTLLDLEDQCVSKCWQFGHIQMTPEEVKQLQNTPTMYSSELGFFMEPSPEGIMKFVN EFEGYTRLASCRPFGSDKDITMSVPRSHALNPTDTIPEEGEQAIRKVIKTCFPQFADR PLFDKAICWCTDSYDGNWLLTEDPRYKGLVLATGDCGHTFKMLPIVGRYVVDLIEGKL SDEDRLRWRWRPEGKKAGDIGREGPKPDDLADKPGWCHDEEKGDSTIAALASRMTEAK L L199_004144 MGTTAYHEHDDREKGDMIEPQVVPHAPDEPVIHTKDLDAIKDKN YDVGAEYFAELAQRADAHEIMAPWTEAEEKAVLRKLDMIVLPLVTMSLMMGGIDKVIL GTSASFGLRTDLHLVGQQYSWSSSIIFFGSILTVFPQSWLIQKLPTGKVFSFNVFMFG IMTFATMGVKNAGGLQAVRFILGMFEGMNTSGAGLVIGMWWKKEEQGVRTVIVFNTLS SVVNGLLSYAVQFYTPTAHLSRWQLLFLITACISVTFGILDWIFFPSNPTRAWWLTER QRYIAVARLAGNQTGMVNTHTKWDQVKEALLDIRTWLYFLISITLNIPNGGLSGFYSI VVAGLHFSTKQLTLMNMPTGPIGWFAAFFWVWLAKRTRQPLLCAMGSVIVCLIGTVVL KVVPHSNIGGSLAGLYIVYMYWAPYMVFGQLIMYHNVGGTTKKVAVFGISYLGYAVGN LVGPQSFRAKEDPSYPTAYTVMLTGYCVCMGLLSLYGFLCWRDNKKKVIQEQEYMSAL DGQEEDIGAEWKDLTDKQNPKFRHTY L199_004145 MDINSLFDVKGKVVLVTGGGRGVGEMIAAGYTANGAKVYISSRD VKACEETAKRLTKDGPGECIAIPADLSKYEECERVVAELKKREKVLHILVNNSGATWG EELSTYPDSAFTKLMTLNVQRVFTLTQKLVPLLSKAQEGGFVGRIINIGSINGVNPPG LETYAYSASKAALHQLSKHLSTRLGPSIAVNTLALGPFRSRMMKFTLDNFEKELADSL PMKRIGEPTDVAAACLWLSSKGGEWVTGTVIPIDGGSLVATQAKL L199_004146 MTLSLQLTHPKIYLIPTILLLLTLVWTRGVSSPIYLLISILSLW WKIIRFSVKWFFYLVIPTLLPQYYILWPWVIRHKIPQARAARFSIVGAFRGLEWKKDD VDVRAESGAWRWGGRKNEGVGYFVYRIEDVVVKVRNGAGKALNINQPSQTSIPLRSGL PPLLRSVFQTDGFLVKNFIWAIQVVIHYIPGFARILSIELKNCRIILEDFDNIELVFD EFSFGAMINFQGVADAEPPNPYQPNTPTPSPQAGCQEFMRQNPLIYSPSFAERGRTST LTFSPPNSPPWSPISSPPMSPTFGSLAIPEPSLGGTPSRYERSTSRLADARRRASVFS SSTSATAGYVWNRVTGRLYGSVVGTASVVNVRLVQRKSSILQQSDSVSTQALSNSTSF RSIHALLRHTTSNHLPPITNEGFETLIGVSGRTKASLGLGFGPKKGLWGEDTLEGQLE AGEVKAHIEGVQKLLDMAKRHNRRSGKRPVHVRPNRWSSRGWSRTVLRAIRSVNVNLQ KFTVVHYLSSPANPSPSSVPPANERYTVSIDLTRLDLTLTAADSSNNERARNAFGTNP APESKIRGVGFSVNWESINLDCLAPQEKSEEKSQLFVVQNGAIDGFSSWRPAGWRREE LLFSSDPNLALIVLRGEIGSVNTAIDLQLLHELAAAWRLTHPKEKAQQAVDQVQQQSQ HNLPPRLRMVFNVGHISAHLADRLSENSTALTFDSDGVHLGCFTAFSDLVGRRRDKTT NRKAFEDEEKIRENRNKLKCVDLVLPSSDLPSEARRPPDRPAAFLYEDFSTCLRGDAQ MNIEPIRIKIKLSDDKFSELASIGRIHGTVGGDVFGRTNYIDGRGFIESTSFDWASLS SSVDLGIDEGIHINLWRKDVIDALIAMGQAHQQGSSQEPRRSASQTKSPLDRLPSGMS ARFSLGTINLFVGHKDINPALHSTNGSPVRGTWIQTSAVFEYALYRHYAQAFPWRHQL TAPTRVKLHLPEDITVQALAFASRYRPNGGSAALTSLVVEDLVMQPIYNGQKFAARGG TRQKLVVKSVPSNELKEDQCHWGSQRLWARLKAVREKEMQQLPDDQRHTSTLPPLEDY LSKLEYNVPPIEVTGTEQAQRPWLRVKNTRLHLTIQQTKADVDTEFKITARFDNVAMI SNHSHLYSNLHTALTLRKLANAWKRPKVIDNTPRQSSNLSISILIPNFTAHFAFPLKE QIYLYSSHVSINKLPSKGLSVSADQALVYVPSPTIVGDWEELGRIKKLAIQFSDPGSP LLIHPKIEAIRVRIPHKYLMNSLILDINVTIKALKVIIVNFFRSSEFITRHRSIAEQP KRVPKICLEIGYISLEAKDDAADTSLNLIWRAGFLEQAKRNSLEDVFAKKILILNNNS NSSSEDSLHDNGSNRPEPRLTKKATVTPEEARQRLDSHLAGNWIKRMKAAKHEQRRRE AMTLKPMHGCGPNIKLPISIVPSSQTAPLFRATFQDAKFTVSDPGLGRNEIIEYMGKV SSPFDEGTEFSLMVPLKLDWTMSEAKCTLRDYPLPMLRIQPSSCVPPTQDGMLSPPTF QMTSTIIIAEEFANDDSTVYVPVEVLPKHCGGPAYEGLWVNVAKTIMPVKLYGEPRFK INSKKTTEFTWGMSYQFAVQDFVKVIETFSHPPRDPSPKLGAFDKMRLICHLKPIVEF EGPVHLHLKGTFDPYQLTGLGAGFALAWKGNTKLLINQPNEDHEAVQIVADHLLVAIP DLTALNDSAATGSPTRSDDTSTPLSGSTFTHGADESESSLINRRYTKPCAKFVNGTKV GFGFGRERTCRPWDCENGCGDTDNHLHRKCRKFDFLPHQKVILRSPEAIKREEEKVGR PVDSYEGFRSDYTHFSVSVIAPTHPVVEQRLENEDPANVNSFHCTPKAMHHFLRWWRL FNHVTWLPTREGPQEGGSPGSLYIGSRRKSKKESKPLATLKVIYVSHIYPQVTKELWA QGKSESLGIKVRAGRLLFDAHQRLQEKMEYKEKLQEFRANTHRPLYAADVVADDLTIK GIRAHFIERVRLDAQLYEALPRASELPRGTKVWFDLTDYIDADRKPLDEDPQVEIVDF GDCPHVYFCRRTNTTDLNQNAKRDDKDRKSASDGVESSKFGFEKTHHCYLDEAETRAE VDMRIVRKRIAELQARLESYPTANSAEYQNDLMITQNAINLLKKHLVKIERHNPVQFD ESGRRKSPERPFQDTIEVHSPRLFYNDLSRPLLWAYAYSVSDRRKEEYHVSHVSLRNY REQFIRRRKRFLEALDNSEPHETNVPEDMVGQLAKSLTEKPLDSIFQFLNIDNNPAAY RTASLGIPSECTLRPKMQISIFKPQIALRSNATESAIVLLAVEEASIKRFAVEDPESE ADVTSDVLTRSYALLKEVQAFYPTTEALNRERSGTVSSGLDFVPLEIFLDAKSQATDY DRILLRTDIAGSYDKFNRLRIPRQLRWPKAVNENGDPIEHLRIHQDLMTIITPQIKLF ATSKHYDALYTIITDLLIYSDPDHQHRNQAVKDFSRQFDSADRDTNRLLVDIHTLQQT MRHLMELQRGYETNLEKLEEAGKDELFKIRADLAEGYESLYTINAMIANTLAKDDARA FLKNALRLDIRLREVSWRMLKEDCISSLAQVTMGSALCSYSNNKNGSTDCALVLGTVL VSSLAPDAKFDGMIVSQDTSTWKKKGRPPFAKVYWSSYPPIGGIPVFPVVDVELASVR FGIEEKMGHQVVDYIFSDRIRRRRERAKLQQSMNGNGAAPNGMNSTKTKSDKADTRSI SSKATLSTDDLSIPSSTTMAPSSRNELYPLSRSRSQVSINSHNDIETISAFNNGQNDD AKEMRARASTNRYFGKISFHRMNLTLSYTRDDTRKHSTLSMPECVNFNFKAPDLVYTG KYWVPEEIFEHVKKDLKSSAYAQWTELIPQIFKQTSLFRSKRTLRNLTERTTKAVGNN LPSPLKHITTHSSTSASIPSTNRDDEPTQVGSVERIKSSSMKSHKSDHNQTISTSPSN MIMLAESPQVDTPSTYSTNGSGSGSRSIRSNGGGAGLKSDDDTEDDHEHEHEHKEKGL KGLLGKFGVGKHHYSNNHSTDELSRIRSRVTSNSSLDRKSHQTSSISFG L199_004147 MPRYIPLSTLPSPLLPHVRQLSINPIIKPLSQLPTPSDHLLANP NKPANLRVQTWVDGRGRWNGVSQRERLGGKKSVNFGVEREGRRWGGLKWALRER L199_004148 MSKILGQVLVVVAVLALVHAAFSTYEHLSTLKALSRPTGSLPGS IILEALISLGLFIPGIALSSDSLEDVTYRGELAKRSIDDQDAKMAFMIPSKRGQAIFG DQQ L199_004149 MSRSYDRALTVFSPDGHLFQVEYALEAVRRGTCAVGVRGKSCVV LGVEKKSTLQLQDPRTVRKVAMLDDHVCVAFAGLTADGRILIDKARVECQSHRLTVED PVSIEYITKHIAGIQQKYTQSGGVRPFGISTLVVGFDPNDTVPRLYQTEPSGIYSAWK ACSIGRASKTVREFLEKNYTEDLPRDEAIKLTVKSLLEVVQTGAKNIEISVMESYGVI TNLEQSEIENIVSQIESEKEAEAEKKRQRLAATQAGQASMAMGSAAVSGTQTPAAGGA GDGEGHATVPGEETGVQ L199_004150 MAAASSSSASSTSKLDVPLHIKYIQNLDKRQDLAYHLTSHLRLN GIYWGLTASHIMGQPSALDREGVIEYVLSCWDEEAGAFGPHPNHDGHILATLSGIQIM LMEDAIDRVDVDSVTKFLLDRINPDGSVSGDSWGEIDTRFTYILLSSLSLLGRLNHIP QDKREKIVEYISRCRNFDGGFGRVPGAESHSGQVWVCTAALSILDRQDLIDIPLLGAW LSERQLPNGGLNGRPEKLEDVCYSWWCLASISILGKLNWINKDKLIHFILGAQDLEDG GIADRPGDWVDVFHTIFGVAGLSLLGYPGLEDIDPVYCMPARLIDKLGLRKPYATLPR MVTYP L199_004151 MESEPITPLPTPTSTAIRSSFIISTFPQIVSELIQNSLDAGAKN MDIYISLAKGNESIRVRDDGCGIGEEGLRKAGKRFRTSKTLNEANLGSVRSYGFRGEA LSSISSLSLLSITSKTTSTDEALTKIMKSTKTLYLGIDPSRHIPSKSGTIVTVKEIFH NIPVRKEEMLRVNEDTLMRQCKKVVEVFALARYGVNWSVWDDRGMGDRRKVLDIRGTK SSVQVFRALYGNALVRRVQSIRVSAGSRRVDGFISLSGDITKIHQHLYINNYPITHSE LHLAIARKFSNSRFGTFASAGQHDELEDHDAKKRRSPRRLERHPIYVLNVSLPSEEVD VSFEPAKGILGYKDFAKVQALLLAVVDEYLKKNGYDRIKLQDASTSPTKGSPRKATSS VTILHGRSPLGKGVTSHHDSHQEWDLTRPTPLSFSAIIPIAAPTHQQNQEDPQLLLSP GLLPPTPPLTPFDQLLVTRKQIRIDVDGYSPRIAEKPSQECQWIVDLENRIDGGVLPF SKPSANRKRSLEAMQSDEEHNDHGHSYQHQADDPLSAKSITLDSSTKQRKVEIQLCKS SLDHAHVVGQVDQKFVAITIDLHTSTGTNEDKAVVLVDQHAADERISVENILASLCMG FRNDSMAITKLMKHTPRIILTTQEMRQLSQPGVKEVFKRWGIHLTVPPLEGLEGDYVQ MQVDAVPSLLVGRLGKKEGVEMSRLIRGHLPVLTDDLGVIEALIGSFEQSSDKTEEKR NDWGKEMRFMPREMLELANSKACRGAIMFQDSLDLDQQSRLISQLGQTKFPFMCAHGR PSMIPLIVLQNLSVTETDTEIGKVWKRDIDWKGWKAKRK L199_004152 MPYRARCNPASLIRRHNASVTIRRDPSVLRHGSVQEGIRRGASI PMQGYRFNSTSSLLSPFTSFFSRAKSLTPTSPDQAASEFVNSISSSQIESLHSSYRTI VSSPSPSNCLSAEHLYDAITLLAESRSLRDLELLRRIYDDLPNRFGYTIAAEHVNLLI KALCNNGLTEEALSLAQSIDPEDVDWRILLRSASTDYPFLIDTVIPFLRQYSTLDQSD IALIIRSIRNTHSRSTGSLSRSKLESVLGDIREKGLMLEPSTEAELMRLYISLGDLDE ANEIVSRWNMKNIVSPGLWNAIVEMAIARDDLDMVKNTIENMKEKGIKPPQKALTFLS LRKLRSYISSTSIVGFSEIIGSVDGAERVCGIEAKADVWAEAIRVYLLELKSHDKLDV VLEVYSEILSRGIEVSADLARNIIIPLSNVRHHSRLDDMIRIYDDYSSSSLAFNTKKE KNKFQNVYQYLLMACSKAQPPSTRTALKLLEDMKIHHMEISSSNMISLLVLLMKSSED HYSAFNLYSHFYDLSPGSIDEEGYKVILINFLNLYWTQSPFCPPELFIAILKDMSRNG YQPDSHILSSLLKQYGSQATKLRRKLRPPPSTPSTTPSTTTTTSTSISPFEQEYQQVN IGEQLDILSQSIRDIHTLLKLDPLIIPDIPLLSSLMDAYARVGAYSECFEVWDELVSR RAREPAQNLRSLYAASINVILDACGWSYSLKRGKKIWAWAKKWDLAWEKKHYDSYVEF LCRNSQLAEAAEFIFDEMVSPNPQADKESVRIVLKFARREKDAGRSGLEAMRDFVQRL KVEKKEVYDQLKDEGELEGY L199_004153 MPYSLVHTNEVNLQPSDRTLLLPIGSLPEDIQYSVISAFATLSF ENLKCVSSLNSRFRASFNSLLFHSITLSDEDAHILKHLCPPRLQSAAPPVLDEFRLQD LHKSKNQYTRFCSICSSARNLSIVGETILEVIAQMLEQEGTTNFFPQVEQLVFRNTKK GHGLERISMDTSIEHSLGKILTTIQPRKLCLNLGIPFSHTWHNLVQNLADGGLGGIQE IVHHGVPISNMKVEFLILEGVAIQRFFLEDYVIPSYSQTTLKVIYRWASPRNDLYIHR TIPQEIHVYADWRGSYGLPLELVDSPIEDMWELKKVTHIQQADEKVECECCSSQKL L199_004154 MADKETTNMTSTPSKVNITSSDGNPPSVGDDWKMDDIFKENTSG SLLIGGYRFKDDTLMYDTQTQRTVFFQKDHGWDAFSGLWNKGTEYNPNNLRLIKKRRK YGFFRSIPDEMLDSDDEMPGTFPGTHEQYKSNV L199_004155 MSLQSFQEFLDGIDDTLDPLPSPPTPPLRPRKPSPKFPEDVLST IFDIFTSINFPNLKIAVRVNTFLYNRYQPKLYRYISLNSNNVGDFQLHATLILAASDT GLPPRRNRDRFLNLCHSITHLEIDDEEAKNLRAYDKKNPKSPEIWKLEILKSHWNLHS MTFHNDVGSTRIIFTGVPLQRFFMAHLAGRSPSVLMPSTEDNIGNDQTRVQIYQDSPN HTVGMSVDLQRRIRGQDVTVFGDQSMGECVCCSSSRRISEDVISIRW L199_004156 MDKPTNIRNMSVIAHVDHGKSTLTDSLVSKAGIIASAKAGEMRF TDTRQDEIDRGITIKSTAISMYFPLLKEDVDDIKQKTDGNEFLINLIDSPGHVDFSSE VTAALRVTDGALVVVDCVEGVCVQTETVLRQSLGERVKPVLIINKVDRALLELQVSKE DLYQSFCRTIESVNVIISTYTDPVLGDTQVYPEKGTVAFGSGLHGWAFSLRQFAARYS KKFGVDKNKLMPKLWGDNYFNAKTKKWSTSAAGGGERAFNMFVLDPIFRLFDSIMNYK KEEIPTLLEKLEIKLLGDEKDLEGKQLLKTVMKKFLPAGDSLLEMIVINLPSPVTAQK YRVETLYEGPMDDESAIAIRDCDPKGPLMVYVSKMVPTSDKGRFYAFGRVFAGTVSSG PKVRIQGPNFVPGKKDDSVIKSIQRTVLMMGRSTEAIEDCPAGNIVGLVGVDQFLLKS GTLTTSETAHNMRVMKFSVSPVVQVAVECKNASDLPKLVEGLKRLSKSDPCVKTWMGE NGEIIVAGAGELHLEICLNDLENDHAGVPLRKSDPVVGYRETVTAESSMIALSKSQNK HNRLYVKAEPLDEELTKDIEEGRVAPRDDPKIRARYLADTYGWDVTDARKIWCFGPDT TGPNILLDGSKGVQYMNEIKDSCVAAFQWATKEGGVCEEPMRGIRYNILDCTLHTDAI HRGGGQIIPTARRVCYAAQLLAKPGLQEPMFLVEIAVPESAQGGVYSCLNVRRGHVFS SEQRVGTPMYTMKAYLPVAESFGFNADLRAATGGQAFPQAVFDHYALLNGDPTEVGSK LNTLAVSIRTRKGLKPDVPLYDHYYDKL L199_004157 MSSYETVTTGRSKRSTAGNRMRELLEKAHQEDEDELFKEVEDDE EFAAPVEVKDVYLEEFADTDEEVEEDEDAEERAIRREERQRAKGKGRAIYDPLSSLNK NRLKPSKPDPTAKLLSDPTISLLDPSLDPSSMAPSTLVLAIRKQRREAKREQRSEARR SNLRASTLKTEKEILEKENQQKINRDKYPSRGKRKAVDDGPKTQDELIAAALEEEERN KEALRDWLKKEDEKRELRKIGRKRVKGPRLTWVSRTVGKLVEIIGEESVVKEVDRGKK KDEGGEKEVSTKEVIVDGSPAQTQTIPSTSEPPETAKEDLPGISISEDADEPPTDQPG TTESIQPQKETTLDMPAQQDTIESTKSSEQIEKPPSDSLQQTATSNDTSKPATHDPKK AIIPITSVSQPTSKVPIPEPDESKYTRNYLILSQIPGGLTEEIKLILGDHVEWDEVLY IPSRNRPINRKPPICPFTGLPARYRHPSTSIPYATKEGYHQIEALLVERYKYDVGGWW VGGEEDVCADGMEGVEGWWEAVNGGWLGGKGIPEEEVQDQPEEVDTPMDIDLEDVKGK RKRGKDSQSATPVPATKRAKGKGRVSVVEETPMILVDDMKKSNRKGKKK L199_004158 MSTPPFLPLIDPSGSTLLPSLALLGPVLIPATLVQAVLPTLPPQ ASYYVQAAESSDDLISFLDNGAQKIVVTPPQVEELAGQVPKERLILKIDESEITNYQQ LFSQISGIYLISSSAHTAKSLGLSNIDIYIQNPSPNPTELLESIKSSRPSSYVIPTEY LSTSPKTTSSHLSIAEAFLAPIISDRPDGLFPTIVSSSDYSSQPLGLVYSSKESVTEA IVTQKGVYQSRRHGLWRKGETSGAVQELLSVKTDCDSDALIFEVVQHGTGFCHVPTQS TCFGNFTGLAKLENTLKSRLSNAPEGSYTKRIFTDEKLLRSKIMEEAEELCDAKTKDE IAFEAADLFYFALAKCISQGVNLKDVEGALDKKSLKVTRRKGDAKPKWEEKLNGAGTA KAELPAGAKEGSKPTEPIPQSFPEVDESKIKMRNVKLSTLNKEQRKDLLLRPVLNSLA MIDKVKPIVERVRKEGDAGLKAMTKQFDKADLISNVLLPPFQTPTEDQLPLDVKKAID VAYENVKKFHEAQSEKKPLEVETMPGVKCSRFVRPISRVGVYVPGGTAILPSTAIMLG VPAQVAGCKTIVLATPPRPDGSISPEVLYVAKLTGVTCILKAGGAQAVGAMAYGTDEV PKVDKIFGPGNQWVTAAKMLVQNDTDALVAIDMPAGPSEVLVIADHTANPIFVASDLL SQAEHGTDSQVVLVGINLTDKHLEEIENQIDIQAKALPRVAIAREAIKKSVIVLVDNE QQALDFSNEYAPEHLILHLEDSVKAVEKVDNAGSVFVGAFSPESCGDYASGTNHTLPT NGFARQFSGVNTLSFQKHITSQLVSGDGLKVLGPSVVRLAEREGLEAHANAVRVRLAE LNK L199_004159 MSSGHPGKEEERVDVEEVGSENSSEGHEGEGGSQTEEGQENTGQ SVRFTEDTDFTTKSTYTRNKKGRSRRGGSSEAYQGSIKTLNFLSGYSRR L199_004160 MAERSTRPSNIFPTTKRSSKPSIWEDDPYKRRAKDLAKKESIFH GDQHLESRTREMLNKSKWGSKSSYSDRIKYTSGSGLSGGNRTTSTSSSKKSHKSTGNA RDTTSYGLGPDEEPRFPVPRRIGTLSDLMTPRHLERRRFSDGQIGVMSWIASSGHKPS RTRRY L199_004161 MSDTTSSIGSTKDGITVTSRGLERRGSSTIKSSRKSSQEKHEQP MKEQKERLFGGNEKEEQNVRRGLTASQWSGVSNFGGKNIKTTTPVGSHTKSKSKSSST DDTEQGAQETVEAVESAGSGSSNLDGTDRHPSTTRRRSSVTSATKPGPRMTAMLNYIT KT L199_004162 MLPSDPPKPSSRDFDPSTMTVDDDVEMRSEDESPGIELSSVEIN ILIYLYLLESNFTHTAFTLLSESNLPSTSLFQHFNPSYPTPSNLNNGKSSRPNGATPM QGTSKSPVQPNFGRSEGRIERGELIRKLWKAVRWEEVERHVASNGEPYKPSCPNPFHL LIPHVCPPSFPSSESNPPLPLPEALRSSTPPPKRPEAFPPPSIASNEAGPSKTITASG EIRNKRKARQPSSEATSRQPSPERSNSPARFKSSEKDIQVQKKDKEKKKKARLSEGTE NNDNNMDIDQEEDSTPIDKKEKEKEKEVKIKSPKKKDTLSLKVPNSTAGSRAQTPESR KPSPSIKSKDLPKDSQKNGDKEISGDGRVGNWVEHRDAVSCVAWNPKNRDVLATGSGD GTARLWEFTSSSTSPTSHLTTLKKPAVIYHKSIESSKKNVTAVCWHPDGTMLATGSYD GVGRLFTPSGNMHGIMTYGRGAINALKWNPSGSAIIMAKDDFTVTKWGYGFDGKMDLK NSFDAHTKEVNDVDWLDDDVFASAGNDHTIFVHRSNDKRPRFTFKGHSDDVTKIKWSP PTSSGSTSGTTRLLASVSDDGNCMIWKLPSYPEPSSRTGGSRSLSPTKGKEGSADKDN DDYFNGGTPVPGADHCLHRLTVVGGSENKRMNTLEWSPSCENGRMLLAAGGQDSTVKV FDALSGECLHTLAGLETGTGSLAFSPASFGGRLGALAAGGWDGHLIVWDIESGKIMLQ HDIEEDAKKQSVREQPMMLAIAWREDGKHLACGLHNKSVMVVNVDGLTVDDK L199_004163 MPAISFLPFLSSIPLGTRLITLTIILLSVIGQGLSYLALDNSPE PGAWGSQLPWLVLVPGKSIWYPWTFLTAGLVELGLFGLIISVISIPLACRYLERVWGI RELIKFSIITIVGSNVIAFGFSWLMWFVLGQQDALYGLPYHGLSGLQVGFLVAFTQLI PEHQVQLLGKFKVRVKTLPGIHLLISNVAVVVFGPSPAILIQFGFIVAWVYLRFFKLS ENGEFRGDRSETFAFQYWFPPIIRPYVAILGNTVFKLAVKLKLVQAWDEPINAGAYTL LPGPGGARAEAERRRALALKALDARLASSSPAPGGSGSATSPNATSSSTVPSANVPPA IQATTTKSGAEAKV L199_004164 MSEPHHSHYTRRPSSGSGSITSPTSRMVMNGGDRRILEASPPRP TPSGRMSLSALVNPSNPPSPPRVTQSAIYDSPAYPSDVGSSSSSRYGRPSIYDPPSIP ASTSTAAYYDKVYDEYESGFGRPSSYTDSRERGIRAHEGLPPNPTSSSFTTRDRERDI AGSGGGGGSSRRYPEYNSPSPTKSRYISPTPYLNGNGELPRPRSSISLSPIKLTSQPP PVIPTSSRAIRPDDKLLGNEDIWEVNLKKYQHLREDQVEEVNKFVLAYKPPVNGTSST KKQPRKSTLTSEEPLNLPQMPGQVMDEASSSKGKPKEGKRKYNRKSKGGSGKPPGVNL DDELLGLAGDEAASSPAFPPSDAPVPDFGDDESHDDEDEEEDEESKDPMSIVKPCGLT RAEVISKIESNDISGLTEDDVKAVQDEMWLRMKEKEGGAPVNKDGTVRKKPGPAKGWK RIRGIEARKDKGKKSSVMDDMSEIGDASTVNGEADADIAALLGDELPAPGGSSKKSSK AKAKKRKLDNDNEEINFVESEDDHTHGHRHSDGLIGEEWMEEDRSMRAGSVGGSSVMN EGSVTHTGSKKKSKAKEPGVGKGRWTRPSKSEKEEIPSTTVGTAPATGANTPSGGQKG KKPIAIAPQPKPQLPEQLDDVNAMLDLPFAGSEIVNEAVPEKPPGPAPNSYDPRGVSE AEARVRLGLVEDLQKMVWSNVVRDVPKIYRVYQGYDTAVKQSASRRIQAAVRNGHGQR NLKLTQRNKNIRDSISKAKRVVKEMLVYWKKNEKEELIARKKAEKEALEKAKQEEEAR ESKRQARKLNFLLTQTELYSHFIGKKIKTHEAEQAEGMEKPLEEGKHQDEELGLGDGG EALPDIDYDDDDEENLRRHAARGAHAAVQAAKDKAAAFDQANAQRTGTAQEDDTMDGD ELNFQNPSLGENSVTITQPKMLMAQLKEYQLKGLTWLGNLYEQGINGILADEMGLGKT IQSISLLAYLAEVHNLWGPFLVIAPSSTLHNWQQELARFVPRLKALPYWGSPKDRETL RRIWSRKNQTFSESSPFHILVTSYQLAVQDEKYLQGMKWQYMILDEAQAIKSSSSARW KSLLSLHCRNRLLLTGTPIQNSMHELWALLHFIMPSLFDSHEEFSEWFSKDIENAAGG SGGSLKPEQLKRLHMILKPFMLRRVKKHVQKELGDKIEIDLLVDLSQRQRNIYKALRQ RVSISDLIAQANNATDTSGAKNLMNLVMQFRKVCNHPDLFERADVVSPYMFGSFSQSG NLAREGDQLYLPDSARNPIEVNLPKIIWTDGGKVDLPSEESLAGSDTYVMRNLMNIWN EDWINQQSHINNNEYGFMKILDKSPSEISKSAKSHPLISLLNESTTKKKKVVDSPYID ENDFSAPSSRKKFNVPVKVPHAASADGIPLREITTQVWNQSFLSRPDARFASDHVIAP LIKPVIFNRSYLNYQDHKLLDDPLTRAVLYGLSPSEIDDPLAVRRLSHLSQSDGVLSP KGLVDTTPNDQLPISTLRIPPTKRLVVDSAKLARLDDLLRELKDGGHRVLLYFQMTKM MDLIEEYLIFRQYKYLRLDGSSPIGERRDMVTSWQTNPDIFVFCLSTRAGGLGINLTA ADTVIFYDHDWNPSSDAQAMDRAHRVGQTKQVTVYRLISRGTIEERILKMARAKKDVQ DIVVGTKSISDVAKPSEIASLFMDDEELAESVAKRKQAEAHGYIAPTLTTTRNGRNGF GFGDSLGGLDDDDDDDGFFKNPNKGTGNNEDEDFGDEPSSNGGTGTGTNTPKNDGGKK KSNSKRKSIPEGSSAKKPQKKKVKIALGPDGLPI L199_004165 MPVSSDFSSPVYWSNRFESESSFEWLISDEDLLPFLEGNLPQPF SDQDDELVSIPTVNNDDQQRSTNASPTLNILHFGSGTSSLGSSLQRYFDSAKSTSKTK AKGKSRDKVQVYDSDYVPTPQSSHPSDVNVPFILLDVLSLQSLKSNTPEGKWDLIIDK STCDAISCGGPLPRSTVDQGEEEENGSLSNRIERLLYNLSKVTKVGGRWISTSYSSNR FDDEIYTKYGWKLIKKQMISTTYIPGGRIVKDPRSGEERVVHEPETGVWIYVLEKV L199_004166 MPSPSDDIPVTSTLTPSPSPLPTSSALSGDPSTSFSHGNGPTTT TLPAHGHKHHEGPLMYKAYVMPYSWYWILGVFGLMVICHVYRQVKHGYRKRRYIRRMN ENQTSTYKPISTNDETEEDEHQPLQPNAAESATTITTTTDLDKGQPNGFRRFTTGLSA SFRNKMYLTSFPWWLYGPETYMDATFTILYCTVYMYLCLHLTDSWFPLRNDNIANRFG IMSFSQLPIILLLVSKNNPISSLTGITYQKLNYLHRASSRICLLTSWGHAILWTPRVW KARDFRQYLLCGIAALFGFTMLWVTSFRFVRRMAYEFFLASHIIFTIMYLVGAWFHWR WLGQWVIPAMVIWIFDRLLRFAQVIYQNNFHKPSKWATSGDCKIELLDHDVMRITIRR DNFHWKAGQHAFISAPSISGLPHESHPFSIANVPTEMTNEAYFLVRVHSGFTKRLRTS LSSDLATDIPLYIEGPYGYPHALDSYSTVLLLAGGTGVTFVLGHFLQILQNLRKGKSA VKKLHLVWHIRHAEDIEWIAPLLNQGIQDSLEVQSDIEITIDIYVTKTHSSDEPWPPE LDIHLNDVNQALHSIGPRILQLRGQEQWDDGCRTCTPVTPMVESRDEPILLPKRQVVL GRFGLSAETAEKVNWRKGRADLRQVVKADVESSVGPMNVSVCGPVQLLQASKAAVREV SNMKSCMEGMGSIDFFEETLGA L199_004167 MSTPPGPGMTFHPPPHPGGNNPWINFYPSRPSLPTQGSTSTADT SMNSIEEGEEEMEESEPTYTGRPERKKKFPSQYDPSNRKQPSKSKGKGKAATQSRSRS SSALNRQSTSTATSSTDAEIGSTTAIFLPDPYQPPIQLKGLSKNGLLPAPPGCILDVN DLLILHPPEKHTEGLHGHTSQYEMYTCRVCSKTYDGKNARSVARRHLQDKHGVPLSVQ KRRSRWDYEPDRPKSRQDAKERSLKSKRDWINKHRQIQKLEQTHDAFLERFGPSGIIT PCGMRLVAPKYRGETEAPTVKNNRFLDGTQGNLIIPEDILRGVEAIREYKGVPRYSGE CDGHLPTDEPEVSVDMTEGKVIVKQGKGKKKSANVSGGFSLCASTSQSEINTRSTTPL QALPNNVGSPGQQYYHQAGTTTTPISASRGIPPDPPQIYPWQNQPLQPASMTFMNGQY HFIFQSQYQQYPAPMIPQDLQVVHVAPIDEDSLPSSPEDIGPMPEQQWVPSDSWSSIQ QEPQQLEPALDITQQWQGLQLSSLPPDEEPEVPPKIGTREGSVETEGEAVAAETLLNL HSTPLRGPEENGRNDIQLARAQAKLILPPEVFDKPLSDTESMQDKSSSAAWSTSLLSA PTIISPTRSRPVRSSSIIQPFRDPRPEITRSLSFDARPNLDDPFVLSDTPTRPSSANG FNKPTPFSSMRRKKRHTISMPSPSPLSSGFKKRKEAPTPTSPLPNTITTSLGTRSALR PISTNISVKPNYTGAFATPIKPSGSTSLGPGSAYPQSVTKEWLQFSSPNNADAAMSLG LVPTHFAPTTPGLRGIIGAETPEMTVLEARAKKRRSEGGNTPGVGWGFARK L199_004168 MLRITSTLSFVGTLSLFSLVSAQSYSETYLGCFSVATGWAVAES SPTATSIADCNAACAGLGYPYAYYSHPSAYYCSCTTQSPAAGGLRQAQSGYTDCGNSQ TTVYALSTDYDFVKCYSNPSSDDTSSQSSIEACFTHCSAYNNALIEYGGNHFDCICLN ESLDLEGEAQQCGQSGLYFAYNHTPASSASTVERRRRRLERMKRDEQLRLNRFCPAGL EACIVPGSEDSFECIDTSSELESCGGCLYGSYSNSTSSIGIDCSTLPGAALGGSTCFD GRCQISACEEGLKLVDGRCQ L199_004169 MFARSLSIISLLSIISLRSVSALDYSETYVGCVTGTGTSGALAS PEVNTISDCNYACADAGYTYAYFQYQSAGSYCSCKNVGPAASEITPAVSGNTNCGGAA ASVNALATDYYYNNCYNSPTAEDTTSQSTFEACFERCTTYTNAFVSPAGNVYSCVCSN TASTGTNQNCGVSGTYFAYAHTATSSPSIVERRRRKLEKMKRDEQLRLNRFCPGETQA CNVPGSEGSFECIDTASELESCGGCLYGSYTNSTASAGVDCSIIPGAAFGGATCANGR CEISACREGFQLVDGECQ L199_004170 MPSPLNLNLISNAIVAICGVVVLGISAYVEHTTRKIGYTSSTYT YDAFVGAFTLIAILALIAVRYGKPSFASIVNEVTLSGLLWIFWLAAAASTTHYTSADR AICKHIDDLFDLPEFEDAPADAIALAKKVFKSTCRDLKAQLAFLWIGFVLLTLTTVYL VYLGMKRGNSMWRSNLRSYDHDAHSHADPFADPVGSQRGPVAGVVDEDPDHKP L199_004171 MSATILLPGQPLPSNLVTPPLPQCGPGCYAVDGRILASVVGVPQ RNGSVVSVIGREESGNTPDVGSIVIGTVSRLTTQQAHLTLTTSNDRPLPETSEEFQGV IRIGDIRLTERDKIKMGDCFRLGDLVKAKVLSLGDARSYYLSTAANELGVMYAVSEAG NPLLPVSYQEMEDELTGRREKRKVAKPEGI L199_004172 MMSFAQVAKRSASAGLRKQVLISRSLRTSAPSSALSKFSMPAMS PTMTEGGIASWKVKEGDSYAAGDVLVEIETDKATIDVEAQDDGVLAKIIVQNGAKGIA VGTPIAVIGEEGDDLSGADKLASESEGESAPPQKKEEETPKEQQQESKPAESSESKTP SLGTPKDETKYGSGSGGREVQQVPELPGQGDKPKFFASPLARKLALEKGIPLAQIKGT GPEGRIVKEDVEKYKGGASSSTATTPTSGATTTPGKAAPAAPAEYEDIPTSNMRKTIG KRLTESKQQLPHYYLTVEVNMDRLLKLREMFNKAGEGKTKLSVNDFIVKAASLALAEV PEANSAWLGDVIRQYKKADICVAVATPNGLITPIIKDVGSKGLASISAETKALASKAR EGKLKPEEYQGGTFTISNLGMFGIDNFTAIINPPQSCILAIGKTSTKLELAPEDPKGF KTVQVMKATLSSDHRTVDGAVGAKWLKAFKDYMEQPLTFML L199_004173 MADTDDNSKSNSQHLQVPATQGNDRSLSPSGASQTSCSGSGSGG TLPTNPTSGNNSSTRLPPPSRAQPRNLNVGYNVIMHQRERDMRQGTTPAETSIFNDLV SAFVVGCCGCCDGNCIHRLRVPWRSSSGSGDQSKATGTSITLTPSVDSGSNVVDRSAD QPKPHSPRMEYGNSSDGH L199_004174 MSDSEASSYAPTPTPTPATHWPKDKRWTTDLNFPSEFSQMQRQP TATHNSGESVKSTTVEHTITSNCKSPSTASSPVGSQRSPQNISKDTESIQGSPKVGLI STGNTNESPINRCFKEVYHTAISTHSGSNSPTMTVVTGNGNGASGEGTSRMSRSSSLK KKSALVEEKKKEYLEYQE L199_004175 MSKSILDSLPSWLPGQDLLHPHYKYASAGPALFELDKKSLWAFV AMTAFNPIFWNTVARNEYRNKTITKIVGSPLVGTYLLAITIFSISAFRDHLFINALKD QPSLIELDQPLIKLLAIILFVSGQTFVISSMWALGVTGTYLGDYFGILMTHRVTSFPF NVLSDPMYVGSALTHLGTALWFQSPAGILLAAWIWLVYSVALKYEGPFTDKIYSAKSK SSPAAKKSTINDKTETYASVTSTSAPATPSRRSGRIASRKSLAGQSDVDSSDAEAKPA GRKSRASGLTQELQGAAGTPGRLTRSRSKPRVVDDSD L199_004176 MSLSRAGPSLRPLCRRCLKLQRRSAPPIFPSTRSLSTSLPTSRQ YARQQPYPVPSTAQLNPTIPRDQSVPRAPLQITVDDFNEKPSARPHQIVSLLLQRIPE YIDSPRARRKLNACGLDTRLVTHKIQNEWLRKVANDLGGYEGNKNDQEVLEGLDRNGW NGEDLIIAMNQGRIITTVESMALRNFLSWTLTLDRKDLIDAQLKIHIQSILNITDLTR LPYSSEFLSARSMKRHFHLHIGPTNSGKTYNALKALSKASSGAYAGPLRLLAHEVWER MNLGTVGELDGKGRACNLLTGEERRVVDPDSGLLSCTVEMLPLNGLNGKGFDVVVIDE IQMLGDEQRGGSWTKAVLGLAAKEIHLCGDETTVKLLHNLLEPLGDQITIHKYNRLTP LVVAEESLNNDWEKVEKGDCVVTFSRTNIFAVKKMIESTAGKKCAVVYGALPPETRAE QARDFNDEKGLSEVLVASDAVGMGLNLKIKRMIFESLTKFNGKKETPLSLTQIKQIAG RAGRYKTSSDSDSNKNSNHITNPDEAPATGGLVTTLHKADLPILRELMKRDLPSIPRA NLEVPYNNLAELASLLPSNTTFGSLLEQFSSLVKVPKNTTLSGYEHKLPLADLLEPYR DQLSLAEIDLFCFAPVNVRDERAKGIFQELIKDFSLNGYVDLEDIFKSSRLITQLELV EETLRTLPPLPPVLGIGRKLLTPPIIISSIPMLETLHKSLVLYIWLSFRLEVSFPDRA KAVELKERTEVVLDNCLERLPGLRQRKHAKGERSKEVDRLVRDWRRENVMPNGTRKVE GVPRKGLTWIERNVAERVKQRKTWRNVRVVGEGEGDKEEN L199_004177 MIDYIANSAPLTSGPPVQQTQHQQQQPTLSQPVPAQPPSKSEIE RKLSFRSASAPSSRMHESPKKKKSTHPPPPHAPSGTYHTYSASGNESDSSSINSSTQP PMIGSPNPTSPILTPATTSVGGLSAIAERKFGGEIIDEAELEDVEEENENENEEVESA SEAEEEQGLQKGMEGERVVKSGYLWKKQERRKAWKKRWFVLRTEKLAYYKDEKEYSLK KVIDLHEVHTVAPVTVKKHPHSFGIVTPKRTFFAKASHQDDMEEWVRAINGVRRKLSE REEEERMKREKSEHHQHQQKSSSIPIPSNRERSTSEHVIDTTSPGTSVATSGSYFVNR PNQQGVASPQAIHAAGQVSPSLASGGVIPPSSPMDTTNSLASQMAKMSIPNPRTTSAQ SVHSYTQAQSSSGLMAGRSPSTATPVQSSRSVSGPAPSTTARREPSTSSIASSIPPGT GDRSMALNLQSSGIASGSNQFVVSSEDEDDIDLAEASQGRSVNDATQSLPSTPIDPTK VILSAYLMKRSKGRGRKVWRKRWFVLTSQGVTYTKSHMDTKALRFIPLTSVLDALEVD ASDESLASSEEDRPPSHHNHNPFHPHSHQSHQSHIHAQTSTSPPAKQNFTTAMRGRLS SNDAAQSSTPKKQPSLPGGSNEKHSEENIFRLITAKRTYVLCAPSEEDEIKWLAATRA LLNQLRVTQQQQQQQQQQPLSPTMNQGQGQVPSIIQQPPTPAASLSERPVATPQRSIS QSGTGSGESQGQPQPTTIHRQISSSSASGFGSVASGQTPGSMTRGRSATYMAKSAVAD VVKKFHPET L199_004178 MSTTPEVEVASSGIDHVSIHSLQPEIIIIGAGVIGCALAYSLSQ SGRSVVLLERDLSEPDRIVGELLQPGGVAALEELGMADVLDGIDAVPVEGYCIVSGEE KVGCPYPLLEEMAGIHQDGQEQNGKTNGISAHIDKKGKWHVDSASGKKEGRSFHHGKL ISSLRRKVLNQAKNVTVLEATVKDLVFCEHTNRVIGVSASFKPASNTSSGEGKGNVGN SDDTRDSRPQPTGIVKKIYAPITIIADGCFSKFRLTPGTRTPTPKTRSHFVGIILEDC KLPIQNMGTVCLTPSGPVLLYQIGREKGEVRMLVDVKGKLPSVGDGSLKRHIEANYVP HLPTELQSPVLSSLATQRLRSMPNTFLPPSIQGLSNHLSGAILVGDAYNMRHPLTGGG MTVAFNDAIILTKYLKPSDQLPSGRAGLEDWDKVSEYLREWFWERKKLSGVVNVLSMA LYSLFGGADEPELEVLREGCVKYLGMGGERVAGPVGLLSALTPAPLMLFYHFFSVAFY SIFLLLIKGPPNSSLRSTKSNAVLNPLLVLLTLPLNLIYSIKVFWTACVVLLPVIYTE FKV L199_004179 MPSPAKNSEPSLIPPRGNTNSPSPASAISTSPAIARSLARQSGA ASPSSAGAGGGSGPSIPNIPPRSGSISSQVKPNLSTSFKSPVGGLTPPIARSGSPAQP NQGKEPTPKPSSDSLLGRKTSVSSGNTTQNKSALTTSLNSPSLSRQSSSGNIPRPTTL SRDNSGTSTPRKGKEVENDGGAGDSNFSNLADIPDEEKAKVLGRHLVSAEEREQSKTA TPNEGGVGGTTPTKEDGAGSGNASVNASGVERAAESGVTSGYGSTEDNEQFPIPYDAV GGDVTHDLYKWQHSHRPDQPVRSASFSHVPLDRSAILDPTLAHIKEPGGFRRNFVSTR AAEQGLEAPNMVRNVVDFLFLYGHFAGEDLNEDDDIDEDEIEEEDEESYPAIGSSSGR PYNRRPFTAEVDGDAGSPAVRGERAPLLGSTKRGMSMTRHRRTKSGAASGGTATTTQA LLMLLKGFVGTGILFMGKAFFNGGILFSTIVMLAIAGISLWSFLLLVEAYMHVPGSFG DIGGALYGKYMRFAILISIAVSQIGFVAAYTIFIAENLQAFFMAVTDCKTYIPVKWLI LGQLLIFLPLAMIRNLAKLSGTALVADAFILIGLIYIGFNEGSVLSKYGVADVKLFNE NSFPLLIGTAVFAFEGIGLVIPITESMREPEKFPRLLSIVMFVVAVLFAGAGVSSYAA YGSDIQTVVIVNLPQEDKFVQAVQFLYSVAILLSIPLQLFPAVRIMENGIFSRSGKHN SSVKWQKNIFRSVVVVFTSLLSWAGSSELDKFVSLIGSFACIPLCFIYPPMLHLKACA KTRKAIVLDYLLIVFGTIVGAYTTVQTIRSLFEPGGDKPKFGKCEVPNGM L199_004180 MVRKTDHSSASTAPNSGHEETSSEASFELIPELDDAISVADTVT TGADTPSVSTAQHSGDGNVVFDHVPVPSGLVRRGSAGSTPTLEAGTVTSSGIGSATPT TSSFVDVMDVDEPLSLSSEPWVEIPPTDVSSVHTGISTADTLVGSEDGSNIGARRHRR PVVEHIQSQNPGDGIQVNSSGLPAGRSTVGDTIFIAPQAYDSGFQFNAGGIDENQREL VLAALGAHDAMRKRSMSTDGVASAATQGIEVNPPTYEGELPDDPEIYNLDDLDLSPEA RRRAEMRLEEQRSGIRIIPHDDIWPQEGGQSPMDTEREYASDPKEQEMEEEYDDDDDE GSVFEEPVEDEPEPVTVGSGSTVHINPIVEGQGFQLNLSAGSNTNSIYRNNKVSGQGR QINGDVTDPAIIANFFNRRW L199_004181 MSQSNPLTRRNPLSAPVSVPTTHPVHAQGKPFVESDADTKLRSH LEGPTGPPSNINTAFGGKRRGGSAGKLGKREYAILGAITLVGWWTRMYRLGRPDSVVF DEVHFGGFATKYLKRRFFMDVHPPLAKLLITLSAWIGGFDGNFDFKDIGKDYIEPGVP YITMRFFPALLGLALIPLTFLTIISLRLSLATAILGSLLIAFENALITQSRLILLDSF LVFFTGLTTLFWVRFSNHDSEGRAFTRPWWFNLTLTGLSLGAVVSCKWVGLFTIAMVG FGTLRQLWLLLGNLKVTPRQWIRHFAARGLCLIVVPLTFYMLMFRIHFWILNESGDGD GFMSSEFQHTLQGHGMEDTFADVSLGSKVSIRHVNTQGGYLHSHNHAYPGGSKQQQIT LYPHRDDNNVWRIVNASATDGPASYPWDDLPTEWVLTGTKIRLEHLITEKRLHSHDVR PPVSEVDFQNEVSGYGFPGFAGDANDDFVVEIAHRTRGRRDRTARHRLKALRSQFRLR HALTGCYLFSHKVKLPDWGFEQQEVTCNKNPTWENSLWYIETNEHAQLPFDADKVNYE KPSFFDKFSELNAVMWRTNAGLTERHAYDSRPQSWPVLRRGINFWVQNHRQVYLLGNP MIWWSSTLAIVAYLGIRGLMVLRAKRGYRDLHQPKIAFYDEVCAYLVVSWALHYLPFF LMQRQLFLHHYLPALYFAVLLFCTVFDYITSAIRPRTRIQVAAVIMVLAVWSWNHWSP LAYAGPWTKGQCEKGKWLKTWDFSCNDFHEDLGMYKAIPAVPSSKIGGDVVPEGDAIT TTFVEEAPEPIHNAFEAVDVPAEEKTVAPVGPQNEVQMQESTIEVPLDGEADVAKAED TRAPVGNDAGAPAVTDKEVDEGGWHGGADEDMKHDHDDPEAVKTQPGDAPIGVGKVEV PEIRLDEEEKDRLIDDLLKHDEQDNQ L199_004182 MPKAPAASKTAAAGKPLHKFYVDASVPVNDNVFDLAAFEKFLHD RIKVEGKAGQLGDKIQIAKEGNKLVLTSSIPFSKRYLKYLTKKHLKKNSFENFLRVVA TSKDTYSLRYFKVDQDEVEDEE L199_004183 MSDHSDQEESDPSRDSQFFDWDAATYTISPEACDTIDSSYHDEV DITYHVDHQTTSGSPSSDTKRREHDKRPDQLTRIHRTKDRTIVPSFENWSSQTPYREP GWKMDTYPKLSEEENRILGSRILVPKKDGTFYEHYNLCTGPSHDPIYPKGITRSHQGV ISRETGVDWLTQTCTSRADCMESLRGLLSAAEIANIRKIRTEKNMGDTDKKNSGTKRV RSSMTEEEEYQEAVAKIQRGH L199_004184 MSSKAQSKLAGRVAIVGTGHRARLYTHSIAARPQLELVALCDTN SDRMDHHNGLLKEHGRPEAKKYPAEDFNKMLESENLDILVVTTIDFTHDLYIVPAVKK GIKVLTEKPMTTDVEKCKKILSAVEENNGSVQVLFNYRYNPVHWKVAEVIAEGKIGNV KSVHFEWLLDTVHGADYFRRWHRYKDKSGGLMVHKSSHHFDLVNFWINSKPSSVFGMG ALSFYGTEQGKKSGWARNYDRARGSKEAEDDPFAIHLEDDKGLKALYHDAEHVDNYHR DMNVFADDITIEDDMSVLVHYENGVNMTYHLTAYSPWEGYRVMFNGDQGRLELECVES THRTAGKKGGGAEGVVHGEKALKNEGHNKITLQKLWGEKEDVPYVWATGGHGGGDEAM LDQIFGPIPGQEEHKSPINRLSADQNDGALAMAVGLAANESFKSGKLVQIKELLGRQL L199_004185 MSYQAVPLNDTLPPPLPPKNAEASSSTHHRTPSDSRRYGPRVSA QPVPPTTSLLPPSETSSGAGAPIEGGDQRPWGIGEHVGYAAFDGSPEADDWLHNPDPK RDGKHDRGSLFTVRGATNIGCLALLAIGIIALFAGYPIIDFYTGNELKTNGAYNLGGI NSTGQVPLITNFPHMIDEDTPQDAYDRKGFDGEDYHLVWSDEFNKDGRTFFPGDDPYW TAVDIHYWPTGDFEWYDPSAVTTKDGNLVITMTQEPIHDLNFKSGMIQSWNQLCFQYS FYIEVRVSLPGNNRVGGFWPGVWTMGNLGRAGYGGTTDGLWPYTYDSCDIGTLKNQTN AEGTGPPAALTTGSDDRSISYLPGQRLSACTCKGEDHPGPDESYGRGAPEVDILEGQI DLSVNLGELSQSFQVAPFDEAYQWLNSSKGAEIYDDDITKFNSYVGGIYQEAVSALTH VQSNGYYGAGGGFGIHGVEMFSDPNNRDNGHITWVADGAKTWTVFPPAVGPSPSMQIG QRIIPEEPMYMIVNFGMSNGFQAVNWNQLEWPATMLVDYVRVYQREEGRIGCDPADRP TADYIARHMDVYTNANVTTWAQADLTFPKNNLIDTC L199_004186 MTAINSSDEAKSQDIDIERASTTNYPPITAVDPTPSSSQTQVNQ QLASSEKDQPHPQPQLQPQIAGDGEEDIPEGGYGWVIVGCLIAINATTWGINTTYGVY SSYYLANNYFDGGSTLNYAWVGGLSVATCLLCGPLANALSRWLGFRITMLFGVAGIVL GQCMAGICKNFGEFLFCQGILFGIGLGLTLVPSQPLLAHWFKKRLALATGIATSGSGL GGLILSNTTRLAIDHIDVKWALIINGLISLVCLTPAVLLLKGRHKAVGARQAPLELKW IYHPGFVWVWLWAAFTMMAYFIALYSLASFATSALSLPQSKGAALQSILAAGQMIGRP LWGYFLDTGGRINLTIVCYIICGLSTLAIWLPAKSFGVLVFYALIQGMTGGTIWSVSA PLVARVVGVKDLASALSIYWLILVIPAVVGQPIAIALLDYSQSHLGRQGAEAYYISIG LCGGMAMGATFLLAGAKRWIQGDWKILTRT L199_004187 MEVTILDLQPSFLETLTTDQGWATLQVKLGDAGQFIEYHARWGR LEDHSAATARAIAHNINIIASEAKKLLIHNDKSVAALSSQLEDLLMNDYHSKKRPSDS CSSSSTPLRSSKRQRAPSLPSPSTPITRASSPTPSEDSSTPPKREGNQKETDHSVVRL WFLNHLSRPYPTLSQKETLATKAGITRNKVDSDLTNFRRRAGWTDLMNRFCGGDRDRM KRLIERVENGREEREEVLRSVQRMKDYLGRKEEERVGDWVREVTALTSSLTSNTGRTT STSTSTSSSSDSSSTLSHNRSITSLSEVSNLAKPTARSLSGSSSTSSSSLSDTSFTLQ PTRKRLNPNAEVFVPNKRYTPSTSSSRQSSTESASEVDARWANPYDPSIWSTTTSIPL LPHMSSGTHNTWSMPTDGGFQPRISSWSSNGSVSGLSRAL L199_004188 MTSTLQTLLQTADDILKICDQTGDSRPLRVGGLRPQLAVPLRQF LIPTQLEEALPQETSEQLWQGLQRSINSRRQETLAFFESTISSFRSLERFGETKDVEV EAQVCQIFEHQFRSYVKEAQELVLQTVVQHRRREQTEFSKRSTCFNIQTISVLEAAYS RTKILSTAETAIIAEAARITPHQVRTWFQNKRNRGSKRNPSSSANRPIQSLPKRAQQI KVETFPFSSSPAPVKRQVRGLPKRAQAQVSHNAPNSSILDSSLGNLPFSDESSSIGNG QPSSGERMNRSPSLTSTISNSSEIPNGGFISPFDVQNIPQISIEWGTGTLNVPVDVLE GGKLPVFNFTPPSPLNLNFNPVFHPNSTPSNNNHMNSMSDGQVYDTPTTTASSFNPFA PQPNLDLAGGLESIESLLSSALLDPSSFEQFSTLAASPQISLDSLSPRSDTLESIPSI VSASGSNIGSPSWLAGNGEEGLDGGFFEALEGLLASQNNGEGGLGSPFSESVYGENTE RKASTSSQVSGIISAEEGIDLSYIAGIPLPFSPTRGSFDLSESDNIAEPTFSHDRHTS LINDNISSSFTENDFLTQPPSGMCTPSSTTSVYPLITPTTASGTTQEPSVEVDQNQWN WMSGILPFDELGMEVEMMEYGNDTKVDGEQGWMMGSNNEEGMMTS L199_004189 MSYPTEKHLSEKDGITTSVLPEEQIAHFKGDNSAVEDVILAEQN YTEEEYKKLRWRFDLILMPIMMLTYGLQFADKVSLSSGVVFGLKTDTKLKGDEYSLLT VYFYCAYLAGQIPMSYIFQKLPIGRALGATVILWGIVVIGLGLCNDYLQLSMCRVLLG WMECAVTPGFLLIVASWYKRSEATLRSCMYFAMNTFLGGCFNVIIYAIAKKAAADGGI AGWRAINFFLGSLTVFAGILVFIFIGIPSDVWWLNKEQKKMAHSRIVSNGTGDAGRHA WTWSQVKECFRDPQYYFIILFNLTATIPNGVLTTFTALVYTGFGFTALQSILYQLPSS AIGFCVIIGSAVTVTFFPKMRFPLAITWTLLEMIVFLYVGLAKTASKWQLWGAFSFAS VISCATFLVWAILPLNTAGRTKKSFTGASALIAYCTGNMIGSQTMRASDAPRYLKGLT GNAIVMAINALILLSWWIYLQRENKKRDQAYEASGLSMEEREYQNKVAGETDVTDRQN PHFRYLC L199_004190 MSITPTKDSKRSSNDDLPLDEVDGEVGHAVKITTHDEVFGDIKE DGPNYRNVGWLGAVVLLLKSQIGLGVLSLPFALMTLGIVPGLLCLIAIAAIMTWSGYC VGVFKLKHREVYSVVDVGEIMFGKIGREVFAAIYCIFMIFVVGSAVVGASIGLNAVSV HGTCTAVFVAVSAVVGFLLASIRTLGNISWLGWVGLFSIVSAILTVTVAVGVQDRPAL APSTGEWDKDFHVIGHPTFLQASSAISSLILAYAGVPTYFSIAAEMRDPRLFNRAMFI SNAIITSIYIAIGTVVYYYCGQYVASPALGSAGALMKRICYGLALPGLYVTVTIYLHL PAKYIFLRLMKGSRHLTSNSPIHWAVWLSCVGTCTIIAYIIASAIPVFSSLVGLIGAL FGTFFCIMLMGGMWLFDNKSRRHTDTSFGYRALFFMNVFLVVLAAYLMISGTWGAVQD IIDSYNADGGQSAWSCKDNSNSV L199_004191 MTATNEKADIQHVDHFDATADEGIEKEKQDIYNGRIDPETAKYL DPTLVIDDDLNKQIKKLVDWRILPFLLLIYFCQTFDKATLAFSSIMGLQADTNLQGQQ YSTLGTILYAGYLIGEVPVNRVIQKVPLGKFLGILVIIWGTIVCMHAVCKNFGGLMAV RFLLGFFESGVQPALMTLTTMYYRRNEHPTIISYWYGMQGVQLCVSGLIAFGLTYVNS PTIYTWQALFIIVGGFTVVVGVLTLIFLPDSPMKAKCWTDKQKTMIIERLRINEQGVQ DSRWKWEQMWEAFQDPAVWCYWVMQIAGFIIVNGLAVFANIIVKGLGFTVRQTQLLNL AQGGFSLIIYFGTAWVARLTNQTCLVLAGTMAIALAGTVVLLTVPVSSKTAPGLLLAF YFANFVIAAGSLLYSIVTRNIAGQTKKTCVSAMLFVTYGAGCIIGPQVFRAKDAPRYK LAFAVHIGLYAFFIIMTFILRIIFMRRNHVRRRDHEGTEQPGAEHIDHDQAFADLTDL QNKRAFRYVY L199_004192 MATAATAVPSAPLKSRYEAHYDPTLILKHPEFKLLSDDAPELQD KNANIACAYNPAHEVHLMNKPRPKVGFGEAVVHVKATGICGSDVHFWKHGAIGPTMIV RDETGAGHESAGEIIEVGEGVKDLKVGDRVAIEAGVPCGQADCDPCRTGRYNACQRVV FFSTPPYHGTLTRFHAHPAAWLHKLPDNVSFEEGSLCEPLAVALAGLERAGTKLGDPV VICGAGPIGLVTLLAAHASGCTPIVITDLVASRLEFAKKLVPTVKTVQIQREWKPEDV AEKIKEAAGTGLKIALECTGFESSIRAAIYSLLFGGKVFVIGVGPTEQSYPFGYCSAN EIDLQFQYRYANQYPKAIRLVSGGLINLKPLVTHRFPLEQAVKAFHVAADPKQGAIKV QITD L199_004193 MIQTCILSRFIIPFVQAQATNDTQCTASPSGSYDLGLHITAVFV LLVASAIGVFLPVFMANNVDEKSSNGSKRDEWVRRVFFVMKYFGTGIIISLAFCHLLQ ESFDNFSNPCIGELEYGPTAPAIAMGSMLVIWLVDYFSARWASLRDKSPPLAQVEQSK PTSILLTSTNEDSIQPAPTTPPVFADLGCTHVHRPTLDEIDPNSQRAHWDVQLLEGGI VFHSIMIGVTLGAQTGGFEVTFAALVFHQLFEGLGLGTRIGALVWKRSWTKWLMCTLY AITTPVGIAIGIGVHQSFNENGKRELIAIGVLNSISAGILLYGGLCQLLYAEWVIGDM RDTSNARVCSALIALLLGVIAMALIGKWT L199_004194 MSIERYNQPMPFEEGEKKDLPADTYSGPAGEEIRPREEETHREL KSRQISMIAIGGAIGTGLVIGSGTSLARSGPGSVFVAYCIMGIVCFGVLLALGEMSTK YPTKKGFAGHATRCVDPAFGFATAVIYLCKYLILSPNQIVAGSLVIGYWNQSVNKAAW VSILIVFVIAINTLGIKWFGEVEFWLSFIKIITLTGLILLGLIIDLGGVPGQDRLGFA YWKHGRAFKPYKLTGDTGKFLGWWNAMVLALFAYTGSELVAITVGEARNPRKTVPAAI KKTFFRIIFFYIFCILIVGMIVDSNSSLLAQAAKAGTSGGASASPFVVAIKAAGIKGL PSLINACILIFTMSAANSDQYVATRTLYGMAKDGHAPRIFTKCTKRGVPWVAFIFTGA FMGLAYLVASADALKIFNYFVNTVTILGGLTWVSILASHVAFMRGMKAQGISRDTLPY KAPFEPYLTYFSLFMICLVCFFKGFDAFMPFDYKTFITNYIGIPVYVIAYVGYKLFHR TKAVKMHEMDLTSGSREFFDIDDSETDEDLRYQSLTWKEKIVYQIKNW L199_004195 MSASTSSHIEPESSYDKSTVPTVNVQPFEQEDDLGHVPTSRRSK FKAVLKSLVTKDGWLGDYDYGALMIPNIPFVTKKKRELPFYAVDQKLPHLLLFILGLQ HALAMVGGLVTPPLLLAGPAGANLGTEAQLYLVSACLIWCAFGTAIQISRFRIPKTGY FFGTGLISVTGTSFAFTNVALSYLSQSYSNGTCPLAEDGETKLPCPKEFGAILGTATM TGIFAIALSFVPPRAIRKMFPPLVTGTMLTFIGAALVKSGVTNWAGGSGTCATDHTVL CTAGTRHEYWGSGAFLGLGFSCFITIVICEIFGSAFMKSASVFLGLIVGMVIAAATGF FTKSTITNAPSGTFLWMQTWSLSIRGVLVLPMLAAWAVIVAETIGNVTASSDVSRLEI TGETFMSRVQGGMLADSVMATIAGLATVPPLTTFSQNSGVIALTRNASRSSGYMCAVI LFLMGVIGKFGAIFVAAPSAVIGGFTTFLFGAVATSGLRVLAYAKWTRRDRFIATVGV ALGLASLTVPTWFSYIFTYTGNSAGKKGLIQAVVLVVEEPYLISALVMCALNVTLPDE EAEAGSVSTVEEKKEWNEPGSLAGGPSSSTRPMTPELA L199_004196 MLKSSIASLLSLASLISAYQVEIHPEYQEGLSINDVPQERRLHW MRVANEAVYADGHPCPQAPFGSAIVNTTSDELVCVTSNKVGVTGNPAMHGEISAITHC TEVLTKKGLSPQEILAAWKDFSLYTNGEPCPMCASAIRWAGFKEVIYGTSIRTIAENG RNQIYIPSSHVWEKSYSLGHATLMLGNILTNETDVFFAHQFNESAPCPTGCERQSAPG KRVQACAPVDNWQEVVRKAGKGLAITEGRGHDEL L199_004197 MSVPTISLHDFDARRQEIINELMDASTNVGFFTLSNHGISPSEV QAAFDLSQQFFALPDEVKSKTALNGKNAGWEKNTQVRPSTGTADQKESIQLQFARMEG LWPSDEDLPGFRERTEKFMNQVRDLSVKVMECFAEGLGLPLDTFTEGTVDPGVGDSQD VLRLLHYHSTEGKTFGPNFWRAGAHADFDVLTMLFQRDGEGGLEVCPGRKVVGDFGMG QNWLPVEARQDRIVCNIGDQLMRWSDDRLKSTYHRVRLPEGQESRGPRYSIAFFNQAR TDSIIQGPQKKYPPITGGEFIAEAMAKNRMQSAEIAKKAAMESADKVASEVHFVPQHL QVGA L199_004198 MYIPNLQSILAAGLALTGAVNEMSVVSSGSIKDFAVEKCIDKDK NQRCSKPFPVTKSTCYNLKWSTDGALSHTTIEVRDAGSDEIVYYRDTDGEWTSGKNEL VYVDFKPKIAGQGNKTVDYEITTCE L199_004199 MSLPTVPHPPSERIAIIGAGIVGSHLASFLSTSLGSRVILIDKD IKGLPGSTGHAPGFVGQYNELPVLTELAKRSVSYYSQGGEDGFQRVGGLEISQGLEER AQAARSAGLQAELVNKQQILDLVPSLVDEEYLAQEGNAGLYFPNDGTATAIVVTHTQQ DKAASNGAILSDAQVTSHTKTIDGKGWNIKTDHGEIEVGRLVYCTGIWASQLLPQLEH SVVSVSHPYSYSRQHPVREKKTPFVRWPKEHVYARDHGERDGLGSYAHAPIKVPREEH GETAYGNWEGSFDNVLEKGYRLLDGGIAKSFEGEDTKKFNGLFSVTPDGLPLVGKVED GLYCAVGVWVTHAAGSARLLADELVGGSKDEDEWLRKALDPKRFDKYTSDEEKKVLES RSLAKYNDIYNKEG L199_004200 MADLSTTLPLTPESVHKAYSKIQNHVHKTPLLTNKTINTIASTS SSAGPSPKFNIYFKCENYQRIGAFKARGAFHAVTHLIDELGLEEVRKRGVVTHSSGNH AQALALAASSFDIPAHIVMPTISTPSKIAGTRTYTPQVYFSGSTSEEREAVVKQVIQD TGAILVPPYDHPDIMLGQGTTALELQKQYEIAKKDEQEKSDLKAVFAPLGGGGLLSGI SIYFSNQPDVYVIGCEPSYEGGNDGERGLKSVPQQRIEKVKTLTIADGLRTPVGELPW KVFTSGSSTKSKNLENIYSVTEEEIKQVMTLVLERMKVFIEPSAAVPLAVVLYNQEFR RWAYEKQKEKGVIEGGWDIAVVFSGGNTTIDAIVGLFGETQDTERAEGKIGKDGRKMA ENVAG L199_004201 MSLEEYNKQDPLGLDGDKHEGVDSNTLEVRADDPSFQDLVNDPH RGLRMRHIQLLSISGAVGAGVFVSIGGPLTSAGPLGLLIGIIVWSTVIIGASNCLIEM TTLLPIDGGFITFAGRFMDETIGKAVGWNFLLAQATLVCFELTALNVMIQYWTLTLHP AVAISVGIVLFATLQLYSVRWFGEAEFWISIFKIILQIGLTLYTLIAMCGGNPKHDKF GFRAWKDPGPFAGETAALRMKGIWDAVLWACFALGGPDWISLIGGEAQNPRKILPKAF NSTVIRIVGFFVIGGLCVGINAPSNDPALLGAIAAGAPGAAKSPYIISMNRLEIPVLP DIVNALVMLSIFSTGNAALFTSSRALYTLSLKGGAPSIFKRLNRNGVPYVAVTAILLF STLAYLSVNSGSVVVLNWFLSLVGAANLVNWTSIALTYMRFRKGLIAQGRLNNDFLPV RAWLQPLSSWWVVIAAPIAFVASGYALMVPGGWAGDSFVFTYGAVFIFAGFIIFFKTY DVLVKKKPLKMFIPAEEIDVTTGLDVIEALTAASNIQDEQDKKTMGRKVSDFFF L199_004202 MALANKESKVLIVGGGGTIGSSTALHLARRGYKDIRLLDIFQNP SLNSAGNDNNKMAGDDSAGIWGQLGTEAWKMWTTDPVFKDHAHNTGRLDLTSKPEREE RLRTRYQGIVDEGRGAGIEWVEGKEQIVARAPHLAHADLTGWKGLFVKNGGWVAARNA LNAVGHELRRLGVKSAFGSSGTFKELILGADGRTVKGVRTVDGTEWYGDLVIFAAGAW SPALLDLQGQCETKGWGYAHIRLTPEEANKLKGMATTYNHELGFIMEPEEGTLELKIV NEFAGYTHMQKVRPFGLNKDVLMSVPRAHAIHPTDTITTESLEAIKNLIKLWLPDLVD RPLFKMAMCWCTDTVDMNWLMCEHPKYKGLVVATGDSGQTFKMFPVVGKQVADLIEGK LPEDRKHIWRWRPGAGDNGTARGGEMAKDLSEVEGWRHDPVIVKSKL L199_004203 MTTTYHSIKLRNGFNVAYVQAGDPTKTKTTLLLLHGFPSSSNQF RRLIPLLSDQFHIIAPDLPAFGLTTVPDDFEATFDKLTQVVADLLDALEIKSFIPYVF DYGAPTGYRLALKRPDAFKGLIIQNGNAYEAGLSAWWDPLRQYWATDKGSKEWLDIRD KLAGAIGLKDAKDQYVSGLSSDLAKQVDPNTYTLDYLLNLAPGKKAQRQLDLFYDYQN NVKLYPQFHEFFTKTQLPTLILWGANDQYFPLPGAHAYLTDLPNAQLNVFQDGSHFLL ETHVEQVARNMKEFLQKEGLA L199_004204 MSNSYPVEKVESRSAIAATLNQPGLTNNEVITSIDTYVPPKRTW KSYIWSTLDVPKDEAKFLTKLDITLITSAALGVMIRYLDQVNITNAFNSGMKEDLELY GNELNFANALWSAAYVFGQIPSNLLLTRVNAARYIAFLEFAWTAFTFGTSAVKNTNQL YAVRFFVGLFEAGHFPAVMYVCSSYYKPHELARRNSLIQIFVSVGPLFSGFLMAAVYE GLDGVRGWPGWRWMYIICGAISLPCAVWTFFAMPQLPGRAKPNWIFTQAEIDMARARM PTEKKFYTGLFKWKDIKRWHTTWHVYLFPFYFLCAGQIGQAGSSMIFWVKSYNIKGKP NVFSVAEINIIPLGINVITIVGALTSSWISDSLPGSARWPSMVFAALVGVVIPAALGA TPVHPPNRGTRWALFYLTSLAGTAAGVTWTFVNETSRQDPEKRAYVGAMMNAFAYIFT AWVPIFTFPTSKQPYVNKGMFATSGFASAALLTALAIGYLDHRDKKRARLLGDDEGNK HDQESNSVPDSPIDEKNSELRA L199_004205 MSGPAGSGHANGSTSNFTGLVSNLIQKKHSEDDSNGQLDLDGKA PSKGLPSTGLKRNTKEWKEANDDNDSVSSSSSIPSNATGEDDERPQADQERDQEGAEG KGPGRHERYIPNGEANDITESPESSPMEEEASKPFPQSPSEDIQYRSQNGYDHSDHDK KNKDKGEAIKFTIHKDSHDVDPEERADRRDSERERKKTDELGRRPDVMSGVKDEEGPS SRNEIVLDPRISYLHVHQRREPTIEGVKLGPILKITPDGEGEYPPGETPLPSRQVSRD GPSTSSQTSPQRQHHTSTSRQNSHERDIPSSRQTSNDRDKPSRPISYSRSSNDLESYE RGLSDTIEEGTWRDVMSQRQTKNDEDKKGKRRSVNTRFSSFRDIHEMSNNTGGESEDG EENGHHEASKRWSMIRNRVIPSKSSTGQSSAPGPGKVSALAPAVIASIPVTTELFAGQ LPVMIMKTWLDRDEDGHRAVPVLLGNLRFRVGDSVGLRPGGETGKEMFKLECEYGDGA VKWVIYRELRDFLSLHAHYKAANFGTSVAGLRASRRVEIPDFPRMSIPYLNKLASTSN EKEKPHKPVGKAEYAQASRDALQQYLVELIRAVIFRPESNRLCKFFELSALTLQLAPR GGFQGKAGFLKIPDWNASRRANQPGLAPTTWAAHRKPKWFIVRDSYFVATDGPESVDY YDVFLLDADFTIERPKRYYRTGFHLLTNHGSANRKKKSGKANVEGDNPNDIDLDNPFN RELIISSGEGKGSKGQSMHDEGEHHASQHTFTIGNSQRRLKLVAKNARQMHQFIVSME RIAAQCIWTGRNRFDSFAPLRVNVAAQWLVDGRDYFWNLSRAINMAKDRIYIHDWWIS PELYLRRPGDERYRLDNLLKRKAEDGVKVFIIIYNEVSDKTTPVDSLYTKKTLTGLHP NVMVQRSPSHFQTGTFYWSHHEKLCVIDETIAFMGGLDLCYGRWDTSQHILIDDDHTT PDGPEGPVWRGKDYANERVMEYSNLDKPFEDMFDRSKVPRMPWHDVGLQIIGQPARDL CRHFVQRWNLLIRTKNHKRQMPFLLPAADFTERELQDLKLQGTCEVQICRSVGPWSMG TLTKIEHSIQNAYVKSIELSEHFIYIENQFFITSTIVDGVRIENQIGDALVNRIIRAH REDTPWKACIVIPLLPGYTYPIDSGEASSVRLILECQNRTISRGTHSIFSRLRKEGID PDDYITFFSLRGWAKFKSGVLTTEQVYIHGKTMIVDDRLVLCGSANINERSQRGDRDS ELLAVIRDTDMIDGTMAGQPFKVGRFAHTLRVRLMREHVGVDVDSIDEDQLMSREPVA DADEIETWDPDHEQQSDDEATAGITKIKARTARDRLMHTFHDGLSSVTKGMSENAISN VRRAADKVIHPVATAVGGQTIAHHAMDNGDPSERADYDPDEQGRETAGFASSMVPTLE EKTVFERRPSGTHANGKPLFDVLEESEGGHGTTSDGHGSPSLEEAKVPEHAKKDTLIS DDDPSAKKAGAPKILSKPDETELYGTTANTYIPDEVKQSTKNDTEETSEKSPKDGQQD SAAIKARKTLRKHLNARVQISPWNMPTPTPKINPNRFHDPLDERFWKDMWVAVAVHNT EIFRKVFRCVPDDLVTSWAQYKAFANHAEKFNKTPEDVAAPGHDEPVKVTHEGPGTHG AGGGGSGGGHVDGGDGGGAVPGVGAGKSSDTRDSDVVDGKPHDVKHKRESSGKSPRME NDRPLSPSATRSSAQGSNEGGVDKKASAPDEAWAEWEREEMEELLGEIRGHLVIYPTR FLEAEDLANNFLFNSDKILPLPIYD L199_004206 MPRLRITRSTVVLSVVGLLFISFFIFSPGPEYDLNFDDYSDSDN SGIGGVALDKVRNQQKALNQWLSSTDPNLPQGLEYTEQGYLKGWEKLHDLLKDASNLK KKDKKRIQSLIKVHPIVELMQKGEERWANLLERQSKTLPQAVTEYRRRYGRAPPKGFD QWWQFCKRNQVKIVDDYDQIFRDIEPFFALSPEIFNKRVNDLTETQHVAHITLSPDGP SSLFGERKISARPRLLFQLLEPIAQYLPKEVTFTLSDHDLGSWILGDDQKQAALQAIS EGKYLTEAELKQLEKREGRQPVKGLVSACPPGSPGWERGLAIKEGRSTEEWDPKDDGE MSFIYDPLPTYDFCSNPSLLKLHGSLSFDFCRETVLRPIFQLSKFVRNPEFLTTPLEA YDNFTSAEGRKKYVPWEQKSISKLFWRGSTTGDSYSKRKDYTWHQSHRPRLALMTQDG QVGEKQIWVKARGRTDGGAWNKESWSINRLNGAYMDIGLTGGPHQCKKEDGTCDEMAK EIQFKDRVRPEDAAKYKYVFDIDGNGWSSRFHRLIMSGSVVVKATIYPEWLSDWMTPW VHYIPCKIDYSDLYDIMAFFAGPPDGRKGGHDELAQKIAEQGKKFGEEHWRWEDMQAY MFRLMLEYSRLLADDREDWSYQKTYD L199_004207 MSELKQTRRDLNNHEIPLLELLKSTLSALDDINVFAFSGKIPVR EDEKGLLKLFTEKEGKLRLRSFPLSDLDAQEIYHGAVPYGKDGKLLGGRVLTADRFGL TGVPTIPSWILNRVSGQYSSPIEYRMDGLFALGVGDSVLLPNDKSTEYDEECSYIDPS TNIKYYTSFDINERAGTLLISLPTRYTGGEFVISKPVNTEDGMMLSGTMDWSSVDGEE WDIKYCYFNPPARAIISPVEEGTMLFLQYHIWVNDEAEYLKSVEVDQQVGKDVIKQRL LGIINNGSILKEGGKIGFGLNGYYDNWVEELEDEDEAANEDNDESEEPEEKAEANNSE TEEEQMERWSKKYQQKPPRTVTSEEEARLISELPGKLKGVDKLLLDTLSEMGLNWHFE GVYSSPSDDEDDVEEVKEEVSEPDIVDGEDGGAAEEVVGEDEKLEDPTKQTDMWTSPS FYAIQGETISDSRSVGKALLEKGVKKAVDIYWINIPTYYNNGCWYKHEVNQEDQDESN WDAAVYNTVSVGVAIIIELPSKV L199_004208 MSNADPIIERVKSYKENEKEPAIEHVERVEASTAKGRAKKYEDD AAEILRQAGNLEYTVEDDKRVLRKIDRWVLIPMFFTYTLVHLDKNALSYGAVFDLQKE THLVGSQYSWLSSSLYLVQLIIQPLAALALVRLPLAKWVAANVFGWGLSVACMAAAKD FKTLMVCRVFVGVFEAPISPTFMAVSQLWWRRREQTYRNTFWLLSSGFASLVGPLTAF GVGHIHTGTLRPYQSIFIFLGCLTVVIAPIILWAMPDDIKSARFLDVREKAIAVERLR ANNTGTKTSHWEWYQCREAFLDPKTYLFGIMLLVTAIPSSGISSFGGLITKGFGFTSF QAILFQIPMAALMVILTTAGTFTINKIKLRFPVIAFITIAPISGAIALIYVDRSKTGA LLGAYYLVTIYNCIQPLLYSWANSNAAGTTKQRTIGGVLFVCQCAGNVIGPQVYFARE APVYHTGLYTDISCWCLLCVLCLFMGFYLKMLNRRQAKRREAAGGHANIQDTSIMTLE EAAEYNKKLAAEGVQANQNAFADLTDFENPDFQYVL L199_004209 MQSQPLQTVDDAERLIRLLTDGLVNIKDDKGDFLLHLPDGFVVN TKTWDGWEWTHGVAHTSLTHHAKLHPDSQSAAYSIQTIIDWFEHQCKVTDGKGCPKNI NTMAPFYSLACLVEDGKVKNPKWNAWLDEWAEWTMNGLPRTEERGFQHMNYRSSHKNQ LWDDTLMSVVFNRPQYIKESIYQFLLHVQYLMDPISGLWYHGWEFTPDGKPTGYSTNT DGPSYGHNFARALWARGNSWITLAIPMFLEILNDRLEVDDPVRLTLISVLKRQIDALI PLQDSKTGLWHTLLVDPTSYVETSASAGFVAGIYMSLRLGLISGETYTQTANKGLAGV VAQIDAGGEVNNVSYGTRVGLDLQFYKDVPITSMPYGQALAMAALVEWERYNN L199_004210 MLTYFILACLLTAVKADYASEAADSDSCAPSTPESYNLELHVAS VFVILVGSGIGVFLPVLMGPSSDVTQNVVFRRTFFVLKYFGTGIIISLAFCHLLQDSF ETFSNECIGELGYEPTAPAIAMGSMLVIWLVDFFGARWAAFKNPSDCKPVETVAKVST PEAITPTSDSKLMFQDLCCESGCRPLQELDPTSKRAHWDVQLLEGGIVFHSIMIGVAL GAQTEGFEVTFAALVFHQLFEGLGLGARIGALVWVNARGGVAWKRWIMCMAYTVITPV GVAIGIGVHQSFNENGKAELLAIGVLNSISAGILLYSGLCQLLYAEWVVGDMRDTSNA KVVSALTALGLGLFAMALIGKWT L199_004211 MLSTLPLIATAVGLIPVFAQAADWHFLTYLSPSGANFTRFAGDM VAPTLPGAGYYYLWPGLQDSDHTGVFQPVLDGRSGSWWFSPGWCCGNPSLSWGGGISV ANGQTVHYDMALNSNGTAYDTNVSKEGASVDGSFPLANKKFNQAVFAIELNGVTWDFG PLAFSNIVIESTGTDASWCNDSPSNYNGATNFTITGATSTKTDNKVICSIDSLILQNP I L199_004212 MSAGESSTPVVLDHSPPAKPKKKRTNVGKACEPCRRRRCKCDGI RPSCTTCAVYKDECYWEPREDYRKPLSRQQVQALTTRVQDLERLLREHGLDPGTAGGG DAAGSEDDEGEHKKDKKDKDKMEESTGDLRSWSQDHLVEGEKGELQVHGPTSAFRHIG KYSNERVNRSNQDMSPQSPDPLPYGFSRYLPSEVYITQEQHDQAIDRFFRFYACWGQR TNPILFRQDLHTALYTDNHHQQIKTPHYSPMLHNAILAIALGISDEPYLRASETRRVF AKKAKDLIDDEGMNPTVATVQAFAHLASYHSLEAEHNLGWLYIGQALRTSLALGLNMD DTRLLKKGNVTSIQARERNVTFWTTFIQEGLWAPYIGRSISLPEYTALPPTVDEELDQ LIWQPEDPPTGEDCTLKAQPGMLSTTFCHTVKLMRIGERIMNTLYGIKADMSTLIRTG VISEISLSLSTWLESLPPSLTFHNHAPKNALPHILMLHLSHAWLVILLHRPFYRPLAP LPNGSNSDNAIPSGTSTAAWAVKQCDRAALHMITLLTTWHRFHNLRFCPPTAIQCCFI GGTTHLLSLASAQSPKRQMESLSRARECIKLMKYMAVSWPAAQHQQILLENLLSEYGI SQGSQRLTQQIDRMHLTKDEPGQEPRQTAQSAPATDSGSLTHNPMALPPMLDQSDLYH PQNAGIAVNPNIPIPPNLVATPLNVTLAPSTGVINDLNTWMGLGLNGDSVGQTSYPSN LINPISSSFDASALPSIINSLLPNQNQNQSSMFSNQMNQLDHPAWDPNTFELDRDTQA LLDNILRPHLDVEDPLQYDFGSSF L199_004213 MVLIIDSFQRIRKVLIANRGEIACRIIRSCKELGLTSIAIYSKA DRSSAHVRLADEAWLLPGNDQTAYITEEDVLEIARKSGANAVIPGYGFLSENDGFAEK VEAAGLTWVGPSSEVITKFGLKHTARELAVQAGVPVISGTDLLNSAEEALVAASKIGY PVMLKATAGGGGMGLQICWNESEIEAAFHSVHARGATLFKNTAMFMEKYVAKSRHVEV QVFGNGLGGAVHFGERECSIQRRHQKVVEECPSPFVHNRPELRERLTSCAVSLASNVC YGSAGTVEFLVDDTDGSFYFLEMNTRLQVEHGITEMCYDVDLVSLMLQQAEMQARGRG GLEMTALKALQKDSPTGFAIEARVYAEVPSRNFAPSPGLLQHVEWYEAEGVRVDTWIQ SGTNISPFYDPMIAKVIVWDRDSHDQATDKMLATLTQSKVQGCPTNFQYLAAIVGSNA FRIGDTTTAFLTSDHFNFSPTTVDVISGGAYTTVQDLPARKGVGNGVPESGPMDSVSF RVANLLVGNHENVEALEVTLVGPELLFHAPAIVAVTGGVVDVSIDGGKVDMYTSLLVP AGKKLKLGMVSSGCRSYIAIRGGFPTIPVYLGSKSTTTTLKLGGVQGRHLLPNDSLDL DPHTEEWAEHYKPITIPQIARLDGLWKNKWELYTMPGPHDEPDFTTEEDRKTLYETEW KISHNASRSGYRLKGPRLQWSREDGGEGGSHPANVIDEPYSYGGLNWNGDDPVILPVD GPMAGGLAITNTIVRGDFWRLGQCRPGDSIRFKRISWSSALLLRRRTEEYVSQIKGFI NGQTSSGELKTINIDLPEDWDETILHHTPVDPVKKTIEVKYRQAGDCHIHVTYGPMIA NVLIRVHIQHRLNKIEAGEVKGVVAVIGCARSYNVQFDPLVTTQEEMLARLIALEEEL ESASHSPLPSRLFRFPILLDDPLSKKAIQDYMATIRESAVYLPDNMEYIAKANGVKDR DTALKSIVTCPQLVVGVSFLAGLPFMLPLDPRLIYVAQKYNPVRAFTAEGTVGLGGPL TVIYPMESPGGYQLWGRTLSTWDPHAAKPGFDHPWLLREFDQIQFYEVTSDEFDKVYE QFKTGRLNFDVEATTFDPASYEKFIDSIADEAAEFVKNRNLATKAVTQEENRLVQAWR EKQAQNTAEGGDDAGSESEGVNVIAPMTSSVWKINVNVGDTIKDGQVVAVLEAMKMEI AVRADASMDGKVVKKIASPPGTVLDPGQVVISLAA L199_004214 MVNSTSSSSHRIQRVEINVDCGEAFGYWQCGPDEDLMPLIDAAN IACGGHAGDPVTIRRTVALAKKHGIKVGAHPGFPDKVGFGRRVLAMTSEQAYSEMLYQ VGALKVFLDEAGVPLNHIKPHGMWYIMMQNNEELNDAAMRAISHFKVPVYGMPNTLHE SGAKKYGIPFIPEAFVDVNYNSEGVLLGVPGSRKMTTEDIYQAALSLGKKGLVAAVDH SLVDVGVKGNPFTICLHSDLGTCRENVAAARKAVDEINKELYSNS L199_004215 MSDVEKNITDYPASGSLETHTVAVPEGDKYDINNPEYDAVFGER KEGAVDYRSMGWIKATIVMLKTIIALGVLAMPTVLSATGGVPGALIILIIGLITTWTG HVVGLFKKNHPEVYSMDGVGYILGGKWGREFFTIAYPMFMIFLSGSGFVAISIAFNAV TAYATCTVAWVVVAMVGTFALASIQTLNKVSILGWIGFVSVMAAILIITISVGIQDRP SAAPQTGPWDKNIAAVNHQGTFLGGMGAVCTVVFSYSGTPAFFNVVGEMRKPKDYDRA LYWCQSIVTATYLTIGIVVYYYCGQYLANPALGSAGVIIKKVAYAVALPGLFVSVTIY THVGAKAIFVRLLRGSDHLTAHSFTHWAVWLGTVAGCVTISFILAEAIPFFGDLVNLI GATLGTLMCMIACGWMWLHDNLPRRKEDKSLYYRMLVLLNVGIVIAGVFIVITGTWSA VVSIRNSYSSGLITSPFSCADNSNSS L199_004216 MAEKKDDTIVGGYAEPIVTPHLDSTGYTGTRHASGLQRDLKSRH MAMISIGGVLGTGLFLYTGDALVNGGPLGLLLGFIFMGSVCYSVMICLGEMVAFLPLP GGPIALAARYVDESLSFTMGWFYWYTWTIFFPSELSALAVLVNLWETSINNALWISIF LIVAVGINMLGAGAYGETEFWLATIKIIMITGLIILGVVISAGGGPSHDKIGFRYWRN PGPFVQYMDIPGSLGRFLGFWAVLTQAAFAYVGSEIVAIAAGEAKDPRRNIPRAIRNV YIRIILFYLGGTFVIGLTVPSNDPRLALNAGTALASPFVVAIQTAGIKVLPSIINAGL ITSGLSGASSELYTSSRALHGLAVNGHAPAFFARVTSRGVPVIAIATCAIFGALAYMS LGSSAGVAFGYFAALGSAGGLLMWWAICFTHMRWESGARAQGIDRSSLPYHNPLNKYG IAGKYAMFFISLVLFFSGWSVFYTDSWDTGTFCTSYLPIILFPCVYIGHKLLRKTSLI RPEEMDFNVVIEDIE L199_004217 MLSKDLALLSLLLPLGVSSYVLPRDSAVKFDVTAGDKRPIYDAA PVGLSLEFFAFPGYVQDVASTSQCFANLDAASKTQTRVRIGGTTQDRALYDATLTSPA KFVIPTPGGAPSNLTYGPSFFDLAEGLKRPVVFGLNRRLNQLNNSIAAAKQAVKTVSG LFAFELGNEPDLYTSADPIANKQSWTPALDAKIQIDWQKSVSSALGKDDIVQAGVFLQ PPKFSVQELAPQEQGNNTLHIVKTFADHAYPQSACGGSKTDLATLMEHSRVKAFVDKF KPEVEAAVAVGKPIVFGETNSGGGISPTFGAAIWLADYALQAVNLGYSRLYFHQGTIG NSPYSWWGATKVFSPYYGALFATEALSGMSSISALDNGTTSLAAYGLYAENKNVPKKV VLINTDYYKNTTTTGRPSQTFSLSGLGNNLQSVNVKRLTAPYATSQQELGQVPTFGGV SYDNSTCNAVGDAKFEQAAVNNGTANVKVYSSEAVIVYIS L199_004218 MENQATFKLVLCGDGGTTTFVKRHLTGEFEKKYIATLGVEVHPL TFHTNFGTICFNVWDTAGQEKFGGLRDGYYIQGQCGIIMFDVTSRITYKNVPNWHRDL ERVCENIPIVLCGNKVDVKERKVKTGNVTFHRKKNLQYFEISAKSNYNFEKPFLWLAR KLVGNQSLEFVAAPALAPPEVQVDQALIAKYEEELKQAANAPLPDEDDADL L199_004219 MQDITSWLKAACRDLSPTEMVKPPELTMLDAMNAIQMMDPKMDT GAADLQGWRSSPIYSPAASLSPADLCWTMDNMLALDVAWYRGATLCQSVYTALHYHNP HHLAGPPQYTDTDHESYLVYLVLRAYVLLYCKSIDLAYTEFAKGHVRDGEDCWLDHYG VAVRMSDPVEAVVNLANEALEWLEHQYSPVSYHWSDQMSKRLIHRRAAFDSSIPSYLR QHMPLPEFEQPTQSLAWKDMKVMVDELINVEAIVYRQDSWDAWTTRFDFACDQVVGGR HGNNRAVRMLIFEETGYSNAAVQVYDNVTNGGNAAVDHQMNVWKNLVSSYLTTTMSTF LSNRSRQFRSFSILSASWRERAAMGEYLSKYRDLSEITQVVHAIRLDCLIESNLAALD SDLVTSLDEAELWWWMQQVTSSRIHMCQRSQSWSSIWAKLWLALSSAICSRFQTDQES LKRIQPTKSLNDALLKLNEASQCIRQIIEMKREDTADLTDFYNPIKLLAEVIEHNKSV LENHGDLFSWDKSARRDGRTRWMPRIRGDA L199_004220 MPVATARSPSPARDAREVKRLKTDHTSSPPRQAQSLSTLITSDD NQPSVSADADQPNEAELVEEQMSLPIEFPKTYENELNYRDKLVLAPMVRTGTLPTRLL SLYYGAGLVWSPEVVDKAIIGSERTVDQKPYLIFQIGSSDPELAVKAAQIVQQDVSGI DLNCGCPKPFSTRDMRPAERALWERLADIVALGKQRGLPVICNGDGDGWANWEKIRTE TNADSVMLARAAERNPSVFLPTGPVCNMTEVVPKLLAIAKHTNNPWGNTKFLLTQFKA SPPPISNMSKQERKQAAEIVSKSKTVEQVAEGLKIPIDDVKEVFDVIVKKIAERGRAD TNIWEERHEAEVNGQVIDEPATVDGEAEVDGFEVGVGQAQS L199_004221 MSGPPEESRRNLILAKQREREAAEHQRQKDALLKEAEKDHTVNK FIGVTENLDERLIKTTVGLVTLSEFQKTKDDLEERQRQLAAQVAADKGTKGVVKPKKT KKKEKSKLSFADEEEEDASVGDKRTRDEDDETRSKKKFSKNPAVDTSFLPDRQREERE LIEREQLRKKWLAEQEKTKAETIEITYSFWDGSGHRKSVECKKGDDIGTFLNKCRQQF PELRGTSVENLMYIKEDLIIPHHYTFYDFIINKARGKSGPLFNFDVHDDVRLLADATV EKDESHAGKVVERSWYNRYKHIFPASRWEVYDPDKDYGSYRVA L199_004222 MSHQYGNTYADPYNQQPQGYYHNNDGYDETQQHPPPFQNEGYTY SNDSNPYGEEPRYPSYPADPSVGDYQPNSSTEKMNDEAQYQENTQRAQPGRSGLRRPP VSIAEMGPPPRSTGILRMWRKDERGKQWSRGGGVRMSLRLCCCCFTIGIILVYIRPPS FALNSVNIGSSPVSLTTNGLTVSFDLSISVANPNWFNADFKEITATAQYPGNNTNTFG GVSLCEVQQQLTISYTLAKDPNQVILKDLISGTKQDITVDYDLYLKLKILGVTVSPTI SNSASFECPITASDIQSIIGSDSLSGLIGS L199_004223 MSAPSSFTSREALSERRLQDPSKPAPQRKKRVIVTGGSGKLGRY MVREMVEHGWEVWNLDVSPPAPEEAKVAKFVHVDLTDYGQVIAALSDLDSGYKGVDAV IHLAAIPSPSRAPNHVIFHTNIRQTYNIMEAARVLNITNLAIASSETVFGIPFYPHIP ERLPIREDAERPESSYSLAKLLGEKMGEQYTRWNPEAKIISIRLSNVMIPEQYLDFEN WQNDPWTRAWNGFCYIDARDCSQAFRLAIESSLKGAHVFNIANADNAFRVPTAELMKK VFPDTKWEPETDNPREGGISIKKAREMLGYDPKYDWQSEYERLTKK L199_004224 MFNLIPIISTTFIPLVSLSSLTIYLSTHPASPIYTLFQKRIALP THQDEDFNERYDIVEKDPFNIEDPVVCEDGTPVDPESFWTSMRRRKIGVLLLMIPPLI CNILLLIFAIIAHTPDQDEKTRSIILPSLLLPSQIVTLYLAYCHISQKETQPHWATTV HLSIDIFIQFMVLAFLALLPSTPLPSAPTGLFASFARIDVFAIPRFTPVSLLKMLLPI LYVFPLLTILSVRRGPPLYLPLDAIYPSKIIESVPLGTESLDPSKPNVSAEVQANVPE WLLFGYATPVIRKGYYSDSLDVWDLPILPKSLRALVQYRHMRGIYGRPKGRFGRKEGF NLLWKVAKANSGLLWAQTLLATATAFGYYIPHYILLKFVEYLENDPTRSAPAWGWLLC FGLFMSNAIMFIATGVTWSISSTYLQARIKLQLNTLLFSKTLRKKDIAAAGDDKGQVG DVKAEAAKDQKKKEAAGEDGAEDEEAVSSKTQIMTLFTVDVDRVTDFVFHLFAVVDSP LELLVASVFVFKLLGISALYGLLTAILSLPLNHIASKIVVRAQENLMKTRDQRTALMN EILQGIRMLKFMAWERSFESRIKTIRKNELSWQARNYQIEVAFNCIWALTPVLVTVVS FLHYTLVRGKTLTPSTAFTSVAVFAELRYALNALPETFIEALQGFVSCRRIEKYLSLA EIAPIEENDGQGDIVLSSATYTWPRDDSAVPNTQNGSSRSTATTPKNAFTLADLSLRF PKGKLSLICGSGKSLLLAGLLGEADLLAGQVICPRSSPDSMGYSEKEIPDTDWIIPNL VAFVPQQAWLQNASIKDNIVFSSPWNPQRYQQVIEACSLTTDLEILEDGDQTEIGEKG LNLSGGQKARVSLARAVYSRAGVLLLDDVLSAVDAHTAHALMANCLQGRILEGRTVLL VSHHTALVSPGAAYIVALENGDVKFSGTRDDFVASGLMAKLDEEDLQAKPTEGEAKEE KLVDETALKATHKSVISLSGVTGSDPGSETSSLAPEDETTLANSTIDVKPKVPRKLIE DEKRARGRIAWSVWKTYFGALGGPIWWIFFILALAMAMVVPVAEKGWLEYWTGSNQST AQAGHTAQYFVVGYAAITIIGVFASNFQYVIIYLGSLQASKKLHNAMLESVLFSTLRF HDTTSRGRLLNRFGKDIEGLDSSTADNFVRSLTLGLNVVVTFISITYVGGLPFILAGS VILVIYYQAGSIYGQTSRDMRRLDSVTRSPLYSLFGETVSGVSVLRAFGASTISLKHM MRLADTNVLAFVWSWTVNRWLSARFNLLSAVLVGLTAVAVLIAPGVDAAMAGFALSFA GTICHDLLFVVRRFVQLEQSMVAIERLKEFTDLQREAAEFVEPRPPASWPESGAITVE KLIIRYAPDLPDVLHSISFTVAPREKIGIVGATGCGKSTLALSFFRFVEATEGRIVID GVDISKIGLTDLRSRVTIIPQDPTILSGTLRSTLDVFDEYDDADIYAALRRVHLIKDD EPLGDAVDAEDEEGRNKNVFRDLSNPVSEGGDNFSSGEKQLICMARAILKRNKILFMD EATASIDYETDELISKTIREEFSDSTILTIAHRIHTIIDFDKVLVMDQGRIAEFASPA ELLRDHKSKFYALCKATGRTEFKNLKELAMQAERKKRRS L199_004225 MTNTDNDTLPKAILYSWPTSVWSTVPQLCLHEKGYSSDEYIIKY VDISECFLAMTRRDNTNTDAVAHSQRRSKFMGLAHEVGGCADDSCKNFAPSYLKLRAD PLAGTIPTLVVPTLETTGNDVDTRYRSLRDTISICDFLDHARSASSGHIQHSDKPAPT LAPATIEGKGLSDEIINIIHVYTVDPNFVVLAARDEAELREKAKRPPGQSLALRREAL QRYLEEARQAVAESAVAPKEGSLTWEQKTVKFLEEKVQSNEQIWELYNGKAGKEKEAQ FFEVCKRTWTESLPDAFKKLENLFKGPFALGDQVSLADLHTISWLTRLVSIAGGEPVA AGIDSLIPHLGGYKFGPKVRKFWEEWVERDSFKNVLVPACGAFRNQGVQDAK L199_004226 MAVARNMILDYHVLYFDEFQLVDASSAALIRDVLSWYWRLGGVV VACSNRVPEDLYHHGVQRDRMIGFLDALKSRCEVVQVDGGVDWRARNDHEPHEVMLKW YSQDDESFEVAWQEETEGLNVGPTQVSVYGRKVPVPAAAGGICRFTFADLCEEVTDYL TLASSYHTFFIDEVPVLYLKHKNEARRLINLIDALYESRCQIFIRSPATTSTLFFPDA LDLEESQVDEMTNDRIMEAESLSETLATPYRPNVSLYNTTTPAKKEKEKLEEKRSGSS FSVLGIWTGEDERFAYKRAVSRLIEMTTSPTYAAEEWLPLDKEARTWENSKNPTTAHS TVRRASTGLGSGKKAMDDLAVEAGYSRPGKLEKTEAKARKPAPKIKEQHMWGVVDEWG EKAGKWGKGVKAYETEKSDR L199_004227 MRASLLVSRSLNTSTPSLRIICRSGIPRLGGSIKPKIPRSSGPS ISAKSHSSQASTSNQARHAHANAQLPAPTDLLELYRGLVASGRLKWDDEQVRCVMKLR QLLATLEDYSPPIDLVAKLTPSAPFIAQDVKRKSSWLKGKEKAGEYLGIEVDSGDEEK KLVKVLSGEEELANLTTPKGILLTGPPGTGKSLLLSLFFQLLPLPKRRVHYHAFTLSL YRQVFLELERRKNAPSIVEELMRKTENMELANKKGWRAVFANGRWDEEGNERLWAKDE GMAFISE L199_004228 MSFRLALRPLRPLSSRVALARPLHSTALRRTQAGYGDPQDEKAD NHTPLPSSTPDPHPAGQGKGPGTKTGTTDPEVGKGGVGNAGGKKGAGDASKENVSGQD IKETKKIGEEPKKEEVGGAGPIGG L199_004229 MVINADVPSADSPDEDSLVKATTGKSYAVDQLYLFEAAGHLIYL TKSEPGKQIPLLETIAGPLMSGMASGVERCRADSKDLQAVLQVHHHLMALGHLAKGFP MVSDSQVEKLPYTPAFKQMTEALLQALDAVKTQRVVRDAARFAFAQFVNAIGSTVAEL VPRFVSVVVTEYEPSELVDFMLFLGLLMHRLKKNTFETMDMLLLPLLSRIFAILQQPI TGTDEAQIHARLKDAYLTFFTALMNANLDGVFITERNKPEFENLLTTLLGLTQDCSDP GSQRFAFGFFARSVIAWGTSPEAAAQPSDRAKQALPGYENFIYQRLVPACFEVPARKE FNIRSSTLTLFEMAALVRSTAQARGQEAINFLLNDLFPRLNCPPDIANQFVDRLRTQQ AKDFRKTYTEFVKAMRG L199_004230 MMTLGLPLLLRFLSDRQYEVPIAVAPFASDLLKVYKRIYKPPAM PPPAKAGQPQPPTPSPPPPLTPQRREFLASMLDILIRQLAWPEDAEWEAPGSEEDSDD EMALFRTFRIHCRAYIESIAQIEKGLHTEVVARIVVATLDAFQAGGPSAVPWQQAELA LHLIYTFGELSKSDGRSTPTSDIAEAEVDYGTAKENFDYDQYPLSPLGELLKRCMASG ISTYPHPSVTLQYFEISVRYVEFWRYKPEAIQPMFEAILDNR L199_004231 MYTAIIEFVETEYVQGLCEGGQAFLRNKLAFTIAHLFLNAYPIT IPTFLRPLFALLTPTSTNFHPALLTLRVLTEIAQEVHDVTLRSARKFSKDRQQRDGEV RDVIRSSGDERLAMEGMLGLVEKGLDALEQGGEAKWLDVVETALRTMVTWTPWVDLGV SLQPKTLSLYHRLLRSSHISLRTASANIIRSLAAKGVQDPQARLEVLRVLDIVSLVDP LESETRGVKDNEEVVAFRAAVAGILSAFGTELIAFSENVSRKGSDRADRK L199_004232 MASSSHLTDIPQAVRIAASIDPSVNAELKQQAIDYLTKVRQLSQ ETWQDCLALYLQGAGAAGPSNPGKDGKEKLETDLRMFCEQVVDTALTQR L199_004233 MATTLLPGLRNGVLAKASSSTLRPAFAIARPISNTAIALRPNTP TSSINTADSSPSTTTPATAIAQRGSNQLSLETPRNGAEYVLSTLDKVVNWARQGSMWP MTFGLACCAVEMMHMAAARYDQDRLGVVFRASPRQSDIMIVAGTLTNKMAPALRKVYD QMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGMLQL QRKMRRNRQGVRWYRK L199_004234 MLSRTALRRVARPHSSSPQPLAIRHLSYASVRPSPIALGKRPAL PALSVGSPLVASSRNISWTPWRTASPQAAPIEEPIQAASAPPVTSQTPPEPILSETHP DLTSASSVQPTLPESFTSTPPVDPSLLPQPPADGVTPTLADLILNSGKPLEEVLQSPE AVHAAMQVSDLKLLGYEHGFFSISGWFTDAIVGLHTTTGLPWWATIASITVAIRLALS PILVSTQKHNVRLAAVNPQIQGLMERAQEAKSKGDVHAQALTGQAMRQLMKDHNVNPL RALLLPAIQLPIFLTFFNIIRGLANLPLPQLKEGGIGWVTDLTQADPYYILPLTSLLF TNLVFKYGADGMGTAQKSGSPERTAHIKNFIQMTTIVSLPVVSYFPAAILFYWTFSTG FTLLQSIILRQQVVKNLLNIPTPPVVPPPPGQKEFKNPNWLDTIKAAKEFVDNRMAQA REMQADQEAQKLREQSLLARSRVQPSVQSVERIRENAPAPASGTAPTPAAATRPTPSA AAVPARQLSPREAEKQRRVAAARRKRSGQ L199_004235 MIRQLARQSAIAGPSRQLLTPRYRGITTTLPILRQDDRLPRGHI RPRRRPIQPSQPSDPSQPQSPPGPYPQYDFDQYDPSTLQTPVPSRPVYIPPDPQGILQ DNHAARDILAHESLVIVRQLEMLNVFMGFEQANRYAIHSPDGQHVGFLAEEEQTILST ISRQALRTHRPFRAVIMDRYGKPVLWIRRPFAFINSRIFVHAQEGSEGMLVGEAQQQW HPWRRRYNLFQRREEETFKQFAKVDSGFLAWDFWLKDRDDRLVASINRNFRGIGRELF TDTGQYVIRFDAAGTELDLPPGSNINVQGQSLILPEGKEGGLTLDQRAMTLATAVSID FDYFSRHSGSGGMGFPFVFWGGGDGGVEATQGRSSDVQPSDGAAAGAAAGAAGVGMSE DEQIYGRQPSQESSDDPDRYPPSAEGMEGYDEESGWGDDEVMQDPWSQQQSDDGGWFG GSGGGGDGGGDWGDWGS L199_004236 MSTGDLTLQPPADGISSLTFSPDSTRLLVSSWDGTIQLHHLVAP PQPPVIFSHPAAVLTATFGSSSTVAFSGGLDKRVRQWDFETGQCRVLGKHDDTISSIV WSPEYNVLITTSWDSTLKVWDPSAEIPLRSTQTLPARAYSLSYAPRSSRLLVSMAHRH VFVYDVAKLAAADGEISPSQERESALKFLTRSIATMVDGKGWASGSIEGRIAVEYFDP ADQGSKYAFRAHRQNVDGVDCVYPINALAYHPIHNTFASGGSDGCISIWDHNAKKRMK LYSKYPTSISALAFSPDGSKLAIGASYEHDNAISKPEDQGRVMVLIKDTVMEDCKPKA KA L199_004237 MDEDSYTYEPRDKSGPMDIDQNYDTSRLDAFNFVGMGLGEDGPA RKRLHSELDRAIPFNPHPASGFAHFTNPSSDSAPLLLAALNTPRKAPSYDPSQWYNTA PRTPAATSLATQLDVDMDSPARVLSTPAKAAETPLKGKEATVEDEKEHDQENMNEKDR DRDEQNEPPRKYAKGAVTRTNKKREKARKEQGRSEQGVSVRHSDSSTPSYSKSEHHYN VHMTPPALRHSEIPALLLGYLQFAVNASIVLFCLYFGIQFVLTVRRDVKDKMQEHSVE ILQEIAECTNLYLTNRCDPALRVPAMEAPCKAWDACMNRDPTMVGRINIIAETFAGVI NSFVDPISWKTMSFTLVTLTFLIILTNSALFNLRAKASHHDISPPNNNFWPPQSHFMP QLPPHMPHPQHHMLGPQHPQDSMPHDGTRQIGWTGEEKKKGWW L199_004238 MSRLGKRHPDLLCCNFNQDFSCIAVGHKKGYTILNCDPFGKVHS KNDQGATGIVEMLFCTSLVALVGAADTQPSNSPRKLQIVNTKRQSTICELIFPTSVLA VKMNRKRLIVVLENEIYIYDISTMKLLHTIETGPNPNAVCALSSSSEHSYLAYPSPAP SASSAPLSSNAVPAAPPAPTTGDVLLFDTITLSALNVIQAHKTPIAALALNSTGTMLA TASDKGTVVRVFSVPDAKKLWQFRRGTQSAKIYSMNFNLASSLLAVSSDSSTIHIYKL ANNSKGSSGITNSSNQNPDIPEHVDGARSPTPSDAPSNSPPLSSAKLSDNHSATSSLR RRSYHIGKSFVGGVGGYLPRSVSEMWEPQRDFAFIKLRGNGARTVVAMSGTVPQVMVI SADGLFQAYNIDLENGGECTLMKEFALLGSEDSVGNASLGM L199_004239 MPPRASLPRSIQLPSNKPTWKPPKPHLASYHPPSPSSSSASSTN HFIPPVSPQLPARRKPAFNPGGFGLQSSPKPAPAPGSWKGKEKAREAQLEEIRKRDEE IRRDEERLEGRESKKDGKEEAEACYVPPPATLHFHSTKNLPLLYSPHPLPPFQIAYET WGTLNSARDNAILLHTGLSASSHVASGGNDVSSSTSSKPGWWEDFVGPGKSIDTDKFF VICTNVLGGCFGSTGPSSPYPPGDGETRWATRFPMLSIHDMTRAQFDLLDHLGIEKLY ASIGSSMGGMQSISMAYIAPERVGRVASISASGRSGLNGVGMRYAQRSVLMADPNWNR GFYYDGVPPHNGMKLARQIATITYRSGPEWEQRFGRQMLSEQEAALDSEGNPDVPRLS PDFLIETYLDHQGERFCLTYDANSMIYLSKAMDLFDMSSTALTSLAKKFNSAYPDSNP FPYPSDPVGISISSSKNTAQPASEQDREALEKETKKKLKRFIPTSKSPHLSELSQGLK RLKDIPALVLGVQSDVLFPVEQQRELADALKLTGNENVTYYELGGVWGHDTFLLDVQN VGGAIRGFLH L199_004240 MEEEYKEILEFAYELAEKATDMILKGSAARWTSKKDAAAKMNSV DLVTETDQAVEDMIKAAIAERYPSHKFLGVADWQFRTLEFSARLGGGAFLNRTTPLPL TGGIPQPLTELSKCMIGTEWGGDRSQQCMAKKTESLFRLAGDPTKGVEGGRMVYALRT LGSSVCTIAALVSGQLDMYWYAGCQPWDVCAGAIIVSEAGGFFSGGKDAFYNNAPMGE ILMSRRYVFVRPLPDSETETREQIQRRLVKELYETVIEWTNEGMTT L199_004241 MMRNAIQSRLSSALRTTEVRAAVRPLAGPSVPLARTLVTKPLPT PRFRAVALCPRRLPTRGYAAEAGGKFSRKKPHFNIGTIGHVDHGKTTLTAAITKYLAE QGGGKFMDYSQIDKAPEEKARGITISTAHVEYETPNRHYAHIDCPGHADYIKNMITGA AQLDGAIIVVSATDGQMPQTREHLLLARQVGIKRLVVFINKVDQVDDPEMLELVEMEM RELLSEFGFEGEETPIVMGTALAALEGKDPERGANKIKELMEKADEWLELPARDLDKP FLMYVEDVFSISGRGTVVTGKVERGVITKGSEVEIVGMGAPLKTTLTGIEMFHKELER GEAGDNMGALLRGIKREQVRRGQVLVQPGSIKSVKKFKAQLYILTKEEGGRYTPFMAN YRPQLFIRTTDVTVSLTFPDGTEGAHEKLVMPGDNVEMIGDLVHDIALEPGSRFTLRE GGKTIGTGIVNEIYE L199_004242 MVNNEKTEINKDNMTDPKLQKALKECQKTVLTSLKALTNASSPS PTSSSDIPPALGDVLGQLLAQLRQSITALGLSFNPPITIDAAIQQLGNLSEYIGKLIS CVLLATTPSTELLAEEWKEGLISVVEETNKHIQVLEENGDYLSSTGIVWESIDNLLKD LSKDESSALKRRWKIHQSTVKDAWQEFKELLECTSDDNGREDEIENEDGEDGWDELDL GGEALSEEERKRAEAAKPLLALHQILHFTIPKFLDQLNQNDYRPILQISTDFVNAYDN AVSSMHPEQDESEIEEALLEVEEVSRKMAGMINDKSIEKWTDMLDSERKKWEERRLDL SSLKDAI L199_004243 MPLVTEEFHFTPLPSSSSHQQPGPPSHPTSRPQSPNQGNDAIPD SFLPTDVGDLLSGLGADDLDDFGDIDDEDIGNDRSFEEAHPNKPEFDFGFGGNSDVEI PKMVGFATAGGKKLKSPSKEAIAKANKIWAGEDMDDGFEQNKPAKKPRLSDPEEEDHF PPFDEPTIDFPVNHVGFKTGKGKDMAPPRAESLQRASKMFNEIDQATAMDEEPSSSLP STSFQHSTSVPKLGGSPSRPTSIKRLAPPSLNSMQFAAKLFEEVDREQGFQPSASTSV PPQPRPQISGFSLASGGAAPKISESAVAKAMSILDGDNTPSERTPQFSSAFNSASSLN PSASNNNTNTLPSETYMSEIENQPRPIPSSSFKSASGKEAAPLSNASKAAVAHLFNDL DTNMATPIRSRPQAPVQTPVRANSPTTTPFMRQRSSFAQTSTPLRTPIGNSSASLASS KRPIQIKTPSGPIRRIGLGSTPSQRQFKRGFSTPFKTGSASTSILPASIQDPGPSTRR QVTHYRSVFDLEPPSHRQDYNAAFLHPQYYGIDELREMNIPDDLFAIDLDTAPRYRFL AEDDSLLGHADALTLLQADGCEFAKAKWVENHWAQILWKLAGQVQARPEIFQEKWCWS EVINQLKYRYEREFGAAERSIIKRIQEHDSSPGLPMILVISDIHQAQVEGKTKYSLDL TDGWYCIKAQIDACLQRAVTKGRLAVGRKIAISGAKLESGNDGQDVLEALNSSHLIIT GNSSSLARWHVKLGKQPRPFIASLSSLSVDGGVITLVDVVLEKIFPIAFTNGNGPPWG EEEEQIRRDQWMERYEGEKTRLADKMRKEMEKMEDLASLLAQSAEESDPVSCEPPDSV EYDYETLLEAKDALICLRTMSTYQIVHLASYANMRIMQEMQDKQIEMEAELATLCPKR DVRDFRMIRVVDAQLGNRDPLRVGMLNVWDVKALGDGALQEGKRYMISNIVPGRGGEW TLHKSRTDRAEIYLHTRRDTRWQPVA L199_004244 MSLSWFEVGNLFSVKGKVSIGRAITTALAVNGAKVIIIGRRLAI LETASKEINEHASKNGGQVIAIEGDIASKKGIEDIYDKLKALTDKVDYLVNNAGFSST YKVQADINDPVELEKKLWSVEESDFVDMAKYFMSSPWLLSVKLIPFFKYSSDPVISNI TSLAGLSIERILAHPAYASAKAAELHLTQRMAANFIPFKIRVNSISPGLFRSQLTTGS SEVDAPVAPIIEQVVKALPAGREGTWEEIAGVALMLATPAGAHINGIDILVDGGAKLV TSA L199_004245 MSLQSFSINSLFGVKGKVVIVTGGGTGIGRAIATALTINGAKVF IIGRRFNVVEETAKELNTAAQGAQSGGECIPIEGDVGTKAGVVEVYEKVSKFTDKLDC LVNNAGFSANWRVYSDDLNDPTKLEKMLWSIEDVDFANMTAIHVSGPYLLAVKCIPLF QKSNDACVTNITSLASHFLNRACCEFAYAQSKAAETHLTGLMAAGLTPFKIRVNSIAP GIFKSQLTTGTTDREAPLWSIQQNQLKNIPKGREGHWEEIAGAALMLLSPAGAYTTAA NIIIDGGWRLFTSANDVQ L199_004246 MSTSILPSTDGNAGPSTAANGLPPLADLVRRSTKRTRVVYGVEG SSIDDGLARANKIKLASKLAAEYKDVQTLPPILASQQGPTGPKRPAAANGNGPSAPGP QAGQKLIGGPEAATSSSNTSAPAAEPRSLVKFRHQQGFAAEGGHASSRLSQALMRKKE AREVKPEYHPQWKLTRVISGHMGWVRAVAVDPGNQWFATGAGDRVVKIWDLASGELKL SLTGHISTIRGLAVSDRHPYLFSCAEDKMVKCWDLETNKVIRHYHGHFSGVYSLSVHP TLDVLVTAGRDASVRVWDMRSRANIFTLTGHTSTVADVKTQDSDPQIISGSMDSTVRL WDLAAGKCMTTLTHHKKSVRALAIHPTEYSFASASSGGNNIKKWKCPEGTFVHNFVGH EAIINTLSLNTEGVMFSGADNGSLTLWDYKTGLPFQHLKDIPQPGSLDAEAGVFCSTF DKTGTRLITGGADKTIKVYSEQA L199_004247 MDSYQTPLSSRYASKEMSKLFSSGTRFGTWRKLWLNLAIAEKEL GLAISDKAIEQMKANLDLDEAQMKVAAEEEKKRRHDVMAHVHTFGTVAPEAAGIIHLG ATSCYVTDNADLIFLREGLDILLPKLAVVISRFSSFAEKYRDLPTLGFTHFQPAQLTT VGKRATLWIQELLWDLRNLERARNDLGFRGVKGTTGTQASFLTLFNGDHDKVEALDKR VTELFGFPYAYPVTGQTYSRKIDADVLGPLSSFGATVHKIATDIRLLANLKEIEEPFE KDQIGSSAMAYKRNPMRCERACSLARHLMAIYQNTLMTSSVQWLERTLDDSANRRVTI PEAFLTADILLTTLQNISEGLVVYPKVIARRISQELPFMATENVIMAIVKAGGDRQEC HEKIRVLSHQAGSVVKEQGGENDLIDRIKKDDYFQPIWNQLDDLLDPNTFVGRAPEQV DGFVKDWVKPALEKYQDQLKNVKQAELSV L199_004248 MVKAVVCGAAGGIGQPLSLLLKLNPIITELALYDVVNAIGVAAD LSHIPTPAQVTGYLPPDNGAEKALKGADIVVIPAGVPRKPGMTRDDLFVNAGICATLA QAIANAAPKAFILVISNPVNSTVPVFAETLKKAGVFDPKKLLGVSHLDVIRASTFVAS VLGKPTDAQKYNIPVVGGHSGATILPLLSQTKPAIPEILADKEKRDALVNRIQFGGDE VVKAKDGAGSATLSMAQAGAEFANYVIDAAFGGKKGKIVQSYINLAADAGGEAIKKEI GADLDYFSVNIELGPNGIEKILPIGQLDDVEKGLLEAAVKELGPSIEKGAAFQPAPPK L L199_004249 MAESISSSLERFTYPPLPESFSSPDTTSPTDVLLQHHHQTHQPQ QCLPTDFQFDGDVLSNSPEHPQPQDMPTATPWVTPIDGFSGGFNMLNIDTSPIQKRID GFETLNQANPSFTPSMDYPSMQQSFQPSHVLSHASSSSSLASMSSQHTDSARLLVQTF PTEISTDYHIPMEHSRQSSYSSMSMSASQIPPFDDKLFSNTPLPALDMNVYSMPPTTN LPDLNHYSNAGIPTGEVFRQQPPRLALQTQNLQGMGMGVGKMTRERSSSRSIPYNRYR SESVSVKSEADDEIASMLSASTNYSSVTPWSTTAMHPLNGGLNKMTIHQRRTSSNTPY NTPINPYPSRPMLARSRRSTSFILAKQMSQPDLQFQNQLAGVALSGSALERQETVRKD LTDKSNEIKRITSQTQQDKARTLWVRRWLMLSYTHAPGRTVPRQGLYHSYTVSCDEYG LKPINSASFGKAVRAAFPGIKTRRLGVRGNSKYHYVSIRPAIQIEAERLNEYGDSSGA WHVVPEDGSMDFKTTSQQDFDEDMEEEDFGDSEEEEDPFSTSSTLKKSPSSYDFPTYT RANSGNRSRATSINDVFSARPRFPRRHTTAALSGSFNFHPNNIEVPNLVYNLPGFPTA ADAGHLTNEQSLQDFWISFCHHQETLVQSMRTMQYDRYEMNCRTFWVGFSHQSYQISV QPAVSTMISDAMAITYDHMIGNLLSKLSDNVTITAQNALRALADNLETIMEESLSSFH REFSEAKVELAVRAAHLFTRFLDLRQVTFALGPILSNAAQTREMIHAWSSLDIRSVSD QCALSCSCEQDILEQVLADFGEWLADGDRAQNKGRGVERLSEWVDRVLKQVMGVPGIT LRAIVCRVGFITSQVMRDFTLKSEKSFGLFQLIKTFIDDYVSITSLRQTALSTKSVES NAPTSMPTTTGHSARSSISSMNVFIPSPSQNDLNNSSTDLSTMPFSTANNGLGSGSHR MMDEGQYLPLPSMEGMELEGSLITPRPFGHVNKEDQSEDNSSGSNSNLMPYSS L199_004250 MSEEYGSSRGFTVTHQRNVLDIDFSGIITASAFLTIQPTNPSLR AIYLNASPLLQINNVTLSSPTPVEAFLPTPASFTLSNPFQPLPTREPPIDIKSHPEIK RKTWSAMGESDEGELAISVSGGWVRLVHTELPSGNGQTQVSFAPIHVQIDYQLVLGGD VVEGMVFNRPGDGGNDTQIPHMFLSPTTYDAARIWTPCVDSLWERCTWELEFIVPRYL EGGEPGADEEAYPVMVVSSGELMEQVTHPHDPNKVIFYYLQTNPTSVQHIAFAAGPFE MHCCSADESHKPILAFCLPGELDKLKHSTSWLPRAMTFYAEMGSYPFTDFKTVFVDNP RTNCSTSATLAILSSDLLHPPQVIEQAMEIRQVLSLALIQQWVGVNIIQRTLADTWLI NGLALYIQAQFIRHLLGNNEYRFRLKKDIDRCVQQDQGSQWPLCVPGIIDPPDANATA FINLKAPLVLHILDRYIAKTGTSLGLSQVIPRIFVASLSDELQGNSLTTQYFFRQCRK VSGLDLQTFQDQWIFGSGCPRLSIKTNFIKKKLLVEFTVTQSQPANDYIKRLSEKVRK TAVWKRPTHFFEGSLTVRIHEADGAPFEHLIDIKTPTKTFPLPFNTKYKRTRRSGQIA ARFSQMQDALAAGETNDEEDEARLQAADRAGVFAYPPWEDEEERRRWRVAEWGENDAD QMLGEGGGYEWIRIDPECEWLASIEYNEKPWCWISQLQGDRDVIAQLEAINRMRAFPS PVIASELARTVLVKNYYYRVRMEAARALAQYNIAECDYIGYFLVLKLFQTLFCHPPND PDAEASAMECRPLPNDFSNFSDYFVKKSLIAAMPDLRDMQTRTVWRNVRQILLDLLML NDNTGNDWSDSYYLASIITAIGNAFTFGSAAQSSLDEDEREAEASLLKESVDALDRAM TMDRLVPSYHNVITKAGLQTHIKSILAGQITNDARLLLSYTREGNFEPIRMVAFDGLL LCKPPGKSSALDRYLDKVIRSDSSFTIRRHVARGLSESILMTLALGEIQVSQPGVLED ENEEAREKRSEAQNNAIVKAVRKEFSKKEDVKVLVQNLLISSFTTPDHEILMALLKVA EVISSSSAEPLPGNIITLQTPTVETAPALPTPKIRLSMGSSEIRPDVQGYGFPIVDGQ MTPGGTSIPLKITLNPNASVPQRKKDKIPKQQRRGLSDNDFKAISIALQRLIAHKTSF WFRQPVDPVRDGAHDYAAIIKNPMDLQTISAKLDTGMYADRQEFVTDVQLIIANCFTY NVSPASPVRKAGEAFEKMFTTLWSKTEHTLSSSAAAAQQAAMAPKPPLIIPPAPVAAA QPTAEATLPAPKSTAVKFKVKPPKTVSIDTSVTEHVPPMAPPPLPSSKKSALSATTKS PDRPPVPTFPSTEAKPSKKRKEPSRSRNELDDILGAEVDEIEKRRPSLNDGLDELLVP KSEHDSPKPPAAKKIKIPAFQPRNKSPEKERTSSLSAEPKPSITSKIKLSTSTPPLPS TSQVPSSSSTSAESTFAGKKAKPKPLSVTIPPGGKKASSIERSTTASASPAPPPKAQL PPPAQPDVPAVATAQVKREPPPNLPPTTQNTTPMKNKRAKHLMNILMKEFAAVFFLKP VDPIADGCPTYLDEIKHPMDFGTIVKKIDKKQYKNLGQFARDIELVFANCRQFNPPGE ITQCADTVEDLYWKEWPKVASAKMTPDERKAMGQLINQAIKNPHSEWFRYAVDPVALG IPQYFEIIPPDDARDLSLIKNKFDKGQYREAKHIDEDVELMLENARVFNDGGPVVDAA NALGKWWAQQRAKMD L199_004251 MGDSTTDVTPARRRPPTFQRALVSGAISGLAVDFMFFPLDTIKT RIQSSAGFWQSGGFKGVYRGVGSVGMGSAPGAAAFFVTYEALKQRLPQYQLFKQNESL NHMVSASGAEYISCLIRVPTEVVKSRTQTGAYGQGKSSIHSAISTMKFEGVRGFYRGF GITIARELPFTSIQFPLYESLKSLLSKHYLGGRRPTSYEAAICGSIAGGFAAGVTTPL DVVKTRVMLEARTSTQQPINGVPPPNQPSPSILSFPPRLLGILRNEGPSALFRGWQPR CFAISLGGAVFLGIYDLAINFGVDEDGKSKEVKLS L199_004252 MARLQSLRPLLRVSALQKSGVVVSKRGMASKFAKFDWEDPLDMK SLLTDEEQQISETARDYCQENLLPRVINAYRNEDFDPKILREMGELGLLGATIDGYGC AGVSSVSYGLIAREVERVDSGYRSAMSVQSSLVMHPINEFGSEEQKEKYLPKLAKGEL IGCFGLTEPNHGSDPSSMETTATKTSEGWTLNGSKTWISNAPVADLFVVWARVVEDGE KGKVKGFLIEKDRPGLSAPAIKNKLALRASITGSVFMDNVKIPSDAILPKSSGLGSPF SCLNNARYGISWGVMGALEDCISRSREYALERNQFNRPLASFQLVQKKLADASTASTL GLLGSLQLGRLKDKGLWAPDMVSMMKRNNCGEALKHSRVLLDILGGNACSDEYHIGRH VQNLQVANTYEGTHDIHALILGKAITGVQAFAN L199_004253 MPSDFITTIDSDDETPNYGESSVQKGKTPVKNDELDPDFEFDFS GGGRETGLDLWGGDEVKGLKNGTEPINVDDIIARRRGKPLESYADRKRKRKAVLEEEE EEEEVESEDEDEGSEDQDEGESLSDGDEDDEMSVDASGLESGSEEDNENDDEDDDEEE DEEEAGSSSDEDEETAAELARKEAFFAKDPTFTDDPTLPNSFSAMNLSRPLLRALTSL QLTSPTPIQARAIPLALQGRDILGSAVTGSGKTAAFMIPVLERLCYRDRGKGGQACRV LILCPTRELAVQCEAVGKALTEKGGLDIRFALLVGGLSLNAQAHTLRTLPDVLIATPG RLIDHLTNTPSFTLSALDVLVIDEADRMLEAGFTDELEEIIKACPRSRQTMLFSATMT DSVDELVKLSLDKPIRVFVDPKRNTAKGLIQEFVRIRSDDTRSPSLLALCKRTVREKC IIFFRSKALAHQMRIVFGLNGLKAAELHGNLTQEQRLQALNDFKAGSVDYLLATDLAS RGLDIKGVETVINYDMPGQLAQYTHRVGRTARAGRKGRSISLVGEADRKMLKAAVKQS EADQVRQRIIPAEAVNAMAEKLNEIKDEIQEVLREEKEEKLLRQADMELKKGQNMVEH HDEIHSRPARTWFQSEKEKQNAKSVSKEAYVGSFPDSKDAKKAKEKEGPKRGKYDGLS RKAKRRKMAMEEDQADQQSSKSTAMAIRNAKKAARPVKITEALPKPKSTAKKSGKVGG GKRKSAFDDDSKPSKKHEGMRAKPTKVNLDKKGKGGEGKSKAKRR L199_004254 MVAQASSSSATPRNKLVIRRLPPTLPEELFWKSVSTWINDKTCL WKRYIKGKVGDGGYDSHPVHSRAYVLMASPEALVDFVRGFDGHVFKAKTGAEYQAVVE FAPVQKTPYKAKVKVDARQGTIDEDPDYLSYLESLKAEPVKPILEVSAPQAQPTTTPL LEHLRAQGKNKKSKSSSKSAASSSSANESARRAAALASVTAAATKRAAQAGSGPVMVA GKGREVHIASPIPESGTATPQGQGDGESKKKGRNRKKGKKDGESSGKATSEQGQATQT TSKPPAGGRSTPSQAHKQDGGRPTPSNGTKNAEGATGPKANKGGRGDGAGKGGDNSTK TDRGERGGGGGGGGGRGRGKDRSGKAQVMEILTRDSAGPGTSARGGRGGNAAGTGGNG RGGSVQPDVGASRARIDVP L199_004255 MAAVNGHSRVLKPGVWAPIPTFFDEQEELDLDTFRKHVVKLAKV GMQPVICGSMGEAFHLTDDERFTLFKETRKALDEAGLHDTVVIAGTGGNSTRQTIRLS KLAAEAGADVVIVIPPGYYAGALQKPALKQFFLDVQEGSPIPVMIYNFPGAAGGIDMD SDLINSIATEGSNICGVKLTCGAVGKLTRITGKTAVQAFSSHPRKNSVAPEFLTLGGF ADFLAPAILGGRGHGAIMGLGNIYPRSLVRLFELSYKIVTDFNPSAEDIKKAWELQDL VSEADASFTRGGIAGTKYFLQKNSGHPNRRMRRPILDYTDAAGASLEKEDGVTGLLAY EKALEKGQ L199_004256 MIFVLEPENAVVTSPGLTPANLDTQIFNENSDPFYQDGLFTLTL TEGANIINPTPAVESAPPTTMSLASQAAVTITPTSSDGSSISASKEVMITRPANPTLP TTQSIFTSTLSSTSEQGGDKNDNISTTYDHTTLIVVFVLLGLSALAVGLWYFRRSRTR KMISNGLADLEASVQEKRLSTVSRMTNRRSWVKLNEEIEQIENEKSNNSPSMSLPYPP STNHQNQIRTEQQDRYDNQVYDYNNDDQTRSIGNDLTSSSYTLNKRNSTINHFPAPPS NIPQLPPLPPTPQPQESDNIFESAEVEVESDVEVRIPTRYEPEIGVATTTSLPASSSR SAVAADAYPGRTLTGSGREISKLNEGDGPDFSQSQENSPRRQSLPYVLPIQEAERTPT FISLSQMNHERKSPDYRSPTESLYVIYKDK L199_004257 MASAKIYVGNLSWNTTDQLLLEAFSSFGQVVDCIVMKDRETGRS RGFGFVTYNNPSEAEAAISSMNDQELDGRRVRVNLANTRGSGGGGGGYGGGYNSGYGG GQGGYGGGNQGGYGGQGGYGGGQGGYGGQGGYGGGQGGYAGQGGYGQQQGGYQGGQGG YGGGQGGYGGGAQAGYGGQQGYGGQGGYGGAQGGYGGDQGAGAGQGGYGGQY L199_004258 MSNQAGPSTISQASLLDLKAITAEHVDRFAKEGRKAVKGQPRNR ASNKKKDPFDRPSPGLVKRLAAEARNDAKSRRFAEEDGPNEEQRRAILKAKAKKYEAI KKGDFSEMSQKEMEEAVIDFERKMEEDGYSSHSSDEDESARPSRPKWHDEDEEDDGDD DDDLARVEYIDEMGRTRTGTRKEAKEAERLKSGSRGEREAVQGIESGQEGSAYAEVLQ SNVIHGDQNFFPVYEPDQDALKKKYREAEEEARAHHYDSTKEVRVKGAGQYQFSLDEE TRAEQMASLASQRQETENARSKATQSQQKGLSAAQEARKRKADERKALIEAKRAKMLG GEKEVERLRQERRQRETVDFLKNLENELDASDVKKEE L199_004259 MRVDRCDFSGYKVYPSRGKVYVRGDSKTFRFLNHKSESLFLQRK NPRKIAWTQVYRRMHKKGITEEVAKKRSRKNVKVQRGIVGADLASILAKRTAKPEVRA AARAAAITKAKTEKRDKEASKAANRSTQANQPKVSKQAMKGGKGGR L199_004260 MAKGSSSKPKAPAAPPPKHVEDDYLDSDSEVDEFAENYDSQEDS QSEQPGSGEEEEEEEEGTGRWEADDWDENDDNSDSEGGSQDDSDQEDNDEEDVQLKQL QNDLTSLPLSTLVKAQKSLSSSAKQRSSSSSSASSKEDKLLAIKAKLAQLQKGKGKAA AVDPYSGSTSTRQVDDGGSDGDSASDSDSGPEQGSTKRGHKHAPTAMSTKKQVSRNRQ VVDVHKPQRRDPRFSSVSAGNLDAHLHSASYSFLPSMLKEELTALKTALAQAQKIERT CPWAEKAARTAEREKIELDLGRVRTRLVKSQNEERERNVLAKMKKEEREKREQGKGAW YMKKSEKRDLLLKSKFESLEAQGGKSAVKKAMEKKRKKIASKEKKSRPFAKGSGGGAG GGEGGARKRQRI L199_004261 MATPQAGPSNPAQAPPYPQQSQSQSQVSTDNKRRAEGDADAVRR LKHPRPPLPPPHVLQSLVPDSPAFTDLLKLEQKLDWTLLRKKAEINDALGKPIRVKRT LRVFISNTAHDQEWQKAQAEPTSEPVPTAAEGNPAAEIGEEKKEGDGDVSMTDAAAAA AAANATTATLPPQQESKTETLGPDVDVNTGKGIAGWVMKIEGRLLDSGNTRLDRNKRK FSTFLNRVVVEFDNREAPTFPEGNIVEWHTQNLAPPLDGFEILRRGDRNINARIIIHL SHSPDRFKVLQPLAELIQMKEGTRSEIVNAVWKLVKVVGAQDKDDGTVIKPVGGLEKI FPQGQETIAFHQLPEIATRYLTHPDPIVIPYTVQVDKDFNFHPKCFDIPIELEDPLKS KMSSLVQSFEGKEGKEIVQLEDKVGELAYFARDVKQKRDFLESFASNPQAFIQNWLAV QARDLDQMLGYQIGTPGLNGGNIREEDLRRSDLFSLPWVDEAVTVHESIRMEQERRSK SHHR L199_004262 MISRRTILSSLTLGLLGAAQVSATALTAMLGANERSCYYADVDG VGEKVGFYFAVQSGGNFEIDYVVMDPDDKVILEGVAEKQGDYIFTANQVGEYSFCFEN EAYTSDKLLDFDIMVESEPRRVLSAQQQPLKEHTSSLEESTYKISGLLSSITRTQKYF HTRHHRNYSTVLSTQSRIFWFTILECVIIVAMSLIQIWILKTFFSRSGR L199_004263 MAAPTWDNARRHARALETALDSKLSTYSKLAATIARQGGSTSSS GAGLEEDGDGVGGYKLVEEEIEELLGKLEQAIDDLSTLINSPSQPPSASMQHAAQRHR DNLDDYRRDFLRTRGNVEQSIRRSNLLGSVRKDINDYKSATNSQTDALLQDRSRIDSS HRMMDDTLNQAYATREDFAQQRSLLASIDSRMGGVLSQMPGINSLITAIRTRRRRDTL IVGCVVGFCMILLLGYTFGF L199_004264 MAPVAVPTLEDLSIAEKKEEKKPDVVDNEDEEGDEEDDVEGDDA PEAGDAKKKKKKKKSKKKKSATVTQSEPPRVGLSKIYKNGVYPIAEEVEYKNDTTSRI TSAEMREKERLAQEDPSTRYSNIRKGGEVHRQVRSYVQKNIKPGMKMTEIAEMVENGT RALVEENGFESGIGFPTGLSVNEVAAHYTPNPGDNKILQKGDVLKVDFGVHVNGRIVD SAFTMNFGDPSWDKLLEAVKDATNTGISEAGIDVRLCDIGERIQEVMESYEVEVNGKT YPVKSISNLNGHSITPYSIHGARGDLPGKSVPIVKQHGSNIDTQRMEEGEYFAIETFG STGNGRVEEQGACSHYALAQHAPERYTGHHQSAKTLLASIKRNFGSLPFCRRYLEHVG EKNYLLALNTLVKEGIVLDYPPLVDLKPGAMTAQFEHTILLRPTCKEVVSRGDDY L199_004265 MGALLSIPFLTGGIGAIGSSLFSGCMIFMGGTAASAFCKSCNCN SSIATRVGFGLIFALSSMLAYLSRTDIAIKQLEKLSWDWIKMDCSGGKCYGLLAVHRF CFALALFHLLLSATLIGVRSTKTKRAAIQNGWWGPKLLLYFLLSFLSFLIPNEFFMFY GSYIAPIGACLFILIGLVLLVDFAHTWSETCLDNWERGESSLWQFILVGSTFGMFAAS ITLTTLLYVFFAGSGCGTNTFFITFNLILSLIVTVLAISHPVQEANPKSGLTQASMVA AYCTYLTASAVVNHKEEGHCNPLHASGGTKTTTVIVGALFTFLAIAYSTSRAATQSKA LVGKGHRAGAIALPTESTEEDGEVRMVTNQPKGRRDEMRYQAILAAVNAGSLPASVLD EPEDDDDEIGATIGEERDDERGGTKYNYSWFHIIFVMAAMYVAGLLTDWAIISTSPVA HPTDPFTSLDVDEPDVYIGRSETTMWMRVISSWLCYALYSWSLLGPVLMPDRFGDA L199_004266 MSIFRPKSWRKSKNLGTPGPNFTIDNGLGTPDIPPATPYTIHGS TSSSYHNKSTARTSVKVSTNVPPTAPPAYAPTSPVDGFRDKSGEDPLEILKEYDTVFL IDDSSSMKGRRWTEARTALMGVADIAARYDQDGIDVKFLNSKKEGNGLRTGNQVMQLF EAVKPSGATPTGQRLENILREYMISLEKGNRTSAMFTRNPGVKPMNLIVITDGAPTDD PESVIITFAKRLDKGEFPLSQVGIQFLQVGNDPSAKEALQELDDGLSDKHDIRDMVDT VPYCGQELTAEMIVKTLIGGINRRMDRKA L199_004267 MSTYSQTSWNNPHADPELYYVKQNRIGKGSFGEVYKGYDKRTSL PVAIKIIDLESAEDEIDDIQQEIQILGQLDSEFVTRYHGSYLKGSHLWIIMEYCSGGS CSDLMKAGIFREEYIAILARELLRGLEYLHGEGKLHRDIKAANILLTAGGDVKLADFG VSGQLTATMTKKNTFVGTPYWMSPEVIKQSGYDHKADIWSLGITCIEMAMGEPPYADL HPMKVLFLIPKNPPPQLDETKFSRTFRDFVSLCLQRDPRMRPTAKDLLKHKFIRTARK ASYLTELIERYEKWKAEGGAKGGEENRGDGMSSEHGYGPAQDALWDFGTVRNALPSQP TLPSTVSRATGRPLPPSSGGTPTSAATPTKNDLPPSINGRALPTLPPSASQATVIGAD PEPAYQTIRNALPQGVPPPHQQTQHVQQVHEDEGDEDVMLEGVIVPAINSLATRVPND HAREALARLRQAFIEAERSIPGVTSAFVLEVVESVEQVEDH L199_004268 MGQSSSLPSSLPSIALHVLRVVDSSPADGLVEPYFDYLIGISTE KGEHQDLSTLSEEGSNSLVGLSKVIEENEGRMVGLRVYNAKSQRIRDVHLTPSRVWAE TLLQANGDSLPTPSTTTSSTGPKPSLLGLSLRVCNPANALESVYHVLDVLEGSPAEVS LVPWGDYVLAWSGGPLHSENDFYNLIEAHVDKPLRLFVYNSDLDNLREVILYPTRQWG GEGLIGCGIGYGLLHRIPRPSTPPTGPPLDGYFEQSTNSRQTQPEQIASQ L199_004269 MNPSSTCYTIVHDDLIDAPSSQDLRNALQKGSDDVKLETMRRII VSTLNGQGHPSLLMPIIQYVMPSRNKQLKKMLHFYWEICPKLDDNGKLKQEMILVVNA IRNDLQHPNEYIRGATLRFLQKIREAELLEPLVPTVRSCLEHRHSFVRKNAVFATYTI YQDHEHLIPDAPELLDTFLAAESDSTCKRNAFVTLCNISQPTAVRYLLNNFDQISGMD ELMQMAVIELVRKEAKTEGGHRAKWIRCIFELLNAESHAVKYEAATSLTTLTQNPAAV KAAAAALAELIVKEADNNVKLIVLDRFDNLRAKHEHVLDPMVMDILKVLTSPDMEVKR KALGIALEMVTSRNVEDVVLFLKKQLQGTLDQDFDKNLEYRQLLIQSIHSCAIKFSEV AANVVHVLMDFLGDSNNPSAVDVISFVREVVERFPDLRPAITEKLVSTFSEIKSGKVF RGAMWIVGEYATGPADIKKAIQEIRKVLGEIPILASEQRLLDEAEAADENPTEKEEAP KAVTTTRVLPDGTYATETVYTSTAQAARLEQVRAATKPPLRALILGGDFFTASVLAAT LTKLVLRFSEGQSDSQSINTLRAEAILIMTSIIRVGQSKFVAVPIDEDSQERIMNCIE TLAELQSSKILQDVFLHDTKAAYAKMVATEEKKALEKKERESKTTTVQADDLISFRQL SKKSTLGDVDDFDDLVAATGAAEVQDDFVSKLSRISQLTGFSDPVYAETVVTLSQYDI ILDVLLVNTTNETMQNLTVDFATLGDLKLVERPAAVTLAPHGFHSLTATVKVSSTETG VIFGAITYQKQGASDSDVTIVMSDIHVDIMSFIKPNYVNEAQFRSMWTEFEWENKVAV QTSISDLRAYLDHLLKSTHMALLTPEAALSGDCDFLSANLAAKSLFGEDALANASIER TEDGSITGHVRIRSKTQGIALSLGDKITLSQKALK L199_004270 MATPTTNVVRWSALIGGIFYGIVHQSTVQSQYDEKKAAHAVSHR AHLIEEAKKAYAAKKAEKTGGSGLITDPEDPKFDLEKVIESWTKDS L199_004271 MAPSEPIEIEDDVSNAGDDSQVINEEYKIWKKNTPFLYDTVITH ALTWPSLTCQWLPDQTTPPDADYTVHRMIIGTHTSGQAKDHLIIAEVLLPKGGMENAG KEVAEMYDEDKQEIGSYTKSPARIRAIQTINHEGEINRARYMPQNPELIATKAVSGDV LVFDRTKHESKANPNGECRPDIRLKGQSKEGYGLAWSTVKEGHILSASEDTTVAHWDI QGYQKKDPVLQPLRLYKGHSACVGDVDWHPKNDYMFASVGDDRKIMLWDTRSDNANKP SSSHEGHTAEINSVAFAPSSDYLYLTGSSDNTIALWDIRKPTHKLHSFEGHTDDVLQL SWSPHSPVHFASASADRRVHIWNLDAIGSEQTPDDAEDGPPELLFVHGGHTSKVSDIS WSPNAKWHLATTAEDNILQVWEPSRHIRTPGEGDVDAMDLE L199_004272 MSSSSPPTIPPFLATILSSRIASIPPPAPPASSSTSNQSTNPSP ILQQPLSQPPNPLYLPPPALPKVEEDLVPPENFALVSKGVYRSGFPKKRNFGFMETLR LKTVLTLVLEDYPEANLEWCQSQDIQFMQFGIPGNKEPFDNIPEDVICSALVAILDQR NHPILIHCNKGKHRTGCLIGCIRRLQSWSLTSIFDEYRRFSSPKSRAVDQQFIDLFDL IPVWKEVTGSSKGGGVGNLPDWEMLSLPRKGVITPNQNQNQNQNQSKGQIPSEAISMS IDNAISQKGGRNNGHEDEDKRENGITSLRLDQLPQQQTQRRNQQYKINTHGDQINQTS TSTPVSIIVDGEDQSHYGESKSINDIVHLENQKPHQQDQGEEGGIINIDINADGIDEL KKVDGIIHLTSKVEEVAIN L199_004273 MAPKIQPVHLDLQPLKPEDIPDPASAEFDKFLNDHFEMGIKLSD SMANWNHHSTKHDGTVKILNLTTSSTNNDIRKSLGGIKEYWCGRESHHSSSTSLPKQP TDGTTSKRHSASYNPVNLARRLSDRISTRHSEDKGHDHINGNGVVPNGSAIEEDDPSE AERQALFMSTTPEGIYERFRRGLLEYHSENEREYIESMRESECLHIYRKHVAEVWRLT FKTPPPTNPRTFVVLLLSRELIGEPKGERAFMNISLPFEHPDCPPKLNNEKSRVRGKY VSVERVREIEGGKEVEWRMATSSDAGGNIPRFVTNGSLPNSIAEDVPSFLGWMVKRFP VGGEVTSRPVT L199_004274 MYNPKTLLLALLLSLALVIAASGKAKDQLQIGIKYKPEECPIKT RKGDKLSMHYTGTLAKDGSKFDSSLDRNQPFEFTLGAGQVIRGWDQGLLDMCISEKRK LTIPHELAYGERGHPPVIPPQSTLVFEVELLGIKNRFVDEL L199_004275 MDHEGTELVEAYDYLFKFIVIGEAGTGKSCLLYHCIHEQFKENS AHTIGVEFSSRTLRIGDRNIKLQLWDTAGQERFRSVTRSYYRGAAGAILVYDITSRQS FVNLSRWLTDCRALASPHLVMVLVGNKLDKEEDREVEYAEGSRWAQENGLLFVEVSSL NGTNVMTPFLLAGRTILSAIDAGTLDPDSAGTGISYGERQLRAVGSSSRLSTAFYGSV RKKRRRDSVSLRDMVGASQKCSC L199_004276 MSTSTSKPMGGGASGDRVTPSSSSTGKKEKIPLSTHLIAGGVAG LAEALACHPLDTIKVRMQLSKSRKLKGLKPLGFFATGRQIAARETPLGLYKGLGAVIS GIVPKMAIRFASFETYKGWLSSPDGKISPSATFLAGLGAGATEAVAVVTPMEVIKIRL QAQQHSLADPLDVPRYRNAAHAAFTIVREEGLSTLYRGVSLTALRQATNQGVNFTAYQ QFKKWAFEFQPQYKESAVLPSWQTMILGLVSGAMGPFSNAPIDTIKTRIQKASHVPGE TAMSRLVKVTSDMFKNEGAKAFYKGITPRVLRVAPGQAIVFTVYERVKKLIDYAKGSN LGAEYEE L199_004277 MTTSTPSADTWIYDHLHKVYFHPLSNSYAVPDLTTGQWNYIPAS DFQSASTSLTQLQTVQGQTPRDEEKEEGEIEDDMGWGGLMDPDKLAQIEKDSKSTSKS KSASQLRANGHDYKNGTVHEKEKEKHPSYVVPYDDPAQYSYPSDGKDNEDEEEGNNRT KETPDNILRLVVVQSKCLDIGQVVIIDAREGGIQLGRDRCEKGGQARVRLREMEVSKT HSVIYWGKGGIPQEDEEEDGWWIVDLGSTHGTFLSNPSTDKTKRISEPKHSSKPHSIH HLAEIKIGSTTFSAHIHPSWPCDECTVNGNNEILLDDGKPKSKLDTVTMQDQIFSDHE VPYAMDSSQKKQNRELKRKREMALLKDSLLRRNEVSSSNDERDEPKREYLDRSAMRRR LHPSSPPKSQSNSDRATPDRTETPLTPSFGGDVSREPTGPSKFASSILANQGWIPGTG LGKSNQGRAQPIAVEMRNEKKGLGAQNSKAAVDDGQAQGDWKMRAKQRRWEELSKSNA N L199_004278 MASSSVRAPPPPPIVPTQSDTSATPNGISRSSTSTSIHRVRPHS DSVSSKHSTVHFDLEDQAPELTAKPSPSRQSSNSSLKPAHANGSASMTIGNSYQPIHP STLHNSTIPSPPRSAPLPSATSSSSSSMVKPFPSMNDSPSSPRPGLPKTRSSQDRRVW SETLPVNSRHRSGSMIANGKRASRVTGGFETSSDSEGDESTTDQSTKDTGTGTGGNTP SQSENAAAGPSRPRARSLLGPTGRERQNSDASGTSRELKRRKDKEDHKRPRKRSSKEG LPPSRMLSFRSTAAATASPPKITPQLPNSRSDSYFALQNGSSPRSSRLSTARSSPLIE STIPAPNGKSQISRGRSLEMLKERSSSPEGSKRSKGKEKESTKKKQGDLAASLGLGIG GMQDMALNPEQLRNLLSDSDVSSALRLMNSPHAPASRPANLNEWSNSVFFSPPNTRPT SPGADQPTHRSPYLVSAPPALTSSDNHGRERTMSVASTIAPPTRSTWGSSPRQRQSID SHPSPDMQTVHRRRASSKGGLLSDGQGGHVPFTHHLPVPSVDEGSPEQELDDVSEVLP AITENDSAVLPSMPTIQSDKSSKDGKEKEKEKKNRLSDIFHIGKKKSADVPPKHDHNL LHKDHRTDKQKEEEKLRERERYERDVERRRLEQERRDEELAQERRFRALTQVAAHPAA ERLAYTEGARLRAFYSHVYEGIDDPPKLNPLAVIRWRIKTEEQIEARQRWEQDQQQGY QHSNQSDTSGFTNHASPHSLPRGSHFGGNIHTSPMSVGSSNRYGARKSIESNRSISLG SLGKTTDTSPHNKSRDQKHRYEKGWGYSVDDIVAYKAAKGQVNYFIPPRKSRPDVEVL TEDEDRVVPPPTDNGRPESRRDDQSSIADSSKKSHRHRMGVKTASNASLMDVEGIVGD DNAPLTRTTSTEDNIHSGAHTRTRLGHRSHQSLSAVGPTSLTQALKQPFEKLSHVAKK QRNPPGPSRDEGETQHIEDSKIRNPNHTHTRSDSAPSPHVNNGQYHTPMSNKPSRTTV NSSLGRNRDNAFFRRHGTPGGTGTGGEFTDEEYSKDKEFHLRKLFLKGQKVLSSFDDH TNRLYRRSEASLATNNTGRIKQDEREQELLALEAALLRESAFRERQAEVDRKTRLEIE ARERIRKLENEIYAERVEHLDSARQKLDNVNANIASIDDAIRQYLFQIDFVRDEAAIA ANIELDWSSIDPIRAIYGNIGRAKPTEDDEHRDTLPPLRSFTTSHDSGSDLAHRQRTN SANNRKARSQSINVPRRKGSLNASLAPIHSNLNHPIRHRPRRTYLDPSGLERVDPIKQ AELVISFAQERIKDMGKEKERTKNELENLIYKIEMMIKRKDSVRRWTREHLEKNLAKQ SQLDRLIRQEKTNDNLTSLFNLIVLRDNSINIAVQSTGYLWRPLFWSYYQLKGEVWFF MKWMRPSSYWCRKKKTDLKTRENGTNPVEDDGQMDGNADEDKNILDDFGSSSPKKPNR HLLASSSASSLSTDIDRFANQNEDEERRVPFVVSITIVIIALAIGFYYYRQT L199_004279 MSTQTTTESKPLIGKAGSLLLIAGGTTVLSSKAIQVKMEEIASH STTILAILLPLLLLPLLLYTRLAIPLRFAYNCFIKPFFVPSKHLISAQDAHKAKLEAF YSGQADLYDSTRSSLLKGRENMLALLAAHLKAQPEPLSLRQSGQGKKKIWVDLGGGTG WNIEKMDEYLPLTYFDSIYLIDLCEPLLEVARARIKARGWKNVHVLCQDASNFVLPEW ESGEMDPRGSLRAITMSYSLSMIPPFYQLLDRCDQVLDPQRGLMGVVDFYTSRDGGNK ERAIGTASKRVSWLAKWFWECWFSLDGVNLHASRRDYLEYKMGTIKTYNARNNFLNSW FIQIPYYVFVGCSRRRDASLALESFTKEAGNQKGLNTFGLRTPISPFGTPPLSSPDTF GMPNLDLGPSAYNKSDTLMKGKEGEIEMQETLVDAGAPLSPFHYHLKKAWRVPYLEEK VHEQFRTHIYGWTWEDPAVDVKKLKINKDDHILAITSAGDNVLHYALAASPARIHAVD MNPCQGHILELKLAAIHALDYNDFWLLFGEGRHPEFRKLLTQKLSPYLSSHAYAYWLS HDTQFSRNFYFRGYSGWALRLAQIAFFLSGVRKDVKKICKASSTAEQEQIWNKKIRPV FLGTWMVKLFLGNPMFNWHALGVPQNQMNCFLQDGTVEDYIKATLDPIPRLTTLKDDN YFFFLCLNGRYTRSSCPAFLKPEGFRALKNGKATDAIKSHTDTILNVLRGLPDGSLTK IIVMDSMDWFDPIDPSTPLPSTPSSALDTLDSTPEASLEHLRSELDYEIIEMLRVLSV GGLAIWRSAAKRPWYIQRFQLAGFKVQPIDIREDGQAIDRVNMYASFWKAEKIA L199_004280 MSKVIITGATGNAGSAVLTAALASPGISQIAVIARRPPFEESSK IRFIRFPSAEHPKGFDEMPPSLVDELKKDGYESCIWALGISQTQVNKEDYIKITHDYT ITAAKAFSNLGTSEKPFKFIYMSGEGARQDEKGYAIFSKIKGRTEKELNEMKSDKLDV VNIRPGGIIPTPEHRQRMSWWMGQGFRLMGAILEPVWPSGVISSSDLGVACIGLAQGK AWDKRDREGVIANPALRQMAQDWAGDYTM L199_004281 MTIDIDVSREQSVATTSSTSSQLGQPVSSTNTAQIDDFAQQDAL LANPGFSMISSVQDSPILLILIGLPGSGKTTFSEALVSHSQSTGEYRKWVRASQDDAL NRRRQECEAVVRQALREGHNVVVDRVDFDPIQRSHFMNIAYSIHPRPTIYALTLSVSQ STLERRLEYRPDHPTIPDLETGLRVLRQMRSQYRPPIPTEAEGFDRVYELPEQDQPID GIWTRDRIEEVLKQVENEGVREIGERKIFKSENGSGGYQNHNRGYQSGFTRGGGRGRV DVERNRGRGGRGNFGYSRGAYGNGNGYSDGYVQNRNEHLTWNRGTYTNNPTYRGRGYN GVYQGLPHQSYPQAQSPLYQPSYRSYNDVPSNIRPNPNSPP L199_004282 MSSSSSPSAEAGPSTPSKPMTFADINSESESPIKTPSSVKVSKK QTVDQLKNGLRELGLDTKGKKETLWRRLVNAIHKASLRDPLNDDSDDEEYLTPDSDTP INIRILKQTYKSFLCFDVEATCRPGKEFDWPNEIIEFPVVLLQWTDPDPTGKRVLHKV DQFRSYVRPTWSPILTDFCKDLTGISQETVNKSPTFPQMLKVFEKWLDKWDLRDDKGL KDALWVTDGPWDLRDFVPKQLHITPPNPFPNYFHGPYLNLKFAVQSVLSELHRRSSYA AEHPKDPPNKRALSVITTSKVGQLEKKNGKSKDYGKGKGFYFNIPGMLEVMGLGGFEG RQHSGLDDATNIARILIALSEKDVIFEPNGILHPPGKGRRYPWMGERGEVNWEDWMST NKPLEKPTSGGIDEAKEALSGFGKINGNKVEKMDIMEDGKDQVEIKDEEMAQKLQSLV LDPALVDEDNRTTIVTTTIIKDKSNAKL L199_004283 MSDGSNYDYLFKVVLIGDSGVVLSRFTRNEFNLESKSTIGVEFA TRSINVDGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHSTYENVTRWLK ELRDHADANIVIMLVGNKSDLKHLRAVSTDEAKQFATENGLSFIETSALDASNVESAF QNILTEIYRIVSSKSLESSGDVIKPSGGETILVTPTADDGGAKQGGKCC L199_004284 MPVEVAEAGGSLSPPPRQPSLSPDRNRDRDEAGPSKRPRNNVLD QQRRQVEKLLANPDREININVGTKEKTLRAPREMMKNVQGSSAGAGSGEFHVYKQSRR REYERLKIMNDKARAEEEHAAFLARQAERDALADAKTAKNRAKRQKRKQGRKGEPGGS ATPDIPQPEGVGLGKRKLAGGASVKFKKPGEESESDDQQEEDGEEEEVGPKLTVPIPE ISQVQDVPKVEEKRITIVDED L199_004285 MVAITVSVPGKPTVNLDFANKHPDQVTIKDVKIAIQAKFPKFVP NRQRLVFPSPSPTSTSDKPLPLTDESKPLSSYGVGDGSKLKLKDLGPQVNYRTLYLME YIGPLILNPLLLKFSTLVWGQYEYSALQTTVRNLLMIHFLKRFLESAFVHSFSRASAP LKFVFRNCLHYWGVSGILIGLTLYRPGYSAEALKGTIYDNPNWIKGWAVFFLVTELLN LNTHLHLRSLRQPPGQPRKYPTGLGFGLAVCANYWFEIAGIVALVIMTGGDIGTIVYG YLGTSMMKVWADQKYARYKKEFDPKVFPGKRYKLFPPFY L199_004286 MASLYRSATVARSATRSSLASTSSLVVVRGYATPSSVKNDDGQQ MMFGGPPPKRKDEGAVLKTYTGKGYPFIPSLRHVVYPFHPHLHKGGPLRELTIPLRRK GGRNNTGRIVNRHVGGGHKRRLRTVDFHRVEGGQHDVIRIEYDPGRSAHIALIKKRGS SSSATGVNGLGSIEEIEEALKEENRNTQKSLDAVKAGYSYIVAPEGLRKGDVVISYRK GIPQSLIRQFDNTSSTSGSNAGISAIEGEEDKVGATDTPEMRRALGLLRTVTLKPGNV LPLFLIPPGTQVHNLSLTTDGKMQLCRSAGTFAQIVSHQSSDGRSIGGAEVLTMGGGF DENGQRLPKAGYVLVKMQSGEVRKLDPGCVATIGVVSNKEHQSRSLGKAGRSRWMGKR PHVRGVAMNAVDHPHGGGRGKQKGNKHPRSIYGLLQHVRTRRPKDKDGNKSVVTERPR GKQTAAKH L199_004287 MSRQKQVSPRSTIDSYVFDPYPPRHSSSSSSTVRHATSDLTSAG SYEIEKQPSGSSSSRRSSDSSTSSTKSLSSISSLDDQTYPILPTTTTSSSARERKFSS TSIFGGSSTVKILKTLKPRARRRSSLPFIHFARRKSTQLLLVLAICIVSGLLLGEIRS HRLRRELWSREVKLEEWDITYGHINKEKDLKRFREHHEYNRRLELIQSRKLDKRDTPG SVWGPREEEALQEESKTIWPKWWGNPDVVGKSPWDHVPTPLPVGQEKRRFMFLTDYKD YLERMNTHTYEIVDAALRHPQITVDVWGPGWEGYDRSIPLSANIRKRAHRIAQLEQSK KEHAERQNRSKLEREREMQLKNKKEWYSRRLEVTRSPMDEIEERGAEAEEEEWNKPAW YEETPEGCNSDVKFDIVFTVSNIYKETDPHVDALDCGALLIQQLGDCHELRCSYEWYP HANNITVSKYAFELLELFEYEKVKSKYPDWEMGLFGHSPDTGNEWDFYPIPWNEKTAK ARVFGYDGSFYPIRTTVTDSLRALEREPHLVQQSIITRHPHPGYTVSVPSSAHEQPLE TYELNHEYYGTHLKLREDFANGMRTSKICVFDASLERKMIRKYAQAFLSGCVVASDLP TEHEEALSKFVIPLKSTWNIERINEVLSHYLDNDELLQQMAMDGFIWARQHMTTTNKV SHLLKMGDHYRSGSRGYEFPYGFSMRCRSYWSGDSYRPPWCSQGGYRGLEE L199_004288 MACQVSYSSLSMDNLHDQTRKVDIRIPDNYRKRSFSLSSLEMHR NQRSAKRLVPHLSSATRNPQIDTVEDGAFTPLTMIPWDISKVHIQIQQPRYPSFDSSK SMKLNHRSVATPVMKLSSYLSKLASGSAENDNAQPFLPGDRPNNGQDFRVVTPVDVAY EDGCSVLNSQNFDDPFPSKHYHSSEPTSSSHSSWTSSPSSTLNASRSESPRLSPSSSS MTPTGSDVRINSPKPPFSNATPITFGSGQNFSFTFTSPYPYPVSTASSIHTNPLTQSQ SSSTTTTDSPRYIPRLKRARELISSVKSCKVRKISRYGQDVEMQLEDGDGRVKKMRKI CYD L199_004289 MSQEVHGLSTPPLLPIDPTGRVKDYGYSPVGIEIPKDPRKSIDN GHGQGQGISSKPTYSPSIAKPITPSIVENQNQQEIATPSLASNSTISELSINQLGLSQ PASSSIYTESEGVKSNDDTPVPMKKIGTGVETTLDSLAKLRQFKAEVEATRQHPTLNA QLANKDGRSVGMGEMNPSLLAKMAESFILQQQQKLGIAGEGKGANTDASTGTTDKGDY SPLNVLEGSGNTKERESELRERLLSSRSRPNENQSRKRNNESNSPFIEHGYGYEENKR PRNDIQLPTNPPWNERNDRGRSTSTIRSDNYDDTNKYSRFPPPHPNDQRFTRRDQSAG TTSSGPDYKPSETNFVPSRYQTPPERERDRRHSQEGRGRPRTPPPPPIRNEPRRDSVN RSFGGKREGHGYGNGNESQRLADRISGAGPSPAPKYRPRSPSPARNYSNHRREQEYQR GPTRPRHYPDPRTPVDPTYGRRSDIPNIDPYGSYAIARGQPLGHARPPSPGYGRPPPP PARDQRYGYDPEGREDAYLRAPPPPPGYHDRYDRPPPPPPPPIGGNVFAQGQGIDTNN VVETLEALKAQISKLEKLVPTANTNVNTQPPPPPPPPPHSQGYDPYTGYDYPREPHPR ERDYNPRPFPPPGGSVYQARGRFPSPPPPPPHRYEGDHRPGHEHEYDDRPGPGNDRGA GHGHGPGYGRGRGHGHGHGHGGGGRGRGGGKRGRGGRGGRGGR L199_004290 MSSPANRSISTIRSITRQSNRLRCASSTPSSSMAPKQYYNASTS ILTPSSTSSASRSIQRRSFFSLPDITKLAGLVPSQNPTPNDEGIVTGIETDGEEQRFH ARKILPYSQVQLYSLVSDVPSYSSFIPFCNSSTVLSKPSTEGNINRQWKEWAPGTEPF EVLAELAVGFGGLEERYVSKVKGVPFESVTATASSQTPLFKSLITRWSFSPASSISPH PSNSPFPPTPSSQERLPNSTSPTDPNIGPTLLTIDLNFNFANPLHRIASQAVLPKVAD KMVEAFEKRCLDVYGKGNQ L199_004291 MSFVFPSWSTAFSPAFHEDAKAMLEGALNKGNKPPVIQGRIEVV ELSMGKEPPTLTLLEIGDLSLDRFRGILRLGYSGDAWLEVRCRVQANPLSHNPNLSFS STLPLSTPLLASQPLLVPMTLRLSKLHLRAILILVVSASKGITLVFKNDPLQNVDVSS TFDSVEVIRGYLQQEIEGQLREMFREDLPGIIHRLSQRWFHGTGVGGKVETPYKDLPN EVIQEEEREGSDDGVDESNPYGESSQIFPPHNIPASTSNQEKFSTPRRQSLQTQRSSL RHRRSSTSNSISESPTSYTVFPDIEDYDPTYGLRPEGVPTHSGYEAFGRLWEKSREGG NRGLGSLMSMPIQNQEHDGPLPDYLSDTFDEEDEDEEDEIRSFDMVEMDDVLRSIPHS TRKSRRQSSLVSAMGSRYGGGGDEKNQIEWETFPAVGGGVITRPRVYHSQSQIRAPSE AGGNGGAMPSPAGTATGGSVTARASSAGGASSTVGSLRMRPFTPSTPGIGIRSPTFTQ SQAGPSSLRRMVTSHSDVFLSSSAQGIHGNIPRSETFSATAIPRASISSSRRPSRTGT GGSSSATRTGTGTGSSWDTIGHSTNPTSTLPSSKPLSITSKHTRQRGPSVSLSGTSPG NGSFPPRNIGPGGITLPLNNSVSQLATLSHSAHTLSPYARGHEHIAVRSFPHLGRSNT GLGMGMGMATSMNFSGLGINDGGGGITPRALSGGSGGVGGGDLTNDLSGQGMGVMKAR RKRIHRLNTTKKDGPPTLSQSVSQGVRKSSLNSNSRSHSNHSGDIDEIESYIDQNPKL PKATNMPKTGRGISSVNLTMEKRPNMRRNPDSRTSYGFPQS L199_004292 MPNWVTANSSDQSSAPILHARSLQLLEVQSITEGQGQDPSSAIV LSPDSPSHSTLPNRPSVPDQPTEPTQTIQSSTSADVNMHSILPQQPINQLSHFGSATP QEQFPAVTHPGLPNAPQQQSPLRRVVSSGSGGQRNGFQMYVNSQQNFSSPDITARSPI NDITVGQTNTPRTNPSPTFTSYTFKLKNNQIATVNLSPEYAMRRHKITMGSGSVLQLS TIELLDRYSQYLTLADLDKVVKDPRYIATLFGSVNGTNQPQPQQQGRVISQPLPQQAS SSAHASSSRPTPYVAPNGYAYIPQDTSAQIQQSIVNLQNQARPSSRQSGSARGGGSGT PLQSPRDATSNITIPPEVQVIQQKLNADVQGVEQTFGQAWDHLRMAMNDALRNMASTV TAIKPPAVTEAITNQLRAQLVEEEKKVHLSQMTVLRLTESESKARAELAEMMQQLQTA TDVRHKAPELNTALARGLEEVKRAKEAGETQIIQLQNQVNAQSAKITQLESEHRDKLA QLDISHIAVVANLKRELAEAVHKANQPVFGAHPPGPLSPKSPADPSSEAAKLRSLLKA NNAKFMELEEKYNKEIEELRAHAGKDPREIEKDIRTKLAQTNDAKIERLERNVKELEV EKEKIVHERKVHDQSRFVLEKIVHWGENLQSKLGRTNEQNSKTDGTSGGTTNGDTTKK SLVDRANTFVSDMSKLAEMILNNEKDRKEKMKKLQGQVESMKVELAAAQSGTPPVFPS GKEQAGEEWKAAFHRLISWGHNIQILMGKTVEPFKEDMKPIDKAEQFQKTMHTIFEEI QSRAQSRPKDGDREKVKELEGSIQTLRNNLQANLNALLAKTTQLDDTTKHLQDARDQL NASEKTLREEIDKHKETKKSLEESQAAERTKTDQLDEMKKKLDQTRTSLQENVSELQR LTQSNAEVQAKLIGTENQLREKQEELNAREKYYSSSIDELRTEYEIDGGSIHKGDPEI KKQVESLKETIERLEQEKGELQKDLENEQDNVDRAEEAMRDAMNKQHKQRENYIEIEK ELENVKEEKHGLEGEMKRLQNQLSAIKSTNNTVVKDEENDFEIVPGPASTSTSKAVPK TRPETLLFTPDLKESDTPSISLIVRPSPLSQSQPSTSQATPANKKPAGTPLFLPNEEE EDSRSQSVPTPSVLASTQRPYKRKRLLNDESDDEENVKPGSGLEVSVSASRPPSVARS VTSVPASTYKPVSREWIKDHLGITTQKNGDRVRCKLCFVMEKKNQPFERSKTFKVEDI QPLPLGINEEKLLDHIVSHGEMLRRLKNKRVKDGKDRPSPEPN L199_004293 MRAFARTPILLSLAIPCMITASGSGSGSVPAQRPFDISSRQDVE RYDDDKVWRIDWGEMDSSKKKEVMDVVELLDLDVWHTSQRSIDIRLNPKQLEVLYGYL PNEAFHPFINDLQSLVELSSVPEEVYDYIPSHLSGEARQESVDRELFELMKKKKKKGK GRTKPKPSLPPIDPYNLTTIDTPFHDKFHSLGEMYKFGDTLISTFNDTHQNTSGIHIK EIEIGKTYENRTIKGWSAKIHHNKTGEGDPGYPTAMVDEDEVELEYVVIAGQHGREWV GPSSALYFLHHLLLRASEDPKSDSAILLRSFRFTVIPQINPDGYEYSRDKSRMWRKNR QDVGGKGKCLGIDLNSNWGYKWRSSKSTACSEGYGGREAFEAYETKAMSEYLSGAAER GNRVRAFVDLHSYGQLFMFPFAHSCDDFPPDAEMLMEAGLGVAKAMRTKRGEGYEAGQ ACDLTYRAPGDAIDYTYGVTDVRWSYSAELRDTGTYGFMLPPKLIRPTADEITAGLMY LAKFIYALEVNPPYSVCLRELVNRFLGK L199_004294 MSRLLKGFGTPKQGNNNNASKANSSSGGPTRPSPSSNPSNYGEP PETPDLSLTTTNTNNLTPINNKIAPGVRTGLGLNNKETERELLFGLENFGNTCYCNSV IQALYSCEAFKRFVESYPDSKPPLMPLAPLPGKGDKPTSPILNGGGPISPQLSRGGGN PFDSTGPTSPNPGGKEKRSWTSLGRRPTSSTGPPGGGAGLAGGSGSVPTLNALQQNQL GSPELDEQYANWKAPIPDPNQSPPSVFQTIQTLFYHLTNSPPHLPLPKKENKDASAQT ASLLPGAPAQPENPQSGAAPPATPGGNPAVPQGPPLLASLPPPSAARGGGPWQAGKLG RGVVTPEDLLRTVKRENEMFRGMMQQDAHEFLGWLLNQIAEEIEIIDKNLKKVNGQEP VKTPGKTFIQSLFEGILTNETRCLSCETTSSRDELFLDLSIDIEQHTSVTHCLRQFSA SEMLCQKNKFYCDSCCGLQEAEKRMKIKKLPNILALHLKRFKYQETTGRYAKLFYRVP FPTQLRLPNTTDDMENPDRLYELFSVVVHIGNGPHHGHYVTLVRSKGRWIMCDDENVE PINDDDLFRYFGDYPSGAGYVLFYEAVDMDLASLGLKVPEPEPEPTPQPVEFKTQKPI PAPTAFIQPQPKSTPLSEVKEDHLIDFSDNTIPEESSAGVSTPTKKKPPPPPPSRRAS TNISPSPVTPISPNAAEAAARRGSVPVTSTRRDSITSTNGATQISGDTTPTGTRRNSN ATPPIPPPSRGLSRTQVNGTTSPSNVNGSTTFSGLGVDVPNTLHGNNAEGVQGVPNGN ANTLSSSVHSIASASVSTSSGSGSVPNSTSLAKPIPSSLSPTQNQIQPSSISSSVSSS NLGRKISMSGTGRDRTVSGSSQSSSAQGGSYAGGGSLGRKLSGMSGKLGRSGSMAFGK FGIGKKDKGEKAGQ L199_004295 MSYQVNVPPSAASISSSSSISDEEDDRLSDWASSLGEARQTKSL FDETVLPTPEAALEHDANIWDFRLNETCQRLGLDMFGRIRLINLIRNAGLTKDQIDAL SPTDQLFKDDNLLKPVIPDDPLLQYDPDDCWSDDEDLPQPPQSNAGPSQSQPASTENG RVAQLEAELEQARKDLASMRTLISKTVGVEDDEDDAQSPVETSKQVEGKGKGKAVERD DDTHYFHSYEENDIHEIMLKDTVRTVSYARFLLSNPQIFKNTIVMDVGCGTGILSMLA AKAGAKHVYAIEASGLAVKARENIRKNGFADVITVIQGKVEDIQLPVKHVDVIVSEWM GYMLLYESMLDSVLVARDRFLAPGGLMAPSQTRLVISAITGERTWKERISFWPSVYGF DLSEMQGPAFEEGLTEVVDKEEVVTTEAVVRDINSHTATIKSLDFHSTFTLRSTSTSK VEIKAFLTHFDTFFSPVSGEASHIPLEEDVEILPFGDDEYERPVKPLDQSGNGVKVSF TTGPRGKYTHWKQVVFLLRKPIVLKSNQQIIGRFFCKKSPTNSRELDVEIHYRVVEGG NGDVGEGAYDVQCYKVR L199_004296 MGPMLTSSNVYWAFANRGYVTDPEYVKAVIRNYVHFKAQRGKSK TEISMKLEYLEREFPQFAYAFRAIRQEYSLSPSRILPAPRVTSSSASNSNNLRRTQTA TTPSRTSNTNTITRTHTTVGATTNRATTNNDDEPPPPPYQSQDPDPASTRILQESLAA EAELRGTLPGQTTSTPTTATTHNSSPPTSAATQPRTAGAANAEVDDSPQRVPSDPELA RIWEESQFEEAKRASLAWQVEQQELQEAMRLSLAESESRGEGSSSATAGPSNSHPIST IPETQSIGGTSSHSSDMRDLVDGMEDMIIPGSYNSVQQSTSTSTSKNQNNNQQLQNLL DEQSSSYTGQTPLTPQKTGFHIQSKNPFLSSNEKEQLQAMEQAALHNQSHPAPPDQLA QQHTPPPMAAGSSYTHYTPPSEPSQQLYTPPPGPPPNHLRIVTPTSPTTRPLPATPKD QFPWDGSSSPSNGNGMISSPISHNQPSLSSPIAESHNSLHQTLQGTPPSLPARRKSFV PPQGKEDALEMLKDFDTVFLVDDSTSMAGERWSEARQALMEVAEIAARYDENGVDIYF LNSKRVGKELKAAHEVEDLFRGLEPKGATPTGMRLESILREYMARLERSQTTSPTSNG GGSSDVSVKPMNLIVVTDGAPTDDPESVLLSTAKRLDRGEYPLSQVGVQFLQIGNDSE AREALQELDDGLSAAHGVRDIVDTVLYNGEDMSAGLIIKTLLGGINRRLDRRSSA L199_004297 MSKPTSNRSSVSSSPTRTSPAEETTTLLPPTSQHPQHPHAHPHT SANPHARKTSISARSVSGRSVAGSVRNYGAVAGRPGPVEQAPRVSKLGQRRILTTFPL QATFFILTLLTFASFVLSLILLLNTVLDFSVKFLPYRGSGFAEFWISLIGSWIGLGGV LFFSHPSYLFFLTTLITLILHIPILFLALLSPSLKRSHAPLILVPLVLTGSTLIFTLL SNFLVRRAKKQESLRISRMLTEAAGRERASEEGTARALVGVQRKGFWGRILGFFGGVF GLIAGLAGLILVSLLLIDLSISAYDGSLPLPSSSSQLVKVQPSSSQWSINIHLACTSS NSSLPTIVYTSSSGVPGSLALLPSPLPPANEGSENPGRWLFDLQEQGKVGRVCTWDRP GYGFSDVLSNADLGGIADSLWEALSNAGVIRESKKEGLIMVGEGYGGLVSRVFASRHP SSIHSFLHLDAQTASTYFHEPSTTQFLSRLSSRLFPSLLTPLSLNRLPSVLLRRSTSL SRILASSHPSPSTARLNEKLRKSRLQETIGSQSRTSASFRVLLESGYKYPSSKPAIVL SSEKRMKDDENWAEGQRVLAEEVTSDDGLVDWIKLDKVDHFVCENDGRQICEDSIVKL LKQ L199_004298 MATLLKTSTEIQQGKNKKSKDNATAGPSEGYKPRKDKTLMLCSR GVTQRMRHLMRDLEVLLPHTKKDSKLDTKSSLHLINELADLHSCSNTLYFEARRHEDL YLWISRTPNGPSIKCHVQNIHTMDELKMTGNCLKGSRGLVTFDGAWDGDESTKLMKEV LTHTFSVPKTSRRLKPFIDHILLFSLLDNKIWFRNYQIIEKDPLTPSGPPIPSLVEIG PRFVLTPIRIFEGSFGGPTLYSNPEFVSPAATRASIKREAGQKYRVRKEGETDREERK KRLREELPEDTLSRKKVFA L199_004299 MPRRQGPSRSLFASLPLDLKQSLRSQSFDNFASKSEEVQVKAGN IMDISMNGNGMLSTQPHSDSDSDGEVDGDESPIRYPDNHNIDNHSNESTVVAQTPSSV EPLTRTVVNQILDTAEPGPSTIVKSRRHVAHIPKYDPTEFVGFGQQTHKKRKKGKQPV RNPLNPFVGHEWDCTGLVKRYTNYTEVPQDLMKYYAQRRLYFPLYDHLPLLLDRTGWF SITPQPIAAHIANRCKCDLIVDAFCGVGGNSIEFAKTCERVIAIDNDLTRLKLARHNA LHHGVADRIEFIHGDFVTFAKSFVANSNGREETVDVIFLSPPWGGIDYLNTPSSTYPL SSILPIPGDELFELCTTLTPNIAYYLPRNTDLKELSTLARTIQGEDPDGRGRNREWVE VEEEWVGEKLKAITAYFGGLVADE L199_004300 MTILPKLRGRTTLDIGTSFEKHALKYLNNQLYMDLRRVGGAGDG GIDLRGWWWLPRSPRISGDMTEAENESVRRVRVIVQCKAEKKGLGPRNVRELEGVMGN LRYHSNPSSTSTLSLSSSSQTSDNSLNPDEEDIAILISQSGFTKSTMLYSTSSNIPLM LVHLPGGQPAAQSDHKLEAEGPLDDGSQKIEVRSLWWNKALSEGVLGNEIELRRTIGP KGVGVGLWMGGRKIGRCGPKGAWSE L199_004301 MPIPIRDDPPSSPQVMNAFYRRLFPYRPFFLWLNQDQVPSKLFT HREFAFTLAGDVYIRYNSFHTSDEFKKELIRLNPSRFEIGPQYSARPRDRKTLAAGAL QPQRRELVFDIDMTDYDEIRTCCTDKKICKRCWGYIAAAVKVLDHALRETFGFKHLLW VYSGRRGIHCWISDPAALDLNDDQRKSLVTFLEVIKGGKEQAKKVNVRGSKDDADLHP VLNEALENLKMEFVKLVLHDQDCFATERGWEALLAILPQDREVIGNLRREWQSDPTRS SVDKWGDLQQAVGGLKKTNQLLFRKYEKAMQDVILQYTYPRIDAEVSKHRNHLLKAPF CVHPGTGRVCVPVNPDLVDDFDPDTVPTVGELLSELDRAAAVENGEAGSQRRIEEYEQ TSLKPYVEMFEKHVAAVLRDSRGVKRAAKQETMDF L199_004302 MSTYTEQPLTPPLLDQPQNDYVRPIHPEDERVVKTLIGLGIMEG LPKANNKIILNPLCALSILTLGYFLNRLMSFMPDENIISWFTALIGPCLASLPILGLV EYIQRPHFIGLLRRTMGQSDLVKFDGYCSEGKSKGWVFVHNDQIVGTILVDSQHPGKK LVSVLGDEEGQINEDKQLLENKENKEKSNNLNLRKRKSTGTSINTTSDKGIVQIRHLA VDSPYRQSSIPLDLLIEALDQSFSVSNRNKVIIELGYFCSKEIIDALKKVGFVKSTSK QVEGSDSKLEEPESIGLMGWKGYWMILSKEVYEVNKEAIVGKK L199_004303 MHRTFTLTVRQQPERARLCSYKEENETIDRRPVDPPPVVELSSN QIPLDHLLESTSFFIRATIVAATPVKVPFPDHGLPSILEPYYQAIKTPTGADATTGEV IQTPEKLRLLDGKPGAICIFAKLSVRVPGIFRLMFTLYDTTETGVVELSRTVSEPFEV FSPKLFKGMHESTPLTRHLAAQGVKVKLRTDTSVGRQSTSRKRTPANNNTSSSMSIDR TLSASTTTTKPSISQSSSPSSIRRSPLSRSRSISQQHSPRTSALTSTRSLVWRQPMDF YGYSNHSDDQQPQTAVPGPGPSTLRKRRFVDDGLIPSLKEISSKYDFTPTPPATDLAA FSLSRTTTHESPTYRPSSRPSSPPNIFNPSPSPFSISTSSHRSSDASMYNPNNPASST SSVTSNYNSPLSMNGRSAQSSVSSIAPSPFPRLNIGPGDDGIPILPLPSMFQAPSRSP APFIPPSVNQILDRDGDGRHRSSSSPITPITATAQTPSFNGNMSGISPRALASTPYGQ PLDQDGGGDGRSPYQRTIQRPLNLPPIRPAERDDMYVDRR L199_004304 MQGLNRYIPPDYDPRKTSTLNKHQGKKHALGVRAKDIDKGILVV RFELPFNIWCGTCNAHIGAGVRYNARKQKVGNYFSTPIFGFRCKCHLCDGWFEIRTDP KNAAYVVHEGARKKDEDWDPVENGGFAVHDTEAPSASEPPADPFAGVEKTIDQQKWAK RGTSRLTELTKSSDRINSDPYLVSSALRRKFREEKKILLEKQVKDEGIKEKYGLNDDM IHLQDQDQDEDKEKEKRIWEIIKENRGESSQTSSPSAIPTSRGQGKARSSDSPSLVDM LRRNTGKKYDPFSNASFSGSSTSSTPVKLNSPRIFDSMKGKGKIKGNMLDNISPKLKD KEGQTTSNEDRSGLGGGLLAGYGSD L199_004305 MATIRTYRSSPKSIQSIVIVLFLLLAAYVFFNGNGGKKKTKGSK SSAGEDLESGRHTSRSRRGSGDLSDEDGKDREKRRKREERDRRKGKGGRLISGPPGET GGDRSSDDGKKKKKDKKKNKKSKEWDKPPSEPPISAAPDHRTATPSRSAFRQRLPDDS LSPTPNTQNGNRIRWVDEENNLKSPVTKHFRKWGDFMGLTDDTDKGIFQNATKKEDKA GKKLPFPSRWSSEQIIRQQAENEQKGVVTDKKLQIPEIILQMMHQVEKTSQAKPSDAR LWDVALQDSWDDDLGSLPTVAMTVMEELSRFIGRKEIAAIQAKMEQRVKKKAKFDKSR KFKNFVASLEVDQDSPEFPYKFVLGWYLDTFRAKAYASRMIIDNKTTPMTTDSNIARV SFILGWLEYIGELNKAQRLFVGWDLSGLTLRAQAMKENGTHYRLDVTIFLPCELEDPS KWAQRFQQSCMAFRHFHSEMVRQFNNTSNDFMDGSAFKRRRLIFRPGWITKGVNKSSD PTPDQSVAQRTLIRRNPKVIVIPSQNDVEGNSRLQDLMKYAGLDSTKKMMSQLAPGEV IFQDIRQFQWDKNSLTPRNNTLTPENFWLAYQIAGDRLQHEMEVDDTFLPRTMYDFIR SLDLGSYIPFHRIQSERQFLRINGFPALELLILSYIPLGQDGSTIELILDPRFGLTRE LENEKKPTRHLNRQPIRLKLFIAISDIRLMENIQSDLDRFFATLANQYLKEGMRKSQI RDTIRGMVFKVLDNVYVVDESQASMAQEGRLPKGMRLGYEPNFPNQLLGDDTPNNGQS GNPFRSPRPTTPTAPPQSPYGARPSPFLSPSSPGIQRPPAPVPQNGQGPFYPPTSPSN SNPTGTLATRPPVQFTDPRRAEAAAEEARRKAQENAQFSPPAPAPAPAPGSPTTSNYR PPSVADADDEEDEPLPQGRFGNLRNADGNVSRGGSGEKGPETLI L199_004306 MSRPSINPEDDEDMKLFYRIMNETSRQTTSGGYDILVDDSQFSA SSVSPSAIDMEGRGTARYPSHFPASSNPQHITNASGEGYRDPPADDRSFTTWPDQDYQ NTYISGHNLTPSQPQLLPHTPHPLDGFSVADGGFTVNYNQSKDLLPTYSAQRPTSLPV ETSHGPLSANVEERDRQTQQAPDNVSMTYTRSTENQTRDRFVKLYDKYAKKYGRAPSY WPLNIIIQMDQSDKTVIFGSNSSKPWTELINTDKVQLEVQEDDRSVVIKCSDPRDVLV YTLMDDTWGIFAQYNKSIKNITSASLDEIDKGGFLPVIACQSLRPVSERAGKSKNEIA AHYRSRSGNIEDRHNSVATEFSTSKNYPRCTECGDYSRNLDVGYRAKYVQSKAKIGIQ L199_004307 MFSSKNKSPQGPNGLQPSSTGLPSSLAQSSGNNNTMTKPPALPL DQLNLPMPDYLGRTKTQGQAKSVSWSDRATNGMSSHSQGQGLSNHSQAQGLGGGGGEY PDAWGWGYDKKKPSLISRLFGKKIEWDESMYGYPDKGIKKEYKVSRPLPTISDPPTEE MALPGTMPNPYKMPRFVPNYPENFDYLPRSYQKMIFKENQEREREWNRKSKEQEKLYK ISMKAWEKGEKERMKYEKKLIKEQEKEALWQFRHPTQPDPAKMKYIKPTYPVSKPPTA TGSTPGHHNAPELDRNNPYNFMLLPATEFGVRRPFNPMIGGEEKWPNMSRTMTHAILD MNREEQLHAYHASLLHRWVL L199_004308 MSEAKPEIEATAAAMEVDVQEEPAVEVKAEELGPLPSVEGKSEE EVKELLEKASKQIYFYFSDSNLPVDKYFFSLTCCNTEGWVPLKTILTFKRMKEFQEIG QPFVVYALKKKIQEEGKDPLVALSADGENVRRKRPLEPNATAWTRSAYVKGFGEDDPE NNKQEKIESYFDQFGKINAVRLRRADLEDKGPAGKGKGKFKGSVFVEFAYEQDMKSFL AKETIPKFTEDGEEMTKMSKDEYVKMKAKEKGIPDSEIHRGGKQDGKSRDAGRKFNAF KEMDKAKKGMLPSLAKIGEDVAVVGIRPGFSKGGEKNPKKRERDEDGEERDSKESRKE EPKPLTIEYKGVMLECDRHTGKILDPSKIPFENNAAVKFINHGENGDWKDLKAQVSKV IENPFLAFPPGSQSGTIAKSDAAVITDDELAKLKEQKMPFGGAEVEWARMDEEEQRNF WTTRANFQGKLAADKLNDAQQKGGRRERDFKGGRGRGGRGGRGGRGRGGGRGGHRGDR NRERDNNKGGNGADPASSLPPSLGTGA L199_004309 MTTKSTMMPKAYAIALASIPGIWAITPSARWGHQAVYVKSKQAM YVVGGEVPTSGSQITNEVLVLNLNSSSPTFSTGSSEGLPPHAFGSMIVTADGSSLVVT GGITSSCDNDGTTHTLSLNDDGGWVTASPKSFIRRRGAGAAYVKDSSGNEDVMVVGGI ADSYVCSSSSYSYPASDVLSLPLGSSSLISSRSLPSSLTGSDLAVSDFALTASADGDK IYLTGGQTSSGEFVDMTTIGIWDSTNGWKSQVTSGDVPSGRVGASLVAHPNLDILILH GGSTDSSGTSSNLLSLLNTTSWQWSTPSDLQPSSSSASSYHSSIITDQGVMITAFGLA SSGSPSSDVYYLDMRDPTGPSGWSWKDTWSSEMLQAYSGSSSTSNVTTTTGGGVTAAK DNDGGMSSKKIASITVPILVIALLLSPIIIYLIRRRMRLIKKRRMARHFSFSSQEDEG FFNGPSNGLFSRFLSRKNEARDMNERQGNYLSRMVTRLSSRSNSEEDHDDIPYVPPRE MVAVTNSRSVTFKDSPEIAKDRQMNWEEIDFGLGKLDESRHVSSSFNQPNNGTSSADV QSPFGDHAAAPVSFPVPQPQSQGYTNEMLYSDEAISPPSVGRLNEPNTLSVNYPAMVP TSASAVGGSVPISGNGQSWDSLAKELETKPAFRSISPTAQLRSHAHPAPASSGPNVGV VAPGDIYGGLRSESPRPTSPAPSIPPLDFQTQSEPRRPASVLSNRSNVSENGTIRLVN PNSPSQRRPEFLPFHQTPHGNRSVSQPIRHLAGSTPLNRRGSSNSDTSPLSSGQTTTP KNRNISLSYTPGMRTASNPISSIGLGSPAGNGSPVNVERRSSLLRVVNVTEDGEGQGQ GAGNAL L199_004310 MTTLPNNKPISNDIDHLNSNSPQDEKRNKTESGLKMNKIGILKG PQKGDKIPWGIKWRSSSWFITTVVTLGVITDVLTYTIVVPVLPYRLQSLNYTNISALT SWLLFAYSIGIFIFTLPVAYFFHKYPYRRSPLIFGVLALIIAVILFMTINTYWVMVLV RFIQGASSTVVWSVGFALICENVPEKNVGRQIGFAYSGVSIGMTIAPPIGGALYQHMG WHAPFVFCIIALAVDLILRLLVIEQKDLREWEEHNHVGTAPDQVEVRQAEVPSERLME NPDHNLATESMDAPTTELGQIREKSDEPIIELSPWKVVLTIGSSARGMTGFWVTFVYG LIIGIYEPSLTLRVQEVWKKQSDFVGLVYLAAAAPTFITGPIIGALADKYGSEFIMLP CLAFALPWLPLMILKKSLAGFIVLFALAEVALQCASGPAGLEVTIVARQTPGISEIHQ FAAMNVAFAISTSIGAVIGGQMYDHLPSGWAAICWFALGITAISLPLPFFFSGNKTLY RRLVDRGKKGDSGQSQSETPSV L199_004311 MPRIDDPPRRGKGICAMRGSCGRTSIFGADLPCPDDDNATEPEE KLRDLMASVCGPSYTVPDSVCCTYDQVATLSDRLQQAAPLIASCPACINNFRSFYCDF TCSPDQSTFLSITSTQKTTEGKDAVKEIDYEVSTEFKQGFYSSCKDVQFGATNGFAMD LIGGGAKNASSFLKYMGDVRPGLGSPFQINFPDNDDSLYPRIPLSCSDANNINARCAC ADCPAVCPELPYVAPPSAGKCHVGAVSCLTFSLLIVYSVAILLGIAFYSWKQALRHRQ RRYERHALLDPPLSPTAASGNTNGLDGMIGRGGEDAESGPSGSIHFRLGRGASLLDPM EHLQPKQNKINATLRRSFYRLGLFCAKQPVIVFGITALVVALLNIGWKYFAVETDPVR LWVSPSSESAAQKHFFDDNFGPFYRSEQVFITQSSDGPVNYDTLDWWLKTEAEIGQLQ SESGVTLQDICFAPAGKGTPCVIQSVSAWLGEDMEEWGEDWQSRIKDCAARPGECLPP FGQPIDPKLVLGGAQGDWLNAKALVITWVVNNYNDGRVAPAEEWERVLRSYLAELSKP DVTISYSTGVSLEEELNKSTNTDVKIVVLSYLVMFLYVSLTLGGGLPPQLISAFSHRL WRGVIKLGVLLRIVKSPPEDRSPVSPSFSLIPTLLSVNSKFSLGLFGIAIVLIAVSSS VGLFSLLGVRVTLIIAEVIPFLVLAVGVDNVFILVHELERQNSLHAAHGGNDDAQSVD SDGRQPSTGTSLSPEERVARAVARMGPSILLSSVTEVVAFALGALVPMPAVRNFAIYA AGSVFLGAVMQVTVFVSAMALDLRRAEAMRIDCFPCVRLRPPIGLYDETAPTGEGVVN KFMRTIYAPFLLKNEVKQLVLVAFGGLFLFATIGIQHITLGLDQRLALPSDSYLVPYF NALDAYLDVGPPVYFVAQGADVPTRHGQQQLCGRFTTCMDLSVANTLEAERKRPESSF VASPPAAWIDDFLQWTNPTFESCCRVRKRDPTVFCSPRDSERLCRPCFEGEEWDATMN GLPQGEDFMRYLQQWLISPTNEECPLGGQAPYSSAVKLANDNSTVLASHFRTYHTPLK SQSDFIDALAAARRISNDISHRTGVKVFPYSLFYVFFDQYSHIQSTAVQVLSLALFAI LGITSLLLGSWRTGATVTFVCTLAVINVMGVMGYWGISLNAISLVNLVISLGIAVEFC SHIARAFMGAGTGLPIDKDVGKKERDERAWTALVDVGPSVFSGITMTKLIGISVLALT RSKLLEVYYFRMWLSLILSGALHGLVLLPVLLTYTGGQGYSLEDTDEDWVTSQMRRPM DYEYAPFADTDSVMSD L199_004312 MLYAFAFLILIIVIILIWLVRVIAASNQRRKARKDPSALPSQIQ DLNHRPTLSETAQDVADRMRTHHRPRGSDSFTPYQSRYSYERSDRGAVNRVMGDNASV TSLPAYGAASLPVPPAATYDPSRARSGPSPVVLLTYPDAPPPKYTASTTQPSVVV L199_004313 MSDFTRFEMQDKYPNADDQPRSFSCSFSWDAFYNDQPRQYGTSK TSRSMLVIDDTRQNSPIQVARSLSVLGLSQAEEESIASKLWSLSRGEIPAPDAGWWNR ATNAIIGRGTSRHFHNPAKLFKSIIPRHQTCKSDTRSRSKTEEPQMKRGADVRDRSTV SRFVGSYTERM L199_004314 MSDCFSRWCPGSDSYSQTTNTNEYTSIHVSQAEMESLSKISDAL HHLPYKGNDLNNIQSTIFHLSRGAAPSTNFQVPTDADLKTISNKAPALALHSQNARNV FRRAQGQEESTITYTDQSGARIASANQWDNDTLRGIRQSMIYERRQHGLERGEPSGTQ PTSSGRDWA L199_004315 MSPPLPISSFVKSHLSRLNLVYPIIQAPMAGVSTPQLASIISNA GALGSIGLGASTPSQAKQMILDTQKSLHKDKSFNVNLFVHQHPTSNSQINTRWINKLK PHFEQYGDQPPDQLNVIYKSFMDNAEMVDILLELSPPIISFHFGLPSLEVLDKLKENG AILMTTATSLEEGRMIEKTGKVDYIIAQGWEAGGHRGIFNPLGEDQQLTTFVLTSLLI RKLNTPIIAAGGIMTGHHVRSYLDLGAVAVQLGTAFVGCDESSADQGYKDALFSSKSE KTKMTRVISGRPARSLVNKFTQLEDQLEQEGRVDIPDYPITYDAGKALHALAKFKGEY GYGAQWAGQGAPLARKMGAGDLLDVLVKELIGEGR L199_004316 MVDRSFISALIQSSAGEWALILGLVFGGCCSNVWALEGVLKNHP KSGTFLTFVQFLYVTITTISSQLIWKRVPKVGVPYPVLKTRKVPIGRWGVQVVLFLAV SLMNNYAFGLKIPVTVHIIFRSGGLCVSMLVGSIFGKRRYSFGQILAALLITSGITLA TISAPRPPRPPRIQESPSSPTINTSHASHHSWIPDHLEYFAGVGLLTLAMIISAFLGL WQEQTYRIYGKEWKEALFYGHALSLPFFLPMQSSLKSTFNSYLSSPPINLSSLSLPIP ITSSFPIPFPSYNPLKSILGGYADLLIPSAILGLLINIVTQGICVRGVNRLTSKVNSV TVNLVLTVRKAISLAISVWWYRSGFNAGLGAGGMMVLLGTILYSFAPGPKGLSDPPQD KKEAESVLDDGGSGEKSSTGSSTSRINGRVGDQAEVRVRNRQTRTIVG L199_004317 MTLASVAFKTEFAVDMTCQNCVNSVQGSLRDIPGIERYDIDLEK KRVTIIGKTPPSQLLSALKSTNRQVIVRGSSPSNSSIPQTAAVSILESPLPIPTNIAS TSNPILAASPSSAPVDLPGMNETEFSQKVFGIARFVQIDPKTILMDLTVRLPPPANIG LGVASGGWNVYISETGNIVNPPSTTGKRFLDLGKIVPDKDGYADLFKEVDGELWNWIG RGCIVQSDSSGPSTPQGQSQSTLGKIFAGVVARSAGAWSNEKTVCACSGRTMWEEGRD MEKKTKL L199_004318 MAEDITSWISSSIVQHDRENGANHKIPMRGRYAQVIKFSSYRDR FDPHAEIRGVISDKTHWIRVKFDVEATDEFEEPEASLPSESLTSNLRAIFLIESFRIH LLPPLNTSRRKSHNLNTPTVSVSGDLPEVMLEILKWKVVTGDKDDPQYYAGTPEVSKG NQDVDVKVQRVLRKWWFGETNSSQSHAPSTSQHPLHTETPSRYNPIQPGSVKPHISSP LVGYHSSPAIISTSDSSSSNSAQDYHPVSESQQQKLSKRGDIMLLNFLQPYLNGPGGK KKVIPEWLFDKSDETKGMLDDIRMFGLDLDIGNEKDTTHADNNLNLAGDNGQEGVVTQ SENAKVKGKGEAREDFSLVQSPTIMKDPYGPQNGDRETKGKQEMVMPIQQGRSTPIIR TIRVQADRKDIGNEMDGDHMDSTIFRDSPSPRKSRQNPLPLVPKRPNSPTHETEEESD EDILFKPREESRSRKREREMFDPLAMPSSSPAQEDVQMDDQDDDEGQEVDQLGEEGSG SRGDEGEEDEDGLSDYERETKRKARLDRNRLKTEDRTTISDEKQRRSGDSSKYQKHHN LAQSHQAEAMTQKVDSYSSNPDTPNKGRQSSIGVQTINEDQIDIAESSNDIQQKAISP LNSQKSQNGGASQSANSDTSQTNSAPDSSANAQAQPDSKDSHICQSQKRKISEILVDD SDQSLSQHKEQNAEVSNTSGSNNTQDNSSQARVASQGAIHMPTETQHVDHVDNPDLNQ AKPVTSFQNLTNDHESPLSAKEPHPNEKQQSSPVLHSNTSPRQNPISSISTRSAHISP SHRILSDIPESYIKKPKIEHLTPVQSQTTPISRTQSTGKGSRKSFLESIRFFPSSSSS RVRKTEKDEDDESPLKKRMKADSDFNEGILGRLGRWAKRGSSLNRANDDVLEDSKVKP KNDQIDQEARSVGDDDEDVGDEDGDGLDDGLEKRQDLQLNDEVEVIVIDDEEEVEVIE EGAKDPLKIKEEENDMIINGDSRGSHAQIGIQYVDEKSRVHNGNRENIQRQPEDIQSR SIRQDKYEDEDEDDDDEGVKPAQHAMNDIDPSNRVVQTRPQEKTREDQVERKRDPTPI RAERQNILDGNFELNVVVNDGLRDWEVRKMMNNIVNARNRKSSKGK L199_004319 MAPQKTVGILGGGQLGRMLTHPAALLGIPLLILDSGLYTPAKQT LLPPEDHSGHLDGPFTSESHIRDLAKKCDILTVEIEHVNADVLEAVEKEGLCEVQPSP STIRLIQDKYQQKKYLSEKGIPVAPFDELPLNPTEQDVKAIVGKLGLPVMLKAKTLAY DGRGNSPLQSTSSESINKSLEFLGDRPLYAEGWAPFVKEVAVMVVRNKEGQVKSYDAV ETIHRESILRVCLAPLRGEKDLNHRARELAEKAVGHLEGAGIFGVEMFLMPDGSLLLN EIAPRPHNSGHHTIEACHTSQFENHLRAILSLPLGSTELRVPSAAMVNILGSSSSMEP IESMRDNALTVPGAAVHLYGKKESRKARKMGHITLTAQSDAELNEYLRTVLFAQPDAA DEWIDKIAPPPSQSHSHKKPLLGIIMGSDSDLPVMLPATKILDQFGIPYELTITSAHR TPERMVKYAKSAAHRGLRAIIAGAGGAAHLPGMVASETSLPVIGVPVKASVLDGVDSL YSIVQMPRGIPCATVGINNSTNAALLAIRILGTSIPNYQLATEEYSKKLENEVLDKCE KLEEIGWEGYVKDVLKK L199_004320 MASSSRSTSGTSGSELSSVRSSLPLDKLVPYLERNIEGFRGPVQ VKQFKSNPTYLLTPSTPNQSYVLRRAPSGPLLSPTAHRVDREYLILSALNRYNRTVSE SHAVPVPKVYCLCEDKDIAGAAFYVMEYLKGRIFTDVRLKELDKEERWACWHSAISTL TKLSTIPIPSLNLPASFAPLPSSKPYFPRQVGSLLKVSHAQSKAKNKDTGEEVGAIWG TAEMKSWFEEGAEKLAELELRRGVGGVVHGDYKLDNLIFHPTKPKVIGILDWELCTLG SPLADLGNLLLPFSFPPISPSHRKELASALGSEDPRDDMTLLLGLKGLSSQETGLPQR EELEKWWVDGMNDGLAFHQQQKGNSWVLPIPGMSWVRSWILFRLAIIAQGIAARAALG QASSADARADSRPVFDFFGKMAWEVKIEAEKEGRAKL L199_004321 MPSSSSQPKCLVRGSINIDEFFALPHIVRPGETISSTSLTKRAG GKGANQAYAVARAGGQVDLDGCIGKDGEWVREFLRSGGVGDSRLTTLDDELTGRAIIQ SAADGENSIVLHAGANYHLPSPLPTPDLKDYTHILLQNEIPLQSTLSYLESSKPSGLI SVFNPSPMLSQKELRKFPWECLSWLIVNEGELFDLLDAFQQDPSITKTKKTNNVDDLS LEELKARSTEGILNLHSNSYFSENINIICTLGSKGILYFNPTSGSKEIGHLPAGKLLN PLKDTTGAGDCFAGYFVAGLMRGEKLEDVLKTCLTACAICVENQGAMESVPSRETVLS RLS L199_004322 MTNGYDEVETGMEADSEEDLELENVEEDGPLLSSAGNSNPEPFS SSLRGWAQRQKRFKVVAVVIIIITLLFIVLGLKFTSSNVEPELDSFQETLKAESERAR QLLLRNIGPRIGAGDGLVVASPSRGEKSYLPDYYYTWTRDSALVYRSLTTFDSSSNSE NISSHDELLLRAYIQSQIPIQTRSNPSGGLENGGLNEPKFQIDGSPFLGDWGRPQRDG PALRALTLIPYAHFLLNRGYPADRTYVKENLYNPDKIRGTGNVIKNDLEEVAHGWWKR GFDLWEEVNGHHFFTLIVSLRALQAGSSLADRLNDPGAQGYYSKQAELIGQKLETFWN DGKEYYLSSISSYNFDDNNEDDERITLPERDWSDCSLPLSLIHAGDHINLDTYHHSNY TEIGFGPTDPKVISTIYRYIQSFDGLYEINNNKGSWTQGWALGRYREDVYDGVGKSKG NPWHICTNAIAQSLYLIEKRHYEQGYLEPTEITGNFWTELMNERFNEDKRVEFGQRKF DLTMRRLREVGDSFLNVSRNAMEQGKRMSEQIGRDNGKPIGARDLTWSYASYTSAVKA REDAVFVGNNRAKRA L199_004323 MSSILMRYPLKNGATHKQIKLISSIKSRTLITGPPPTTPSTHRH TTPTSAPLPSPVPLPFFSPEVSTTNAGNGDAKPLYVPGSQADRLARVGRMSSPLRRGS HESWWSSEMGWFNAVAKTIPTYRVLDEEGHLVKGTKVPEITKEEALAIYRTMTLIPIV DNVLYQSQRQGRISFYMQCAGEEAAIVGSSAAMLEGDEMFGQYRESAALLHRGFTLDA LMSQCFGNIEDNGTKGRMMPVHYSAPELGFHTITSPLATQMPQAAGAAYALKLDEDRQ GDCVICYFGDGAASEGDFHAALGMNSVLGGPCIWFCRNNGFAISTPIIDQYAGDGIAS RGPAYGLDTIRVDGNDALAVLSAVREARKRAVEGKKGVLVEAMTYRVGHHSTSDDSSM YRRVEEVKEWTVVDNPIHRFRAFLASKSWWDETSEKNLLSSHKSAVMKAFGRAEKLPK PKLGEMFNDVWGVGKGDEVPAVIMEQRAELGRLLKKYGDVWEPWRKERKRFVEEGMDV MDCDGRGS L199_004324 MGRKFANIAAEKPFKNSGNEPKTGIFADIKNDLIAMAGEFIGTI LFLLFALGAVQTASTNTSSNSNSSADEAAGTAPSGSDSNKLLTYYYVSAAFGLSLFAT ASIFYRFTGSIFNPSVSLALCLIGAVKPLRFILVSLAQMVGAIVASAILDGLTPGALA VNVSLSNGTNRTQGLFIEMFTTATLVLSVLMLAAEKHLLTPFAPLGFGFTLFIVMLFS IAFTGGAVNTARAFGPACIQGFQNYHWIYWLGPTLGALLATAFYVFLKEVKYWRITPG QDSTDNHSSPKVHPVTSRMSRNRNDSGSTAIDNGNGNTDGPRGHEAV L199_004325 MSVKFTPGPHPSSLSRSSSSKLPTPTPAPSVPNSPITVTFNKST TTHKPTRLNTQNTDNIEGDLERQPLIGTRELNRPRYIWSIISGLIIIILIGVFIGFGG WRLGKGAGGGRWPGSPGV L199_004326 MSTTEQTYIMVKPDGVQRGLVGEIIARFEKRGFKLAALKLASPS KEHLEKHYSDLSDKPFFPKLIKYMLSGPVVCMVWEGLDAVKTGRVMLGATNPLASAPG TIRGDYALQVGMNVCHGSDSVENGQKEIALWFPEGVAQYKLDAQTWIYEA L199_004327 MGRSGNVFWGVLVFGGLTVLALIPFTFYLINSLSPTIIHGLSLA KVTGESVESSTNQAIKVRVRVGPSGGCVWSNLTQTTSCVSHIPYKPTPESIHLPNNES ITSCFPIALGKALALNHVTTAFMGLSILAILVDCLILQGGISLAIVYLMVLFMWITFI LETIYISVLHKRLDKINQGDNENGNWEYKVGEGYWFILAATILVSLVTCGGNFTIDSN L199_004328 MVRRKYDTFILSLICIGAAIVIPYYIPGLDIARIEGKYFDVANN HSIGVKISVGPSGGCMWFNDTTPKCSTEIPYVPNAEYLHLQPNSNLTSIFPIAMGRAL ALNHVMVGLMGLSMIAVLLDILILKGGISLFIIYFTGFMLWLVYFLESTYIGTMSNRL DDIYEAKEWEYHTGGGFIMISVSVFVASFFLCGGGSISAGD L199_004329 MLETINSLPAVLQPWLTWLSALTLGQIWKILYNPPNKVNLTIAF LVISYRLPFYLKRYRIWRDSKKVVDFHWPVPKEADREWKGTVIDHPSLFAHLKDSTLF PPESGNTGGEREHITCYDPSTGHHLLTLPLLSASEVSDQITKAHSAQKGWAKTTFAQR ISLLRSLKAWVLRDMEDIVRVACRDTGKTEVDAVFGEILTTLSKLDWLIKHGEKTISP TARPGNLLLAHKISKVHFSPLGTVLALVSWNYSFHNLISPILPALFAGNTIVVKCSEQ VAWSSLWFIGGIKACLRACGLNEDVVQLVICLPDVAETVTRNKLIKHITFIGSEPVGK KVALAAAEIMVPTCIELGGKDCAFILPETDLDFFSSTWMRGAFQSAGQNCIGIELFLV HRSQYCRFIEIMEPRVKALRPGIDVGSLISHAPFNKLGSILASAEKSGARILAGGKPY VYPTYPQASYFEPTLVVDVNMDMDIAQEELFAPVMTVVPYDDVDEAIDWLNESRYGLG AGVYGKDKGECRRVAERLECGMGVFYLNQAMPFGGVKASGHGRFGGEEGLRSLCSVKS ITEDRFFSYIKTSIPPPVDFPIPDPKKAWGFLVGLVNLAYARTLWGRAKGLGGLLKGL T L199_004330 MSTQNNSETFEFNLSSSKPDFTGSNHVRTTRVRDDVGWLPAEPI RSTTYKVDFTPSTAELRDDPVRMNSERNDKEWFRIGLALSHNETVAGLSEEEEIYATK YFDSYACLIPQKDVMIATLDKTFEPHRFSNTIPSNGQGTYTPGSSTKMGFNAVVPTGN PDEATESRSRYATITKLNTATGRKLRG L199_004331 MVATLSARMFRATAVARPLARRTYATSVTGYGKEVEGFVGAVGN TPLIRLNRLSEETGSNILAKAEFMSPGGSIKDRAALYLVKDAEEKGLIRPGGTVVEGT AGNTGIGLAHVCRSKGYQCVIYMPDTQSQEKIDLLRMLGADVRPVPAVAFDNPQNYNH QAKRYAESLDNAVWTNQFDNTANRNAHILTTGPEIWEQTNGGKLDAFICSTGTGGTLA GVARYLTEKSNGKVEAWLADPPGSVLYNLVENGKLERVGNGSITEGIGQGRVTSNLQP DLSLLSGAIHVPDSASINMVYRLLHEEGLYVGASSALNVWAATELAKKKGKGSTVVTV LCDGAYRYQARLFSRVWLESKGLDSHIPEHLQKYIVLP L199_004332 MTSVLRASRPCAACLRRLRTSQLALQSTSTLSNPARPSANTTRL RAPTKATSTRMQRRYISYSIRSEARAIDNTSKKRSLEMGEFPPERIRNLSIIAHIDHG KSTLADRLLQMTGTVPPSSNPQFLDKLKVERERGITVKAQTVSIIHQHTDGRKYLINL IDTPGHVDFSYEVSRSLGACEGGLLLVDCSQGIQAQTLSVFHHALEANLKLLPVINKV DLPHALPEETSEEIETSLGLPLKDHMRISAKSGLGVENVLNNIIDNLPSPKKWIEDDG KLRGLIFDTFYDQFRGVVSLVRIFSGTLKKGDKVRFLQAGKRYEILEVGVNNPEEVVV DQLKDGQVGYIVCNMKNSEEAFIGDTICLADKPVEPLPGFKPMKAMVYAGVFPMDSSD FPKLEESIERLTLNDRSVSVQRESSAALSQGFRLGFLGTLHMDVFKQRLEDEYSSEVI VTAPTVPYKVVYLNGTEEFVSNPVDFPEVTDSKLRVRHIEEPMINATIFVPNEYIGEM MDLCSRYRGVQQEYRILENSDRAILRYSLPLAEIVTDFFSELKSSSSGFASFDYEEAG YQQSNLVKLNILINGKPVDALAMIVHKFAAQNVGKAWVKKLKEVVPRQQFELSLQAAV GAKVIARENVSAFRKDVTAGLYGGHYDRKLKHLNKQKEGKKRLKKLAGNIEIPQTAFF QVLSSRPRSFHSSARSPSLALNSHTPKIPIPPGLSPNSLLTTSFSSSSPSWHSTNDPI TQNLPQPGLKSPTIPAQSTSAPPPIGRSPISPPERSSKLSLIGRMTSSPPPPEATSSK LSEAFREVYTSSPDSHVFTPGEIHEIISALLALQQREGGDRKLYAEQLQPLFRELEDM VGDKSKALRGMELTVLSQTSRIERKVKTQDILNAEKSFRSLFPTSPNQNDIKGTRSYQ KGINHIMYLCALVGHQSRFEDWWMRLTRNGLVPDSHSNLTRCILAEKIGKSADIPKIL DGAFNQADLEMSEEDKGVLVNFAIHAFARGPHPRGTDESDVDVNEDRFLQSAIEGYSK IVELDQGEYQNLLGTTTNRTFKQDGQVVIPIPKHCKPTKELFGPFLSALVNKGNLIGS LTVFKHIFENSFKPDIKDYITLFKGFATYGQSDLIRGGGEAYRVFGINRYGSNDYGRN VERESFKDIWEKGLSPFTHQEKSFTAKPPETHGSDGIKWDLENLQEIYLSFLSLSPSG MGNKTKGINKKQLWIILKAFTKTSGGDLQIIEKVWKDLENKFGEGNEEGWISWRTDNR LIWAKRTLFGEEH L199_004333 MAASATLKSNDPNARLTEALCTSLRRRQIVGSLNVALATAALIQ NVVRSARYSTIDELLGLIKSIGKKLIDANPKELAATNIIRRILRLIREEYRAAAAAHI ISAPPSAPETPFLGPTTPGLSAPSNHYLSTATDFQFFPSTSQLSRQTSLSNFVAMRHS RAQLERSGSLVDMQLSQSTNSLFTRHNNVPETPGINRIDSDEFMRHSAKLKPVLIQAI DEVVGELETTHEDVAKGAREHIHSSEIILTMGHSKTVEAFLKQGYRDRKFTVVVAESA PSYLGHSLAHSLSSHGIPTILIPDSSIHAILPRVTKVILGAHSVLANGGLFALSGSLA CALAAKTHSKPVVITTGQFKFAPAWNLYHDYAAVDFQGPGSVIGTTGNGGGGGKESTE VVDPYFDYIRPELVQLFVTNEGDHSPSYIYRLIREAYDEEDVEL L199_004334 MSGPSQSSATRIVQSLLSSHPHLSTQQMYQAATEGLRPVLRPAH VVDNQGRIRMKRVSNMREGRRPWVPMPTAPFPDHPFKSVNFLKRTILASLESQGLIHK ARIERPIETEEERQEAIANAMRLTRKDERTAMRLREPVPPPRIPKTTVTEYAWKMGPS PQRAASLSVVDPDEIGFEKNNRKKDKSPHQLEEGEEELLEDEELELRRLNELDEKDDE NLAMRMQRAWERNRGEPLPLGTALAQEQPVDEIQLRWDQAVRLEEKFMESQILEQQQI AERQQARRAAFKLERERKKQERLEDQLAGRTDAIRAEKKRIEALEAIESYARQTGEDV SGWYAELGINEGEELPVNEDRKKRRGGGGFGLRRDA L199_004335 MDAKSLLRAKKAESRITHPYAAYNNAGVLRCSICAVPVKQWDAH LMTKQHRQSVAREKAEQEKALKAKTKSKRPLSQSTDIDGQGQGQAGESSKRAKIQATH TEEDEDDDETGSGVGGLPAGFFSSSNQPKPISRSPSPGPQQSLQPTGDTELDDFLSSL NDDTPSSAAPITAQSQTKQINGKRKTYKEIIPSQTSYEAAPVRIAPTTQDDQKDAVQE EAEPEESEQERKERLEREEREEIVRRLEEEERAQEDADSRVASLKARMEMLKKRREAK GHKPKIANTNGA L199_004336 MIRTIIHHIFFLLCLLGIVRADTEIINFSLPLPPLSSTSTPILS TTSDLLELTPSQPTLLNLTDSSPNQSFILNFQGLEQDYQKWTIRISWPGSSPTKIKII PPDESLQFSIIGLSLSPRMYHPLLSHDRSYKTTDQFHTPISITLEPLILGVIPRTALP TIYTIILALVIAGWNIPRMLRLIEDIINKFNDQSQAHLKRS L199_004337 MSPTKPPASTRPRRSLPTPINSRTRSIREAQDSGTDTENENEAG PSSVGNDVKGKGKEKEKVPERRVLPARIRRSAGGGEGMREVEEMIIDWFERWGEPSTT PPKQLPIHLTSLPLSFVSPPTTKTQQDVAVPTITLTPSRRKAEEGEGRLGKEEKIEVP DWVMVKAGEDDKEEAREELVFGRGGKGKGPVSPVKRLRRGGIGDEVEEDTSDSYYLSL HRKYEVFERRQRIREKEKLQFERYKMKSRLDLLKNIPRLNWILIVNTILQRFTNQDQP TTSKAGEELDTNGETKKEDGISRAKAKIRDNGEEWLKDLLIREGEQLMKRFQELLPPE PRKPKHSSANTPHQPSSRLSTPSRASPSLSPSLTPPPVVLPARVAALRDPPSTVSSTN KRKRRSTIASTSTTTTTADHPAGDEKTPSKGERRSTRVINTYGKNRGDIDGSSPSDAM IIDSSDGDSESEEEEPYSPSEVIIPSTSKTRKATTSAAIMATDTTTQTSLPSKPNFKA INHTQQSIKSFFKPPPPPQNQNQPSITVRPLAPRPSFTTTTATTSKTNSTIVSSLTTS TPAVSTSVRNANNVPAKSAITTPAQRPSSYPIRVPCLIEAASRRESGFGRGLQKEAGD PQGLGVGALNVSRGRSGSISNKRFDNVNGNRDNVTPFGVALPGRLEWKSEFTITDEED FWPIIAHRQNIRSLINNNNNNSNNDQRKISNGISHVPLTRDDSVLTPEEVEELEGVEE AVVL L199_004338 MPLLANPFPHVFNSLNARDAPPVSYLVFYSDIVNGQMWCPDCRD VESTVKQAFDAPDKPKAIIYWVGSISEWRAPKNKARVDWNVNSVPTILKIENGKETGR LVEQEILDKKIFEAFLK L199_004339 MPIILASLVFAFALAALPSTEPLSPDEQEQAEHVAYLKAEREKR RRKIRQKEEEEDRRRSENRMLREEAEKRRTRGKDLDLEKDDRWIRRKCDLGLGLHLPS DESNGSSRGRLVSDIYPTNEHKKTHLRRSSSVPSLSSTSSVSSSSRDSSPGSSPKRFS VTLV L199_004340 MSQPSSIAIPSTLPNLSSNDFKGDTKTGDPPSSPLEQEPVQVLT REVIAVKLMDEVEKDKKGESSKVWNGREKVAPGDNVNADEEDHADGSFAPPGEVSIID EQGSPRTEKSLVANEEGNVSTPLISNDKQDYISSSTLVSTPTVEDSKIIDDPSILSPA IEASPTAPAVSRGTASIARYPRGNRRPKGWISSLNHPRAISNLTDQQIALTPPRSSST SDLPKVENRARVSSVHVHQQQRGGTREISGTMQHAFAQPQPQSQRMSRESQVQHGAED EDRFGYEDEGVIGDGLLAGAIEEEELAEQISAEDEARIRYEVGLSQDQDEIWMEYVRN QLSSLFPDFFGANPGQLQSQIGEATYLRHEYQQEAESGSFQESQGEGEVEGEGGEQMA MEDVVERIGSPLFPREDTISQPDGQIRSPPRAMIDSPTVGRSVSLRNGHDVFSTPATN DRSFVSASSSTDFSSLPTPPLRSNAELLRGNVVIPNVRDEISGLRDEIERLRSVVGGL AQELGAGGTGQQREIPSEEVARENENEIDGGVVTAEWQVEGVSDDEEQGRGHDAVRMQ EEEEIKKGRVEEEDNRIGKTDSGSVVEGEITKTEKKEQVSEAFLKTANISAEIIRLLD SQISKSAERDTEGSGTRSDEAILSTMNLEKIMRYVKGLGTS L199_004342 MASSSRSILSKGFRSISHSQSILRSSAPVPRISSQGQRHGQHPA SPLRIPSLSPKRQLRAFTSSSRYQTEQGEKPASTLSPEEYEQVSERDMDILHENLEIY VEQFGENDWEVEYSSGVMTLSLPPHGTYVINKQPPNLQIWMSSPLSGPSRFDYITSKG WVHHRDEKIVFRDLLEQELRELLRRQGKEGEAEEWEGTGL L199_004343 MSDPTFYQQFYLPPASSSSYDPLSFSSAQQSKNENDSQSYHHPG TGNDNGSHIPTSTIDLNIHYQDSYPYPYPYQVQYPYNPTSSTFEYQQTTQPQSPPGSF DYSQYTSSSPISASFSVPSEYYQSYPIAQDTKSNTVPSSSPTQYLPQVGVANYPSQPI TDIGVDTFPPSGAQPLTISKEGQMMERGYTWPMPIALEGKMQGMNLENQSGGGMWHSA ETGPSVVNVANEKKSFETINTSTYPSSSSCSCIAPSIPTWGTETSSYQVSTTSPTQPT TPRQAFISKPSWLSYTSPINHGYRPQLYPPDKQQYRYFSSTPTSPSHKPPTPIPLTTS FSLPTPVPSSPTFVVRPSLEMLTAKVAKPKRRLPIPPRINGWVPPEQRPLPSSDMGEE GRPRMLPVVTPEYFSPTHPNFAHNTSSTSTVITPSEPRSMFAKVSVSQCDTCLPQVQT QSQDTFAFAMSNPPDSSITNLTMPSSTHLMAITEPLSINALSPSQPQPTPSRAVLSKR DLLTRQPFDPSGSGSGSSRPRTESDAVRQRGRPRSKAGTAKRPSTGGSTLGMGTSRRW RIEQKLASSVGMRRFMCPDCDEPFTRRNDLERHQRSKHTGETPFVCPGCDKGFSRKDK LDQHIEKVPACKAIAPPREERVRRPNNNRVEPVPNPQSLPHSHPHYHPHTNSTSSSFL DRCDIRLYNAHIDDNNYHPMSVTTQPNQSYHK L199_004344 MSKPDEIPALSYILEPALVASLLTVGCLWNRRKPSEIIQAHNVS GTTPIPTNSSTDNSWNWKEMRFFMWKVKVPSNERFRMNFFSRFLGMFPFLLEVWYWLL TYWIYQIARAIQALTMGSDFRVLAEKHARQLITIERILHIDIELGLQRFVMKKAWLLT FFNKTYAMVHIPATIAFMAYSYRYFSPLIFQSTRRTLVLCNCLAFIVFSSWPCMPPRL LPYEEFGYVDTLHTGKAASIWTTNKFQNQLAAFPSLHFGYSFVIGLSLLIYSPHKLVR AISLFYPLLILLVIMATANHYILDAVGGFFVTIVAHRINRLVLNLRPIEEWFFWLLRC ERPIDKVQFDSIIQSDSFITASHRDMSQRPLMSGSPE L199_004345 MSSLSDIGNIFRFSRAQLKDNVNEVSPIRPNDLHPANSSTPLLI TNKRRGSIIRYLSRCFVISLTGFMVMVVMMIVLLRWGEREERWIKHPYMNKHYGKNVS SIPDRMISANSHNDEMQGGNALNLALSLGYGFIEIDTYLGPAPAPASSPKLGYPSSNY SSLSSNSILDPSLTLLAGHDLKDLKAQRTLKRLYFDPLLDILDRNNRNSTAAGHGWTG IYDNDPTKEVGILIDMKRDGESIWPYLLDSLQPFISKNYLTHYNTTSSTYHHGPLLII GTGSTPLSKVYHQDVRYIFYDAPLLTLHKPIIIPESEDGPSVNVEWSKEISPMASSKL PFRYYFSIIPSFNFTFLPLGPRGTKDTLRCRLRRCTSTAREKGIKSRWWGLIGTSNWL KVKMWGVLWETGQDVLNTDDLIQSKMWLESKRGKDRNLDRC L199_004346 MVTTADLGPISSQYAAAATVPLIKSPSLWLPKPIEMPNDIHPLP EDITAYFVYPFTLEEHVLSVHPSPSEMISQRRARNAEILHKREVEEEQKEKEALRKIA PGYNPSSVLLPSSSSSNTPKPSQPIPSTFDSLVQNTSQQQGNAGTIDPMDDLVSQLEE MEAKK L199_004347 MGGVSSALDPARGRGAVSVPDGYTTPSFPSLYLPTLHDTKNQRG IFLYEAEAIWHFTLYWTLLLLGSLFLICSIYASLTIFINITTYRDNQSDRNRNNPSLN KKKIARKRSKKPPLWPIFILPVISVVIASGISLISATVVGFALAAIYSAGGFSMSTWV PFLWALIQVLVLLISSYSTLTSIL L199_004348 MGKLIWHQWGRLLALTSAVYMVWASFWAYLYRKFFWDMIGGTLG PAGLIPSKNTQPLVNLVVVVPLLQTFTLVLGLFALALELPLPIVVNTPVHRSIMLRIV LYFLTGFIGIMVYQTVDCAIYFIITSGVYAVALSKGETINAISEGRIGVV L199_004349 MEKFDQLLGPLNPNKIAAGTAPDPIKVIVFTATGDQGRSVCKDL IGDGGFMVWGVTRNTDGKGAKELTQLGVKMVQGDLGDVQSYKQHLLGMDGAFINSDYN SIVPTVNGDTKAATKAEYEQVKALVDACKEAGVGHIVYSALDGYKEEDRKVPYFQSKA EVAKYIKSTYSGHASHPPNTPHGIHHHLHHTNIYACTYFSNIYKFNYLTKDDQGDKWV LGWPLPDDTPIPSYAVEQTGVWVKKAFLDHKTWSGKDIQICSDSITPLQMAEELSKLS NQNVVTLGLTKEDFYSERHKMEIWQPLWLNYKSYVEGYFIRDIEASRKVCSEQWDFKQ WAAQDKQLKKIFHSENKQEDNKV L199_004350 MSSVEEIARKQVTGNLGSHLSPLILGMAVDGLLMGVAINQFIRW WNHSKKEARHIRFLLYLASFGAICATIFTWATTLHMFSYSYGSYSQFIKCDWIAWYGI LDPLTKISIQAFYAERAWRINKRNYVILIAIGICLCLSVTGSVGYTFITRTKTMNDFD MTANIFFYLWPGACISADLIITSSIMYGLYHSRSGIDHTDRLVKRLMRISLEAQVPPT LVALLFFLQFAAESMSSIVQFIAIIHPKVYLVGCLAVLNSREDLRNDRKTSYVYASGG SYGPSSSESSSKGLTKMQASGGIIVETETYICSDGGLVVPRPGLNRSFSDIKDTSIAG SDETHAPDAEAIALAETGALGTPLEFEVKLQ L199_004351 MASPSFPDIKHKPNLTVNTILPSQIYTIDGFFTSSEVKAVRNWM DGVVMEDPKPPGKGEAERTARRGSLNSPEISTILLNLLYPYIPYLSPKYASPTPILSP NIRVYHYPTGTYFRCHYDSPTLDPSSRRLSCWTILVYLSDCKGGSTTFYTGTHDTGSS KKTKNKGKQKGDEEKGKGKVSVDPKAGRLLLHWHGMSGGGCLKHEGEEVKEGDKWVLR TDILA L199_004352 MSSSGVISKKLKFKGDKSKKKKRSHNHSVGGGGDGDELAALAAA DPRGWVFPEHPMEINGPAFILLPSEPLTCLAWDPTRQRVYAAPVDIPQAPEGMNDLSE AEILQTIEPSDVNHVWVISRLSGSEDVISLRTSTGTFLTASPSGTLSATTPSRGPLEA FIPQPSPSSSRSSSVFPGWSIQTQHNSKYLSTSAPSGTSVGKLKAELRIDVDQPGEHE LIRIKCQREFVYKAKLALQEGKDGNASSSKKRFLSGGPAEGSIEDELKRNRESQTWGG GRTIVSEKDRRELKKARKEGKLGEAMLDRRAALKSDRYAK L199_004353 MDPLLLAHPPTTFAQMSGVTTDEMPFDSYCIVCDRLIIPPKEVD LVEGPKTTKKKLGGGTIRVKNPDGTTTTRSANGQKVTRPGLKRNPNSAARLAALNSSS KMQPLTRSKTNEFVTSPTTESPPNDLSSPKSQKVITPRINTSSPPFRSSIYCSKQCME QDAGKSSEAYANIARTLSYDFSHAFPLDTPGVTVADHARSPYGPPSPLFVSGSDTESS AASNAGGLQDHSGPASSAPKFMEYFRLSKEGPDDAWNSIQRQRRSSMQPSLSQRPASA HSHIHPSNESLSSLWNADSDLVLDHRSVSGSGSNRMRAMTPLQTSDQDRRELHGAGRR SVSISSEHSAPIPTRAPLKRSDLSHTSLLASSPSSVQNVPIPPEFGSAPSHTLDLLQS YAHAFPVRSPSGLSTSVQRGFVFPGSTAMSPNPSESRRSSMSRPVSGTIRAKSRNGNS NGGEVSWDSFGKEVIDEKNYKSFCRKTGQTSSAATTAGPMSVPADFRGRAELDPDNNT PKQSLERGIGGWKIKYFQPSSNLVERSGTITNTSSNRRNRSSSSGGTTTSSSSSVRSC SRPRTGHGIAIPKANNQLSTSISSNSTSRTPRMLPPPRPATTGTTTATSGLPDMSSLT MAEGVSVSNSVPKSGFNWESSEKNGMKTYEIPDLPKFKLDRNKAGLFYFQ L199_004354 MPNPSKDGGTSTGIGIGSLGWKRSNSPLQIPNTLLEDQDQDQDQ GGQRQSHLHLAREPSTTTFRPVLLLSSQPYTVSPCPSLTCSPSSPLPSSLDP L199_004355 MSTYTPPLPPVMSRENSSEDLHLPSSSSGKPMGDAADVVAQLSR SLEAGPSRLSTASSLLQTPKITGNRRASKSVSGSRPTLIVTPATRTQSYYTPQVKSSD SFPSSSSIPSSPILGPGIVKRKSSVPNLVRRYTTREEEGISELLNEDHLEPPMTSSTG SMSKGKGKEKAVESRARSTSTSIVVPQTQDLTEHSTYIFPSSSFGQSSLNHVPELPPS SQTWSGLPLSPPSSDRPLDTYPPPSSSTSSSFVKSAYEVGESLLSWVKPKKHQRYDGS SAYRRGSDDDSEKGLIGSGSDQDEEDDGYSGGRASGESTRRAGPGKYWGIWTSTAEDQ DPSSSSDNYFTLPPSSPLDQDGNYPRFQAAINGDSNSFPATLPTPALSTKSLSRDNSK GNKLRKVFRSRGGDGIIHDDSRGWLTTVLNVGAGQRGGKTAEVLKELGWTVGILVGAF FVSAGLVLWLIQSMPITTLKHLPQSTTDLQLLSAEIRSYMASSNNGWWHTIGVLTFVG CWKHAWSVPGAVILNILVGSLLDPMPALLLLTIITASGSLGAYTLSRPLAPLIAVLFP KPLALVRAALAPETIPTPATAQQVIGETITPIQASSDPSQPAIGGPTEKSTVWRRLLI MRAMGFVPWSGMNVACGVVGVDWKVFWLTTAAGSASWSYVTASVGHILSRLKVPTQAL ANSGVISENSGGESLTSLLRDPVLIAKLIFLSGLTLLPVILKRRNGENGSGVDGIEDG LNLNLDSSISTTPRSSSSSFELNEFTSSSPSSSGTPGPTIMTNPAISALRLVDLHSPS NEGLPPMSPLSQSLAKFTPTPRMFDLLSFGRTVIRTGQRGLAGGVRGAERMIRGQNGT S L199_004356 MTSPNLAKPLEAFVSFPSPYTQSLLVQALVSVLPSIKISLAPYP EDQPPALQWADYDLLSFDLPHSNPTKYLISSYVYRKALIRKHQLHSTIQAYLAKCQHR NIRSILSPEEGGVPKGWNVELQFLDELDELLLDDLYDLNGGMQRNEELPEEERSWYIL KPGFADRAQGIRMFSTEDELRAIFEEFEPPSSDEEDYEDEDETREEEEEDGQIPQDGG VDDMIDMLAKKAVELGFDGDEEDDRHFGAAADDDEKEDGTGVMTSQLRHFVIQEYTPN PVLFDIAQQPNLPSPFLEGYKFHLRAYVLLTSAYTVHLSRTMLALFSGSLYTPPRSSK EGEELDLRPHLTNTCLQTDSFGAPAPPEELVKLFWELEGLDALSSVKDGKYESKGKVS KEWLEAVFNKSGEVISEAVKAGAECGSFGLQFMPNAFEIFGVDLILSFPPTNPSSPSE LPIPNVTLLEFNASPDFHQSGIRLKKDLLEMFKGVIRISIAPFFRLNINDDDEEEEEE EIDAKQDQIQRNEDWEVGEERWGWRLIGKGEVRGSGW L199_004357 MPDSNAQNPRGEGSSSATASGGHRTHKERIGNYVVGNEIGRGSF ATVYKGYRSKSKVSIAIKAVSRQKLTTKLLENLESEINILKVIHHRNIVALEDCFKND THIYLVMEYCSGSDLSIYIKNRGRLDTLDFFPRPGSRMDGLDRKEDGKIFWPHPSTGG LDEKVTRSFLGQLAQAIKFLRAQDLMHRDIKPQNLLMQPATEAEVAEGHPYGIPVLKV ADFGFARILPAAAMAETLCGSPLYMAPEILRYEKYDATADLWSVGAVLFEMSVGRPPF RANNHVELLKRIERGEDRIKFPDEAPPSDKKDSGPPPIPVSPDIKSLIRALLKRKPAD RIGFEEFFSSTVWDSHLSESTEEEPTTSLEASTDSSAHMETSDTRIKEMVASIEQSKE RLALGRKAPQPLAVDVALNPQPAPRPTQQSSPRAAQPPTPLPSLPVRQPPIRRSEPKY YVSDNPPAFEDPPIVANTTTTTRANPRPIVTAAQRRVSNREREQGSLEEAAPLTPPYS GPPTTMPRAATRGISEGSPLAATPPITMRHDTKEESALDSSESVVGADYVVVEKQNVE INALADEIDQASKKPTTIVRRRSSRTSVVTRPVSAFKPISPSPKPQDTAVVPISYSPP FALGTTPPFSIPPGARQSSSPGLTRPPSIPQSLNMFPPSNQPSYGQDAATRFGVSPSS LQTGALARAITNTAIRMIGNSANTAATAIARATIKRRPTILRTSDIDPAEDELLRSVE DIARKAFVLFELADERLLAQAQITNTARNASTPTPTGTTPPFSSQASNNRRKSSSGSV NSEVWILRQQEAAANDAVVLYMKSLTFIVQAMDKVKRYWRDRDEGEASPELNEMGQWL RSRFNEIFEKIEWAKSRSNDNMLFPDWLVHDKARDMSRQAAVAELQGDLITAEQGYET SLWLLQVLLDEGVYENGRIREDDKATYEKLLVPIRTRLEALRKKLADSGNTSLRLS L199_004358 MSNTHTPRDSSAQLHHTTPQPLAVSAAGLTFTLDDGRVILDAIS GGAAVNCLGNGNKELVEVMTAQAEKMAFAYHQSLGNLESEKLAKLLTDRSKGVLQAAA FLNSGSEAMEAAIKLAREYWVEMDQPLRNHIISRSPSYHGNTLGVLGIGNIPSRRTIY QPFFSSNIHHVTSPQYLRHHLPNETEEEYSQRLADELESTILSLDPRYVIGFVAEPVV GAALGVMPPPKGYFPAIKRVLNKYGLLLILDEVMSGSGRSGELYAYQAVGEGVKPDIL AMAKGIGSGYVPISSVLTGQRVTERIRKSGGWKNSHTYQNHPINCAVALKVLQIIERD NLLTNVKQRGEQLLDELKDATRDIEIIYNVRGKGLFVGIDLVGSSSLKPRLAPRIKTK AFENGLLVLAISGTIDGVEGEVIMLGPAYTVTQEQISEIVKLLVKSIREVVEDLDKEG L199_004359 MEDDREMRVDEAIIQDSGNGDRYGSRRDERDRSRSRDRHRSSRD DDRGHRSHRRRSYSRSRSRSRTRSPRRHRHRSRSHSRDRDYKQDRDRDYRSSHRDYRS SSDRHGGSSFRGGPRGGRGLTGAYNRNGYGHSHSSSRPTSGPGPNGEQSVGGPMNATQ EEAEAHAKVSKRENRLYVGNLAYDVTYKDLSNFMSQAGGNVVFSEVLTTPAGQSKGCG IVEFATQEEAQRAKAELTDKYLLSRNVFIREDREETARFGAPPIPGKIGVATGEARTF LGNAAPVFHVNPMNKNIFIGNLPLQASWQDLKDLMRQAGEVIRADINFHPDGTPKGNG TVVFVNPEDARAAIEMFNGFDWFGNILECKPDKFANSPFGPGRGRGGFRGGFAPRGGF GGFRGGFRGGFMGGGGFGGAGRGMGFNPAYGAQGGRSFNDNIYADYNGPEGGEGMAVD GAGAGAGSGLKPQPAEPNQQILVRNLPWSTANEDLVELFETVGNVVMAEVLFEAGRSK GEGIVQFTETAEAQTAGEKFTGYMYGGRPLDVQFNPRWHEFSSAAVKGGQVAPA L199_004360 MLPRPARFRQLSSFTFTSKSNSPNLSTRLNPRHYSSASSPTSRP LKVAIIGSGPSGFYTASRILNSLPRDSPNGNNVEVHMYERLPTPYGLVRYGVAPDHPE VKNCQHKFDELSSDPRFKFFGNVLLSSQPSSSPSTTTPSSALSPYTYPHSVRISFDDI LPYYSTLVLTYGASLSNPLSSVNGSSSSSNPLENVLPALAFVSWYNSHPAFSELPINL KGIEEVSIVGQGNVALDVARILLKPLDELEKTDLSNEVLEVLAQSDIKKVRVVGRRGP GQVSFTTKEFREMLQIPHLSFNGVEPGLMREAKEMVGNERMKKRLLGLMEKPISNDGG DTLFELDFLKSPNTFIPSPQDSKKVGEVEWNLNQLLSSTPSPPTPPKSQDSAVPSPSG VIARPTGEVVRNKADMVIESVGYRSEPLSGEGEGWVLPFDEKRGRVKNVSGRVVDDQG VMVPGIYTAGWAARGPVGVIASTMHDAYSLSDQLLDDHFNSPTTVSISSPLNPNPEEG IPEALIRAQQKGGIVVDLDDWKKIDEAEKRRAKELGNGKEREKFRKVEEMLSVLQ L199_004361 MALLLVSAGVKAGKKAYKAYDNKQKQKKEKEQKDNQGQDAPDLS KLELNDPSCPSISTTTQIVPQDYVDEKKSREYEDHKDLNANPFEVPPPSYQKALEEPS SAPTAYGGGFGPSEYTPRRRGSTSSSSSNSSSSSDNESHTKKGLSKSEYRDLRRRDKY ERRLARRQQKDQRRADRAQMRYGGMGGGFGSGPARIGGLGGGPVGVGGLGGGPVRVGG LGGGPVKVGGFGGGPVRMGGLGGGPFGRGGMGGGPGRGRGL L199_004362 MLIAAGVRYDIKAHKAHERKKKEKEQQEQIQQLQAQQQQEEQDI KNMLKKDKDTLRVQDLARLDPNGRVSPRSRDHDHSEQSKRHGVIPNHDDVTSSRSEYQ GGCGHSHHTACSDVRPFERREHPKSDSDYSVSSGYGDEEVSRSTTLYPFASLRASIRA DEVTLGLEWTWKPGLISPVTKEGK L199_004363 MHLVNTALLAGVGLSLLGQVVSLGEERVIAFPVLSDLDTLSHPH LFDTDQITFNIATTGQRQGKDDLFVLASKPHGHATPLLLDSKDDQAIHVAAQTFAYDV YKVTGQHPKLYNDTLPNHVERALIVGSVSSDLIRRLKGVQRDRLEGKWESYEIGWEED PLKGVKEGLVISGSDRRGTIYALYTLSEQMGVSPWYFWADSPIRPKSIIAYSKSKRLF HGEPTVKYRGLFINDEHPAMWGWAQQHWNRKPWEPAFQAEMYEKWFEMLLRLKANYHW PAMWASMFDVDGLDVSNGLPKKPTPGPNQVLANKMGVVMGTSHHEPMSRNKPEWDSYG VGEWDYVKNQETLTEFWRYGAERAKGMETLFTMGMRGDGDEPLSGASNALVQNITHAQ QGLLKEVYGDQFDSISQMWCMYKEVAGYYLNGLEVPDDVTVLFADDNYGNLMSVLPPD RQDHKAGAGIYYHVDYVGFPRDYKWTNTINLAKTWEQMNHARSFNTTSIWILNVGSLK PLEMPSEHFLSLAYDSDAWPRNSVGKFLKAWAEREFGEDVAEETASIMRTYSLYAGRG KAELLNGTTFSFTNYEEAERVLAGWDDLVHRADKIYHKLDKATRPSFFQQVYMLCAGQ ANLNRLHMAAGRSHVYAFQARTAANTFAKEALDAFYRDANLTETFHSLLNRKWDHMWD QTHINYYAPLEPIRDSLPPIKFVNPYQPSRPGIPIKEHALPGYVAYLRVTVENLIGAW PGDTGKNCERSYKCPDPTLLIMDPYGAKTRWIDIGSGGPRNTKFTITTDHDWLIVSES KGKIKWDGTEDVRVYVSVNWDKLSNHQEGGQVYETEGHVLIKGDDLTNVTVTIPITVP PPVPKDFKGHVEGDGYVVMEASHYTRSSEKDGYAFEEIEGYGRTLSGMEMLPSSTQNF TLGQGPTLEYDFWSHPTSSSDKLSDEVQEEKEEVEVTLHLGPTNNFIVNKELSLGLQL DSSPIQEVHPIPLGLLQDNPHEEPFKRSAVGAVPQDWENAVSSENRNATVSFKVDANE WKEGGKHTLKLFGMTTGLVVERIQIDFGGIKERGYSYLGPPESYRL L199_004364 MPQQLVSAEEFLTKLEGCFSDPSSSSSIWLTHKRLTHSTDGDIQ MGEEIEDGDGPEYEVLIRCTQGDNKFSAKIPASSLSTFHSTYGALLKSSFAPLMRKRD KKKEKLRAESLAKKRREVYVDVVVGEGETKSEWSLSLQRKIKAQKKKESERERIETQK TSGEA L199_004365 MPAPAPVKSLDGRLKMRDGHSIPQFGLGVYEMNDQEAYDSTKAA LDAGYKHVDTAEWYENEEPCGRAINDWCKQSNTPRSEIFLTSKLMHNKTYEQASADIR KSLKRAQVDSFDLYLMHSPIGGPEIRKNIWRALVDAKKEGLLKSIGVSNFGKKHIQEM IDQGVELPVVNQIDLHPFMRHPEIVEICEQNDIILEAWGPLARAMRFDHPSIQKISKA KGRDVGQIFLRWGLQHGFIIIPKSVSPKRIVSNSQIFDFELNEDEMKELDGLDEYLVT DWDVVDVE L199_004366 MSPLPYTVGCSVPLTPPTLDKLKSTFQTVHYHPKGSDDASFSSD ELKEIHLFFTTGRGLPESIQSLDQLPNLKHIQLASAGADAMLRSSTIKKYVEQKGQGV SLSTASGTHVLSIPPYAVGMTIALLHQWPRIILGGKEKQKWLSEEECDVKGETYYARS TYGRTAGLLGYGALGRETARLLKSHGMRIIAANTSGKATPQDGYVIPGTGDKDGSIPE EYYSTKDPKSVETFLKQSDVLICSLPNTPATHYFLNKEKLELLPEGAVLVNVGRGSLI PSDDLLKILDSPKFFGAAIDVTDPEPLPANHPLWSHPKLIITPHISGNSENEMDIAAD VLVTNAKRLNGGEGVINEIHFGRGY L199_004367 MSDCITKASHTDEPATRALDSSLAKRLRNINAGGRSYDSLRCQR LVEDTGNIRMTENGPNKVSIPTDCGREISLKSSKDCTTAGYCAWNLYDEHLCKSCGEQ GEVVDFDYHIANFEEGNGDGESAGA L199_004368 MQNGYPPSGSSSGSLAKLTSDALAYADQTKRYVGEQVSRLNMQV QIGLSNDERCGACFDYFKEHSTVQTSNPCPNSRSRYHSATCIGGVSNLCDRRCFDHLC SNCQTSYSQDVDRVRVFADDGVDDSEGYVVVQK L199_004369 MQRPNDPLAQRALLNFNITGTDLYDLFGKYGAIRQIRLGNDTNL KTKGTAYVVYESPDDAKEAINHLNGFHLMERYIVVLYHHPSKQQASALAKAELRAREQ ALAEEKKRLGLKDE L199_004370 MASIVTLPLTLSASPSGWSKPTAAKPSSPSQLPLYPAGPSFISA ARRQILQRSFEEDDKHVLAAREKEAAAKEDKGDGLSYPGLGEEDEPQHVLNQDPKEWK KQDHYAVLGLGHLRYKAHDEHIRVAHRRKVLRHHPDKKAAQHGANDDSFFKCIQKAHE TLTHPEKRKQFDSVDWNIEDEVPDLKSLSPEEFVATCNKIFAREGRFSKVQPVPEFGS LDAPKKEVEGFYDFFYNFDSWRSFEWHDKEVNEGSDNRDDKRFTEKKNKSERTRRKKE DNTRLRVLVDDVLALDPRIKRIKAEEKAARDAKKKGGVNGTTQNKPLSAAEKKAAEEK KKKEEAEKKEAERKALEASKGDREAAKKAKEAARKNLKKWKKSIQTVITSSNYFQPEG TSPSPAIIEKQLSELDLLVELLEPEDIKDLKEKIEKAGNGQPAKAVLAEKAIATGEKG EGKFTEFA L199_004371 MSSTTQPPSQSKSRLPPVQTKGLKFGAGSSSQGSSSGKGSNNKG KSKEDEDGKLPFLDYISCNICNEPFFDGIAKGKIFWMTSCAHVMCNDEKHQHKEGICT VCGKTMQAVTMEQGNLQPTQERFLSNPETQLEKAVSEYEDQSKKFVENIRSIKGIYKF QTNQHKKPRSLYKDRLDKALAEIERLENELHIHVAENVELKLENKQLQDRLNMPPPAL DGYQSRGSGSLPPPIYGRTLITVEEGEEEEESNGLVGETSSSKRRRIDNAEQPSRSFV PSTPRSQPHYAQLLANSYQTPQQDPYERPQSVAGYRAVPLNTNPARPDLERYKYNSTP LNGTSSQAYSQGHTNGEGTPLQRPASAFEGVPSNGGYMGGCADGDISHSTPAPAATSN GYRQSSPPYEGSYSPRRPPPNVYSQLPPESNRYSRDGYNRSLSPIAHDGGNVGKFTIS QPPKRPLPPPF L199_004372 MDALIPFTTSGSLVDLVDKKVLVVLRDGRKLIGVFRSYDQFANF LLESCIERIHFKFEYADKDIGVLLVRGENVVALGEIDLIAEDMVPLQERSWNEVEEKI NDDNKRRERDHAIKDKVLGSIGFVNEGREGDAY L199_004373 MSAGASTSRRQHQEASEEELVSPSERSQSPTTTSRRRSTRAAAL KANRASAKQARSEDSPVEENGNGNDDESESEYEEKDEDEREDTRSETDLESISENREG GILVNSEGERWLKVGEPFPWEEVEWYFDESIEEDMRTHLGKRIDKMKGSQTLDPEQAD LILINPHPNRINPDRSKYLRGLGHLQGKLARVIPYNWLSKCYFSKSVESPTPPSVQPI FLDEEGKGLRLAVLKLGEGLEGDKLRRGVMVDLETHGAMIVSSPINAEVVILPPSHPY ITTPPKKDELKHITWHTPDWVREKIKIAQHVPKSKANPKLSKGESKSIDKSNPKTRTN LKSVKSKSEVNRGRSKRDSSDESESDSEELRPSPRRTTSRTEFTPSDRDFLARWLAYH RPDQVGRTTRSLYKRLERYSPSHPFFKHASRHPHSAWHEHFKRNRSKLGMDGKVLEDL VDEYVDKGIDRRLTTRMERKGKGKEKATAHKVRANEIDDGSEYEENDAAETRAAGKKG GEKRKIVRGARKVTSPTMLGGGNAMHNGGGGLTEAAVNDYQENRDAEGRLEVVVEGEG EEENGNANEDESERGYQDVEPVIQVDHTKNGDEGEDVEMDEPVLPQTNKSEELPAQEG DVDAGGREDSEVVDEALEQSFQTSNESRSMDDPANEGDAGVVDANQVAGEDEYGQDDL GKLRKRKKDKRPADVMNTQREAEGEIVGIQRRSKRLKKR L199_004374 MQRTSTPLFPEGSPSKDPSRPSIFDLLAQDQLRELFHPVVRYVL SYFAQRYPRYLLRILNHHEEFFAVLLLILERHHLKKHNASISEHFYGLRSTPISSMNT PRLDAFTPPRRRKGISRKQRWGLLLFLVGLPYVRARAQDYFESLGGGGSTDEVEEEDR RRIITTRQRTFKLIYPYLSLGVDLTFLGYDLAFLFEKTTYPRPWHRWLGLKVIRRGPE DEPESTGILSKLPPLLPPLLLLLKLSQWWYSPSSPRSHPSLTANENSVAATHASILPP RPLPILPGSIGALPPTPPLTPEQEIDQSLLNEFQTTSASGSSGGSVQKEERYQVTKES YGDCPLCGKKWQNPAVLPSGWVVCWRCGWDAIEGEDEEVDEEKEKEDENEGEQSILVR RRKGRCPITGVEVEPGDLRRVLI L199_004375 MSPSTLVQSPRPVRPTLKPLTSLSTVPSELDLHMKNHRSYSVSS SISTTSSSSTYSRAADDMIDRLAGQETHATIDLWSGGNIRLNGRDLTATARDAEDLLH ALKEDGRFEFVKLTLFDEEGEDWKISFRRSNVSIASPVISDSE L199_004376 MAPSMFHRPTILPQEPHHSTVSHRQKLKSISSFFPSFAHPASNG IDQSHVIPYRQPDSLSQHTATVFEGLPNIKNNSNISLPPRSSQHTISPGQSSPCLKVT LQQTTSNGDAVTYTSHNLQQQMSKEMPTLASAIHIPDSSISSSPNPLSSSKASVAGMK QNHHGVHPLYHLNASSTSLLGSYVQVNEIIHVVNVDIPSEGGVCKRERSNSRSSTLSQ TTKRLTRRLSLDNIVPKITSMGSLRKRERSGSKSSILDNNGGVAEGRRWSLFNSSNAN HNQESQGAQTKHVMGEQSMGRTVNKQSMPVSRNWRNKFTVKNTSATRTSGGKENISVG VTMGMDDRLEAARQARDRSRAESAEYRERYDERTIKRIPVRDMREMSFSSFGGGNEDR LKERESMISMLGGSGGMGERDGVSATRLSFVLRPSSRASSFDPAATPKSPSSSSIPIP FIPKGPPPQQSSLVESHTTTSLAATFDSPSPLPRPVHTPLNRSAGLRIPSATANKSAI LSPAQDDRSILDPARSPCPIPDMSPLLPLNTGLQYQNMPLPPPPVQVNQNMAINDVKK MEVAENDPMDMTSLDKSLDEIMKPSISSITAIIAAQPSQTGTFGVSDSNQTWHTATTS PPPPLPTSSSTRTMYTLPRSKSKSHTDLSSYSSTKNLVEHIEATQGPGWWSTDKTVNR RVSTMTLRYKAEDEDRELKRLERLAQSTTIPHRPKLNGMTPDEEIIDSPSLSRMREDD KLRTRSSIDLRSSFQSTSTANASTVRSSNVLGSDTVRSGHHPRPSLMAGSIWSKELPP SPRRSVLSRQIELANGDTPMKIRPAQIPLPLSEPRNNTFISNRISPGIARRASFLSKD CSTTDGESPSRSTSRQTTRTTLSAISTSTAPTSVPSDNEEQEEVSGKVGMLKKEYEEK IANLRSRHILEIDAVLTALSNAKIENTSLKEEVTSLRKVVDEITKEKEGLKKKVKILC MSLQTIELGGITSTQAGQRDESGMGRGMGMKRSESVLSSLLPELISSTNLSDPISPLP LSSTTHRQMDDFGRKQMTLHEPLTSDSIGREEMRNKGIMDSLSKTRGQSIMIGGPSRN VSGATSVHTDGSNILYDEDEDEEIERHGEGEGWTLRLKESDERFLDDL L199_004377 MSSLFNPFARSWVILILSIGLVYSLAVPSGVNDQQNLNAIVLDD RHSSENEIVDLTGSDLGQVPLMNQPRNDNNRGWLARWLSMGGEGEVVVHLPLGLHQPN LTLPHRPASFPDLSHLSLPLPISGFLTPFSKLDPSLEADNNKDVNFLPSGGGMACLPP LGLPRPPTHPRPGESYTIALVERGGCDFATKIRAAQDRNAHAVIVGDSVQHPGETDEE GRKRESLITMFSPEVTDSIFIPSVFVSRASYLALRDLLANGTSSGKQEREGLWVDLSQ GSDESGALTSLLSFALLMPSLFLLATVAIHRIRVARQREKDRAPPMIVLSLPERVWTP DIVWEKDDSSSDRSVGTQSGANSPTSQSILIKKNNVTDMNVNDESQIASSSSNVPISL ETPPPPPPISPSEVRTPPSISIEIPNPLDEVVSPSSGSPSRSKKDKHKHRAKRHVKRQ YFSKDECAICMDNFEKGDIVRILPCGHVFHKEECDEWLMKWRKLCPTCRADVTLPPGG NVKGSTITPVTNPPDHPAGAVIPRERNSSWMSLFRSARERLYGLWGNNEGESETTPLI PRTPNERRSSTSSL L199_004378 MASRAALSKVIRPTSSSIRALSSSSSAAAAGSKIASRNALLSTP LARVARSEPKWLVGAEQRRLASSDEGVTQMTVREALNSAMEEEMNRDETVFIMGEEVA RYNGAYKITKGLLDKFGEDRVIDTPITESGFAGMAVGAALAGLRPVCEFMTWNFAMQA IDQIVNSGGKTYYMSGGNVPCPVTFRGPNGAAAGVAAQHSQDYSAWYGSVPGLKVISP WSAADCRGLLKAAIRDPNPVCFLENELLYGVSFPVTKEELSEDFLLPIGKAKVEKEGS DVTVVTHSKMVTHSLEAAELLEKNEGIKVEVINLRSIRPLDIDTIIKSVKKTNHLVTV EGGFPAFGVGSEIIAQICESPAFDYLDAPPERVTGADVPTPYSESLEHMAFPDTDIIA KVLKRHLYRQ L199_004379 MILLPTLRGACSRCHRNLKQTLIKGDLHLIRPYSSGSASDYSED FSDIAGELRRRKRKADSKRRQYGNTFVDHLLVTVRGGKGGSGACALQATLKGSGPSAP CGGNGGSGGSVYLTTSPSLSSLTTLKKRVIGGQGSSGSGAFKHGRRGEDILITVPVGT IVREIRREGEEERTVREENDLGLNDEEKRKRKWQRWIVTHPSAGGEVSPEEYKDAEDL LRREQRWNILTPTFDEVPPIEMDIDQPLVEPVLLAKGGLGGLGNPFFNSPRVSSKGFL PPTQTFEFELKLLADVGLVGFPNSGKSTILRCLTGKQTEIAGYQFTTLNPQIGVVRVY DDGGWVSDLEEGEEVEESWIKREKEEIARLLGEQVFPDSQQPQKKTQREVERIRFTIS DNPGLLPLASENVGLGHSFLRSIERSPVLVYVLDLGKTSPVEDLKVLKHELESYKAGL SERANVVVLNKGDTVEEDEGKEKIKEIEEYLSEQEKKSEIIVLSGKYNLGVQNLVNTL VEKVELAREERKREFKEQERLKDSTKKGSSFGLKRIE L199_004380 MVIINPISTVSRSSLIGVGIACGGNILISLALTLQKLAHRRNQE AASGHSSSSYSEEEEDTNEENHTLTQNGHDGNAHSPILEEETPCPSPRRTIIPAEVTE ATVVPVILSSQPTSSSSSPKISPSPAPTPLIIPQTQSKHVHLNSPIPSHQTPDHDVNE DEDDGSTFKEGEVKEGEYLKSKLWWLGQILITIGEGGNFLSYGFAPASVVAPLGTVAL IANCIFAPLILREKFHKRELFGMALAIIGAITVVWSSNGSNPRLNPSQLIAALKRLPF IIYTLITVLLLITLIILSNTSYGHRYLLVDIGVCTLFGGYTVLSTKALSSLLSNDFFG SWNYGITSFLGLMVALTSIGQVRWLNRALMRFQSKEVIPTQFVFFSLAAIIGSAVLYE EFKDVSFSSFVNFAFGIATTFLGVHLLTSSPSSQDHASDQSETESIHPGQPKRASSSA SLNLLLPSTASSTNGERAPLLISSPSTSLQTPLSQANSKIPLYGTTPSGNHMVRLVRI GSSEFSPALGIGSQAGLLLLATTPPSVGSIGRGRSSSRTMPTLGTFTLGDEERASSRE RERSRRNTSASRRNGTDR L199_004381 MRAGRFITLLSLSSISLLGVVSAKSGFGEACDQSNTHLDANTYN LITDCDSTTYCASNGTCAYKGCRKDIYPFGYSDYAFDQLPPLCPQGQFCPDEGDQCMD QVPVGGSCQKDRDNECALADNYKDLAGFLNTNGSICLNFTCYYANVTLGQTCVTDNTA YTAYLDSGAAYAFIVSRDNCANGMYCDGTALQCMKTKRQGEACSGNKECSTYNCGDDG KCGKAADDPIHPGAWQYVLVGLGIVILIGGVMTGLWFAHRRSRNENQIKLEQYYNEQI AYRQSIMSMSHAKNSLLSLPPNTSPDIARSSLYRDDSGWTSATNDELLPPNVRRDSTS GWSDGDGPTRERIERVDSDALLMHPHAHASNRESRYMDAPEPRGGYRE L199_004382 MTNILSNVKSVLKARRGSNDDDQNTLSPEYSQSQMQSFSSDQVK YDQIPDSYSPSSIDLKPSAEGSESNGKSKKELKAERKAAEQEELSRLAAEAREKGENM RLDPYYVMKDFGDRGLALGGRDFFPTFGKR L199_004383 MASLIVLSGVVGYYLYKENKDRKEKKLGTKNIGAMTGPGIAVST AIGQNKLGELEQYEEIPPTYQNVLIPPPAQYTHELSYTSTNDNDRPVLKSNKEPEKKN KRRSRFFRKPGSLQE L199_004384 MSLAKSSSPENLSDKSMNSTLPDETPSIKSSSEKNLNLVEPETE LPTLSNSPSSTAVNDKNHQVGERVESDNDLSIPRRYRFIAFSMIIFFATGSSFLQAIT SPLKSTFKKELGVTNAQYGAIASASSLVNTILPIIGGVGMDYWGATYAAIISSVFILV GAIVASISANVNSYGCLIGGMILMGFGSTVIESTQSKLYAHWFRGRSLAFVFALDIAW NRITSIFSKASAVPMSEINGWWGWALWIPTIVCAVNLGMVLVYWYFERALPEKYKPVL GKNAEIRQEKSKGRRKISWDTLTSLPMFFWILCGTQLFQNSAVSVYTSNLADIQTFTR GTSKLAAGYNSSLQGIIPIILTPATGWFFDKFGYRMVFVSWTAILYIIVFSLIGFTTV HPLCPILISSFALTTNAITFIASIPVLVGDDRLLGTAFGVWKAFQNGNSAVLDVAAGA IQDRTPNGSYDRVLYLIIAIKAIEVCLGPVYDYLDGKWLGHSLRLPEKKRLLLRKEAL DKEIDYPGWRINKIVTRVVGVELVGLIITAWVVYIIYSLGT L199_004385 MSSPHPTTLIPYSERIKFHTNPTAIKILEIMDRKKTNLAVSVDV NTAKEALEVVRRVGASVCMVKTHCDIFEDFIPAFTEELVRLSKELDFVIFEDRKFADI GNTVSLQYSSGVHKIASWADLTNAHSVPGPGIIAGLAKIGQPLGRGLLLLAEMSSAGS LAVGGYTEQTFKMAQDAGRDFVIGFIAQNRVDQADKVKEGEDYLIMSPGVGLGRKGDS LGQQYRTPRECVVDSGADVIIVGRGIYGVEGGEQAVRDEAERYRQEGWKAYEERLGRK L199_004386 MNHGYDDSDEEYDYATPPVLGGAAGGMGGGGPSALLSQLMGGMG RYTYRAPPPSAYDEYYKAYSVAVMGGRERPELMYGGKIIMPPSALAKLSALDIPSPWT FQIRNPRNPTVHQTHAGVLEFIAEEGIVHLPAWMMKTLDLTEGDPIRLTGAKLPKGKM VKIQAQSTDFLQVSDAKAVLESALRFYSVLTKGDIIEITYNSLTFEFLIMETYPENAP GISVIDTDLEVDFATPVGYVEPPRLAPAPIPTMADKLKIDLSETHSVSAGSSRPGTSL SNRGTTGGAGGGGSGDATPIESFTGVGQSLNGKKVKGKGLAKKIEQVDQSSKINRNDG PRIITPESLADDGRKIPAALVLPEGKFFFGFKYIPFDPSKAPKKSDENSESTNGSLQP FGGSGQTLANRPPKGAQSPFRQPSPPPESKPEVKEEKPDPWANLGSGNTLKKSATQIA KEKEKSRQEVIDATMLDEDDFMFDGGDDEDDVIEIDSD L199_004387 MSNPYLPNSPSSSSNPLFNPYSASSSSTSTSKLDQPITRSRTLF YLSVRDSSTTYSSKIRKSNRQYGDTVDIGDDEEQIGLIGGSGSGMSGGKGLPPRWVDL SDEVEEILSRAKIKISTLDKLHAKHVLPGFTDRSAEEREIERQTADITRDFRRCTSLI SSVNPGPRAARVEIMTAKNVQRGLAQKVQELSGVFRKKQRVYIQKLQGHAIKNKDLMV ASGAITLKGTDLLDELQEDEEATQNQLQSQSQAQSTSAIDIDIQQRTNEITQIASSIS ELADLFRDLGNLVVEQGTVLDSVEYNVQMTARELKGAEEELKVAQRYQANTGRRKCIL FLVLCIMGLLIILVYKPRSHTSSTSPEPAQSSLPIELPLSSSIIDDDTDLEVTPSHKS VIYATPTITEEETPSSRPHRPLPKPPPLFTPVDQVDDW L199_004388 MSTPTTTDTNETPLPSPLSNSPPSPSFDKRSISTTDVKKEEGNQ GDQEEQEETPRIPEHELRIYGLNAAVTAENLVNFFSTTTRVLGVLLHPQSTSSTTLQW AQLWVGSQAEVERCMDLKQHLAPSGITLSKAPSSAPPPSNQGLMTPELSSSNLAIDIR APLTPPSLSRGLRLDSSGLGYRHIDPQGPLPRNLYVMGLPLDLTQVQFKSLFSQFGMV EHSTLLSQLDGMGRRRGFILMSTHREAVEAMSRMNGNWLEGFKIDVSWALVQRDSKNF GSIIHPPSVPTRRELSDDSAVLVENLDPAYFPNAGAVREIFSTFGPVQRVTIISVTPF QAVINFEHEVSATALINANGLSLGGRPVVTRRYTKPPFAIASPSREATSPGRLTFDPF GTDITNRLANLQVNGPIESSNATSLNANSAPFVPLPYSNARWLSSSSTNGNHMISQVE SPKTIHDESSDSLSLTNSRSESTRNSGSAFLNGHGRSEQSGPKIQNENIAPIGHYPHA WPLPSESTLVPAKSRWTSAPK L199_004389 MSNDWWTSSTSSTSNLNSNPNTQPFHTPQRPSQFQAHHQNSISR STRFASNNVGDELDSEDLKMADSIKFLPSFANSPAGKLALGTSPQNTMSPNSATASMG QSMGVGGVGSPNEGRRSPGVRERVERDSPRHHRRSLLHQNSQLGSSILGGGGGGMAID EDMPPTASLRDSVSENFNGQSQYRGNESGNPVDLPTPPTLLPTTSTTSLHVFGPPLPI LASLQPYLSQFGTIQSYQPGPECSNWYIIQYTNPVSASYALRRHGDIIQGRYMIGFKV QNENSSNGLTVVPNSSTNQSTGTGAGTPIRVQEGKSIVKTRQPPQPIQKVNSTTSGGG NDYSWDELEDEGSKGGWSGWVSERLLISALLMMI L199_004390 MSSPTQHTQTQTSVNNGSPSSISARQPDQVNLRSSVSSTVKVVQ LVNMLANGYEPDPVPHKQPEQVVQTTVTEQVVEDGNTTMMSIEENEVVGELSQSQEAD QDQDDDMNGNPDEEEEDDEEGEGEGSEDDEEDDDDEDDEEDDDEEDSGEESEDLGGSP EIVAIDGPNGPSRLSLPPVIKPEPGTPGAGPSTLPGDTTAPGEAGPSTSGEGAEGETN VLVPKKKKRAKLRSPSEEEDLPPPPPPMKTIRLERAMLPEGETLEWNILDDARENGMV AEIWGVAEGEGEPEDLPISIPTENRNGMEIDGIPQPSIPPNGHEVLPGEAPAGPSSGP LFGLGFGEEDPEEIARRLEEKYGDDNKKSKKSKKRKPVETYDLEDEFIDDSEILIDAP THFARPKKEGFFVHSGPLELMEESPVKPKARPAKPKPRHSNPAPPPKEPRASLSAVLR ARKQRGYNFRGSQAVPISIDDDSDGERNGGPSRSRDTRAGSLSPPPPVKDETQDALLS EIQSVHIDRLRYKNASREERYLPPWSEFPDDLRKRLWILRSESEKQQWDAINKSRFPE NLKPFLQAAGEAAYEHDIFGLGDREGVDKSFWHAITSALPYNEYTMKKLCTKLCYPGY WRWLHDCEDEAIRQFGEMVDKDREEVVSKYEESHRKWEEEVREWDEAHPSTQTNGAGP SSNPAAPMALNNLVDPPNGSNGPNGTPNPSSTPKSDDVRPPEPPKRFGWNSDMRDVFV QLVENMYNMVDLTTKAGDWNIPGAKTGKEFSEASIKQKLYKRIVDLFPEGYMNTGVLS REMSKINKVKKAKQNEGENEN L199_004391 MGKAEAGSAKAIGNAIKAKGLGRLRWYCQVCEKQCRDENGFQAH CRSEPHMRKILLLGPKAGAAINDFSQQFQSEFLLLLRTRHQTNRVRANAVYNEYIQDK HHIHMNSTRWVTLSGFIQTLGKEGIVHVDEDDKGLWITWVDTRPETLARQAAAQKKDR ATMDGEERERKMLEEQISRAKLQLEANGQGDQSKGLEKKEGEKISLNLFGNNTPLQRQ DSGDGDKDNTNGEEKKVEVQVSTKPSGFTTFSTSSSTKPITVNPLKRPVPMNVFKSAK QPKTESREDGQGGKKTMSEVERLMKEDQARKSSKPMGYRGMGPSRGGR L199_004392 MSGLSDDTLRKILTQIQQQAIQSQKQLSIVRAQIQSKEKEKKIL SLTVRELDTVPRDGVMYKGVGKMFIQQDRKEVDSEHSTQEKQLNDELSNLTKKSKYLE KQFDEANSQLKDIFHSQQRQAA L199_004393 MSIENLTIDDTESTQVDIQSRPERKARKALEGLGLKRVQGIQRV TLRRPKGVLMVVAQPEVYKAPGSDCYIVFGEAKLEDPTSAAQLQAQAQLAASSQAAQK AHAQGGFKEGVPKSLEDLMGGDEAPALEDTDKPSTTTTAPTTGEDGDVKISDEDVNLV VAQTGCTEEKAKEALKAEKGDLINAIMRLG L199_004394 MSFFSRSNKSASSSSSSLAKQAKPNATSEIFTEPSQESSVKKKW DYNEGQLKQFTSTLLLPETDPYYPWELRFLNDPGTHPRYMRAAKWKMDDAKKRIQGTL EWRREYKPELISPDDVGVEAETGKIILTGFDNDARPILYMRPGRENTERSPRQIRHLI YHLERAIDFMPPGQEQVAIIVDYKSATSQSNPSIAVARQVLNILQNHYVERLGRGLVV NMPWWINAFFTGISPFLDPITRDKIRFNPKLTELVPAAQLDSEFGGDYVFEFDHKPYW KTITEFCHIASDGTRIDDQGEKIYPPSGNGIKAAIEGLHPVKGAVATGQVEKVDDLSV LKQPTPPPTSTPPAADAAANGAAVVASGETAEKEGSEKTLTEENTTNTNTGGGLEDGV KRMSLNRALTTAPGAPEGDAVFDHPPTQSELKEARQSLEGR L199_004395 MAPRFLLNFARYYNSNFDRRPIPTLIITNGVLNTIADALAQTSS IILHDPTPTSPTPSYDPERTLRFAIYGMAMGPLIGHWMRLLERAIPVRIGSKGAGLGL AKRVAADQLIMAPFGMSLFISSMGIMEGKSLEGIQDKFSDMYYPALIANWKVWPLIQT INFKLMPIQYRVPFQSTCGIAWTLYLSLLNAKGEEGSAKE L199_004396 MTTPLLPSSLPLIPLPPPQVLYPHMGITIPLSTTQLAQVLNAVQ SNLGGKGGNGERGMVGVVPVAEGDRRVGRWGCAARIKNVHKSPNDDDTYHLAVQGLAR IRLPRSLPPVLSILPSIPVPTSPYSLPLPSVIPPTTDILPLAFKLLPEELHNHMNTSP PSLLCDILVTILEVDRDTRIELLGIPDIELRSQKVKSILIDLITSRGLTRSTSPSDDE RALIVKPKQPQPLSVIGGKPKPPLGLGSNSFPEDLRPLFELFQRRLDELSLNARETIQ RELTRLKKIPPQSADYSVSRTYIEWLLALPFKRVSENYEIDLKSARKRLDGDHEGLEG VKRRVIEYLAVYRLKKQLFDESQEKKALLNSAQSDQSHDKLDQEDEKNLLELIPSATS GKQNIENHLHTPVVGGDDEPPTDVYRDKGPILLLVGPPGVGKTSIAKSLATSLGRKFH RISLGGVRDEAEIRGHRRTYVSALPGLLVQAMRKVCVSNPLILLDELDKVGHGGFHGD PSAALLEALDPAQNWNFHDHYLGDVPIDLSQVLFIATANSLDTISWPLLDRCEVIECS GYIIPEKLAIAQKFLLPKQIKECGMNDTLVKMDKAVLEKVVTDYTRESGVRELERQIG KLCRNKAVQYSISREPSHHENANGYESRVSVEDVERILGVSHYGREKPESGVRPGVVN GLSYNGSGNGSLLIIETLLVPGGSGRLVITGRLGEVFRESIELCLTWVKSRSLSLGIT DSPEQDPLKGYDVHYHIPEGAIQKDGPSAGIATVLAFISLLTGKAVSSDIAITGEMSL RGSCLRIGGVKEKVIGAHRVGVNKIILPRTNRPDVEADIPDLVKKEIQFVYVDKIEQA IEEVWGKDIWVGPGAGERDMRIKVDARL L199_004397 MPPDSSPLGESTSTSRKRRGLACERCRSRKQKCLPGHGSRCRNC QRADTPCEFIEKSANEIYLQRYTTELENRVKQLEDFINTSVVTGRQGLSQSPQVHSTT QDSSQAHGDTRASASASEDDNLATGVGFLSLNGGSDPLYLGGSSGVGWAKVLMHSLKH KNTVSGNPTSPRIARPSPSSHPPHPPALHENLTPLPDPPSENLGIHYITTVYYRVQSR YGFLDWVNIQSWQADHKRLCGLRPLDSLLTNNDTTTTVQDHYGAFFLWMLYGLGAKLC ENDIGSGMVPVSHEVYFNTALRHFIPLSTIQSLTTVQALLLLIVYTFRHTSSDLSLWH TGGLAIRSAIELGLHRKIRSKEIRERDPRAYCMRQRVWWGAYILDRMIAIQFGRPFAI QDRDIDIELPVNLDANIADQEALCELLNAQALMPETNRPGEEYRNGYGCFTSMTSFIH TIRLNQLKSKIHEQVYTVDKPIRHIEDSDAIQGILNELNMWRSNYPLQPDNRIPNCSH EFFEVEYHNCVQILLRPLAARDDAPDYWLSNCAASAAAVLDIECQLLRRDSKNLATWV LCKIFMSGLTLLHVIWNHPQVLPSSTVSRALRSCSTCLFIYAQQFPIAGSYLDCFEDL VKAFDERREAHARPIDDSNNGNMSDMMGLSRSNDNIVNGNTASFSIGDQAGAVSQADI WTDRLDNMGGMLPGLREDLASLINSFGSTNNEQPIMTMAEPISLPVAMGNNTLAGQTN VTDPMVEMMWNLPFDSWTGDQTIDISSLFDNSELQGFDLLNGSNVNNNNSNTML L199_004398 MPVAVRSTFNGQGIESSKIRYKLLDVEEVLSKLSLPEKVSLLSG KDNWHTRDIPKLGIPSLRLSDGPNGCRGTKFFEGVPAACFPCATGLASSWDVDLVRRV GVALGDECRAKGVHVLLAPTVNMHRTPLNGRGFESFSEDPLLSGILAGGFIRGCQSTG VAATLKHLICNDQETNRVSFILISPSVHGVEANTIHHLLTEVDEQSLREIYLKPFEIA IRESDPWLVMTSYNRVNGKHASETKGVITDLLRKEWGYEGMVISDWHGTYSTDEAIQA GLDLEMPGPSIIRGPALLRMVTCGKVKESEIDERARQVLHLVNRLIPSGIPSEAEENE NPSPAVEVMRQSAADAVVLLKNDRKILPLPKSGKRIAVIGPNAERAQIFGGGSAALKP TYTVSPLEGIRAAVGEGSEVVYARGCDAHKLTPLIGEELRNPNGQPGFDITFYNEPSS SHSRKAIHQLSTTNSSMFFNDNLPERLNRACYATVNATFTPSRSGIYEFGVGALGISD LYVDDVLLIDNSTSPIPGELFYGKGSREEIGEIHLENGVTYDIRVEYASPSASTSFVG PLALSSRGGLRFGGYLKLSPEEHIWEAVELAKSADIVVLVAGLNAEFETEGFDRQSMS LPQPTLNLIETILSVRQDSVVCLQSGTPLEIPFIDRTSTLVHFFYNGNETGNGLSDVL FGDVNPSAKLPFTVPRLLSDFQSHKTERSFPGVEGKVHYDEGVFVGYRQFATDGPESA FPFGHGLSYTTFAYQNIVTSRTTLEIESSTSIEVSCTIRNTGSVAGREIVQLYISPPE GEEQRPRRELKGFKKSSLIQPGEEHEVQMKLDKESFAIWDTWKSTWKVPKGKYEIMLA SSSEDIRDTITISIESDFSF L199_004399 MTSPLPLPKYEDKSQDLHDLGGDEKGPEPNLLDASAVDAEKEFT VEENRILLKRIDWYLMPIMAMTYGIQYADKQSISNSTLFGIATDTHLHGQQTAWLSTI FYLAYLVFEGPMNYIMQKVRLGRFLSIAVFIWGALVMLIATCQNWAGLMVLRTLIGAF ESCISPGFLMIVASWYKREEHGMRSMIWMAQNGFFAIFTGVVTYGIGVATQNNGGIAA WRSINLFLGGMTSAWAIVLFFLLGTPDEVRWLSPEQKKQAAARIVSNQSGGGGQSEWK WSQVKEAFLDPQIYCYFLISFLADVPNGGYGIFGGIVTKSFGFTSLQVILLNMPGGAM GGSFNVLSGYLAGKYKNTRTYYMAFSMIAGTAGLLVAALLPQEHAYRWKKWGGLLCMS IYSTAVFMGWSMLPSNVAGRTKKSVASTMSFVGYCVGNIVGSQLYQAKDAPLYRPGLI ASAICFGLTFVVIFILRFYYIYVNAKRDRQARESGLTVEEMERLGKINGENDLTDIQN PHFRYSY L199_004400 MAPIQVKKICCIGAGYVGGPTCAVIALKCPHIQVTIVDLNQQRI DAWNSDELPIYEPGLDEVVKAARGKNLFFSTDVDKGIEEADLIFVSVNTPTKKSGIGA GFAADLKFLQLATRRIAEVAKSSKIVVEKSTVPCRTADAMRTILEANSKPGCSFDILS NPEFLAEGTAIEDLFAPDRVLIGSLQTQQGKDACEALTQVYANWVPRERILTVGLWSS ELSKLAANAMLAQRISSVNALSAICEATGANIDEVAYAVGKDTRMGSKFLKASVGFGG SCFQKDILNLVYLSESLHLPEVAKYWRAVVEMNEYQKSRFANKVVDTLFNTITGKKIA ILGWAFKKDTGDTRESPAITLANHFLNEKARINIYDPQVSKDQIWLDLTDYGDIPAEP IKPHVTISQSVEEACHNAEAIVVCTEWDEFKKLDWQKIYDNCPRPAFVFDGRLILDRK ALTDIGFKVTTIGTGDRL L199_004401 MEISTVSLAPFLLDPLSAESKSECQKAAESLILTGALVVRDERA TKEANDRFLDLFEDYFEQGERELKRDERPEVGFQVGVTLENTEKPKCASDENCQNIIS SLDEAERPVDLGSHGADPKCRFFHRMSEKPPYTSHFPVLEAPNVVPEKFKDTWEEGVN EWGGFMKQAVEGVAKMVASGLGLDVNTFTDAGKYGSHLLAPTATDLVKYGELNTIYAG FHTDLNFLTIHGQSRYPGLHIWARNSGKKIQVKIPPGCLLVQAGKQIEWLTGGLIKAG YHEVVCTQATMDTIAKRKVEFPQRPLIRISSTFFWHLTPDFNLSPIPTLKDEAEKRFG KQEDYGEMLVGDQVRRELGLIALMAPS L199_004402 MPTPPSSSSSSSRSKLPSSSPSSARLRRIAPSPVKIPAINQTSP ITTKIQTASLLSDIIASLPQEYEFAPLELIILSSPDTQIRTLFEVLESSRTGRRRKSV EGDDKLPLIFDSRGEFGRLAHDARLRKYGIDVPYSPILSCFEGEGKDESAESETSSPN KKRKISNTTNFNCDENGADCTTELQSSTPTNNNLSLKLKYDIPSPIMIDFKEDIFSEK GRNQIWLDEIPKECTTRQITRRPGRVDREDSSRSINTLGHNSSNAEPSIDSNGDLKRD GLEEELDNWSFTLSAYEDEECGVGGISQDRKDSFFASFGSSNSTIDLTLINTPSTLNI SFDNEPSMTMTTSNDKFLSASSYIPPPTTTTTFNPSSIVQFGKEDQNSLEFDNSPFSD TIVIPLCTARDDVSQLLLSVDHDQVDDSPTPKNKTATYSSKGRARVISGDKELPALPI LHRNQHTYQQLEVDEVSWKADGPRRSQEQEGDPVELALKDVDKSMTIQLWVDQEGCRE NRSSLKFIRSIKPNVFREREEKALKEAALWCESPTRPECFQQSGCWEFGMDPKERDKW SFHHAALEGLPVLRRLTINNDEKYDFLSRSATLQIKEPGVYSVCGQEERGKAEWKFEY LVQNKISPKSREQIANERIIVPLGLYVSPNFFNPDRALKTSLLNLFKKTLASNMMSEK IRPPHIGKPPAPAPATAVATSEKESIPTTTAKSEIPPLQHRKRSQTQSHVPVIGAVTS KNVTPSHTHTHAHGHSRSVSRTIGAIAKAVAGITTTTTATPTHQFNHDIAFDKSPTPN SSSGKPSSSGMGMGIHKFGTKPATFGRSTLGSRSKTLTGANTIFDNAPDQENGLTPSA EEKKKNRKRSTSLFSRSRPFTPPVNITELHPVPILSNTTIKPSTPTSIITPTSMGMSG LLVPLNNNNQTSISLPLQQTNKGISSLRPNRLSQPQPQQGHPFLQPTSPSRTTSTTTM MSGQSLLKRSSSITSRSKPILIHNQYHNQSSHDTLGSLPGSSNTRRGIRKRPSTAEPR LGMR L199_004403 MSPSPKPKNEPEVMMGHSPTPTVSELDMEAALLRSVIDVRPIGR HKHILIIQLQSSMHKRTGLWIPIEELWDRLGGLYNLEMLDEMSSSSSTSLPSSPPLLS PLLPKSPKANSDRSSLSPLSDISITSPRKSKPTKRGRPSTGRRKSSSTELPKEKGTKS KSAKVINSEHFKESFDLPYFKGKGRRGSHANANKAVKDDEDEDDQESDKEDEEEQGGK EGERNEEDGGEGEEDGRRDSDDNWEKMIYPRAEDPGKDEKWGADGPTKSEGKEEEEEE EDQDEGDGDEQGEEEEPQDEEEDGEPEEEEQEQEEEEEKEEEVSSPVKRKPGRPPKNA NKSKDTSTPVSASASRSRRDSVSTRRRTNRGKKDESESEEEYRGKRKRDEGEGENVSL SLSLNQPRGQECQFLEKGRSDDVFIVHG L199_004404 MPLKDLLKKRFTSAIQSIPPPAWKILITDDHSQALLDSVYNNFD ILQQNVTSVEPLHSPRPPMSVDAIYLLTPTSQNVDRILADFSGRRTYKSAHLYFIDGI DDRLAQKLTDGLQGGILQAFVELYCNVWAIEDRVFSLKNPGSFFTMFGPLGGAASADL AVEAFEDDVKVAGRSILNFLATIDESPYIRYYQPHHHPPLGPLAAQAQASAPVQQQAP QSLRWRSAMGGGPKTPEIVGEHLSKKIANQLQLDLDEYLQNNPEFPPASGRPKGVLFV VDRSMDPAAPLLHEFWYQAMANDLLKIEDGVRYKYTYTNTIGGKEEKVAELTEADPVW CSVRHLHMKDAIDTLMTDFGKFAQENAGFGGGGNVNVNDLKDMLASLPQFQTQREQFS LHLDMAQECMSIFEKKKLSLVANVEQCCATGYTSEGKTPKTIVEEMVPLLDDRVNITS LDKVRIMALYILFRDGVADEDRRRLYQHARLSISEQDMVNNMIHLGVKIIKDSSRSNK SRIKQKPSNQEGEYELSRYKPIVQMVLEDQSSSRLDQSNFPYIRDAPVDATTSLRGGN TLAAPSQSGSLRSARPTWHKAPSARMNNTEGKQRIIIFIAGGMTYSEMRCAYTVGRAL GKDVYIGSTHVLTPEIFTQQMRALGRGGIGANPPGGIQPHPQGPSRPHRSPGQPTSYQ NILDFRHWTVPVGPPVIPPPQPQIQNQHLQQPKLQHQSSYASSLNDSMSNLSMSSGKD GVEKKKKKKLFGMKI L199_004405 MESQVLACLQATLSPEESTRKHAEEQLKQLFLVPEGGLSLARIL LAQEVDLSQRQIRVLLQQYVNQHWTPASASFQHPITPIEVKGQIRPLIFDGLSDPQRK IRLSAAFALSTIAKYDWPEDYPDLLSNLVNLLGGSPNSVHGAMRVISDFVRNDLSEDQ LLPVVQDLLPAVLNILGDPERHSATTRAQTVSVFRQTLRMLETVKDEHPSSVKSAMDS LSPVWLNAFTQLLSLDAAEEVRKNWEELSIRIEIFRTFSLYHNAFPKYLHPHLQTYIP LSIQNLKSLLPSFHTFYLSNADDSHEPPSPTSDVGMLDPKIDITDLACAIFDFLTPAV RTKTAPSILLDGQGDGNEVMRGIVDVVLDYTQITRENEEEWMEDPNAFVIDEDDETEQ YGIRTSGYDLIGSMMDKWSHPVTNILQSRVQQKVQESAGARSSGNPDWWKPLESALSL IGGVSDDLREILEDDEAAGKAQSLNVQFLFDQVIPGLLSQSETPFLQGRAFVFASQFA SLLSDALAGQYLGAAVHVLDSRDVSVPVKISAVKTIKNFCRHVSPEIMRPQSRQILTL LLPLLPEITNETLYLVLETIRAVFALDTNLINGDSVVEVASRIYDVWVKFTDDPVTTA IIEETFESLASLPDPSVAPALVQLIGPKLAEAISTPVDDDTVHIPGEAVQLANSLIRT RGGPLEPELIGSVTVAVMGVLRTTDDMDVIQHGMIHLTLVVRKDCDKLIQWHDSEGNN GIHSIFHLLSRFLAPTFSESGGIFVGELIMHLFRKAGSVMAPVLPDLLRAVVGRLVTA QLPSFIQTLVLPFAYLFGTQYTTSTIDLLSSFSVPLPEGGEKSGLEVVLAAWCEHSDT ITGSWNIRVSDLGLSKLFAMSSSAQDQRLNGVVVRGDLVIDERNRDTIMTRSRTRNNP NQYTQIPFPLKAFKLILKDVQSEPGAKGKGKKVDYDIEEDDGDEDWDDDDLLAGGGDG VGEFDYLSSWLDDKGGNENDAQDDDEDLKSDPLAQIDMGQHLTDILRQAYMNESSNIH QMIDSLTEVEKKTLREVLTLS L199_004406 MVGNNHNDYIALATSPSGAGEEGVDEQKERILRRSHNKLPFPLN HLPNSIRSRHRLLFLLLPIPLIVILLSLGRHFFGTTSSSSSGGLDLQIGENPYFYTGD VWKYNDEVIARLSHCRELGILRNTSLPFTENEKLSQEEEDELILQGCGTNQTTVLILS SLWFAEAFAGTSTAGETIYAQSVISTLNAYNYSYVFTSLGWYNADMRKTVELWNTLKD NVRMVLADPDQVNVCYTHSDQKCLKTKENIEGIPAWKIMSFWYWDDPANPLGTQFTLS PSPRNDNYFLSYSIEPTCRRLPSLPTSHRHDPPQAYLLAKQMHYLENSTAFSWTLETL VGLQDTYGIAVVGGMTDDDEITSKRVKELGIKNMGKLPKLDFYKELSKSFVFVGVGRP RISPSPWDALCMGVPFINPILTWDEEDPQNRTKWHAQQWHMTDLEPPYVYSVHAHDLS GLSEAVGLALQNPIESYIPDYMRFDFACHRTADLVESDWRSRAEKTLQERVESGEGQV SCVFSKLIG L199_004407 MIEDTTPNLPAPDIRQMFGRSEMEREYANHDSPWIIYSLLQLLP LTSSLGMGKDEYTSYDSLPTNPMIRYRGEHPHHALKTATLILSDKLVPSEILALIPST TKLHIAKKFPGNAEGAQNEMMELALAGAQKGEIVVRLKQGDPFVYGRGGEEVLYFREN GFESTVIPGISSALAGPLMMNIPVTQRGVSESLVLCTGVGRQGKAVKLPGYVKSRSLI LLMGVARINQIIETLTLSSPNAEGRDGERYPGYLPIGIIERASSPDQRIIMSRLDRIE ESLKKLDERPPGMIIVGWSVLCLEGKGKVDILDNINASEEDERKIVDDWLNGMDYKVR EGLQEGWRDLLNEVQ L199_004408 MIEDTNTEPPPPPDIRPFGRTKWNKHNNNNEDGLNNDPGLPRLP CGIEVGEEETKCTSSLEDGTGQPGSKWSFRIRNGAANMPITILLLGIILFASTASASP TSSLGMGKDEYTSYDSTYQPNPMIRSSKRRTPILSFLKYILDYASSSLSHFTDPDTMH MHMMGKRELSTGEIVEACMIPVLVALSGMFAGLTLGYFSVDPTQLQVLSISGTPKQQK YAMKILPVRKDSHLLLTTLILGNMIVNEALPVVMDNVIGGGIYAVIASTALVVIFAEI IPQSICSRYGLLVGASMAWPVRIMIWIAFPIAWPIAKLLEYILGAHHGIIYRRSELRE LIKMHAATAEGGGDLDFDTVQMAQGALDLAQKTVREAMTPIEQVFMLPIEAKLDYETL GHVVRSGHSRIPVYQMVEVPDINFATATKGPAKTKMVKKVLGSLLVKSCVLLDPEDAT PLASIPINAIPSVPYDEPLTNMLNVFQEGRSHMAIVSRRPKRVEKDLEDAESVMTAAA GGLRQRFMRKVAEISHGNKSSSDSDSSETDTDVDVERGEKGESKKRRKKTRTKRINSG SDGTAVASSPTSTTAAEEVKLQQEQERKKKASLVEKAKLIQLEQTVPADAQMAPGAVE KFFEGLEGAPLGVITLEDVLEELIGEEIYDEYDEHGVPRSDASAFVPREAMLAARKAA LARQNLALAESTPLPPTNDADLEQPQSQSSGARRVIPKLITKPKFGLGKKPVSQPGRS RTADITITSSTPPPPAAPAQFPGNDAPANSLAAGGEIKRTSSPGEIDQDDVPRRTQSE VKMNVRRQSTPTDSQPASTGAGSVPLKGLDPVTAVPARLLSSGAAVTPNVAPPGGTNN LLNEALMIERGRRKGGINTPPIIRAASQGAAIGTRSNVPSRQPTPPSQGSTSTASGPS APSAPTGGIISPQPVQAKKVPKFKSVPAPPLAATPTPSERGGSMDGKGCKKADGKE L199_004409 MSRPQGHRKSHSTSALSFLASQPQPSSSQSSRSKDAPSDGKLKY RSRRSLLPSVNESEPTSASMTCLPGLTTAPDPGTPVGGEGMRRTKSNQSHVSTDRSRR QSKSHSAAEVEKMERGEGAGMGMGMGMRRERSVEEGLESWLSDIKSLRSSSRRRLAAL KHIERYLVEICVNKDEMKLGELVSKQIHQALLSLLTRHTSTISQKSSHTSLPVNDELA MSLVPEIEVVASILQGFCCLSRRCKETVGESWVMEMFIDLLLLLRSQPPMTEHSKAIA YTILELLFCILVDSPKNARTYEKLGGLEAVTRVLKGTGVTKDVRMKCIEFLYFYLLPE QNDPQRTVSSSSSSSSSSNSSSLFPPSPLLTSQSSIYIPAIRNLNDSHSPKGHPKELA DLDMPFVPMTPRKAPQPNLGYLTPAVRKSSVCTSNSSTPNLPTVPASPRVPISQSTTS RGLAAMLDEMDGPSMPTPRSSRSHRSTSEDERSGVGLGLGLPKSASGLDRSDISHKVD SPSGFIDPFNLTSSSERSHSGSSGSSGSSTIVPISTSRSISRSNTQPSLTLTDSNGLP RSPSISSMRRSSVRRVSKSPLIQSNVPEQDESAVPVSVPRTPKIRHSRTQSHLSGLPA GSMAGVPPVPPLPSSSRTPSSKPRAFPAELTRGIPPSASSPSLGGITPLGASKRIPSD KRNPNAVMNSRKEVGLGKDKVLKDEKKRVKDVRSVDEKKEMLGMWLGNVDQLVQGVEK VSFWGSIGNKGKVGR L199_004410 MDAGVIPGQVDSKGRYVPTAAERTAYQAARIPWADQVVYGYYTL GFSCGLLVVYTLLNGLWKLRVRYSYGTKSKTYVKITSLMRMLTYPQIPHRQWVTWIWT FGPLGPNILLFAGLVFATGFTFINEYYYYPPFYGSAPLYLRSEWIAMATLPFIYVLGS KRNIISVLTGVSHEKLQVLHQGSAFNFTYMSLVHTIAACIRAIRERGLKGTLAVNEVY VSGFVALAPLLILFAGALPPFRKSFYESFYWIHIVMAVFFAGAMFWHGYQTLDSDAYM IAAIVLFLTSATTRLVLIILNNPVLHRAHVELVDDETIKKIVPTLYINWSAGQYVFIR FMGMGWRSLDSHPFTIANSPLALASIRDGDLEKSNIPHPELTKVNAKGSMKEMVFLLK PLSGFTKDLYHKVKSGQTQYKVLIDGPYGDAHVGQGLKAFDRVLILTGGTGITWALSV LQDLVYREDGNGREITLVWAVKKISSMKWFERELQFIRTNYPNVHIQHYVTDESLTQL PAISSPSPDQSDPAGSSEDDTPPTEKQLNLVGSSKSDRDWNWNQGRPNLPNIIERTVA ATQGSLAIATCGPPQFLTDCSNAVTKAQIGILTGGYPDVNEVYLKSESYGW L199_004411 MTIEEAIIYKKQNPQESLANAAKHGKVPKSTLHDRLKDTHAPRG ERTKRHLSVQQEVALIDKINSYSQRGTHLTPQHIRQLAVAISEEKIGRNWASSFLRRH KEDLSSKYFKIQEASRMKSDTGANRKAFYTLVKAAYDDYHYLSSNIFNMDEAPFNMST DRKVKRVAPKYKPTTSQAALANDCHITVVATISTSDAPVPPFLIYSGEYFIEEWADVK DKDPLLMAAVSKTGYNNSYYMMNQTLRALDRTVRRGEIDLKTAFMKTQRALDKSFAEV ALIGEDTRKRKAVEELDKEVQGTGKRTRYPQGQVFDPRYKREHAAELAERKKKQLEER RKKAAAELRNKQGEGSKRENACRRPTSRATPKHYSTACLELEECDEE L199_004412 MDMGGDMDMDSHACKISMLWNWTTIDACFLSASWHIRSKGDFAG SVIGIFFLCIAIEFVRRVGREYDRRLIMAAKSGLIPSALPELSSNKDTTTPMTYHFRP SWVQQIIRGICYGSQFTAAFLVMLFGMFFNGYILFAIFLGQTVGYIIFGRDTCTASVD HVASGSCC L199_004413 MTTLTNAITSLPAPSITLALGGLTTAYVFFANIGETQRGSIAYL NERLTPVTLNDKERAKVWRAYFKPAATWIVSASLTSTALNMTTSYLHKSPLISKITFV AGMASLWILPITFFVGLPPINSRLLDLAQQDEGTANKIITPTSDDEAKKLIKSWEEKH VLRYPSYAVAWGLSLLAILLDGRV L199_004414 MSTGQSGLSTSAQSASVEQTTSKQQSTSAARLAMSRKNITEEKG DNARWTLESQLKEDQELLRSRGLPPYKSLSLAYDHLSVRGTGGIDNVEYGKSLGTYLL PWQTLKYRRRAELLSSTKKSDKISTSNDDKESSEAHTPTKDGDRMVWSKGDPIPSKGQ EGLRKGEHYLIKDFSGLVKSGEMMLVIGRPGSGCTTFLKALSGNKEGYAGLDGTVYYG SLDSKKNPKNLEPIKSDIIFNSEEDLHDPNLLVGRTLDFALRMNTPSSGTRLPKGMGG DPMSRKEYQDKSKKELLKMFGLERTHDTKVGDQYVRGVSGGEKKRVSIAEVLTSKASI QMWDNATRGLDADTALRFIKNLRTFTDIERHTMVVSLYQAGNGIYNLFDKVTVIAEGR IIYYGPRSEARKYFEDLGFVHPDGGNTADFLTAVTATNERKIRPGYTGHMPTTASELA AIYARSSVAQLMRQELDAYLSSNEKDVETENTRSIIQHQKDKWARKVRPEKVNYVAQV KAALIRDYQQRWGDQWTFWVRPATMVAQALIGGSMFYQMPEDTSGLFLRGGVLFLTLF FPSLMSLGETTAVFTGRSVLAKHKGFSMYRPSAVLFAKTIGDLPIFAIQIFLFTIIIY FMTGLQVDAGLFFAFLLFTYMTTLCTTALFRFIGYSFNTFNNASKASGFAILLLSLYA GYAIYTPAMHPWFAWIRWINVFYYAFEAMMINELDGLSLACVSPQLAPTGGTYDQLTQ GCALAGSSPGSTIVSGRDWAAVALRFYKNHVWRNFGIITAMWIFFLALCAIMIELLPA AGTTKSMLLYKRGGGGRYIREAKKNGSSPKDEEDGPSHTQLYNKSAHSSEGQVGNGEV HAVNSVLTWRSLNYTVNANGKPKKLLDNISGYCKAGTLTALMGSSGAGKTTLMDVLAL RKTEGEIEGQVLMNGRPLSVSFQRTTGYCEQVDVHLPQATVREALEFSALLRQPRTLT NKEKLSYVDVIIELLELRDIEDALIGTPGNGLDVEQRKRLTIGVELVSKPTLLFLDEP TSGLDGQSSYLIVSFLRKLAAAGQAVLCTIHQPSAALFARFDQLLLLKGGGKTVYFGA IDEMNSYFERNGVSMPKEINPAERMIDIVSGDLSQGRDWAQIWLDSQESKDRLNELEK LKEDSSKVDIQIEGEHYEYASPAWLQLKLVSKRASIQLWRDTEYVFNKIALHVAAALL NGFSFWKIGDTYADLQNRVFSTFMYVFVAPGVIHQTQPKFIHNRDIFEAREKKAKLYA WWAFCFGEIVAEIPYLLICALLYFAPWYPTAGLSFKPGVAGPVYLQMTFYEFLYTGIG QFIAAYSPHEVFASLVNPLLIGILIMFCGVLVPYSQITAFWRYWLYYLNPFNYLMGGL VSRILWDVEVRCAEDEFGVFDPPPGSGMTCGEYMTQFLAKAPGYLNNPEAASGCQYCT VAKGSSALEALGLGEKSDGWRDIAITFLFCLSSYALVFLLLKLRSKQSKKAK L199_004415 MFRKTRSYFKTIELTYMEKTEEQKGLFGDINKDLIATAGEFIGT IMFFIFSLGSIQTVKAFQKMASNNGEDTQTTSSPSADAALALVSYFYSAAAFGTSLFA TSTIFYRFTGSIFNPSVSLALYLIGAIKPVRFILVSAAQMAGSLVACAILTGLSPIEL DVNVQLQNGTSRTKGVFIEAFSTAGLILSVLMLAAEKHLFTPFAPMGFALTLFVIVLY SSPFTGGAVNTARVFGPSCFQGFSDYHWIYWLGPTLGSLLATGAYVFLKAVHYWKITP GQDSTGETLQNDTVQVSEPSRNMSERNSRRQSACSNTPAHSIQNLV L199_004416 MYIRPVHAELDVPTLHQFIRQYPLGLFTTSIPHKSNSTIQTTHI PFVLDTSPKSSDENDKGTLRGHIARANPQSKSIVDSLTASASEELSEEVLILFNSPVH SYVTPKFYVETKPKDGKVVPTWDYAAVQVYGKARIYYKNNEETSTFLQKQVEDLSQLN ELQHIQKKGKNDGEKPWKVSDAPSRYVDLLKKAIIGLEIKIDRIEGRFKLSQESSVGD WKGVVNGYRSLETDEGDKMAELVASRGQERNV L199_004417 MTIVSPSHGYLAFRGEPTADLFRQAPALPTDFLFGFATAAAQIE GGGAEAEKASGRGDSIWDEFCDRPGNIRDGSHVKRTCNHLEKYKEDIALMKSLGANSY RFSISWPRVIPLGGKGDPVNQKGIRFYNDLIDECLKVGLVPFVTLYHWDLPLELSKRY GGWLDKEKIVEDYTHYAKTCFDLFGDRVKHWLTFNEPWCTAVLGHGIGQFAPGHVSNT EPWIVGQSLMIAHASAAKLYIRKYKAKQGGMIGITLNGDWTDPYDESAENVEAAQRKM DFAVGWFADVIYLGRYPQSMIDILGDRLPQFSQEELDLLKDSSEFYGCNTYTTNTIKA TRTEDEFAGYTAMAFDKPDGTEIGVKSQLGWLRDVPWGFRRLLNYLYQRYKKPIYMTE NGWAIKGESTLSLAEASIHDEGRVQYYNGYTQALKEAVQIDGVDVRSYFGFMDNFEWA SGLVPRFGSVYVDYETFERTPKDSATSLMKFFKDNISTK L199_004418 MSLHEVISHPGEHEKDIKTFDQHHDTLPNVPKATVESGAQVKDV KNAELYAAIHESQIPRWSKRSIHLYFAVFVSFCCACANGYDGSLMTAVLAMPHFQNTF HSDTTGPKVSVIFSLYTVGAMVGAPFAAILSDKFGRRRAMVCGSIVIIVGMIIAATSK HVPQFVVARFILGFGIAIMTVAAPAYSIEIAPPHWRGRCTGFYNCGWFGGSIPAAAVT YGCNNIDSNLSWQLPLIFQAFACLIVLIGVWFIPESPRYLMANGKEDEAVEFLVRYHG ADDPNSKLVHLEIEEMKEGIRLDGIDKVWWDYRPLFLTHNGRWRMAQVIMIGVFGQFS GNGLGYFNTVIYENLGVTSVSKQLAYNLLNSVVSAIGALTAVSLTDVMNRRPVLIIGT LGCAITLAINSGLSAKLDSQTQSGNVTQSVAQGALAAYFLFNIVNSFTYTPLQGVLPS EALDTNMRAKGLAVYGFVVNGMGFINQFCGPIALRNIGYKYIYIFVGWDVIEAILWYL FCVESQGRTIEQLEWVYSQPNPVKASLKVDKVVIESSGKVVEKVEA L199_004419 MLKTSLNEDWTFSQVSSEHWPDVKESWNPCSIPTSVHVELKRLG RIPDPHKDLNEWKVQWIQEADWVFKTRFDVDESRLNHEHVDLVLDGLDTYCTINLNGE HIANTENMFLSHRIDVKSVIKANDNQLELHFKSPWHEASKAEAQNGGAKPLWNGASNR LYSRKAQYGWGWDWGPVMMTVGPWKGVHLEIYDVRIEDVRIDITLTGQKYDTATLTAA MSLSDKQERDYLLDFTLVDSNNNIIRSARKHLASEKLRWDLGQEAKGWYPRGYGDQPL YRLEVELKDRGGYTLDMITKRVAFRSAKVIQEPLEGQEGTSFIFEVNGIRIFCGGSNW IPADSFLTDIDPARYRAWVNLLIKGNQNMLRGWGGGVYEAEELYDACDENGVLVWQDF MFGCGLYPSYPKLNESTKAEAEQAVIRLRDHPSVVIFAGNNEDYALAESIGVMDYEDN SGDYMKSKFPARHIYEILLPEVVDRLSTIFYHRSSPYGGKSSSDQTVGDIHQWNVWHG TQEPWSNWDKLAGRFVSEFGMQGYPNIRTVNEWSDDKSQLFPQSRISVNHNKADGFER RLELYLMENFRHAFDMSSYIYYTQIMQAECLSAAYRLWRRNFKGCGKEYTSGALVWQI NDCWPCVSWAIADYYLRPKPSFFTIARELKTFTVGITRKHVKEYRDKSTSAFFQIKEH MELWACNSTLEYVDVKVVIETYNLNTKQRDRKSFDIQLGPNASTEIWQGNVPGQNTRT DESEMPAPIVIQARLHLTDKPHQVESRFSSWPEPYKYLAFSEPGLKIDVKGDDVILSC EKPVKGLVLDVEGEECAWSDQALDLFPGDDQVVRAEGLKGRDVMFRYLGDGSA L199_004420 MPNYTPFPTSDQHLQSSSAPSRAINNGTADVGVAQTIMILMYWK LPADTSSWRKIGIAIRMGYQLYWHVPRTQPLPEDEMMARKILNSERTWMCLFCQYHHF HLSDQDKLSQTYGLPFAIQPNHMMDAGNWAREHVYLGPSVDLHLASSIELCRLKDQWR AICDSTFQSLAYNDAALESVHAQCEALLSRYWRKEAPPAGFEYEKEHVGLWATLDFML TLKRHYLEASPNDPIRIDACLSYASRITDEIDAVADNGDLEIMQDTSSVMASSLTMLL RKIFHLSSITQKLLIITLLQRILTAYTRASGTEVNTAPAYVARFVQRTLRAIGMESKA GSPMRDQVGTSSGNAGETLLGEGDQPDFMAQLQQFMGVPDEIAGMNDDDYW L199_004421 MSAVHPSSSTSTGQVAVGNALSTGTGTGSTRSKLACAACRFNKV RCLRNEGLDHACMRCLRYGLACTPPAVNKPRGRPPRKSSSNGVNNTTPPLSLPLGSSS SSIRPIQLPNTLDMNLNQPVSSYTNIHPSVSSYSSSHQQNLNQHEHPSLSPDHRRLST SVYPAVQPSPRSSEAEASATNRNLDISEYLDPADRPIQLHGPSSPFFLNYTSKHTKLE DDDSPHGEARDDPVKQGRWYSYFTIG L199_004422 MLYPIHLLTILVLLISRVNSLPHATPRQDASSSNTTASSATIVD ETIVNKLEGIRSRWGMKGINIAVVASPEYMGNKTGSNQTGWATQSIALGQANRFGDAF DDQTLFALGSNSKHFAAVAVALLIENGTTLPDGQPLTYTTKIKDVIPEFGLLDEYAGQ NADIVDLLSMRSGLPRHDHLMGLEVEEVISRMKYLRPSTPFRHDGQYQSLHYIVMDKV VSTLTGTSFVDFVKTHIFDPIGMTHTYYNHTQAVESGLKIADGFVHEDVDIAGCTAAS EAYIKQGGNASLIPCAGELKSIGWWDRTDGVKIATTGSAITNSQDMFKWLQEELSPAV LPPTIIPATTTSQTVLTKQPVIPGITSAYTYGLGQYMYTYRGYSINGHDGSVWGQLSH NTRVPDAGVGCIVGLARDKLGIEHFFRTCWMAESQSTEGTDSSSTSQDFSSASNSSTT STTSSSANTNTTASLRTPLGAESVVGHYSDEAYGTFNIQPISNLEDADALLAINKRFT LLGLTPGNDTYYYQNTDSSSFITHYIFTPFDGPIFNVTASFVAPLYPNGSETKNGSTL ITYGPGSAVFKDNGLGLFGIWQSGSGITDPQVVENNVEEAAEVWLKKV L199_004423 MLPRLYSPPVSRPATFERGSTTCKSNPIKADTLSLISGRYFKSS IHRVSAPPPDQRHLDRLGILFFIRPNNDVLVEVVKDSPLLKREGVIESLEERKDPLDV GTWVKERQQHIFNNIYDITDAGQGKDGQERDELEAEVAGIKIKYCN L199_004424 MTNPSGSSGDLTEPPSTANKKRKTTTAEERPARRALSCTECKRR KTKCSALGKTPCDSCIRRGKPDDCRWEGMESELREAGRAHTSSKDIDQISAFKEQINR LEKLIDTLGDNLPSQSQASQAPTSAHQDGITSGNSLESAAIDLEHLIVGPGIRPGITE TLGSPSKQAQRPQTPSSFPLSSLFSSASFDPDLITVLPQLLPNSPISQILAEVFFDGP INSSWHAIARPAFEIQLRQYSTLTLDKLELELDPLWFALYLMVLAMAVKFATPSGTHP GIDSLHTVPLQQSSVALRHLDSAITTAQWLGLDSLKDSLSFSAADDPAVSVLPPRHKV EICKQLYHLLKFMDGTIFKRPGLWRLASNDGASLPSNNDDQDYEHSDRPLEKSITVMT EASLSRIGSTLVNTIRSFTSNKIMSLHQVITYDTALEKLLDLIPFVADAQTSWMMRTL HCSILYRLIRLHRPTLLRGYQSPEWRFSSNACVSSAKSLLRVQEAMAAYPHLRPSFIK RWIMGSAIVLAVHSIVQDLTFEDVIYESQRICIGTFTELLPPIMALLNTADEFRRAAN AGGDRYNLDVDHFFKEVRKRLSPTGNDDDQQNQQNGSSTMFDFNFNLDLDPNSMLPNF DFSFLQDNPTDSTGTWENMEWGLI L199_004425 MSSDLKILILGASGYIGGTLLVDLLKEYKPSSITTLVREDTKKS LLEPLGVNVVVGNVEDATFLQGLASQYDVIVNFAVPFAGGDASIQALVDGLEQRASSG ATQVKPVLLQTSGTGSIMYGSNGETGTDVWKDSDHERWEALPDTAFFHSGDRIIAKAA ARGIISAYIVMSPTVYGQGTGPGNKLSLQVPAYVRYAKRAGQAAYIGKGENIWGNVHV QDLTDLYVLLMKHSLSNPESTKATSESHGWSNLIYAGLNQHTWGPIIKLVGDQLHARG DIRSPGAKSIEDGQGDMYMFGTNSFMEVSEKAKALEWKQRQPSLEDAIKLALPVRD L199_004426 MVGVTFKTQATETVRLTEEQLQAVSHLDPELVRIGHEVVQRQKK ESFIQAWRNHGRAAGWSVFLTSALLMEGFDTAIINSFFALPAFLNSFGTKGSNGKLTI PANYQSGLVNIAYVGQIIGLFLNGWLQERYGSRKTFIGGMILMTLTIFLAFFAVSLNM LLVAELAMGIPWGMFQTLSTAYAAEICPIQLRGYLSAFASVGFGGGSFIASGVLRACL QISGEYGWRLPYALQWVWPVPLALGCYFAPESPWWLVRKGRFEDAEKVLKRCARPGFY ADKEAEGYVAFMQHTDSLEKLDAKGGSWGEMFKGVNLRRTEIMFGVWLVQLWNGNMIT GLTVEMLENAGMSTTGAFNMNLVLSAMAIVGVAISWVALGWTGRRPIYIAGIALEACC LLPIGILGTIPQTNARLNAMGALMIIINLLFHFSLGPVCYSIVGELPASRLRSRSIVL GRFVYVVSAIIGSQLRARMVTATAWNWGAKSAYFWLGCNLVCLTWTFFRLPETGGFSF AELDILFANKVPTRQFTKVKITHEAVEIGTEKQAFDHDEKAEIEHVEGGAQGETVLDE EERAVVQHEPVPGHVPTLA L199_004427 MSSLPTISPSGKQSLNKLLKETADRRHVPAVFYGATNAKEEIYF NQYGEKTFGDESSGQIDPETTTECFSQTKLITCIAALQLVDQKVVSLDSAEDVEKYLP EITELQLLKGYDENDKPILASPKNKVTLRMLMSHSAGFTYMFGPNVLSKWHEQNPLPP LFDPKATVKSLCTPFIYEPGTSWTYSPSIDWVGKLVERVTGLDLEEYFQKHLFEPCGI TTLTFYPTEGIKKHKMWICYRDAEGRVQKIPGNFGMNRPSEAKDVPKELLSGGGGLYG TQRDYLTFLRHLLQCDPSSPHHSTKPLISPESWKELFTPSIPKGEGYDGVDKIVEMVT KPQYIHPAPTTDNVNHSVGFLLTSDDFTEGRKAGSGCWSGAAKTQFWIDPKTGIAAIC ATQLLSPSPDPWYASYVQYERALYAALQ L199_004428 MVEQHPSTETDTVNTNSPKQQNEKVVPAAVVEDEAAIDGVDPVY AAKARVLNRAIQDIGMGRYQWQLFFVIGFGWAQDNLWPIVTSLILTPISNEFHPSKPP LLTLAQNIGLLAGAVCWGFGCDIFGRKWGFNLTLGVTGVFGMLAASSPNFGAAGTFVA LYGFGVGGNLPVDSAIFLEFLPQSHQYLLTVLSIFWAFAQLLATLIAWPILGNLTCQQ TDPDCTRSKNMGWRYFIITMGGLALIMFFIRFFIFHIYESPKYFMGKGRDDDAVTIVH EVARRNGKESSLTVDDLRACEGITGGVVTEHQHDATAAIKRKMEKLSAKHVKALFATR RLAFSTSLIMVVWAFIGLAFPLYNAFIPYTLATKGAQFGDSSTYITYRNACIIAVLGV PGAMLGGLLVEVKWIGRKGTLCLSTLLTGIFIYGSTTAKNSNTLLAWDCLYSFFSNVM YAVLYAYTPEIFPTKDRGTGNALTATANRVFGIMAPIIAMFANLETSAPVYTSGALFL AAGAIVLILPYESRGKASL L199_004429 MGFASKLAQANQLAGAAGLMSNKPPGASYGAQGGYGVAQGQGQS PYGAPQQGQYGQQQGQYGQQQSAYGQQQQQPGQYGAQQQGQYGQQPQQGYGAPAVPGG RPGQAPGGYGQQSGQYGQQQPAYGQQPGQYGQQSGQYGQQPGQYGQQQQPAYGQQQSQ YGQQPGGYGQQPGQYGAPGGYGGAGAAPGGGGVNAQYVSQLLSQCVRDQHLEAFYPPQ ALEPIAQRIVQSGALQQLASNWKLPVELASDLVKISLFDVVVLIDDSGSMAFEQNGER IEDLKMILGKIAFACSLFDHDGIQVRFLNSQLQGNNINNEQAALQLVQQVKFSGLTPL GTSLDQKILQPLLLGPARANALQKPLLIIAITDGAPAGESTDKIVQVITNASHELQRS RYGPDAVSYQLSQVGNDKSAQKFLSSLDDNPAIGSLIDQTMDFEYEQEQMKQKTGEDL SPEMWLMKLLLGPIDTSYDSKDETNAPPRRY L199_004430 MSQFDAAPPNTYAGGRDDNLRIKDGALPINASNDAEGDFDMVAY KRDTPLWKRIKDHSLTQMILISVQAFCGPAMADAIAGLGGGGLASPETNNIANAVSYA ALAGVCFLGGPLVNKLGTKWALLIGALTFPLRGASFYTNSKFGNQWFLIVGSFLEGFG TGAWYVAESGTIMSIAPSGARGKYLALWIVSRNLGQLIGGAINLAKNHIDGVEGGVTP DTYIIFLIIECLAIPFALLISPLDHVVRSDGTRIRVSEKVGTAQEFRLIKKTWKSKLI LLSALWAFWSFFYGGSWSTYLGLYFSVRARALSSLISPFFCIVGCFGLGFILDMKSLS QRRRAQVGLITVVVLNAAVYIWSIVMQVKFNKNDPGAIDWSDGRYPASFLPYFFIQTT GPLSQSYMYWLLSSFATDAQSNVRNGAAFRCMEAIGQAVAYGMNSKTDESPLIGFCVT FGLMALALPPFIVLVNNTPDRIPADVIAEEEATEHKLEGGYEKKDDPVQVSVLG L199_004431 MGIIRLFSSLRGLFAAVSIFLFTFSIWNYKSSTGTAQSKSYVGK GTIVDLESILIDIPPGQGSSAWTEGDEGEFVTIGQPVSKIQFNDKGIVDVRTGVPLEG ANEGSTFNLAVLKLPRGSKWGFVGVARGPTRLRHFMKINGHSSREQVLVALGLNITSE GHLIAVTKGQTLDFPMIPREGCSSAGSWIATYGAEDPRLFWTDAGTPALTYARSALDN DRCRSVGLVNDLRSIFPELDASLATGVEGVSPYDGYKEPGQGSDRELYRSDKQGVIEK NWMPFYPGALPDGETAIPHIHYTVKSALSLRPIEITPTRAIYQDVDLGQSTTKSCIGT AHFKSFKIHQASPLYRLTLCDRGCIVTEQNTVNIALSHTQSPTRQYGRFLATFNVSYP FNPISVGPRFHQNGCDDENDINYALSLVAIQQSDIDPSGKPTITPQIVQPDHFFLDDR MLITMGHNDKEMTSVMTTVREILGRQHMC L199_004432 MSRKTTDYDRPYPQVGLGKLIAVGLTAGFLGVAVMTAGEKIEQV LTKRPDSEVPGLTLARLIGRPDGEKGKLNLIMHYGQGALLGVARAFMATHGMRGPFVD FILTGLRLSVDQTLENYVGSGALPWTWPVSEQVIDILHKGVFAFATGYICDVWLQ L199_004433 MTAPIQFQSPLILTLRLDKATHKLLTDLRSKYFPPHRNFLTAHV TLFHAIPAHRFNELDDQLNSICSSRSGWDVFFGEPEKMGNRGVYLIVRERPSSTVERI HRQLLTDLKRGIKEDKDKLTNQDLQTMRKPHVTILNKASNEEQVDTCLKEVRDFFDSL KTEGQKEGQHKGRAVGFELWEYLGGPWKSIKQYSFKGEDIDSPEKQGS L199_004434 MSHYSPHSSATFEGYYNRFRLPSGASVCLIVSSVPGAQNRPYMI SFTHVTRDGKKYWQKEYWSEKWDITRGKGDDYAIEWDDGKFGFRDGKVFWQMKTKEVE FTAGQTDRGVPWGPQDPNSTPAGIVARFPLPIQWHIHTVDSDSHFALKISEVQLPPED HEGIAKVHVEKNWAVSFPKSYVWMQVRNGNKGLSLAGGSLLPGVQAYLIGYQGDSFVS FMPPTSTSIFGLSLGLYSNVVSTKGIIDIDIIGWFKRLKITGRCDPSTYFSFAAPLNT GHAPDYTVQSYAADITVEVFERSWPWSGWTRVEKEDFTEGGMEFGGDAYDRHEE L199_004435 MHDPQQLSFLGSINALIALGRIPLASEVPLWSIFGCILSARLFP STGATGITSVDWWVVIQCAFTTWATNVSINYGNEYFDWNLDRPGQIESIKRSVKEREM VKEEHNRKIKEEEAREFNEKIMGNTTRIIHDGTFPPYTALILGVAWQAAVVGLIFYSR SKDPSISTISKHTHGSPYRGLALQIGIISSVLSQMYVGPPVRLHYHGFGELISALLLN PVSILWGMTGYYTATTGRTIQISDIFTTSTSGFNLGSVWALLGAMYCFEQARIFIMHI QDIEADIAGGKNTFVVRVGHAVAARLYVGFNVLALTLFRLFTTYTQIPGLDTKYSRSF SRGWIQGISAVLLYSIPIMIVTARSLFLSIPPNRVTQSTGGLLPALPLTTLPMLVSLQ VLISPLVLSFFTLLNW L199_004436 MVSILSKHHTNDPEGLDQDHTLRQKPWMLHRTAWSEITNHPYDG QGTEESPYVVTWVPDDPARSFKDRENPMTFKFYYKWIATLIAAFGTLGVTISSSMLSA AIWDIRLEFPGYSNQIYIMVTSAFLIGFVIGPLLWAPGSEVYGRRWMFIVTYIPFTIF NAACCGAKNMAALIVLRTIAGIFGSSATVNSGGTIADMFPAHQRGLAMGIFAAAPFLG PAIGPVAGGFLSEASGWKWVAALIAFLSLALCALNVIFLPETYAPYLLRRRAQRLTKY TGKVYKTQQDVTAPLNKVKLITNQLKVPWILMFKEPIVFLMTIYMSIIYAILYMQFTS FPLIFQQARGWSPGIAGLAFVGITVGSFIGLGYIIFYENPRYSKLIHARGGYLPPESR LTAVMLGSVVFPIGLFWFAWTGIPITIHWIVPVLATVLIGMGIVLVFLGLMNYLVDAY LQYAASVLAGNAVVRSMFGVVLPLFTVNIYNSLGIRWAGSLMGFLALAFLPAPFIFYF YGSRIRRMSKAAREADDLGQMMAKKKLEMMALQKSPKTEQMSNAGVSSDSPNVQETSM EVQENESEAQTVVEENDGSARRAEQ L199_004437 MSVRPDSQASEAISLKTMDERPVLGDVQQDEEKKEQLNDVQDNQ VQPIQDMQDIEDKEEEPAVNGESINTRENNVAHGESKLDNNEDEPKLKEEVIAQQSEQ GKENGQADDLNGKVDEKRVDGDPKDNKVVSTAKEAASGVKKVLKSGVFGAGTPKPTPK ATPSAKLSTSSTRPLTTARQSLAPTRPTTTTARPSTTTRPSATTSTSTTDRPTHTSRP SQSTTRPGVTRPTATSASKAEPTTTSATRPRATTGASDKTTTSSSAPRTVTRPAATTS STPVRPRTSVKPPVPTAARTSTTTRPDPLRSSTASTTATGAATKPRVGLTSSTSRLNA GRASMLPSSKTATGTGTTQKVRGGSGPSVLAGTAPDRSKQISELKEKLEGLEKKSAEQ KEEYEKKIEMLTKDKVDLEQSHAQAIEELNARIENATSQAGVNNDSKINELKELHAAE LKASEEQREAAVKELEGKLEALSAEKESLVAQLASLQTELSTAQSSLIDLNTTLSSVQ EELSSLRVSHEESTSSLKDITEIKSSLEGKVSELQAIKEKLEAELAEGAEEAEKSIAG TTGLEGKVKELQEKIEELKEGLEKEKEGRRSNEEKFNEQKEILSKDVETHKATAQEHL DALNKSRTDNQAQQEELQTIKSAHDELSSTYATLLESSARHPEALAELEKQLKDVDEK HKSLCQEATSSSNARVSELESRLAEVIGAKDKAENELTHLKSKVEDLEEELGQLSEVR KGMEEKEKALNEIIAKLEAEKEKEKVEFEQRYNRAFEDAKSAANESHHAELSSIRFEL QNTHTQLQESHKGEIEALQTSHSLALTDLQADHLKSRQTLEESLLSTQQQVVESQTQL SELKEVNEKLRNEITRLVGEIESLGKKESSQTDKAELEGELKRVKGELQGVKDELEGA KEMAEMNNSHFEASLSSIQDRHSQEIKEAAELKIKESQGAEEKYKKELRDLKEGMKRL EIQLQDERVEKNSALARLADSVRTPPTSPKPPAEPHSPALTKLHEAHNAKVVDLENEI RRLQQELSEAKGNDKKLDEIAVNANTTEGVIDETETF L199_004438 MQLSTLLPLLALLATSTPTLASTHRRHSAKAVDHARAVERLATA VERRQPVGEVAKPKRKVVRKRGTTCRAKTTTSSEAQAAATTTSQAAATAAETSSTWVE PAENYTNGTNVSNYQDYNTQAQDQNNSNNNYQPQTQTSQAAQDSSSATSTSAAAAATT SASSNSTSTGQYTPNGKKAGIAGGDAYDQCEDYIGWWYDWSANPSGHSGTPIAVPMLW GAGTVDSTDASRLSAFEAITTAPQYIMGFEEPDCSTWGSANIDVSTAAGLWNSLIGPF KAKGSTLISPSMCHQAAEQYIGWLASFEKQISVSWDITNIHVNKNNMDGVKADIDYYY NTFGKPIWVTEFACVDDSTDFVPCTDQTEINTFINDIVTLFEADERVHAYAYSNGDGL GDVWPMYKNGALSESGQTYVTAISNVQH L199_004439 MSPIAIMSETITVPSPSPKVLPTDIPRRPSPWHDVAHSLSTTSL PPRRPSVSLSSSTTSVNGTAPSTPTDIGSLIQSSSQALREWDERLSKPSSNISRHQLI PRQTKLVAERDKAGFIEYKLKLIDPTPERFERLITQLMWRLKQGRNEAIYELGLADDG TVIGLPRSQMDASLRTLELMASEIGATVIILKEIVLHSSRKSHPIPQKPSLPSIANVE SNEVAKIKQSKWKKGVGSRRRQKYMPKEPGIYGGTTPKKLIFDPADIAGSSDTSDEEE INRPDVPSSDDDTSFPLFFEQDKPDQSITAIKLSTPTVSQTCYPPSTTKDHPSSPRGK TSPSPPSRPRSRKDEKKRRKSAAKSEARRLDLLRGDGTNPMWKEMHSDGQFSNATDMV RNDTPCSETTLPATKNGNPASSPHFTIPYQPARPSSLRLTSPIQQESEPFLDDLLHLP LDSLSLSFADVRELSEPDSPISCTETETHTPIDQLHIDNDSQQGEEMICVEALVVRKL QHDEDGEDDQDDEEEIWGYGGEEDVWGFGGDD L199_004440 MPSVRSSAPSKLDKDNSRGSTRRTYQACAPCRAAKLRCDLGNPD APDSPPCRRCLRTHRQCVFNAIYGRDELDTSSGQGYRTSGTFQTGLSSGQKRYGASCG NSHSEPRAGPSRIHTSTRSYSPSDTNTSPLNTISAGHQSSDTFNENTSEAFRFARGEA LENPADALRILRAAADDEDGPLGTNHVISENDLQIDTDNARWDLWPPISMGILSAIEA RLLLSFYQDRLNPCHPLVSPELFKPDNLGILLGEPLLLAVMIATAARYFTSEDTHTCN TPQNYRLKDLRSRLMNWILHRMIFIAMGQNASIGIVEALLIMSEWPPEPSALIDTGLL EALQGPNDWSSPCKLYDDVSWALTGMAVRVAQKLDLQDERTYLDKSQPDWMVTRRYRT WTNCLSADRHASVRLSRASLMQEMSTTWYRTISGIGYLTGDTTFPLPRVHLHHREILS VVELTHIIGLLQELLYISPEVTQELIKTARFESTLHRLKPELDSLWQRQVADLPSYPI LDQDDEPFTADELRQVRWRMDHDYVKLYANSIAMRASQNRLLQRHKHKNRGDRVFQAN VMQSSEGSFIIEAVDAAISLVKCGVAMERKGVLKYCPNRIFLRLVFASVFLMKAMSFG AVAPPEQDIVDLQYDLIKSLKAAAVVYQNHVASYLSTLLERVFPSIPTEPSRPSSDHL VEGPALTDQSTLALFGFDTNLAADYLPQDQWTDMTGFGYDPSSIISDIEEMLAASTYP MPSSAMDMNGTS L199_004441 MPKNDSLTKETSILVVGAGAFGLSTALHLSRGGYKEIIVIDPHP VPSPLSAATDINKIIRTEYHIPLYGGLAREAYTAWNNDPLFKPHFHKVGWFTGAAGDD TRGHEIVAKIWANIKADGVKTNAKQITCGDDLKKIVTQTSGSQKLDQWIGIFNPEAGW AHSGNALIAVANELKLRGVRFIHGNDGTFESLHKDSRGEVNGITAVSGRHIPAERVIL AMGASTGSRIEVKDMLRAYGYALGMIQLEPQEALLYKDMPCLHSKTHGYIFEPSVDGR LKFALPGRYAWYGKNDVSRPEALVSSYNNLPSECVAEMRELLSMFLPQLADRPFCYSQ LCWDADSADDNFLISFRPESDKVLMATGGSYHGFKFFPTIGRHVVAALEGRLSQESRE AWAWRPELSRKYHTRGAGETRVLDRSGQADPVSSRTSQL L199_004442 MEHNDQIIGQNATEIDDKFEKRLVRKIDRRIMPLICLAYLLNYI DRTNLGNARTLNNDAKDGSTMNEILSITGSRYTLVVAIFFVPYILFEFPSNFLLKWMG PRVMLTRITVLWGVVTMCTAACTTFGGLVACRICLAIAEAGFFPGIIMYLCFWYKPEE RGSRIALFLGFVSVSGAIGGLIATACSYLNHKGNLHGWQWLYILEGIPAVLFGVVMFF LLPDFPETAKFLNDQEKEFAATRLGQYAPKMSDKHFVWSEIWATIRAIDFWLFALTYY FINHCLNAFSYFAPSLIAGFGFKGAAAQALIIPPNCFGFIVIVGNSWLSDKRGRRPEH ILGGLLVIAVGFILLATVKTSVGGRYVGILLIACTNSAVAPLLAMRTSTVSGASATAV ASAGIAAFANISGITAPFIYDSKTAPRYIPALWVSVGFIFGACACVSILWYRLGPGAG YTTKAASPSTGPVDYAGDIEDFSNGRKDKDVELTQVSVGQV L199_004443 MSRHVSLPVEEKPYEQHFDDSNADPALQQIGTRTTAAGTETEKG STRYASSETGLAFKDENKKAERKLLMKLDVAILPFAVLLYLSAYLDRGNLANARLQGL QKSVLDDSDQNYSIALAMFFVTYIVFSVPGTLLARQFLPSRSIACGAMIWSIAATCQA ATFNKAGLFVCRLFVGIGEAMFGQAMALHLSYWYTKHDLAKRVGLFISAGALAGAFGG LLSYGVSSIKNSAIPQWRILFLIEGCPSVILAICTFLFMPTRPETSRYLNEEERTLCL TRLNAESNVETSTGIEWGGVRRCLMDWKTYVISLAYSCMNLNLGSVGGFLPTIIKGFG YSNAKAQLFTVPPYAVALVFMILLTSFSDYKQSRGIPVASVFILGLIGWSILLAVPAN HITQSQYSARYFACVCIVTAGYTNIPLIISWQSGCTGSQSQRATSLGMLNSVGQCLSL LAAFLFPSKEGPQYRKGAIVNIAFQALGLVLVLAMTAWYRYENKRRDRVEGGRPPKGT PVNVVEQYDLAPGFRYVP L199_004444 MVHIAQINWTQKRVHPFHLFLPFYTMTIRTYFTMINLPGALLGL VFLPAVPGHVQEKPTFWLHRGISILPGLGWHSWVEHRRHTPLIALYNSVVLVTVIALA VLPLCQNLGGHFALYYLSGAGANIAGLYFAWINEVSESDASSETPLLLCQSRICGTDD EKRALILAMSSDASFVLKSIVPNFIRKHVDYHKATKGLWFTAGLSIFLMFIIASVRYL YDRDKVLANLDTNVNKDQEFESPGVIENYDDLDVKR L199_004445 MSSAGDIIYKAFMPTLKMVLCIMLGFAATKKGWLTAPGAKGLGA IVVQITLPALLFSSMVTAFTPDNIKAFGPLVVIAVLYQLLGITFAWIIRELFYVPIDF RWGILVSGLTSNWGNLPTAIVQTMAKESPFNPAVDVDLGVAYIAIFIFIMNTTFWGLG VHRMCAWDFQENRRRPDQPPLKERWSSQLELTKGRITKWTGMRNRHSGSNIDMESQTI PGMEMMGNVEDKAEQDNNFGQNNIEPYIPASSSPGNSSQEIVPVARISTFDNATSLRR NSSKVSDIQRTSDPAPSVHESDNVLPVQPLWKKIVLTIYELPNVTKAIIISIPIATVQ PLKSLFAETEGWSGSRMPYGPDGNPPLHFVIEFSSFLGAIAVPGALLLLGASFARLRV PKNWRDLPLGAIIALTCSKMILVPIFGIFVIQAFRDHTSLFPREDKIRTFIAILLSGT PSQVIQLVVTQTYNPDGTAETLSTFLLLQYALMFILSTALAAIALYIVER L199_004446 MLRGLIVASLSVQLCIETLAQQVPFESSEWQIESNLYQFEWPIK DVAVIGAGVSGLLAYRALAQTDQFSKIRVFERDSTPGGNWHYSDEEAKAVPIERGTTD DWWKSDYVPTIPTKLPSHNIYTIKGNDTLKDELEGRRINQRQPKPLWKNLRANTPAPQ QQVPGFSWPPGVEWASHHSKVQRYLRSFASWLGINNGDSDQDISYDTRVELITKHLDR KGKQIGWTLLLRKFIQTEHERYEESYWEEHFDAVVVASGRFNIPHIPCIPGLVEWQKR FPEQILHSRQYRFTDSVKDQNVIVVGASASATGISLDINPLAKTSYLSIREHSNDPRA PIRRETHLNSVPSNTTIVGEIVRFHPIPNGGNLRDGRIELLNGTIITNIDRLIFGTGF RYAFPFLPQYHNSSASSNVPVDSDEQPIVTDGSHVRSLYLDTFYIDQPTLAFQGQNVG IQTFVYGKYAGEAIARVWSGRADLPSQRAQWRHFWNTVEERGGLKKGFQWLNNDANSR YLSYFVAWLNSAAIRNGDKLLELPPDVSEEMDLWMKARAAGVSVNPDGRNDFWPKSVP DNDSTRWRRAVLDDW L199_004447 MHVAIVGAGYSGLAAATTMLSFGHSVVIFESSPDVGGVWSSTNH YPGLKAQNTRDTYCFSTLPMPKEHPLHPDGQQIQAYLELYVKRNKLDQEGRLKLNTRV VKAEKRDDGWILEVRSNDHQSQLLPFDYLICAAGVFNQPKIPKFNSIDTFISSGGVIV HTSDLHRLAELKDKDVLIVGFGKSACDVAVEATSASKSVTIVARDVIWKLPTYVGGVV HYSYLLLTRFGEALFPYIRPWSSQRFLNYGYGRPIRAFIMGLVSLVIIVQLKLVNLGL LPNKPFETIARSSVSLATPGFVDAVKENKLRVERGVTVESLGDRKVVLSNGKQLDADV LVCGTGWKHGIPCFLPQNHRDKILNQLGDWVLYNHILPIDIPGLAFIGYSSSIFCPLT AEISSLWLAAHLDNLPELIREVPSKSQQRAQAEEEVEWMRKRTEGHHANGTSIVPFSL SNIDEMLRDLDCGIGWFDWLREWVLPVNPGSYSHILPTVIRRRDKLRAEQKKGD L199_004448 MSNSRSTSRKSSVNSRPHHTLPLPLPASLRIPSITRRPSNTQLS FVLAQGDSARSDALKSEDQLSRQLDGDLGGKQMGLRSRRSSSATIRTVRTVSGLRSPS PSHASVMEEDESQPTAGPSSSTKPTSPSADPISSSPPRQPSPSPPKRSLNPKASSSWL RWNSPTPSFPRSPSMSKGKGKAKEVIDDVVTEEDNVDKGTLATPQPSTIPPPSDPVLP TPLPPSPPLLIESPTQIISDSLTGPPPHVINIKPDEYLPPPVSKSRGWWGYSTSVHPT PLKESSQPSDHAPITVETPSQTMNIPGASISSSEDAPTCSPQPISNPVVPPAAPAPTS STSAQTSPLSATVNQNPSSTTSTSAPLANQGWKGYLGWGTGVKELKATTEQTEDFAGT TTGDGKDGAPLLDNGSGPMDTSIASAPSDKPDQQEVESSTAQEPTASGNPSAEPAAHP QPWSSYLYSFVVPPPPRQQPVSSRQSAHSHAVAPSSPTEYQRPVHSDSSPEVNVREAT PIPHPEPEDEPTQTPSAAASLARNPERRPSTTGWLNYLAFRASQKKVAGSSVNTNETD HKATGEEVMDFSSDPDFPSSSTPAPSLVPPQGLKGRDVTIATESVKSVTPKPYQNLDV RKKRLSNASLVSNSSHTPASVSPKARSSIEGSRPGNNGSSLPPPPQAPTVQPNLVIPT FTDTFDRPPRCFLPAAQPQERSETASGLTAATTGLAWKALGVVGSYVYGSGEEKRKVT PHTILENETEPRGRKEGRNVGSDLPRRIGLGSGTPDDGWKDMKRVVVVGVHGWFPAKM LNSVIGEPTGTSVKFANMMGQAVQQFFQEKGVDDIRLTLMPLEGEGTIESRVDSNPAW INEVRRADAIFFAAHSQGCIVTTHLISRMIAQGHIRTPLNGEAVSRCEWAFGPIGVVP PDEPRRGRGSHSHIQGSDGGHQKVAMLAMCGVHLGPLYSISTSTVIQPYLQWFENAAA RELFEFQDSSSAVSVAYQKALSMVLENEVRVVLLASLNDQVVPIYGASFSTATHPLLL RALYVDGASYTQSDFMTNLLCFAFMLRNAGIDDQRLVEHLSEATAGSLTGVGHSTPYE ELSSYSLAVQYLFHAGPARQPVPPLQIEPFSARDAKNDFELPWIMRALVDSPQVKDIF PGELRDLKEGILHWRPNTKVLKEIKKRLEPMAGRQSRLRALHTSPSSASLNSNGTGEG LGVSSGANKALQSGKTKARL L199_004449 MVLQITEDNRQTATALFTADGFDELVPSPAFDYRTYELNQDEAE DKLALLIKGMTEVADKTGRFVYQLPDGRIIDTCTYHRWEWPQAIGMNGLFDYYQLRSS QNPSDPTAQHTLALMKQWYHQRVEEGIPTKNINSMAVMYSVACLLDLDQQRGKGSLLD KEWRERFEGWVDEWAEWIMNELPRTPQGGFQHVTFRLANEAKFQFLLHTQYLMDEVSG FWYHAWRFDNEAGTSGTHSSDAPWARGNCWITLAIPMFLELTGLSPSDPVHRMLVSTL RRQVDALLPLRDAASGLWHTLLDDPTSYVETSGSSGFVGGFLMAIRLVISGLKACLAQ VTATGQVGNVSKGTPAGKDRKFYKGIPRMGMVYGNSLLIVALVQWMRLTKGESK L199_004450 MSQPNVEHRMTEDPATWIDSKGQDEQIEDLKHVTIDNVNDSEAA PYLDHTIIIDERENKRLKRMIDRRILPLLCLAYFAQAMDKGATSPISIMGWLEDVNAS GQDYALSSTVLWIGLVAGNPVASQLIRRFPVAKVLAASMLVWTGLAFWLTFTLDVPQI LANRAILGFFEASFNPCLVTIMVQWYLSSEQAVISAVWHSFTSLSTCLQSVMGYGFYH VRHDNSGGLKSWQYLLLTAACISAVSTIAVFFFLPDSPTRARWADEELKTKFVERVRS NNQGIKQKIWKSDQAWETAKDPQVYALFALTFCQTLVIGGVGKFSALLINKAFGFDVA TSQLLKIPVSAVGVSAYFLMAYLQQKYEQTLFTMIAFTLLNMIGTIVIVCVAPSDKTR VGLMIAFLLLQFFGACNTATSVVLSRNIAGQTKKSIAYATTFMAWGAGNAVSPQLFWN TWAPRYIPSLYIHLGLYATYIILALATRTMLVRRNAKKQAARDMRDGEEVQANLHAFE DLTDLQNPDYKYSI L199_004451 MSKQPNFLVILADDLGFSDIGAFGSEISTPNLDKLAKNGLRQTG FHTASACSPTRSMLMSGTDNHLAGLGQMAETIARDPFYQGHKGYEGMLNDRVAALPEI LSDAGYETIMSGKWHLGLPKHAQPHARGFQKVFGLLPGAGNHYLYEPFLDDSTPAMKF LPPLYVDGPEQISHKDILGPFYSSTYFTDRMLGFLKERNTEKPFFAYLPYTAPHWPLQ APDEEIAKYKGRYDAGPEALRLERLERLKQLGLISKDVSPHPIMSPYGYKSWDELSPE ERAISAKKMEVYAAMVTVMDKEIGRVIQLLEDRGELENTFVFFSSDNGAEGALLEAIP VMGDQIKKTVEKFFDNSIENIGRGNSWTYLGPHWGQAATAPSKMYKAWATEGGIRCPS IIHYPKLGGILKQDNGVTHEFTTIMDILPTVLDLAGVQHPGDEFRGRKVHRPRGKSWA PWLSGQRKEVHDENAIHGWELFGQAAIRQGRWKAVWLPPPTGKDQWLLFDLDQDPGET KDLAEAHPQKLQQLVAFWHEYEAETGTIVNMADPGVGGFGRSTGINWDDWGH L199_004452 MITDAHAQDIPPNPPASPGEKSFTAYDTPSLPSLTGDVAGGEFT WSEAEERAAVRKIDFLVLPLLFFGFYVFQLERGNISNALTDGFLKSVGITQDQFNTGQ SLLYLGIILLEIPSNYMLQLVGPRLWISFQVLAFGLVGVLQAFQKGYGGYLATRIMLG VTECGYIPGALFIISTFYKRSELATRNSIFFIGNGLATATSGLLAYGILPLGTRFPSH KGWQWLMIVEGCMSIFIAVLLLLLLPASPHCPKPLFLPIRVWTPSQEKILVARMAKDD EKNNRSSHRLTLKDITSTLSNWRVWPHVLIAICLISQTGALGTYGPTLIKGFNFDTLT ANALSSVSGWIGLVTTASFGFFSDRTRIRGPVVVTGLALVWAFWLAFQQKSLSTDRWL KYGLQIMVQGFSIPSHPINATWLSLNCRSPQERSVAMALFIMAANSGALVGSQLLRGD DSPLYKRGFKVCLCLVSLGLLVAILQHIQYRLSNKRLENRKEGQEESGESDATALKWR YTI L199_004453 MTDKREALLGSQLADMQNEHLHDEKTSPLEGVKELSTEHGTYVL EVGNSEGAKVAGHLELGTSEEEAERLEAIYNTMTLERTMKIIRELVQMHRDDPNFSGA LLEDMNSFVNNPDIIASPDKHTQVITAMKMEAVLATENSPYVEVRANVDPTDDPDMPA STIRAWAIGVVFCIIGSFIDNLFAFRNPAISIGTNVAQLVAYPLGVFLARVLPDWGFT LFGSRHSLNNGPFNRKEHMLISIMANISFTAPYTYYIIPVQAMPQYFNQSFAYNRGYQ ILLSLAVNMFGYGLAGLLRRFLVYPSVAIWPATLNTVALVKAFHQQNNEAVPGPFGRV YRASREKIFLVAMGCMFIYFFFPSYIFQALSSFSWMTWIAPDNVKLDAVTGIWGGLGL NPWPTFDWNMFGGAGLYLPTFAVANQVVGIIIGAFMILAIWFTNTWNTGFLPINSNAT FDNTGASYNVTSVLNPATGKLDETLYRSYSQPFFSAGYIVYNIWAFASYTASFSYVFL FYRRDIIRGFKGVYRRLFKKVDDEDLEEDIHYRLMKRYKEVPDWHYAVLLVLPIAFGC AAVAGWPTHAPVAALFYGLILPIIFILPLGIIQAVTGIPVALNILANIIGGSITAGEG NSLMYFKSWGYLSSWQALSFCNDLKLAHYLKIPPRITFCAQIVATVIYSIVSSLQYNF IMNIKDVCTSDAAFRFTCPAQTSFYTSIIFWGIISPKKLFGKGQQYNMMLLGFPLGLI MVGIYWALRRKYPRSSFLRQVHPVMICMGPVNVAAPYNLAYYLGNLYVNLISFQYIRK KYLAFWSKWNYVVGAGFSTGIALSGLFIFFALQIPKGGTLSIDWWGNNVVNLGCEGQG GCPRLDIPEVGYFGPAPGTYL L199_004454 MSVDDLSRLRRPSEEGTESSPGENKRRRKLNHVTKACDACRLRK TKCDGMSTKCGACVDKGLSCTYEKVDGRKTRQRQGNIRDKIDNLTNLLLASQTVANFA ASQRPQYNTQQQPHLPSLSPPQTLPPPSACSLRSVPPDRRPLSPFDDRLIGLAAKPAR AWGFEQSDA L199_004455 MHGSTSTPSDRLPNGPQSPPSTGSAAQSVLSMNDPLKRRGTGSH MQFGPTSFWSYAPDAGQDPGSSTGRDAIHNLSPDDGITTDLLPGDYVEWARHLPPDLR ITKKVHDTALALFGAYYAPWCMAADMPAFLRDMEICNLVAKTPTTQPIPRRTSNYSPL LHNCALRLGVHFNRDVWPELADMMDSLISKHCASMLIEETDDPNLSTPKALALYAACL NLRNEESAQKTGYIHFGMAFACVQALGVNLNCRHLVESGQITRQDQALRSSSYWTLFQ QDLLRAICAGRPFMLRATSDIHLPLIDAAADQDPWLSPFSNVSVNGRSSLRSSVFHWS AKLACLLRQVVDIALSTNYDGLNRDLQVTDLISKLDAWQRDQPLPKPQAHPVPHLLVM HMLYHLTYIYLLRPYFRASLDIAPSAAQRCQQAANEISELLRTFDEIHGLRNAVATIM IFGMVTIRLLVLVSDSTLPMTDSAHGLDECIGYMDEIAHTWLEARQALMVIKHLKNEW LPCTTNNAVGGAENTSLASLGPMGGLGDYSDITGGTDINEILQLLSGNGGIDMSTFFP AQAF L199_004456 MYRLQPLIKRLRTFREGQGRFKEMQATSRAARYGGYTLVSLSVT AVILDTKESPIPSESLTATSRMATLDLLRSYLVWTALSFPTLVDFSPSALSFLLTTRI PLLPTLTETIVRATFFPQFIPGETAVECLPTLEKLRRQNIGSALNYSAEADAVEEVDA RDVEASRFKEIERALDVQGEFEKRMQLKGWSPGSSAFAVKVSGIVDSAVLRRASDALQ ISRPKSLKTMGKEVPYPGFPSDDDSEILNPSLTMSFDAIVKHPSLLQGDCAQLKILWD RLDSLAERAKANGVKLILDAEETWLNPAIDGYTLLLSMKHNKRDPVVYGTYQSYLRRQ PAFLTAWIEHAQVHRYSLGLKVVRGGYIVKEKALGEKQGKPGNGAVWATKDLTDASYD GSVEIVLETLRNQLDRPGNSLPLGVIFGTHNMASVQTIIETLEAKRLASRTASGKLRM NSEAKGRVNIAQLYGMRDDLSDVVCTAFEPSRSAISMKFIAYGTLRETMPFLSRRAIE NKSIMSGPSGAAAERRRVGAELRHRLSFNLF L199_004457 MTSLISWVSGYRRVTTTNQVATSDAKFQSIWKQEWAWRQEQKLE DDEDDEDSLKAYLPRVDAKTQASRLAYWNDIISQLDKIDLQSLSPEEQVNYGVYRAQI EVFVNSQKFKDYEKPLNADSSFWANHASAARATFRTAKDYSAYLAQLQEVPRYFSEQI DNMRAGYKRGFTPPKITLEGRDGSISSVADAKSAEETIYYEPFKSIPSVIPTAEQDKL RVQATKAINEFVLPPYRKLLAFFRDEYYPNARETLAAEAFPDGKAYFAAKIKEYTTLD SNPETIHKIGIDEMARIRSEMLDTIAESGYKGDFQSFLNFLRTDPQFYAKTAEQLLHQ AAWIAKEFDGVSAKYFGRLPRQRFAIKPVPLDQAPYYTSGRGGPGVYLVNTYDLPSRA LYSLPALTLHESAPGHAFQMPLALEHDHLPPFRKAYISAYGEGWALYCEYLGKEMGIY HTPYDVFGMLSYQAWRAARLVVDTGIHSLGWTRNQAQAYLRDNTALSAHEIETEVDRY IAWPGQSLSYYLGELSILKCRRKAEEALGEKFNIRAFHDTVLETGSIPLPLLEKHIDE FIAGGGAGPYPEEEK L199_004458 MGSLISVSVTSSTPQLIEELDNSSSMPYERPHPQETFHQTSIAR DSFYGRIQAIYSERVLKTQLEQLRQQGSYEAFKLGWHPKYDIHKVQGGKCTVESPPTL FWDSDVGKWIEAACYFLGTPDGERSPHTPEFVSAIDELITMMGKAQRPDGYLGTYFTV VDKAGRLKNLRDMHEMYNCGHLLEAALAHHRWSGNRKFLNIMLKYIDLLMKTFGPGKH QLHGYPGHPELELAVLRLYSETRDPRHYAFGCYLVATRGKREPSLGGKPFFVWEAEQR NDSFFHATMASIDDGRYHQWHAPLGEQNTILGHSVRALYLLAAASDLDGKLLEDAKRL WSDCVDNKMYVTGGIGSIPDIEGFSEIPHFLPNGHDEGGCYAETCASIACMMICERLL SHGPSVKARDVMEKCLLNNVLGGASLDGKQFYYDNKLATCGEENADRSDWFEVCCCPP NLTRTMGLLGGYMWSVKTKGKVISLDVYLYISGKRTVKLPNGEIAVLEMETEMPWNGK TTLRVQAPVGYEWIIRIPKPEYAINFRISPESLERTIDAGSYDALSLPAQSTISITFD MPVRLLAPHSATHQDTIAIARGPIIYTAESYDNSSLEGEYRHFEGIGLLETTTFEEIP MEIGNIPMIGLISHQNVHAKKLIGRKEIGSMTVISGEKKWIEKEVKLKLVPFFARSNR DSGGRLRTMFPRVAFSDIEWMKTPAEDQVHQS L199_004459 MGKELISQDASLPPKGPDLSRIRSYRPLFPRLAQRVSLIIVALC YTLYHFQPWNGSTLSRSPQLFWDNHTFVPSSAIRSTGLTDDIQWDGYSLFIRGQRVVL WGGEIHPWRAPNPQLHLDLLQRIKALGFNSISIYTFWGLNNPSQGVLDFEGWKGFEPF LMMAREVGLWVVARPGPYINAEVTGGGIPAWVASSPDVKLRTNDTVYTEAYLDYWLAV GEIFAKHQITNNGSIIGVQIENGKFTLPPYSFQYVLDDEGTLDLAHIGHLEKTMRDVG IVVPLTFNDVAPKKRLFEGPSAVDIYGLDSYPAVRECSKIGEWNPVRQYREYMESTSG KVGTPYYIPEMQAGWIPLINTKGYQQCLTSYDSDFERVFYLNNLANGIKLHNIYMVYG GVNYGHSAFPVGITSYDYWAPISEDRSLKDKYYELKSISHFVRSSRDLPKTYPVSTLE YGTLHVDKLVNPDTQGTFYVARHIDSTSREKSRHSLTVQDEVGKVDLPVYLNGRDSRI LSSNYCWGKTCILHTSASIFTSTTIGNTDILVLYAPQDEEHRLAFPPHQRLSIATDNT CNVKEETDEERTVLKFQVGQGARDLVSIFGGRRKVEVILLDSKEVSWAWEAVVEPEHQ EPYGAFYGFGHTESVLVLGPYFLRSAKSLSNTSLSLHGDLNATTLVNITCDPKYKTIS WNNQTLNFERHRLTNVREGKWSAVLPGPDTKLVFQQTEQRIQEWKYRDGLPEVEASFD DSTWKSADKKVTKNPFQPYGKQAGFGGEYVLYGTEYQYGVGSLMFRGEFPGVDEMMKQ LEPRERKEMALKVSLISGPGGVGTFWLNGVYLGSGIADVGTGEQEVNLTLPMPHDVIR RGRKNVVTIVIDPAPLEEAGAYTGTAESISAPRGIRGYSLLGGNTSAIEWKIQGNMGG WDQFPDKVRGVFNVGGLYGERKGWNLPGYNDEGWENQHLHEENQVTADLDEIRGNGAG IGWWRGRIAVDYPEGLDQHYSFVFPRILSPVRGHLFVNGWQLGRFYGDLGPQYRFPIP PGILSQGNNTIAISLFGYDVSADPERFIEGLILEMDKVVTTTCLELREMNVDSPSWEE VFQSQT L199_004460 MGSTWPIFDRLEPIDLSSLPPTNDASLRSSDFTFSHFFDQSSSY DSSAVSAMSTISSQEPDLGALSRWIGWTWEPPPSLVKPPPPTDIPSKVKSHPPRPIAD FVTPKRSGVSPMYPHERLSTVRRPVPGSHARARPISERQAFSELVDCVQNSAQKQLSA RSTNAWSRKSHLSRLTSSIPPTPTPLSRDTSEVVHHTLSKTGDRAPTISDCTDMHLMI NDKLHDLHLRLQNIREIMDKNDPTC L199_004461 MPSPSPYPSSYPHRHLALTNLLQEQPAGFSTGNDRYQLFNTDLH SQHQRSVALDSILLGGWATSKGRDQDLLHHVDSLRINKNDFQVIGRLGDGQFGTVDAV ECKLNGQVYAMKTMPKQAIKRAGIQVCLELERHIHILSHVFPAAPVPTLFVAFQSKDS ISLVTEYAACGSLWDRLCSLSDEDDQAGCMTVAEIKWWSPQMVAAIQWMHDQGYVHRA RDIKPHNFLITDGGRLKLTDFGSSAELSRPRVPSERPFVPHDLCCLPIGTPDYIAPEV LLCAEEAFVQAAGANEASYSPPTFGYDLSIDWWSFGATVFEMATGKGPFWAPTIQQTY FEITQYKGDLQCPLKLDEGLRRLLQRYVRAI L199_004462 MYRQEDLSKLKVSDLKGICKQLKALNYSKLAKTALIQLILDTSK TSTNPPIKPSLTSSEPIISHRETLPIDGNDKYSNLTNKRRKVGTSSKPDTNTTDTELS TCHTSAALQNGVKASKLNVSYLESGDEQNSNGTTNTRNSQESLKTSKIHSQGKFRAPL AVIKKTPTTINAASQSLIHPSRPAELFMSSLNPLDFLRNHFLNALFVDLNAAREIPTL TTPSPTLLRDTTTAVKSYQDLAFPGFGPAMFSKNVSIEGFMVAIRFWLSRLHTLSQLG STESWSVHGHGQGILGPDLSKWPMVLSCKKISEDVWLIETGNIEINSEDRSNIPNKFL TLGINGDVLSSNLDKYEGGSIHGCPVRHDWYKYIVSDQPSTPGISYLLDHVKTKDLSH HPHGISRAWKERVEARGNAGELIQIANRAVLASCALNSFSGSKLSATEMDAHTSGYQT ISRGNNSSAVELYLPESSQVLSVHVDKPPYHPALASVHRHSGITDFVLAETGQVVGNE DEGVSSLWQGFLGCDSNGNENRSNMAAFWKGWEMSMIQ L199_004463 MSVNNSISIARRQLHSCAVRNSHIGKVPIAVPPSVTLSIPSTPN LPNVSSSSTSAQRVFSVTGPLGSASIPISPSVVLTPPSSSSPSITVSVRDPTVKTQRS IWGLTRTLINNAVTGVSTGFNLEVRLVGVGYRAAIEPIPPVFLELAKQRNPQSADSLP RERLNIKLGFAHPVLIDIPSDIKATVPAPTKIVLSGTDKQKLGQFAATIRQWRKPEPY RGKGIFVGDETIKLKEIKKK L199_004464 MGKKKRTQVFVLKPWCWYCEREFEDDKGEFRKQLAKLTTCSPAA ASEIQTFQMSAVPKETEYGRWSDGSHLTNTLPGRDGYDIEIFGMEGVPANAVAEWKSR KEAEAGTAALAAAAAALRPRQSYNVIPEADLRAALEQHKKLMAARNNPAPVVPFPPFG AAPPPFPAGPPPGFRPPFPPAGIPPFPPASNSPIPIGSPAPGILGVPPTPPTFVPQAS TPVTAQPVEVLPPRDGVMWPDATASPAEKRAQQPRYRYSSPTPEGETEEGSGVGKKRK AAADFL L199_004465 MDRAKRDLPKVRDEERERMFGSVYSVSGPVVIGENMRGCAMYEL VRVGHDELVGEVIRIEADRATIQVYEETSGVTVGDPVLRTGKPLSVELGPGLMTNIYD GIQRPLKSIQEKSQSIYIPRGINTESLSREIKWDFNPASFRVGDHLSGGDIFGSVYEN SLVDNHKIMLPPRAMGTITRIAEKGSYTVEDVVLETEFQGKTTQHTMMQLWPVRAPRP VAQKETASYPLFTGQRVLDALFPCVQGGTTAIPGAFGCGKTVISQALSKFSNSDIIIY VGCGERGNEMAEVLADFPELTLERDGREEPIMKRTALVANTSNMPVAAREASIYTGIT LSEYFRDQGNNVAMMADSTSRWAEALREISGRLAEMPADSGYPAYLGAKLASFYERAG KVTCLGNPVRQGTVSIVGAVSPPGGDFSDPVTSATLGIVQVFWGLSKALAQRKHFPSV DWNVSYSKYLKVLDPHYEKSNPGFIDLRTRAKEILQKEQDLAEIVQLVGKSALGESDK ITLEVARMLKDDFLQQNGISEYDRYCPFYKTSGMLKNFVAFYDQSQRAVETSDMTFAK VRDSAADVMYKLSQMKFESPNTQSEQDIQGKFDQLYNEIGETFRRMQE L199_004466 MGTRSIPHHLSRMLSCPSSPTSRLLLRRSLHSRPTNTDRDALPT TFLRRISIQAPGPDHTSERRDPTGYEILHPPVIAQSSSQSESSNGNPDPNPDAISSTT GLANATSLPAPPEGSTPFTSRHLQHPFDTHAFVSYLEKNDISRDSARALMEAVREMIK KRGTQTGETMVGKEDAENAAYLFNAALSELRTELSVQARNDGLALKAMAGAIRREVEG LEQKMKEDVQVLKHDIEMDMNNRKAETRTEMKGFDIYIEEINNKFTISLGDLRTEIES VKWDATRRAISIIILIVVATIAVSTFLASDAEPKTPPQAKSTTATPMMKDMAVGTDDE SIEEDLKTYTEERLDKLLSDSGVARLERRRSKSREHGKEEKKDLHVDRI L199_004467 MSPQYPARSHAAKVVEELIKLIPEADRGKTHGVFLQASPTLCRD DTDRELPFHQEANFNYLTGVIHPSCSLVLFFSLSSTAQPSNLSHNLFIPPEDPAETMW SVPPPSIERASAIYESDKIQHTTTLHAFLQSAVQDAPNVEVILHTLPSTMEYPALPEI VEKTQGLVRNTDHLFTALHIARMTKDSSEIELIRKANQISSGAHEVVMRELGKFARDR EAGKGGQKPRTGKESLSQWEIESEGDAEAVFVATCRRMGATQAYLPIVASGSRASTLH YVCNDRLFPSTSIPRQPGDTSFTPPQLARGCCGTLTPSHSHETSPTELHTKAFTPQVL LIDAGCEWQGYASDITRTIPVGNGGKFTKEAGQIYDLVLKMQKECEALVQPGIHYDTL HLHAHKVLIDGFIRLGIFKGDAEEILQSGVTAGFFPHGLGHSLGLDVHDSRQYLKSVH EAIPDSSKQTPSKLYAYLRIRRPLIEGMVLTIEPGCYFAPQLMEEHGAWSSQFVNQEV LNKYVGVGGVRIEDVVVVTRDGCENLTTVGREREWIESACSGM L199_004468 MSSLYGSFATLQPHQNWSTVFVGICLAIILRLVNRYLIILNHVK ALPNIVTLFFGFEPGTRTRLPHIPWICPVNDYTVYQPWLKYQRARSDLIAFPSLLSST SAYVTSSPSTAQYISSRPHLFHKPLHMQRYIGFNVFGMTLLSTQTGDEHKRHWSVVRR CFSDRQMASVWEAMRWSLNTFISEEVDQGEKGIVEDVREALIRVTLLVIGRKGFGKDF PWNLSETEGYDIPFEESLYVVEQSVLLQIILPAWVMKLLPLHRLQRFWRARKAFLAHL ERMYLDKRTELQTSGADSSIEEEKAPTDLLGALVHSQLGVEQEARLQGGDEKVAGLTK SEIIGNMCDAGHETSGHTLGFAVAFLALYPQWQEEIYQEIVFACGDKQPTYKDMHKLP LTLAVCLETLRLRDIVSTIMKEAIDDVFVPYTTWDAEGNITTRTHLVKKGSLFVIDSS ATSINPHAWGLGSMEFNPRRHLGNTPPFVSFSLGARQCLGKRFAEVEMTSFVSGLCRN FKVYPVKSHEEESWEEMKARMIDSATEQISFQPGRFAVRLERRWRV L199_004469 MPSATSRKGKSRAAPAEETKPQAAMPLGKQLAHTDKKVRDRAIA GLIAFLSQGGDTEGESSSYVRLDDDEMAKLWKGLFYCFWMSDKPLVQQALAADLAELL LQIKPKTASSQDRFDASVAFLEGFWDAIVREWAGIDRLRMDKYYLLMRKYVNATFRLL AREKWEKNAIQAVNRILTKNGGPMTWEDRRVPTSISTHIADIYLDELNKALALPEVDS QPACPLTAVLEPHTILLTRTSTSTVHTRLMSSVFSPLLESLTLASPSLSLQQDAERPT KKSKKEEPMFAHIVMHSCLGQEGQSDRSSARELKKGLLKRMFEAAANEKATESNRRKV YQVWREEGGDDDEEDEEDDE L199_004470 MSSLFGSSGATPDMAARKEQMKQSIQQELAIANAQQLINKINEN CFAKCITKPSTSLTSSQETCLSQCMSLYMAAFDQVSRSYVSRISKERGAAPGIGL L199_004471 MPPKKSIFRQPNAQHFQLVHRSQQDPLINDPEASQRVFKPMNRS NESGKKTEITLADLEKSVDQSAIRKNEGEAALYGITYDDSSYDYMSHLKTVGNGGFDS FLIAAPRGSGIARGMKSTGKGKGKAKEDDMFDLLPQDVLPSREQISVYEAQNRGEAIP RELQGLQPDMDPHLRQVLEALDDDAFVDDEGDEEGWFDELVHDGEREEEEEVPWEFRE WGVDEGPAPVGEPKEETWEDRFKAFKREQAALPDEVSDIDPEERSEMADTVGSLASNF DDMMVVGGKKRRGKRGPSDASGMSMSSSSMFRTQGLRDLDDRFDKIEMDYLYDEDEEE EEEYEDFPDDDTASVAASNFSVSSRFSLASHAAKAAGGPPEITRDDFEEIMDDFLENY EVVGRRMRPALGGTGLTGTERFGVLRAAIDGEDDLREENRRRILQIEQEDREIGKTRE KVKKEPKEKVEQPEEEKWDVQTILSTYTNTENHPGMIRSRNAAQMKARAERQAAAAAA ETELDGQEEGDATDSGSETERETPRVTVARPKGELAEEKKARKAAVKAERAARRAEKK SHTETFSTERKRQLASHKKLVSNGRAADVAVGNKGVVSLS L199_004472 MVLAVDLLNRPADVQARTHKLKKVVPEPNSFFMDVKCPGCFAIT TVFSHASTVVQCQGCATALCQPTGGKAKLTEGCSFRRKN L199_004473 MPRFGSTLPKMDEVFKSHPKPEVIKDLMTVRRHRAIDILQNQIP SKIVEIQKLIDQEEDPSSPFFKGQVLEVAYTDPKVLQPSELTQGNKPDGEGELGKEKL VLPQDITKPQTNDGEGLRMGPHWFEVVQVNPVQVKCMEIVTQELEDLHMLAQDLKVWL EMEIPVVEDGNSFGADVQAHLISQLTDAYKKSNSMQNGVRAHHGDRLKLAMDWAKFPN FQDFAGAIAASDRFDHFLLRSYLRSILTLYGGLLTKFERNWSKVINPKGSHETGGMY L199_004474 MDNSPTALFENYDEDFKQLLASLKGKLEGDVKQLKGEQRKAALK KVSEELDEAEEIVAQMEVELPSMPVSIRQTYQGRLATSKQGLEKVKKTLRDVRSENQR SELLSGPGGFPNSDDPYTDEPSAYSARTRLLQGTETLADGSRRLDNAHRIALETEDVG GEILRNLRGQREQIEHTRDTLVQADSSIDRAAGTLKKMIFKMYQQKFLSAGIIIVLVL LIFIVLWSKLFR L199_004475 MNFFNRQKTRTPAETVKSLKDNILRLDQSAAGEGRKRINEEISR LLASTKNSLLGEGESEPSPDVIAQIANEVYAQDLLSLMVIHLGKFDFEARKDVCHIYN TLLRRQLGTRSPTVDIIATRPDIIFNTLKGYANADIALNTGMILKEMLRYEPLARILL YSEQFYTFPNYIENTTFGISTDAFACMKETLTRHKPMVAQYLDANYDRFFNMYTTLIL SANYVTKRQSLKLLGEILLDRANYSIMTRYIASEANLKMMMNFLRDKSRNIQFEAFHV FKVFVANPNKPAQIAAILRRNKDKLLVFLKEFHNDKDDEQFNDEKQFLIAQIQQL L199_004476 MTSVESSSSLLIPPNPSLSPTKPSASILLNGNGRESTHVKIPEP PRTTTPLPHTSVFNAYPLSPSSSSSASPSSPTLSRSTSSPHPSSAALNSRRLSTPKIS FAPLPTIPNELKRRNSISIGVASRKHLLGGGNGPNKVVMSDEEWENYKKHYDEKSGND PIDLGQVAKQGAKALWGKVKSRRSSSVSSQSSITSSTSTTSTSTSNVSSSAPAAAAAP VSALSGSLTGLGTVEEDEEQYETVQTGRGRSLSPRRGNLHTIPGSPPPRQASLDSESS PSTTTSVISDTDTDTESDFGDTISVADEPTHPSYTPGNREAVAEASESVKHKFLHLEG MGDEKDGDETPRRLPSPPPRKADVEPLPEENQDEDEDEDEAENGDGRNTPGGYRARES QWEREHHEKKGRTEILGFDPERFGRALDLAAKNGEMERD L199_004477 MPVCTNCAYPAEYVYTTYKTKSNIRLGVCPRCDQFLDPLIEHPD LIILLDLILLKPRVFLHLLFNRGSPPFDADKGTSVTGEEQHEERKRSIHQDLWILGCL SILAETSLRLLPSLTNSNPRGIDAYQAIEVGLIVLVEGIAQHGTTLCLALLALRFKGW YPSSNAKNNMDDKKKDGRQQDFLPSLIPLTILYTSLVPLLLQLLLSIWYTPPPSIHEH LPVTFTSTSFFSSLPFEIPSGLLELENALNHAWARSDRIWVGTRLLAGMSAGFGLRVL LPTKPWETTMIVLAGWLGAAFVSQVMSGGVNIDYVAS L199_004478 MSLRPALRQRVSSPGETPSNSTPNTVSPSPSPSFSNLPQTTSSS RVSVQLPDRPRSTQYDSTIHLPSAMSQSGSAGFPRTRTNSSGQGPNDGKYRRKVGFEA FEAGPAALFAFTCQAKSEGYKRSRNTRVFAVAVSPDESGEDALDWLMSELVEDGDEVV AIRVIELDEGERQSHEAQDELREDAQRLLKTILEKNDEADDRRISVIVEFVAGKVTEI LLKMIALYRPDSLVVGTKGIRSKFQTWGRALGAPGMGSVSRFAVSHSPVPVIVVRPER KVKKTLAKRQNDPKRGQYAAIVGPDGLALSRSRSRGSTGAISDRGE L199_004479 MSDDQDQIMISSPECSPQTPAISPSPSLRELSMSLSLSRSNSPA SHITVAVPPPRDLRALLSNSPPPSPSPSPSSRKVDLDESVYQGPCNSDKSKSTISSPP VTESGLKGSLPPLKRVTPTLPRLNTRPPLPRRSPPRTSSNGLGAGFGLEAFRLGSPPR RHPQPAHQRGFSHSHIGRSFWVPSSTTASPGTPKNHHQRLASLPSIQTSHRENVYATP VSLTSQRGFLASAPTPVGHARRPSDEHREYMVQRHTLHSKHPSAGHQVALPHPHHHPG QPVVHRRYRSSGDMNGVRVTPQPTYRPTWAAPPPPPAPGHPGSSMISGQPQNLGRPRL QIHPYAPPRMDPRYYSAGGIGMHTHTPSGVSHGVPVAGLGREGVYLSPSSGMSPGGFK APRKRADDSQLAILNEVFEKTAYPSTEERDNLARKLGMTSRSVQIWFQNRRRAVKVDA QSAVQRAEAEAETQIMIRGPVPVIPKPYPIHARQRSENEEEGPSFRESMNFDRVIPNI PRDIPTSEALAVRRASLRSEDDVCMVKREVISP L199_004480 MGRTFRIYLAGESVLICRQCGNHLAVSEGVMSKQFTGQHGRALL VQQVVNTYTGEAEDREMRTGRHTVRDVYCRVCHSTLGWKYDFAFEHDQKYKEGKYILE REMITEKPESKRDHGRPRIEELPVREVLARV L199_004481 MLLTIFYLLLTSELAQAQSTGTISLSSPQSCIIVAQITALESPN AITSMIPICPSSPSVSINWPMSEAGDGAGSSIGVYSQLDGGHAGCVMSMSQMIAMYLL GRTLRWDESGQLYDEGAGEQVVDPLNQQILTCSSANRMLPSDLILPDPTSLPKDDPMW QQTIILGDNSASTMEPADEPTSSIALSETSSISSSIETFTSTPSQYTENTSTASTTEV SSSATAIESASSLSATGTLTAASLLGSSGSASTSSPQGVTVTATDFPVSIAQPVVNAT SVEAGTSTTSESTAAGSTSAPSDTTTKILEAATETAKPTTTSEYDVAPTEDSADASGT SASSSSSLETPPLQATATSDSSSQTAQQPTATIAVDSTSPTFSSLFVSDTTTIVIEAT SATELISSSAVEPEPETSSTVPSLDISGPSVTATGGVTSQEPLPSSSTSQSSDGISPS SRTETAPSQATTVSSDSTSNGVIAIETSVTTSPFEPASTESSLTESNDSMTSGTTTSP ATTPAEPQETGTETINVSSTSNTATPAQPSSTKAGSDDLDIPSVHIEPFTLTPESTTT FPTGSSTNAPHATTATVGTSVAASSENATHTLTGTVPVSDTESEVYVDQTITIFVQPT SLATELTSSTNMISDSPSQESSAILNTESTETASPDSYPSPTSVSFDIPSPEDSLEPN SISFAQHAATMSPVTVTATKTTRVGAEASSNKKAMNSASSEPLSNSSVSTSTEKATLS ASNVSFTGPGTDVPSPISTTDTTSSSSDLPTTAREVSESLQLPSGYSSSAPEFSALPI HQAAVNTALPDTASETESQSDYRTSSEDSSSDIAPSISIATLGSDFARSLSASKNGSS NTGTDDTLAKVSATSTAVRTDTEPSLAMHRRRHRRARRSMMSSRWGPGGGMGGWGGGG RSGWGGSWGGEWGGDEEEDSGFGGWGEAIAEGIGEGAQGGSALVNSNAKAEPLEQPQQ TVETAAPAIPSLSLASSPSESDAPSPTRDQGASTSQYLSYTSLIGSTGQAAGSTIRQS YLHSSAGSPQPTQPAAPVASSPYLPSRSIAIMSSYEASSSTPPPSRIVKPAASSVEAT SSRKPATYSFGLATSETAMLTSEDVMTIQTEMDPSKFDTAQSAASSFSHDETLSDEIV TSTWLSKTPPSTPVPEASASNSRPQAPDSSKGSKSHSANVATTATSTFQKSVTKTETF QHTTTQLSTFHDLITETSSTTDISTFQSFTTDIETYQTSITRTSSATATEFTTSTDVS TFRASTTELLLTTITDTLTFGTSITQTASTTTTDSTTETSTFRTLAYETTTLQTSTTA TSTAIITSTSTQLPTTTSSSTSTASESSSASSHTSSAGTPSFTGERPSSSAPASITSS SSSSSTEQPPPYSSIIQSSTERPSPASSSEGRPPPSSSSLPESREEPWTETPSSSWSE PASDSSQPTESLSSSEPPPSSVVEDPPTEEPTPDPEPESEPDTGPPVFSVDGSAVGQF TGPGPAARSLGDRDRRRAKPTKRGSAVTVPLPTITSRSIPSPARFATAIGPTPTGNVR PSLGKDDSQIRLKRHVLRSRRRYGNRIYTILG L199_004482 MASTLYPSLRSSLGAIIDAYPPTRQLTKPISPPELTDKVNKAWS DCSVNLNAPESSSKSGEVIRTVLEVVGRDAVVLPITSGELAEPTSEASEKEKQTFQIS LQDRLDIVLTLFEVVYASYPDTPSLEPGALFIPLIEELVELISVESWRGLWTYIETRS KRFTKDMPASRGKALPLLRTINAFLRFLPRTPDDLVFRGRVHQFASSVISVADKSAIN MRGDYAEVRTTWDAEEVKEDLAEENKDDKDGEGDVKMEDEVDKKPSQSEDSGATEREL DFYPTLWSLQQYFAHPPSLDGPAVGEPAKTPFHTFKEKTDFVLPHLFAQTQKEKALLG KDAEVIGKKRKRSAEDVESGGFFHPRYLTGQRLFEYELADPSFRRQILVQYFILFQFL LNLTPASAGKQAFTGGMPKTFVLNTDDEKWVISKVQTIRDELLKMVDGKRFEETVLSI ITREVHYAQWKNDQCPEGVFEIPPLDESTAKDAAKLWEKRLAPPRAYAFKVGSRPLSM LWNNGFKGIDQLKGRQKATNEEQLDEELRKIEEDEEDDKAMGIEPAPEVLAANKERKT SLTWRALRLASHNQLRYFKTLAPKRDLHVLMKAVKGEAEKPGPAVENQTEEEKAVEEV PEKEVLDIKDGGEEEEKLNDGEKKLDESDNQPDENTEVVDVEKPENAETDVEMTQEGE TEQKPEEESKDEVAPQEIDETIITSKVVEQSQEDGQKSVQSEEQNSDGAEKTTDKEVD MAST L199_004483 MSSRAAPLHLSPRDRERDRRYGRDERNEDRARTRSRSRSPRRDR DRYDDRDRKYGRDSGRSGYRDDRSRRDYGSPRDRDRDGRSDRDDYTRDDRRGDYTRDS RTDDHSRGDLKPDVTSRGGYSRDNPNNDPNYRPSPRPYEDRPPAGSAPSIPPSQPRAG SSAQPGFRGGYGGYGNRGGDHERPLDRRAIEEGRRRREEERAKGIVYTEDGAYNPSAE ASPAPKEEPEDELDPDDPEAQMAAMMGFGGFGTSKGKGKEDNVDGVAKVNKQRSWRQY MNRRGGFNRPLDKIK L199_004484 MPPRRLKVIPPEQPGSPSQISTTTITVNPAAAPKHTMINALEHM PPPDLMKTERDTTSDSDEALTPITSEQEEEVKHAVDEAVDRNLSKRKRGAAKKDISYV EEDQDGGSDFAASESELSEVEPEPPKKRTPKKKATPKKTKVKAEEGEGEEEGEGETPK KVKKVTPKKSRIAKDEPEYDEDGNEIVKKKRKPKVYPKKVYEIPDVERKTTTFRGRLG YACLNTVLRAEKPDSIFCSRTCRIASIEEEGMELPKGLALMNVRDLKTMIQWNEDNKI RFMRLSSEMFPFASHAKYGYDLAFADEGLKEAGALAKKYGHRLTMHPGQFTQLGSPKP NVIEASIRELDYQCEIMDRMGIGAEGVMIIHMGGIFGDKESTLARFKENYTTRLSEGV KKRLVLENDEICYNVDDLFPVCEELDIPIIFDYHHDWINPSSEPPAVLIPRIAKTWEK RGIPMKQHLSEPRPGAETVMERRAHADRCKSLPDALPDDVDLMIEAKDKEQAVFELYR IYGLEEVNHDSLRPPDPNPGMHTKGRKSSLKKKQKETGDVDSEGEPINLSDIEKEGDG GVGDTSVVEGHVKNAINDAGMEVDGQTPPKKGKAKGKRKSVGGDDEGDEAKADTEQGS AKKKKTTPKKAKKNEENKENVPDADEQIESKTEDVKEEPKKKPAKRKGRQSKEKAAA L199_004485 MSWIGSLQALPEQSQKYIPEEYQKYAPHLWLLGAGVIGIYLLRG LFSYFKILREVRGLPVKHGFFPAYEQGLRARVPHIPYILPIKDFYSRPEWDRFEKPRS DLLAYTVATQHRAIYWVANPYTAQHVFTKTSLFEKPTFHPRYVAAAKFGGNIISASDG DDHRRHKSVVRGCFGEEIFRNAWEEMDKTVELFLDEDKLREGGVMEDVGATTIKMTYI VIGKVGFGHDIPWDSQRSKKGEKIGFVESYEIVDRTMLYQFTLPLWIIKILPTKELRR MGDGRTAFLGYCYEMARSKRAELNALREAGEKSKAPVDLLGAMVHAQLVAEEEARLKH GHEFTPNVGLTENEVIGNMCEAGHETTGHTFAFTLAQLALHPEWQEEVYKEIKEVCGD EKPSYRDVHKLPLCLAVGLEAMRLTDIVRQLFKVAKEDSMLPYTTWNEAGEVTKREHL VKKGSLIYIDTPASQLNPFYWKDANEFNPRRHLGADDARASLNNAEVPFVAFSMGPRQ CIGRRFAEVEIICVIASILSRYIIHPVPTHAGETKDSMEERMIGSAVEDVTMTPGKFR VRLEKRH L199_004486 MLILLSLLALVASLLSVRAAPSLNFPLDEQQPSVARVNSEFVFE LLPSTFNSTSSTNLTYTTSTLPSWLSWDSPSLAFHGTPALEDEGEQDIILTASDGSGS TESSFTLIVSNYSVPAVHQSFYTQISQPNLSVISSATIMPGGTGVTIPPYWSFSLGFQ TDTFRISRNEPNNGQLYYAAHGRGSIGLPSWLTFNNQTFTFEGVAPAEGSYTVVATGT DFWGYTGAQTSFSIQVGQGEAIEMARNQNLSNAQTMAKNQLNQQIDLSGVTIGGNAVD NEDVVLSLASNDYPWLSIDSSNDSLVGTVPDQYQNGTFASLSVPVNIRSTNTSNTLYM TTWLGIDILPYFFSTYTLPNTTATLGQSFDFDVTSYLLNRTATVNATVVPPEAATWLK FDTKNMSLYGSAPSSMDYNRVEVVFEASIGDLVATSKLNVNIPQVTQTSTNTGTAAVP TSSTGSSGGKGISKGAKIAVGVVLGLLLLVTIILILLFCCCRRRKNKKPARKDDDNDS FVATSPVTVQDPFRRSNSLDPPRNLLGEIARFSGLNLRSGDANEKNMPLSPASLSTDA TMVQEKPTRLDGLKGIFGWNHKEELENEKPAIISPQILSDSRSFIGYPDVIGVNDPVN RPSQDASSFTQSFISESSRASWQSRESFHWSSVDDGEGEDEVASLGAVGGNRISTASS IPRPRPNFTPRYPRHQNPSVLARMVDLDDTGSHASFSEFHSSQEGHHRDSSQSGSNFD SSGFDHSSNSMMASGSIFNSQSGSSFPAGPSGLSRFGESGGFKSNDTDDEDAFSVEGP AVVAMAERQSFETRRPQRQDSRSHPRLKESLSGVFSDADDVARRSTIYAPSEGNQDEN NPGLGYPASAIYFGSQNGEIETEGYTSQRTSTMDQNNNRGSTIKVIPNKSGQTPLSPA LPQVGSFIRHRRTNTGSAGATKAMIRPTSTHSNGANDGRVTAVANETFSIHPQINPPP TVSLSAATWSSNPPSTYRAEVEGGGNLPTWLHFDSRELELWGVPPLRITGDVTTIRII ERLPRDNRRSDPMSFGYEPPQEREVGRVTIEVTDRLKSPQFALEGSPHAL L199_004487 MPPKEDWEKYEKKVGDEKEEKIVALDESDIQILKTYGQGPYSLA LKKIESELKEIQKRVNEKMGVRESDTGLASANLWDVAADKQRQGQRPLQVARCQTIIK ATNPQPEGQALNPQDGAGAGNPEGDKYVISIKQVAKFVVGLGEQVAPTDVEEGMRVGV DHTNYKIMIPLPPKIDPSVTMMQVEERPSITYADVGGCKEQIEKLREVVELPLLEPER FANLGIEPPKGVLLYGPPGTGKTLCARAVANRTDSTFIRVIGSELVQKYIGEGARMVR ELFEMARSKKACIIFFDEVDAIGGARFDDGAGGDNEVQRTMLELINQLDGFDARGNIK VIMATNRPDTLDPALLRPGRLDRKVEFSLPDNEGRSHILKIHGKSMSVERDIRYDLIA RLCPNATGAELKSVATEAGMFAIRARRKVATERDFLDAVEKVIRQGTKFSSTALYAQY N L199_004488 MPLPSASAVPSNLFIHPSRLANFHAGNSSNEATTSASSETKICN AQRPVNSGVNCVPLRPTVRKRRVPVWNLPLRPLSKTARRKKKAEDKKKAKFYGNFSSA PAGSASAFEVEPEGRPRKLRSAIVAEGVIKDHLERDPNGTGPINEEVPIQEQRRIDTL EASIQYREQRPSRRSSAITAEQVIREDAENHLQHSTGHDHHLNIRFIRAVKSQAHPAD GSQYRNTVTARNTEKEQNQKKKKKDKGKRKQEDTESRTQRKDRKRKKQKDKQKRGKDS IELGSVLNDLEEMAMNQVNHSLLSGSNVQNAITIESSSPAIASTLEPHVSATSMEEEA ERIEYGPRPPRATIPRESTPETVEEAANNPQQAETGPSRPRIYHVRYPVGGISYEDDG DGIFVDPETETPLYIWIDPALNDRSSLIKKIQAEGGEISPDHTEDETSLLLLDPSSIY LFDAYCHSHWLRPRDLERYQQRRERRGNQREEEPWQKKVILKAWWIDKCIEAGKFLGL ADDWGGCRAGGPPPEVQISTEEPGEEEDGGQEDEENWQDENDENHEDNENDSITQGQA QEIEVGTVPEEIDAIEVDGDQQDTQADEIQPIEADHSNGLELEADQNDDVLMEDDQDI NPVDRASASDTVADSPTAATHVPAEVIPQNPGSPVHIASDNHVNNDLIEHASPAPEHE VRAGALSDRSPEGEDVQMEEEQAQESSDPASMFSELKFWVDTTYPDRITLIKRIKAAG GELVTSYSDSTHVLIHNYKHSQWHSIVESLTKQGIWFLNFAWLTRTLSQSRKLPESEF AVVHGNPIEANQDKKPITHSRPTATNEPLLASEDLDEIFKREVKMLKKGGTVKALIAF LLSKYATYSEAHWGNLYHDWKHKKGRFAYLAATVPVNKSGSDKSSRSPSVTIKATPAK IKSNQPALSTDQIARILISETPNRTGMNVTEFSVYLNQKYPEYPASTWSALISNWSKR TGRFANFQYSQLSSITATKPTPSAGSNTVLPQATSQSSTAPSDFSNEDYQRIFSMDVK DASSKSLTAIGKELAAKHGKLHATTWTVLYSEWSRKTGQFRNSGNAVVSSEPAVPPAD IRGSSISVCTKPSISSPTKATALPVPDDEEDDPHGSLATQEIARIFKAREEEFTQRKL TSIEIGLTLYTEEGIYPRTTWLKHWRHWRRNKKQFSNHPPDLQSTVAAKGYADASTHH DAQPSSSAVDAPALKQSSFSSSPKKRTQSSNAKSGRYDMEEEKAMSQYISGYKGSHPK TSAQAWTLFASIRPGRTASAYAQHYTAYAFRIDSFAPKHRAADKEEDDNTVLGIDVDD LIEPPNGSQSRPVEIEDDEDEQNPIVVVYDDQDDTDCIEVASIDD L199_004489 MLQSSSSPSPSPTPATSPPNRQSPAAAWIQRLNAPRSTSFDAGL ELNRNGNGVSALGYGRPGQEEMEMIVDENGAGPSNPAVIASDLRRATSLRTAGVFGGA GRETVSFGAAGSNLTSPPNAAAQLFTPPLASRHPLFSHHAVQAPASTGGVPSVHSSDQ GPSSPAFMSDPGPSGPPSPSASDLSSSAFSPASAFLSHFSSSMSLRPPQNLAPDAQGA KVSDYILGKIIGRGGFSTVRKATHVHTGEILACKIVKRDDLSDRSGSLEKFEDEIKIW QSLPKHPSLLPLVEMHRTPFATFLFTPYMSGGSLLDVLQREGGSDKTARKWFPGVVNA VQVLHEGHEGFEGGILHGDLKLDNFLVDQSGSVMVADFYMAQKIQDKDHNSHQEMNLT VPPPLVVGHSSPSGRHSTLPAGYHRGPRMSSPLPSSKHRPNEHPLPDNITPHPTQPFP SASLPYAPPELLRAPPAGPSLAQDVWALGIILHALLTGRLPFVDAFDPRLQMKILRGA WEEPAFLGREWLECLHGCLDGNKETRWTVRRVKESDAVSGWAEVKSRSKSRSRSRARM GMGLNDGYVDTIRRDGSSGPVSIGSPFGRGRTRKHSTASSGSASASRDRMYQQPQAHD DRLDVFTSTSRPPSTNNRRDDPRHPRSRSASASRSRSSGNDHRPMFTLDAPDLVRSLE SVDTARGRTTRRGETNNGLNIPGLPYARHSGEVAITGLPIPGYSNSRSRSRSRPRIAI QPQSAPSYPTNFGTSLSSATTSSYNPMNVPPHSPGTSRSRSSHSPSVSRGGRSLSRSR DSPVWEVSQQAPERYSYGRELDMVHEEKGRVIHEEDRHESQRGRTGRSRSRGRAGRGY L199_004490 MTPPYGLAPSSKVAATLPEYYAPVTSSLGGIYQNLNDLETLLPE ESVENRTHQPRYLQDCIHDPMITGSTPQDDQAPAAGTRERVNVALPPKASLISTSEYS ADTLDSSSAKGMFRNDMIRADYGVNNTLTQALWNNKNILSPSSSVLHQQQFSSDNTQM STIIAPDAQISSKRNMKRLTTEYVSTRGVTQHHFEHAMGPTFTGNVNLKRYQTPGRTT YSLEHTGPLQDVTIWPTDEPEPGRSNIQVQWSCSLTLDSRNLTAVPQVDDEGSLTVQS IAQNSSNPFKPVKPKSGNGTVLYKMRKAFMDEIGAQMSSAKATKIPTSIISFERSVNR VMGYQGSITSKAGSRGRQTKLTVPLQVEVSLAFSAKS L199_004491 MSQTSSSFSQADRSRLTISAQTVLQGHPREWVTNAVEQVESYMQ SQSMDMSDTATALTKLSTVCDEIKSKPASTPKGKRAIG L199_004492 MRSAPFDLIQKWIPIFCPAKGPMRFQGRHIDQRGVEGDAQWAKG QWFGLLINQWTNPRYLDPPIVPHS L199_004493 MGKFSFRQLFKPKNRPSEPDYLAYPPESLPSGPSTSNFDVNCNS KLILPTDLIPLITSFADQPTLAVLARTTKYFNKLAIPLLYRHIKLFSAQAVKYFCLYM FYVKPEDQIKLIRSIHIHFELIKWDVDLFTLRKEWPEIAPQPWATAILYDIPELVITS SGPTKIAGNNMAHRTLLDDLVGDWLNLLVGIYGPRRFIGKHYTMSEESRNDRGGEEEK AGVNWSEQTLFNLMCRWKNLQYLSLPIIPYRHNLPAGLLASLESPKGPYKFLKEIEIH STNFEQFGGNGAALVQWLDAVSRRNGEWMDPVEEKNREDREEYEKMGLPIPIKMQGEE PDRRIILRIREPTENSSIGEVQEWIAGKEPRNRVFKTAAEGWVLGR L199_004494 MMFAQFESKPEPRQCPLSTEIFENIISFVNDQQILHSLTLTSRL CNQLASPLLYKSITLSSRYQMAKFQAGAPSWAFHAVHHIEMAHTYADDIDKEKTESLE IANILISSRRKKILKYPNLLSFHLTYQERPFDTMRCTAPRSVLCMLKSLILSQIDPGN AKEGKMKFLKVNYIKNSTQYHHLELPCKDDELLYLYDLLLELRWKYKSIISVEASFHE LANWNMGLVESAEQWRERIMNV L199_004495 MGLKNKWDKRTHQRHHKQSKYLREDDDPRSPKTARSPVYDGYIT PSSSEGRYIDNSTDSRDSRDVVVSSSSDRSDYSGYSGLSSDSSDHSISAPQMRRTRGR RYHSSDASSYPESVTSNSERDGISSSSRSSSSISTGSPISPRQLYPVYERSSHSSVSS PSNSRGTLSISEGMTGYSDESSPITRSDRMHVPPKGRHERKAESDVSVHFDRSPTYSK RPKFMALIGKGLDKVAQTVGARR L199_004496 MPYRAYPPAQLVPYDPRQEGLYMVAGKGDGSPRKVVAYYRDGRN SRLNGYRDRRREDRWSESSWCSSSDWSGSSDSSTANSMRGLIYNALANLRDRFCDTMY IDPQPPRYRVNRRVPSTRSYSDSSEEYWNRSGSESSLSSIPSCYTCSSASESSLPDRY RVQPEFMYPVRYREHRHHPKGRYVRERGFWERIFGW L199_004497 MNYTPGSGSSNGYPMMIPQSSTNTEGTFNSQQQQQQGQPTMVNP ADLAMIARQGYLQQQQQLANINQQYGARQMYGMTPQMQQEQIHRQLQAQQAAVAAAQA QAAQAQAQAQAQGQGQNQPGRKKRGPKPSVGSQMIARQTASFQPPNSQEHYMKNAMAV PTSSQQAQTQIPPQGVSGEPVEPWADALDELDPREIAMGRFRKRHEVLSEVFSPDAIK DIPNGEYDPWTGLGMDSETLEAKVLALEKENEELEARSNTIVEDFKKRLQDIDAGVDV SRANAVEA L199_004498 MSESSSVVPPPSYHSRPAPSYDARTSLGDMSLHSLTPTTLTKPQ QKRLQEMIRGSMKSTKEDKIPSSDPWVDGLTDDGGSFFTAKGTIRSLKPKKNDKGQDQ EEHEEVDEDIMVLVRQPGKGESTISPQSNHEREWTYGETPMPSRVLYLFHPPKSPQAN YIPTNVSLNPWAWVEAARESLERAFWGILMPSVSGVVGVTEKVGHGFANMINILPSPA TLSLEGSVAWSTSSTIQRPEDPKPEIKRRNSTYSFSASASLRKEFQAKRHLYDQETLY TLRMPPTKARAANGEGGLDIGPTAKPVHEWNGMNMGMGIGMRF L199_004499 MIKSCLLSFFALLFLVCTLAQPTNVQEYRPRAARSALPRRSSER DLYVNNKRSARGLPFKRSLCSTNAECLRKGLPLLKPVRRATGTRALRPRQSDSPEVYS GGIQVFNSDGNSIGYISKNINPDGGYDLTTSPYEAVSLLFSQDGSDPFTITISSNDRQ AGYPYLGGAFNDGSSNIGSGSSAFALLSGISREISGTAQPDDEGLENTRGLYGGQETA IFLYDSSTQAITGRWTNTDSSKQDTIFFYGSGQNYGFGMIAQSNYQAFTQDFDSASPQ IVTFKYVTLPSPEV L199_004500 MWTIRLFTIVALLCLTSVYSLNPANAGDRKSKSLCSTNAECMKI GQPLLKPTRRYSRNRALRPRQSNVPPMYTGAIQILDANSNSLGYVSRTLNQANIYDVT TDLNNALVVSFGPSDNGPVPFTISPGTRTAAYPYFGGAFNGGATTANMGGTGSSASRS YASFVGAASEVRGKAQNTPTTFQPRGNQGVETDVFSYNSATNQITAQWTNTDGSVRDT IFYSGQGGYGLGMISPVNFAAFRSSFPSANPQIVTYYLVSPPAA L199_004501 MATVHPSRMGLVPAGSSRSSAPTNGEGSNSREEELRRKLMERKR GGDDRRDRDGDRDDRRDRDRSRDRDERRERDGDGDRRDRDRDRARDRDGEGFRSYDSR RDERDRRDRDRDRDGGRRRSVDRERERDDRPRRASPSYNAYDANSPPPPPSGNGGGYG YGRRDDLPSGPPGMPPPWVPKMQSSQKPNWQNPPPHQRFGNMDLERRRQERENNPLSI WPESPKRPYQDEDELEAERKKNKSKSKSSKHKSKSKSSKHKTSKHRSSSETDSEEEER RRRRRKEKERERRKRYDTVDSVSDSEERRRKRRRSTARDEEEKEEDLADQWVEKGGEV VLIPSEKDKQKIESHHHESPEKVVQKRLEEDSDDEEIGPQLPTEAKDKGMDRSAFAHM RPGEGEAMALYAESGQRIPRRGEIGMEAATIEKFEQSGYVMSGSRHQRMNAVRMRKEN QVINEAEKRAILKLQREEKEKKEGMIITQFKEMMEENLRKQGINRP L199_004502 MISSTTTTIYALLFSITVSAAGPHNRFGVGAHRRHHARAAAATT TSVERSTLVEQITIEKREDECTLGAWKCVGSVLQRCYNDQWNFVTNCTGTDIICSDGL YTTGCVWTWSVEQNDDANESATSSPPFTSSITVTPTSSASDSISASADVPSVSITNAN LAVEPTATATSETDEECDDDEEDDEDQDEDDDGDEYCDDDDDDGAWEEYMSSATTVPS TTATSATSVSPSAVSSPTSAIGGELIAGGDEDDDTEGDEEECDESDEESAETDSVSPT TTSSATATSIIGGQLWAGEGDSASASTHDWYSWDSASTTSQDWNTWDQATAAPTSTSQ DWDNWDQATSTASSSRGHGKGKGHKSSSADSAASTSSSWDQSADAGGSSDKWSTATTT NSWDDWSGQATASSAAWGQSGKASATASASASASSSKSGTSSNHTTSSPSGSYSSAPH YVIYADNWLTEMPSVDVLSNFNRFILAFWMTDSGAVDNAQFWEQLDSDTRQQVLTEYH NAGIALMVSAFGSTDSPTSNGADPAQTAQKLAKWVKDYGLDGVDIDYEDMTAMNSAKA VAWLVTFQKELRSLLPSPYIITHAPVAPWFTSANDYSDKAYVAIHEQTGDGIDFYNVQ FYNQGSGVYEDCDSLLFDSGNDWPSTSVFEINSSAGLPLDKIVIGKPLDEGAAANGFI DASLLSKCVSQAQAKGWNAGVMFWEWTTSAPSIMGTVRGTT L199_004503 MRSSLFPFLLTLLSSISIILAFPSPIFSNKEANKEVWSLPIDPA EYNHQTYSQCSTNAECFRKGLPPLKPATKTKRNELKPRQSAGVNVISGIIQVLQNGSP IGYVSGAVNTTYGGYGLTSTAANAMSVSFTPNGNTPVTFTLAASQRPAGFPYLASVYG GGGSAMNLLTTDYSYTFITAANVVIPVGPAVASASNNRFNRATGTETSLFVYTASTRN IAARWTNTDNTQTNAVIIYDVKFALFYLIGNFAQFQARYPNEGEYVVTWKLIPQ L199_004504 MRLIKRRSSTNLSQQGSSNSSASSPADTPTSTPVAIPPLPSSST FDDGPSSSPTKSGSLRGKKKRDSQEMKYPPTSFGQGGAGWTFGRMRSFKGKSRASQDG TVSGSEDEGSVNGKGKGKKPSLDTLNVNSLSSPTSMSPSSPVNYSIPLHAKAPVTPFP PPTPPYLFDNVTTPDFTESPSSGSSSTSQYPYPQPNQSLIASTPSMPHLAPVAALATA QTESIFPASGSRPPLTTSLSINSSAPSCKSGESLYPLIPRTDDGQPQKRVTTSPTSPR KLTKRRPVPVVYDQVELMERPSTSSDQGSYNHRPARNYNTSPHIYTVLSAPLQPSSSL PLPEGAAPPHHLNWPLNRNPSCSTNASSGMTPLTDESGTLETPNNAVIIPGQGEDDLW ERIGEPRPPLSRGSTFSFKEGDDGTIHMFKREGYFQQTNSRDISSSSGESQTENGKSY STVSTDSSPTFHRTSPGTKYTPTVSSGSDITARQPRSLRVSTSNLELKTNKSETLISS TSSLKKRSVAFEVQPIAPEDTTRPNQDGTSRPVRPPLTRLRSSSLGAMSNNTNSVYSV GEVTKATTAVVSPARALEITGITSEPATIRGGIAGMLADFENSSEAVRRAFENERQRG DWPLSFSEEDPAPQIPVRRIHTARPAPPPPRLASSLKKNARPPLSPILRSSASSNSPK KLVSFNANTTTTGDEEVAQSSPKRPSMPARSSSMSRLWRRLSTGGSGVKMKKSKSSME NLKEGIPPVPQVKKNEWYGSLSDSPTKMASNSLEKVMSTRMKRSKGSLDLTSIGKENP DFLSSLKPVISTELERPTTPSSTSSKRSKGKKRMPSIPPPRSQSVPLPIRSDTPPVIP PLSPAPPLKNPLPSPELAPVKSDHVPSVSDNLNDAEAGPSTSTPVRRSFRKLSAPPSS GSSWKTPLGPYTPPLSAIVNDYFRDLKTSTLLESSESLFNREVTLHRTSLHEDIEYQS YDAKRRYRQSLVEIKDDQAFQATVEELVKLESDGRVRITRAGGAALRNDQIPPMYRTP SKDLLEKQARQENIRAWFVTRELVQGERRHGRLLAKGVAAVRLAAQGSKDLPPVPPLS TDDNTVAPRPVQPLGHARSGSGNIKTPSRLRRSRTSNPSSSTNTPAPSRPTSVTSSPT SNSIPLPPLPTAYSYTPIEILLIQLPKLYTLSLKLSERFEHDPSPYGVADAFVSMEEY ITKEVSEWAKRIGELVYSRIGDELNRILENQKLSLSTSKRGRRRISEGANGNDGLDME GEEEEEDRLKFADIIIVPIQRASRYKLLFQELSTKLPPTSHTSLKIQRALEASMRLAS ECDRCQSFDLNALRRQGKKGKRVRPVSVGPGVGVW L199_004505 MPHSTTRWYTTTLSTTQENNLISSSRRTNGAEDIVNRENSPTLE PSRITHTLTTQCTTKTTHRRKSHSHRDRDRDRDRIHPHHHHQHHRGVTTRPYHLSLDN QGNTPNRGTTNMAPSPRSQGSSTEETDRQLAQDALMQESAKWSLEEIQAYLDRIAIDE SETITRACPSPIPDQTLLLSPSSNSSSSSTLVPQPVAEEEEEEGNGRRVRFDLPESIN PMRLFQPHFKDHLGDNKVTIFGHVAIHPGPDELFQRSQEPGATTPITSATKEAENKLK EKDRGKTYFPKLDGLRSLTEIIGNHDLSKRRNDRVTLRDDLTSSEERLRAETKRLNDY PTQEEGRKFIMDIIENRLSEIDYRIQHEMNRDKRKRYRELQWDLTKLFTEVRDTHQD L199_004506 MAAQISIAAGKSHRREGSKFVDALPEKGVLELRSEDGEFTHFSW KNRVTGQVEDELLIFPGEATFTQVEQDPSGRTHILKFSSSDQKYFFWIQKSDRQVISR AQVDIDHLIQDPSYRIGSAPLPIPSTPQRTQERSWPPTPGAPRLSHPEPAPRSAASAS TPAVAAGSSSAAGGMNIEDTPAQGGGADNSQDQMARMLAEWASGGGLAPAEDDARLTD VLSPIQMSTLLTTHPTLIPTIVPLLPEGLDLPPNPSAQDLLPILSAPQFTDAIASLDN ALRSGGLPSGMMRELGLPENAGQSVKAFLEGLIALKRDGGASGGEDERMDED L199_004507 MPPLTKAQASEIEEYDYFVIGGGSGGLASARRAASYGAKVGLAE ATPKLGGTCVNVGCVPKKVMWYTADIADNLRKAAAYGFGKDGEGNRIAGDFNWTELKH KRDAYIKRLNGIYETNLVKDKVDYHSGFASFIDANTLQIDGLDGETYQVKAKNITVAV GGRPTIPSEETIEGAQYGINSDGFFDIEEQPKRVAVVGAGYIAVELAGVFNTLGTETH LIIRHDQVLRTFDPMLSEVLVPYMEKTGMNLHKSSNVKKVEKTSSGSLLLHVDSLDKP LEVDVLLWAIGRHANTEKLGLEKIGIKTDDKGDIIADDYQNTNVPNIYAVGDVAGKVL LTPVAIAAGRRLSNRLFGPEKYKNDKLSYDNIPSVVFSHPTIGSVGLSEPEAEEKFGK DNLKIYKTSFKAMSFAMLDENHKQPTSYKLICTGPEEKVVGLHIIGEGSDEMLQGFGV AVKMGATKDDFDSCVAIHPTSSEELVTLR L199_004508 MAAVASQPVHAHQQHQQYYQHQHQQHQMYQHHSQSIMEDASDDD HDHDHDHHMNGHDDDEDDDESIEGDVNVMDPAHAHFYHQQQQQALYYQQHQQQHGSQT DLNNPAGPSSKQNMNNQNHGGIEGEEEMYSDEESDTDSMPDENIDFSLTYALHTFLAT VEGQASVVKGDSLVLLDDANSYWWLVRVLKTEDVGYIPAENIETPYERLARLNKHRNV DLAAATLLEKQAGTVQGREKLKDVIASKAKGLRRDLSGEVSAESGGGGGRRVVFAPPT YVDHPGVTWSSDEEDEDDEGHDEDEGEMEVDQVENESTQQQHHVQSGDVRHEAEVHKI EQSLEPDSEMEPDDGVEWADNAAIEDQRRVMEQKQRQSQLTSTVQPKSNNPFAPRDTT SNTIRPSASNTSLASSSGGSAIMDPAQAGNETRRLTVTPAVASGPLLPSAMQAQQSGT SRSVSGQSAQSVQSVSSVVSTGSSQRSTTPTSPEEMNKKGKKMKKGSKEELDNGDKKK RGMLGGLFHRKGKDKGGKGVSGNDARSSEESMVSGAIEGGPNGQQRRSEERSAPPSSL SQLQQQQPSQQSQPSQQAQQQNGGISSHGLRLQQQDQARMQSYTSKYLNKSPSSDLHS PTAEEAAAAVAQSAAAMRLAASMANSMANINGSNNGGSANGVRPSSIILSPNPAGPPL LNVIRIFAGDHIKSESSFKTALINETTSSSDLIKQAMQRFHLTISSSSSHTMDNGYFI TIRDVNGEEYELTSDEKPLIAFQEAVQRWANESDEDLTSRIGAITPTVKRSSVSSLSS VMSLSNHPAIAKLGMNDFSDDSTVKIYLNRRRPGSVQLNNNNHAVSGMPEPASEFSSY STQLSTVQESEGGNETSPENRSGEWTNDGQHVDGDVTPPARAQPRFNNSLSIQINNNN NHELYERYSSPSARFTIQLIIHQKDLPDNLAFDPSSDSIVPKSLLKERQVQPSSNGDD SRKRLFLVPRNVNVIEAIEQGLERFGIQEGVVDGGDDVEDKIGKRRSVTRVRYNLAVV VNGEERSLSTSSRILEVYDHPPNLRPMEKTTPAERRRSRDLQNTVGSPNDILPTDPVF VLRRVHNHQQQQQRGSNGLANAKIDSATSTPRQSTSSSNGTDTRSPAEIIAAQRAASR ANQKALISAHTNQTQGVDIVLPDQKGTFRSSRLIENDGEEVVRYSYIDGDGETYDISE LLEEEWGGDSQQQPQQQSSKPALNRSGTDQSAYITAPSTPEEGLEKDKVLQELPGTRR RTNSQSQDILKGVVQSTVEQGQEKNLQEKLIRVIDKVKSGSVKGSTSSEEVVAASQHS RIERERESPSPGRQTPNGRSTPQHHPTLSSLPEGKMSDLNSTPRASSNNTFNFGDNSN ATPRSNSRTGQYNSTAQSINKIIYSRHRQQPSIASIMSDLEGTNSSSRRQSTNQHDDS YDEDEHDDGTEGDRSSTPVTATSSTHPTPPFNGAVFTRAVSGSISPTPRGGPVRYKDD FGIKEMMAIIEIRAREYLPSGTTSRTGGKRRGSDKSTSTSSTSSNDDKTREEVEELDK LLSGEKLNLDKEEGIHPEIKNCFESQIKRLEKFENDLDNLLAQVAEL L199_004509 MLGPPANVPLPPSPPYSGEDSPPPTISHLVPPNGLRTPDRTPSI SSSFGESSSPTPQQHGQADVSKMLRSDDDERIAGGVSKRQNSPSDASGIGSGDGSTKG HQRRYIPKLEDFQLIRVLGKGCAGRVLLVKHTPSNSVRAMKAISKRSVLTHDELNHTL TEQSILKRFAVDEPNNRFVSRLHSSFTDRENFYFVMEFYPGGDLATQMELHGILGDHR TRFYAADITQGLEDLHRHGIIVRDLKPENILLNAKGHAVLADFGLSKEFSYRGEPKPI HVVTYPGQPALPPWAGQGAGSLRTLASGQKKLMVDKAYSFVGTSEYLSPEVVKRGEYS YAVDWWALGCIVLEGLVGRVPFRKADDEPPMVLWNRILFDPWDELFHDPKMARFMPDP VTYNFIDALLQKDPMWRLTEPCVKQHDYFALLDWDTVQKGEYQDPHGLKLHPIAEYNT HYFPKLCLEEDPSVDMSTHEFRGEDDFKKTPLNDNALYALEQAKYRYELEGFAWTRDE DGYETVEESEMEYSITEEEVQKQDEHQIADETENRVAIELTEGDISPGAVETEGFGSN SAPDMGEDFKEERYKDEEDVTIQPISDLGDAAVPAPSIAATGGIPKGTPVLGSPAAAY SSHLPGVASPASPSLATGSTSPEDIAVELLKTVESVEPQAGTSSTEDPPSSAPSRPSL DKALPAEPGLDASPPPRSSDDKIAPPQSPVSPQLSTHSIQSHPVPIPLRPKPVRQSSQ EISHNLNLPQGLPSSGLSVSDIVTVPSPHPGSPTRIVRRHPQLPSVDTIPIARLSVEL HGVRTYIDDEEWEELSIPEGPIASAPNGNCNNINHSFLGLGRVLKRRPSTLLGNNTSS HGGGGSTLKRQIKHSDTSSSHQGSTSPTKSHQLRPNLFSSKSIENTKKAFKFGNKLKT CPKLKSLTSPDITTTRSVKATPSPSGHSPLVDPPITSSSETNMSNSENKEDKDKERPK MGYRRHTESGLGWLGRKKKISSIIPSASASSSTSSNISVRKQSITTTTTVTANTNHGP SSPIRKNSLVGDKDRAVSSSSSIVSVSKDDRGLPRLELDDIQLSGLDWEPFNGKEWGV K L199_004510 MSDTHDKEKTQVHPDSGVSTNEGQQTSITSTTVPTTTDSAAAQS QQTPESGDAGSVDQGVEKDAGQEDQNALNAVPATSEEEEVNASTARQNQVEHQGTANT ADDNDVEQQANHNMMNLEEHGLNLDDEEGFDPATLANLAALSRIANEEGDEEGEGEDN NQDQNRDQDQHDNDALEIHSLRHDGPLTREQVQEFVNNLSHQKSQDKENEEDPNKNKD KGTKDRGDDDEEAREAEADEQDDKLREIDQNDDSRNTNKNKDRKQEDEEYEDENEKND IGPGGKQKRKRNRTVLSCTECHRRCDRNIPCSRCIKRGVPGMCRMEHPVLPQRKKRKV QDDEDINYELGLRVQALESLLRSGSLMDADQASAAAKETIMHATRAANAGSQDANNAL AQLTQSVAASGGIGGLSQDAQSSLLLDVLQQLTAASMGRPLDQNGAAEGSNRRGLEVW SAIPTASQETSVAIASAYENDEVGVKINLSLPGFREDNGKIFIPPTVRYVEKNLRNEN ILAREALPIEGYSPFLDAGIKFAYGSESQAYRHRRIASIQAFSLTGALRLAATFLSRF PPVPGTKSVFVPSPTADEDTTALQDSGLEIRSFRFLDYKTGGVDWESLREDLQDAPPK SVVLIQVSGSMPSGAELTTNQWRLLAALLQERELIPLVMMAYQGLSTGDTNRDAQALR FMVHEGLPVVLVQSFDAMMGLYADSPSIVSIVTQNAEDRDRVDSQLRSVARGMWFHPS PWGAQVAHQILSDAKLYPAWLAEIKAMSDRLRSVREKLYDLLANKLKTPGSWIHLKRA SGMYCTALLPPSQVDALTSKRHVHLLPDGCFNLGCLNATKIDILARAIDNVVREGIKE MEEQQAQRLAMELALAAAKEQQAREEAEALELAAQALREEDTLLMERSIANAIERQRI AEMEEERDRQTDEKQREIDRRAAERAEIAKQAEAILASLQPPNHNGGMGH L199_004511 MVSLLSPVNSPSVLSTSRWDPQIPLFKLLAKLPDPHPRQPTDLV IAFGTIFFMQLMLSTPHTGGWGLLRMGIVAPICFGAFMYLITCQVEKDDMTHWGASIL SFIYMMRILEYFVFFPPEQHCHRIIPKSHFHPPVGPLELPVHGNDNQPSEDENEVLIP EPVPRPFTLAKFYWAGSLIWSYRGIGWAHQCPLPRSSTQHPYTRKSSFKEWLKIQLPY YIATYLIEDLCRAIRNVIGNDFFSGPNHLPYDSLTQSQRAVYSIAVVSRIHFGLILTW FNTAVCMIVLGKILGWKGELWEPWGWPPMFGSLENLWVHPGLSTMWSKTWHGYNRRWL YVLGWIGIGENILGLTHTGISSHPSIPPQPEHQRNNKSSGTSSPSESGRVSPSHPIAS SSSPDEPNRAISTKLVISNLVKSFITFLLSGTNHDAGSLALLIKNHPRTEPVYLNDLF RLTPFFILQPVGLALEALVKSIYRSNKKRFVDRGKEPSWLVFTERLIGFVWTWTWLGW TASHFVEGMAHLGAYRRDGDRDLYFSLWGGLVWGKWMI L199_004512 MLAEVLLLLSGHQSSLFVPHPSSSSSSDSTTLIVSPHLTEYLHP GEITSLNSLADLSCRYRKIKSWANDTQRRGREAILSESVRSTSRRKGKQRQDTTISSE DDLPNQYLTTLASSILSILREYEVLIVEIESKILSLDPTLVQDGEDKGYVPLSNLVAN FDKWKIPLSSLSTLVDELSTSTHTPGKLIDLIESEGKTGNPRLSRIDLDISSALHNLF LVHLISFLLYGITTSSNNKINTSMIDSIGIDAGSDPSSPKYRIYALNDHLIPSSMDRK TKESILYIGRVSATLKRENRSLPKTLIDGIKDEIMGVQGLDELSGFDEAIQRARADIG EWLWKNILTGPQIVESIESLSNYFLTRKSDYTLSLLTEIDKLRLTKLVLSNPHSSSSV IREQDLDLALLRSSLGTTAENDKFLEKLKWKMDNGPLRAIPSKTPTNTHTTRKEEKEK SHISGLFSSTLLGTPVTLTTSISWPLDLFLSPKSMMIYSDIHSYLLSFRYTLMKISDT WLNLSNHQRQNHKNHDQKSQVGKRLVKICWGTMRQMNWFISELLTHFMDIIEVQHRHL LQRLDIQDEKRSLLNRSSSKGSLRGSTIGRSSIGPLKGKEVVPKSPLSESHTTNWEDR TNTNTYKSNKAPPTPNKGEKNYLDFLTLRSIHSQHLAFLLEALLLSDPSVSSLIRDIL DTCRRFTSLTDRWYNESPDSEQDTTSMDQLTQQRIENVKEIDETLHDHMLDFFTILLD SQNPSTSSEGDKDKSSVDGGGGRSFSRTSKMNQISKIMISRQTSFVGSRSIPASKSKM EKENTFDSHTGMVGMGLERHVEHLLLRLDFNGIFTKWKEDEMNGIREDKETGYRSVLA QGGL L199_004513 MSASVSTHVGPSRLHQPPPAPSTSGDSESTVPRPPTERGLDVGI LKELAKSALVESLNDIQGAKTLILEPALAGPLGLVTEVALLKHQAVDKMFWLEPGPLN VNTRNVVWLCRPKIEYMHTIADQIKAQQSNPSPAGPLMYTILLVPRATELCRRVLEDQ GVAGDVTISEFKLELIPVEDDLLSLEMDDVARDIYLNGDDTPIYYSSLALMTFQRAFG LFPRILGKGEGAKKLADLLQRHHHTDAAQYGDIEISTQVDGLIVLDRSIDWITPMLTQ LTYEGMLDEFVGIRNAHIEVDSTLIDPNTAPTPSPSTSALPSTPITKKRKHHLSSQKD KLYSDLRDQNFAVVGSKLSKVARRLEGDYGGVKNLKSVSQMKEFVGKLGGLQSEQQSL RIHTGLTELLMPITKLETFNRTLEAQQNLVAGYDPNSQLNTIEDLMNQELDWQTILRT IVLMSLTNGGIKPKLLESFKRDFLQVYGYQNLPLLISLENLGLLVKSGSSISTIQNQS VNFLRKNLRLIVDDINDLYPNDISYVYSGYAPISLRLVQCLTMKNQILSSSTSTTNGE DANGHGAGKERPKAHPLNGWKGFEDVLSVLPGDNVDVRQHGEKRDNGLVIPDDNKITT TVVFFLGGCTYTEISALRWMSKQYKGRRFLIATTGIINGNNLIESLGDKPPAQLKPST L199_004514 MEDLSTGSESDYSNSWISWFLSTKGNEYFAEVDEDYILDRFNLT GLNGEVVQEYSRALNLITDNLDEESLDDDVREAVETSARFLYGLIHARYIVTSRGLSK MLEKYRKADFGRCPRVYCYQQPLLPVGLSDIPYQKAVKLYCPRCEDIYSPKSNRHGSI DGAYFGTTFPHMLFMVYPQMIPGKGQPVGSSSVADVNRSLANQQKDNTAGPSSLGSGA ISTSGVAIKAERYEPRIFGFKVNEDAKLYRWRTAKRDAQITRLENLEREQGQTNL L199_004515 MTPTNMESTSLPRLNTQPVPPTPMTGDQQITSAPANQTIFVELP NVASPIPTSEHTKFSSSAFQYDDRGRHSPFLSGSPSPSPRSVLLSPSKTSPTSRPLSP DLPTRDALYLFSNFSSYMRSPDEGSEGLKADDFKDTIRLLEHARWLTSQPHSNVHILH LKYRFQGQSTTFRSPYSTGDYQLPLNYKDSTGRVRNPVPQPFSVLHDPVVKIDYMENG VFDPLPAQAVFSHIAKMCQPPPRVIVISYVYSKVIENHLSSLSAWALTSSPPSYIFSP IETRLREPQPLHIALGHSIPMSMYAWPTELYQTPSSSLSPVHTTLNSNSAYTSSYRSV GIPSFGVNPFSPISPDGQHKIALPPISSPGQGTKKAQRRREIHLQDKNTTIDGNKQGE GEDVNSPILITRRISGTESPSDIVDRYYARRTSQVATTSTNGGSGKKYKDDPIASLTV ISSSPPTADNTSTLASKESNEILRSHISPTSSHKLSPGTVPPPIDRIPLWHLAPGVGI LHGSTSSSIGIKLSDFSAINLLGENENGSANANTNGDGTSNRDILKKEVSKSEVEKLG IKTETIVNCHDFSKENGSSTSLHTHGALSTSTGTGAGGITISRPSSTTPLPQPIGIPK PPSDHVPTRQKTQNQTHLTPPNHHPGLGNRSFSIGQRINSSSNQSWPAPVSSVPGWRK GRKELMEDTLEEMGLVEDHSADRGRDREVQMKDVIRNLEDLVLNQNSAEGRGQNSDMD VNVGGLDAKENAGRSIELIDLLIS L199_004516 MVDINIQTTISPYTQKPVCTRPLLSESELDNVIAESVKAHKSWK KVPLEERVAIARKWLTEFENISDVACEDLSVQMGRPISQCKGEINGTIWRARHMIEIA TEALAPIPQTKPEVEGVEKWILKQPLGVVAVLSPWNYPHLCLVNAVVAALLSGNAVII KPAPQTPSPAERWVSTWQSAGLPNNLLQVVHLTQERTLSQLCQDQRIDFISFTGSVPG GRAVQQAASQGKGFKGICLELGGNDPAYVREDADIKWTAEQVVDGVMFNSGQSCCAIE RVYVHSAIYDEFVKAFVEVAKTYKLGDPSDTKTNIGPVVSVASAARIRKQVKDAVEAG AQIMLDESHFPEAKEGTSLVGPTVLINVNHSMELMNEETFGPVVGIMKVEGDDEALQL MNDSIYGLTASIWTNPTDPQSLAAAHHLSTELECGTVYLNKCDALDPSLPWHGWKNSG QGVSLSHLVYDAVTHKKSIMKRVEVKGVYEKK L199_004517 MAPESTPKKEKKDKKRKSDAADLAASIKPQAVPPAEGTASAEAV AMEVDGEKALKKQKKEKKEKRKSLAAGEGEGEEEDKKAEFSVPLDAISPIASPLAPKK LSKKLFKVTKKASKARQLKRGVKEVVKAIRKGEKGLLLLASNITPVDVISHLPLLAEE AQGVEYVWVLSKEELGQAAGTKRATSCVLISSTPAKKTTPKDGSAPKAGPSAEDLAEL KSSLEEVTEEVKKLESSAGIKY L199_004518 MDLPANPLFIDNFPSTIDSYSIKSLPNPNPETIKTDCTGPQLRA SIIDRFRCNATSQNGTTGPIDVQTREWSNLSKPDEEKLYSYRYTWTAGSYGGPKRRPL ACLENSEDQIDQEVAARIRALMNPKMLTES L199_004519 MFAFLNRLTSSTSASDNKSAETKAYCSDLPTKIHLSSIIDIPLL SANTRGLISGSYTTKPGSSAMSAVAVAVSDIDEFKCIATKLDGDTQVGQSETTIPVYI RTRRWTETPLQLAGQPKTRTDEDHNSSVTYNCSYNWGEKEDPKGFGQTERRSLEELAA SSDEMERIVAERIKSQLENGNIPETHAAVIDSILSKVDMKG L199_004520 MSSTQKVKSVHSNIPVQIDRPSIATLPSSEFRPVQFSRQEMMWG KSIDKFSCKARTPYNDASSTYPVEVEITEWTKYRNYPYFLIDRRDTSAAEGDKTYSYL YSWKDEVRVGKDGKTECNGFGQMEHKPLSKFQDLIIESDGDKTQGANSNTREMDRIVY KRIRNKKDGYLSESGAESVMSDEKGQGSSIFESVRSVLLYPFSRG L199_004521 MPKRPRSKSPTAPADVIAGSSSSKRYHGLPSSQTSHHVPNISSG ESSTNRSIADLFPFQEIFLRILSFLPPNDLAMVQRVNRYWGRMSVDPQLWKRLYLARY PHPHHSRLIYNNSASSPASEIEKEVNVSSPRTPRSLRPIARLPSRAFPPPSPKRSPSF SHGQLTPSSSATPIRTEGTGHATPLSSRVDKGKGRQTVDDDEVGHGIRNDGVDWKLML RLGTNWSNGNALSQSTIPLPPSPSPSVHSDSAVPPLTLSPTAVSSSRNPSTSEQYVAL SPSYIFISSPLSPLIQVHSSTSHGQPLGIIPPPPGWSNPKRPDNVTCIVSDQSVIPID DGHGETLPARMTVFYQSGGFVVLTISPSIVGKGISWKREFINPPNNRPRSIRRRATTY EAAEGDTVVLATLHWPILISCTRDFNLSVYSLTPSNISGDINQIPRPRHLQTLKSEVS YHPANLTLFPTSPSSTDYDHLDKQSIQEEKNEHNHFKASLTYCTPLYPSSWTIAVQEF SIDNQHETVERGDSFHVGRNDYEDQDQDEIIWPKRIKPLIGVRGKAVGIGSDGRWCIL VGERENKIQVFSLPHHHEFEHNLESSSSMLENKRKFKRDICHPITHSQTLISSNSSDI TSLAINSGRCVSSNRDGKLLVWELDEHHDHEENVGKTVGYVEVKKGGRRQSVWKGPTG PRPSHDLDLEEEGEEETREWPHPQSISSAARALFLPRPPIGMDLKHAEEVVSQPPIRY LTFDEEKIVGVVKGPHVGVGAGSGTEEEVMKVWSFS L199_004522 MPPQVKSKAQKAAAAMAGSKAGKKKKWSKGKVKDKANNAVVLDK AVYDRIIKEVPTYKLISQSVLIDRMKINGSLARRAIAFLEKEGHIKRVVHHHAQLIYT RATAAKE L199_004523 MQRSILVSVRPIFQSTGTELRLGACSGLGSKSRLRLIHTTSCLR SHENPLGIPKRNPNPAPTIPRRGAPPQKSRIKGVKQIVVVASGKGGVGKSTVAANLAL SLLQNSPLPNPPKIGLLDLDIFGPSVPKLMGLENAGDPNLSDENKLIPLQNHGIKTMS IGYLLPPNPANDSPVVWRGMMVMKAVQQLLFDVDWTTNKGELDVLVIDMPPGTGDVQL SLGQLVDVDGAVIVSTPQDVALIDARKGVGMFNKVNIPIIGLLLNMSHFTAPDTLNRY ELFGSSKNFEKAAGELNLEVLGKLPLVPSVSDGGDAGRPIMVQNNEEGKEVRKTMREV SEKVWSWLKGREKSSLGTRG L199_004524 MDNSIPTPGPSGQVNPPVPPRRPGGARPNPTRISSSAPPPPKLN IPPGNVPGINVDQAGENGWQIPTTLPALALKPMRASPSPSNRPKLSLSGVSTPQSSSP LSHPSRPPLLNAPSQQYLSTGPGPSSRTATPILKLSIPGSGSSLGSTSGGGPGFSSGH DYPCETSNDNDLLNSALKTPTPFLQGEDQNPTLQARGNNYEDDESSYGFGRFDTLEDQ DGGSKRLSEMTKDIKYALTNSRFDPTASSSSSSRSRASSNASKSRSRANSSSTTHTTR RDSTNLQMDNELLSIRNLDISGSNTSAIVSASEDNSRRSSLDRKSSDNGHGQGYGYEN VIVSSDDGHGQKDSPVFDPEDLISIKRLGEGTGGAVELVQDRKSGKIMAKKVIARTTN PSMHKQLLRELEILNVCSSPYIVKHYGSFLTERDSVIGILMEYCEAGSLDNLVGKMKK GGMMCSEHVLGRIASSVLKGLDYLHEHRIIHRDIKPSNIVLTRQGVVKLCDFGVSGEL VDSIAGTFTGTSFYMAPERIQNQPYSIKADVWSLGMTLHEVAHLRFPFPPEGENQSVA PIELLSYIVTAPTPVMIDDPSVGRVWSDGFKSFMAACLIRSGTDRPYPWQLLQHPFII ANEAKKVNMAKWVAALCQWPYP L199_004525 MNKLQVKVETFLWGKPPSDPKERKLLLKLDLVILSYVCLSYFSN YLDRANLANAYTTGMKEDLNFQGNDYTYAGSMFTAGYVIGQWPSALILSSGRISPRFW FPFCMVAWGLCTLGTAWAKTPHQVWGIRFTQALFEASTFSGTHYILGSWYKDYELGKR SAVFATAAQMGTLFSGIMQGGIISNLDGKNGLEGWQFLFIIDFAITIPIAIYGFLMFP GTPHTTKAFWLTEEEREMCLRRLPHTEHVKMTPKTLGKSIKKMLSDWRWYLFTALFTA SATSFEKVGVYTEFNLWLKSAGYSKQQISYYPSIFTAMAILSTYILTLISDQTRNRFI INPIMFLAVFISSVMLLNWNHLNKGAHFFAYIIGGLGYAGQASNFAWANEMCRDDDVL RSITLFSMNRNLWYQIVAWPVVEAPKFRNGQIATLVTGAASVAIAVAIVYCSRKYPPA LPSAEIVEIDGKLTDVTHNTHTTSNASNHGEGEGDIESKDVENLHGAISSVKHV L199_004526 MTLIPTPSPPWQEVAQAKRQARDALIPEEWRIQPTEADNVIDVP KTCGVLSPKEIEITELDAPTLVGKMVAGELKSYEVTLAFCKRAAVAQQLTNCLTEISF TAALENAKAIDEEYARTGTPLGPLHGLPVSLKDNFYVQGVDTTVGFVAWANDPAPKEK ESEMTKIMRECGAVLFCKTNVPTAMMIAETYNNVWGYTTNPYNRKCSSGGSSGGESAL LALKGSPLGVGTDIGGSIRIPASFCGLYSLKPSFGRFATYGARSGLPGQEAIRSINGP MSTSLDAVELWAKSVVGKEPWYRDPNMLPIPWREVEVPEKLCFGIILDNGMVKPTPPV TRALLKTKKALEAAGHKVVEWSPYKPEEANTIVNRFFQGDGGVKIAQYISLSGETYVE GLSAYKARHEALKSTPPLVGDLWDIQSDRTAYCKKVLDHWLSSKEVTGTGRPFDAIIS PVTPHSACPKMAFNDHVAYTSMWNITDYSATTFPVGSVDPSVDGKTVYETRNEVEKKI WDRYDAEEVAGAPVSLQLICGRLEEEKALKLTHVVADALKTA L199_004527 MFTRAIRSTSKSTLSLRTRSLGVRAFSSSDLIPEEPSGPSVKTD KVPGPKGIAASKEIDTFQDPRTHVIVPNYEKSSGNYLVDADGNVLLDVFAQISSIALG YNVPALLELAKTDEFAKAAMNRPALGSFPPVQWAEWLKTGLLTVQPKGLDQLITTLCG SSANETAFKCSFMAYRQRERGGPDVPFTKEEMDSCMLNHSPGAPQLSVLSFKSGFHGR LFGSLSATRSKAIHKVDIPAFDWPAAPFPELKYPLNEHVKENEAEEKRCLEEYEKILI ESKSTRPVAAVIIEPILSEGGDRHASNNYFRQLRLIAKKHGAFFIVDEVQTGVGATGT FWAHEKWGLKEGEEPDFVTFSKKMQAAGVFHKKETRPNAPYRNYNTWMGDPVRALQAR EMIRLIKSHDLVAHTATAGLNLATSFKSLFGLSVAQGKVMNFRGEGEGTYLAWDMASP AQRDTFLGKMRNNGVQIGGCGDQTVRLRPTLTFGEKHAEVLVGTVEKVLKEL L199_004528 MPSITSDSDLEKHYRSYIDAINTITSLPSSVLNPYLGEKIINHN DRALSSEQYHQLIIPKSVFKVEDVVTSVEDRRVASRLEIALGDGTGRVVKEHVFYLFD ENWRIVRVWSMVEGL L199_004529 MSTPLVQQFPSLAQYPPSFLKDLLSSPELTEAFLFSLPEVKELA AEVEKLGRENDEIAKRNIELRDELIALRDATAQSYAYAEGLKRKWTDIEKAQANLYQR NRPSFLHLRLRHSLTAQDELSEKIASAFIEGRSAGASLPGSRVDSPLPGAEGTSTPVS GGDRNQSKAIEDFINEFKAARKTYHKRAIWAERWSRGEVAWRDD L199_004530 MVTLASGSSRKDELLNENFVNEEHLRAFASALTINDTFTNEGEA SPLSPRSPPVPLDSPGIGSSSSSRLQPNTWKYGPDNGSLQSGGGAERVEKLTATSDFA PIHQRVSKRRARTSNQGLTYHLIRWPLLGFFFTIIYFEFLTYVITRQVVNVFEWLVAW RGPKVKLRRDLRNAKTYEEWIDAAKKLDDNLGFDEWKETEEDSYFDYTLVKRVKRTLT KLRASKDARGLMDALAVCVRSNFAGTESVKMYSETFFGTKHLIEEHVNEVAACLDFVR TATDVSLEEKRAFFRAINKHYGASALCLSGGASFGYYHFGVVKAFLDADLLPRVITGT SAGGLIASLLCTRTDDELKELLIPELADKITACEDPFRVWFKRLRQTGARFDTVTWAR KSMWFTRGSMTFKEAYERTGRALNISVVPSDRHSPTILLNHLTAPNCLIWSANLASAA VPGILNPVVLMAKDRNGNVKPHNLGGSRFKDGSLREDIPLGSLHTQFNCNFSIVSQTN PHIHLFFFAPRGSVGRPVAHRKGKGWRGGFILSALESYIKLDLSKHFKVIRDLDLMPQ ILQSDWSGVFLQRFSGDLTLTPRTTIRDWFRILSDPDRNEMDHMLKVGQRVTWPALRM VKNRMTIERAILRGRTEVRSAIHRDRTSNDITSPPKDSGGLRIPDIIPLESDVDAGFA SRTRRARGAKGIGGLGITTTLEPQSVGTSGNGGLGLSQTTRRRKNTPRRRRASEVFAI DSDEVEDQLLSPSANRSRGITPEISTNTAKRGYSINLGDTLRHVRAPSLSALSSPFRS IRQSSISPSSEDPPLVPRNSGDPPKVKSQLSITRWFGGASDSSSENDEDDDDGYNWRN DQQARSASDDDEEEEDIEGQDGETGVEPIPGGERVTQEQIDASAADGASVSSRTRNGN GDEQGSSSEELRIGLGLGNTPPQQDAMTH L199_004531 MSTDVPPPPPHDPIQADLDENDDPHALNSLSKFTLFETKSRFYI TASTADTHRVLKIDRTDPTVLNVVEDATVYDSAELDLLLRMVQDGNKSQGGLEKVLEF HGIVGFVKFTAGWYLILITKRSVVGLLGGHYIYHCDETTLITIASKSERTAQETKMLH TFQHVDLTKNFYFSYSYDITNSLQTNLTVSPSNRRWNTRFMWNHYLLSPAFDLEDPKG RSKWVLPLIYGFLDQAKINVFTRTVYLTLIARRSRHYAGARFLTRGANEHGHVANEVE TEQIVSEPLATPFGTRNKSDDVHPLSDYGAGYGAYTSFLQYRGSIPVMWHQESNQMTP RPPIEITIKDPFYTPAAKHFDDLLGRYGAPIFILNLIKSRETVPREIKLLAEYGQCVE YLNQFLPEGKKMTYIAWDMAQAAKSGHQDVMGVLEDICEEALQATNFFHGGPARNEVG TGPHRDKPLLQHGILRVNCVDCLDRTNAAQFAIAKRAFGHQLYALGLIGTPHLPFSCD AVDVLTEMYHDHGDTLAWQYTGSALVNRVDTYRRTKAAQWSSHSRDLLENIRRFYNNS MLDADKQSAINLFLGVQPSPPTYDLARPNYKQWFTPSHLEEPKSDELAPINQVYKEYY KPHILSQFGRLYAFTMNSTTRFHAKPKNEMLASPFESRVSAELGSPTTHYSSTHRVAR RWAVPVPVPGSTSLSTQQSSNIPQSDDQPKHDHHTQAHTHNHPPHPLETMVASLLNPP DLDKRIKDYEWYTQYHSSEELESNTIGEEKDLQLYIKVSKLFQGEFDHQHDSESNNVN ISDQYTNNTNTNINTNVNSLGLGLNSSNWLPTTNTNDNLLIYKDESAITTVDITKLEE LGKANLGYYENWLKSNVTQ L199_004532 MTQTIPFSLPFLRSHSIFIPPSSSSTSTSQDGDWELVDKPTDDL VGQKYGRMVMRDKDLLVAMGKEIRLMSLAGGGEGGWEVKDGEVGSYKTLKSQNLNFTI HHLIPNPNGRLLAVVGHHQVVVLVLPKNSYSSSSSVEVDCRAIPVDEFQFSHSSNDVI TKVAWHSWGEGGNSLWVLTADAKLREYDINQPHDAVQTFNFLPSTSASSSSKFTAIDP LSRYATSFAFSIGSNDFSPLMVYVLLANGDIYSMGPILPLHTEMPIRYLQGLKAYSET KLAKIQNEARDVFGAGQAGLGRATFQAQWVESLVKQVKIAEQQRKDESSYESPTTRRT SLLSRSTSVRSNDTPTKSRPAEGTVRVHPPHLTESGGPAPGAHRALLRQGPLVYSPAP QDIGNGDEDDEQSATDLYISQIQSSGEDEEEGGSDETIIAIAWSGGRVDIGLEVEKPE PRWFSSRDPSTSTPVLPVIESILLPFPQSDIDSLDSNAPTFIPDPLYCDVVYVQHSFG VDSINIRPWLEALRRDDSDEELPGSKVARHVETAGSPVKPIIGMISFCNITLGYGLLA LASSGQAAFVELDLRVTDSSSIIPPPTDHSEKQQQSDGPDSQSLLLVKPLDFDKLINS IRTSNTPYNPSQLLRQRIPDSSKPTTNITPDHLKVLGEISTQVRQRTQSIRSGSQNIE NRLDLQVKELQRQIKLLKDSQAKISSLKKNTSISRAEELLAKQEQLGNKLDGLVGRLT EEFKPELGEQEKKWFEELERLKVRVRGGGGVVSKGKSLNTKAQILKEQLSAIKPLLAE LQIHQKEDPLSQQQHIQHYGSKQLKPLEAALSARSEELRRLIRRMEMLDTRVESYNGA EDD L199_004533 MTLHLPRIPLSPVLSKLPPLHLKSPTDYNLAFGTLGLIILVLTA PHTGGWRLLRLGVIAPLCIGVFGYLISCTEDEHDFNQWGVATLMGSFIMRILEFFIFF PPEENCHRLVPRSQVYPSPSSNGHLHATKTDSSTHQPKKTDDEVLIPEPIPPPFTLAK FYWSSSLWFSYRGIGWNTTCPLSPSSRQHPYLRQSSRKHFVFVQMRKWIISYLVDDLF RSIRNIYSARFFSGLPDAVPYTHLSQLERGLNSTAVVVRIYFSLVNSHIAMSIICVII GGILGWETEMFAPWGWPPLFGDLGELWKYPGLSTLWSRTWQGYNRRWLYVLGWIGIGE NILGLTHTGISSHPTIPSIPRSQLSSNGKGASSPSNPSGQITPSHPLPTSPLPPIDPH SNSPRSIRRKMSTRLMVQNLIKSFITFLLSGLSHDVGSLALILKNHRHQEIYLSDVLR LTPFFVVQPFALAFEALIKTYWRTWKANHHPTWSKHREGRGGERGNEPEWLILTERLA GFIWTWTWLGYTARFFVEGTAQLGAYRRDGGRELFWSFWGGVLWGKWYI L199_004534 MYDRLESISKSPSTWHIDIEPANTPPSPGTRSPSPTLTDQRKPI HRRFLSHLQEDISNGSVTYPSIYMCFLTGLTAAPSFAACFVWCGFQTGNAAQLGLALA RTFTPDHQRTFGFQKMDQQALVSLLTFFMGSSLGQIGNKVGGKKRYWLIAATFIQMLL MMSAALVGHFSGESGLADGRGDPSWVTPMGMTTLAFLSATMGLQGAVGLRLGSPMATT VPLTSTWIDIFNDPFLFALRAVRTRDIRSAGALSLIFGAFVSRAILGVIGSAGTIGVV IGFRAVLLGWWFFLPGNESTVEQGQQEPKPQIPK L199_004536 MSSSPSTPTASTSTSELRSRSHRPPPLNHVESNEGHPPPGTPPA SDFRSAVSADSSTNVQFPGGLYLPAVKGTIGGIESPRRHMRNSPSISTFRDNSSSNPP NSASTIQPPQSSQQDQQERQDASPPPPPPPPPSSRAIFRSDPTIKSCLTALKMDKADE IAKLFGVA L199_004537 MPKVYSRAVTSSSEQAGQTQSSRAVLRSYYCLCGDFVLVLQGKL DRLPRRKTDGAYIIRSKDGKDPIKQPARKFKLNATPGQRCLIKKKGSQELEIRQPFAC SRCNTTIAYQTSPPPAGDGPFLYILKGSMTELQGRIPPDAFEGEEAIPPSDDLSAEVT TTA L199_004538 MPKNNGPLQIRLTEPVIFLKGPSTGLDFRGRPQAVRQDGQPAMV RGLLTLRLSKPTRIRSITIKLEGKARTEWPEGIGSKRMDTYEEHVILSDQTTFFNAIH NDSSRSRSARRALSVGPGVRLGGHEDEEIDDDNDLNDVPRDGDDLDDWLEIGRPRARV PRSSSAMPGTQDNHSWHRDGFSRRPSFDTTPQSTRSSFLDIQNLSIQERGPSPAYTPH ASPPRRSSTLPGETRHSSRPSSLRQSNNASMSTTTSREPALSPIASAAPSQSTSERGD SAEAEHRRPAHQLRSNISSDAVQEGTVWSPHPPQNDSALDEEEDDSAAPSPALRPILN QRSCHMSAGEVRFQDPVHDSTPQNGSTEEQSAAATSGSTPTPDQSTPPASAVDASVPP PSAGTSITAGNRAASVRTFNSQFSTSSASLALSSHDNTPEGSHNTTPGEIHPTGVQAV SVVNTAHNTPVNSAPPSIHQRSSTETLGNIAHGSGNESSSPNTSRRTSTVSNSNRQTS ETSIHNLPHQEPLPTVTRQGRSSRTGSSSTIVETPGAVSSSSQVNVPSSLRNASRPQR SASGTPSLSSAPSLQHLRASSSTHREPSEDGRGRKSSKFSLAATLRGISRDVKETFHH HHGRGSSKSRSRMSSPVRSGAGAGDSGFSGFSDRPSLQDGSSSSQSISMSMTGSGSGY GPGDTIRQRAGSRNPSYRQDDFQPSYGRGGAGSISTSRRSRSRDRDPSVARVRDGESR ERSRSRARGRHMGMKVLTDKLGLGEHEDHHGEDVHNWKEFRKGTYNYPISFPIPVNAP PTIHAEFGSVTYRLKASVVRVGALTPNLTEDMEITMIAQPQEDDMEETENVIVERQWE EQMRYQITLGGKAFPIAGTIPISLRLMPLLKCKIHRLTVALEEKTDYFAQERKVARHE TPKRFVLLFIKQPDHKERIEPLLPIISDDPNAAEQSPIAEMARQAVLNNPPMDVFDLE RDPNDTMYAQLMEPTGPWHLEKELHVPDCASKIKFTTKHEQTNITVGHWLKVTIRVER GDDVALDSKGRRKQFDIIIETPIKILDCRVNPQWNSLPTYSVLNRGVMSTPGVCSIHG TKSTTSTNTSNNASLRNDNTISTSSTIVQSDPHAHAAHRRGTVDQVGGPSGENGEDTL LERNIVYDRLMSGQQTETGEVPPSYGEATAGESSTRGRGRQAISRTVEQEDHDHGQIV FNTRGSNSRSRSRLGLRD L199_004539 MPSKISQVLPQLSPLPDEVYPIEYEKNKPDQGYYVALGLVLSVW MITPLCCAYLLWYTLFSPSLSGASGLTATIFAAYSLTEIVFAIYMSYLINYVQKPSPA STLPISERTILFLKVLHSNLTYPVPNRGILKHPNEGDEKDGVVRDYEVEQAEKNFQLG LISVAELHHIRNREYEESVGIRSRKRVGKMSEDEKNVIDSFVEDLPGDREKRLKEQVE NDVLPNEHREEWGYEGIINEQTNEVVKLHYWDKRAIEFRERLRTWFNHAPWKSIKRRN VEIWLAWSCFATSLEEVLADEENKKFLKYTMELLEARTGTEFEDGYDENVQIIRLTLD KVNAKGRPLILYAATNVINLFLQEVVYPYQGMGLYREGDIEYLIRIPKDWTPEKGKTV PNAMPVVFLHGLGFGLLQSHLLIKHLITSLPTHPILLPLSPHTSQSLFHHRHLRPWGR KEFVGAMKRICTQWGFWDEHSRSSSGRGGVSLLSHSNGSVSHGWLLKDCPALIKRNTF VDPVVFCLWEGDVCYNFCYRNPTNALELLLYYFIASEVGIANYIQRHFDWADNTLFFE DIPNATDPSRTAFFLGGQDLIVNAARVRRYLERHGVTNGLHWDPLGGHGDGLNGEARD RVIMFAGTGSTSGWQSWLRSGRRRHSKGNDDLMEYINGKKQ L199_004540 MSSSIPTYTTHNHLSSSHLPTISITPSTKSFLLPISILIAIVLA SAIQTEFAHTLSSKLGYHQPYFTFYLTHSTFALLFPIHLSILSLTTKIPIGAYTREIR YVIIDQLELPASSSWKDILPIWSRKITYLTMILSIPALSWYVAMGLSPPVDITAIYST SAFATYGFSMLLLRQPLSKITIGSIVLAFTGVVVISVDGMKSGEEGLVGRVVGDGVML FGAIILGLYEVIYKLALPEGHGGITSSSPNGYTPLPTHQSSTLTEDEELSSSSGITIH HRPIFSQQTTDTTPTPIELTPPLSRTTSNAVLLHPQPHSSSNHHVQHQVKLPPALHAN FITSCIGIATLLLLWPPILILDWTGYEKFSWPSGENVWRIWQCLGLVFAGGTVYNAGL MVLIGLWGPTTSSVANLLTIGLVALIDSIWLGQIPDSQTLLGVGMICIGFGVLLWEGE G L199_004541 MSSPAASPSQQSTSTKLLPTDPPMVANPLGEGKYIQTAGCIIIG DEVLNGKTKDTNSNFFAQFCFDLGIELKRIEVIADDEDEIVEAARRMTEKYDFVVTSG GIGPTHDDITYQSLGKAFNLPLRHDPETLKRMWELSTPQRKEELSKATPAQKEARERM ALFPTNVDKDENKSKSEVIFVEGDKWVPVVRLGGKLCVFPGIPSLFQQLLLALTPYLP LPPASSKPFRHLIYTSKPESVIAPYLTELQARVKKEGIRIGSYPYLYSGVHVSLIGHD IDRVRELGQEVVREVDGKVVSEGKLGNETEKAKV L199_004542 MTANPDSRPLPDGWITQFNEEYKTWFYVNKNASGGAASQWTHPA DDKPQNQSYAPPSGAPPSQNTNTYEEKRDISSPYGGQQSSTPQPYHQQQSYAQSPAQG YTSPPPQEKKKGLGGFLSKFGGGNNRPQQQQYGGYPQQQQYGGYPQQGYGGYPQQGGY GGYPQQGGYMQQQPMYQGRPQRQGMGAGGAAALGLGGGLLGGMMIGNMISDSQNDAYM DGYEDGGGGDMGGDMGGDF L199_004543 MASVTRLNLLPTSRTLASGIPLAPKINLAVPVGISGAHGHGHGA AGARSDVPQAWAFKSGFRGHVGKTNALPTSSSFQQRHFSSTPTPSAAHPMAGATGIPR ASATGVPDFSPYKAKNASLNRTFSYFMVGGLGVLGASGIKSTVSDILSNMAASADVLA LAKIEVEMGAIPEGKNLIVKWRGKPVFIRHRTPDEIDEANSVDVKSLRDPEKDDDRVQ RPEWLVMLGVCTHLGCVPIGEAGDYGGWFCPCHGSHYDISGRIRRGPAPLNLEVPEYS FNDDEEKIVIG L199_004544 MAFASSSTSSVMRSTRQLVTPVISGRSISRGGRRTILQVTRPYS AAAALAESPSAFQQPPSSSSSSSSQTLPPRPHYTRPSTTKYERKPHRSLTPIEAQSFL TNLLSLPSDRQFSPELSLQILTHKSYRYSHAIRHTDSVSSSIGNEPHNSRLSFIGRRA FQTYLSMFIHDSFFASSQQTQFKLDASDFLKGKSLEDRLDGLRDTRNLGRLVAPSWGV GEVVRWDRNETSRESGDFKILGMTVESILGAIFNEYGSPAAQRAFHLHILPYFIPQLR DPRLIERVLNLKEQIEKVGKGILRV L199_004545 MPPPHPLRRVSTGSLSSLARSTDRNTSSPSGLDHLTGAMVDLSD EVATLSSNIQQMTALHDALGTFNEAFAGYLYALKMNAFCVEWPQAPNEQSFARMESLQ EPDPIPQATTSAQPPTPISAPSRTSSSANPADMTYATAYSYSEDDPVPPPRAKATGLR KPSGGVAVRKTAGAAAATRKKRELEVSGIIDTLPLEYRGGEPVERMRMEKVIMKLMDH PEGIPLKDMISPPDLPQAKVNKCLITLVAKKLVQKPIINKVTLYKWVGV L199_004546 MRLALSTTLLIFFLLLTTTSAHPSGHHPRRRHANKQRRSRVQPS SSTIDTPTTANTTPQNGIKNDYTTEPTALGLWTPSSASDASTSNANAAAAAPQPSASA SGLGLFTPPSEDKGTPNSEAGSEGYGGWGGGGGGGGGRKGGWGGGQSQQQSQPSSTPA AGDMGVDISAGVDVSILKAGGGGGYGAQSTAPASQPYAYQPPTSQPYSEAPVPSISSP SYVAPPATTSYERPTIYSTNYIEVTEWYTPPSTPGTSSYQAPASSGQTNQGAIPTSQM YSPPSIAGTPTPTIPNSPSAANTGYSSSTAGGYSSNAVVNSGGQVVHTSSWTSSWTNS WSNAPSTTSQAPVATSAPQDDDQRKFVDCHNQWRNQYGAGNVSWGDELASYANTHASV CASMAHTNGPYGENLATGTDGFMDIISSIGMWMDEASDYDASNPTYSHFTQVVWKETT TIGCAAINCGANTGMAGQLYIMCEYHPRGNVIGAFAQNVGKR L199_004547 MLRFTLLPLLTSLLAIPHGVNAVPHCRPHHNQSVSTVTQWYTRH HPQSTTVISSTTPTTSAQASVDSSLVIEDPTSSDSAEPTFILVTSTSTSSTSEQPVST STLASVEQSSVPESSTSTAATEVAPSVTNLAAQPTTSSVSNTTSGGSGSGTSDPDADL LVKLHNDFRAQYGAGTVTWSTTLADYAKSHATACNMEHTNGPYGENLAAGAGGGYTIT DGFNAWADEASEYDPSNPQYSHFTQVVWKATTEIGCAAVTCSDGTIFSGVGSDSLYIM CEYNPPGNVIGQFAENVGSKTS L199_004548 MTFIPLSPCCLTGSRLTGTPRGTWEPVIQGERKVGRYHTRPSKI EGDENGIAKVVDGKLALVLLTDAFGLSLPNPKIMADAFADQLKINVFVPEYIIDPPPV EVFDSVAPLYPDQYASRSWAMTIYQIVEVLWKTWRWLPMLLFPKKQVPLAQSAINDLT SEGYTSIAVVGYCRGGAMVQHLLSNPVNTTLVGGVICHPSPEKETWSNITKPTYWHLA DHDQMFGEKDINKLRETFEKKKDDQGVNFQCKVHKDTVHGFAARPTLDHENTKIAFEE SNTSAVEFCKKYLLGVD L199_004549 MRSSTVRPIISSLSHIRPNAQPRCIPTLSQRSDLAKIQTYIHKR SFQNILSPSPDSDDKPNLAINKLTPRGFILSDNLVIPGGCIFHSGRAVLWDVDPPLTH EATLDSMWKGWEKERFRVFELVVPRPEILLFGTGKTAIPAPKPIRDYISSLGIQLDVM DTRNAASTYNLLAEEGRTVAAALCPLEPIDPRTGGPR L199_004550 MNPAAPPPTGAHLAPDASPLHPPSVMHNTRILSSLGTLSACFSG LISGILGFTNLNGFLLYLISSIFTSFILLVLKCNFDVRRYIPQAHSNTSSSDSQSSGS GGGKSIEWKGYWALTGINQENLLGFLLFWIGSFALIHVYD L199_004551 MSPTSYTLTPGESSNSASTSSPYSPNPNPHKDSHNHSHPISHLH QAQSQSQSTDKSQRSRDGCLTCRSRKVKCNEERPICDKCRIKSRECLWPSGDESERRR NKKRRSTNTPDGSSISTPNKKPSQPSRLSSIELKSILPSSTPSHPGIVHIPPNFLPPD ALKNKNGTGNADGTLNGDLVDWLVPDKARTGLMMDPSFLEPYFPTVDERLVIRHYLSR TVHIIIAFESNHRPWNPWITVHAPLAFKHLPGTNPAADALRSAILAVGGVHLTYSTNP NDQAAAWRITKSSKVKVMNLIRQTLEDKDGKPKVLEKENIELVLAALLSCTIASSLAA DDSWHHLLSSVLSLIDQLGGAQNILQDAPRDRLSPYRFFMEQLAIRDVFGCMTTELAP SILQDAFTPWFFEAESWSRSDFEWESVERMFGISRGMVDMISRACNLIASVRALNRHL PELALPTLDPSLVALHKASSELMSELKIWDEAENFTPLHPRTQYGNHSYKHAIRIRML RKVYNIPSDDQRVVSSSQAIIELAGEMLALYGKITWLTWPILIAGFEIPPSHPSRRTA LDMLGAFGPHACFDNRAAARMLSDYWMWHDMDGDHATSWEVARTLNQRPFLD L199_004552 MPTGVPRRPDPSPRRQTTGQPHFLGSGQSSMFLSPVQEARIDSW RKGASTATPPGPKAINVKSAPASITQPSSKGSTRTCTCTCTQCGGGTVIECPTCGGSI ISGSCSCSVHSPRSKSSHKSKRSTEKGGDKVNGPNAHIHGYYSSGRRQTSEKHNTRPE PPLPVSPKSPINFAVLKAPDKLDLTETEKIRPHQIGVLPPVQWPPAPGQEIPMREMAK PAMEPPLPRIAGVRDPVSQNYYRNMFPPYAHGPAPMIPCIHPIGMVPARPWNT L199_004553 MSNETKTHPSAKAPQKTVLNLGNLPPKLKPSDMKQSASQYPRTP SRVNPNQPPWPAYRGYHEYSFAHATMGVRLPTILGKAIDDVWKTLNQEYDEERIVDLV NCIHRLEDLMGDLQGNSKLRPIIDDGAGDVALWNKEIAKFFRGKDFMNAPWLFAEAYK YRRLRECFSLSKYWVDYDVFFRQKCDTFSRSNQAVFELSQRFAEPHKHEGNISAEARL EKNKLLFLELTQVCLWGNSTDLSLLIDMTEEDIKKLQSTGGDHLAATEKNILGNDLHK LADYVMTIKNGRIDFVLDNAGFELYCDLVYADWLIQSGTCSQVIFHGKKIPWFVSDVT KKDWDWILNSCVYGQLFQDASDAEVESLRTLGHRWKQYEKEGKWKYEAHPFWCTGYTF WDLHSEAPDLFQHLSESDLVIFKGDLNHRKLTYDCHAPLDTPFNQAIGPLASEAGAPP VASLRTIKSDVVVGIPSGVGEKLDDEEPGWKISGKYAVVLLSQGRKGETPVYNN L199_004554 MATSTDPLDLLRQSIISSLPPTLLTSSSSGASTLAEASFLSFPP VPPLTDSPTNIAKDTSTRYTSKAGSKDEFYNIGQLWLAWNERESGVRDYLMKGQAGGV GYVTITDRRGVVEYLTGESEGVGRVLGKEEKVEAGQAPSAASATAEALPSALQSSEAG PSKPAAPVKRKYEVDVADREFCKKLRAEEVELRDRNTVLRSSGGGKVNNFESFLKTVM VEKIRALRQSFDKGAGKAAVPAQSQIGVPTGPKKARSTHPIIIISSSPTSLLTMWNVK KFLEQGIFEPSEIARQNEVAQGNYKAEDVVPILRKRSGPHGDVTSKYFVVDGVEALQK FGQDAWDRVICVVTTGQAWQFKPYKWQDPRILFRNVKGVYFQWHNEPVNPTVKDWNVT EMRIDRNKRHTDRQVVADLWRILDGARRR L199_004555 MDLLSSSVDIAFLLLALLVVYRQPLTIPHPTAPEDVTVPPPHSP RSPHPSPGYLAVPAITVSHPCNRLNGKLPLPSAGGAGAKGGSRHKKKTVSFSSSSMDD LKASYNETNSNNSTSSLKKFRPPTPFFKLHKSPNLQMSPISPGGKSTTPQSPSIKIED TDAQQETEKEKERERERELGLNRELEGVELDHGILREDTMGIKKKWLVA L199_004556 MNAVIDLTRGYPTPASEVTAPQSPTARSESETPSRQPSEPPPRW YKRRPDKGRGKSGHPYVCEAMVMQTPSETPFRYEDCKFWPFVGPLNPWKLPKSVGWDS WDDFDGTIALCAKGKVVLARCEDDNPWKVIWELEIDDYPYAVAWTYHPFTCYPLIATA GQKGLIYIINAISRRCVRILRGHGGDITSLTFHPLHPHILASTSYDKTTRIWNILGSD LTDLSEGESPSMNFPMGDADEGNCLFAILAGDFKGGHRGYVAAANFHPTRNAIATVGL DRHVKIWSLPEFPKPTLSPLPTPRGYRAKIIYQPIFSTTRLHEEFVDNVEWLNEYILI TRSRKEFKIWQWLGFSRYFTENDPLPRSLDPISQDYMDSGSFMLISKYDIGSDCWAMK MSFHRPFVSQPQDEQEEEDNLVYGDPLIGLVTHSSKIPEIAVLNPLLAPPEDVPEPID ELRPKSRREREGSTASGSDIDEDDEDDEVDSIVDSCSDSSMNHQNDIIDLTMDEVQDV VTDRRVDSDSTPAEPWRLIPTEFQPIRQKHAKKGYRIYNSSTNVCNVAISPRGAKWIV GVGEPGTVFVWKIDPDCKME L199_004557 MSFPISPKVQISSHPLVLSKLTQLRLHDLPAKDFREGVRAIGSM LIYEASRHLPLADVPNLQSPVAPFTGQTIPLRVGLSPILRAGLGLEDAALEMFPEATV LHLGLFRDKVSLQAIEYYSKLPSQVTADIVFLLDPLIATGGTALAAIHMLVEWGLAPS QIKIISVLGSKQGVQHVAEEFPDVEIYIGAVDDILTEKGYISPGLGDAGDRLYSTLQ L199_004558 MNAIPTGSNKPPLPIDEISNIPIQDELAVASTSKRLESPQPPFA EEDRRRKGSDVTVGSGLGGSARERKKGKGLTEGDEDVPDIPKNNLKLVMPAIGLVLFL SALDQTIVATALPTIAEDLHASPSEYSWVGTSYLLASTLQTPINGRVSDIIGRKPMLY AAIIVFTIFSALCGAAKSMSWLIIARAFQGLGGGSIIGLTSIVVSDIVPLHQRGTYQG FLGSAWGIAAVLGPIFGGLLTEKASWRWCFYINLPTCGIAFALLVFTLRLNPSRKLTF KELSRTFDFIGLALLMIASGLLIVGFSRAADFGFGQPASYGIIIAGAVVFVAAVVNFL TTKRNAIIPARMFKNRTTAFFLLASTLHAAAFLAFNYLLPELLQGLRGDSPIQSGVHL LPFACCVAWMTVVAGQVNSRLRIIRPVAWVGYALAALAWFLYYGLFTSTVKLATLEGI LVIGGIGVGLCLQVPMLIIQAAMPLKEMAASTASWSLTRNAGGSIGLAVFTAILNTNL RSKFAVIPGYGTTFTVPESASGYKSLHELPEGETKDMVLKAFADSFRICWIVGAAFFL AALVITIPTRSYSLNRARGQAAARSETDIEAQPEAPIETFDEKAQMEMRDIDQEVLDP INGPSSASSDRTIASDSGNGRKKMAADLV L199_004559 MSSPNPTTTCLPASGSNVHKVELPENALVLAPTRQLQSLLTIIR DEKTQRGDFVFTSDRIIRLLVEEGLNHLPVISKKVTTPTGKVYDGVAFQGRICGVSIM RAGEAMEAGLRDCCRSVRIGKDEETYQPKLFYAKLPDDIANRYVLLLDPMLATGGSCI KAIEVLLEHGVEEEKILFLNLIASPEGIQKVCTRFPKLRIITAWVDEGLDSNSYIVPG LGDFGDR L199_004560 MYGARASSCFKCGQQGHVAAACPAQDPTCYNCGQAGHLSRDCPQ PKNKACYTCGQEGHVSSACPSGPAAGGFGGNAGGAGGECYRCGKPGHIARMCPESGAG GYQQGGYGGYGGGYNTKSCYTCGGVGHISRECPSSGNRGFGGAFQQRGPQKCYNCGQE GHISRECPQEQGKTCYSCGQTGHIASACPNGGAGEPSA L199_004561 MEDISSLSKSLHEILSAPEGGESLASTVEGHLTTAETSKKTAVC EILLELLNEGDEQSQIDRKQVILSDTSLTYLPLLLPLATSISSAKEVVLLIADHSNAR EVVLGLTEGIQSIVDRAEGYQVSDDEGDFDDEEIAVDWPGLIEEYELIIKGLNIAILR LPNSRSTPTLLSLSESISSSLPILSHQATTSSARVLLRELCGLVNTIWVWVQNTSDQG GEQRAILLTMLFESITLLGHKVDARLTERWFLNTYPKFKKMPTSKQSLEGWEAGQGVL DSAWSTGKTLDLTPSDLLHKIIDPSHLTVHSSLASLNLLSSQLVTQDKSTLLPSWVSP TLLDDSMPILCAALSGSSVDAGVAYTWAVVNFYSDNKEGTVEYDNASMLLELLVPLTA QHPSSLMRLALFKLIGGIVSLQSPAVNRIELFKQLLEPANPFDNIRIQSLSLLRETIS SKEVLSSVLVEILFPILFFSPSQLDPEDNPFTYSPSDLLNSPYIPWWTECLTLLWFIL DFDKEDITGAWERFKEDDGIRAWLEGIEKKIKELQVFLTDKKEDDTDEFGGAQFAVMR FEDALNRVKGVTSSVV L199_004562 MDIPFESFVKLVYHLGSPPKSAAVGTKETKTSSLAPSKIFQSWL NHLPKHLPQVVGKHVFRLLFPHEGSRRRYGLKESKLALELERILGLRGLSKWDRVCWD YGESGTGCLGKEVELLMRDRSMTERTSTVSIRDVDALLDELASSSTFSQLSQDQNQIH SRPARRRSPQEILILLYRDSNLTPYALSVLTQIILRDLRPLLNPLPKLSVRNPTTMLR MKSNTGPEQLSLKDALCVWDKRLWGFYNGGIGNSDRCFDKLDKLENEHDKIVMTGPVL GINVKIPKCRKGRSIPDALSEFTGTRYALPVDEVWAETKYDGYRMQIHVDCSGDEPTI TVFSKSIRDSTDDRSNTHSIILASLDLPIPSHLPIHPSLLKRLSMLPETGARRGRGIT SVIVEAEVVPYNEASREGGRGPGIEEFWWLGNAGVTASAMSFVRPQQNRHLCLVFFDI LYLDGQSLLHKRYEDRRALLETVIRPIQGFSQLAERTRIRLSVNRQSALQALEEAFQR SNERCEEGLVLKASNSTYANMKWQWVKLKKDYIPNLGDCIDLVLLGAGWDIDRARELR VDTSVFTTFYLGVLTNPTRITSHRELPHFEILFRVSYGPDRTQLEYYNECLRHGRWGS KPFDKDDPFKRRLIGLSWTYTLQKGMMPPSVMFERPLCAEVMGAGFQKLPGSHYYELR WPRLQKIYEPSERQWIDALSAQDLIKSAHRSLGYHISSTTSPYSPPSAEDSIRTLWRS HSTIDLIDIPLLVSPKSPKSPKRVKSEPNLNCSLRDTNPSPIAKESGRKLMAVLSGRE DLSEKDEPLIHPYSRPQTAISPRNKMPVEISRPTTPPAKSSIILGPPLPLSTPRRLPQ RKAIPPISPAKRLISSIDWSSIDTNHQSTPKKAKTTISGKEQAIKKWEKNIGVRPLSL RSRLKLAFKRVGVKS L199_004563 MSGLPPQTTPTLPTEARAKRTHARRSCDVCKVRKTRCELPDLDV PSGPNPLPTDKSCHRCRVLALPCIVDDSGKKQRKRGREETSNTDVKPTNVQQLEEGVT PPKRRGTKGANKRAETSSTPLSRRQSIVCHALDVLTGISPLANHQQPASLDEASRIQP DLPTFDHVDCMDQSKSMKLHGRPAELACAMLKVAYGKIGVKRRPKVDEDEVNLNELLD EQTRARLQPGFTQLKTFHPHLKSFEDVYKDYNQTPDASSSLLLATVIYLASLTLPPDS TIQHIRNALTPFIAYLRDRVILQLPKSFLALHALELLAVHAPLGVLPLELTSLKELGV ARGLFGAAKNLMVTLEFDDLVENAIGPDPMFAFDCSDLWLWASLVADQATISFEDLNP IKPTNLSHARRITENLTDHNEKLGLWQDGIAKGDLAILVGRLSVSDRLARFEEVLDTI ANIKRALEISAGNQAYDPVAGILNEFQNHERKMEDIDRRHDALMRLLAEQSRGVESGW LAYRSIRRRYETNKVYVTGLRMLMATHYLSGSPHAYPEMPPIMNVPSSVNYAIQRAFT PADIVRFITDTAGSKPAVEAVWDWGRRRGVNTEACLMACAELGQNLVNDLHNGVYSSI FPLHDVTLIANEAAKVLIEMEAGTIQILRSNNQIHKAFRARSWLVVMNQVSQTLRSIG LLASSDEYGGDSVANGCSNLIGSMVRSAEDWTKSLEKEIPHEVLGNGNGSDQQHSDNG ANLPPYMLDSNGNGNQPSTIHESMRQPPTGLSTTTSHQQYMDSSDRWMASSEPPQPPS SSSSAGHLHHHPSTTEERRHQPPQANYPPQPYPSDTNANANAHPAQPPQPGPYPNTAL DQLLSEMFCYNLPASQQGQNRMPQPVQDHHQNSWPAGSR L199_004564 MIPFWKPAPAPAAAFKGQSLPYRDASFFSRFFLNWAGPSIRVAW SRGLEADDLYDLTPELHTRLSGDTLESHYMRRVPPAFRPEKYKATGSAESRSCDRSLF KAICHTVWKSWWFMVMVKLVALALRAYVPLVMKDLLTQISRAQDWYHGIAAPAGTDGL MPPASVGYMLAIGLGIWAMIFGSSSLLYIANWRIKLTGKLLNSALTCIISRKAMRLSG RSKLRMTDGRITTMVSVDTAFIEAAVDQSTEIICTPAQIIASLSFLLWELGWSGLVGL GVILLVIPLKAIIFKRISKIRRMQNEVVDERVRLLSEVLHNIRAVKLYAYESCFGQRI SDMRANELKRFRSNNIIASGLSALMSFIPTLAAISTFIVYALMGHKLDAAIIFSSLQY FNNLKNPISYIPQVLTTLSQATTGIARINELLLSEELDRVLKAEPSCPFAIDAQGDFQ FEDLFTKSDELPKIQQKAAFIQAFHVFRKLFERNTARTGYIRIDGQPSEPFHEASVPF SILDIDLKIPRGALTCIVGRVGTGKTSLLSALVNEMKQVKGHTRLGGSISYVPQQAWV QSGSIRDNITFFADSQDVDLRRVAEVIDACGLRSDVEAWPDKELTIIGERGVTLSGGQ RQRLSIARAAYEQSDIVLLDDPLSAVDAHVAHHLLDQCVLEGPMAGRTRVLVTHHLDV LPHADLVLVMDRDQSGNGRIIQKGRYEDLVKTEGVFKTLIQQYGTPIGRTQPTASIPS SASSSTIAEAEEESTKPESSGKVAQSLIMEEEKSQGNVPSRVYRNYIASIRSYSLITL SVCFLLIAQAASALNTLFLGYWSENRFANLSKKQYMGIYAGLGIAMAIFTWASIFIIF VAGIRASFYMFDKAWNGVMRSPTSWHDRTPTGRIINRLSKDIEILDDRIASTWYNVFS ATLTIIGAIALVLYTYPVSALVFIPILYFDYMAVMFFLEISRDINRLVSNLRSQIYTN LGEQLAGMSVIRAFKRQDRFQQILEGSIDVHLVSPLGLKQILTGLTLFNAIVSSLNKR VHSSWLHNRVRFVSELSVLAVIISGITFRESVSSAKFGVVLSYVMLSTSTLGRLVGSL TEAIISMNTVERVQYYTELPSEAPRQLPSDPNADSWPSRGKITFRDVSMKYRPELPLV LKDVNFTVQPGERVGIIGRTGSGKSTLVQVLFRLVEIDKYNDEVSVDGNRGRVEIDGV DIRSLGLDTLRRGLSIIPQDPFLFSGTIRENIDPQGVYTDAELNDSLNLIERDSRVST SLKEKMRLDYMVVNQGTNFSAGERQLIALIRAIVEKSKILILDEATSSVDLETDSLIQ KIVQNEFSGVTLLSIAHRIQTVINYDKILIMEQGRVVDFDTPSKLYNDPQSIFRKLCD RSLSARHRLNTV L199_004565 MSIPFWKPSPAPPAIFKDQPLPWSNAGLLSKLLIHWVAPCVQVA WSRDVTVDDLYDLTPDLQTKLLGDELETSFMNRVPPSLRPKKYKSPKHTDNYSLHEST SSSSETTPLLDNAQRQHCNSRCIWENGKKYDQSLFKAIYLTIWKQWWWMIIVKLTAIG IRICIPQVMRILITQISLSHQWHQALKHGEFTDHLTPPKSVGYMISIGTGMWALFMVA AVLLYYNYWRARLLGKMVGSALTALISRKANRLSGKSRIEMTNGRITTMVSVDSGFVE HATQQSNEIICLPVSIFVSIGILVWQIGYSAFVGFAVLLLTGPIKVWMFKYISSLRKA QNAIVDQRVKLLSEILNNIRAVKLYAYESWFGQKIDSKRKKELNKFQQNNLVKSLMIS IVTFLPTLAAILTFITYASAGHELNAAIIFPALQYFSFLRTPLAILPEVLTNLSQGWV GIGRIGDLLRAEEMESDIDISPEYRHAIDVKADFQFESVYPSTDQSQRDRERSPYRKT SVINRMRTLRCLASRREQGNGPLQDHQPTPHSGDENPFGLKDINLKVPKGALVCIVGR VGTGKTALLSGLINEMKRIRGHIHFGGSVSYVPQQAWVQSGTIRENITFSAERQDVDL ERVHEIIDACALRRDIDMWPHGDLTEIGERGITLSGGQRQRICIARAAYEKSDIVLLD DPLSAIDAHVGHHILENCLLNGPMSDRTRVLVTHHFDVLPKADLVLVMDRDEQGDGRI IQQGTFTELMHEEGTFKTMFQQYGGTANMNETSGKTESVSSSETESKNATPKDKLIKE DKSSKLILDEDKAEGVVASTVYYNYCRSIRSPFLVIMCFTMLVLAQVASVLNSLFLGY CSEDKFEGLGQTAYMLIYAGLGIAMAIFTWNATFMMSWAGIRASYNMFEKAWNGVMRS PTSWHDRTPTGRIINRLSKDIEILDDTIATKWYDVLSALLAAVGSVALVLYTYPWAVL VFVPVLAYDLLTIRLFRQTSREFNRLMSLLRSDIYTDLGEQLTGIPIIRAFRQQERFE KKLEDSVDTHFGFSQGAWLGLRLSLASNSLILAVIISGIIFRGSISAAEFGVVLNYIM LIVSIINGFVGDATLIEQLMNTAERVQYYTELPSEASPTIPSDPGPAQIWSDKGAIAF DNVELRYRPDLPLVLKDITFSIKPGEKVGVIGRTDAGKSSIAEALFRMVEVCGGTIRI DGIDLRTLGLDTLRQRLSIIPQDTFLFGGTVRDNIDPTGSFPDDRLNDALNLIHRDPH ASSTLKDKLRLQAIVANEGSNFSAGEKQLLALLRALVKGSKVLLLDEATSSVDPETDA LIQRIIQTEFKDVTLISIAHRLQTVAYYDRILVMEEGCIAEYDEPLRLFDNPNSIFKG LCDKKNVTREELFRIRAGAGI L199_004566 MPRPRSRSRDRDRERDRKYRDRSRSRERDRERRHKDRSASPYDR DRDHKRLKRKDNVRDPSVSDDEEGVDLRDMGVKEIGEEDYFLKSSEFKAWLKEDRGKY LDEMSSESARKYFRKFMRRWNDGLLKPHQYHPPATTSASENTGYKWSFASKGDTTSSL KSIREDVARSTHSSVRKGTEAQSINSYSHGPPTIGPSPSASSSSRPLGPSMPTSSDRQ YALEVAQNTRKAERKSHLRDMYNKADELVPKSGGREGKLEERKATNAENKRYRDKDTA AGLEMDEGTLMGDEGSFAAALRAREQAESRRKDRKDFAVQDRRAADSERLMERKAKES ATMDMFKALAKERFG L199_004567 MVSAPRKQSKTYKVPKRPYEAARLDAELKLAGEYGLRNKREIWR IQLTLSKIRRAARELLKLDDKDPKRLFEGNALIRRLVRIGVLDDTRMRLDYVLALKTE DFLERRLQTQVFKLGLAKSVHHARVLIRQRHIRVGKQIVNVPSFVVRLDSQKHIDFAL NSPYGGGRAGRVKRKRAKAAAGGDGEAEEEDDE L199_004568 MPHSFGSRARTRHMFSRNFKEHGSPNLTTFLKTYRVGDIVDIKA NASQQKGMPHKFYHGKTGIVYNVTPRAVGVICYKVVNGRYLEKRVNIRIEHIKHSKCR QEFLDRVKSNAAKKREAKEKGEHVVLKRQPAQPREARHVSIKNNLPQTLTARPYETTI L199_004569 MSEHAHEDTREEGEKRQLRHKTLSAYYPVLYTLSTLLTSTISNA NTDRPIHKPSDPVPYKNLLNSSICAYRSNEHKGQLEEKLKGCKRSSGLSQQESINCVL RDLSRNGEKNVLISGNRFPSVELPINISRPHVENRHVNCPSSVLRGMEWKLLRSRIGD EAFRLVLIHTSLFLPVGNNCFIQLSGIPIYDLHDHSKFAQHHSHPHPPTENDGPDTNL SLDEPCEHNKRKRKRKSSKDDVEKAGKRPKKARSALAEIPIPRQRMYYGHISRTSNGR LVFGLPHSLAVDPLHKLSRTIGEPSTKECLELAQQILPSLFRPHTSGDDDLPKVRGNI KRLEGVLGMIRELVVRYRKIDFRDFLRRCKDTESVLSQSLLIRSEDEPSERDKSIPVT QVIPSTPLTLVKTENIKNANGNDPLPPILAAVPHGQVCRYVTTVFRSLFSIDIMGSQH NLDTIISHARRIVKAKQYEPVNLHTVIQGIQMNDLQWVIVDPKNTQRVNVTEAEKRRS LVVDFVHWIFSDFLISLLRNTFYITETSTTRYETVYYTHEDWLRATKPHLEVLKDDLL VELNKTESYFAQQGPLGVSAVRLIPKPKGFRPIVNLGKKIKSQNPLGVPIPGFGKKGL TANQILKGVHQVLTFEKDRHRASLGASLFGTNEIFAPLQDLKSELITKHGKIPKMYFV KMDIKAAFDTIKQDKMIQVVSDLLDQNHDYCLMLYCLLLPPASQASQGASRRLFKSRA VVDDHVESSFGEHAQEIAEPLRNAVIVDLVRRKQITRESCLELLKIHIQNNVWQVGKK LYKQKTGIPQGSKISSLLCSFFYACLENDHLRFTRQEGSRLLRYIDDFLFITDSISLA RKFVDTMSKGFPTYGAEISMAKTLLSFESESKGQMGSVVGVNPDGDILFPYCGFLLNL RTLDVMSDYPRLISGPIKQSFSLRSDRHRGSAFVSWYSRQLENRNHVAYLDTVHNKID TVHFNIFTNFALTSMKISFYFRSDDLIGISVRREKMIADALISSIEYTYLAGRARVMH SSRNDKGIDKDQHGVNRLAFFFLAINAIVRVLERKPRFRGIVYLLKSQMDHKKYRRLY QSKDLKEVMDRGWEAVKDAKY L199_004570 MTALSPFRYFAVARYLVHRWVDSIPSIKGKGKAKALQHYRQTLN QHNHLLRSTSLESHPSSSSSHGDIPLAGPSRLSHHHNQHHGHGSDPRGNGKRPEVKFP KLAPDPKWPPGPKEIFRLMNDERLMVGGRIKPPRETVVLCHGLYGFSTATPIPLFPSL KLHYWANVLDVLRNKIGANVVVVGVKGTGSIQERAEQMHQFLKDTLPKGTGVNFVAHS MGGLDCRYLISTIKPTSYTPLSLTTIGTPHRGSPFMDWCAANIGVGSVAAVATAALAS GKTKALLPYSMKSPLLSRPPTKQETKEAAESSFGSSFASGLTSYLLSIFDSPAYSNLT TSYLRDHFNPSTPDSPSVKYTSVAGRISKMSVLHPLWFPKLVLDAAAENGYAEDHSNI VHGPNGKRVYEGNDGLVSVSSAKWGEYLGAVDECHHWDLRGEGGLFPQGLGNSDEKSQ KQKKEQEQAEQDALSAELENEWKSSSGENGPKHGVNQHLGLSIGLTTNPLKNPGAAVE EALNKFELKKSMKDDGKAGSSPTGSSSNSWDIAQVGQIIDWVTDYLPGDGQNKDSEIS KQQLKDATLEKQSENKEKEKRKKEKFDLGRFYGGLMIKLREDGF L199_004571 MFPSIPLAAAALALLSSTVAADTCNGHAELCSRLYSNVTFIGAH DSYAVGDGLADNQDKNVTAQLNDGIRTLQLQAHNASDGVHLCHTSCSLQDGGLLEDYF KSVVTWVSSNPNDVVTIVLTNPENLAVSTYSSILTSSGIADYMYQPSSSQIALSDWPT LGELVDQKKTVVAFLDYQADFTSEPRLIDEFSNMWEDAYNVVDASFGCSVNRTSGSPS SQMYLINHYLDTSYSLGGTEFWIPNQDQLNVTNSESGDGSIGFHVGNCVSLWGRNPNH ILLDFYDAASNSPFNVAASLNGVSAPTNTVTAGSGATASVTSGSTAKVSQSSLNSSAG GEIQLMKGLWIGAGVMFAVLGGVGRVLM L199_004572 MRSILQLRTFQKPFARGLATVAEIVEPIASSSTSSNPVGKWTPH TLRTGLIARKRGMTALWDQDGRRWPVTVLQVDANQVIRHNPPPSTSPFHTLQIGASKR PEKTTPAQQLGHFKKAGVEPKYRLKEYQVSDDGVLAVGTELNAGHFVPGQYVDVQGTS IGKGFQGVMKRYGFRGLKASHGVSVKHRSGGSIGQNQDPGRVIPNKKMPGHMGTSTVT TQNLLVHKIDTVLNLVYVRGAVPGSDDSFISIRDSKKALISKSKLSLKKGNSEDQWLG NNLTSLPTPAGTKDRVQREGWPEVVEWRGEGWSEK L199_004573 MAAIPVNQYGSGPGGAGNSPISPHPQSQYGAQVNQYPTSSSLYG DNSPIDGGYPQGMGYPGGGDREFDRQSRIVSQPAALLDQSHLRPGNQAELLSQDRTLQ LYRENAKKTNDPELIFEFSVFMIDAAKSMVPPELEADPSPPPTTTKLIEKREELIKEA TALLKRLADRGYPDAQYFLADCYANGIGTVRGRQDFDRAFPLFILAAKHGHPDACYRA GTCCEHGWGCRRESAKAVQYYKKAAVALHPGAMYRLGTAELNGALGLSRRPKEGVKWL KRSAEHATEEFPHALHELALLHERGIDNVLFVDFEYAAELLAQAAELGYAPSAFKLGE CYEYGKMGCPVDAALSIHYYNIAAQQNHRDACFALTAWYLVGSPGVLPQSDTEAYLWA KKAAEMGLAKAQYAVGYFTETGIGTEANPQGAMKWYKLAADGGDKRATKRLASGSRGA GGGGAALDRRLEMEAMKEEHQAKGGKEGCIVM L199_004574 MPIPFYRPSEAPEAVFEGQLIPLAKANIVSSFLYTWITPILKVG YSRPLQVEDLWSLNDELTSKTNAERLQKHFMRRMPPSRRFEVPVKTMAEAPPASRPDS ASTRPISEKVDRHEASQGHSKSPSHTDPEKKQIEPGSDQDETPRLRLGEPNPEHIKLY GKKKAQKIAYDQLAIEDDRECDMSLWRAIYFSLWPQYWTAVALSIAGQALRVTAPLVT KKLIEHLTTAHTYYQARSNGTLTDDIPRPDSISRGIGLAIALYVMQSSASLLFFKGDQ VEFIMSSRLSMALIDTIGRKSMRLSGKSRNEMSNGRLFTLVSSDCSIIEWAMSTFANL VIDPITLITGIALLIYNLGYSALVGVGILAIAGPSQWWMVRKFMVFSERQEQHTDKRV RVLTEILNNVRAVKLYAYERYFGTKVSDIRRKELQNLRNKGLLRGVMTAILNVIPIFA AILTFVTFALSGHELTAAVIFSGLQWFSVIRVPISLLPMTLAAIGDITVSLGRVSRTL KAEELVDSLDIDPEAKFGIDVKADFRYDASLKFKNASNEKPSGPNGQSGKDKELDNRS RAEKRKDAKIEREKTKKRKKLGLAMDVDPDEQDLDVPFALSGVDMKIPRGSLVCVVGR VGTGKSTLLHGLINEVKKLNGYVKFGGTVSYVPQQAWVQSGTVRDNITFSSLQHEVDQ ARIDETIFACALKSDTEMWEQGDQTKVGEKGITLSGGQRQRLCLARAAYNSSDIVLLD DPLSAVDAGVGHHLLENCILNGPFANRTRILVTHHLDVLPKADWVLVLDRVTEHEGRI VQQGTYTELRNTDGIFRTLMNEFGVIEKQEQEADPTEQIDTEIASKNPDKPVSSISSK KDDDSIEDEEPHPRKDPKTIPDKEFEDEERVTGNVSWGTYKAYFQALNSPFCVLTCAS FLLLTQASAIGNSLFLGYWTGDTIGGFSQGEYMGVYGGLGAAQAFFNFGTVFAIALAA MRASFNMFNGGWTAVMRSPTAWHDRTPTGRIISRLSKDIRILDDRFSMIWYQLLSNTL IILGTMGLIIYTYPWLGLMFIPLGCAFYLCTAFYTRTSRELKRVQSLVRSEWYTSFSE QLAGHAVIRAFGQQQKFQDRLRDGLNKEQQAYILTLTCQRWLSVRLDALSQSIILLIG IIGVVQREHVSPERFGVVLTYALNTAYIFTLFIPLWAECEQEMNCVERLHHYNNLPSE ASPLLPSDLKPDQWPINGRIEFKDVSLRYRPELPYVLKNLSFSVGAGEKIGIIGRTGA GKSSVAQALFRTVEIDKGKIEVDGVDLSELGLETLRSRLAIIPQDAFLFAGTIRDNLD PTSSRTDAELNDALNLIRNHPRVSITLKDKLKLDSSVAAEGVNFSAGEKQLLSLIRAL SRRCKILLLDEATSSVDPETDALIQGIIQTEFSNITLLSIAHRLQTVAYYDRILVLDK GQVREFDTPLTLFDKKDSIFRDLCDKKHIRREELLRIREEARLARHGGE L199_004575 MRIFEMTEKDENVAHRPRSKSPPWGASWRSSTWYITIVVTYGAM TEALCYMIGVPVIPFRLEDLGYDHISTKTSWFLFSYCIAIAISTLPTALFLDRKAWQR GPLIAATCAMQFALLLLIFVDRYAVMILARTIQGISCSIIWSIGFALICENVEKKNIG RQLGFAFSGMSIGNIVGPAAGGGLYTTLGWKAPWVFCMIVCSVELLGRWLAVEQKDLI KYQNGSLESTKEAGNNIANLSDDPESMDNTPSVEQAANDDHTNHDDRKKVSSLQVVKI LFTLQRSLTGIAVNFRLGLILGAIDPTLTLRLRDQWGKDSHFAGFVYLATAVPCLITS PFEGWLADKIGAEWILSPAAILSAPFPLLMMLSKSLPGFIICFVLFFVFLNSTLAPVG VEVAAVAAQNSGISELHQFAALNLAWSVSGAVGTIIGGQLYDHVGWNAICWFSFATSL VLLPMTMVYTGERPLFYRMIYRKSQQSPPSE L199_004576 MSAQSPPRNGANPEQEYIHQIAIEMSRLMNSVNVNDLLGRRVVE IARGNRSGDAFLKAVSTFGKFPEDAMLSLHTRILAQQSIVSQSNGSSNARRGSDHSPP RMLGGRPSGEVEGMEHDESDRLAPEPVRKGGLRRGGGDAVAFRAPPTAKPSLLGLDRL AAEKRAAAAAAEGRSEPPSKRSKRDAEDERDDGSSAGGVFKVPSIPVKREHARVRPDE TPSRGTGLSDEAKKRLEAYRSKKNAPTTSITASSSDKDGRDKQGGIDDFQSRLNRGSY RDERDRDRRGGGRNDDRDRDRDGERRDDRDRDRRDGRSGEKSWGAAPTPRTSRSARDL EGGSSMRVPNRGWDETPRGSRDRGPGGWGKAESSRRPQTWDQTPRSGRKGSPDDEGGI ELDAKEWEEEQVRLDRDWYSYDDEGAVAPDEEHNPFSQWENLEREKEKELEAKAAKRQ TARQAQFNADNDLWETNRMQTSGVMQRGGVDMDFEDDSDSKVHVLVHDLKPPFLDGTV AYTRQLEPINPVKDGTSDMAQFSKKGSALVKERRERQEREKAAAKAASIAGTTLGNIL GVKDEPNLGEEGQKAGDGEGGNYKADSQFSSHLQKSEGVSNFAKTRTLREQREYLPAF AVREELMGMIRDHQVLIVIGETGSGKTTQLGQFLYEDGYCANGMIGCTQPRRVAAMSV AKRVSEEMECNLGETVGYAIRFEDCTSKDTKIKFMTDGVLLRESLNEGDLDRYSVIIL DEAHERSLSTDILMGLLRKILTRRRDLKLIVTSATMNADKFSRFFGNAATYTIPGRTF PVEIFHSKSPCEDYVDSAIKQVLQIHLSSPQGDILVFMTGQEDIECTCQVIEERLEQL DDPPPLAVLPIYSQMPADLQAKIFSPTPDGRRKVVVATNIAETSLTVDGILYVVDAGY SKLKVYNPKVGMDALQITPISQANAGQRTGRAGRTGAGFCYRLFTETAYLNELFPNNI PEIQRTNLANTVLLLKSLGVKNLLEFDFMDPPPQENILNSMYQLWCLGALDNVGDLTP QGRKMSDFPMEPSLAKMLIVATEYQCSSEMLTIVSMLSVPSVFYRPPQRAEESDAARE KFFVPESDHLTLLHVYTQWKSNGYSDAWCMKHFLHPKLMRKAREVRGQLEDIMKQQKM EILSVGTDWDIVRKCITAGYFHQAARVKGIGEYMNVRTGLPCVLHPTSALYGLGYMPD YVVYHELVLTSKQYMMCVTSVDPYWLAELGSVFFSIREKNFDALARARANRDFSKKTE MEAEMAKQREEIERAKAEKIRQEAVSRTPKIGGMGVAYTPRSAGIGAGSRSSATPRRR AGGI L199_004577 MENRVTNDQGMKEIEVCRKALLKAKPHQLEDGTLVNEGIELVLR SYPPAVQLKHLELLLVLLRQLIALSALVSPSLFTEVEAKILPAFLPGGHLASLVLLNL DHPLKVQQQRSSEVLSLAGELSTLLPPPQISTSESTTFIVPLFKQAISNGIARRSNLI VISTLLDYVPSSVISPNLVNDLLGDLGIVDCANIRSNLIINLLTKLSSSKNDVVRQLV PYFAPGLPNSVMVTMNRYLLPSLFKKDPSYVTTLLGVLSDKRQLFGAWITVASIGLSL GIVKVNQLPQQDLKDALAHEDADIRIRAFELVSGSKDQFTEEVFELIKEGFTWNDGLP SAGSRSAFSSSTFAFLVRLHQLETSTRRILRKKPNTENIKKEQKSVSSILPLCESFHR WFLDYLDNGLIQARRFPVFKILLSLNLLGRYLDVFGDNDDIQISVYTKERVEMLLCCQ MSEFTEVRNRSRKILESATIPLPGYETLSTPSSQALMNSAMNSINLPRKTQAEAGKSA LCILFSKLVKSDQDQSEALHFVGNLIEKLEKGIAVVEKDLVRGIKEYPIHGSLAAISD LLSCLDLTSSTSQRAWQPTLHHLLALINRIWNITKTVISLAPSTVEGATDSSRPDHEI ARAYEVLANGDDEEEDGEGGEEMDHTGLLSGCWRATRNAGELLATIIYLPITQSGVSQ TIWTKDEINIAGECFLTWMHEIKHRGTFSKIAIAFAQLVEAVRPIPELRDLCEEWLQH DLRTIASDQHSTTRRSAALPYSILSLVSFDEELLNTALTALLDLARVDNEDTSNVTKV HAFNVLKIVLLDARQTKWFGQWFERGVMTALGAFESPDWNVRNVGLILFSTLVHRCLS PPRGGQDYYKSRSTLATRQSFSAFHSKYPSVIPFITKYLESHITKGSSGNKHSPLFPI LIIVRSLRYDDDSEELVKELRITVERYLSSQEYQVRQVAAQALSSLVPPGQSLNAALT IMTKPEESDLNAVHGEVLYLKQLIANVIPWSDISSCSKRSFEDDLSTLVRRCVPGTCP PVTEAVLECVQLYNVNVKTTSSDIAKQTYSLVTTHLDNRGDIPFVPGEDARHNSSVKF VLSNQPTQELVLGLLSKSASEIDQTLVLEKLPSLPDLWTGEIFDAVLENALTGRGGDG VRVLALDALSEIKWSDIVLQGMDGKWKKVIERLRIVVESTCVPVKEAGLVSLGWAIHN SIINKNNTAMEGLKTASAPILIFSHEDESQPSRVSSLRTLAHLTPHLFNHANVELHRA LLRLVQDDDEEIRNGVCDILSTGLKLRMGVILSKSLEIYWTWLEEYLKSQNIDQKQKW LGWLKNLATDQEGYEQDVKVLNRHDNSTELLFEVEPSNIFRDSLVDLYYSNRSLRSLG VGIEVTHTDDAHIAEGGVRLSPIDDAWEARRTLETRRKYTHGIE L199_004578 MSTSTPTPSSSISNRNHPQQQTRYHPYSLNSNLLAYRRTGPPSI ASTAITSYAPSIASTTTIVPSLTIQRQNNLRIKVNTSVAPSSHIWDEFYKEHGRPLPI NEGEDGLLAENATEEQECTTPRQPPSSSEPLPLPPTSPPQYSFNEDTTISELLSMFEL PSETQNPINGINGTSSHHLSATATTMAMGDYPGAEFLNLPDTASTLDAQFPNLDEFDF THFNFGNPVISSMTTARYAEKGSQNGHLEDVATSPVSKDNEGAQDILEIGLNSSNSIT ELSPSNRDTSVAINHPSSVQTGDSSGQHTSIQPSHFSIKNQATTLSVSVPLQTDILSS IVGQLSPIPNEENNKNKSLLHLSNKCTPFIIDSSCPSSSSSSTTNHTYPHLKRMRMKA LSTDKDLIRFQKEILNVAAKFYTDLADVFENTPSDIIKLGMSRTGVLVEDDTISDVLE DIKSLCGRLIAKASDIPGYPADEEDINMSGEEIQEEIPPQTVLVPPTAYLSAQRGSIS EPIDLTASTPRSEGVTPAALPNIPLPAPPVETSQHPLSQVTSARTDKVSTPISSPPGL GPRSRRLPDPFTPESLGAGPSSVLPTANTSPLCPGSTPSLPSYAYTPAAHSLPAQTPL ARMLLNLPPLPNPTNRVIHGPWKPSEVERLRTLVAFSQDVEDNAPIDHTDWSWVVDNF GGTRNRHQVLIKAVELGLRETSTHHSRRIKQKGYRGALAAMETELTTTTSKPLQSPIP PLLPSAPITPRPPRPGATPRRHSEVVDQTISTKIGGDAGKLVKTPLMLGESGRRESDT SVLFEPFDTAKTPSRDLSLPPPGTTKPLPRALDLSFSPSKERERESSTSSIRPDTSPI RRSTGPGFSPYTPRSRISTMAFKPYAHPPSAPPRPTADTHGHGRTLSGWRLVNMAGSN DGRASVISPTFGGFALTGYQLAPAPLFGEPEKRVGNDESEGKGK L199_004579 MPLTISGQYPPSDSYLKSKDGKTPTHLIFYSDVEGGRMWCPHCR DVEGIVKSAFTGNSKPNGVITYIGPYSGWKNIPTHPARIKYGVRSVPTIIKLDDNGKE LDRVEKPGILDSTRFDDFLGL L199_004580 MQSPLKGSQLQSGWTDSHKYQNQDGPKTVERKRRGRGVDIISSS LPTPPSQSSSHLPTPETVRRSARPRKPLPPSTTQLPTPQTQRTKRRLSPSTILEEREE EEEPIPSPSGHTTFFLSNQSNASSSCSRPEKIRRRPGLTFAQQMGLLNTGKGVGMGGG HKSGIHMHGKVDQIDGVEKEKEKGSFVKQEENPFLVSGSGLGLGAPIPIPSPGQITIH RSYGPGGDEEGFNSDTEGQPSLASRRSPRLASPIPSSKTHPTLAQSILPTGLLSPPPT RHAPRIASSSSSKAKGLTRQENVRRKKEMEMLDYESNPFLVKPGEPSTRIPKTKGPIV DEDLPTVTYVFRGSKKVFANPLYPSNTVFPRSELDPEDEEFEPNPLPKPKLLWPTGPS SLPSSSKVRNPRDRERTPSRSPPSSPVSTPTRSTRFGNSNLSLSVGPKGEAQDEGEGI YSDDEGELMMRDIRTEEHADTDNHGQAELQDELPSRRGLLFGCGIGGSTNKGVKRRDV DEGDLGGRGKKARGILRL L199_004581 MTQETEDTSGQPPSPSQHQLPPSPSPNGDISLEASLSNVSLGSF SGDAGPSRSPRLFNGRRTPPAPLELSREDDIDLEVEGDIGVSLTSPTRTSSPANDYFS VSPSARRSSSRLDHVLTHSPSPSPSSTRARQRSLTPNGHLEVSPTKIPLPSPTSLSPP LSAPASRRSFSLRSISSRSPSPPPQPFALKPPLPNVTSVILSSELQGEVEVDDTAPFE SIPLSSTPPLTPTVPPPISPTYVSPERKGRQSWGGFGMTKEGQRYAIGETPDHTRSQT EQIRRTSATSSIHSTHTHTPSVNGHGNGGQATIPPQDGQYSAPTPKLHAPPAHPFPFP IPSEPYSEDQRRISTSQPQQQNHTSGQGGSGVESHEHGIGVKESISTFEKVRSHTRPA YLPPKDKHEDEVHLHQWEEIMTQSKEHEKQVRKLKEQRKLEREKKLTIVIPKWEALLH DKEFSVQKVQNDPGLRNMWFEGVPTHLRGLAWSKAIGNPLAVSKDAYRTYVTRSEKAQ KSGRFPQDVLDQMEKDMDNTLPTLRLYHRGSPLRDDLKEIMCAWVVYRSDDGLGYAPY ISHLSAMFLLVSPPAEAFISLINLLSRPCLRSFYTQIDDEIDAYYRVLENLQADAYPK IYANLKNLGLRVPEGWFRGMLVEQVDFECACRLWDQIMLDGDGFIFRTALAIFGFLEP RLYYPDHDEILSVLEGRNPATLAIQARERERARLRGETFDELIDGKLSVFGLNEHTLF EWLGNDGWKEKAFERLVLREMPD L199_004582 MPPPPQTTAGGSTFDKMKMGAIMGSCVGLTIGFIFGSFSVLRAG PGPRGLVATLSQYMLSSAATFGFFMSIGSVIRTESQYAYALPPNSTNGKISHPLMMAW KKSEERRKMEKL L199_004583 MSPLLRLGRGISSSLPRSISSTTTSTSTRRLVNVNSFRRSSSNL TSSISTQAQLYKKTLPLQSSLNRRKYATVAPTDPKTNLPLQPIMSQSPFSPCPPIPKA TGPVDLILPPTEDRPHKYFTLDNGLEVIVVSDEKADKAAASMDVGVGHFSDPDDLPGC AHFCEHLLFMGTKSYPSENAYQQYLTAHNGHSNAWTAMTSTNYFFDVSPDALEGALDM FSEFFIEPLFNEDCTEREIKAVDSEHKKNIQNDIWRFFQLEKSLSRPGHPYSKFGTGN YETLWSKPKESGRDPRRQLIEWWEKEYCARRMKLAVVGKEPIEVLEKWVKEKFQKVPI RTEGKPPTGKDGVRLTFEESPYGEEQVGQFTFAKPVRDMRGLEIVFPFPDMDHLYRSR PTHFLAHFLGHEGRGSILSYLKKKGWVNSLSAGNHHDAAGFSLFKINVDLTPEGLAHH KDVALVIFKYISLLRSKPPSEKAFNEIKAIADISFKFAERGKTSSYCASLSGFMQSPV PREKIVSAKWLVEEYKQDELEAALQLLDPRRSTIGITCKELPKDVLENNGDFDQKEAI YGTEYKRVKYDQEFLNEAMSGKVLSELQLPGPNLFIPEKLDVEKFDVAEPANRPVLLK DTPTSKLWYKRDDRFWQPRANLDILLHSPILQTTPRNAVLSRLFCDLFSDSITEDVYD ADLAELSFSLWNEHQWIGISAGGFSDKLAVLTETMLKKLKEFKVDEGRFREIVEATRL EWKNFALADPYGIALFWDAYVTRETAWTQEERLKELEYVTSADVEAFGKEVLSRLFIE TLIHGNTSPEGAKEIQNMVKKVLSPRPLSASEIKAPRSLLTPPSSEHIWSLDLPNKAE VNSAVVYHLHVGDPTDVKLRNTLSLFSQIASEPAFDYLRTKQQLGYIVFSHASASAGS MGYSIIVQSEKPTELVENKIEYFLDNFLKESIDKMTEEEFEKHKLSLIQKKEEKPKNL SEESKRFWGRVKDRYYEFNKREKDVEALKTITKSDILSLYSKHITTNSNDRSKLSVHL KSQYKGIKFDASSAGPLIENFMKAGITVDQSSIAQLLATNPTLEVVKQFAIGVIDNAS NVDDEAKKTLKGVVDNLKGSEDKQVEGQEEGVRESNVYIKDILQFKAGLIPSKAAVPV EPIKVNAAKL L199_004584 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG A L199_004585 MSKPRPALRSQSQPSDRLQKRTSTASASTTSTSTPATMPPNLVR SRSTVHLPLYRRVLFPHDDPTSEIPQIVRGKGDEVELINERLYHLLALALRGYVLQWY TRFSTDRSFPPSIHNQIIYPILHPILSDLYTDSGKDRLCELLLVDLPVILNLHIKTYH QAKSAQKYLPTHAGLDVKGEHGMAEGYHNRLPLLSISKQEDTQEYGITSIYLSALSTS LINLYITPEGRQIPDVERLMIREILAKSILGSIIKRLCEGWFWYQIVLKLLGEPTSED GTTKENQKLEGCKEKEGKSVDQIILQYINTFINICIKLWNIFINIIALYSAAPKEKGR YQRCYEPTLLLIRELVGVDGYEGMERKRWSKRLIWGGVELVVGLFGGVLDRLLPHLLK TYILNPRTSLRLIDMIEKLLFPDGYPGPSPIDPTPQEALDLRLRAERRIDQLIPSYIQ RIFFDTSRGTKVILDPIEDGSCNAHLVGMVLDGLVGTLLPDLVIQEQEQRVAEVNLDH LDELG L199_004586 MAENYQKKELLALMNTGNNKLCVDCNAPSPQWASVSYGTFICLE CSGVHRGFGVHISFVRSITMDKWSDDQLKKMKLGGNEAFKSFIENYGPEGGYTKGMGM QEKYNSWAASQYREKLAAACADPPQSWSPSSPPPASAAPSRPSSAQATRKSRAGGGGG IGSSNLNPNPTIGSAQKAENEAYFERMGNTNSSRPDHLPPSQGGRYQGFGSSPAPDAS AQHPSYALSSHSAPTFDELQRNPLGALSKGWGLFSSAVSSAAQEINQSVVKPSMAKAS EYTSGENSEEWKKYLSQASAQASQASHWATARAGEGWDQLNDVARQKGGVDLNEQLGK LGLGGRTPGQRGYGQLERSGDAEDGFITPHGGDGAGDDFFDSWDDHPTTSAAATSANA GKGKSTGQGQKKKDGWEDDEWKDF L199_004587 MPKDDPSHPPPLKRGDACLYCRKRRIRCSADKPTCQHCLKSGRE CVYDSGKPVSRVKQLEEKVAQLENLLKSSAGKDNSEDNGRRESNTSTASTSQPPPLLH HSSSSSSQSQDVPMDLSGMGTGEPSSSTSYGYSINNENFDINMFDAILGSSTGQNTGS SSHNSFASFGGAFFSGSASTGATPNFFGFVNSSSPTAQNPESLFDFNMLDPNYMNLLN SFGDTSTGPSAAAQPTVNSDPQSHFQSPNINANSSVPIHHPHPHPSFGAFPHPHPGGP PQPPTASINEPISASLGYYDPNSTSCPMHLSSDDSNVPSSFNQPQSEPQTNPSNPVHG TSSSSLNQPVNSRNPEDIARAFFDTLSHNNTLTESESRIAEVLRSSQLKQPVSSDPES FLKAVEKSMERTVGADRHTSITTGKDDTKNQQELNSQQWVTGITDSSTLIDPAGPGTS PPATTDSSGGLRSSVPFYDPQEDIAEDEGSNTLVGGWFDAHDLPKVARDHLLDLFFSG MRLFGQEFHVPRFMASLTLPAHKRPHPCLLYSMYTLASRISDSPPIRQLEPHFYKIAS SQLETSIGMADRLLDATRASTLLAIYKYSKARYHEGWMMTGQAARLAVSCGLHQIPSS VFKPSNLPHLNADLVGMMRHRSYVLPPPKDAIELGERIWCFWSIYVTDRCGSISTQWP PAISDEVITTPFPRPLHEYELGLVTEQDDHHSIQSIYKPSPHHNPLPHYAETTLISIR LRAICILERASKLMYHSPEEGWERSLPMLNPTSTSTTYSNSNSNSPTSNIDDYIYSQI STAAGFVPSYQTSSGGTHHSSTSHSRSKEGWTRTARIRTPKAYEEVKQALLKIESDLP PEWRVEWWKWDGKVQEWHFTKARKDLITLHFVLGCAWMFLYDVFSFSAENIDAINVAK RLTVTVRFVSKEAMTSDLDVFIAMTWSFISKILIREMKRLQSLGDVAGANSLEPDVQI LVTALREFGQRYTIGTMQAMRTERYRKTTKEETSFLYKADKRNQRQEDGDDDSDGEEK DVDEERISFVDVRDTMGGTEDWRTTALGSRLGGE L199_004588 MEEIRIPPLVSAWLEAQNAQFNNPLPLPPLTQDVNTLCNHAYLA SLTPGCPQEVVEELTRIAFRLAEASANIPAYLQVGGARLGEVGAQIAQQLVAAQESIL VIETGMRTSWAIDRNRTYSKINEDMIPVPVSDPANPAGPPLDRPLGVLDHIRRWSDVR AMVRGRVDRWLQLYDLEVPDNMSIERRRLCLYYHLGGSEVQVAQG L199_004589 MNRLTNAFSSAIPSSGSDGSSMHLRERTGDSPRVSSPLDPSFNI PSHPHLPSHYERRHIVQSLIYDDPRTIYHPISSSPSARGNFTNNLGLLTAGIIRPGRP GLLRHLTDDGSGRLSGSQAPTPRVRSQSRSRERDRGIRVNLMSTASLNGKRGWGMNSA TKTTRLNAMAQGPEGTYAIGGGQYLRVMKIHDPSSGSTTPIFASDETKDRHTLAKGNG GVTISEVVNLWKPNWPVGKGVNGMDWGVGAFDTKIATATPSGNLLLFDVEKGRLDKDI SSGTFRPMNCVRLCPSASHGHLALTGGTEGGVRFWDLRERDPSNRKALKHSSAIISLT FCPTDAYQFVAGLDDGSIRRYDFRSPSRSTGKAFGAHGSKSVLDLKWKEGDEESSDGH KGGGWLASAGADKIVQIWDMGQQWDKPPSPVHSLHTAYPVRRVAWRPDHPTELVVVPL IQAVTSADPTLSPNPSSVTLSSDGDSASHAGLDEDAHLEIWHVRRHYIAKYAIPTQDG GAVDVAWANGDGNLVACFQNGGFAQMELRGPSGRGSLPLPLDQVPRQLVGWSPRGEMV YAVDRFKLGEIPFDDVKSEYVNHWDKLGRPTPSISDPAYEPLQTLGFLPLPDTDEVEF AYLANWYKLEGDTPENLCQWNGNVALSCGREDDARLWAFLKTLLEEFDPSPRLQVEAG FKEDVFSGTIDGTARLSTPPTSTPTHGSPNHNVPYPSPTAIPLTRLGACFLDEDEEAL SEPSESSSSTKDSYSDSESEEESHHPKSRFLAFSPPDSITRRLSDALSGGSTSRRESN ATVIMPNSRSVSASVSNAISAMKMTKGGTTGTSRSSSGSPPAEKEKENSTMRTSTSKK SSSSKMTSSRIMAMSDYPDPYGIQGEFNFSGSTTTATISRTSPTPINNNNTSNNLDGI IGGKSLRSSPLPFPSLRKGVGTPEGPLSRRNSAQQQQQVQVQGVGMIKVPTATALRGE VDRFDKNDWFTYQKRRVDALHEWWEGCIDDGEMQLATHIAIVGSKVGEFPSRQVEGLV HAYVDMLERLRLPIPASYIRLYSHIPSLQIAAINEGITHKLHCGRCGKSTGELDDIGV EGKKFWWCKKCKMGAKVCSICRKVVKGLYLGCKKCGHGGHGNCMRVYHNQAPLLPLHP ITDHVSDGNASLARTSTGTLSTTEHGEIEQDIPIGGWSVCPTGCGCRCRRV L199_004590 MPRPVLPLLRPILSSSLPTPLPISRSIHSSSRVLLATPAGRNPF APRNTGPKHITPGPIKTPNPRETTTADAASEGSRHGNDQSVGTGVADQWPDYSKGPSA LDKASQLFFFTEIVRGMWIVLEQFFRPPYTIMYPFEKGPLSPRFRGEHALRRYPNGEE RCIACKLCEAICPAQAITIESEAREDGSRRTTRYDLDMTKCIYCGFCQEACPVDAIVE TQNAEYSTETREELLYNKEKLLSNGDKAEAEIAANLQADHFYR L199_004591 MMDILTFFPSYLLSSRSLPTAQVPCLAELQKQAQLLASSIPPLP TYSSFSFSSQSPPMTKTKKEIDLPQQSKSRGLPIPFLKQDKSWYTHNHTQASYDHPLP RYTERPDGEKEYIDEIDIGHGYDHIHRLGKSASLPNSSNKLWKTWNGGFRPIHIQSTL PSESGNALRPMREYGMNGSKSYAESVCSLTQPSIYNLRENGTQNDTDKSMTWIEWITC RTCL L199_004592 MSGSGSGFHGFRQDMPPPGGYEAIKYKRNLPVRGVGGAVVFSTV AAICAFGFWRVGKGNVEKRELKREQAWSRINLVPVLLAEQDRDAYRRQQAALAREKEI MKDYPGWEAGKSTYNSSRYTPNTIVVL L199_004593 MSDVHTDRPRVVDFDPEIAEQCLKSLKAINDTCKRVLNEFRATR SVEVIGFLTKERVPLPPNPRNGRDYPEGMPSEYQLIPFFADIRSLPDEHLNTWLGFYD KTYTDDVNRSDKEIMLFQALVKTSRPELLVDEEGEEQEQEQEQEDELNGHQADNNKKN KGGRKRGRSSATNKSSVQAKDKRSKRNPKK L199_004594 MYPSPAPTSILVNNSTRLIRPNTIPRIPPSPIYTTCSTSIIRQK RMFALSAHRRDQPKTFSNQSKLPRLPVPDLEKSLEGYLKSLGPILGQNYDASALPNEI EKRKLFAKDFAAPGGLGRTLQERLKDLDHVSPNNWLNDTLWLGLAYHTWRAPLLVNSN WWLLFAPDPSDPTPPIASGSLSKVEVPDPNSNPKEAASAGSQRGGQDWIDNQKGEFDG PVEWEKVVKREWISDWQIRKAAWLARRFAEFRTKLLKEEILPDSSKAGPFDMHQYSRM FNLSRIPLPNSDAFSAVDNKATHLTLMVDDYIYSIDIFSPPPIDGVPEPLSPSEIEQR FKAAVNDAKKRKDGGERADQIGVLTADERDNWTKNREHLLLLSPNNRSTFNSISSSLI VLSLDPYTLPSIPSVDPLRLPSVDAQVRNTASGIDGAGNRWFDKAVSVMVETNGRAGI MGEHSPVDALIPSIVGEYVLASPVDHSRFTSGSSSSTVDGWNKLDWVVDEGITKEIEG CKERNQKLIDDSDASQLWWNEYGAEWIKAVAKQSPDAYIQQALQLAWYKDQGYATATY ETASTRMMLHGRTDVIRSLSSESRSFVKSMLDEKTNDSKRYSLLTEACRAHNFLTRQS SQGNGYDRHLMGLKVHLRPGESHALYDDEIYAKSQEWKLSTSGLSAGGKFMGTGFGAA WPDGYGINYLAGPYLVKFGIESKFSCDKTSTQRFKHNIVQALRDMRRVCEAAGEESRA RL L199_004595 MSDPAFVQLLDMGIPGGRARAALQRTKGDVMSAAERVFAGEFDD IPSDDEEEVSNKASSSKGKEVIVVEDSDEDMEGSDAESGSEEGLVDGFEDDGEIPEDK LLSDPYAGIFFSKDRIEKVIEPVEEHRHVKISASAGTQYNVKILGRGEWMSGCPEGNE QSFLFQLYSMLAEGNMGCSAGCGYQFKRKQVDFFVLFPDFPAFTTYLSSKIRPTCPQC HQITCLACGEKVDRHGKQPEDILLHCPNLQGVILGVGLHMIEEAFSEGSDSKSLSSSA SGTANAPPVKKQKTFLGGLTKDKHDTDRVDDTLEDDSYSSGLGLVQKVVSRGKGSGKG TGYDGSGVEDRTGQQEAEEAQIASDAKTALLLSQVRVFLPDLNRPGGAKTSDHLVHPT ALAHLRRRSAFVNDLLRNDSLLDMSKRSDLYRALFDWLEIVSNHEALASMLAMPQMRP ISGEPLPQDPRSITVTYEGASSPRELLENCVIQAQAALKGLKAVDNISRTTEDVMMTE EDLRISKAELARREREKRQEEKQDANYQLRSFCERIVASAQTIDKSLIETKGKPFVDR MRESLPRLPETGVSVEENVSQGQTEESTIKIYEEWAGRARFQYCDLTEEATSTSDRKV YRHAFNGSIQSLEGMDMPKRSLAIAKELAILTTSLPVAWHSTIFLRVDETRVDVLKAM IIGPEGTPYENGCFIFDIFLPLDYNQRCPNVKFMTTNGGRYRLNPNLYADGKVCLSLL GTWSGPGWISGQSTLLQVLISIQSLILCEEPYTNEPGWANDAGTSASKAYSANVRRMV LVDAMGNNIKNPPYPFENEIKTHFRLKSKSIRQQIEKWKELDDGKGISGDSFMVLTGD KSRSFDSDSKDLLKLLDQLDSGNSTISPNIAAGLTSKGKNSDGKDQAKGGKLARTLGF KK L199_004596 MVSAKSPPNKPKTPPISSMTKAKSPKSPRSPRSPRSKPADSFTP LSSILTEEPMSTDHSSPQPTRGLALRRVLSGGSSSASTLPTTPTGSSVPLSPSSTGIR TPRADRNPLTLKSDLDQMDIDISTKEKEKEGGHQLADHLDGLQVNSPQTAIPPASGLG MPPSALGITQVLGHQSDSESPHIPQSDPIPTTTNGGNTNITTNTRNHDRSYSTSTEGS TTSYHPQIPSHLGSSYGPEGFPRYSRSPDNSPNIFNSSQQSINGNGYHASLNAGFGGA DANGKNIDPELALRQAEALAKADEAVRALNGTSSLYQSSSTQPIFEPYILQHRPPQGP EVNFVTPQQQPSVVRQSSTSSSTTDAASTSSEESDWCIPSIEWVSTNPQSPRFLSSGY NPAGFAQAQRDRVSGNTNSGNNRMPPPPASPRVQDPTQRPSTLQHQQSLPIGASASTP SGIKPSSALPMGVPPDGQAEDDDEEITVGHERRSPSTSSQSAQSGLDLLWRAAASQSK SQSHPPKPQLPVYEVSSSFDHKGKRKAGAEAVDKWRSSGIPTGLPPPTPTSIPTSTKS TGGGGVSGHEPPKKRRRSEMTMEPMDNPGLKRELSEEVVEEENEGETGSGSEYKSPSV SSEPISDGNDSEYGGGGTTNKRGRPSARGGRVIAGTKRATASANKTAGGGGKILLNPA NAPGGVTKGGTIKKVRKVGDSPPGGNRGGRRSSGGAAVPSGGVQCEYVNPLPPYNRCT DVFTRKYDLPRHMARHARREGELVLDGKLSEEKAVLWRTIKDKPKVTCNECGENFTRM DALKRHQAKQHHH L199_004597 MIYSTVVSEGGPLPPPVEINGRLYPDDMPKEYTKFSFFEDIISL PPQYLNKWLILHGERPEIFKSMDHLDRSKLLFVLKGGTMNDSVRKYEKERNEKRTLET IDLTGEDGKPKRPRRSCTKK L199_004598 MQASTSKLKPTQDPLSGSESESESDYSSSDVTEDEDGDELTPAL DAAILRTLSKIKRKEGVYGKENVLQEELKKAQERAEAKGIKAQVVKKVVEKPYLLQDY HRAKLLSGSNQEDDEDGENEQPLTYVQSERKLKEEAVSAFKTLASDDSDDDDEEFIKK REKDEGEEDMEDEEYRQFLLEMGGGEDEVRKILGMGDQPISTALEETVEEGKGEKHVV LSKVEKEEIAAKKKAKKEKKAKKDDEFLMNYILNRGWIDRSESHVPTYKEVVGDDEDS DEEVEEKPKAGPSSHPWGELDEEDEFDDKADAFEAEYNFRFEEPGASNILSHPRQIDA LVRRPDDARKTKRARRAERKAAEKAAQEEALKAKKGSKRREMEKRMAALKSDLAAEGV DGEVDWDSLQKVLDGEWDENEWEKVVGGMLSKAGEKEDEDGKPVWDDDIGDAEYDDLE EDGGYPYNQDGAQEAMDIDEEYGQDDDGPINMDADFIDEEPSKKKRKKDKKKNKQKDR AIEEPLIEDQSSLSLKDKAQAVKEVMEEYKALDHEDIIGGDLPTKFKYTKSAPVSFGL TPVEILLATDEELNKVLSVKSIAPYRKGGIGHQGKGLGQRVRQLKEDVRKRKWGQDDN ERKPWKENRAEHAAKKESKSGVEGGEGEEKRSKKRKGKKERKRAAVGGEEGSEHQV L199_004599 MSSLAAQLQNIASLDAARLTSKYGQPSSKSYLFPPKVASTHDLD SIFALAQSGFEELLSLDPDMEEFEEELFSEKSKRTDRMMLSQEENDVLDILLGRCLRR LGKWIGIMAGGKCIEWLVRRFRVHEMNAEILLQVFLPYHESPNFPRILAILTIPQDSV YFAPFFPLIKGAQPVPRSYITNVISPARDKSLRLLTDVAGMVQQAIKEKIVHRALLTF WTATMVDPLDGGKNGRGVQEGLVKVMVEAFVTILSTPFAGEDVNAAVYPPLVLLTRSI TLTDEAFHAIVSSILTPNSGANASQRILTLLVILNDRPGYSCGLGDNASQSLSKVKQL DQILVAALDKYKFETAMNTVASVILEEPEIHFKTIRSILEYRELPKSIAQLAASTLLR LGSTENSSEDTKDLCKSLLVNLRERHPTIVDSVYVEASATAQIDHQLVQKPADESAFL NIYAADVFSRVQGIKEVMELHQSGSKDESAVAALVARLGDTDESVIQALYRDPEQLLE ITFVEKYIAGVRPTFWSAIPKPSIIGYHLDYISNTLLTDKVLKKYPNAGRQIFQELLF PCLLAIEKNPSLTKTELLKVFGGDFKSIDMLSKIGPEILKARSGNDQRGLTKSNQLIA AVLASVTTTSAHFDQDITYLIGQLDSTHAPARLLAHLVLAQLITTLKGDKQCSTALRA LQHTKTRQNVSFREIDNSEDVFSTAYLDSVYKKTQDARTTQRAVLSLLAAMGKVHRPA IGITWLQGDHHTYGNAASYELFAQEVYQWTNTGLLPTPLAQNLLRALLAQLGEDALLF FASIWTGPSFTALRTASLRHALAFVSAYSGIRSQQGIDFQIMVPIVLIALQDSEKPIR SAAVDLLKAMADNAQSGENIYALDTVYGDRSDSVQLLKPSDRKRYLDVLIEAGDDLVV DSNRLQTIHTAALVIVPGKNKKDTAHRRAVLGCLISHIISYRSIRERLVLLKLVSNIY DHTILRGLLPLITALKDEKDEESVWLSGLARDTRKEYLALLFSTFRKESVNVLADEQS GAWQFLLSILHMNAASHLQHQLRELSLQRMIDGVFAAIPPNQKTEYTLALIQSLHALS SEESLATSKLLERFDLDTSSIVEIIEHLSQPLETTVHRKKQRHDEIEDDKPTTAVLDL TVLIESRDWKALPGDASLVASLMSILSSLLAKRQVISQGVDYLEQEVLAAILALVEKI DDAQEIGRAHVGIEVIIKVIRASTNPRTSQRALLVASELARLIPEAVLHNVMPIFTFM GASDFQRDDAYSFGVVEKTVSRIVPVMTKSLQEKAENTLDLYNESLTFLSIFTDMAGR LPKHRTLPFFVHLVKSLGPADFLAPICMLLVDRATTKAGRSGNPVSVVLELPAGLAGA FDIQVRTEVLSEVVADLARLIGDLSRSEKEAFLSRTIGENDSSDRPVKQINHLLTFTL ALIEQLRGKPCTQATVQMVVQNLIQLAAKTSQPVLASSDIPKNVQAALGGAMQLLSAE NFLNIVLSLVTEGTDQDGIMALNTFSDRVPLIRSEIRAKCSRVIGDIIKKASTLLGTV SSSTKAYLAAIKTIATTALSSEDGALSAVVPTLVAAISKMGETINVIGGLELVEVLVR HLNSRIIPYIQSIITLSLTLITSPKSISTIIRSSFSVLSSLLEVVSTFISSKQLATII RTTMEHKSKDSSLALKTSTTISKKIRTKALFPVLMELWKTTQNDDNEETIKAFFDLLR LTLKNAARDELPGMMKNVFAFFLDVFDLRHRLQLRQVDANTINDIEESAIGSFLELIT KLNEATFKPLFIRLYDWAVIDLADGKAIDDGRLVERKIVLLHVMMGLLIKFKNLLSPY IATLLPHIQELLPAYAEGSIKDEQLHTLLLDVLGKSFEVDEGSYWTDQLYLQILPNLI KQIPLFPSSLSSEEDSAISRCLAELAGSTNSENVLRRLNTSICLMTRSDDIKTRIQAL KVLDKVWEKQMDELISFVPETVSEFLAELLEDESKDVELLARGVLARIEKITGSLKEY LE L199_004600 MSEAPVPVAGSSNDHECSAEETPILSYEELLACLPPTLDQSNHE ECLKFCQEPTTTENQIWAIVSLLANYMAEGMKENSKLLRRSAYNVRRIGNMQVNMQLS GNDYPLPIYEDINGKNHPDGIAKKYHHLETFDQICDLPPPILDKWCKFINEELYEIEK DQDHFYRAKSLYASLGGARNASVDAREKTYEMKNTEGRRKRTRKEGSGNGDMTKKKRK TK L199_004602 MQLGRYSVSTLRPPPIHSITFSGDGRFFAIAGEEGYEVWKSWPL TLVKRRVLPGTLTLASLLPRSPLLVLQGGGNNPLYPPNKAVVYNDKLGLAVAEVEFGE RIRGIKARNGLICVALSRKVIAFEYGLRTSEPTQGRKGKSKEVEEGFWLRKFGEWETA ENELGLMALSSSAGSSLLALPGRQPGHVQLISLPPCPPIPASSNPSSTAFRSPIILAH THPLSTLSCTPNGSHIITTSERGTLLRIWDTTKGRLERELRRGVDRAEMWGCEFDNDG KGRVVAWSDKGTVHVWKNEDGSRSKMEGTRSSTPTPSSTPSLTHILSRNLPLPKYFSS SPSIAQYHLPRKNPHAISSALGKAGVNVPSISINKEDIDNEESELFVVAWIDVEITSE DQTSQQQPKVVERRSSAIYDPPGSIPMMGGREERRSFGSGSDNTSRTVTPAPGTASTH GRRNSYTRNVSSNFVNKSLIQDQPSSIPSKQKVTTLSSRHTSLPPLIPKVEKQLIVIT YSGDWYRLRIPDDASLSTGTSGGEGEGEEAKKGDSKCELVEYRRLGVGGGGW L199_004603 MSDDERMDTGDFEGGDYEQDYLDPDTLNYENEDGENAQNEEEGV NGEIDETMIVESNAPQDGERQRTGKAAKPNEVRVTTPYMTKYERARVLGTRALQISMN APVLVPVEGETDPLEIALKELAAKKIPLVIRRYLPDNSFEDWKVEELIIQE L199_004604 MYTSTLLYLLPLLSLSLPTSAAGGPTPREIYEAASRRAALSPRD DTDSHGHGKYNALLNSHGHGHVGRRSHAGGLEGKKLVRRKKVKAGKRAGSTCRASSAS ESTATATLEAQQNNAIAKSSSSSSSSSASTSTYAEETAYPTMSSSTTSEWQSSATTAS ATSSAAAESTSSSSTSGNSLFPWGTGSASWTTSDGTSFESVLKPLTAGKLPSHGTAPD GSDSLVADFPAGTVGLTSAGFSFYTTGAHDGVEVDSAKEVSFSYSVYLKDGFNFVKGG KMPGLYGGTSLSQAKSCSGGRQDSRDSCFSARLMWRTNGQGEIYDYLPVPYTDTDTGY GESIQRGAYEWATGKWTTVAMRVKLNDVGSSNGEQELVVDGHSVISLKDVTFATSEGT KIYGIMAQTFFGGHTDDWASPTDQNIWFKDWSLAVLS L199_004605 MGKNNKRKRTAQVQSQSSKLLTPPSESPSASASLLDSEDLECTI NVLETLCDFPEELAGKEMKGLKRKVHELYRIMAEGSGLGTSLTSKISTALSDYRFTDA LIYLFEMYTRKLTPKLGALQRWVRECDATSNEDGSPGDPEALKCLDMILRIANMSHPT HVPTDQKVLGTEGSMRQKGSDNMVDGMDMGGIIQGKQIFKAREDNEEIKIWGLIKEGK LFDTPPPTPYPNFRPVHYVKADDRKPPNLYDSTVYGSSPGAIALAPPSERKPPTKVDV PDVPGAFVVLDVFTPEECLQIVRAAEAIGWEKDEAKSGSAINKTSILAKNFVWLSDSS FLDHFYSSILPFVPATAPISVDGNGGGKVRGINARFRVYQYTENQLYRPHIDGAWPAA GLHPETGEYLHDSSPPDDPLWSRYTLLVYLNGEGDIPQDTGCTTFFLPSDKIGVMEAH SVRPIQGAVLCFPHGDTTGSLLHEGSAVGKGGIKYVIRTDLLYEAKGFGQYKPPASVG VKQGGKEEEGLGG L199_004606 MAKKGRGSKSTKLLNKTANTASGTGANAAAGPSTQSSRHAKISD ELKQAVKDLGGDEDDLDLIDGIDESDNEEQDKSATKVPKGEKKPTDEKLLKKELGDFM KGLDFGAVGGAEVESDDEEEEEAEEAEEESDEEIEDEEEDEDEEEAEDESEEEESEES EQEKQTDAPTSTGKTTNQKAVDLPKTNIDQDSSSGFNVPASSLWSSLTPQLPPLDAPL RPLQGHALNELRQKAFNLLDNLPPLNRASSSSDAAFISQILQSGTHQDKLSALVLLVR ESPVHALNELNRLRYMAGWKEDGIGGGSGSNKDQRVAVMKALADWWVNGGGKQQGKLR YFADQPLLAHPQLTDRHLLMYAFEDYLKRWFFNLLQVLEVLSHDTLPFVRTQALHIIF QLLSGNAEQEQNLLRLGVNKLGDTDRPVASKASHHILQLLQAHPAMKAVVAREVSALV LKPINCTTTPASSSGSHIKFDDDVKGKKPEEKKSETVNHARYYGLITLNQITLTRKDQ EVAGKLVDLYFEVFREILGDSSKKDEEHPIAGEEEEGEMGEDQIEKVAGKIDKWRGRR KGTKPKPGQKKKTAMEQEEELIENSESKLVSAVLTGINRALPFAKLDETMFKSYMDTL FVITHKGTFNTSIQALNLIYQVSKTQSSSTSDENEIKGISDRFYRTLYDSLFDERLVT SSKQAMYLNLLFKAMKLDDRINRVMAFVKRLLQMLGMHQPPFICGALYLLGELFSTTP GLKKMLIEPEDDDIEHFVDADQKDNKSKQVESEPTKKKLTDEKAYDGKKREPLYANAD SSCLWDMIPFLNHFHPSVSLQANQLLLSQPLTGSSDISLNSLVSFLDRFVYRNPKKTL QPKGASIMQPAAVSDKSGMIVQNKGARSSGGEAGLMVNSESFWRKKVDDVPVEMMFFH KYFSEKLKRNESLKKKKSTGEDDEDVEDSDEMPELPSDIADEDDEEDKDSDIDVEEQE EEEEEGSDPEEDEIWKAMKASMPGADDDMGLSDDEDEDESDDMTEYSSEEGEDVEVDE DEEEEEDEEEEEDEDEGEEEDEEPVKTKNKKGKKRSPSISSDSSFPNFDDEEEDLLSD SEMEMPDIRLDTAVSSDEEQEKVETGKRKRNDDRKAQRKKRKELPMFGSYEDYQALIE AGGEEAD L199_004607 MPVNIKPVVGAGLASCCTIFSILGIVILLTLGSFFSKHVEGLTG STKDPENPDHVAKMCYVAAIIYGGFVVFCGLQMAVHRRYPRGVQL L199_004608 MPVKRSAGSSSAVPKRTRFDDRPSSSAAGASPAGSGSLSANDDD DLLEEDLPQGAARDKQRAKRSLKDTEGYGSDSSNDEEGVVPSRRPGAEKDEEDDDVDM FADDGDEKAKPADKGKGKEKEFMDLNEIEGQEFDQPPRRIDEEGEGDSDSEDEELRKN AKTGLDGDMGSEITPFNMKSEMTEGRFTADGEAYMENEKDENDKHDSWLTNLNKEEIK KARRAHRERERLEKEREEKENSKENKIKERELLREAVGLLERGETVLEALQRLGKEYN KEDPAKKKKMSWAEKQKERKKLMAIEQEQSDPTHTSNPFTNLSNIVSQLTTIGHLEVY SMSRESIQRMLPAEASSTNGSSSRPNAIPATPVDTRQFQYRFSMAYVRNLPEAQRPVE REVFGPFPVQQLKQWRNTGFFGGPACENVELRLAGDQPGPWGSWPDIVGQ L199_004609 MVTKDQSDSSSGEASPVRSPEFKNGSEQPGFFGMLIKSVFEPGA NAAVVMAMNLCFFFLLLTLFGLAVLTQWNKHVLLLLGVTALLWGSMMWFVLELTKVQN RPDNMPPPTLDLIPTMSPGQSEIDKEKKKDR L199_004610 MLSRSARSLPRSSRSSFPLPKPRRSLVTSRTHLSPSTSPKTQSA WAAATESAHSVLTPPPSSSASSSSTATSLDDPLSVINAEIGNLKSSLFRMLGSSNSAL DTVAKYYFQAEGKHLRPLLVLLISQATNGLGGKGWERMKLESQSRRSIDDSLTSQGGV LNDWNPEVNGQESSSNSQVFASPFQIANIGSSTTSIPQPPLNISEFDMALQQNDQPMI LPTQRRLASITEMIHVASLLHDDVIDNSPLRRGEPSAPSTFGNKLSILSGDFLLGRAS IALARLGSREVVELLATVIANLVEGEVMQLKATSEPEQKPTPKGFEDYMRKTYLKTAS LMAKSARAAVILGGCGDSDGSGLSKDGEWVKDVAYGYGRNLGIAFQLIDDALDFLPPD PSLGKPSLGADLRLGLATAPALFAWEKYPEMGPLILRKFSEPGDVEIAREIVNKSDGL ERTVELARTFAGSARELIELLPESGAREALIGLTKKVVERVK L199_004611 MRIPRNSRLFCLLISLSFIPPLCILFGVLAYLNESMRICLPFSI ERISLTAHRKLSCQPPSSNYLNRPYSTGLKGIMAQAEADAVNGNTIQEGRLAGFLRSQ KESFLDDLKKGNAKGWTVVMGNEAGDLDSIASSISYSYLSSSLDAKRSIPLILTPSNL MTLRPENLLALKLSSVPLDSLLHPEQLSIPTNELSSKGVKFGLVDHNKLLPLFIPSSS NTDTATQSPGPITNGNVGEDSVESIIDHHDDEHSHPNAEVREITVPTGSCASLVTKHF QDRWKASISGPAGIKGSPIPSELATLLLEAILIDTSGLKLNRKATPVDYTSSQFLYPL STLYSNNNPAPSQSNGQNGNIVEFSQDGSNMPQDLTSLTENLQTTKSDVSSLTTPQLL LRDYKEYLLPTSSSSYPTLKVGLSTVPLGLKVWLDKEPNGFESFLEEVELYMSEKDLD IEGVLTTFSNSQGKHRRELALIVRTGSTLRGEEVAKRVVEELKVGLESSGDILDLKKW NKDDKTSELSVWEKWNDKVVVWKQGNTKSTRKQVAPLLVSD L199_004612 MSGSQVEEAEDLVEALAKEVDGTRINIPSEVDVEGDSSDEGEAA VDGEGGCGGEKSKKKKKKKKKSKSKKTPVIKPNQGKIPEEIPPPPPESPEDTSKWDKE IVKGTKTYNLPAWGLLDDRARPILNIFRTPSCKMIELITPRLRLRQCEVGDLTGVRRI KMEPVVQKTQLYGSPSISDIKDSFLNRYIRSRDEYIFAITALNPSQLKVQDPGHVRIS NRISNAEGYLGNIALSLTYPPSTPSFFPTKGKIYTQPTFEQTHNAKVEGKLFYEIHPQ LWGQGIMSEAFEEVLRFGMEEIGCDSIASDPTTGNEASIHLCTKNGLTFSHTTNNMYN KPQLFHRITKEEWWKRNRPDKGVQDRWGGKEVCRWCMNFRLAPPTMQCKYCEWAKYCS RECQKADWVRNGGHQSECDSKEAS L199_004613 MEAVTQQTYLQPSTDVRFAGHNYDSPAWEQPQYPAYHQTWPSMY PSQGRPNVLIGGPGSEAGYPSSYGDQGDFASSQGEAGSANSMMGWSYPQISNEQDILA HPSPSTGDQSNHHEQFRDRYHPYLQRSGNTSASSSQTPPSERRRLGRPSGSTSTSDQP RKTRKKAFLSPKMENIIKTGRKVMGATLEAFRASNAASFCADGPSSSHWATLTPKTAP NVWSTPTRRGKTFKEIRGDKGLPGTTAKELYMGQWSCRLTLTFASTGQSIRVRVQAQR PMKLWSFVKFSNNNRSHFLRPESTIYKDNKAQGRSYDEP L199_004614 MRTNRKVLQSLLSRRSITVASSIRPSLLPRLTPSANTSTLSHPQ IRLYSQMIRRGPSSPPGYPSDTEYSDSTVYAFSYISRVIRYILYGILTLGGVSLAGFE GLHLYVEKVALAAPSRDGFDDPYGWIGENVGWTGGLKGGTDPRLGQKARHALRGAWIC QEWGAGGSASSTLSSRNSSSGSSFHPDYVAVKGMIGNTSSSTEGRQTVDRGYELAEEF INLAINEARKRGLVFPPNLSSSSTISNLPPKDHENTHGVPQGDPAVLDLLLLKAGILE RINTPDSLLHAKDLYQQVLNSMNHAQDEQHLHNQPRIMRLAGKIGDLSARTGNSGNAL EWWSWGLGKAGIQMDKGNTKMSEVVKEVKKEGRSWFGFGGQSKTPKESTSTSTSISTD VQSPDLSPAILRASISLLASASAHLATTSSLNSASSLQSQALSLIPPTISPATASSPD SALHTTWLQQRVALLKLYQASILHAQNTKSTSPKPLELVTESSEISEQVISSLPTIPI ATSSSLVGPAKLLRRDALLTGAEASYTRGVFLERSLPSSPEEKINRLEQASECFERAM ALNVLESGVEKKGEDELGQGEDWNKYWRGYVRVKGKLGNLVESTTTTTTKV L199_004615 MYKLTLAALSALALTGAITIESPDRDTEWQSGTSSQTISWKAVS TDPDSFVVQLVNQAGYLSDSPVTLISDQATGSADIVNTATVTYPNGNWPEGVAFQINF VTSEKSNAAILAQSNQFNITSGGSSSSSSSSSSSASSSSSSSSTATSTSTGTSPTTLT QTSSAVTVTSTDASTTGNIPNSSNSTTTSANSGASPAKSTGLFATLVGVVGLAALFA L199_004616 MFSRQVARSSSALARGFASSARSNRKVAVLGAAGGIGQPMSLLL KTDPLVTGLSLYDIRGAPGVAADISHVNTHSEVKGYEKDDIKAALTGAEVVIIPAGVP RKPGMTRDDLFNTNASIVRDLAEACAEYCPKAYIGIISNPVNSTVPIFAEVYKKKGVF DPKRLFGVTTLDVVRSSRFLGEIKGADPKDIKVTVVGGHSGATIVPILSQTAQGKDVS GETYKNLVHRIQFGGDEVVKAKAGTGSATLSMGFAGARFTNSLIRALNGESGVVEPTF VKSPLYESEGVEYFASNVELGPEGVKKINPVGELTAEEQELLKACLPDLAKNIKKGVE FVNKA L199_004617 MFKPSTASSALRAIPSSSRASVSIGARSFHASSRASLATPSETK PAQTKEFKIYRWNPDTPSEKPKLQSYKVDLSQCGPMMLDALIKIKNELDPTLTFRRSC REGICGSCAMNIDGVNTLACLCRIDKDVNKPSKVYPLPHMYIVKDLVPDLTLFYKQYK SIEPFLKNDNPPAQGEFLQTQEDRKKLDGMYECILCACCSTSCPSYWWNQDQYLGPAV LMQAYRWMADSRDSYGAERKEKMQNTMSLYRCHTIFNCSRTCPKGLNPALAIAKMKLE MATE L199_004618 MARPSTILFRPILLRPNAAVPAISRSAAGIAIRFASSSSSTKPA TPSQSRTSTSSTPLPLPWPEYLGLRKQRRLWSSVTTIPTTFLGLFLGGSYFASLESDP SQLIMGIEAMYVYGGATLGCMALGYLAGPTVGSSLFSLTHRSISAGKNSPLEIMDREF YNRIKRNRADPTLQSAQNISPDFYGEKITSLSTYRRWLRDQAVYKRKAMHGVPAEDA L199_004619 MFSTNTNGHGGIPAVNGNGKDVDLGKPKDPSVPPSSGKCPLLDM PGEILSQISSYLPLQEIIPFLSLHPLLLSLTQTHYSPIPPSVRSILSIPPYPRALSVL PHLNHFLPPDPNDAKRLFIQILVRARPKWILENFELLRWDDDFWKEAFERRFLSSWKR LKGEEDSWRAVFIRILGRIEHRNSGCTHEEAWTRFVTLHRNGSASINRMYSRTFDPYE IYDELKHQNNFSAHPTTVRVILHLQDVRILAVGVLIDQPSLFVNPNAHLTLHPPLLRH LSSPPDEESVGHSKWYRSSDDIKGKKRRIQSEEITANEAYFPLVRSLSPSTPQDTTYG LPAAGPIPIPLTVDSTASPPISPASGSFGRILGSYIPGRRRTSSNAASQPTSSAQDGS SLSYTHAHTQAPFGASAMSNSLGNLGGVLTAVRSRDSDDGTGRRRTWSFGRARSGSTA SNYNNTNNESDLQRVSSNVARPAAATTSELPTLDESSPQQEIKVPTPILEVEQSPTTR LAQDRPYDVLEKPQPALSHSRYPNFSTGPEEPESTKDLRGGHPEVEDEYEGGLWGGDV PWGGDGKRMAEWDEELGKRRRWVGPMLLIAQLHPSHRPIPHPPGVNPSLPLEGSNPVL GQNGMYASLGFEDLETLFPWIELKGSGGNSGEAKRSGLGF L199_004620 MSEDNKQQSQAQTPQPGTPSGEEEKKERRDSYHDPFSSDEENEN RSEQGGDDELRGENRAQDDKEDGAGRSEEKEEDDRTRDNDQRPQQEEEKDEEDDQNKS DDLSYHPNQGDSPSDSKNTDIQEDNTNKNDDESSPSTHKEDSTSPDNQSDTSRTSTPN DEAPATPPQPNKNDNAQQPAPATHDDQGRHSKYSDREEKLREFEHSKPSNFPENDREQ ELQRVVNRKPGSRELHQKGQGRPKDTPSTEYEAKDPSKQRGQQGLGGVTDNLGNLGGA TDQLGNLGEVTDQLGNVGQVGDIGGQVGDQVGGVAGQLGDQVGGVAGQVGDVAGEVGD TVGGVTDQVGNVAGGLGDTVGGLGDQVGQTAQGLGDTVGEISNGLGDTSKQLSKSVKN VGDNALKPLKQKGGLLGGKKGGLLGKGGEGDEENEKGALKIRIQLDIDVEVHLSARVK GDITIGLW L199_004621 MSTHLTPSPPGGIKPPPFVPTPLPSSSTSPLSSTSSSSPGERWV PVLSCKCYFGLSVFLSTLKTISLHPERNSSLILRADTLPPPPPQSPNQPSNNLRNLIL SDGVEAELELELVDELKVKLLPRQPRRDNSVNQTISFYRTNEQGHSYQTLSTKGKEKE VEVDQDKEKREMGLVIIQPEVTDQNDIPYYHPKVQKLAYLWESLPQDVHSKNERGDDE DKEMIGEGNEMKVEEHEVKGIISIHYLPFDGPSSGPAQDNIINGINDMSINGNLPTSL LPSPRPIKPRKRSPLAGPSIDQTQFVEPVRPPVTILTQKADPLLKQKTSTIRTPTPEG KERLYRTCLALLETLHKHGYGQLVGYQKRRVHDIIVPRDNFQDLYLTLKDRHRSLDSR APRSDGLVTKLEDVKRHVWKGITSPNDPSLPTTQVDFSEWGEQDIAIAAFLMLLWKDM YPPIPEGHSNGDGLGIQEEKREWDTWGRPENGFIDLGCGNGLLVHILISEGYHGKGYE LRSRRTWPTYPIKTQKALLELPIDPPSWFPDTIEEWESGMWSGKEGCIIEDHSFLIGN HADELTPWLPLLSLIPSTPVPHLSLPCCFHALDSAFTVLQFTAPDHPHTPKGGFENGL EPGVSRYQSYLVWLGWVGLKCGWEWEKEGLRVPSTKGWGIVARKRWSTPSEDRGCREW ALEQVNQVRRNGQFKVREKEGKEH L199_004622 MSQPQAPPGLQQSTSSRSVSSLGTNNRGNPTTSNPSQNTNANVN TKKRKASPPPPLKERKQSVPIDPSTDDDAASTISRAPREREPKRTRVHFSCVECHRRK QKCDRKEPCSQCVARRVPHLCRPFLNGVEDPNNNSDVHARLNTIESLLSRLVTSLPQS MSTRPQNGNYVPTDASSPDVLGLTASGEEIFHPHATPPNEGGAVQRVPMPHKPPPSGL FPSNMSYTTPPGRSGFGWGLREGRRISLTTDDNQDLRDILQTLKESGIGKGHLEWLIA GVPGRRMADGLVELYFRWTRYKMNKASFMRRYNKFFDHIGRNPTCPKIDADTLKWLPL MFIVLAIATLSAPHELVPRDDQLGWSRRFYGSARSGLEYAKALQRDNLDVLFAGLLAS RYMLLTRRPAEGSTPLTTAFQVGLYRDGTVLNLTDKKEIEIRRRAWSMLYHLDRTISL LVGRPASISDAHTDTQIPANLDDEEVESGDFDPAGHPLTVPTEYTYVIVRHKLAEIMG RIAYHTFAIQLPDYAMVLNLDRELLSWRDALPPFFSMSNPDTSLDSKHPYLFVQRHLL ACEWYYTRITLNRPYLLRRKPQDSRYAYSKNAAIESARADLLSRRSFVMEKGNLIVNS GGYRVLNSYMVLGVTIKLDPDSPQADELRQLLNVVSGRSPDAQGKISEPLVKEELAIV EFLTAKSQSINKPRISLTSSGAGAGPTSSGTGNKEEDQTPVDLLLGLAKTRSGRRAAE EEKRQLRLQAYREMEEQRQAARRNSGAQNISSPWGYIAPSMPGLDVQQPFGNDEKRVP RPLQPPTRRSDGSVPDSTNWSPELAFSLTTQSNTSNSSSIGQAKGNRPSSAGQIGYHL NNSPTNSMGSQSHQQQQQQQNGLISPFGNLSSSSSTGANANGMNGIAINTNNEQQFSF PEQSSQSQHQHSFNDNNGNNQFGNALLDSFDFSDLGLGGNTTSSNNNNNNNLLGDMNN NNANDGIGGGGGLGLGFNGSGFSLDLGGNGSFNPFALPQTEEGNEPMAEDDQTIFLNY ILNKFANAQPDTS L199_004623 MLYIASALTPTPILNTLKVSGFAGPDSTSLIVAKPDRVEVWDVE SKGLVYQTESEVWGNIVGIEKVEVEGARPHILVLLAPPSAHLLLLTYSTSPTPSLIVT SSIQLTPPTPTLRQAEFFTSVIAHQNVALVSLWIGVLSCIELEVEKDKDAKKRRASTA GDINMVDEGKRLIFKDNFNINIREHNLLHLAFLPVPSTSSGPIVSFLWLSATSDLQLQ ARTLSLSSHSFDDLSRTVDVISPLSSNLTLTEETDFNQIPFSCPAARRVLPIPSSSGG EKEYSLLVIGDEHGVLYNLGVTQQSPKALRRLSAVSGTNTQTSPRGANVRRSPQTELV STTNKRRKSSVNSKGLGDSANERWELKPVWRVRQGFGTVLAASIIEAHSTGASAIIGD ECGRLTAIGWEFENQGILEGATGQNGTVRVRKVEVGKASPPSSLTYLDSSYLFLSSAA GDSSLLRIQLPSPDTVQHSTSPSGPRKGKGKARDEAEEGLWTVTHEDQGNEWRGDVDV KERWMNVAPVKDFCAVHEEGGGLSHLVISSGASNTNSLRIVRSGVGLEEVVNVEGIDG VERVWSLTDSSGVSRLLLSTSSTTLLLQMDPEISPIETAEQVSSTSTIAAGVVPGADI LVQVSPDGIALWSDVTSGLSAGSIDLDKESEIIAGQVYESLVVVAKCGGEVKLFEATP NGLNLVASINVASEISSVSVIQSATLPSPVLAIGTWTNEILLYTLAQILSGSTGVTTL IEQFFPSSLHLRPFSSSSTSTSGIQLLAGLSDGSLVIYDLEPSGPNNEVIVKSRKSSS LGNRPLSICPTTGPVVGDDKVIGIGLSERMSVIFESGDRVDFSSVNRKDIIASTSISS PTHGEVLVLASSTGISLTKINSLKKLSVQTLDLGDRSATKLIAYSENLLVDGVMVKTM DSQNGEVLQISSMELRDSNTLTPLSELPLKAREEITSLKAVLLNGKKYLCAGTAILPS DEEDKDEYDDEDSYINVKQGRLMLIDIVQNEKQEWEFKVVVEKTVEGPLYDLEVVHGF LAVASGSKVSINRLSPNPPTLTEVSSFSSAFLASHLTVVPSDIENEDRLVLGDGMRSI IVLSIDEGSGKIYDDTRDLATHQVTAMGRVRDGGEGIVIGDGYSNILTFRLKEGIETA ASFGLHEEIARFIPGSLAPPTSSSDVLIPNQLFATSTGRLGIIGELTPSATKILDDLQ RNLDKYWKGPGGVQWKDWRKGGSSLVKRDTAGWIDGDFVQKFLNTNLFSPEESEKILH GSNSHEHISRINGNGQKEPADRSDVVRILEAASGMH L199_004624 MKIYAIKIPDQPIPNETFDKLLLLMEPQGRERIKRFRLWDDALR SLVARLTMTWFLTFKGLLMDDKLPTFGRKGKGKPILATPTLDPPLEFNNTHESAYILF AVLRSPSPLACVGIDIMSPVSDPVDTQEGISDQLTLREKLSLAGKMSDQERNKRLMNL WTLKEGYTKAIGEGITFGLERIEVILDDQGDVQCVKVDGKDSEDIGWNYRLGNVEGNR WAVWWRGDDAEEEGGVEVVDVGWEKFEKPLVDLVIKLGLQNGQDHV L199_004625 MDEDFEDLLRDPDNPDDEFSSPEKPSAVKLPNISNIQLTIDEKA IPSANELRLGKRVSELEKERDELMAEIASLKTQQISPQHPTIPVSTTQPSLSSANNQP IEVPPSLLPVLAVLRTHISELTRDNQALRYTFLGPNPPTRGTIKTSQNPTIPSPLPIP QPASSSSLATSSKVTLDVDMPPATPSIPNPPGPSDAIVQGGTEAESPKKVIPPASGLS QIDMEKVLDRVKELIKENEELGEMILESGRKEDLEVRMEKSLEDSRKVISSLDSDLNH HLTLVQSLRTELSSYKSHFGPIPSSSSSSAILNAISSNASTPTRPSHSHSHSHSHPPS DRDRREGSHTSHTRRDRDREDKNRNERHTPGSGLGRGGADGDRRDRSNGNGPRNIPSG PSGMRNNNGVGVKEDDRAYKRRR L199_004626 MQLIDPVLSSRLNSTYDQYLKFCPNGASPIHSNPSLTNSQKIRY NTLKKKGKYMLVTNTRQIQDHLPDLLNTIIILIDYLGKDQLHLSILEGPSSDCTPQII QNVLIPLLQSLEVPDNQVNIVTDEPKIHWSDHNRIEKISELRNRALEPLWLNSNWKKE ISSVVFFNDVYLSASDVLELIYQHDNNGASITTGMDWWKKRPEYYYDIWVGRTIDTGD LFYPIDNPWWTPSSNLFHNSPNSKTKYNKLQPFQVYSSWNALAILSPEPSLPPYNVRF RRGDKAKGECAASECTLVASDYWKAGFGKVMVVPSVQLAYERDVASDIVDDMTKQKKI LGWIDGVPPKQSDDKVDWINKPPEKVRCHPWPETNGLSANVWEETRWVDPWLD L199_004627 MTSSNTALFSSHPPETQVLLHSSLRYAQLASMIVPPIYLVRTLV LRRRPFSVRGLMHTSILGTGVGAVAGAGLGYGESAVRDRLIRIKADTQQTRSNDYSII SATLSAMLIPALFLRRAPLPSLILGGASIGLGVGVWAHLGERLGKGQKVKVEDVTGEV PGVGDVVKKT L199_004628 MPFSPWINDTESMVRDYVEKETNGNRQTGPGDHELAQFEKWAKN DDFKKVMMDVLKARLELDWPHNYKALTILGKMPEGELEGLEEKLGKLADSAQTVEGFK FLKELAKPLHEKAKAAIAKKEEEEMKKKQAAIQAMWGGLWANDGYIAPTLQYGGYMGW PYPYNAPPGIARAAMAEWPGKPPDGWSPTKITALPQIYPMSRTGYYATQPEQKDTPKP PSNWTVYVGIGSNP L199_004629 MKVPFALALVASSSTTFVNGAGLTGKDSLKPESKVARNVLEGKS EGYCSPSGPIESTHCLYETVESLNKKLFPTIHELVSYPFFKHYKVDLYKECPFWHENG FCMNRNCGVEEANEDDIPEKWRARALSEIKVSSAGDEGVSGCYFKEQDFCYVEDDADQ NGQYIDLTLNPERFTGYAGDSAHNVWRAIYEENCFGLSEASLSSSSSSEKTTKIADTV LGAGGISKSNDEQVPGWGFSKLSEGWGTEMVKHPSAAGAGEVELCEEKKVYYRVVSGL HASISIHICADYLDQSTGEWSPNLECFIQRLATHPERLSNVYFNAVLLLRAVARAAPY LRAYDIATAPTNGLGVKSGRESDRLSRQKFNEILDLASQDEMNKGFDEGDFFRSEDAP ILKEQFKTHFRNVSRIMDCVGCDKCRLWGKLQVSGIGTALKILFELDDKALDPKINPD LLQRSEVVALFNTLHRISESLAAVEEFRQIYAAHQKEEEEGSKRKIKQIEGSANETDT TSSTSTISTILAVVLTAFEGFRRTCKGCLDTIQSEGIGQVMHRLREWVGGVGKGDL L199_004630 MSQSTASPSILQEILPLSPATRRRYLKLLSPSDKKRSDRTRQWG SSMKLSFNDTKAIEQLPFVQNTLHQPASFEIDLSGFTDPERGSSLPEDIKRRLQDSNI LSNFSNIEFSFRTRSDGSILPQLTRTLPEYYKTPETENGRLISLPVDNKTNEGRDPDN YTWETLSFTSEVEICVDVNSDRNKVLPWYDEESTKETVRSILGDWKSIPAVSICHVKA TTS L199_004631 MQAAQTDYSENVLTETHPGTDVKRQLFLRDPSYPLPRGCISSTH KMSVRDVNNGLSAIDSLNFFQDSLQTPSHFKICPPRVKGGRPSTTDAALNTIYITSRK SNDTTAVLQSEYDHTFGSKDVTATFEQIVKFTLDTLPEEYLKYIRGMDLLAKFSSVGC TIRSIDSVIPTITGTLRDHLKSQDGCLRYVPMGYPPVIRSGSEGSYQWSSVAVPNWIE ITFQADSDDTVVHHRYDDKSARALLRTHLGEKAFVIPATSVLKVDAQVQPCHQEIQEA TMIAHGVNPAFWGHTTRQW L199_004632 MPYPLSRGATQNGNVDREITRLPDTESLEPQSRMENAHLPTHRI SSLRHRIGLPADGDTINLWEDADARDSFDWTLKLAIDPLALHAQAIHTEDAKIDSEMD ASGIDPWDRRTNHKAAKSVTENTLESILGLGHTLLTFIGTCHPVYFPQRENQTYGKTV TTGMIAHHRFSLSSLGEDVKSKLIENDIFARFRTVGFTMKLLNPSSLYQRVKEDMLQA NEGVREGEKSTIPITAVNDLIEFRYQTSETKQQFELMWDLTPERTQVLPNYESDGSVE TLRQALNGSMSTIIGVTSVSTVADDISWYVQSQARLREQAETRQRIERESQIHDFLAS IQEVNNPGCSEGLSTRRAIIAQAESEYRGSQYGWLTDIQEFWR L199_004633 MSKPTPVTEDIEEVLLSARYGEVDELKEFVEKFGAGPLAEARGE RGNTVLHMCCGNGHIDVLNYLLPLVPKELLSRTNEAGSPPLHWAVSNNHVACVKALVE LSEEQGGGLPLLKQTNANNRDAFAESIFAGEGKEEVSGWIEGYLYKVEGGDDEEEPTK DAQVEEGEGEVKVIEGDDMVREEAEKVDELVDKTEGVEIREN L199_004634 MARGSTKSAKQSPSASSSGNILSFFSKTPTPRRTSSASKNGLSE KVVVEDIKPVVEDRKGKGKATLLVGSIEDPVVISDDEDILLVSPPSTMGRKRKLSQIS PEVGPSSLRPIDSCKPPPFPGYPDFRPPTTWPEVINVGDMHNIEHEDEDDDIPPSDED HNRNQDPEDGDDAENDDSGLDMDVEPTDLDLPDPIEPETPQVATPSKQFKESDLGMNI DMTEEWEEPEDEGMGMEEDGEGDDEASVISEPINRGRCKKGISGGKISECPVCGKSLK GQVNTAAQHHINTCLDSSSSSSRSAKKARPVSSYASFSTEPSPSPEPEVSTKGPNAFS VLMSGHKEKDQWKDAEADLKRDGKRFVGRRKAPFYKVMTGMPVAVDAFRYGAIPKVTA YLLTHAHSDHYTNLSKSWTHGPIYCSETTANLIVHMLGVEPKWVHGLPDDIPFEMPNT GGVTVTPMEANHCPGSSIFLFEGPQTVNAGDSGFRSPYVGSKKIFRYLHCGDFRACPK MVLHPAIARAPIHTCYLDTTYLNPKYCFPPQPQVINACASLARKMVVGLSANAPDFQA MQPKVYIKAEEGEEIKPDPSELAERSKAMMAGWLVKKEDNDVKEELRDINDVKPKGRT LVVMGTYSIGKERIVKAVAKALGTTIYCDPRKKGILLCQTDPELHAMLSTDPISSQVH LLPLGNIQLDRMQPYLARLHPHFDRVLAFRPTGWTYTPPAGTDMLPDVNTVIKRDQAR WFSEKDLKEMRGSCRTFQLFGVPYSEHSSFTELTCFALSIPGPDLKMIATVNVGNEKS RAKMKKWFEKWAAEKSRRKDKGLPAIVDYRDETFVSDCDQPLPLHNSLC L199_004635 MSMLDRLRMRRASFSPTPSTSSASSSTTSSPVPPAASYFPPSGR VPPAYENPDKNGVNWLFALPDELLERVFVGLDRITLSRCFRVCKRLNELLSSSIPISL TYTLQCNSLRLNPNALLPQSSNPNHIPPSKAVLLSTLRERLTRFKNFQARSSNSIKFQ ESEGRLYEYLEGVLLRNVPPVQFIGPREAGREVAVYELSKGDDWEDVNVKEEKKDKSN TEEQGGDEEDEVVEEYEEDQLVNDIRKTHKFDFDMQDFAVDPGQDLFVVAEVRHPSPR NYTLHIHLLTLSTFQPHPKAAQPVLEWPGPLHARIASLGFQICDDGLFVLRNNNSGAK DHLVGWQWTTGRLAVTLKPPAVSTFESFILLTPTSFLVPSVRTRLRPDSLIQDNLADA RDLVFTHHLYIYAFPPFSSTANCPTASTTSEGANSSEPAPPHTPTQIAIIDLPEFVID LDENLPPPRLTIRTDPPPRHTFPTHPPENIQQFVPDPESGIIIIEFYCQPLTAAPHNH PHFVMFSLKKTFLAYLPAPTSPLLLQAFPRPAPVVRWESIAPKVRLIGPDEPEPSWVC YVYGSRYVVPYPHASESSTTVRLYDFDPMRVRQETYSRRNEGFVGSLPTSPRGIVQRL MFGLSTSSSAPNTSSTPPTASANEKGGSPSKRKSLDGSQTQKYDGDRDGIVLVSEETV LKKKLPLKYEIKTGRELPFTYSEKRYVNREIDTVVMDGERLVLFDYTDDKEFMEILDF L199_004636 MSSTDSFPQTDSSYPSNDLRTYTTTRHSTSQLDFKPISPEQTIH LRHKVLWPSISIDDQLIPEYDFEEDTIHLGAFLKPHQVTPSIEAGIEAKDEDQIPELI GVLTLANQRYDDPSIDVPVHIQLHKFAIHPSYQSKGIGRQLLAHAIQILKIRYYKGRI LFHFDARISQKRFYEKCGMSVLDEKTFWKFGKTGNAEGVQYVKMGCII L199_004637 MDVDDHHNQLRYPVVHGYRIGEEIGGGGFSKVFRAIDDSQGRVA ACKVVNLFISPTLGYGTPNIKELQKEVQVHKALKNDYILQFIHSEVVEKDKERMGWVP GLYMVLELAVGGDLFDKIAPDYGVPEDLAKFYFSQLVSGMEYIHDKGIAHRDLKPENL LLAANGNLKISDFGLCAVFKHKGKTRLLSGRCGSLPYVAPELGNPAGTGYHAEPVDIW GMGVVLYTLLVGNTPWDEPSDASPEFCAYRTGELLQYDPWTRIRGQARAILLAMLTID PSQRITMEGIKSHPWCMTPSQLRREQIPEALTQGMKQAGMMTVVDPVFNSTASQAYAA SQRMKNESQWGSQFNQQESQFMRGTGNITQSGTYNTITTRFWLRLPLSQAFDVLLAYF RQELGEGNVQPSSDRTYLRVMKPAGAKTIQGRIVFESSDEFSQGGDTLVVMHRQKGSI LQWKVFWWSVARSQPLEPYVIVGDQV L199_004638 MSSNDQQLSAANDPYGYSSAHGTGRTSQQAIQNNASDHTELWRT YQQDLTNATSDAERESIEARYLSASAELQSSFWTHNDPGDPKRY L199_004639 MAPVALTSSLTSPPGVPTKKSQIANMKNDAGLNLDADGSSTPYS ATSTAVSEAGGKSPDAAAVHKALVDSALPDPTPSPTDSGYYSHLIASSLPTPHTKAGS TVHASAVDVLEAFAVKHSESVWVYDDATQVGFGARLSEFSSKKIHDLQTREGAGLELA GYSKKSSGKFSVFATIKTLPYLLSSLERIEGDVVVHLSTIIANESLEFQDGLYSSGVL KDLLSVPEDWEVVFSSSGNGLLDTTSKLYGSEARKVIHVVSSAASSREITSYTFPSPS DASIPSTKSFDLSASSVYVVPVGKLAKDLYDNLPVGSTLFEFKTLNPSPEEVFSALTS SEGAERKTVSVVGASKADAEALKALTLSALYSASGSSKVVLPTVKSVVASSAADLLPA KEATLPGKVISFYSSPLSPLPQLLSHLFLSSPSLETRLAQFGSSAARGVKSVLSLAPS GSVTDNLTVDQASDVTWVNDANVLKSTDVLSSAKDGSIVVLELPWSEEEVAVKLTRSE ISTVKSKNLRVFLLDLSASPVLPIQEQVAFLLLYTGTKKLSAGVWKVLDAFHSHQLNR DDVEVGQAALTELHPSGWEVPELEEGKTEKLKSTWEWDALPGTAGIVDVHSDDQPLTA SWELAARHLFFREAFAVPEAKVVDSDVSKNLPGINGLRPSMADETFLVTVSENRRLTP ASYDRNVFHLELDTAGTGLKYEIGEAIGIHGWNDTEEVLDFCSWYGLNPDDLVTFSNP LKAGTNETRTIFQLLQQNVDLFGRPGKAFYAALSKTAKAKADAMALKFISAPEGNELF QKMAEKETVTFADVLFRYKTARPSIADLIGMIPEIKPRHYSIASSQKAVGDKVELLIV TVDWKDHKGSPRFGQCTRYLAALAPGAKVTVSIKPSVMKLPPDNKQPIIMAGLGTGAA PFRAFMQHRAWQRTQGIEVGPLIYYFGSRYRSQEYLYGEEIEAYISSGIISHAGLAFS RDSDSKTYIQHKMSADKKMLSKLLLGKDSDAAYFYLCGPTWPVPDVFEALVGSLTDTG MDRKKAEEYIEELKEEERYVLEVY L199_004640 MAPNLHNLLSSLRSPIFQTLSNPTSSRMGTKYLRRRLRGPSIAS YYPQLTNPFPSISALNRTHPSNPFAGWQGNPLPSSLTTTNSGKVIMENPVWKNEGNML RNSELVDDGFEEVTRKRGLGWLADGNEVKRAERVRVRKAAGKGPPKKGQGRRSQMKKK L199_004641 MFVTETNDLGVEVTYHDTDLNSYKISSPAYSTPAPARPLHLRLN LDTTLPPESRVSQFFQTLPEVNAPYNVTVDANTLQAEYQYAIERDPELSNVNFTSAIL NSDIKLDISSPLTGFDQGRIRGSAITSDVPFFQVVRSVKFADLVKNKGPLIRNDILSK MNSMILHVQPSSDTRWAGESSNGFHMTPTNKKHTTPYICIYESLENWNPIHYTSQSRD ETEVVDISLLDNTAHNEMDLEVVDALLGKGFGGGQVTSNSSLKFVVR L199_004642 MADAQSLALSDGSFGEYAPSTVPLLDDASSFADTIAPVESARPS FESSTRTAENNLEKVLYRISLSETADPEYRKSLKRLADYFRGLPTLRNRYSVIINSSV LVSKYEEAKAKGYNSANLSEAILDDRTKLDISPWLGNIPGKFNFVDNPQENSKVNMVS AIMVPRSVSLRSMVEDQSEIDKLIKSDSLAQMQSIELQIDPGSNSWVGKSISTESFKT QVARNQKGELNRNMVIMTQSDDDSNRFTAQGDQVNNADSQVRTCIGSTKADSPRAKAQ FDTAIGTKVKLVDTDVALRIDLTRELR L199_004643 MSTDSDPSSSSDEGSDFETPQRDSEISVIFRENDSHDIIPYWKL PPVSMSFKMTIENSTDLAGSDGKTRTKQWLEKSMKHPHPPYEFDCTVNGMEGIGICRV NDESELYDGKIPNPTLQKLIDQSALAKVKTFSVNIQPTQCYWPEKSLGEGSTWGSLSV QLRDDGRFEGQGKEVYRDAQMTFIAGSAKVSPAYENEESEINRTLDEIFKGQKVPLLF TSTIKLSGRCWDD L199_004644 MEPPPPYHAPGYLQSTKSSSRRVSTASSASVSSPRPRPRAGDFF GGVSQLSQQELDRDAINAGRSVRRRSPRTDLPPALGTTTRKRTPPTKAPTPPHHLPPE PVPCPLCPEFAGEDVFQHIRRNHRGYPFQQSDFPSNSVVVCKDCRAILKVGRTALTVH KKNCKGKEERSSRELARRQSGGIVQDSRRRSIGVNQQLSPPTPRPRPSPPPQPPQQQQ QQQQQTPPRLAPPPSPALPALPAAAPLPQPEHLTRDISDLDWFRR L199_004645 MSAPSSSSSQPFGALAAYMRPPQHIGMQTLDRSAFQREVPVVSV AVEASKVGKIRFNPALRGHVLDLPKVKPIVESQDGEKGIRWIRLHVSREEDIPSETRE LIDRETLGLRKEHVQLGYDNWNTSEILGAVLPTTKSDDIPSSFTSTGHIAHMNLREEW LPYRYLIGQVILDKNPGLRTVVNKLDTIHAQYRYFDMEVIAGDQDYITTLNESNCTFT FDFSRVYWNSRLHHEHERLIDLFKPNSLVADVMAGVGPFAVPAAKRGSYVLGNDLNPE SAKWMRENRIKNHVENNLRIFEQDGSEFIRTIALEVWRNPFKPSAPAPSKRKQREERK KRVAATLESKKKGQQEQEQEQEGKPIQSAISNAVSSIVETLGVTTLTNPHPPQVEEEQ KLPEPPKIIQHFIMNLPDSALTFLHSYQGCFTPLLNEEDFVAKYGVDGRELTDEELPM VHVYCFTKEMELDKAQEDILKRASDDLRHPLSTSTQDYNLHHVRSVAPSKDMYCLSFR LPRKVAFSSV L199_004646 MKRNWEGGGSSASYGQSNGLPQTDESGGWVQVSSHPYAQAYSSM PNPFQPSPLHTSTTYDQTSPYLDNGNNPNLQSHTHQDKKPRTDHSPKDIGGGESDNED DEDDDEDEDGNDGSAKGTPAGKGKGGKGKGDKPKVKLTRGSRACIACRKIKMRCIPDE SAGPGAPCKRCKSGGHECIFEESNRGKRSTRKNEAMAAKMAKFEAALKGIGAALSNLD QPALNSFSTALHSTTADTDVINLITSHTSPSAVPTLAVAMNRQSYNSAGSGDGYPGEM RGEDSAHGPHHSQPPLSPRLHSLPDNVLSPLGLLAEASLQNTDNKKNKNPVSSSSPNH NATNNGNNNNVGGKSLHRNSALNFDGRSNPPPRSGSPMGLSPGSYRMATSDIRGGNGV TVADEDEHNHYSENGQGVASHNYFKLGGTLNPAAGLSDNRLPELMTIVSREEIGELFD IFFDHMAFHVPLVYREFHTPDLVLQRSQFLCTVICALAARYYHKRPELHAQLSAYAKR LAFEVPSRGYKSVEVVQAYLLLSLWTLGPEKTFEQDRTWLMLGMAIRMATDLNLHRKS IVSGLDTEEGKARDLEIINRERCWLHCFVLDRSLSAQMGKPYTLREDYIIRNACEASW HQQRFSLPSDRPLSAYVVLQQIMSRAIDSIYSSTTTVSGLRHDCDYMLIVRSAHEELR RWLTEWNKPEQYVGMAGISDGKMEYDSRAQFYFAYSSLVLYSFGLENALERAKMDISF FLTNVYEAATRVCTVVKEEFLPKGYLPYLPDTNFVMCSYALLSLLKLLKPELRPYHDS EEPIFKLVSEMADILEDCAVDPSHQPAIYAAFIREIVRKTRELRHGPSATAPTSPGTL LSQQIHGTMATGHPIAIAPVAGTVGTDHSTAVAAAVAAVTAAAANQNNNTGVYDPQLL DQHANWQPGDLLPGHSGETQFTYIPQGGDMMILPSQAGPSVAPSPTAAFLANPLSSNS TSINGMQSSHNYVSTPTASNGWAEYLPTFMSSDGFDGWDGSMLLPGFGRNQITLGGGL LHSQHGSGIITPAHQTPVQSRMGSRAGSRAQTPHHGSQG L199_004647 MSFGSCSRYALPRSPLPWGTAGPSKHMSTSCFSFQPRRSVHNLV TSNSPKTRIGLSTPGSNCLPETASQIAPFSTSTRRSVPPPSTTTAPPSTSTKSPTAIN TDATPKDLSHSVQDKTDWRIIVKLAENIWPKNNAKVKIRVVGALGLLVAGKILNVQVP FFFKTIVDSLNVPITESSTVWVLAGASIAGYGAARILTTAFGELRNAVFASVSQSAIR KVARETFEHLLNMDMRFHLERQTGGLTRAIDRGTKGISFILSSIVFHVIPTALEISMV CGILSWKFGWDFAAVTAITMGLYTWFTVKTTAWRTRFRKEANAADNKGATVAVDSLIN YEAVKAFNNERFEVAQYDKTLKTYESASVKIATSLALLNSGQNFIFSSALTMMMLLAA QGVVKGTMTVGDLVMVNQLVFQLSLPLNFLGTVYRELRQSLIDMEVMFNLQSLDSGIK DKPNTKPLALKGGEIRFENVNFGYHPERPIFKDLSFTIPSGHKVAIVGPSGCGKSTVF RLLFRFYDSQSGRILIDGQDIKDVSLDSLRKSIGVVPQDTPLFHNDILHNIRYGNLEA TDEQVYEAARKAHVEETIQRLPDKYATKVGERGLMISGGEKQRLAVARLLLKDPPILF FDEATSALDVYTETELMKNINNTLLGGGKTSVFIAHRLRTISDADLIIVLQDGKVAEQ GSHEQLMTIDGGVYQRLWLAQLTESIQSKDEEGEKEELEAVGSKQKQ L199_004648 MWYHSTTTSTTDDQSTFSWYQREQLRRIVIGQGVGSEKADELVT GLTTENPTAHQGSSWCQLVEMMSVIASREAYEDSIGQGLGYKGKDPQR L199_004649 MDRNTEIRIASKAILDEMINDLILSTSMAAHREIKRGRVVCGTC GTKCRTHIPLPPPAIPSSSSSSSLVPNSSAPPSRYPSPQPGIGPDGQPISRTGGYTVG PEKGTGGSTGIGSGSGRMDGNGNTFFECLVCGRSVASNRYAPHLSSCLGLNGSTRRGA ARSAAVKARLGNNDRSSPSPYVNKGDTGSDNGDWERGSEGGDSVGGKKKKKILNGASP SITPSSSANAKRNKSPIKGANIPRKSKIGGTGSTPNSGSATPTPSFRQALPPSKLGRP PTNRPIDISQSPASSPEKSIISITSSGHGGGMTGKKTLPGQNQGFNMGGAGPGESVTD VLQSTGGDESSEEEVDDY L199_004650 MSMPAADHHHSSKKPNPTAHPFSKLRSKVDHLQSDLSRLGIAIS TTLNPNHRHDEAWEQEVDAKIEAIRDQHRFRSFSGIREGNVAKFAVDGHDYFWAVSEM IDSAKECIMILDWWLSPELQLRRPAALFPEWRLDRLIKKKAEEGVRVYVMVYKEVDLS MSLSSKHTKHALEDLHENISVMRHPDHSGGELVYYFSHHEKLCVVDNKIAAMGGLDAC FGRWDTRNHPLADVHPTEFYKSLFPGQDYNNSRIMDFQTVDKYTSNALAIQDAPRMPW HDVSLTLIGPSVVDLVQHFTERWNFVKTIKYKHDHRMEWLSLPFPWDDVKSRHDEEKK IKDNEFREHHPHLSDWKERGRQFFHPYHFPPSEAPRAAEPVPYGTCRVQVLRSAADWS HGILLENSIQQAYIALIREANHCIYIENQFCNISACKEGQPVKNLIALALAQRVISAA QEGRKFKIIVLIPAVPAFPGDIQSQSGLKAIMEAQYRTINRGGASIFEMIREAGFDPM QYITFWNLRSYDRINNPKAAIKRMEERSGISFHEAQVALAKVYVGSEDVAGGQDETVN IEQPHDQTDGVDQINKKDTVQKAIKLPKTIDEAKQIIERFQNGRSNDDKHVSDNVGQH AFRDTTSLLDEQWDGTEEEELACFVSEILYIHSKLMIVDDRRVICGSANLNDRSQVGD HDSEIAVVIEDSDMVESMMDGKKYMASNYATTLRRTLMREHLGLLPPQPPFDSAEHPN AAMHPAPVPYEYDFGSPEDKAVEDFLSDEFEQLWVGTGRGNREIFEKIFRPVPNDSIR NWEDYKKYLAPNAGISSGHVADRSLKLREVKEMLSKVRGHLVDMPLDFCIDLKWMTEG DWLSVNPYTLALYV L199_004651 MPLLLPPSDDLRPSDLTYTHAYNSIVSRVRRKGGSGSGGVVILA GMDVDGLLGARILCSLFKNDDVPYRLVPVGGYSELETRRDEALASEELHTLILLSLGS LLTLSSYFELPSSCHLHIIDSHRPWNLQNLFGIDIDVSEDPESSEGKIWVWGDGDEFS EVMDNVKKSWEALEYEPSDSGSSDDESDEDESDEAEEEEEDEEEDEDEDEEQENGHGS PSKGARKRRKAGSTEPKTKRQKEDDGRPRRLPRAVREAHHERIQRYYASGTSYGQSVA LTIYLLATVLERADNDILWYAILAVTHQYITSKIDRDSYESFQLIFQDEVVRLNHDPS TTSNGLKIPNPDDRSIVKSEELRFMLYRHWNLYESMLHSGYVAGRLGIWKEKGRKKLQ GLLAKMGFSLQQCQQSWSHMDMDLKRKLPEQLESIAPEYGLIELSYPSFTRSFGFQLT SLSAADAVEVISSLLDIAVGVRLEVDREGGRGGGEWFGGTTRWNVNTRESESSRADGK ENQDPNDSSANEEDGGGQGDGEASAEEKKKRRDNWATTNFWIAYDACDDIALIRRSLP LSMTLHRSIIRAGSALLDKTIIRSLRTFRLAILKEGPDLRLFSHPSTLSRLAIWLVDA TRDRWAERQSKMNNNGKVKSLPFVVACLNEEKGSYMVVGVTGAPEFGDVRKNKFGLAF QHAAEESGSGTKHDMFDTSVVEVAQEDLQGFIESLHLRSG L199_004652 MSIQKEYKFTRSTLPLPYDLLHILLQNLLSTSSFSTLSTLARLS KDYYSLIIPLIYKHVHITSDEQLQSFLTIPLTKREENDKKKRKIVNTVLLKGKSRSRS NSLEDSSNWSRKIQCLSLCESLTLDVYPSRTSFKIASKLPQPLQTPLLTFTPKSLENL REKLSRSGAPRILVSFWSQHLPTLIRPRKVVVDYSTLSFNSDENVEGEGEGDGNEGKW ADTMSGMSISLQSWTCAEGLEMVELKGDKWMGVLPSPGVGVRMVHTTTSTPSSSSAET LNEEDEEEQLVTVNETILNAPPNSNEPNPATLESIENRNKLISTRVQSILMGLRTSQA LYETYNSPLPLKWDVVDVLPPPIGFEEMDQEERYMDYQREKRKVLDEIVSGLDDVAST ITQRYGRIGGNGRRELTCLNWVDQPVV L199_004653 MPPPTSSLKPTAAKTNGSSAPAAAPAKPTGGKSTTSTAGHLSKP DQSKYNAEQEELNKQIAEVKTKLDAIRSRISLTQAPQGGDRRSEIKAEMDSLRSEQAK FKGDRNKLFDEMKKYQESLAKKIKEVQGQKGKVSYRTTGEIDDRIASLEKQIESGSLK LVDEKKALAEITSLRRSRKVLESTGSVDDAIAADKAKIDKLKKQLDDPEAKKVNERFD ALKKEMDSLRAEGDKAYEERGKLFDERNKLSKDMDELYEKKRQSAQAHREANDKYYAK VQADRQARQERFKAEKAKEDAARRNEEIARLREEAKAPAFTSEIDDCQVLIGWFKGKY GSGEVPSTHAGSNTSEEKVLEGVKALEIRKVDSEDAFKGMTLKKKGDEEELGGFFGGG GKSKKNKKGGNNSKKSGTATPASEGTSTPTSGAGAVNLPMSLLSALLSLGIPPPSGKD DVQRTVDDLETKKAWFEANSAAKTKAEIERVEKLVAKLQKKNAGVLNDDDEEEKAATS GDEIPVEKGGSKEPLHTVAVAGEATGTEIVEDEGEQLPTNEDGNDPEVKKVDSALEEL KEAEALQEA L199_004654 MARTKQTARKSTGGKAPRKQLATKAARKSTSKTQGAVTSGGVKK PHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVLALQEA SEAYLVSLFEDTNLAAIHAKRVTIQPKDLQLARRLRGERS L199_004655 MSTPATSYSAAHRQYVKSLYKRYLVNSLNWYIRRDLWRERAIEI RAEFERNRNITDPRALALVLEQAEERLAKEIHPDPYRPPLFPDGTKWERNLPPRMFSA KEKADALAASH L199_004656 MAEKPLKIPILLDPHLPTSPSSLPISPTHIASWLTPSSTEAGPS RRTALACQDNTIWVHTTSSLLDRQEVVSPDIAIPSITTQAASSPSSPRRHRPTQQRNL SYSGRPRNSSSASSITGKRRTSAFSPPPSALQLPTTTLSSVSASVAGPDQHTHRGSIS DRHELRESLEKQKERKDDSSSIIGLGIGGIGRRGLVGLHSKEDRTNDNNDEGRSGATS PKSFVSSTSTETTSNTNRFGFFGRNSVSTELEHDDESVLGGEDKEGMKEIQVDLEMEK ETREDKKSSQDRKLIEDLISERSPTISTTLPPPIGRKKSGKGKEEFVRRIILREVGRG KIVQMKVYEEVDSLVVLRDEGSLDIFSLATLHQTTSINLESPEEAKSSGSKQALKVPL FWDWKHIHLAKKDNTIMFVVHGLPWPCALPSPNGEVTRVVMITPSPAGTGMHIVARLE LPGQGEVGVLNNHDASYLIHSTPTSLTSYPVIFPTHPSSQDTTPAIKASPQIRAVSSA VPSPYLRSSTPDPSAQRSRESTLRKSASYTHLKELASSPLLGDHSHPKEDKDKEKGFA KFLARRDWGRKGKEDEKPEEPSPGIGEGQEVERDGGGNWDRIVIGGKGEGVGWKDGSV DVFWTDGKKLKMRGNVEASLQEKIHAVHFTQGWSCIVIISQENCHLYRSAEEIKDPKD KVTSLKFNGDAVLDGVQNASLNSDGTLCFITNAAIKLLAMSKKESGPLSLAILSQSNE TSSTCRIAAASIETVFTSDSGGNVIPRNFSNVLLKSPQNSVADDKPTVDRLDSPVTCL TTITSSGTDGVENKYLVAGDEDGVVRIWTTSPFRLCGSWTLFADPVKDDALLDMSQAG PLQGCLLITSRDGTVGIISLKEMDDLFLIPASRTPLRRIFIEQKDILLAYANGKARVW NTRTQEFRRSTGLDAAEDMLQVGDWAEVLLSSTNENATLQTVPCLPAEPADLGRLVNF DLRELGRWLHSSKNNPTHSPLSALRSLLSVFLTFGIDDRVDEICTDNLGIRRPDIPVV IGSSDIEGKRFDYAQGVNVWCISPYMTGLRQLAIVTLLRPFLDSPDHERWAAEVIAHY TASLPSTATEPDVHFFAEYYMDSSTDVHQAARMLFAARVGRMSSAEIDCTVEVGQANL PYKLSPATRSSDVATNALTMLGGIALNKFETMQPSVLKSIAESVSIFLHSDSFSHLSL AIEICSKGFTTWQSYVDPSDLLRRLFHLSTHKDFASNTNGNGTNISIAAQARLAVLHV ASSNPALFMSTLSMDVLDAKSVEGRTSIMKLCVYMARKKPNVLENGLPRIAEAVVKSL DPNIGKMRDDVWQAATVILNELVLAFSTIDFHSGTQRLAVGTHEGAVIMYDLKTASRL YVIEPHKHPVSAVTFSPDGRRLITVSLEEGNVTVWKVGSSLSGFFNVGAPPRQGGEKG EPFKRIEFIRADDGPLSSTSALSDIQISWPGNRQARIMIKETALTFET L199_004657 MTSRLKHKLELENVNLNSAYLNESFVQIGTPLPALSEHKKDKQE YVPEWQQEVRDEQGRRRFHGAFTGGFSAGYYNTVGSKEGWAPSTFKSSRNNRANKVQR AEDFMDEEDLQHMREDRKLENTDTFKNDGFAGTREELGGKSLPSAIESLIAPSRSSIG EKLLQKLGWRPGQGIGPRVTLRKLKLQQGKLGKARAGINDDEDGQMGDDEEGSKHTFA PRDVALLTFDAKEDKQGLGFVKGRGMCPLPGKRPAMGARQLDDDDYDPYSEAGPSSRP LAFDGLDDDDEVIVMGGSTRPGLGSRSNMENRYSAGREVQQDTWHDGRPVLKGFALDP KGVPQDKWFAMPDIPPDWRPRPARVWGTTKKWDQKPGEEEVKKEVIRGEPGKPLTFEQ RGAALGEEQRTSKAKSVFEYMSSKDRERLASIASTIAQPPPQSLSLQPPPEEEEVVAP AATEVDIPPLSPRTASAALKGFIPYGDDLEKQDRYKSYLTSQTYNTTEPNPILKKGTI EEINKELRDFSSSARIFRPMSYAMSNRFTSGSSSLAVSDLKQAKPGLHVFDAEKAKLD LELGKPKVAEVVEVKKILTPREQAAASGMYGKMTRETRNWYPTKLICRRWGVKDPHPE GDPNVGRDQKNTINGNNALDTTPLPSNDASWESKFIHQPTTTTTTTTNAVSTSTNTNE GGGITGDNEVEKQPTNISEVGMANDLNQGKDILTYEKPSIDIFKAIFASDDEDEDEDD EREVDNSNTEMARKEEPRKYEDPFPVKEEGPVDIQTFKPVFTLRKEDITTDSKKKDKE KKKEKSKKRKGVLSFDVGDEGEDEDVQREEKKKKKKDKDKDRNTSSGSHIENEGGEAE WVEKPSVVPRLVGRKGAADFM L199_004658 MTSTAAASVSTPPSSDLLSILPQFLTTLQSPTSISIPPATLLGA ITHFLSQLDSPHLQDFISAITSSPTLWLHNGISAEDIRNAIRLSIPARLSKIHQETAD VYFKETRRRRKAREWLGVWLDSLSDDQDGTGAIQMRIGLLQGIDDMPEIDWGDGRTKL EEMMVLSLAGKLESSSDPDTSDLIAVIPHIALERLQALDIKIESNLYQELGHFSLSSE TIVPNLSRALARSFEVLHSGGPSSQRHAKERMISFCEQMQNMAQSLGSGWEGVAGMKK EDDKNPIWLQNKTTFFSFLAVASTIVEILLSQPDNSNAIERNVLEPLSSAEIAIHLLT TLGSFAYLTDASQGGFDDYHKILYASLDIISSHGGNEGVSALFGRLIQEGKSSDAKVG YELALGEELIHHLGKKEIDVLLPLAERHVHKPDHKSSFEASHAFLLALLRTSSESLAG NDAQTAFFDALLPNHTNILIKQYSQKHISSEQFRQAFPLIVESASKRSPTSTALCLSY LSALTPNPDIRHIRVAITPFIDSARLPRHLKDLAQMILTTEKNSEERMDLTRNAFEIV VRDLSDENKHVGIEWWIKWRDEFGGRRKEMGFVRSRL L199_004659 MTTTLQSFTSPPRYRLSDMQPQQPPDSFDSPTSTDKSTQTQPGG LMYRMISNMGYPATHQPTPPSPASSTSKTGNTETNATLYLNTPDKLMKWLNQRPSSTT QHQTYGHLELSFDLKWFRTHPKLFTRIFTSPYSTKNVPLENLYSVKLITTGVNFFMLD QKPVIDQKGIEQYTERLAITLHHTLSVLLRVLVDDNGPRYFTWIDGNNQFLKVYNKIR GNSSKVKSSKCQTPAILHHWINTSNWYFPYLIRPTWYFGGNEKGEENEEMSPIKYMSI PAEGLHKYIKGVTMNEIIGDIISRNEEEGEEAIIEIRGKVQRGKEKYVKELKETLRVV DEKGIIVLVFVDEKEL L199_004660 MLKRYSELESSCDNKDEQIKQITAFLAQAKDLVRGAEQILEGPK NYNTSDSTSSADQAQFQRPATSPRSPGEQDISEVEDATSNPAPKPTSPLTNTIEPEIS FHDRFRVNHNQRVAWDDAELTPTDHLTPHLPWFWKTVLKDLESWRAGRYAESILRVLL TSLSVTLLPQHHNLVKFSIGGKSDCNFREVNDPKYIIGFNIWSGLHTYCTYVDTGNEP SSSAPVRLNMHKNGRVSDHFRIIVYITY L199_004661 MPYAEPTHSPMASPVKESPFHFHRKAHHTPPKHIEDIDYGVGSS SGPMPGIPRRNSSHSGSSTPRRGMFDSISKATHAINSQTFPISRPMEGLPRRSPSSSG PSSHLVSGLPPAESSSLGLKLHPSPIKSPLLHRAVRDSMTSNSTADSSLPSTPSSPHV TLPVHIHDPSKNGESSVPFPAFDPEFKPPPRSSASRGLLSPNSRPTLASMRRSSAGHV RGGQNSTGSLQITFPPPVSHSDDSSPIIGGSSTMLRPINMIRKKSGEIVKPSLKQRSM STPDLTRQAHESPTDEHDSPRGFGEERSKSVRFADASEGDAKALESVVLFLREQKVTA VGKAADPENAAYTETETENDTDTDFVQFRTRRNAAAKAADENQIIMEGASRIPRKRTD FSPDARGSLAGENVLLERIELQSGLGPLCMRGTAVVRNVAFQKWVAVRFTLDHWQTVS EVAGTHVCHIPAATSGDEGWDRFSFSIKLDDYKRKLEERQLILCVHYSVEGQDWWDSN DGMNYNFTFKKPAPRRNTRSSLPPSLGATYTTEELPSGLPGLRKSNAPPPSAHINKAF GAPSSGPASWVFPKLSQRMNGQVQTPSRPDSPMQTPPPNSFKPPAPPSTHAHLTLAKY CAPSPPLSPTKDASPTMPQIVSPESYDPVDLQRKTSMNVINGNYATLVAPDMGHERRS SWNGQNNSWDSFSQAMENSASPSKSSSGDATPTAHRSPEVPEDDQNSTPEHKPLTLKR STGDLRKLMHDAENADLGLMTPPSSNLSSPPTPTHTGLPTIPMSPAPSASTGESSPVE TMSNESTPDLASLSIEIDPKQKDQKKMLDNSYQEFLDKFCFFQSPRMTPVELEPVYSR PSFVPSKGDNSPNGFPFYGTGGNGHNSPRNTPTPTRQYNSAQDAFNFNATPRPTSADH QQQQKLNSDVSSPTNPLGHLAPGFHASPADTRAWAQQIHSNTASPSLTAAK L199_004662 MSDKNAEASSSTSTTPVTVSVAASRNGRTPGKAHKGEKTAVKRS YISQSIKTPFEKRREKDRQQEAMKAVEKELKDDKQAEKDRKVSIIKERRERAAEKQRM EDMKAKMSAKKLQRMKKRQGRTKKING L199_004663 MSSTATSTPRKRKLSVGDETPRRSVKGKSKAGPPTPNPPLVPFP TPPHTRTRKAKFVEPILLQEDQPVPSSSKHSTSTDSLPPHLQTLLNLHHSFNLALSLY IATHPPILPPHPPSATSVSLPNLTNFLAIRETVERTSGRRFGLQELSRLAWVWTWDGK SLPDEKAISEKNKRAMIDEDDDDNPFLVHTTPKAKTTAADVGAGQVSGLSYLITPTRT LDPQTGRRVYTHGLGIDLELRKGETRQVLHGGAEGGIGNKGQGGGMGAVGRWNTNGDV RHEVFRERLEKWVELNGGYEPPEKSILPTPTTSENSTRSSIPPIPVLPLPHLPSSTLL PSANLFSSFSSPTTTLTPQKAHPIPSTDSPKTAGLSDPFELTDEKDDKKGKVVRPGSV DERRQAMMDRIKARSGNSKNPLSTLGSSAGGMKRTNLLSAAGQQEELKRRSTLSRLEG IAEGVWMMFSAPSPGPSTLPSAPRGRRKAIPMTEVADVIVKSSKTPISTAEATSSLQL LTGLCPFFLTIKQIGKQDWLEMPSTLAAPPSPGSSSTIAQPPSVNGGRLAPPSPSTPG RASTSVELAGPASPGRVRRVGGLREVRERIRRELGE L199_004664 MSQSRPSQPSQSAITSRSAAGARPDRKPILPSPIARSARAAAAI GAAALHSPQVNKSIPTVELGGNKSLYGSFRSLAPNARIIFGLVVGAVGLAGLMIDRNV LQDEKVDEKPAISVRMVDRK L199_004665 MLIALLSKWSCHVCSYLYPAYASYKALAHHPDSSPEAMAQVERW LMYWAVVGTWTAVESVLGWTFTWLPFYSLIKTLIFLYLSLPQSEGSSYIYTNHLAPFF HEHESDIDAFLASLRSRASTALAGGLGWCWEKVKAQLNIALPAGHEPFPNQGGYNVGD AQGFDVPGLHQPPTLQDPASGAIQQVYGLFSRYAGHYMPVALSALTAAAASAQTSNRS APASSGFPARAEQVPESMSMPIPIPTPGVRSSPSDTTLRSRTYLHASGSGNGISSPEL AAASGRFQGGRSRPSASSSNSDESLFNSAPNSKNSSHVRLSSGYEQINRDEALGAPNG QRPAMGDQRRSSGWFGWGGVGIPDKPKSE L199_004666 MSFTKHSSSRGLRSLKHTGDFHRVTQYTPLDMTADTQLPSDICP TLHKWQLHPANHTVYTDDDQVHVTLSPSVGGRGTTLPEISEMTINLRDLNKYKTSISN HLENSQGPIDLSDYSKVPQQVTVDVCPFVGYSRISHAPLNVGQDERYEGTFSVYSGQA TIEDRHRSLISYYSAIVDSLHQAVLDKGLPTMIKEANCKFSKPPGSDIRLSLISSEVD VKLTMYDERGRGYTKDVTMKISGFNRYNTRLPSRVGRDPEVFSWTTVPFKPQGNSVSW NVSC L199_004667 MYGQYQNNYGQPPQPPQQQWGQQPPTSYPGPGGPGGPGGYGYNN GQQQSYGAPPQNNYGAPPPQVNYGSHPSQNGYGAPPPQQFGGHSPQPPYGAPPPQQST YGAPPPTQQYGAQGGYGAPPPQTMPGQGPRFLGVSIPAPPPAVPLSNLQGYNAQFDAE RIRKATKGFGTDERTLIDVLAPLDAFQMEVLSRTYEQTVGRSLKKTLEKELSSWLEYT LVLLSLGPLGGDLHLLNRACSGMGTHEDLLNEILLGRSNEEMFLLKEGYKRVYGKDLV AVVRGELSMKTERMFNMALSGQRDESPYVNQQQVQQDVEALYRAGPGKMGTDEIGVCG ILLSRSDAHLQAVAQAFPQRHRIPLSQMIQSEFSGHMRDGLYYIAKGVENDGQGVVRD AELLYNAMAGMGTKDERMIYRIVRNHWNRPRFNAIKNQYQAQYGKTLKRAVEGETTGK YEKALVGIIEQN L199_004668 MSSEGKTPLSIPKQPLDILLPFPKQSSNGHTIELLRQLVGKILA KDEVYSITYSPVLCPVSKEDGGGNNQLFTIKPHWWRNPNGEWEWRDGQRNPENARPQG QVQLESLSKTIHILFLGIRHISDHDLKQKSIERIEKLLRVFFVDIDTRMEPQVRFSQC HPGQEPMKGNECFVIAIRYLILVNQALLLSGSSIKQDLVQQIKEWINEQVVWMEESDQ GMKAKNAEKPLWYYVILAFHRQFIQGDTSSITLATSSFNEWFAKHPIPQDVFAESLRH DNPRHKCLFTLEPLFMLASLTVPLHHEQGISDDIKTYLRECVEYVKSVEQGPIESPLE DDIRYMARVAWFERILYGWNGQGERDAEGKEEPSGEGWENGWSARMRIMWGFI L199_004669 MPSPNPSTYYEMLFNSSSLNLVIPEISSSPEEPSGTSDKDDLGK WWEDVEGSSSMRESAFFDEKLFYFLSMHLPDEVLRDLPGTPAIDAKEPTSELLRFLGR LQPSMSASFIPSLPPPNPRSTFTPTASIPISTSSSSLAPPAPPRTPLSNSSTSEQGSN PPVTPNPFPAMNQGEEQYANVEGVVVWEGAVEEVSGPWEEGNSKSKLPTKGTSGGGRK VIRVKDGWEVIWRGEVPIAYVRTQIQNPLLALTASVTLRDQTHNTKTHRRNAQSIDTS SIRSGTTIRTEGTDYEGYEDDDGDEYASMEQIDLLGGLAGGEGIMPATRLASSIREDL SIHTSSSSENSPLPLSGITPLSAPTIVTPSTTSTEGSGPSREKGNLPSTIQPIVSTTL RKSYRRVLSLSPGLRVRMRTLFLPQLLTSDEVDEEEGEKCVILCIEIENSPESSLDNG FEVNKVNLEISGKGGKVSTELIENTRFPLRLGSIEQYNLLYKVMIASSPSSSSTGHGD TAGKDGVEEIVNKSLGRTDEIRPVSIIVIGKPFTRITQSREEGKKYKYQTKEFHSRWN CSLDLSSFHHEDKHNLPAILPKSQNASNRNSKTTSLPASNSIAIAGDKRYSLAHLLTI EKEKELQHRKPLMPSQMINHNQRVTSLNAKTHQAHQENGLLVSIKLLPSASSKYNSAI QPLETFSTEIFVHNRSDQTKRFRLNVPPRDTGSAGAENRLREIWDKRKNSRKGQDDVD LGVDDTILKQSLSSHSSTSPSIIPLENDIRCGPLLPFTSLSTRIKFLALRKGLHKIDK LRVISNDNEFDFMISPVLDIVVG L199_004670 MADSTQPTIAEQAQDVASKLANTVTETLNLGDKSKDNVDKSALP ILYIDEKAGSDSTGTGAELSPFATPLAAYQSLNPSPENDANPTIVANLMVRKADSVER NEWVEISTSAKKKLVKNIGGWRKSQAKLAAEGDRLAKDKADKEEKERKRREEAKNVVL VDDQSKESKKTKIYAVPELVGSRVRIQGWVHRFRPQKTNYFLVVRDGTAMLQCVLTGD CIKTLDALDLTTESTVELVGTVEKVKEGQKAPGGVELMVDYWKIIGRAPGGIDAFEGR LQQDTDASIRADLRHLELRGETATSVMRVRALLLRAFRDSFYKRRITEVTPPCMVQTS VEGGSTLFEFDYYGAPAYLTQSSQLYLETVLPSLGDVYCIQESFRAEKSLTRRHLSEY THLEAELVFIQFKDLLDHLEDMICEVVDTLLTDPVSSEIIKTLNPEFQPPSRPFLRMD YRDAIKYLNEHGIKKEDGSDHVVGDDIAEAAERKMTDQINRPIMLIHFPKLLKAFYMQ PLASAPDFTESVDVLMPNVGEVVGGSMRITDYDTLMAAYKREGIPSQPYYWFTDQRKY GTTEHGGYGLGVERFLAWLLNRWTVRECSLYPRWMGRATP L199_004671 MTQGFGTPSVALATTPTVISTFFSHLFARNKRKSQSKKSLKEGG PGGGPENQLSYEEGLKVIRRFLEFASHHGVEEVQGFTAMWVPTPHWVRRETVTIPDIK LRAAEDILAKHLSTYGPEGEQGGGLKLIGGEKWWRVRGRTLEGEWIEMQKDYLKRKAT TTSPSSSTQSTGQPQTTPAQNGGYGDYWKYLPKRAGTAGSDSVKDNTGADVLDDRVIL YIHGGAFFFSSLETHRYQVQRHARKAGARAFSPAYRLAPQYPFPCGLLDSLASYLYLI DPPAGAHEPILPTNIILMGDSAGGGMVISLLVLIREMGLPMPAGASLISPWVDLTHSM PSIGGWDGGDFIPSSGFHYKPSCAWPPLTGDGITITMPDGRQQHFDEQIQMYCPNKLL THPLVSPVNLGSLGGLCPLLIVGGGGELLRDEIIYLAHKAASPTTYPPSSLTLSQYPD QAKMVNKYKPTKVHLQIYEGCCHVVPTLSWTRSSKYMYRACANFNIWAFTAAQKAVER RLHHEKSHHSLRDKHASRQNSTNNTSTAASSLSGSRATSTIDLTQPIIGNTAASAANR EELDLGDLESEASSDSDESTSTYQDGDEGVPAEDQGPSKGVVTVSGREPLFGPNNIVS ERVSTHGRIRPFEPIESVPALDPRLREHIGQIHGGGAIQKWLTKRAQWDEKYSKELKK WREIKSRDRQQAEKYGYLTRDLQGDSPPLCSLAGIYDEDLARQVGRSVDEPTGKQSGV VGMWMKMGAKADKEHAGGDDLGEIKQNVESEIKQEQIRRASVSAGATQRQGLVDEPGV IPEHEVVNR L199_004672 MSCSHDAHDHDHDHGHSHDVPLDVSPLDSLYGQIDLPNVTALNA EGGGEEGQKVIKSWDMKDDDTLWCESEVDDELIIKIPFTASVSLRSITLRAGPSGKVP SEMKLFRDNPSLDFSDASQSSPTQSFDVVDVKEGVEYQVKAAKFNGLTSLTIYFPGNN SDGEEETTRIYYIGMRGTHQPLPNRPGVIVYESSARPADHKTEGINEGQTFRPGF L199_004673 MPGIKSFLASTFGLVYFIGSSFVSAATYSNEFASCVSTTYVLST NPASISTANAASCANYCYGTNTAYKYSAWQSTTQRCGCAINTFAASATVTGNSGGCGA NYEVWITHTTFDFSYCIQNYAVDTSRALVQSSTTDFASIFSTCAAYGNIAIYPTTTST YLYSCGFGYTPVDPTTTCGRNVNRIYFHPADATASGLSRRASAAKRRLPGQEHVKSFW CPKSMTACQLENDPASYECIETESDLESCGGCLHGAYSPPGHLNSTSTIGKDCSSMYG VSMGHSSCIDGQCQFDCMRGWKHAGDQCVKA L199_004674 MAIQNKKRNQPDGESSNTKKAKTGESSSAPRPAPAFLSALKDEE TDFPRGGGSSLTPLELKQTRAEGMREAEEEARAEAASKGNQRKKQMSDRQIKRLKKNE VRKKEKNDEDTIRVEVLNYKRLVPGTHVLARVHTVLPLHLILSLPNNLLAHVPITEIS ATLTNLLTAEEAMALDLDKEEDDEEEEESDSESSAPDLSQLFFPGQYFPAKVLNVYPT ASQSFISQYPVTETTRLAARVEVTLIPEKVGSEVSKKDIEKGYLLVGEVKSEEDKGYT VGIGLNPDEGSGVEGWISKEEVQKHVPSQSLIPGQLLPVTVSSLTAGGRVVQLSLDPL ELTRSTTSEVSTVGSLTPGHLITALITAVVPSGLNVKVCGFYDGTIDLAHLPLGEDDV ESKYKIGKKVRARIIYDNLSTTPPTFALSALPHVVGLTSPTKEGEQIPLEHAIPIGKL YPSVKVVRVMPDWGVICRTNDGLDGFCHISHLSEERISVLTNGTGQYKAGTLHRARVI GHSPLDGVVLLSFEQKVLDQVFMQVGELQIGQVLKGTVHRLAERMLFISLSGSVDGIV FPNHYADIKLKHPEKRFKVGSSVKARVFAIEPARNRVVLTLKKSLVDSSDSVPQGFAD VQVGQVTPGVIVKIMEKGCIVDLFGGIKAFMPLSESSQTFVKNLNDLFYVGKSVTVRV LDIQPENERIVVSAKQAVPNAVASAAEKLQVGDAVSGSVSAIHEEQVVVKLDDSGLTS LLSLSNLSNQRHMGIDELRSTLKVEDKIEDLVVVSKNPVSGLIIVNVKKTDTPSTKTK TKKEKQEKKAKKEEEGPSGISKNVKAIDEIKIGQILSGHVTEHTERGTMVQLPNKIRG RIHPLDAVDDLSTLVDGHAPYNVNQEIKVYVLAVNKQKRAVDLSSRPSRITPGGKVID QELTDVKGLKEGQSVRGLVKNIASHGVFVSLGREVTARVMIKELFDEFVKDWESKFEI GQLVTGKILSVDEKRNSVEMTFRKNPAKSTKKVAKLGLSDFEEGQKVVAEVKKVEAYG IFLRIEGSDVSGLCHKSEISDNKKQDVSQALKSFREGDQVKAKILSIDNEKNKINFGI KASYFGEEFGEAPDEENEEEEEEDTQEDEDEDEEAEGEDEEDVLMIGSDEEEDEDEED EQEDDEDDEEAETVPTANSSSKKAPKTNTTSGLAVAGGFDWTGEGVKSEESGSDSDDS DEDEDVDVPTTSAKAKGKSKSKGVEDLTATAPDARPESISEFERALLASPNSSYLWIQ YMSFHLQLHEIEKARKIGRLALEKINYREEDEKLNIWMALINLELSFGTAQTAEAVFK EASQYNDSRSVHIRYAEALIAAGKDDLVEEVFKKIVKKFSAYPDSWTRFAEFYLKKGD VEDARALLPRAMKSLDKSKHVETIEKMSLLEFKYGDSERAKTLFEGLVDRFPKRLDLW GVYIDQLAKINDIQGVRGLVDRALNQKLTSKKAKFLFKKLLTIEQRIGDEKGQEKAKE RAKAWVLENTKADPEEDEDEAEEDSDDE L199_004675 MTSTSFNLQETVHRISSTPGSFAIVSSTPNWPIPPKASSSKLHL SVLDSSFNPPTIAHQQIAFSAFPPPSSSCTPSNDPPSYTSRLLLFSARNVEKTLQSGD AIPQQRVEMMSILSSLRSNTHPKESIAVGLINEPTFVGKASIIRNYLSTLPYLDVEVD LSFLVGTDTLIRFFDPRFYPPNEMNRKIQEYFRDSNAYLISARRGINEEDRAMENEIL NRDGVREWVDKGKLRLLGTGQEGWEEVSSTIVRKAVGGGDWEKVEKLVGKEVMDYIRR EGLYST L199_004676 MSRPSTSFLRSALAQTPLSLPRTALNFQARTLLNAASRSGINTS SIDYRILEGINDFLPKENFDRLQEWQVGLWNRLQGEVNNNPALIETKQKWDKYGLDMT DLISSTARDKNLTLAYNYAALLLNNSFFLEGLSAEGNQQVPSEFKDLKEKLEGYAEGI VGGGWLWIVRSGDASNNLDVIPTFASGTLLVTQRSQRGRESSLPLFAEPPTSGSTEPS TSSSSETAPPAPSAESEETQVPLNKRSTRSFTSSSNRIQYPTPLAVLNLYEHAYLSNK YGVWSKKDYVNDWWKNLDWRKVQKRNAEVAA L199_004677 MSLRNVLDPKNTKVSVSSTAPGSSKKHLLNPSASDEGQPWSSNI PSSVHLTFDTPIRASHFALTFQGGFVSTSVSVWVARSEDAEGGVGLGLMMGGKVYPED RNKRQVFEIPFPPTGLSPTSEEPPSSGPTITTEITAAEANSSAVKAHPDGQGIIKNKH TSDDLPYLTELKLEFEKSSDQYGRVTLYSIEVLNE L199_004678 MASSTTSLSPSSASLLSLLRNKKFDTSKAYIDERTFDFDEATIG AVSRASTSFQKGSSEAKLLGNIARQHSSIFTLPDQDLYDLRGSITTATAESKVQPSSR TASIVPSKEDFQAVIKCIDALLSGSVGGDHNLRINDVPTTVGPTF L199_004679 MLRRIRAKLHPPVLGQPSLLFIRLSSSAALARVRDTEEDYQHEP YGIEYGAGPSRIPYQPRSYVIPRSNHPTHPHSSQQLSRVLRDSLSKLSPGAYAQPQTF FNALSNQADPQDGLIYRRYPEDLATLSRLELHTILHHLIRKKKGNLAAAIICDVLASV PREQRRRMLSIKTLSMLFKERSIFNMSNAGSFKRISTLPDIIPSIEDQVAPPPSRQLS ALLNIMDSLQEVRYQRPIELYQLVIKQCVDEHLYDLAAKVYVGLVEEWVTEGRVAHGA DPDDFHPGGGPPRIIEYPSQRQSLSKLLGHWWTGVRTWRLPGEVLSPHDRLDLWHPKH LSLGEKMKNFPLPIATSPPSLVPQPKSVLLTTIIVSLKLNPHTCSPQEFASSMRALAI LANTVLSRTLPIVSLGKLLQVFKSAPSKPDVYPENITEIPKENQWAYTSFTQIHVTLM SLLFSPPISSHSMQLIAQNQDVHPEDESTILHSVTAEGEAMNRYMLPPLSWNSCAILL QYAFEGLRKPFALKKLLSYMKEMFGMGGANPQAFNTILKGSSALQLNAIAAKADMNIF GKQQYDRPSHAPPQREDTRFFSSSQRVERDTSQEAKDAEEEFLNEWSSRTKTSFELGG SPLPNEHSLLLLIKHLTVTSQFNRLEDLVYILEPYLEFSKKMPLDELGDRIESKGLKP GESGRPRSQPLSYNIYLSLMRGLEKSGSTGLAQRVFNLALYTERGRVQEYLEENSIPT ISGIRIPTSIKFPLDGYKIMLDVWGNETRFTNRLNKRNRKSNEQLKELPLGWNLPKEY SNLPRGVAAGYMTMVTHHLAKKNFCPEEFTKGYYESLIRACRWRWKLSEDKSPHHEFK AEIKDVMLDIQDSGLEVPQLLREKLSDERAGASFRWVNSANKMGKKQKTDEELLLGKL LNGQDLDVFVNGETIMRGKDV L199_004680 MSTRSTTRHRTSSHPAHTHTPTPPLQRTSSRPGSSRPGTPSLIS RGDIPFSRKTSSSTPQKSPVPPQPHQLPTPMSEQPTTPTPKKTRRPKRGNKPSQQRNV IVGSEPELGIDQEPSSEDEEMLFDLLGVTSPPKSSPKRGVLNLSKDDMDVALGKRSKS PRNKVRTNPISNDHDGGELGKHPRNENSPAPNSRKNVNGKNQRVRRSENSDERGINSE GDVPSKGNRGRRKGAKVPFPSTQLHGEVSSAHLELGKGDSLPSKPKSSGLLSNTKPKH LPKHQSTSQVPVSEETAYSAFDTSSMSKSLPARGGLAQPQPQPAKKKNGKKNNSSEDE SAVWEMPPVAGGQELTWQQKLQSSTTSDPSSHSTASSPRKSNKSTPSDKKKSNRCAPF QQTAQPAVSSPLNPRPSHNRRASVDGVPSASATASSGGGGRTISAFDSHIPFHTGYNV HRAPQTPAKGVASAHGNVSDNILPIVGSGEFPRLRDHSSSDLLQQRKGSSTGTNSNPN SNSTTAAFSAKYAGPTFHNSPNAASLSKPDLEDF L199_004681 MTSLSSTVSTLYQTSANSTASRVILVDDDTQASIRRIKTDPTYS LWSSENYKMLDRLSQPTIQVPDPEENERGRLEMFRDTLDESIDKADKVRVVRCKAKRE NYERVKGLVQSVLDEV L199_004682 MLIPRSTHTQTHIRTGSYAFNPIKRSSSPFDDGLSLPALIAIPV FSVVIFLMISFFVYRCVRYGRMKHALNKQNTIPKINLTQQPSRGFDIDEHTITPFPPQ HAQPITYLTPGLDNGNRVHAHQSPSNPFSLTVQPSIESQIPIRQPRHQHAHSRTSSQE ASLRHNEEGGDR L199_004683 MTYDKFTITYDPTHNIQLDAHVPKIEVNNDNGNLKNLPAVVSFH GGGLVAGSKDDLYFPRDLADKFLSQNVIFISPNYRLLYPSTGFDILADVHVLFDYLAS DSSELGQLLAQKNTRLDISRIGVIGFSGGNYPARISLMDSINPLTRPKVHWNLYGMGG DFLLDHWINVKPPNKYVPDLPFFKEKVEGILNDPKYKKEKNGLSEAHLGVEGDERGRM GLFVWFINQGNILDVLLDQPGLSARLRSVPYDQRIELLDDEQIKILSVILPTTQRRPK PMPTPTIIIHGENDRIVPIEESYKHHHGLKELGASKVKTIWVKDAGHGLFVDGQWPKF IPGVGSIMDQGVEFVMEELNKA L199_004684 MPPKSTALPSPTAQLSLQEWLKMLTARGVDMRVAMGLAAKIYKS HGTIERLSSLTQQKLAGLIGDKEVRKVVSNAVRGLASGEAVSKKRGRDSDLLEPLGKK RTDDENLPMDIDFHPIMDVEQLLPLTLTTNRAPVSTAWAYTISRRLGFDIAESLSLAH VYVHISSLKHALQLGNILNDVETREAKEEIEDLPDGEMGLPSKFRRTNQNSPSKYGRG KGKQKEVEGNAVRISSQPWVGIMRAKPIIERPDMTVRAIQKGEPVGPGQAYMYITRAF KDYTPHVMGALKLVADSYEPEELSKMGGHMYNEFKPDVVEWGQRGTLELAKVLDQVKS PVDGNGVEDVEAYPVKSDPPDEAVKTDLEGTVGPVDLAEVKGEVLLSPIPRLKEEGSE DMYKLPKKDMTVEEYEAMLDAEEGPGGFVEGGDVYGA L199_004685 MPAPALSCLLILLLTLILSFAGIVAAKELYNITVSDQSPTISYS PSRSGPADQSWNVTYSDSNWSDYVNQTIGQGVSSHYTTYIGANASLGWWGSAVYLWAE AGDSDIEVKVDGNTTAKKIDGGWYVDKLSESWHRLRVRVIGNGGVRITGVTFTTVIGE EGATPVNSTIQAIFGENQINGVFSRSTGQWETATQIGGAGDQSIQTYNRLDSFTPGSK LIFQPPSNTSFIVLYGSVNFDHGQFSVSLSTSNLPNVATSGDVQDTTTTTTTIGGVPA TQQFYGTSPWVSMDQVLYYANLDTTAQYTVTVENQGQGRPYWDISKAVFIQPQGGKSS GSSSNTAAIAGGVAGGVVALILMAGLLWFFVFRKKRDQLKRKQEANLYEDKPFEIDPY TPHGDGSAGDAYANAASGGHTPFDHTPPSSHQRDSTVTPLLMGSAGSPDPRSSYQSSG SYNPHQNSLPHSADGYGYYAPSSSIQTSQETGGDGMVLHNPDQAQSSAGRPNSGVQGS KARYSNNNERRRRSNIIQEEDAGAVPHPQPAEEEQTVIPPSYNPSWAQNRSDNGSRTN L199_004686 MPFRNSSKLTQSLSDLSSRASNLELENQELKQRLRALEALRVSE WQENRDIITNLENKCSKLLTIYTEDKFKLALSTLANSIMEDIATARMEYIKTYGSGWS MIDNLLNEHPDQEKNVSNILRTDQDTKKQVESFVIDTLRDHYTSTMNSGYGERPNTLR SKLDTKAKARMAKSLKDPHLFVLSIQLGDGDDVDAEVVKSFLKVGTLPVGDIIRETMF TK L199_004687 MENTTSPSVDDRTKGKSVGSASASLRALLSSTDNSQSGKTYRDT NTVALSEHQALGLNEYSYQALQQDIEDLRREIKNLKTDWADSRRSLLNRDRDTRDVDA RGTPVAWQAMKFWTKVDLMKSDLRMQVAMEWLRLSRAQDTELDRRCTDDPEDFRNGVL SMLETDPKVHKRLDDYFDSKVPYNWYALATASEPGLNCDSTQTADRPDPSSRDVNDSS LTMSWTASVLRKMTRSGDIPKIVPQLDIKLGNKRMTSKGYFIELPPVTLIDYERHIHS RSSAMRVNELRKENNRLIGERNGIFRERHHMLSTVDQLADMTARLDQYLKMTKEELNK KVVYEAMNDIEDEEVHRHLDMLWRQSALSDVLVRSPSYDESISRHAENLVKNAWWDGW VSQLNSEADHGTKDSRSQTDSRDLLWSLVNRDVVTERQSRGEEKGTSLRSLGEYKDGV HALEDWNPDSSFLCGFDRKGKSRADGYEY L199_004688 MSDQDTPLNSLSSRFPLTFPVPTPLTHPHLISSSDLATEEDLLH NPDNLRSWLSYIHQLKERIIASEPPKADKPSPEEILLGPLSSHVSREGLQQLTMVYER ALAVFPTSFKLWRSYYQMRQSYVLGELTSSAKTARGQHAKRGSGFKTNVREQIEAAEE ANEWVGGLDGIVGYEEWRSLIAIGERMIACLSHLPVPWLLHLSMLFHPKCPATFKRTY ARRTFDRALRTLPPSLHGRVWGLYLRWAEMIGGEAGERVWRRFLKVDASLTERHITYL LDSTPPRPLAASKYLLSLARRASKNLYSSLEGKSPYQLFVDFLELVERYADEVGMDEE QTLELKAAKQVAQDEITKPDAEDTPAPAEEPASIHGRLIRIAGPPVPVEQGKIFKPKD AISAKKGPDELPYDEDTDPSNSRLLDVEGIVERDGLEVYKDQAGRLWTGLATYWIKRG EFDRATSTFERGLAAVVTIRDFTQIFDAYAEFSETMISTLMDALADEDNLADEEFDAE ETEKELDERMKKFEELMDRRPFLLNEVLLRRNPNEVVEWEKRVALYGDDDEKVVETYV KALDTINPRKATGPLYPLYVNFAKFYEEGGSKDPESGEPRNEPDLKQARKIMERATKV PYKSVDELAEVWCEWAELELRNENYDEAIRLMQRATTIPRDPKKINFYDESLSPQQRL FKSLKIWSFYSDLEESIGSVESTKAVYDKIMELKIANAQVIVNYAAFLEEHKYFEESF KVYERGIELFHPSVAFEIWNIYLSKFVKRYGGKKLERARDLFEQALENCPPKFCKPIY LLYGRLEEEHGLAKRAMGIYDRACTTVQDSDKFDMFTIYIAKATANFGLPATRPIYER ALESLPDKQTAEMCKRFARMERKLGEIDRARAIYAHASQFCDPRIDKEFWEEWNLFEV ETGSEDTFREMLRIKRAVQAAFNTETSFIAAQTAAAAKGAEKPTDTAKDAADPMAAME RELSGTNGSGAGAATSKKTGGPAFVASTLKTQNAHGIDQAEDEGEVANPDAIEMDEDE F L199_004689 MRFAGMPSKISVFVPPDVLLLLTVFWVIDIAVNLADPMFTGSYH GKQRHVSDLPQIIERAKNKGVERILITGTSLGDSKDALTLAKEYNLHCTAGCHPTSTS EIPNHPSGFEGYLSDLRKLISEDRGQGGSKRIISIGEIGLDYDRLHHSSRETQLEHLP SLLRLSEEFRLPLFLHSRTSESHVDLVRTLKDIGWSTTWGGGVVHSFTGTDNEMKELV DMGLYIGINGCSLKTKENIEVVKQIPLDRLLLETDAPWCSITSSHESHKYLPPTDSGY FIERVNKPDKWKDAMGVKGRQEPADILVIAHIVSKIMDIRLEQLAQQVWQNTIKLFYP SESI L199_004690 MSLPHNGSTAPAITSPDLAWPSRPVARPSPLSTPTLSAESAEYL HSPSSSSRQASSSSTAPTTLSRSGSLKGKEKALDFQLRIHPHNTEEQEEREEKERNYG PPKKPLPPHQLGRIAQSFGIIIPNLPQSQTQSTQETRVPTSPLSTSAISPTFPSASYR GRLSPLPFQSPIRSTPFLLSVIPPLCLLPPNKDSSFEEIHRRNKKWRRGRLIPLQPTL GSMLVCIAREYGLPSTIGIGVYLVLPNQPARKGRINGSSSSSGESNYDEDEGSTGPKI SSATWSTLFSPHLIQLAQGGGGGMNSRSSTPSQTPLKNNVYSNDIGDPESEFPPSPIS TSKINPSRNHTSRIRRSKSTEPPELVHSHSSNLSTSTSSFSANLPPAPASLGYTSFAT TISSTTSPMMNPIVGTIEFDVDLDEAKWFESYHLRKSGRHSKHKRSLTSDSGMKELNL VNKVSDVRPRFLKELDPNTPQINHQDDHEPQESLEKNVVEVDEDLLKPHPEQNDLLAS PIDLSDQSTRLKVQEILDKRGSGVVMAEQLDDLEKMMRQLSPREIRITSPRLLTPRMA AKVANLTLPAVPKRGTSKTAPTSSPLAGNFTNGNSDPEETSQPKSARSNGTFGSAIDL QEQQQQLSTSQLQVEQVEDNDNDNEETTLDRPVWPAVKHGSPGSPTIHEYFSRPNFPQ RQQQVRNVSSPASIPVAISDETLKRMKENEESHIEQSKISSQSQEWIPRRPARPPSPK LESMHQRTLSHTLSPELVDFLNKSPPPAAGGQGTTPEEKRRNRSGSMSLKGLRNQMSS KNLSQMWKSGETSSNATSPPLPNGQKETIGLFKGGLPTSNGDEGHFGFNRDQAHSALG GGIRSVSSPTNPTTDFGAVSQNQDESSLPSAGSGSGKGKFASRIWGFRHHSDKHDKDK DRSSKMSSKRNPSIDGAGSIKISEPIAASFIHKESFDIPPNNNSIVQQQQQQAGLGHG RFPSHSYAHATPTSPNPSANPASPRSIKRKPVPGTTTEDGESTMMIKNSMSLSSMNTF VLEDAPKGRKMMGLGMGQAQ L199_004691 MLPQLGHLPRFIRLTLLITLLIVIPTLYFLYPTPERLPSPGEYQ AGGIDSEHWRKPINPDFPNVHEEVREWDDELPLGNINNKAWKGLSEDTINGGVIMPKL GNETAKAELGRSAWRVLHLMTLRYPDEPTEDDRQALKSYFHLFSRLYPCGECAAEFQK LLKEYPPQTGSRKSASLWLCHVHNLVNERLGKPEFDCLTLDATYDCGCGDESSTVTTP NQAEKTGSGEVDEEGERDLKDTEQEGELVMGHGGGEIHLKRPSKEKEEEKGKWTEKNQ NLVDIDEGERPIHKIPHKPVRPAFQDQDEEEEEDSDRGKGIIKWKEVTQSDDSEMNIQ WENEKFNKEKLLDESNEHRGDLNRHDGSRIKVEDENEDELRWDE L199_004692 MAAPTNNIINSIPGSTPTAPAYQYNVKMTCGGCSGAVNRVLGKN IQAPNAYHISLPTQTVLVWGPSLPPFDEVTAKIAKTGKAINSQEVVEDATKLPSIEA L199_004693 MSEDKSNQAFTSESLASFRANRASNQASSATQESAFESSDEEHP TGRQHYTAAGSTATKKMDEQSQEDMKRYVEYAETKLPSGQDASAFVKTVLTNLAKTNH TGDDASIKAALTTEAAKFK L199_004694 MSLLASSLAGANYMTSSNEDRESATNGAAEFTRRKKWPEILLKE LVGGSIFCLKPVMIRRESGQQGGASGSGSTWSWKIIYSSPAVIEMLGKKPADFLDLVF SADHGQVQTFFHHLLTPSLPHHASSSTSITTSTNSPNNNSAAQNTLGDSQTTYIRMLS SSSSSVGGSNDNSPESSSNGSNDTSSSTNKKIGPVIWEIRAHASGLGDDMPLPPGTEN LTMTSTNGTLTTNANVPGENGNGMKGKAIWVMGRRVSENFSESDPNLKDNNGGNGNNN NTNGNGGNGSNQNLDAFLELKLENERLREELRELQLDLDEELPSRKSSGPNISPTKQT TYIPSSSPSDEDSSPSSSRSSSPSRVAGNAGTSTKPKGKTGRPPKEGKDKEKKRKKSS AGGVNGKEGEGMHVCVTCGRTDSPEWRKGPLGPKTLCNACGLRWAKRNSNQPTRKDRK PNEVKK L199_004695 MSSSNSGLVPGPSGGPLTTPTKRTAFATSTSADAGPSRARPAGS SPAYSSRRHSLYGIEDRVVIDPGSRVWKVGFSGESDPRSVFYSLDPSDIEDTGALEAW DMDLSFMKGVDGDRVEGDRLVGVRVVKKLRETYVKHLMTDSKARKVIILENTYLPIAV KEHIARALFDNLHVPSVSFTPASLLSLVACGRITGLVVDVGWLETTITPVYHSRPLYN LSRSTPLAGRRLHRLLKTLLHHYAIYIQSPTSLGNIHDRQRSTGVPMDQLSDELVERI LTEGCFVGGLHLEQQEEDQQPMDIDESPTEDDEVRRIAEEWYKRYGNTSTAKDISFRI KSTLGLGPSTIIVPGWIRETVAEILLEDDGGSEDESIPRLILNCIMKLPIDLRKSLIS SLLIVGGTASLPGYIPRLRISLLHHLLPPPASTQHKSPLNTPDSRREEALLWRQRNRE PYKEIYGLSDKLVIINDPSPVDGKGGMAPRWSTGLMGWVGGSLAGALKTSSVETTRES YDTSLSHSIARGEIYKEELDLSSIEMSTLLGVNIEDLKVGQALGAGDAGGEDEFGMKR KRGWNDHVIAMNDWTRGAVRV L199_004696 MTSGSFAPLTFTYDPINKIDLDVYLPTNNAIQGDRVDVDIKSLP ALIFFHGGGLTSGSKKDLYFPTYLMEQCLSKNIIFIAANYRLLLPLSGIDVLQDVETL FSYLSSSSTELVSSLQTRGFKLNHERLGVVGVSGGDYLARASIVLPPSKIPETVRPKV YVDLYGMGGDWLLDHWIKAKPSMPNLVGTPYDLNKVERILADVQQGKEGVKSESPIQF GGDDQGRLGFLNHWYKTGEYVDHLLDEPGLSRRLELLPYEERFEAIPQDKKWLLLPLE KDRLYPKTMIIHGEKDNLVPVDVSKQLQKDLESLGDNVLEIQAIYPKDAGHGLLDVGK FPNIVPIPEITEIFDRFVDFVSDALKE L199_004697 MTHTRIIDRHRTLMMICDCQERFREGTHGFNLMAQSIVKLIKAS RFLKMATLLTEQNGSGPTAEEIRSELTGPQHLGTFGKDCFSMITDEVRPLLKNYDNIV LVGIEAHVCILQTALDLLEIPRFHKRVFILADAISACHELEIPLALDRMRDTGAVVTT SEAMLFQLMGDGTDSNDKPISDLIKAERTNTAKALETLLPHPSGTATISK L199_004698 MFIKRAKSRPSLRTRDSDLPEPSKTGSPLAKNSVTADDSMGDIN MEVDPDESSGSIMERKKAQKKDKQRVGGKSKASGSRLSFGGGEEEAGGSEPFKPKKSL LSQSIKLPNPPIPSSSISTATGSGSGNGNGNGLYSREYLSELKASTPTRALRTTEIQD DEDEDVDSNGLSKIARDKYSTSIIEATTAGIPDTAAIAAAKAKRQAALESSKHGGMGE DYISLGSGKLAIYDGDSGPHPESRLMREDDEEGDGDEDMAEYTEAKDKLYLGREANKA AARRLKGEIGEMIAEREAEDEDDEETLEWERAQAQRAGRWEDEKPEKVTKQGYKPAAI PTARPIPTISSAQARLAKSLADLQVTKSTNVRNLETVARDLASLEQEERELKLEVEKV EGKREWVEEFRNWVEMLGDFLEEKFPKLENIETDSIAHLKERAQLVNSRRSADDSDDL SLFLGVPAPNVEEDVKDEFGRSAESEAGPSSIRRKIRRDERIARRGKRRARSSKSINE EEGYSTDSSLAEGDQEDYRSAQVHLERRVHALLDDVKAEDFRDPEKGLAVRFGGWRKR YEEEYVNAFGGLALVQAWEFWARGEMVGWEPLRSSKTLDSLSWFHSLHHYSHPPLPRT EQPEDDDMDLDDEPPLGPDGDLVASMVSSAVVPLLTKAFEAGAYDPYSVGQTRRAVDL VDVVREMMGKDSRKYTTLLKAVLGVYHEHLLSLSSTVSFILAPSSMPPPAFDPASRPA MERYVTRRIKLIKNMALWRREAPQETRELVIRLVGEVIRPILGKTWDGGGKEMASKVL TAATGLLSPDLVGWLQQGPGSRW L199_004699 MGITSATWSKVVDLTCISIVLLTLLVSLFTLISSCCKKNKQGRI KLPDRPSEQEIATKKDELNARLREQVPRDLAEDGEPVEVAEFWNKVLFPKCLLLLAIL AIIGLQIYTAIKRQPILSDHLAFTTFTIEGITSVYLLCLASVYLLTKSISIHKSLTTH IFTISSFMVTHLYFQTIGRYLFYYQSAYSLVHWTRYALLGGYVIHVMIGGNILTSPNL YIDLAPMYSKAVTNLLKEDPIIGSHQHQSGNIIEQETTTIFSQLLFGFVFPMIMKTSS KDQVDITDLPATAKDLRTQNIYHQTMTSNEDGSKLKWENHKTWSLLYTVWWPQKVTVV KAFGYSIAACPLWYIPHVCLQQILSVLDDPTSSRNAAIAFASLMVITTFGGKVIAMRQ YNLQTAYGGPRINSHTSFLLFQKVLTRNLFAASEKEDGEKVVHTKADILNLISSDASS VQRIGWTGSELFRALLELALGCGYIWMLLGTSGMWGFATLIFTCPPAYFLTKWEYQVF EKRLAIRDERVSLMQEAIQAISMIKMMATEKFWFKRINSVRKREFKKLISAQLIGYGS SLLYTAAPVILVIVSFAHYTLVAKKELTATIAFTSIAVFDELRVALFNLPATIAELLQ DILGAKRIATFLTNTDVQYLSESAAQDLFNEDGPLYVKGTVAWDIPKPYDPSTATTAG NNDSATTTPTENTVGFRLRNLDVEFPRGRFSLVAGKFGSGKSLLLLALLGESKLIEGK ISYTVSPVMDLKELDGKNWSLVKGAVAYVPQTPWLLSQSIRDNILFGLPLDIERYKAV CFATGLMPDLELLEDADLTEIGERGKILSGGQKTRVSLARAVYSRASTLLLDDVISAV DAQTSKHIVQHCFKSPLLAGRTVIIASHAIEALAPLADHSIYLEDGQCMFDGTGRELL DSEYMSHLKTETRMPSRMPSRMPSMVNLSDQTPTESALISKEVTERVEKNEVSEKSIE QASKFEIKIAIPKTPRQLILEEQRVTGTVDLKHWYNLLALNGGGFYWTLVILLMLAST LTPVSERTVLSFWTGTEDGGKASKEHSVIFWVSLYAILSMARVIFGILFQCFRFFGGM RAMKLIHGQMLESMLRSKMIFFTKTRAGSIVQRFGKDLNDILDCSELLGEFAEGAMNV IISLLSVSIYGGWAFAFVAVALIVASWGPARWYRASSRQVRRLQAIIPGPINAIYGET VAGTSVIRAFGAQSVFIDDLMRWTNMKITAMVWTVAIARWLYISLQVFDSILRITALA LLLTRSSTTGASAGFVLTFVGTVSSNLNWILIQMRNFEWKGVSLERASEYRTLEREDG PSLEPDDASLPQWDKDEYAEDNETNDREGRLDLGQWPEHGALNVKDLCVRYGPDMPEI LHDVSFEVAGGQRVGIVGATGGGKSTLAKAFFSFVDVTKGKIEIDGKDISKIPLGQVR SKLGIIAQDPILLSGSLRLNLDIEGKYSDEQLYDALHQVQLLKKNDSPCSAPASIDER ASGSETAVDNINTNQQDNIFKNLDYDIKGGGENLSAGQKQLVVLARALLKKHRVLILD EATASIDSATDAEISRVVHEEFTDATVLIIAHRLRTIMPCSKILVMDKGQLIQQGSPN ELIHEEGKFKELCTAAGQEEYEHLVQLAVNHLTGGNTSQDGKLVDI L199_004700 MAARKPLLLICDVQERFRSAIYGFDHMKNTICKMMEVAKILKLN TLVTEQNPKALGSTIHEITNLLHPARHLGTYPKTKFSMITDDTRYDIDKGQFDTYIVT GIESHVCVLQTTLDLLKLPTQPEVFVLADAVSSCNKPEIAIALRRMELAGAKVTTSES MIFELLGDANNENFKQVASLIKDEKTNTATALRTLCVEQI L199_004701 MKFSKIFLAATTVLGGLVAAQANEGTETFRYESDITRLRSLVIH SLYLHKDVFLRELLSNANDALEKLRLVSLTDRSVLSAGEGNVTIEVIPNPNESLLEPK TGKIIIRDTGIGMSKDELTRNLGTIARSGTNEFLKKAEEGQGVDGNLIGQFGLGFYSC FLVSPTVKVSSLPPATSSNPDPIQHTFVSSSTGDSFEVYPDPRGNTLGRGTEIVLEIG EEEAEFLSVDQLKSLIEKHSTFSTTFPIYIKERKITKVPTPPPQSPVEDGDPDEFADD LETDETTPKEDSIKEVEEESWIRVNDKAPIWMRDPKEVSDEEYKAFYQAVSKDDQGEP LGWSHFKGDTGSGVSFRAIMYIPSSLPKDFWSKMTSGINNVRLMVKRVFITDDLGEEF MPRWLSYLKVTVDADDLPLNVSRETLQNNRFLSQLQRILVRKAIDLFTKVSNDQPEKY KEIAKLYGNALRIGLMESPKDKLKIAKLLRFESTRSNYTTLEEYVENRKEGQKQMYYI AGVGETAKDLAKSPFVEKLHARGYEVLLLNLPSDEPMMAALDQFMGMTTQDVSKKGLK FGDEDEDEAEKKELEAQKIAFKPLIEWLKKDLAGQINDVTVTNRLVTSPCTIIVDSWG WSANMQRIMSAQTDSQDDPMFNMMKNLPKVLEINPKSPLIEGLLERVLDLPQPDDDEE DGDAKRTSEEEEELRETVRVLFDTSLVRSGFSVADPTTYFERVEALLRRSLGVSLSAK PKIHIRPAPPTAAGPIPEDEEGEEQKIEFDPNDMQDMLGDPSQWADWQDIKKQMGVEH DEL L199_004702 MPHSATLQEKQRREEHQQRAYEIQLAGGMQGAARWTVYGTIACA LGHYSYPPFARQTLGLKAFLVSSATIFGLVVGADNHLLKYETHLREAENDIRRQARSE LAMQGIIASETEIRKWREANKDKLEAQAQASAARTTSS L199_004703 MRLYAYDDIPPNAQITVSYLSHIDLIHTSNNRRKILLDGFGFRC QCDSCQSAPSRTLYSDLRLKEYRKIRNEFISKDIQVYARDKDKVLKALNRGLEILKTE KKFDQLGEVYEKIHEVHAIHGEYELSKIAASNAMDHYRIILGNRKANRSWLADQMMDP TKYPLWGKLKKRNKKSRTVKKVVKRKSNSTTDGCTCKCWCLSCEVQEDDGDDEIYDME EPVSIKRSKSREWDEQSWDGRD L199_004704 MPYRSIPGGQRRYSYLLPYSRPRANHQAQTTSTSTSTVVRKWSD PVLLPYHIKRSSTQGNGLYSNAPLKRISDFERITFPSIDIR L199_004705 MWRKDLPVVRSAPLTGPPQPLYQFQSDESSFSVPFFPRPPSATK IREISPNITKKPDSSGQIEIQPLHQSLPTKPLQQSPVKRRLPPLTPNFFHGPKHRNCS FSSNPQLLTPVSATIDLPTFPTPYSFPETTDCPSSSRYVKSETRLPRHSSFNQGRSGR SECASISVTPCVPPTPPRSESPTSPSPIPPGSLYTMTTFDGKNLGLVSTQKIEKGTLI LKEAPFATIPVAHKDEDLTHQHIFPVYTTLTKAQRNLFRSLHKRTDENAHPDEIVNIV ETNSIPLQTDCVSEARFLGLFETISRLNHSCCPNAGWTWYEDEQMLHLYAYTTIPSGT EITVSYIDDITCPTSERRHQLLWGHGFACQCSSCTRSPFQIAKSDKNLEMYHSIRNKW TNLPLKHFAKRLPLALADLDLALDILKQEKKYDEFGEVYDQLFWVYVAHGKEMESKDM ARRAFKHYSTIWGRDKALMQTKYGEFSEDPTLNDVWGVLGVEVEQAKVKKRKVL L199_004706 MVGKPSIAECDRIMTSPGSILEMEEKVLNGKKVRVWKHLPPTFR QFLLHTLHTYSDRQFLSSPLPVPKRRHRSHEDTSSSSTQAADPRENVTFSQVLERSLR LAAWMRKRGLGVGSKVMIGGRNCTGFIVSFVATHLIGGVTIFLNAWLPREQLIWSVRM TTPSLVLLDEERAEILGPYQYVRETNLPDMFCWSESSYLPTLIDIFHTPTDVHSILRG DGLEELSPESDAVIFFSSGTSGFPKAVLSTQRMALSNLWSGMVAPSRAALRAGLSIPP LPKATDPQRTLLLAIPLFHVTGCLSWLMRAFFAGSRIIMMRRWNTDEAVRLIVKENVR VIGGVPAVVSSILQSPSLPINQTFDTIFYGGAPPSSHMPKEVKTKWPKAGLVQGYGLT ETNAYVCSVAGPDYLDRPDSTGPPVPICHLKIVDPVTRKSLPNGQIGLLFVNGPQVMK CYLGDEVATRKAIDEDGWLDTGDIGYIDDEGFLYIKDRLKDVIIRGGENITSSEVENA IYSLPYISEVAAVPLPHDRLGEVVGAIVTLRPSATSGKTRKIDERRISSDIKARGVLA RYAIPEMIMIYDGPLPKNVNGKILKKDLKLLIQKEWEKRRIHQSLGPYENSTIRAKL L199_004707 MPRSPSPSPSPSPSRSRSPARSYTRSVSPPGKRSITPDDVPISK RKRSPSPNPRDRSASPPTRRRRNSVSPPPPGVRGPNDIDVPKVMDIDPNRRRAREAAM LEQSIQSELTKSNGNGVVAVNGGSGRADEVAKAEFAKLIGSRSGGAYIPPAKLRAMQA EAAKDKTSTEFQRLSWDALKKSINGMINKVNVSNIKHVVPELFGENLIRGKGLFARSI MRAQASSLPFTPVFAALVAIVNTKLPQVGELVLIRLISQFRRAYKRNDKTVCHATSTF IAHLCNQYVAHEIVALQILLLCLDRPTDDSIEVAVGFMREVGLFLSENSPKANNTVFE RFRAVLHEGAISKRCQYMIEVLFQVRKDKYKDNPSIPEGLDLVEEEEQITHRVTLDDE LQVQESLNLFKVDPNYLENEKRYEEIKKEILGDSDDESGSESGSYDSESDDDEDEDVA PEKAGIADMTETNLINLRRTIYLTIMNSLNFEEAVHKLMKINIPEGREMVLCEMIVEC CSQERSYSNFYGLIGERFCKLNRVWTDNFQESFAKYYDTIHRYETNKLRNIGRFFGHL LASDAISWGVLSVVHMNEEETTSSSRIFIKILMQEMVEEMGLNKLVERFKIPDLKQAF NGMFPMDNPKNTRFSINYFTSIGLGKVTEEMRTYLANAPKLLAAQQAAMLADASSSSD SDSSSDSDSDTTSSSDSDSDSDSDSDDSRPRRRRRYSSDSRSRSPPARRRRYSSDSRS RSPPPRRRDSPSRSPVRRRRYSDESASRSPPPRRRHTPSPSRSRSPPRRRRDNVSPSP PPRRRNDSPRRRDSPPPRRRRDSSTPPRRR L199_004708 MSSSPSKSPTKAAHEHALLLNSIAEGANEYPKPEHVNYTYGTAG FRTLATKLPSVLFRVGLLAVLRSKRLEGAAIGVMVTASHNPEPDNGVKLVDPSGEMLD PTWESHATALSNCPTTESLISTFTTLATHLRVDLHQPANIVYAYDTRPSGPELIKALE KGFEVFGESVKTVNLGITTTPILHYVTKAMNDKTGEYGKPTKEGYNQKMASAFKTLIG NRGPLSPLYVDCANGVGAIALQEFISTLGDILPVHPLNTSTSEKGALNHLCGADFVKT KQALPPSVQSSNVLSKPGTRACSFDGDADRIVFYYLHETKGTFRLLDGDKIAVMVAMF LGDLVVKAKLDEEHNLQVGVVQTAYANGSSTKYLTSRNIPVTCVPTGVKHLHHAAQRY DIGVYFEANGHGTVLFADHAIKALKSASPPSPDSANAIKNLLAFSELINQAVGDALSD MLLVEAVLAHRGWGAPEWDAGYEDLPNRLVKVEVPDRSIFVATDAERKLQSPQGLQEK IEEAMKKYDMGRSFVRPSGTEDCVRVYAEAKTTAEADNLAFAVTDLVKWASGQT L199_004709 MPASAREATHAGSWYSASELQLRQQLSSNLSKVHPIPELEYDPP VQDSKAIIAPHAGYSYSGPTAAWAYASIPVDKIKRVFLLGPSHHAYIPGVALSNFKVY ETPVGDINLDLKTIEELKSTGIFSTMKSSVDEDEHSLEMHLPYIRHVFKDRKDLSLVP ILVGHPKSETLDELSKVLAKYWKDEDTFFIISSDFCHWGSRFSCTPYYPHAPPPPNPV PPVPHETLPASFEPPDLIKRFTSSHNNPNVPIWKSIQYMDHEGMDLLRHPAEEGAAEK WEAYLDRTKNTICGRNPITVLLHLIQHIYLTKPDSAIPVFTFVRYEQSSKCFDGKDSS VSYVSGVLRVPH L199_004710 MATTPTMDEYRQILKSRDEHIRESWIKAMEARLVREELQKCYRG EGVNHLQNCKDLAEKYAGMIRENKVKGYKQIDENMP L199_004711 MTFQPFATSPWTPPTSQTSSISIAGPSSMSRVTTPRDTPPSGSI SPVVATPALADEHALWSDRYRSLSASNIGAMGNGFNPSVNVGHGEQRRVLGDLPPHSN QVSPHALASPFMPGVNNGAWGPPVPPRQPHNQGHGQSHGHSQSRNQNHRNISLQEKLA IAEEKIRQLTIENQKLRERNAFTGCNSHPGAYTSPPVSSRSSMVFDSVNPSFTTAGYG HVAGLGLQRFEDPEMCFDPSKFSNGGDDQLEKVNYSPPPHLVGPLLQGTFNFADMPIE YVRPIVWIIAHRTPKSSTESSGLKAAVNALASRLGLPRSLDPTGILVRSIIEWAKPLC FTSCGNYLCQQLLERCGLDDKQAFIKEIEEYIVPIASDKFGTHVLCKAILTKELEEPI SNALIKFGIFESMKTGARRLWREYLEKCRQARQFEIFTKINEEMAGRWSELACINEHG SIAVQQVFEVFGSQELMEPCFKEILADIARISNNQFGHFAITKLIGYPQLYRRTCEAI LTSYPPVAVTHHGVNFAKIALTEGGRGSIVKYVDAICSHDDGRTPGIVAIATSSIGKA HLTFVLSCLTPAEHVRVRQTCRAFSTTLRNSQSGNDLLRSLGLMHAAGVRHRAGSG L199_004712 MLRRQTRERREYIYKKSQESQERAIYERKQRIKDLLAQGKQLPT ELRKEVNGKAGKDLVLDEAQADPKSHIDDEYAKVGTYDPKIVITTSRSPSSRLLQFSK ELRLVFPNSYRLNRGNTVIRDLVSACNSQGVTDLVVIHEHRGVPDALIISHLPHGPTL SMTLHNVTLRHDVSSSTSTVSEQYPHLIFDNFQTKLGERITGILKALFPVPKEDAKRV MTFRNQSDFISFRHHVFAKTGHKEVQLAEVGPRFEAKPYEIRQGTVDQTEADVEWLLR PYLRTSKKRNQI L199_004713 MRSTLLAGLFSLAVTASSSAASSSEQVVLGPSVQQSQDDIGQFI KPKRRPLKGRFLHITDIHPDPHYKSGATFDSGCHKRDKDKKGKKGKGKGKGKATDVDD DDDGMEMLKDKDKDDLDLAGKWGTAVSKCDTPMSLVNITFDWLKEEWKDEIDFIIWTG DNARHDIDRSLPRTPNEIYDLNRMVVSRMLDTFGTDIPIVPSIGNNDIYPHNVMAAGP SKLTEEFLHIWGKFIPPDYSHVFERGAYYSVEVIPDTLAVISLNTLFWYDSNTLVDGC GDHSNDPGALEMDWLDVQLSGFRDRGMQVWLTGHVPPHMGYYYDNCYLRYGDLALRYQ DTIVGHLFGHMNIDHFFFIDVDELEATPVTRSKVGSKKNFSPLTANLSSLPSFDPLSD SSIGIFGAGDVLKVELRKDFQDMPGPKDLKLKDYIAVNVAASVIPTYLPGLRIFSYNI SGLGDEKNERAFYNPHRISTDPIDDDEEEDADDYEEDEDDKIDIEKKKPRRPGHRHGK KPKGDCKRPENEDKPHCVFRRLPRYYSKDSPSRSNKALTPLGYTQFYLPKLNEQKKKP EWEIEYTTYKKDVLVPSYSNGTGWNQPPPIPLGLLPEYDQTLFDNNENGSDEEITKKK KSKFEKVLKKITPWKMPDLTIPNYVKLSRKLVGDKDMWDKFQELM L199_004714 MLPAEKWYSSPTQNKRIYQWRGGVVPGEELTPKRSISKLQAHEA RNIHRGIDTNGGKRGIDDTVEIKGRVSTINLNDGSNSTRKDMQYPVPSALHQGRSIRH MDENRYTINNEDTNHRTFVEKENENYVERKDEMIEDNFVIHPFFPKDETKAQLIIESQ DQVRYFISASQARSTLPSLPNPTPVGVSSARNASHDQNENQVIRWNGHSDSITLFLTC LFLPHKFTSSSTRTDPINLIKLLPLVLDLANHHQFTSSFFPRFINILNKLNASPVLLF TAYALMDEFDKGDRYARLTIRSTTLNNIPSNLLTILEQHAPRYFNSLTKLHQEWDEAY SRLYIALVMDLAGINIQLVGFGAQCKKRFGRGCPGYISSKGNFKELRGRAADAASLCA RDNGYKAMNGKIEEAVHIEVGCETCAHRLINAFGAVMSKVFMGVRDGI L199_004716 MASTPTPTSPKSPPATQQAFQPIRPSPLSTPLPVKSHPRRSSAA ASEAASIASKLTGGYPGFKGLNEKGKGRILGLQDKLKYEVDGVVKRRSGGVLGRGYIL KTDHYPTGRAMDLELTIQGAPNFRAPDEESLNVFGVAQPTSAGLKSILTLLACQPNRP VRKLGSRRASVPASSQPTPAPGEQANGTSSQVSARTVSLSMVRSNDSDEGVQEGKAIW FSTREETLVYCNGRPYVLRDASTPYQTLALSDRADNLEDIERRLKLDILDEARKYGGM ILTHEEITGGIIIPTWVSVDEESLRTPKEVWEDMKNQGYRVDYYRIPIAPDTPIEHNY LDAYVSVLKNVDPLTTALVFNCGMGVVRTTFAMCAAMLIRRKQLLLQELDDPFSSATA SGMATPSQMPQAAQFLEQANLQQSLNKSLLKVTRVLDRNLPSKHPSTAIDLLTSQPTL LEQLRKAHMGSYQIVLSLLSSLDHGKQMKRLVDAVIDACDAVINLRENVIEHRIKYSV SSMDDKNKQVYLEKALRSLEQYFDLIVFASYVDEEDAGTTGVTFSSWLKNRPEIWNQI KIMRRSGGNRLFAFAPVNDLSLISRSSELAEKGSSARLDLEMAGAKVLGDEWAEHVVT NRAGIMLRASTLLKSDLWVSRSASSAEGVRGAIGFRQIKGSTIYATGQPTQDAISTIL QTVHQKSPNIHNVIWVCLREEPLVMINGSPYCLRRDSTALRNMRDYSGVSSSRLEVLE QRLKSDVVAEIDQFQGRVLLHTETADGEVVPVWESVDACDVASIREVMDDVASTSKDV HLQFVRIPITSESSPDFHDITEILDLCMRTDLTKSAVILNDQLGRGRSSTTAVIVLLI QQWLKSGRTRGSNSYSLTPNTPSRSRPPMLKKSTLNSPRTSWQVINSCLRVIRHGLDV KQAVDEAIDATATQFNLREAIEDTRQKAQEAKDPRTKQKLTEKGLHHLKRYFHLILFQ AYLDDRAPDEENPYSFESFIRHRPVFKTLEHDMQEGGLQSLAPIEKMDPADGMALPDE VTQVVANRSGAILSAQTILKSDFFSGLQKQSLPERVDGAANYRRLPLSLESAMREEQA EDANNENHHYVYGTGMPSSAGLRNALEKMDAGPSGKRKVTWTSLREEPVLYVKSRPHV LRLIDKPVTNIETTGVTAAVVERMEVALKRDVIRELRQGKGRLLLHDEVETKPGVYDI IPIWETLEENEVMTPKELYESVTQEGYKVDYVRVAITDEQAPLPVTFQVLVDRIAEGL QKGTDFVFNCQMGRGRTTTGMTVACLIASIASDDQALKLDFSDDESDHGEPIHETTQY LNGEYKTILQLVTVMSHGKEAKKITDHAINLMEGVQNLRKAVYDFKLQVDAAEPGSAK YKSQFTRAINYLYRYGALIVLANFLLETKEKGIPLKETDFPAWFDQHREIRSILSRKG LD L199_004717 MSFLPPKPDSEIKMEDHSSDSSDAELEAAIQVEPEVEPSEPSAP SASTAFKLPPNPTIPQDLSLIMEMVSKNEVVGSLPPISMSAAEKRKLVEQSLKGKGKD KDIETEIPQQKQQEEEEDTDSDSSSEFVTSSEDESEKEDVPMDQVQHQQLKQELDEFV GSSNQVEVDSDSDSDEDGEGDESYGGLNQMRFEFMEDSEDDGPATAGPITSLHEAPLP PVAQPPMVRLPEGEGVSLAGEVVSWMREKKVEVWLEKRRLEEEQGKMKEKIEEENMSE QKLDIKAEGTANEKDDVKMGATTIDQSNDKINSETEDIKEHISGVAALQTSKEEGEVI EGGIDLQSTFEAPDATKEHTKIAQQLKKTLTPSKAKAVEPKFTSSGTVVVRAMQSRPG AADEGWLEEGSVLCWEDGRVLGTVHETFGPLTSPFYTIRLPPLPFPYPSPQSLSAGSK LFYPMNPSYRSFVNMLTIRDPRFKGSDASNLYDEEIGEDEMEWSDDEMEAQAKRRRKQ RKGSKAPGSKHGTIQNIPGLPNRPHFDYDHDGSETASLHGEAEEDNWETGSSFSTRSR KEPEPYDINIDDSPQTQHSQQSPNQRGGRGRGRGRGQGRDRGRGRGGSGGGGGRGHGR RESNSHNSNNDRQQFSLPLNPMMAQPQYQQQYNPQPQQQYYPQQQQQQQQNFPYFPQP QQSYQSYPYPQMGFTQQQYQPQYINHNDTYEPNQPSTGMPSFPNHQQQQGYLQQQQQQ QNFGSQNVPAINPRFAAQYAQMMSNQSAQQGQAQAQGQQGYGFGYGSQNQDHQYSE L199_004718 MSEGSSSNSTAQYPAKGPYSTQAVQESIQREQIGLGPYASRPDG QAQVVGDIVAPPKHFRLLSLVGLAYAILNSWTAMAASLSIALPSGGPTAVIWGIVPSF IGNLAMAASMAEICHVYPTSGGQYHWAAILSPAHMAPAISWICGWFAVAGWWALTATA GSLAGSLTTGVIALLHPNYEVERWHIFLIYIAYSLGACALNIFGLRLLPKINQTAIFW SLTGAVIIIIVCLSTASPDFQSGDFVFKTYINETGWNDGVAWILGLLQSSFGLTGYDA VSHMVEEMPNPHLNAPKTMILAVCIGASSSFIFLICLLFGIKDVTVVNESAAGALLES MYQATQSKAGAVCLQIFPIIAMAFTAQGLLTASSRMSYAFARDRGLPFSRVFAIMNRN GVPIPSVILTTSLVVIFGCIYLGSSAALNAILSSSVVFLNISYSIPILLVVIRGRHIL HPPSLPAPTWTLGPILGPICNWVGLAFTLLTTVFFLFPPDLPVTGENMNYAVAVLALV FIISVITWIVDGRKNFIGPRDLGALLELARSEVDRDAINLHSHHAQPEANVEKGHGEA PVARID L199_004719 MSNIRTIVLNDGVEIPVLAFGTGTAQAWTDTSSVVSLALEKGYR HFDCAWHYKNGVYTGEALKNSGIPREEIFITCKIGSFDDDPSEFDTRKYVDAVLKDLQ VDYVDLLVIHADILMGSITQAWKAMEEIKKEGLAHSIGVSNFLTKSLEQILSICEIKP SINQIEFHPYSLSTYLPTLLPLCNEYDIKIAAYGSLTSLVRHRGGPVDEVVERICHER GMKETGGQVLLRWAQQITDGIVITTTIRLDRMEEQILPFQDDKSDDQLSQKHLDEISA AGAERPFRFWGTNWPYFMKGEGGIKACPEDATHRNKPGLNGGRGW L199_004720 MAPVTLPPSFYNSFWSPDYRSGLEKLFRALEQGCLEDDDVESFI DSQIKSHLILSQSLLNPPLPTVSPESSSSLQHTLLSLRGASSARGEAHRALAQELDQR VLSGFRNWKERHEVRVKEARADMLGKGGVVGVWEKENGKLGQLHQTYVNKSRAADDSE DDAKFAPATARSPPPDNYTSSPKVHHKSSSTNLRRAGTVADRISEKLRAASVQSSPPG IQSSPSRHRPVLSIDGKELPPPPSPLRPVISSPVGIASPTSPTREERFVPPTDPDGKP FIHSSSGGPPVPSKAGPFSPSTSTNAREEPILLSGLSLTPQALKDLLQRFDAYLQRST ILGTYEKTFSGEEIVEWLKENVEGFGGDWERCVEASSELYKLGYFSRIGVGRGFDSSE DTYFVLKTQQQQSGQSHLPGGISINQANEALASIGINTPLSPSTATNALPSLLKSYLP ASLGNSDEPQHVRLRKEANKADDAYKEGIRTAEERRLEMEESIERGLRLWERWERERL LAVKTVLKHYDEALAKLPQKLKELQQGTSLGVEAFNPEADIKALIEGNRTGPFRPHPH IYESLETDIPDVNFGIDLRRWSGEHGWKSLVSAPPRPRGAIPEALEALLKALTEMYEG VPDDERRRSWIYEVPLNETHMLRNAINNPQIPLEDLITIIKKFNLPIAAGAVKLYLLE LNPPVLGWEGWEDAKAVYPAIGADQERDMTSAVSSVLGRLPGSQLYVLDAVIKHFRDL VDNTKSAETNEVYVTKLALSVGRTVLRPQYENDLTIGDRTPSLFLADLINHYSALFPS LIEKKKKEADRIMPVRKRTALVDQRISRSSLSGEKDPQHLLEIQHSLQHPQRAVSPSP IGKNEAPTHLGSALGFGPPLEDLKNDSRNFTSAEKGKEKEQNVRVVSPLPPPESPVAP PAIQEKDEDRPPMFKEPESDSRPTTPTEGFVPPSTASYQPQNSDTRSSSPTESFVPPT SATAEDRVPTPPAVKDIVTEDGVIGGGSGSGGLKRATSGEASRLRGPRGARGPRPAGG RVPSHTGGAPSISAIVTSYADERPESPSTNPPESATGSGSARSREPSRPSSPAVGTPT SAPGSNDGGAGLKRASRTNFGHGTRGSVSAMAARFENKQ L199_004721 MDVPRIVRTQISTSELNPPQELVEAVNNATVGLLEIVKALGEYL TSTEDEVRLKGLTFLSNTMKAVNPTKINRQATQTLTNFYLSKLDDFDSLPPALGGLTV LSKLSTFDDDAAVEVYKGVVENVNMKAYIQATRHLVYVLFDSLLATHRNALKALGTSF LNSYTKMVDGEKDPRNLMLLFSIDRVILLEFDVKDHIEDFFDITFCYFPITFRPPPND PYGITADDLKLALRKCMASSPYFARMALPLFLEKFATLTGPSMKDLQLSIAACLPVYG ADAVRERGVELWEGIKTEILYSSDTSIEAAALSALESLIRTLYPTESDAPSGLAQDII KECLEILNEPDKTQAVAATKILAAIFRASPSAGKFALSQALPQLFRQFNSPSVPSHRS PILSTISSLLVAVQSVYTSPSSQRKQDEEKSLDPYHTGILDVLREGLRTEGLKSPAIK GCTACVQIPGFWGREDMENVVRGLDDVLVNDEDPEIRPEVIKSLTTISVHHPTIIESL TLPLLFHNLPDSAPPASDHLHREKYRSILSSLNELCVAPALFQTLVIRITTKLDLLST SSSWTSNEVDETMDESESEFDLRECNIAYSWDLLSTLQRVVDKKIKEKHQDLIRYFDQ IVPRLFTLVVGASTSRDDKTLFRDRRLIGIVARLVESLVWELNTGKQTQWFSAVYQAF EKGDWTGVVHNKSQIGLGGSPLRNGASSAEQDLIALYAGTIQGLKGDAALPFSSPETF SSSKIHWTINVARDGWQVKWSLDLICAFVNKRENVLKESVETILDSVWSVEIQDTSKD LEIRRRGLSVYLYMIKALSLLRSPLSYTAVERVIDILSLSNLDPEFVPFAAAGFGILA QGKGNGHLTAKLLYAQKLWNFVLPKLIEGDKEATGTGRLVYLVAFASLLPLVPASLCL ADLNTILPLILRSLSLPDPHQRVNAITTLTSILETASDSKEVDKAIHTHAEVMVDALL KSAVRQEGVPTSGKVRSIALSCLSLFPDIIRFETLHKHKSIVIKELGRTLDDPLRTVR KEAVECRSKWYRYGNAT L199_004722 MFERTLQDLIRGLRAHKASSKAQEDAFIAEAMVEIREELRGKDM ALKAEGVLKICYLMMLYPIPAPPEFAFHVVEVMSSPRYHLKQIGYLAAPMAFSGDTEE VVLTVNGIKKDLMSPHVPLPPLPLTALPHLLSLSPSLSTTLHPDLLHLLTHSSPRIRK RAVLCLLPCWEAFPEGLREGFPRLRERLQDSDQGVVGATVGVVMELARRQGGKNYLPL APELFGILTGSTNNWMLIKVVKLFAILTPLEPRLVRKLLPPITSLISSTSAISLLYEC VRTCIVGGMLDPDRAEGEALARVCVEKLGGYLRDEGGDQNLRYIALLAMVKIIPTHPS MVAEYQDEIMESLDDPDVSIRMRALELVTSMVDRDNLQTIADQLLSHLAPPESNTSTL PSAVASLTAIANQLSPTASKDPATTSTSVSLSPAYRLLLTQKLLSIISYDTYVNVTDF EWVISVLIDVAYVSHVDAGQEIKRLVLDVVGRVKSVRQYAVGVLEKVLADEDLRDRGR DGSGEDGLIEAAIWVCGEYSSYLSSPLSAISSTLSPTLHLSSSSLISFSLHAAAKIFG HYAASISSSWSSDKHEECKALVTSIKSGLEPFISTGDIEVQERAFEITQLLNFVQADL TNHVPPSKTISSASPMSDIPEIEGGFADSDLPKDGNPPYPKSLFLFEPLFTSHELNAV AYKAQEVVRIPDELNLDLEIVPQSGFGDLSDEDEGSEEEKPAIDLGEGGGEGMEELRR VLREQEKKGKRREGETKEERAKRRAARKAKHRDDPYYLYDKNEDAQEDDMDEIPIVKL DDSELALQDPTSTSTKSKSKSRTKEKQKKEKAPPPEFDRTGELPEGSTSTNIQQNTKK EIDNVDQASERLAGIDLTANTSTSNGGSSGRFEEYKEADEDESRKNDDLPATPTAEIE VVKVKRKKKGDKKRKEKGVEG L199_004723 MDASIRGENLKYFLRLLQCAAKYGDDLNIHASHKAWELSVTNSN KSAFCLFKLDKKFFSRWSAKSRKGIKCQLLVKSVLAVLGKAAQINTITRLDLRIIDPS NELRPLQHRKDRERDTRSPSVYHGDGDDGHGNGNGNQNDRHFGDEDDFTDDEDARISI ESKLIVRLVCKYGVTKKHSLHLGSTDFLRADVDPDTTPSGFVIATRTLRDWLENFALS TGTSYGGNANTGGTDQLGWMFTKKEVRVKSWEGLGGGGLCTEIKVDPEEFQDYEVVGD RIDLTLPMKEFRATLMLAEQLSATLNVSFSEPGQPLTLTSLDEEFGDFSIFCAIATTS CEVFKDVRSPSVEIKRSNSDAPPGPPPPSHGRNLSSSSSSSGRKRRGSETPSQFRKKS SLNLTAAQEPMSTVYLAHSAVQRNTKAQDDGQMDIDHPPTQNGNVDQEALFLPGASQA PASPDHPPRSQAIRMSQAEILEHAGLGDMNLEEELDLADQDDEREMEEEFARSQIPIE EELVRPSSARDHPSSSNDSPLKEKDKGKQVPSPPKGSNNTSNSGSGSGNKSTKSHPAW VTDSISDNTTSDSGLVWDSTIEDPSPGSPLKQSRSRSRISTSSPQKGRSPQKGSPSPT KTPGNGKDGQSKSKGSTDPEEYDELTDEDEQMGQPTQVKQGSSKFTMLFDD L199_004724 MSTRGNSANIVWSHVSQVQIEISHEFNTSFARSTDKSQSARSSC LQEAFDTVRRSNLDWFLNRTLRDKYQEYKTNVLKAAIEPEKIWDDNHTVDQLLASKGS EYLNSLLSLQSSRSENSGNKGIQSVSPIPEASESEDAAISTWIDGIEMLSKDVKSDTW PTTNTRSSQM L199_004725 MSGFSSQSLWSTLTGQTTPSNSQTELHKDCSALIESLKLERNEF EKKLKASKDEVSSLKRSLEGAARKLRLTRGYHDQLELYQGILVDRLSVDVGKLDSQYF SNTQESASKSGSGICSSSKENENNKLSDHYESDIRKLVDDEIQSIYNSAIDHSLPVQV CLWEGTRWPQVEITSEDFPATEGERRYEISFGNDNPELATDKDYGSTLSEIVKRRTLT FVSRMSEDVIKSHL L199_004726 MYVVTKNHSFYSPISPDIPPLEVILGCGDPADLLPPRKDQGDVY DSASVTVTDSEPDDDLDFDTKLGQIYHDNNDNNLVNSSNSIGTTNIQQISQSVHTIDT YTINNEHSGTYHNTTNSNNIINNHHHQRQYVDRLMDDIIRNNSFIMNNANFINKRDKS LTSSDSHEDDCATDESEFGGNTSNNSERHSHSDYPLTPPTSSPTPEDDDPPRRHPPQS NKNVDANPFSTKSLDLRRLPLPPSGGESTSMASKRKAKDKGDHRGSKLKTKKTGEKGA RTKVACQACRERKAKCSGDEVCSRCLQFNLVCEYEEIRRRSPSPKSSSRGKISHTDFI PHQNVPEVKDETHDRTRSEIGPEGYLTPESGDRSLEEEEVDDVGEEAGRRFDREGKKK AREQ L199_004727 MSWQPSEQGLQEVLGMLRDTSSTDSEVQRNVAQRLDQLRFVPDF LAYLAHVLIHCTGEQDSHRAVAGLLLKNAVNQRTGPAANDNDARAMAYVKSTVLNGLA DPDQIVRQTVGTVITSLISNEEPGGWPEALDALTKGMASSDPNIIEGSFNSLQKICED APHKLDFQIQGKNLLDHLVPQFIEYTAHGAPKIRLYALQILQSLLAIRVSAVTANIDT YIQALFAKAADESADIRKCVCASLGLILGTRPDKLVPEMSNVVDYIAFCTQDEDDTVA LEACEFWLTFAEDSTLKDQLRPYLPKIAPLLLKGMVYSDWDIAILDIDDVDEGVADKE TDIKPRNYSSKVHSTHDTNDPSSSKSAGGGLSREAQDKAFDENEDNLDDEDEDDDDYF DDEDGSGEWNIRKCSAAALDVIAVSFGNDLLEILLPYLRDRIFDQEWTQRESGILALG AIAEGCIDGLEPHLPQLIPFLLKTLQDKKALVRSITCWTLGRYASWCVHVNKEDKTEY FIPTMEGLLQMVLDGNKRVQEAGCSAFATLEEEAGPEMAPYLEPILRNLTFAFSKYQQ KNLLILYDAIGTLADSVGSALGAPGYLDILMPPLVERWQRLGDQDPDLVPLLECLSSI SIAAGGSFSTYTGPVYQRCLNIIHATLTQYQSFEQNPEEVDEPDRTFIVVALDLLSGL VQGLGEQMHQLIRDSQPPLLHLLALCLTHFEPPVRQSAHALLGDMSMTCFPLLKPVIP QILPSVIEQIVVEPPADCVSVCNNAAWAVGEIALQFNGDSAILEPYVPALIQRLVPIL LHSKSPKSLTENAAVTIGRLGLVCPALVAPDLANFAQAWCTALWEIKDNDEKDSAFRG FCMLISANPEGIQNSFIFFCNAVCKWQHPSSQLDQMFRTILQGFKSGLGANWDAQTST FPPVIKARLAERYGV L199_004728 MPPRRSSRVSGASTISTSSAPPRSSRSRKSVSTAPPPAAESEEE DEQSPDEDDYEEQDDVKPKPRRGAGSKAKSAPVPKKRARKMRVSEADSTVGAKEDAVP DEEEVEEEDMIEHIVSKPKKTTSQVEKKKKVIVSDDEDDAEEELQEALAGRNRRASTA IPATPASSLNIPLPDQVRNRNVTPMAPLAQIPESPTPNGNGPSGDDSDADSDGTAKID NSPSKQQSSSSQIPPATPLPNRPSPPQINIAPPPVPTPAGPKPRLTIHKLVLVNFKSY AGRQEIGPFHKSFSAIVGPNGSGKSNTIDALLFVFGYRASKMRQGKLSELIHNSAGKE GLETCSVEVWFREIVDLPGVDNFLLVPNSQIVVSRTAYRNNSSKYTINDKTSSFTEVT TLLKGKGIDLDHNRFLILQGEVESIAQMKAKAQNEHEDGLLEYLEDIIGTTKYKEPIE QANLEVEALNDERGEKMNRLRVVEREKASLEDKKREAEDYLRDANELTRKKSLLWQHH MHTLQNNIEITTKAIEKLNSDLTSEQERNADHLSRIGDLRKEYDERLAAYEEVKRLTD ALLKDAKKFEKEEVGLAEKKKHLVTKQKKFKKSIQDDGHARSEALSAIENHNADLEKN RTKVADLEEKLETEQAELEEVVDDLKDKTAEFTTQIEVKQRELEPWTAKISEKQSLID VATSERDLLAEKATSMQIALDEAKANLQALKDGDEGKHEEYDALKKEHVKAKKELAEA ESRLEDMNARSEQLRANVSASRSKADEAKASLAADKSENAVLSSLNKLRDQGRIKGFH GRLGDLGVIDEKYDVAVTTACGALNNLVVDTVEQGQACIEHLRKGNVGRASFMVLEKL PPRDLGRIDTPENVPRLFDLIKPKDPKFAPAFYKGLRDTLVATDLVQAQRIGYGKKRW RVVTLAGQLIDPSGTMSGGGAKVSRGGMSSKFAADKVAPEVVARYEKEASIAQDGLVK FQEEKKQVEGEVRQLKKRIPEIEIQLEKVELDVRTQRKRIEEGEKRLKELISQAKPDA DDEKRIKQLDSEISTLSKETDKLREKSSGINEQIKSLQNKILDVGGVRLRAIQSKVST TKGLLDLANEAITKAEVGQSKSQRDSAKLTKSIEKNQLALEEVESELEVVENDLKECS NDLNVIKSKVQEAQDASEEGKEALEESKKELDEKMQGINAFRALEMDIKQKIEDNARI QKDSKDKYKHWRKRHEELELVYIDEDDEEEEEDEQVENRDAAEPEPQEGETPAEEGNG VEGEVEPTEKKAPKKKKENSTELVEYSPDELREVDKELLNAEITELEEEIGKARPNLN ILAEYRKREAEFLDRARDMEAVTNARDSAKQRYDDLRKVRLDEFMSGFTAISSKLKEM YQMITMGGNAEIELIDSMDPFSEGVVLSIMPPKKSWRAIANLSGGEKTLASLALVFAL HVFKPTPLYFMDEIDAALDFKNVSIVANYIQSKTQAAQFIVISLRNDMFELAHRLVGI YKTSNCTKSVAIDNKDLRLQARPKRPPGVPPTPMGAGRIPSTPGTVYRRIPSTPGTVN RMRVMSTPSFNRMPAIPQTPSVVRRDHHKIIEEEEESIPSNPGTVVA L199_004729 MSIRIAALRAARPASSRPKVSLPQLSRRAYSTPSSRAAPSYRGL GASAATGFAIALGYTIYHGYNESKQVRCDAAPLVDAVDTYGYPWLPVDAIEKDDPKNP MRIRMANWVKSLQDHIVNTMEEIESASEPNSFSPSSESPKFLRDTWLRKEGGEGSSCV LAGGRVFEKAGVNVSVVHGSLPPRAQKAMLPDHPSLPEPIETVPFFATGLSIVIHPRN PHVPTVHLNYRYFEIEDPQNPNEPKAWWFGGGSDLTPSYLDEDDCIHFHQELKKACDK HDSRYWPDFKKACDKYFFITHRGESRGIGGIFFDDLTTSSPINQPPVSTMKHKTKEDL FEFVKDASSAFLPAYVPIVYKHKDRKWTEEERRWQQLRRGRYVEFNLVYDRGTKFGLH TPGARVESILMSLPETARWEYMSPVGAEGTNTPEEELQKVLKNPKEWV L199_004730 MLSAVIRVVARSAAIQLEEVAALSTAASVNSQHTSKGKGKEKEV VLDPESSVDQVQNDLTKLQTLSDILEQHESRSTPLPPASSSTSSRRLSPLDRLISQAP HSPPPPAPDTHPDRHIEAEQRKKKGLPPTSLSYTPISPSPSKPQTKTVSSSTSSTPRQ PPTNSIGPSLNDLIKASPRQNPPPAPDTHPDRHIEAEQSRKRKLETSSASPSVSVQST ATKEENINWSNTESKSKPLDELVNASAYSIPTPPEGVSSNVEGPTTNSAASGGIKQVE KDVSIPAGLLTEASQPIQLNTNSAISGESSPAQPIETVDGLEDRGADPTSLKSQLDDE AEIPVVLRASKVPSSRLGRLFHYGSLAASLSIGAASESIRRTAGGNKSSGSVFMSDAN IRRLVATLGRMRGAALKLGQFMSIQDNHMLPPEIEQVLHQVQAHANYMPDWQMEKVMR EEFGSDWQTLFSSFDRTPIASASIGQVHRATLASTDETVAVKIQFPGVSSSIESDLNN ISLLLRSSALLPKGLYLQNTIAVMRRELQDECDYVMEAAAGRKFAELLKDDEYFSVPS VVEEGTTGRVLTTSWMDGKPLSKVRGLSQEARDRIGTNILRLCLMELFQFRFMQTDPN WANFLYTNTNGKEGIQLIDFGASREYTKEFMDGWYRLLKSCLVGDRGMMREESLKLGY LTGEENDVMVEAHLDSMALVASPFSYSGKYPFAKQTITDSVRALIPIMLKHRLTPPPQ ETYSLNRKLSGAFLMCAKLGANVDCQKLWEENVGNYKEG L199_004731 MSFFAKLGSDSDSSSSSGSDSEESILSGDEGLAQDRKLAEAKKT KNKASMFLRSDAEDSDEESEEDSDEDEEELSDSEDERAARGNKFLMGADSTDEEEEEE DKTIVLSAKDKRFAEMEAAIHNITNATRNNDWVLASTELDKVFRFIQRHQVTVVATTV SAAGHIPPRFLEILVSLEKDVNETIASEKSAKKKMAPAKAKALNGLKQTLKKKQKEFE AVLKTYIEDPSAYTAAYEAANAAPAPKKVAKKVQIADGGEDQDQNEDFMTIGKGGKAL NLTPEGVFKTLREIFEQRGRKNTDRAETIKILSKLLEVSETTYQKIRVLLALVPARLD YSQNLAHIPHDSWVLGLNELDQLVTLLLDNPDYVVQETVGEYDDLVEREPQVVNGKKE RVTVAGSLISLLESLDNEFTKTLQHTDAHEKGSDYIERLRQEAPLYTLIAKAQSLFER EATTDSTARAVIRRLEHVYAKPNIIIEHFESKIPSSLQSKIVPTETKRDAEGLIHDLC VYIYGSDAPVLRARAILFHIFNHASHGRYHQARDLLLMSHLQDTIQHADVTTQILYNR AIMQLGLAAFKLGYVTECQTILGDMFATQRQKELLAQSVQRYQQQLSPEQELIEKRRL LPFHMHLNVELLEAAYLTSCMLIEVPLLASVDTEEQRRRVTSKVFKRLLDLADRQAFM GPPENTRDHIIKASKALQAGEWEKARDLILSIKIWSLLENVDQVKDILAKKIQEEGLR TYLFTYSSYYASLSLSHLATTFSLPQQTVTSIISRMIYTDELPASLDQIDKVVIFHRV DQSEVQRLAQQLAERTSSLLEQNEKTLDLKLGSNQQNTNDQRSGQTTSAGQEGGNKNE RRGNNGQRGSYRGRGGRGRGGFNAGLGSTMGRRVTAQ L199_004732 MEGFGLPMSFGKKAKPTASNLKAKVDNTKRFEPKPEIPKKETSE PGPSNSPTPAIEVTTTKAERDEEDEEIGPVPPSAAGAKRKADGEAEANSEEDSDEDEY DDEEEVDRTPISHEIILKDHTKVLSALAIDPSGARIATGSHDYDTKLWDFGGMDSRLK PFKSFEPNGNYYVHDLSYSPDGRNLLVVSGTLQPKVFNKDGEEGVEYIKGDVYLRDMK NTNGHTAEINGGAWHPTDNSLFLTCSSDSTLRIWDIENKRKQKQVIVVRSKERGARTR VTSCAWSPDGKMIAGACFDGALHIWNTSSNYARPNYSNDNAHAKNTETTGVVFSRDGT RVATRGGDDTVKLWDIRSIRKPLAVATGLDNLYPETNIIFSPDNKSILTGISAPKGQK GGLVFLNSQDLKEERRIAIGEGSVVRVEWHSRINQIFASLSTGALHVLYSPHSSIRGA LLPLAKLPKSAPRDPSFSTADVQPVIYTPDALPMFKDKGHRESLHQKEKKAKKMKPME PVRGAGRGGRLGASETQGFVQTLFPSEMVFEDPREALLKYADKTDKKDEE L199_004733 MHASIQANRSVVTIKTVTASGTAEAAESRSSDPKNDIVSASLPP CILAKANIDTNPFKRITGMDGRELYTRIIDAKAVELMTPTGGEWTDRLISKDRADKRL MEKNQGTIQIKRPGNGISKFAYKVLMPDTAWYEARQEQGLKYGEGGVKEDRGDDDVML FSVFDGHSGSGTAQLLKTAFHACLAYALGQAKLYSDDVMNYPERVAQIIKSSFNAIDD DIVQAPLKLLYPELEYKLSGCLPPQPPSKLCGPELIPSGSCALNMIYDATSRRVYVAN CGDCRAVAGWWNPKEKKWRCDILTEDHNGHNPKECDRIDNEHPEEERGHIMKPVPEMR MFGQLQPTRVFGDHAYKWRYDDWVEQESPQRTFKKEDRKFRKTPPYATAEPEVVWRDL HANEEEELKFVILATDGLWGRMTSEEAFLLTATTYNYSKLHNRQIHDKNQIMEKFFPG FLEKIDWGDHPFPTEQLELEGSWDLRNENAPTRLVRNAYGGNDVLARRQILSMGHDVK QIRDDTTVLVVHFGVDLPKE L199_004734 MSSGSFGSFYPRLNAFGSGIDQLKEQLGNAQTYAQHLERRLENS QDRLSQVIASAQKVDGYIHDLTSRLSVQAAEDMLYYMTSRQLDPITLDATRLRYLDQT QQILASRYSKAFLPKVREDVWSSWREGASTNEDLSQVLEAITKRPDVTCADLERVRYN VSYGQPPAECRPDSDLANITTRKTFICDNFIGKWEIEDMIDKVSKGLFT L199_004735 MTTNPKDWNYTPSLPRKDSFNPSRIYIMSNNPDDIATPTLEPDA ISSIPSPSPLPLSDSVYPPPSGGCDDMTCLNTSATSPSSNRNMENRHYALTLSNRISE ERTRIAEEKLFDTQYQLDLALKTVTLVHTTTSKLLDKVSYQMAQQDFGGCMEVDHQLS HYAPNVRERMVRSLSGGSYQKLVEGLVESKVKEVWERSIRFGSDPEKTLQDLLETSEV RVRGSYEPDSTKRTLLHGTELTKEYLSARNYSLNIPNEETEIELSTLGRNTYDLMVYF KNSLGAPTLPERKRPLWPDEYTSR L199_004736 MRAQNVVSAAGTSALMLAASAAAEQAVFHPTSLTAPFIEQFNEN IADSRWTISRATKQTPVGDEIFSYVGQWEVEEPEVYPGIQGDRGLVLKTKAAHHAIST LFPEPIDPKGKPLVVQYEVKLQKGLECGGAYIKLLTEGEGGEGFRAGEDYTDKTPFTI MFGPDKCGSTNKVHFIFRHRNPITGEWEEKHLKNPPAPKITKTTALYTLIVNPDQTFE ILINDESVKTGSLLEDFTPAVNPPKEIDDPEDFKPESWVDEAEIDDLEATKPADWDED APLMVTDTDATKPDDWLEDEPEYIPDPEAEKPEEWDDEEDGDWVPPMVPNPKCEEAAG CGPWIQPKIKNPNYKGKWTVPKIPNPAYKGPWAAAKIPNPAFFEDLHPSDFTKIAGIG IELWTMTEDILFDNIFIGHDASQAKKFADETFHVKKPIEKEAEGSLEDEDLDHPNTLV DKIRLKVYEFIHLASIDAASAVKQMPEVAAGLALTAFTTLGMLLALFGLIGSAPTKVK QTTTVKKTPSTKAVAPVAPAGEEEKKALDDAGVPVPNPEVTNPEVRKRTTRSTKD L199_004737 MIPPEPPKHTYASTHPHPDHIDIPHTEGPPAPATDDLLESPPLV SSADRPPPSRGILKNPLRRGSGMGEEGMVVDNHLQWDEANIALTEIQKDSLMKITEPK TPYVRYDAENDRVLDNDIPNFDLEHDQAPKSPNTPLDPGRGSFPGTPNSTAENTLFNS QAQQPQDEVPRRPSVSSSSSRSASFSLPTRDRPIRPGGKPNIPGPEALGATAANTAAN SGEVFSDSEDEMDEEQKAKHKEFAKKRNNHYSKEAAFAMKKARELLRKEEDDEQEEEG GVNGVANGKMDIDG L199_004738 MSIEEGAPAISKEEKKRLKEEKRARKAAKAATAAGTSGESTPAE KEKKRKSSEDAVEETVKEKKEKKDKKKKSKPIGADGDAQPSETVGGADEPPKKKTKKS KTEETEESATPAESSVPSAEEPTPVLSKKQQKKLAKAQAATTTSTTGPSSSVPSISTE FTAEHNTYLTSQNITLTPSVYPPILSIPSLPIDPALQPFLKSFSKPTPIQACSWPALL AKRDVVGIAETGSGKTLSFGVPGLNHVSSLPPVGKKGKGQIAMLVLAPTRELAQQSHD TISAFGKSVGINSVCLFGGVGKYEQINELKKGETRIVVGTPGRTLDLADAGDLDLSSV SYLVLDEADRMLDQGFENDIRRIIAHTPDHTKGRQTVMFSATWPESVRRLASSFLNDP IRITVGSDELSANKRIEQVVEVLDNSRDKDGRLLYHLRNHLKAHPNTPTSPTRILVFA LYKKEAQRLEYTIRKANYNVGALHGDMTQDARFKALDLFKTGKQNVLVATDVAARGLD IPDVGLVINVTFPLTTEDFVHRCGRTGRAGKTGKAVTFFTGENHEKSLAGEFMRVLRD AGADVPKEMDRFPTTIKKKEHGSYGAFYKDTSDAPAATKITFD L199_004739 MSLLAKLGYESIPSEVAKKSFYDLKATLPGSKGELDFATLKGKV VLIVNTASKCGFTYQYDGLEELYKSYHDKGLEVLGFPSNEFGGQEPGTDDDISSFCTL NHGVTFPLMKKSEVNGKNMNEVFAWLKSQKGEGVGGIAGTTAIKWNFTKFLVDKQGKV VGRYGSSTKPEKLKEEIERLL L199_004740 MASGSTASASASASAIPFTPPHPLSTTSPQTRTQTPYTPRSHTE TTPLVRQNLNEVINSNSGSFSVIRQPGDRDNGDMSKGKYQDWEEQFERSKLKEWEEKV ERDLKGWRGGNGKPRSYYALQALPPHSYFHQPITGTIGKHLPKEIVRIERDWSGGEVC QFDTVYPMELEGRIQPHQISEFITTLNEILYSAYSVRATIWDNLIAVGTLWTSLIWRD SHFEKELQRAERFIEESNKRLFNPQGLNVLSPRYVALQFVLLAK L199_004741 MTTTPQPIHASPSTSISERPLSAPPLPRARDIPTDFKSSLSAWW STSSYKEARLAEERLLRRMAAFEPSSAPAQQISKGWFGSSSSNKQTINNDLPETEIGQ IKEPLPISSTGLVATLRNVFIPTPNPTLAPQHPADPRDITPGSATSSSTDLSGASAST KEKKKHHHKCKKGEEGKMVDFINTLEITKPQDKDSKEAVVVLHGYAAALGFFFRNWES ISLSSSSTGRRTFFLDWLGMGLSSRPSPSLLNSPSNTPIPSRVSRAEHFFVSSLESWR ESVGVEKMILVGHSLGGYLASAYSVRYPERVKGLILLSPAGIPHGPEYVKYPLTSELT SRDNVQTQTRSPPETPQELDDATDAAEAELNSGPQGEAKEWAKRREESFVRRNMMKFF TWGWERGMSPFSILRSVGPFGPLWVGKYSSRRFAAQSEEDVRDLHSYIYGTSIMKGSG EYCISHILAPGAYARIPIVDRINRLKVPVTFMYGDNDWMDVKGGEDSVKLLEEAGNNL SSCHVIPKAGHHLYLDNPDYTNKLIDKTIKAIPKELS L199_004742 MSRPTAVSSPPTTPPTPFKFDSIPDAIEAISQGGFVVVMDDESR ENEGDLVCAASKITTEGMAWMIKWTSGFICLSLPPSRLSALSLPPLLPTSGKSEDPKG TAYHLTVDANSSKHPVSTGISAHDRAYTARLLASPDSQGNDLTRPGHMVTLRYAPGGT RKRRGHTECAVDLCYLSNLPPAGLLCELVHPTKEDGSMARRDDCWRFAREWGLKIISV EDLAEYVTKHGKGLVPEAEEHQV L199_004743 MPPRRSTRHSLPTPAHSTTSSTPGKTDKSVANGDSSIISSAKTK DKKSAVQPETPTSLEDEDSIAIALGSEISEGSEEGIVEYGVKEESPESPFTSTTEPSS SKRYKLESVTLPSRRSKLGLDKTDSADNMTLESPTNSRGGQANGNGLVKVENEDVEMK DEPEQEESKPSTSRSRKGKARVDPAEQAPFNIGKFSSVSKAQTSDLEFDAPKTTRGRL PRASKGKAKKELTPPLTDEETEFEEDAGYAMDSDEEEKQLKQAIKASAQKSKTRSNAS NSGTSTPTKSTRKTKGNQAALRAAVAKAAEKRFKGTNGTNTPSTRGGDMTPRSEAATD MTPLSDDDSGLSTVLSDISADEAQITDSEDDVSDLDFSSDDSLDVKPRKKPRKSGENG RFAGKGRSLADGKWIEELADELGSEGEGLDPDEMKMMNLRKMREKARLERKRYEEAAA PRKKKEKELSQKLGRKLTNGEKNLVALSMSHPELEDVWGDLQANVEPVKPVTMEAHPS LKLTLLPFQKESLYWMKKQEEGPWKGGMLADEMGMGKTIQTIALLLSEPRRKPSLVVA PVVALMQWKHEIETHAEGFTVCLWHGQQRMKANELKKYDVVLSSYGTLEAAFRRQQRG FKRGNNFIKEKSPMHEFEWYRVILDEAHNIKERSTNAAKAAFALHATYKWCLSGTPLQ NRVGELYSLVRFLGADPFSHYFCKKCDCKSLHWQFKDKRTCDSCGHKPMDHVCFWNTE ILTPIARYGIEEGGPGHTAFKKLKILLDRMMLRRTKLERADDLGLPPRTIVVRRDYFS PAEKELYMSLFTNAKRQFSTYVGEGTVLNNYSNIFSLITRMRQMACHPDLVLRGRTGA LVKDAPEGTVCRLCNDTAEDAIMSQCHHVFDRECIRQYLEVKQARGHKPECPVCHIEI SIDLEAEALDLEDNNKKARQGILSRLDLQNWRSSSKLEALVEELERLRNKDCTIKSLV FSQFVSFLDLIAFRLQRAGFNICRLEGGMTPQQRDATIQHFMNNTHVTVFLISLKAGG VALNLTEASMVFMMDSWWNPSVEYQAMDRIHRLGQKRPVKVVKLVIEDSIEDQIVQLQ HKKLAMTEAALNKDPDAALGKLTVEDLGFLFKL L199_004744 MAQVTPPLRRRNMKPRQDVSSAAPEASSAAAPSPSSSAETSPTT SAEPESSSAAPSSAAAEPSSADPSPSASPSPSPSAAPSSAEQSPSASPSPSASPSPSP SPSAEPSSQQPSSAPSSEASQSPSPSASPSASASASPSAAPSSQQQSQSQSPSAEPSS TPGGTTTTITVGQSSTQQSQSASPSAGESSQPGTTTSTVIVQPSNTSSESTLTSAVGV VTTTDSEGRSTTSTPSEITSSYVTTSDGQVYTVTRIVHNPTGALDSGGSGSGSSTNSF FNNKGAVAGVFVVVGLVVVGIIFALGLLCFRRRRRQRLDREVTAAAIAASSGGAARSP LDEDNEDYHSGSGPTSESYPSTVNQPMTQYGQYGASYGNAGGYDPYAAAAAGAAGAAG GYGATHHTGGYDGLQAGNQGYYFDPRDAANYQDAPQDDSYLNAPQQGGYNDPYGGYST GGEGSVDTPNNEREDPLRVANPSHGRQ L199_004745 MLSSSLKRSNRDTVSPRSKHRAEHLSRSAAAAAHASYYAHPSLH LHTYLSKENPVILDDQGQIISALNEPNQPSLSTLPQSNEKVKNTNVSNIPHANAEKDA EVGPEGTKKEEGGKEDAGAPSGMDKGALQVNGEAGGTITSPGPALEDVLKFKEVQNGW SQHQHGQGVNGNLAVPAQPQGDGHVERPELGRHWSVSDRMNPHLQLMCGPLLAYYTVK NDIWQGGALVVVRDDGSDLSSLPYITLTFHPHTPPSAEDPSIHDSTIHLIPSQTVQAR LIHVYSSRDGNMSFFRFIFEIPLQHTQMVVRYRLNGGAEMDFVVPQKGENMRWAAHSC NGFSSGVNPDEFKGSYPSGYDPVWEDLLLKHHEKPFHCMVGGGDQIYCDALTREPEMQ PWITAPDRKSKLATELTDVIREAVDRFYFNHYCKIFRSNAFGRANSSIPMVNMLDDHD LIDGFGTYDDETQASPVMSFVGSRGYFWFLLFQLFVSDEVDGVDPTPGTHTLKSMIVG DEPGPWIPFPSHSLLVYLGPKVTLLALDCRAERKLNRICTPQTYAKTFAEVRKIQGIE QLVILLGVPIAYPRMSFLEHFLDFKWNPVNILARHNAMGLGGMVNKFNQASELLDDLN DHWCANTHKKERNWLVLECQKLAREQKFRITFLSGDVHLAAVGCLFTYNKGKKRFAVK PEEDHRYMLNVITSAIVNTPPPPGAAKMVSMLGRNKHRTLPQDTDEIMIPLFLKDTDG SASKLKTALNRRNYTAVEYNNNGELVFDIRVEKSQGAGETVSYPIKAPAPRW L199_004746 MSDKLPNVFFVVAINGKELGKIEFKLYDDITPKTSANFRSLCTG KKPDGTPLPKGFGYKGTTFHRIIPEFMVQGGDFERGDGTGGQSIYGDKFPDENFEKKH DKIGLLSSANAGPNTNGSQFFITTVAACPWLDGKHTVFGEVANDQSLKLIKEIESKGS KDGKPKEKVVVVNCGAV L199_004747 MFARSVRPALNVARVVQQQSQGMATLREIEQRLKSVRNIEKITK SMKVVASTKLTRAEKAMREAKKYGAANNELFKHTEIESEEAPKILYVGISSDGGLCGG IHSSISRAIKKEMAANPGTLAVVGDKPKSQLARAMPQAFKVTFNSVGKDVPTFAEASA IADEIVKNGGEWDEIKIVSNKYLSAISYESGVTSVISAKALQAAAGFQAYEMEEDVSK DLAEFALANAIYTALVEGHAAEISARRTAMENASNNANDMMASLQLQYNRGRQAVITN ELIDIITGASAL L199_004748 MKKKKKKTVVADVEESPAPAPAAEAHVEPTPAATSSAVPEVDSG VATPVNEGEGEKPAEEAGDLFADMKKKKKKKKDIPLDLESAEPSSSVTEGLDLTKKKK SKKTAAFAQELDDLDNENDEQNDEAGGDEGDLGDDVFSKSNNANGEDGSSTESGKEAW VVEGREATYPELLKRFFGLLHAHNPELAGEKRRYTIVPPQVAREGTKKTVFANISDIC RRMHRQPDHVIAFLYSELGTTGSIDGAQRLIMKGRYTQKQIENVLRKYIVEYVTCKIC KSPDTLLGKENRLYFMTCESCGSRRSVSAIKAGFQAQIGKRVKAA L199_004749 MSNKSQPQLQGQGKERVELDKERLQRKGNEVKSTLTPVKVYLLF YNLISALLWGHLLYIVLIFIITPRSAASSIQHAPSSFLARLFPSTSSTPSPVNRLIEH FSGSYDFKGLGWKTKYVQSLAALEILHTALGWVRSPLGTVASQVFSRVWTVWGVVEAV PEVSHSSPLFTTMLFAWSLTEVIRYTFYFLSLLNIQSPFVNYLRYTTFIPLYPIGASS EAFLAFSTLPPILPVIGNLISGLPPKAREVLLKTHIGRNLLWNLAKSSVTKNVVNTRS WGLLEVFRLVMFFIWWPSLYVLFTYMLKQRKKVLGGKKGKVVGGSNKAR L199_004750 MSTEPPSAPLGPLSSLPVGYEPLKKFKILLLVTSINSFTQRVIS YLQYLGFEQVSVQLATSDEDMLDAAEGWDADLVLCPFLTKKLPASIHTRWITLVVHPG PPGDAGPSSLDWVLMGDDGSITDPTQALDTLINTSTADRESTQRSHWGTICFQANEEL DGGAVWAWEQYTLPPIGSITKAQLYQGQHSIGAISAVIHSLLRIYDSTVGQGIEKKDW LKVLPKAEWSTRCVSLQKEFLGGKTLDRPLFQSTKRRPNFDVHTAQDILRILNASDSQ PGAMISPLTNNSKSSLFAYGAHVHNDLSTIPASLYVSLGYESYSEIPNGKIIATRQGA VFIKTRQLPGLSVGAGIWITHGRVPRGKDKPIDPKIPMVDAIRAAGHQGVLKEVTEWE QSTWMERKGEWQEVFVRSVEEKDGIAQLVYWNFYNGAFTTSNCQYLLKALQWATSPER GNVKLLALMGGNYFSNGIALNTIEHASSPGAETWANINAIDDIVSFLVGDTASEVPDF LQGVEPLCKRGILTVACVRGNAAAGGVALAAGCDVVLASRGVVLNPAYRGMGLHGSEL HSYSYLKRCGPVHAATLLRAMKPIDSTLARDWGLVDIEIGSATQSLSDNEPLFVETIK TILNGKCQDMFSPTSNFKCAPWSRPTSSTLEHLDDESMIEEMCAQKVSTYSEVTRDFP PLLHYRNEELSQMLLDSFHPVRSNRYHSRRYKFIRKVKADSTPSRYIIHRPAKADEED TKEFDDAPGWKRGEEWGYVNMETPRSLESSEKTRIDIFHQPHQQQQPEAQGIEKDQVP KSVGIERKHSEAPTLLTMPSLSAHPISPTMSTSSSAEGPLPTTPMLLDSPPRRNLSTT TTSPQNAKMEMSTSNSVNSKRLSLFRSHSSSQSPISTSTQKQFGVLDTKGVEKEKGKK KDKGTLKSLRKKFRSVLKNLNGDSTSATEKKKISSNGMGMGPSRSISSKSIPQTRSTN NSISTTSQTKKDDVPIQTQTQQGTCEWPCLTTGGEEVDELQGQRERPRVEQVA L199_004751 MPVAVKTSRSGAETGSQDSGAISTSTGGPTTSQHEDTCETTSFR RWTNICKRLSGVIASVEITRLHKENWGTEPSDDLLRRAIPRMAPSYLDKVSSIIKSKC SDLSQEWSAAGHDPAAKLDQLSEDAANDRFSVTPRSGQYDNSSDGYEMHFAGHTAMIY RPVLTNDDTLQHTIVGVKRQLDQESGQWTQDSAVGKALAGVV L199_004752 MSHSHDNSNEEQYLHLIHLTERGETNTTDDQTRARRLQDLRRGM QYSRSTERMNYAPPSESSSSTNIVTYTDQTTTSTGGTTNTTGQPTTTHDPNPLRALQE YLEQPDEGRNRLTEMGVPEREDQDGCIIAAGFVSGMIGSSTARTTR L199_004754 MSVKRIDITSDIQCPFCLIGVKQLLNTLEKYKNDHPESSEYQIR LLPYQLDPCLTHEPSSRLDYYKKKFGEEKAKQITSAMGARLESLGYKSDYGGTVSSTH LAHRIQTYALLKTPSKQLPLAMDIFEGFNGYKKDPSDKQWLSSLAVKHSIFENEQQAT QWLEGNECDEQVRQSYMIAKKLGVTGVPFFVFQGQYAASGAMGEEEFYKLLEEIDKRE STPKQDSPAIIAQGEVCQKDSVCCKV L199_004755 MTFRSRSGGPVKKRGNKKQTHTRKLHHPPPPPKEEQPPPPPPSS LPLPSSLESGPFNPPDSEILALLHRALHESLSSDNFVDTVQRIKGLLYDKKWLEVFCG PESVLESYAGRWVPSRVCCFREVMDKLVYEVFSGEEGGLEERMAKLNVDQDEEEDEDD EEEEEEDEGEDDGEDTRDEAEESEDHDEQEDDTVVSQDPAKSSSQTTSHPTHHILSLG GGAGSEFLAIAALIRSVLLTRPHSHPNFTWTGIDIGNWHNVLRKIEDSIRIDWKIDNS ILDVEYIKGDLLSLSTSTNAQSPSQSTQSPSHGSQTPQPIDLTSTLLSKPPKLITLFF TLTELLTQSRPRTLQLLNTLTENTPTGTLFLVIDSASDISDFSLGKEGRKYPVYMIID MLLSSKGRGWEEVKGEDSRWFRFGEGVGAGWKVKLENTRYWYRLYRRA L199_004756 MPFELRSEIGVPTHFDFIARNNAALLNSSSTGESSAAAHKRKRD SEEERQLANRLPTAAELFVPSLPGIPNLATHPTHPLHLYAGEIPSYPGEGQGGGEGAT GKDAKLFFMMAKARRSAGKQRLLFWFNGGPGCSSFDGSLMEVGPFRTVPASETESGKV EVKLVDGGWEEYANVVFVDQPPGTGFSYVPTNGYLHELDQGAAHLIKFLQNFYTIFPE FAGVDTYLAGESFAGQYIPYFADAILKSNVLQNFPLKGIAIGNGWIDPKQQYPGYADF AYEKGLIKQGTPEAEKLDAALKACEASMEKYTDPYKTPSNINHCGEVMDSVTDSYVQE LNGKKVCMNVYDVRLVDDWPACGMNWPPDLSDVYTFLRRDDVISALHAKEKETAWVEC DNKVSAELHLRNSPASVALLPGILEKGVPILMFAGTEDLICNYKGIERIVDNLIWEGQ QGMGNATVQKWYLNDTQVGTWQSSRGMQFAQVFDSSHMVGFDVPHVTNDMIMRFMDVD LALLPGLAAQSSSRLGDVQRVAVSIGASAAAGMPLLKGGATDWDAWYNIISALLILFI LISIVAIYFYFRRRGALRRSRMGLGFPREDGGDLSERIPLGSERVELDDIERAERYNE EYLDGDVQDQERRKRKGKGKGKERYDEDEEDVKGETVFALGDEDEDK L199_004758 MASVNGDHAGQSEAGDEPNPSIVQQNPDNCFRILLATDNHIGYA EKDPIRGQDAINTFREILEIARDAEVDFILLAGDLFHENRPSRTCMHQTIALLREYTL GDKPISFELLSDPYDGSAPGFSFPAVNYEDPNLNIAIPVFSIHGNHDDPQGTGPEGAL CALDVLSVSGVLNYFGKVDLPADEAIQDDTDKGIRIKPILLRKGTTNLALYGVGNVKD ARMHYELRSNRVKMYMPEGGDVAEDDWFNILLIHQNRVKHGPQQSVPEGMFDDSIRLV VWGHEHDCRITPEKVEGKDYWISQPGSSVATSLAPGEAIPKHVGIMSIQGSQFQIAEV PLKTVRPFELDEVVLSYAAEQGALNIDDKDSITEYLKDQVTRLIKQAHENWKERNPDP DAKMMLPLIRLKVETTDAKEMTNPVRFGQLFVGKVANPRDILQYYRKKKPAERKAKNN PDLPDEDEDDWEGDDPTMLTTNDRLAKLRMANLVKQYLQAQNLEVLVENGMEDAVMRF VEKDDKDAIKDFVSDTLKMVGRDMKSREVDEEDVEDHMLQAKEHAASQYAEARPVPKE KSKKGKSKQKDSDEDSMLAEDDDQMDLDSDGSFQQTKAPAKGKGRAAPPRRREKNPLF QNASESEEEEEEPEEVIAPPKKRGAASASASSARKPAAKAAPAKKVPARGGSGARGMQ QSQLTFSKGGKASKPIELSDSD L199_004759 MSAEAGPSRRPRTSNDHHHHRHHHDEEEEDRSNLPTQKRRKITD VNEIFEKPDLQEQIRLSREYRALQNEADELRANLANSTAQDLIKAISKQENLFLDVRD TGIGTLDANLMKTNTENAMALAKTFKIDGVNFDIDEFLLKVKNHLGLDRMELDDQDGS SSASTDEDDELDQSQTQNRARNGTGHRGARKGVLGDWEKIGWMAAQSNRRIFGIEFMY GPLSKIHEKRKVGPKQKRKPLAPEIRPEEVQNETQGKKKAKDDFTSNVKMVKHVLQEL DSDGEGVNFFQFVINPDDFGQSVENCFFVSFLLNQGLAGIEVNPDDGEILIKDTLPHD EIDNDIIIKNQAVVELDIRTWEEAKRIFKISESAIPHRDYSAIRTQMAGNAWYA L199_004760 MQSVTLHTSQGDIKLEIFCESVPRAAENFLALCASGSYDNTLFH RNIKGFMIQGGDPTGTGKGGQSIYGSPFNDEIRQTLRFNNRGIVAMANAGPDTNKSQF FITYGKQPSLDGKYTIFGKVIDGLDTTLDSMERVPVNAKNKPLSEIKLLGVTIHANPI ADQNK L199_004761 MFPPTLLPLLSLIPLSSAFYLPGTAPRDYLHGEKIDVFVNTLTP MLNSKLHSLISYDYYDPRFHFCQPPDGPVKQPESLGSILFGDRILSSPYEVDMMENQT CRLLCQASVPKEDAKFINDRIKEDYGLNFIIDGLPSSEMKRDSKTNEIFLDAQGFNLG DDETIPDKPALNNHYDIYIQYHQKDYSSSYSYLPGSDSASTKKQYRVVGVLVYPRTVN SMTSGSSQPNCFTDQPFYLSEASNNEFYYTYSVSFIPSDIPWGMRWDSYLHVFDPKIH WFSLVNSLVIVGFLVFMVAMILYRTISKDISRYNSIDLSEDVQEDYGWKLVHGEVFRL PTRPMLLSVMVGNGLHLIFMCLVTLIFALFGFLSPSNRGSLATVLLICWTLFSCISGY ASSRTYSTLGGNQWKSNLILTTVLFPIVIFSVIGLLNLFLIFSGSSGAVPFGTILAIL LLWFLISVPLSVAGYFYGMKHGSFSNPTQTSSIPRQIPPRPWYLNAIPSAILGGILPF GAAFVELYFVLSSLFGNRAYYAFGFLFLTFIVVALTTATTTVLFVYFVLCSEEYRWHW RSFLIGGGSAFWLFTYGIWYWASRLSLDSFTSVILYFGYLFLFSLLDFLIGGSIGYIA TYFAIRRLYSSIRVD L199_004762 MSTTPTPVTLVGATGLTGSASLRSLLLSSHPFNLTVLTRRPLSE SITPSSSNPSTILATKIHEDLSSVPKDAGLKIAEKGGVYISALGTTRAKAGGTAEQEK IDLVLNRDLAKKAKEDGAETMILVSTGGANHTSRFFYMRIKGQLEEDVKSMGFKNVII LRPGALLGERTESRSGEWFVQTPFRLLRKVGLSFNLSTQAEDVGACIAELAANTPEEK VLTLYDDKISYYAKKYRESNPSSST L199_004763 MLEHLTIQLPGLLSYFPFACRIAAFILFAPFGLCIILDIIAYAI ARTLHLSITQRRVPRSPPTISKEILSSDIISSAEVSEEESVSDN L199_004764 MFKTGRAPTLPPEIDFSKQLKETSFYRYGHLRPLGLTGEVTALA VDPLLSLFAVGTSSGLVHVYGQAPFQFTLPVSTISSSGPAASIKFLFFHPGHHRLIAI DNSNTIHTFSLQHMTDHPNPLTHPPLPLKEISYTLWGTVTSVDQPLPSHTHLFFTIKD GTTLTWDLSRRGLGNWKIGNCWGDYEARMIRSGIPGRRKTLGGPMATCIAMNPRDLNI LLIGYEGGVVSWDMQKNEVAKTFEMTLPPGAPGGGSYQDADGSLWTERTPSVTSIAWR PDGLVFAVGHADGCIAFWAYSESDKPLMVRTITHEDVNVTDAESLFDAGALDNQLRKV ELDPQGNEIPTAVAANREPIFKLSWAGFPDQTALKTQLAAQGADPSIEPISNATVDYA ERGETLLLVLGGQSPGEKPGINILQFPAYKPPLLRRGTTPQSPSESMPLQERYAYRDS LAPTGSSSYLTKTPPEDFILLPRSNPYFNLSHDPIAIIISLTPDANLPQVTQPTALRG LESWVFPPPRSAVIPPSPGRKNYVLPGEGEKLVAMTPAPTLGTPSTSTPRSGSFSAAG WRLPWTSPSASPVPSPALSIPTPDSVYGTAPQKRKARRQLRLPSSLWSGGLSVLGMEM YSLPTPTFKRLISYAIEHAGREDIPRLPIYGGMAVPDLQSHGAPDVKVAKLESYRIFI TFHSDCTIKFWDASPHLLLLPTPLRFEYPMPLPHLTISIGDYLKHPDVTHLPLAQLWL NDRTKVRIKSVHLAKEALECVITFYTGEVIVTKFAEAKGSPAGNREDEVEELDDGHDN ENHDSGYFPSMSSSQSHGGIDNNGWVEEVLEIGHLAKYKKDGFKPVAIFSMKRGEPIC TAVSDIGFIAIAFASKTLAIIDMRGPDVILREGFNEDGEQMKKKKKKGNVQNVLGEQS VVGAMRWVVSGMGADLVNRPRLIVSYAKGMTKIFVLINSLGEWIVETKPPTFTNESLA GPIASFVLDPVNGNELSPSSESLQAAMRDQKVPDNHGHGKSKEIPVHCLWIAASKKSI RCAINFNGDRVAKVELDDEELSDVFYVTRHGQKVLVAVTTTGSAHFYSVPYLEYITRV DLYYGTEGRPSGRLSMDDRSGDFIEYSGPLDINLRTFFHFRKPFPPRLDPCALKRVVP AQPVPLNAASMVGGWIWGGAPLTGAQLDNLIAGPTRPPPPKVPPPPPKPLITWGKPPD EEEVKPTLTASTGAMPKRTAVQKQKAPTRDARERNDVYSEMTDAANLRGNYLDGLNDS LNNVSASASNYYNQARNAAMKEAAKSTARGVFGKLL L199_004765 MSKSDKPQSDLQNQSNDSRRPTKAKRFFSNCFVGSYTYLPVAAV IVWFFGLSILLFMWIQDGKPRYRPTQASIAFISSVGAAYPTLFIFICVSVVMLYFPTV CVIRYLRHKNRIPATVQRKEKIFSWLAIAFYGMGCMGLLVLSIWNCWDYYQIHWCGTF LFITGVSFSAIFQTAEVWCLKKEHPDRIHLKRNGVAKLSVVVLPIILACAFGGFYSLC HGNPTSENGEYTPEQCEKYSSTAAIMEWSIAFSLNLYFITLVIDLWPSRKTSSRYIRR EEAEEKV L199_004766 MSLRSSIGKLPSYLNFKRFRTSRFTSSTDSDRDTSINPPNPRHP NADKRTDTDTSAVSIFDTTQSQETNMNEVISAGHKGDRQSSHKQKDRESIIDEAKSFL IDTLTTQIVDSAIGDTICQSTNFDEMTSGARQHAFMGLSENFRNPLNKSVDPMIDSIV DLAENWDETLDLKRVLTAATFRPEVHLVQTTARKRLYALEYGTEYEEAESMFEKITRR TNGSFGDCCLLQEAVEELLEEGMEAYPRVDKHGLGLYDDESKVA L199_004767 MSQSLHLPSNPTSPIVKPPRLLPRSPSDPLHLSRSDNTASNGNG SNQPIYVLTADGSSLFLLDPSKPRGGEEPPPYASFPISPQTSNTNIDAEDGSVGVNRP DDTRHQHEHHRDRARTLSSLNTNHQSSRPRYTSTQSYTRTTPSRTTGGSVSISRSRSA YSSPHIHTSLPLTDENTLLLPHPPPTTNSINKRGLWRSIWCGDLDTAIDEQPGGWGKG FKRFWRPLGRKEYWRASVHLILFNFPLALLVWPFLVAGTLAGTVLLITLPLGAAVWWL TLFISRSAARLESIMQLHYHSPLSPASPPSNHPIFYRLVPSVSITTNANTPISSPTSP YPQTPGLESDAPTLHPETDTENGGVSMEDSTTMVWEKRFMKCSYAMFLDHYSYSALSY FLLIKPLIVLFSTIVIIVLLPVAIGTIVGLPIYLRMLRKWGKWQAEVAIENL L199_004768 MPRPPETPDVKASKGLAYILRHGAEKEGLNIRSDGYIKLDDVLA RPKMRDVDVNMVLRLVAENSKQRFQLFYGYDPSPPRPKKMKKGQQPKKQRPRPPPSPQ DITAASTDSHNTEKMRKLDEEGHGLGPIPLQDANGIDAAEIDNIQSNLSKTTISSQGE NGSGYVELPLVSLPNPNENNGTDEGGSSTSIKGGYFIRATQGHSINLEGTSHLEELKD DEEGRKKAGVMVHGTRLELWNILKTQGLSKMSRQHIHLAPSHHGSIVPRPNSTLYIYL SLSKLIENNIPVYVSANGVVLTPGNPEGIVPKELWRKVVKVQKSKEKDENGKYRTRRV VIWEDGGLVDEREEVEGEEGI L199_004769 MVPWNGPRAKVQIKISIQRLRTLQEKKLALAKASRREIADLLNK NRVETARLRVEGLVQDDIYVELLEVLELYAETLQARFNLLDTSLGEQPEPSISDAVCA IVYAAPRTELKELQVLRELLMHKFGRNFSLSLVQPPDPTSPPGVPARVLSKLKVFVPS KELVDAYLSEIAKGYGVNWAPESTTQDEEEDEGIEPLRRKEVVDDDKEDEDDGEGGDK EDEKESEPQSQSPKKKELSISPEKKSTGSSSPQPPPAKKLTEEEELAQRLERLKNLR L199_004770 MPPPQTTGIYPSDSITEVAQSLPLDPLGPGAADLLAGDVEYRLH LIIQEAKKFMAHGKRGTLMPEDVEYAMDALNVEPILIPPRPLPLPSFQSIPIPSSSSH QQQIYHLPDEEIDFATYLKQPLPSGVANSSGVKWKAHWLAVEGVQPAIKENPNPTQRS GQPRPQPSSTSLRPQARTQLPQELQLYFTRLTTALVPPSPTGPETEAERHRLAALASL RTDVAVAGILIYVVKWLGESIQKCLMAPTGTIGQLIDAVEALVSNDGVFLEPYVHILL PPLMSIILTVPLGPHPSSSSSNQPSPYDLRLHASQVLGKVADKYGKSYPGLIPRLVST LSKSLNSPPFPSPLGASNPPSGRYEGSLLALSCLGKQAVRSTVWGKAGENISRIDDLA GRLYTDSGSGKKRNPLIRALIKCLSVIINPKPADTPTPQVNLDEIADAFGPNLANMLN KKLWTANEILRLRREELEAESQHQSGSDANGEREGDGMEVDQS L199_004771 MTVDQSSIPFNVSEHYQVLEVIGEGAYGVVVAATHIASGTKVAI KRITPFDHAMFCQRTLREIKLLRHFRHENIIAILDIIAPPSYDHFNEVYLVQELMETD LHRVIRTQELSDDHCQYFIYQTIRGLKALHSANVLHRDLKPSNLLLNANCDLKICDFG LARSAAMPPPDSGPNGGNGFMTEYVATRWYRAPEVMLSFQEYTKAIDIWSVGCILAEM INGKPLFPGRDYHHQLSLILDVLGTPTMDDFNEITSPRSKDYLRALEFTRRQDFAVVC PKAKPNALDLLKKCLTFSPRKRITVEEALEHPYLEPYHDPNDEPGAEPLKPDFFNFED RQDQLGREMLKRLIYAEIQKPIHDDQE L199_004772 MVNNQYDAAILGCGVLGLTIAQELTRKGLKVALVGKDLPEDIHS TGFASPWAGASFHSFATNSAEKRRDTHTFKEFERLAREIPELCERRPYRYFKKEKDVG EDPWWADLMFDYRHLKTSEIPAPFAQGVTFEAYTLNTPLYLQHLGNWLRSRNVPIIRE RVSSLNEMYDLPSIGKVNLVINASGLGARSLIGVEDSLVHPAKGQTILVEAPDVRTTY GIEGKHPIPNQSVYIIPRPGPANHVILGLRPAREGGLRCELEQRLIGDNENNDALLPA KGKGKGGKRKVGVVHAYGIGGAGYQSSLGIAKEVSGLVDQWLEGDGKGNRKAKL L199_004773 MSVRIDNEPNMATGSGRSSSEETAVPAPPKPAHRHSKFSFHHQP HEKVDDGYAQRSQDPNGYIPPKGNGAAVAHVEGYKRQELHRRNTKEGRIVLQEEDAPE ALGYAWSTRKKWLTLSVIFIVQCSMNFNASIYANGVDFLQEKFGVSAQGARVGQCLFL ICYAFGCELWAPWSEELGRWWILQSSLFLVNIWQILAALAPNFGTVIAARVLGGFSSA GGSVTLGMVADLYDPNEQQWAVAFIVFSSVGGSVIGPIVGPFVQANLNWEWICWTQLI FGGFVQILHFFLVPETRSTVLLDRHARKLRAEGRTNVFGPNEVRTFRERFTVKEVLHT WIRPFEMFVREPIVLCCSLLSGFSDALIFTFLEAFGPVFKQWDFTTEQMALTFVPIAI GYFIAYALYIPPLMSQRKALRKDPQGTPPEIRLKPLLWLAPLESLGLFGFAWTSLGPP HTHWIAPMIFSAMVGIANFAIYMSTIDYMIAAYGPYSASATGGNGFARDFLAGIAAMY STPFYSNVGGESYRLHLEWPSTILAIIAGFVTIPIFIFYYKGAYFRERSKFAMTLAGE RQERNVHRLEKSDNMPGGGTTV L199_004774 MTTNSTVPSQPIPTASSSSATAIPLTNENVGPDSLATLEEARNA TTSPPIDPSKLNNQPAPLPSPPLHHTTSNGNGSSSHTESNTTLRSPTNETSSTLDSAY LDSGIPIEPSSHPTIAETGVLSQSPSDGPGPKHGQLKRAEKPKSDNGIIKLGSLGGEG LKIKPPTGSPTGH L199_004775 MLPCSRPLGGRALSSRREAVHLPYVKPAHLTIIPSTTFRHSSHS TSAAQAVEDTAEVTPETPSKSAVLHQLKRVTELQSRVSRENSNVGKQMIIAQYPDLRE LLEYIYDPNLRTNLTFGSLLRYLDSSSRKTTPGGPSLPNVIELFERLSKKQITGNEAK DVVHGFLAANDVLDDHRLLFTFGRLLDRNLAAGFGANTLKQVIWPNGPQTTKPSVSAE GSLSKGKAILTPSSSPSPLSYNTDKTPIHPQSATLDKFEVALGKSLEPPFEPLFKDGS QWYASRKLDGVRCITFLDFLVPSSSNPESASGTQPLKLVSAHFVSRTGKEFTSLIKLE EQLQHLSKLPELKSWLDVDPLIVETRPQGVIKRLVLDGEVCVMRPKTPEESHAAQARD DGSLADTMWIANDNYTEDFQSTVSLMRRSGETIQHLSYFIFDVLSYSELNAKKSLPAQ EGLGKKFGKRIENIRNLGKWLNEHLDELGVKEKMVKDLKQIKVGDAKEVEEMVERAAR EGWEGIILRKNEEYKGKRSPDIRKFKKWLDSEYTVRSLDTSSMRLSVDGVFGEYEALA NVWIEHDGHPVSVGSGFTAEQRIRYAKHPEQIVGKMITVEYFGESESMERDKKGKGQK SLRFPRVKVIWEEGKRGI L199_004776 MSSPIEPQNINAPTSSNQGISEIATPTSMSGTDNNVNYRHLMHE ALYGCYRPSQREATAMMDELVGTSSPEVNDGLEDIRKEWREISHNSQDAPNESIKLDA RRRKWMEIYERTHDLTDDEKTLLRAIHECSKPDRLSYKSRFMEGMKLNPTTEKTDERT KAVRSAFITIQEDVGGAKVKNRERRKRWWDVVGSKDERRTDHGHQLERLHSQNTSHIE KRANDSSKSILAENIKRYKSGLCVLTRTKSGPDEDDSIYSETMILPGQSEDESDKSEY RKSIEERIQNAIYINTRPDGEKAVQEVDEILSNGQNESSENIDDLRTLWGKVSGYGTE KRYDARERQNRWQEYMREVDSALTSEAEQHTSTIAEGTNSSASEAEVTNSTDEEDTKA TKVIAARV L199_004777 MSSTPVTHLYRSLLREIRLASKQSRATRNPTMSQHVRTIVDTTS DQQALQRTLLETRDFLRSSRIHAELLKRYNPIHGMSEEARIKATARRVGLDTPLEFKG DKE L199_004778 MSHQLTDVEMLRLSYNDIHTAIQKAATKIKEEFAPTLFIAIGGG GFIPARILRTQLKADQDGKKKNIPIQAVGLVLYEDMGGVEEKVGTEVVRTQWLDFSTL GSNFTHGGLLGRHILVVDEVDDTRTTLTYTIRELQIDINKQLAAVEDEQERERLRKET KLGIFVVHNKLKPKAAELPSDVAYFSAVDTPDIWLAYPWECDGDIDAHDALRVHNPKP L199_004779 MSKQPPDTTDYTFYRTGRPLPDTTIDPDEWRVRQFLNTSPYHTL NSYPSPLPPYWHPNPFSPNTFPQYGLYPPQSMFGIYSSPFSGLTSLQSRPPPAPSVGI SPATKKPFLFPSLEQYRMYFKNPALFEQTTNGWITDPTAISQPIHKPFGESSDLSWTS PRTGKTYQLPSLVSRYPEPHYYVCVVCENKKMKFDGFTWCRECKDNTKSMVVYGK L199_004780 MNPNNPWANVGGYQYGYAYPPTPPMSPPSRPPAQAQNPYAGQAQ NPTPQQNANPNLFGAGNIPSVLMPNPSTGGFSSLNQPNLSPENGWTSISPYQRHSWIT VTDLPANMGPSAASLTSYQAPPSQVASSSFSNKATRHWECEFCDTRRNEDDGLLWCHK CRTYTKTLWCEGP L199_004781 MKGKKAKKSIPLEGFYQPYDPPGYIAQSEPPQHSQFVHLGSIPA LSCQGHRSSQHYGGYMQHYYNQGSPAAPNPFTHPQLQTNQPAQASKQPHSQPSFTTSH PHPSQSPFVHPNYSLHTQQSPLSTSIYTAFDPYNQNSEHVNYPNPLYNQIGIRQYLEA EETHFECAECDKRRKDDKRSAWCDQCRKFTKTIKVSGP L199_004782 MSSSTPSSSSSSLPQFHVHPHSAHIHNVPPIQPAGESSVALEEE EEHDYESLPVGSGWAVNMAAGAMAGISEHAAIFPVDSIKTRMQVLPALNPILSPLGVG PSSATAPTATSASASASTSAARAAAPQLNTIMQHVRSISTTEGLRSLWRGVASVILGA GPAHAAHFGMYEFVREISGGRGEGWAGVAGTALAGAAATISSDALMNPFDVIKQRMQI RNSPHRTVLSCARTVYAREGLAAFYVSYPTTLTMSVPFTAVQFSAYESLKTLLNPDGS YSPVTHCTAGGIAGGLAAAVTTPLDVAKTLLQTRGSNSDPRIRAAKSMGEALRIIRDR DGWRGLRRGMLPRVLTVAPSTAISWMSYEFFKVLIRQNGHLPESGQAV L199_004783 MPTELPYAAEAETSLGYEELQVLKTQYYKEIEQGHVTTQSKFNY GWGLVKSGSAEYQTEGVKLLQEIYSASPAHRRECTYYIAVGYYKLKNYAYAKRFNDLL LSVEPENMQAQSLRTLIDQAVQRDGYIGMGLIAGAAAVTGLIVAGLVKRSRR L199_004784 MSAPNRGKPSLIAAAQSQNQNQPQASTSTSTTTTVPPNLASIPM STVSSSTSGSGSISARSTPAPSQGGSVPPSGGVQRLKFKPKVPIRRVKQELDVKPDIS NIPASSSAPRGGMRGSPRGRGGPGRGRGRGNVPSTSIAAGVFGGPRPVPSASSSRKFT ASASTMTSRFDEQDIEVYSDHSDQEGGSMGRPIDIDLVSTMSESAPTSLYRDRRSNHD KKVKGKDEKKEKEKKKKKKDTPSTIESDVNMALGVKAEPISPEKRPQQLREDDTMLSD DEMQDRDDQGRRVRNFAQTGGIEEPPHSTRSPTGDDDEDDEVNEAQMVDLSESEEEEE EEDMTGDFNQVDGYDNPEEKLFIFQFPHLFPKFLPATPVDLTTDTKPDINNPTTTGTA QKDVKPDIKPTGAQLRSTGPGGKKVPEPLPEGRVGTMVVMKSGKVKIVMGKDIVMNVT PGLPTTFIQHLVHLDNKTKSAHVLGEVHKNYVVTPDIDRLLEDLYINGGQTPGEVDAE RRRRLIRERGLMPMKRERD L199_004785 MSSETAIRLSASIPLEHEGYKDDERRNINNYHECPSPPIKSLKP PSPSINPSPQLPSTPTIPTLRLPIPLVCLPSHTPSKSTLIPSLPHKPINSGGGMGNIP NSVKDLRSLASSVGHSTLRPISTNEGESTIRPGPGGSLISFGGQLRNLDKSFLKQFAT RSKEGSDSSSTVRLDEHGMMDGRRLSGEKRGIDEVDVEWCFFCGKERIRAEMGLREVD LMKMLLPAATASGIEGKIQGEGEKEEEGKSWQWVCKRCDRE L199_004786 MSSSASSSSRRRSVEEMISPIDVDSFDWAAYESTYKGRALITRL THIPTLLLTTSPSHPTPHAIALSKAALKRLIPHIKDDTWDYTTYFQSVRLLIDPTSLK KPDDSTMDIDESAASAVAGDGEMDMEWIENVKELERRENTRLDVELRGYLSNLIKESI RLTYLAFAQLSIKVGNSSSAMKNYGAVREYSTSAQHHVDLGVGIVETLLAFNLPATLP GHISKLEATLDRLHPPPTSTKNQAEAANVTASDIRERRENELRSLSVRKSVMIRIKIA KGLVALYNREWAKAARELLGVQEDCGGQADFEGKAISTSDIGLIVTFCTLANGDRDQI RRVLLENPNYKSQIDDSNSWLIDLINSFVDADYGQVMRLLYKSEPILLLNPFLSAHTT ILIDLIQTKCILQYVQPFSSIRIQTMANSFGLTEEQMLGLVEGLVEGKEIRGKIDLID HVINMTEPDYRNEMFKNAYQVGKKVSEVTQSAILRMKMIEAGLIVDPRPPKSEKPGSG VDEKGQLVLDEGLGEGLGIEPDSYDV L199_004787 MSCQTCSSELPPNIFKQSFITPCCSTPICPSCINRNPRLKEYIP CLRCGDPRTSELKGGASISRLGESNGSRNDIGLARDVVRSNGDEVVFEIGDSDDDDDE DDADAPPGYEDISSQQNNDTNRNEQIIRDGTINEDLSESELDTSKEPTDTSTPDNTIS TPAVIPTAGEGKCETVEVTHQIQKSDTLLSISRRYATDPHELLSLNNLPYTALSTHPR ILHTRKTLIILRRQIPLSKIPSHPYTSPPQPDGNVNNEEREKSKQLKRFQLLTKNTDL GIANTYLNLSELEESLGDDPLESGSGETIDGHKKKQFANKENREQRALESFFEDDEWE KEHGGSLSKLRVNVKQSTGQGKGKGGGSSWNILSAGGMKVQ L199_004788 MSGEYQTRIIGAANTLEHRVFLEKEGKVVSPFHDIPLFADESKT VLNMVVEVPRWTNAKMEISKEEAFNPIKQDIKKGKLRYVRNCFPHHGYIWNYGAFPQT WEDPNVKHAETGANGDNDPLDVCEIGEAVGYVGQVKQVKVLGIMALLDEGETDWKVLV VDVNDPLAPRLNDIEDVERHLPGLIRATNEWFRIYKIPDGKPENVFAFSGEAKSKKYA VEIIHECHEAWRKLVHGETAASTDAYNLAIHNTTVKGSKGLISTSDSVYTSLPADSRK PAAPIDPSIDKSFFISSASA L199_004789 MTGLLTSTTPSSTSTETLDTLTPTSPSSTNTANSRHAERIKELQ IELQHLKVELGDHNPQQIVQDHIKLLHEYNEIKDGTQALIGKYAQLTGRTVREIHQEM GLPLTD L199_004790 MCSQHDDPSFPSSSSSTKQPTTNDGKKNEVEIPDWETIPLPPDH VLSHLTDAHCHPTDLTHPPEVYDRVKLGGLASMATIVEDQDKVKALSEERGWYTARSK GKGKEIHGIGVVACFGYHPWFTHLYTLSSDPPSKEQHYFSLFSPKSQNHQTLLKELLP YLPEPKPFEPLLEKLRNDITRSKDEGRLTMLGEVGLDGSARMRWPKKAKHLHPDYKDK AQQGEEGEGEEEEWKRLTPFKVPMSHQRSIVERQLDLAVELRVNVSFHSVACAGPSLE TLNSMRDKHGIRFTNGINVDIHSAGGWSPDFWKQAEKNLLNIYASPSIFITGRSPTAS SLISTISKDRLLVESDSHDVRLSDRLVWAATEWIARCKKWKLEGVDRHPDDDDGEQVK EWDLQDGGDEEEEDEFDEKGRLKKVKEAEQDTWTVRTLERNWRRFMRIDEVV L199_004791 MLPTADENVILGSPLRNPYPGSQPPTPHSSSADMKSTRDPKLGR DWREYLALGIYVWGIDLVCLYYLFLGMPYLSDLHNRFVQVIKFSFGVSGSAAVYMVFF CASLAPQPTDTRLVSWLKAIDRNALMISGICGLYGFVKK L199_004792 MKFGKTIQSQQVPGWGEYYLNYKALKKIINSYAAGRPASDASLL SLGLRPAKKSPGSGSNKSDPDAGSLSPPHIQQHDTSITPSSILEDLEPLPAESEPPAP NTGSALMSRDPTGGNDRSESFKAHRDVFFFTLQRELEKINTFYLVKERDLRLRLLTLL SNRKRLLQNSSGSGTPGEDSTLDNSTRKDAEWISLEEGWRLFERDLGKLQGFIEINAT GFRKILKKWDKRSKSNTKELYIERQVEVQPCFNREFIAKLSDIVTANLLDIENGSEHL STSFLEIEHNLPESIGADGLNLGSGRKGDFGEIDVLESTGNSLALDALVDLDSNMLKA FSSGKDAILDWLRIARAKQNQHQRRDKSTSTRLMRILWRAAQHVPQEYLDMVLNAVTL DYNYIDNINGRSPAHQAAINGSLTLIKLCAQNNVGLLEKPDAYDRRPIHYASMHGHSE IVSFLLSQSVDPSATDKDGYTPLMHAITQGHLEVVRIFVQDKLTLEPTAISNDLIPLS LACQYGHLEVARLLLQCGAKVIPNSEGLYPQHFAAKAGHEQICRLLVEEGGPDGGGKD RQDKYNLWTPLHHAAIGGQPQHLACIKVLVEAGCDVNAADEYGKSPGWYSAWFGHVEC LNYLLDSGAKLNGNQNTLQGMENLGLAADPQMDSLSPGSDLMLDPPADEFELIPSLSL PPPIIPLRVYGHEFLANRCLIQLSLGHPFTRPSSASKAPPIKLYSRSGQDPLNLWSSL KLVMTSKSDISAVPHSVILPLADEREVFSFQVQSLETFTLELSLYPTFGSKVIGRAIV LPATFNDVTYHKGIVAPLLDHNLKTIGEVAFEVSCIKPFQGAQLEIGGRVETYWKSKV TPSQPTQDHAHQFQSHRPLSVSTSSPSLRPPAITSAPSSNTNHESALVTASSLSGEYV HVVVQVTKDGVPVIYPNIKLPVDNLDITVSDVTLEQFLRIGKSRNLLLDPSSSSLSSG EWSSILSKTMSTLDSILNILPSEIGLNLLLQYLRPKTIELRNYGKSIEINKWVDTILH SIYENGKAQSQSQQKGRKFIFSTFEPEVATALNWKQPNYAVFFASYCGISSSSSSTTP SSTTTDRKRLIPISQEEESDLRCLSVREAVNFAKSTNLLGVILEATTLAAVPSLVASV KDAGLLLATFGDGQDITSLRQGASDGRTVDAFVIDGIMTLTV L199_004793 MTPIHPLISKIFQTHSIPLSELESSSRGIYIHKPSEKMYFTKTQ SDIPQMTGEIEGLKAMGRTTPGLGLVPEVIGFEVDEKQNQCAMVTQYFKLGSSSRNMQ RELGEGLAKMHSVPKDREGYEGKFGFGVPTHCGVTEQDNTYTESWEEFYRDRRLGDLV KRIGDNTISKEWERMKDRVIPLLLHEIDPPPQPVILHGDLWSGNKGYDTITGKAVIYD PASYYGHNEADLGITHMFGGFSKDFYDAYHAIHPKSQPHYDERQKLYELYHHLNHTLM FGGSYKSGSLAIMRSLNDWAKDKEM L199_004794 MDMDDDDAFLYGDESPPKPQQVALPPTTNVNTNEMKPEVTVPPP LQATTSTLTSTSIPANGISSSMAASLAAYGMDTSATVVDNPEDGGVEEEDNEDEDEDD DSDDSDDDIKVVFTGQNARTLDLRKPQTAPSNVIGIGKWAHTSTGTTAPAAATPTPAP GTPQVSTPSRPVQPTANQTTEYTPTSRPGVAQAQAQGQPSTAGPLINPPTSSTPSDPS ITVQPPSSSADGISGVPPLNPGQPPSTLPPVSSSQFITKTKIDPSNPTGIIPLTGQSV FEIDMTQFENSGQPWRKPGATLSDYFNFGFDEFTYPRYLRYKSDMEKGRAALANLPPM AQLPPDIANLLHIPNQNIPFNAIAAAQQQQQQQAQQQQQQQQQMQLMANQIQQQMGMM NPQMQAQMQQMMAMQGLDMNMMNMNQGGGGGMPFGMGMQPQQGMGQQQPQQQQQQQQQ PMIRPGQNGRPPGMTPTSQSGNMGTPEAGEEVKLEEGVETGFETPDAQAQGQMMNPAM RGRMPARGGPVRGVPLRGRGGGVPLGPRAGFAAPTGPKAGRFRDKDKVDTSGAGSLDY GGSEGLGGDSDAVKSETRGDDQGDSNSHSYSRSQSRSHSRAQSRDRSASPPSGRRRSS KRDYHDYDDDYSSEDRDRNRDKDREKRRSSRRSKNEDSNSGSSRSKRKREEGGGSATL GPGGWESEDEEDERRSRRKRSPSEESTEKRTRRSKRR L199_004795 MPSIFSRSASTPKKSKLPPTPPVSGSHPTPQRGATVGGGRGQSQ GIGEFGTISGNISKTLPNRPTQQQPQPLTPPHSPGGSTPLLPPKFTFLPTHIAPQTTS NNSVDSFSYHSDEVTGLRQYGFLAETGGKMTLGLTEVGQVLEMISKELIRRGLTTPML FSNQALELNQTRTKMLIQAYLDILTSNSRSKHEAFKRDVTFAKEHELAWLLRWSLSRI ARIKEGVREICHGVLEWEAYEEWRGRERASGYPTDAFPFLSHILPNEVYTFIITPLFH LLSRFAAHSHLSGLTPHALSSLFAPLLFDIPTSSTAMQAHAHYVRAASATEHLLLAYI RSTSAKGSLGLADLPFRLKEWVTGYPAMVASDGDLARGGPRKGARVVRCERASRTVRA YSKDLICQAELWVNNLPIGEKWDAWDRVTWKSRRGESSRPKFSAAYRRRMMVKENQPL PSSSIGEGRPISYGSATKPSLDGGLNRGSTARSRKGRLVTIEDDNEEGRWSSLAGKEW SMFEEGGFDAPSLSSTSTTSKTGRLEGDIRNRLQFDLTESAKMSIAERRRTMDWSEFA SPSGGFNRTDPLLDVSLTFSAPIEKEITDWPKERDELRRRLHKSQKDSVPFNYDTTPR FGTNAFPDANARMDDKGRVYVEEAFVDCWCDLMIGAGWMDREELTFREANWALMEYKA KPSRVDPNTQDDDPLGDPRKSELYFLFEERVPPDYQQALLTPAQKKSAFGLFSPKSKK RNQMIHSDTVKSRLGQGWGDDDFDRMLLHREQTKKVTLTKSASDQPYASVWHMSNDTS TPTSPVKPRPIRRTRSSSEKDNTDLKMHDTKGLFFGSAKKNMRRVKSNESKEKPNISK KEKKNQREQNVEFELHSASGVSSSEPSPKDGLIGQKKDDEKWMEILVANGARRMDRQD ALPPIPYPHQANLGLPVSHHPPARHSSPSAIPDQHTPPQERLSSEDATTPRATGPSME RQRSIRRKAVSSDEEDLSEAFESVSPTSGNFSYSDEVHSLAHPHTGTADEGESGSGPE LLAPIPRRSHRDTRDTIHGIVDQYNRDSMDSQDDLEPLEEGDGTRFDDPHENTGEVGL EPPEKGMIFDLTPGREPSPARYKHGEPLQFVGEEPEEEDDYQHPPRPIRIA L199_004796 MTSSRHSSSEDPILNPSVAEPLTYSQAPTQDSSAESTSTSTQHA HPLQPSTSSRNASSPVPSPAPAGDTGTRTRTGRRRQVTILPPLTTSALGDLSTSHPSS TSQGRRRAHTVGVSFRPRIPSDFVANASSNVNGNGNVEEERSDTLTPLNSIRRPSNAN ASANVSPGDLEAGLAGAVGGGKGRMRSTSNASRFSTTSAGRRSRRSSTPVEFDLATRH QNGHEVHQLDDEMVGLLDCIDPTVSTVNHLQNMTNSVLVPHIPQLWKRRPEVQLPNTP SDESLASMNRPYESTSSTRQRSSTVRSRKGSISRLLPSRSPGPPPEVTRQPSTNTPAD QWGGTQPIPIPEVEEPTSPIDQDRVNDDNDTRPEVPLIRASPKTLQREEFEDDLEDIK EDHQLDKHVKHILRASKRAKIMRGLKGVWTFVKTPMGFITAVYGFLVAFWGAGIVLFL LGWIPTSSKYRQDVWVEICSQVENGLFTVTGVGLIPWRVIDTYRMSVIWTLKNRDSRL RKKRGLAPIEDENDLPDPELIKDFVFVLSEKDQNNLKYQQEKFAISQTWYRPHATATH KAFPMKFALWNTILMDGNSFFQCGCMWGMDWHERPAWTTGCLIPLSFLCGIGAAVLIW QGSARTKKSALVSEKLRNALNVPVAIGVPRSVDGTVLVSNMNNAPATDIPLHKTESPN KPGAKTAGGGRRTTITFGSTNNYDEDESKRERKVEHKRDRGVTLAVTPEWKGDESAGG GGMDFGLGKVVSNRHDDEEGHGRDQGNEKEGHEQIVMKEIEK L199_004797 MTPLSTLATTIIFASVAHAASLSFLGCVKASALSSYTGTSQTSR SACQAYCLNVSGNLHGAAYIADTSGCYCVPSNDILNGFLYSDLATGMDSVGNCFTSDA SYDSQVTQAQADTIASNGKVPYAQFTGCYTSQPPAGPQGSHQFSRTQRLNQVPYYVQV GGYRSYAFWISAVSGRSGFNFMGYNDWDLDLSSLTPTDCSVIGNDTWLVALGPEPSAT PSAVARRANRIKRERSLCPKDQHACRIYGSSEYECLNTNYELESCGGCKYGEYLGDYR RPYLVNTTTSAGEDCSNIAGAEPWGVSCSSGRCDIKACIEGYSLVDGECEQIV L199_004798 MSSSLIDSPFIHPAWHDIVPPIHSRTHPMTRQTGRQAHKVNEKG EGSSLDRFALSYTPQWLRQLPQPLNCLYLSPSPPFPQPEYAADVFTPKLLSNPLPIKE QCPSILSLIPAKDSSLPLQPKIITYSLDYYAKHFSNLLSLHLSASLEDTTHSSIPSTL IQPYPIPGLQNTYRIHVPGIREDAPRLNIGDRMIVRGLYQRLRQASQNAIEAEVVGLE KAKSWVYVQSPYLGLLDGDIVRSGKGIREGNQSPGIPAGNESGIRCQIKFLLNVKPIC DMQDAVRTFGLLHGIGWETVQRWLFPEIQHVREEIQDRPLMNIEWVDPGLNDEQKSAI TAIVSRDHEVPYLISGPPGVGLFSSYQSLPDIAACADCSCLIVHRLTLVEAALQILTT HSDATILICAPSNSAADTLARRLCVPAATSAIRPYVEPGTVLRLNLPSRTFAEVPDEI LPFCCITPTPTGTSAFGLPSFAELMKYRVVICTCQDASILVTANATNIATMKAESEMM NTFHRVSESSMIKDVHPHWTHLLIDEAAQASEPETLIPLSVVVPYPPPKGFRSVDPVV VLCGDIHQLGPIISSSEARDGELDVSLLERLFQQEVYASHSNARKNQKSANGTCPSDW DGPFTNLIQNYRSIAPILMIPAALFYDDTLIPAARERCEENWIDEVSISWYNSSEIEY LNDIVQSLMNTVVGLNQKEIAVITPWREQVWRSRSKLRSNNLHAVDVGNVETYQGAEF RVTVISCVRSRSRFLDEDRRMNMGLFNERKRFNVAITRAKEILIVVGNGNLLKRDPYW NGFLQIMLRNNLYRGPELNLEMTGAYISRLESTIHRNEEHDPEEAVLRLAGALTRETL RDD L199_004799 MKLSNLAVTLVTCVTTANAHVALWDKGMFGLNYPYQANDPQNNN YNNNEPVNPLRQTDARTTAQWFGHGLLGYPPKSGDFMTLPSGGTYNGEVSCNRGQTTL GNPNDTTAKYKYACKPDNGQYSGVGALHVMNTYNGTVDNKWFGGTALAIAYTSDVNNL KPNDFTVISVNQNSVWERQISYKIPSGMPPCPSGGCLCSWNWIHQGGHGEGYPYEIYN VIYRCTVTGSTNSANKVQRGAIPNKCDGNPSNCVKGPKTPMYLWQADGNNLPNLDDPP LYRDNWGFADGAQNDIFTPAATPATTSNPKPSATSLPSGWSSVGCMVDNTNSRALPGV SSTNANNNTIQGCVSSCASKGYLFAGVEYGQECWCSNTATLTSAASTDCNMVCPGDIW STCGGSSRINVYQSANAPTNGQPTPLPDSSLPSGWSSLGCMVDDQSNRALNGGSTTSS SNTVQSCIASCASKGFIYAGVEYGQECWCGKTARLTAASSGCDVACSGDKSHVCGGSN RLNVYVAKSATSSSSSSTSSVKPTTATSTSKAPVTTSTTSKAATSTSKAPVTTSTTTS KAATSTTTSKASTTTAKATSTSSSAPSATTSLPAGWVSRGCYVDSSSARVLDGNVFES QSNMTYASCINICTGKGYSYAGLEYGSQCFCGNNLVKPTSVTTGCDKPCAGDSKAMCG GYNRITVLQKTSTVKARHEWSPRGGRRHN L199_004800 MSETALFNSLGKGVLEIAKLFNIEGWVAIVTGGGTGLGLITATA LAENGAKVYITGRREEPLRAAVEGYEKMGNKGKGSIVAIRADVSTKEGIQRFMEEVKS KEKWINVLINNHGIFPGATDINACEQTAEGLSKQMFEGETFETWGDVWRINTASYHFT TFAFLPLLAAAKTLGGFPEPGNVVNLSSMSGITKTSQRGQFHYNCGKAATISLSHQQA LEFARRGLGIRVNVICPGYFPSGMTIIPSENNTGSDDHVEEFTKKWGIPFGRPGNAVD YAQTILSVISNQYMTGGEIVIDGGWLFNSGTSR L199_004801 MNKQPGTQIKLTNVSIVRMKKGGKRFEIACYQNKVSEFRSGVET DLSEVLQIEQIFTNVPKGLVAKKDDWTKCFQTDDMNKVIEEILRKGELQINNLERSHQ LSSLSREIATLVSEMTVDPNTNRKHTVGMIEKTMSEIGFSVKADKPAKAQALELIKKL SSEEGESTLPIRRARMRIRITMPGKDAKRIKDNIMKEVEETEEDDMGQEWEAIVQINP SAFRTITDLVNNETKGKGRVEVMGNV L199_004802 MKVFENDITFDFSPIQTLSALHRKYPNPFATHVYSVDTINRSID PDTGILRSERLIGVQQGAPKWITKLFHLPPTAYVREVVFVDPFNTSATMMSVNLSLAQ YVSCLELINYLPSPSNPSVTEFKQRALLISGFPTRMIARRIEQASLDRFKSNAGIGKK GFEWVLQGGDQASLPRPTLD L199_004803 MPTPLIRSQPISTALSPIAGPSKPPSTTSSKTRSSSTSSRCSTA TSLDDLNDISRINHFRPMYDSSSSMESDMSGMTITALDQNERSASIKHADDHQKQRKF PEEEDEDILRESNDRFVLFPIKYREIWQAYKASQASFWTSEELDLGHDLHDWNEKLTE QERFFILRILAFFAASDGIVGENIVSQFSMDVQISEARAFYAFQSMMEQVHSETYSLL IETYVRDSEEKEFLFRGIENIPCVRKKADWALKYITDDMPFRLRLVAFACVEGIFFSG SFAAIFWLKKRGLMPGLTFSNELISRDEGTHTDFACLLYNHLKHRCSEEEVHNIVTEA VVIEKEFLTDALPCALIGINADLMCQYIEYVADRLIVDLGYSKMYHAKNPFDWMELIS LQGKANFFESRVSSYQLANVSRSGTPSLPEKGGDERLSRRVFRTDADF L199_004804 MSAPRQISRLLSRRSLLSTSRRSTPTPIFKSPSLSVRHGSHLPH DPTNSLNLPKNISMPTEEELRSFNDDWMNKYHGKGSIVVKPKTTEEVSEVMKYCYEKG IAVVPQGGNTGLVGGSNPVHDEIILNLSNLNQIRSFDEVSGVLVADGGVILESADHFL AEKGFIFPLDLGAKGSCHIGGNVSTNAGGLRLLRYGSLHGSVLGLEVVLPDGRIWNGL SKLRKDNTGFDLKQLFIGSEGTIGIITAISILCPRRPSAMNVAVFSLESYEAVQKVFA EAKGHLGEILSAFEFFDKQSYALVKKHQEENGGERKVFETEGDFYCLIETGGSNAEHD EAKLTGLLEHLMENEMVLDGVLAQDSTQFQSLWSLRELVPESAGKAGSVYKYDVSVPV GKMYGLVEKMRAKLREGGVLEGDGKSQGPIRAVAGYGHMGDGNLHINIVANKYTDEIE KIIEPYIYEIVAENEGSISAEHGLGVMKAPYIGYSKNETSIELMKQIKQLFDPKGLLN PYKYIV L199_004805 MNHSQSQTQSKQPGQTQSSNQGAAQAQERTTKGNSASAPRKVKF NVGHTYHVLDVIGEGAYGVVASAVHRPSGTKVAIKKIAPFDHAMFALRTLRELKLLKY FAEEGVSENIISVLDIIRPASYESFKEVYLVQELLETDLHRVIRTQDLSDDHCQYFLY QTCRALKALHSAEIIHRDLKPSNLLLNANCDLKVCDFGLARSTQTATPDTQGFMTEYV ATRWYRAPEVMLSFRMYTKSIDVWSVGCILAEMLSGKPLFPGRDYHHQLSLILDVLGT PTFEEFSAITSKRSKEYVRTLPFRKRRTFESLYPNASPLAIDFLSKTLTFDPRKRFTV EQCLSHPYLDAYHDPDDEPSAKPLSPNFFEFDMMKEENNREDLKRLLYEEIMSFGHSN GNH L199_004806 MASAAGPSTKPITPHYCAICSLPTEYCEFGPSFSKCKTWLESED KDEYERLWGEGNLAARIGTLSVEEQEKLEADAVKAEKKAAKKAEAEAKKKGETKIIIK RSERTKRKHQTHVQNLELFGIDLKKAAKLFAGKFATGSSVSKTPQGEEEIVIQGDVGD EIVEMLRAQVGVLKGAPADQVTRVEVKKKKAEDEAAA L199_004807 MPLIHNLSGVVIKCFKKVEYAADWMTGAAGPVFVFMCWTLIITG GFIYFDVVVRSLSPLSLLFLLPLLILVPLNLYGQYYLVTHVPPGFPAPKPSGEDKNST SWLIPNPKSIWSGERWGFRKRGRTLTGMGGGAGGESSRRVRRCRKCDGPKPERTHHCS VCKRCVLQMDHHCPWINACVGLHNQRHFFLFMAWLSTGCATVCITGYRLFLATFDYYA EWTPVTPKLGFTLIYVLCLAIGFAVSVLMFWHLYMVSNGETSIESHDNSYLAGKAKKE GLIYLNPYDLGRRRNIQLFFNIGPNGYSPYTLLFPLALPPASNGWSYPRRPIPSHPPT KPSNLHAPELADGLIARANGLGLGLEGEEASPLSSGGHAEDGMGGYVMGDEEGLTDDE EGGGGWMDLGDSQYRLGEDDR L199_004808 MFRSPSSFSLRLLRNARSIPKPSTARSKFYSSSSSTTSASSSSS LRSYGTTILISSTTAVVLTQLWNSRNHMVQCDDGAQTIHTASDREQAYVTPDDSKSII PSSKDPKYATKEVVEKVVGLLKGKFNDDQVTTNPDELLSHGVSANTYHAAAIPNVVVY AESTEDVSKVMKLANEYRVPVTPFSGGTSLEGHITCPYGGICVDLSRMNNIVELHEED ADAVVQAGCQWEAINEELKERGLNMFFPLDPGPSACIGGMMATGCSGTNAVRYGTAKG EWFLNATVVLPNGEIIKTRQRSRKSSAGYDLTKLFIGAEGTLGIVTEATIRLAPVLPT RVAVCGFPGVEEAVQAVGEVINRGVPMQCVELCDTLMMEAIGKFGNVSMPLPSLDTIF FKFQGSNAESIESNIKIVSEISQKYGGQDLVFAKNDKESDELWQARKSAHWSAMALVE GSTCYSTDVCVPVSNLPKLVKQTKQDLKENGIVGPLLGHVGDGNFHCALIFKADVPGE FEKVDAAAHRMVKRAIELQGTCTGEHGVGIGKREYLPLELGEGTLGVMKQLKDTLDPK GIMNPGKLYPDW L199_004810 MFALPASRRPHLFLALLSSIALVLFLHNSYHPQYAMIKPPSLFQ SDSDKPKAYTGLEADDLNVRRRVERMRGYCEGEDPFEKEYGRTNIRMTRAYEGSHHRI RQFLHKALRGEHLTVSVIGGSITKGHQVWVNEIWFHKFWEWLNDFVGNDVEVTEVNGA APATGSDYFSFCFPLHIPADSDLIFVELAVNDEGIVEHVENMENLLRGLLDLPSKPAV VLVEAMAFSNGGMGGGGGRMHLPVAQYFDVPVINQRHPLANHFGRYPQLVRPYFSQDW WGNPDMRHINSRGHRDLGMLSASLIQDVSCTMLSDPTFFVPPPPTEESEYERSLLILG GDQQPSNLEDASLLDESLAALQFTWPEQSKSWRKNPEKEEQIGELMPGMWITPAEYGL LPRMRVLDGWNPDVSSIVPKFEPTCLSTRAKEAKFNLTPSWNDGDWEYWVHPEHLDKP YLVARKPGAKVQFELETNVGVVKIYSLKSKTFGLGTIECWADEEKDKSTKVVGWWDNG DVNIGRFATIRNDLSKGTHTITCELLEETSDPDGGHEFRMISIMR L199_004811 MASAFNLLTAGGAKFDKNRFKQDFELFGGGKKDRKGKGKASTKA IETNTKSLPHSLDFFGDHPSINHQTKPPIAQEESESDSDSDASSSSSSSSSKIAPPVQ KITLTGPEPLPKSLHTNLPSLVNHPSVSLSSRRGEPLLKALKGANINSLWGVQCSVGG CLLEGKDTLCIAPTGSGKTLSYILPTLVKLRDPSRSLRNTENEVKGHGIRALILVPTH DLAIQILNVTKAVTKGRSWRSMVLTKATEKAVCDSSPGTALDDSEENVEEDGERNEDD EDQSEDDDEESTGSIDEFAQPKSGNPTGLGIDFLIATPERLHHLLESKRISLALTQHV ILDESDRLLSPDFLPQIEPILAACTNSDVQKCLLSATMPSGAEEIAKKWLRDEGVRVV VGVKDSAVTTVDQSLLYTGSESGKLLALRNLLSNGSLPYPSLIFVQSIERADELYKNL ILEGIKVDVVHSGKGKSKRDQAINNFRLGNVWMLVVTEVLARGMDFRGVRVVVNYDFP QTVQSYIHRIGRTGRAGRPGKAITFFNLEDGPYLRTIANVLRSSGCPVPEYMLDMKKP TKNQKRSLAKAPIKRKAIGGGGRDVGREEGRKKQMMKEASKRRKLKTDE L199_004812 MPKAKWYAVRVGRRPGVYANWADAEKQVRGYPGAVHKSFPHPQA AEGWLRSGQRHNPTVTTTSLSRHPSKQLVSHEDMESDISPVEIPKRKSVPIHLIRSDS LLGTEITHTTSTEDPLLSAQQEEILRRILNGENYFFTGSAGTGKSVLLRAIIRSFKQR EADEQNNAEDTWKRYLSGEDRNGPRTEVKRWKLGVAASTGMAGVNIGGSTVHSWAGIG LGELPAQKLYENILRNKITAKRWKSTGALIIDEVSMIDSKLFDKLECIARKIRKDDRP FGGIQVILSGDFFQLPPVTKGHLNNCSFAFEALSWSKVIPRENMSSLTRVFRQKEDRF VNILESMRKGFIKPEDIEVLKGLKRSVEYPEGIEPVGLYPQKAEVAAINSARLEALET PLQVFSSFDIPGVNSHGYPLEAKQATDCLNKNTIWPQDLELKVGALVMLVTDGVLVNG STGTVVDFMTLSEASSVNIHPAAGNYGSAPDPKVAWPVVEFIPSKHAMGKVAKRVVVP QMSVDVLNAAGRPEATRHQIPLILAWALTIHKSQGQTLERVKIDLNNIFVEGQTYVAI SRAVSLDTLQLLNFSAHKVMAHSRVIEWAKPFEQEQKDEEEWDELLAGAELDF L199_004813 MPDEEDPMGLSNPGEEVFWCVGEYQDNWVVHLSKSMKDKSHDLM IYDVAEGGNSTYDLREQALQLESMVDDDLVRVDESAMLVLWIGINDVTMNLQNPTLDI EMYMLEETLDRMYALGLRNLVLIDVPPRRPSMSSASFMEAISSRISQWNDLLPSRVQH WLSKPNTTSKIFSAHDVFTEIFDDPTKYGFKHEDPGESSGGIWVDGLHPTSQVHKVLA DELEKFLSV L199_004814 MSSSKDRKQREDQDQLKSPRIPSPHPKFVISRPKEPPVSIPTSP EPSPSVSRASSPSLVTEKDDPADKLTSKQEINDDDHNSEKRGKPEARSEGLAEETREG RSTTLDTKQEIDRPAEDTKELMRVEDARRPDLLKVHWKSRLRDHHLVDMNSVQRSASP DASDDNRSTKQAASRLVRKHTGRPALPPPPSDTEDEEAVYDSDPTNVPSSSAISLNST DSPDPVQMRSRNRRSSSRRSSRSSMYTSDEESEDDKAIRPKGVLSALLGLYRDDRRDK RHMRKMLRRSEHDNAEKTKRRWSSQSLFAIPSGNSSRRSSLFNYDDTSALGGEELEER LKYEKRRVHRNRKRNVDIPHRSQNLEDPYIPSPHFSNQLTPSANINISQRFRRFLSGQ GYPASGFGYSQDTSPGQGGGGGNYQRSMAALLITTSSLIAAASPTLTHVAPAYGDDAE TTSGNRRISWYEGVAETQARQEDLERREDEALGDGADDAGNLEKQMEEGRLKNRSKRK RRRGKRVQKELAVTKHVSNIIQRKKFIEMLAKAVVSYGAPAHSVEAWLSATADILSVE ASFFFLPSVLIVAFRDSDVHSTDILFVRPSGGLELYRLSLVHEVYRKVVHDEISASQG CRVLRRIEHRTVPYSRWTLILAAAIASAASAKVAFSGSFIDILMSGTLGSMLAITQFT IAEKNKLVSNIFEIAMAGILSFVARGLGATRYFCYESLASAAIVLILPGWHICLGALE LGSKNVVAGAIRLVWAVVYTLFLSLGLGIGSQIFDSFGPSQPTMQSSTQMATITGSFT SNDTYWDQTFNNGTFTFSNSTSSEEEATTVACYRNPDWNYWWYTEPNDWWLFLLVPIF AFSLAVWFRADWRSKDIFVMVAVACTGYVVNFVLSQQIDQTNVVSAVSAFTLGILGNL YSRLGGGSAFPSMVVGILLIVPNAIAAAGGLSSSGSNGDSSSGSSASSSTGSSSQEIN AAVIVSIRMVQVGIGLAIGLFAAALVIYPFGKKRRYIFSY L199_004815 MDDSADDKNQIPRTVNGGLTESGNGNEEAGPQLTDEATLFASPL PIVGERKTTTRVEVWSWYLYYVGNSGLGPFNFAISAWQNLLYLAGWDPAFPRGTVACG DGGCNLVAYGTERSVNSIVLITNGLSFAFQAVIFLIVGSFADYGVWRPHITTGFTILT WAVSFAWLGVEKPSKWQAGTALYILGLIGYQGALTFWTAAFPGLARDLPEIKESEEKL AKGETDQKTHDRKDMLARNRLANVSFLVCSVGELVVLAILAGILEGVINDDPDSNTKA LSIVCAYSAGVWILCAIPWLFYEQYRPGNQLPPRTSYLTVGVKQIYHAFRLCLRLKQT FIYLAAYFFLGDCLNTVVIATLQNEVVSYDTKMLNYLLIDGIAAQALGIGIFWLVQKK YTIPTKTMLLFNAFWILVLCAWGCIGITQQKFGFHNAWEFWAYQAFYGIFVCPWYAIS QAMISEVVPRGKEFLFFALFSIIGKTSSFIGPFVSSAIIDRSGNTNMPFTFLLALGVV SVGILACVNVEKSRKECRKYLEDEAIRVYGMNSAEVLVVGSQYEMDGQRGVAVEKDKR L199_004816 MTTSGLTFSPIPLPPSANPKYFKEFGRKVDGFDPSQMTESQKQE IIDNLYKHSVLLFKNTKITPEQQYELTHSFDPAADTYGHGNNKTGNTKSSILHPDLKT IPRQPQVQLIGNGKVPGEYEGLDSPQLKHPHHKTFHKTPVSDEDEDKGITRFYRWHID AALYNLSPPKVTSLWAVKVPQGHTQICRYDDGTGDELPVPLGTTAFVSGKNMFDILSP ELQSLAVRTKVRYAPHPYVWMSKAHALPTGLGIENEGLELDLEELPEWEESKIKTFPV CWKNPVTGSLHIQVHPCGAHQLLIDPLPSNSTKENALYPDGAHITDLAKVREILYNLQ RPGIAPELVYPQDWEEGDLVLFHNRGLLHSVVGAFKEDQLRMFHQCNLAASDDPIGPN DQDVKQYA L199_004817 MPFLSGSTEHSTGIKKARLLIINPNATQAFTQSIQRTLIPPPDM ILDFYNPSHPDAPYSIEGSHDSVISAAACVKDLRGQLDKWSGFVVACFSNHPLTASLR ELTSSPVTSILAAPLLLASNLGSRVGILTTSPRWVPLLTHDIHSLHLSQQCSAGVVSS GLSVLDLEDLPREEVMGTLVRIAKEELEEKRDADVIVLGCAGMVGLDKEIQAVCRSGM VVIDPVIAGVELCATLVRMGLSTGKLGMYAAV L199_004818 MRARQLAKKLETRHPEGLSHLELFLATEDLLPVTEEKKTWTAWS FVSFWIADSFNLNTFTIASSMIAAGLNWWQALVCVIIGYGLVGPLLVLNARPGAVHGI VFPAVNRTTFGLFGSLWPVFNRAGMACIWWGVQAWLGGECVYVLLRALWPSVTRIPNK MPASSETTSAYILSFVIYWLLSLPTIWVPIHKLKWLFAAKAVVGPIVGFALFGWSISR AGGIGPVFSQPAQLSGSALGWQMIISISSCFNNMFTLITNAPDFASRARTPSAAVWPQ IIAMPIGFSVTSFLGIVIASSTVPQFGEQIWDVVKIMDKMLDTNGDSKTRAGLVFISA GFIYVQLLLNVAANSVSAGCDLTALFPRYINIRRGGYIAAIVGICMNPWLLYKSSATF GNYLGAYGVLLSCIAGPMITDYWLVRRGHMRLNDLYTVDRSGWYWYTAGIHWRGYVAY LCGFAVNAPGFIHTLAPNVSVPVGAQRIYYLSWITGTGISGLVYYLLCLLSPPPGMNR TFCEIDESAGEPRIDETMREKYSSPREGAGVPSPSGQSGEEGDGYGYDEKAKRIGTEV HVLPSREG L199_004819 MTAVRSEPTPHSGCLCSHGQQGATAPVANGAKAAPRQLIPDSEA RKTVQNTITSLQPTLKELSLYIHSNPELKYAEFKAHARLTDFLKEEGFEVENYPDIPT AFKVSYTHGKEGEGRTFGYNSEYDALPGIGHACGHNLIAVSGVAALLSLREAMKKHDI PGKVVLIGTPAEEGGGGKVKLLDAGAYKGLGACMMIHPGRGPSKTGQVGPTLAVTPLE VDFYGHPAHAASAPWEGINALDAAVSTYTAISNLRQQLKPGIRVHGIIAKGGDAPNII PEHTQMKYSVRAQTSAQVEETLKKITACIEAGAKASGCAFKIQQGLGLYKELINVEAL AQEYASTMSELYGITIAVGNDEENMIYASTDFGNVTYELPACHPMFGIPTVPNGANHT AEFTAVAGSDEGHEETWKASTGMACVGLRFLTDDGFAKQVRQDFERDQKRLN L199_004820 MDLPSGSSDFASPEGSKRRKRSKQPLIENIIDIESTTFTGQITP SFDVVKNRVSIACKSCRSRKVKCLPTWSASDPLEPPGPCKQCIKAGNGNGEGCFYPPS KDRAAFSRQYVSGLEARMEALESMVKRMLPVFEAVEKDRKIANPSPEETYVRQVDEMA EVSDRGDQFALVNSTLSGSRPMQSQIHPSDPSSSSTTVSTHPRPLPANRDRDRPSTAG SLKYGRYTYDDNGKPRWIGGYNTFSILDTYIHSTPTAHMLSSSSSSSASRRFSPTPSF PTDQWRLHASAASPESGGVLRRMWPSLDQLVFPSEEHERAMIDAYYTQVHPILPAVPE HRLRELYEALVIRRKQHDFASAEAFWALIFAIFALGERALVNTGVWEQARHDPSGKHA VKPDAGLVWYEIAETLHYLSCRDVDHFQVQCLTLLAAYQASVNQMPRAWLLAGQAMRF ALDMGLHTRYHRKEATAHNRQAGSSLWWTIYGLERLLSLCLGRPPGVEDIDIDARYPA CLSDLQMRELQQDSAADLDAMGDEPDNCTMSGFVALTKLCKIAGQVAHLLLRKKLPDS SEDDSVEKAVKTLDKSLEDWFSHDLPAKYKDASPSKAVQQMSAVLSNTFFTIQITLHR HFIFSANTSSPDLNTDALSKCVDTALSMIRVVANRGVLIPPSHHLSIMCQYLWSSGII LLICKKRLISPYNLPPGHIANDINACRRSLAELDQVWPGTRRLRQLLDEVDAVSEPSS TVSLGETNLEPDPAVIRRVLPDEGLHARGGPLLKAAIARRERGDYVGANAQAISWTSP TSPIVSPPASQANEFHISPTSQTRAPSNPPPRLDASSSTVTAVELPIHTQDFSQCLDS ASFDFTDNTTNTIGISNTFDAGNLLQAFFNGTGIDVDSFWSGLNDVQQPSGGTAVASE AGNGGGMFGSNGGTSGGGGDAYGYGNPATGASNDVWITQAGGGLYGGGEGGGGASVMA PNQWSGGGNSSGTATVLFSEFDFMGSTGGQRS L199_004821 MEAVITQDPSFRNVLPPDFLWGVASASYQIEGGWNANGKGPSVY DHLWQHRENGEVACDSYHLWKEDVQLLKKYGVNCYRFSVSWPRVIPSGGAEDPVNEAG LAYYSNLIDALLEAGITPVVTIYHWDLPLPLFQKYGGFYDKDAMSRDFVRYARLLFET FGDRVKDWITINEPHIIFSGASHIFDGRWNPDTDIPRFTESLLLCHAKVVKLYRNVFQ PTQRGKIGITLDIDWVEPFDDSTEAKKMAEFTLESVCGLFAGPIFHGRFPQSVLEDYG DLTPKLTEDEWNIVRDSSDFFGLNHYGSSYATGRRHPPGEGTRMDLISGRAERVFERD GVPIGSRGVDGHPHDVPWGFRKLLQYIHTNYTVGRDIPIMITENGTSIEGEDLMSRAE AINDVKRQTYYAGYLKELIEAARDDGILIGGYMAWSFLDNLEWTRGWRPRFGLTVVDR EDGCKRYPKDSAFMLGRIFRHAVKG L199_004822 MSQDIGEKTTAVDDGPILHKVLDAEDPALAQAEDLVAAETQYTK AEYDRLKRKFEFILLPIMMLVYGLQYADKVSLSSGVVFGLKPDTGLTTSEYSLLTVWF YVAYLVGQIPMMYILQRVPLGKGLGVAIVLWGIAVICLGACNNYAQLSGVRIVLGWFE CCITPGFLLITISWWKRQEATLRSSMFFAMNSFLGGVFAVIIYALARHSQLHGGVAGW RAINFFLGSLTVFAGILTFIFVGVPDEVWWLNKEKKKMVRARIVGNGTGGGESHPWKW SQVRECFRDPQWYFMIVYQLLSTIPNGALGTFSVLVYQSFGFTALQSILYQLPANAIG FVVIISSALLVNKYPRARYPIALLWITVEMIVFLYIGLGKGSKWGNWACFSFNGVVAC ANFLLWPLMSINSAGRTKKSFMSACTFITYCVGNMIGSQTFRPNDAPRYLHGLMASAI LMAAELVWLCVWWYYYRWTNKKRDAAAAAAGLTQEEIDHANRLAGETDQTDLQNPHFR YMY L199_004823 MAATTLTEIPNDSSKELAAYDVAVQAVEVQDPHHGTKRGLKPRH VQMMAISGAIGTGLFLGTGTTLAKSGPLSLFLGYVVYAGLFLVTYNAMGEMVCYMPID GSFIRFANKFVDESFSFAFGWLYIYNASVVVAAELVAIPGLINYWNTSINNAVWCAIG LASYIGLNVCGVHIFGEGEFFLSSFKVFLIIGLIFFAFITMCGGNPTHDAFGFRYWVN PGAMNQYLVEGSTGRFLGFWSVFTNAAYAFGGPDYIALAAGEVKSPRRVLPSVFSRVH YRVTLFYVLGVLAVGVLNPYDDPALTSAAAGGGSSPFVIAAHRMGIPILPDIINAIIM TSAWSCGLEITFAASRSIYAQALAGQGPKFFARTYNGVPTAAVLVVCAVGALCFMATS NDASVVFTWITSLVGSCTFIVMGFFNLTYLRFHYAMRAQGKNRSDLPFTRPFQVYLSW GAMFFFAILLLTNGFAVFIDGHWSIRDFIFAYLSIPAFVVPWICHKLYTRQSWKLAPL ADIDLTTGIAEIEALAENEAPLSNTRLARFERWLWG L199_004824 MEDRIELDTWTGRTNQQSEGMDKYVRGHNHNGSSDRDAEVESSS VNNASLVVEQVAGQSFEAYPDGGAAAWLQVLYCFCIFFTTLGGIYAWGIFQDALHAAQ LAPTSTLAFIGSTQATLEALCAIPISALVTRYGNRNVAIIGAILSGVGPILAGWCTRS VAGLLVTEGFLFGFGQALCFFCAATLPSSYFSRRRNLATGLVYAGSGVGGAALSLSAD GLIKTVGLAWAFRILGIIFLAINLPCAFLLKPRVIVSPINPMTRKNGMTWRRLIDVNL LKDFRFVLVLLGSAVALFPLFIPPFFLPLFATSIGLNSGAASLLLAGFNLASATGRIG WGFMADRWFGSLNALLLCLAANATSTLVIWPIAGRVEPLAVFAVINGFCAGGFFSLIP GVVSSLFSDNDLPVAFSMIVSLWAPGYFLGAPIAGYLLQAFGGPDSGYEAFRPAIFYA GGLGLFSALMVFGVRMKEGGSWRRRV L199_004825 MASKPVPVETQHEDMIHDAQLDYYGKRLATCSSDKTIRIFNVVK GEAKGEPVILKGVFVWKEVGTGQGKGSGGELQDGWERIKEHTLHTASVNSIAWAPYDL GPILACASSDGKVSVLSFQNDGSTDVSIFPAHGTGANAISWAPSVVSNSPGRSSQGQS SISPQKRFVTAGSDNLIRIWGYDEDTKKWVEEEVIKGHEDWVRDVAWAPNIGLPGMYI ASASQDRTVLIHTRPSPSSPWSSTPLLPSLPTSQDPHFPDAVWRVSWSLAGNILAVSC GDGKVSLWKEGVGQGWECVSDFAS L199_004826 MRTIDQIARAAYRDHDHQGEDSQVDRYVREVVQRLGNPSQPELI HQVYDDAAFKPASTHHFIGILSTSPLPSNEPESAELVPTIHVLKTVDEVEPQPVKDVR DQLVDYLATAFTPPDRVAGEFLLLLLLSSPTSRPMSMTPLGTLSVNFKRKNEAATARF NQVVKSVAPKVVPLPLSVSLLHSHPFFPKSTDSSSLDAGLLQLSEGTVLVVEEDAMGS GGQLNEKAVKNLKALAECMTEQKVRYEYPYMEGLKMECATRVAVLSQGKSLLPGTGEV NSAREDLSALRNYLIELSSPRHAAKLEIPDDVAELIQDAFVKGRKENAESAEGTLKRR MKVARLMALSYQDARLSKEVWEKVVELDEELVARSL L199_004827 MVNADPRISDAQLAQINAAAAFPSYNEIVQLTLPDGTTRGGQVL EVSGKKAIVQVFEGTSGVDTSATRIAFSGSSMKLAVSEDMLGRVFNGSGSPINPYSRI YPEEMIQTGISTIDTMNSIARGQKIPIFSAAGLPHNEIAAQICRQAGLVKRPGATKGV HDGHEDNFSIVFAAMGVNMETARFFKQDFEESGSISNSTLFVNLASDPTYADALREVS AAREEVPGRRGYPGYLYTDLSTLYERAGRVEGRNGSITQVPILTMPNDEGQIFVDRQL HNRQIYPPINVLPSLSRLMKSAIGEKLTRKDHGDVSNQLYAKYAVGKDAASMKAVVGE EALSADDKLALEFLDRFEKEFVGQGSYEARTIFESLDIAWDLLRIFPKESLNRINPKI LAEFYSRKTTRQPEEKKEENLIDA L199_004828 MDAQLKPEKIKRGYRACRKAKCDLGDIDAPSSPPCSRCKRESRE CVFAPSRRGGNNRKRETKESSEEQSLSYPPHASPTPSPKRRRLHLNPPLHDADPSSIV VADMQNESDALQILALASGQAATKESIVGGRGKRNQEIYMHEKSGQATTVNNISDFKR FYERRKSDQAPVLEDFPLIKLGVLSAEQAIRLVDRYFRYYHHLTSLRRDSRIPRDSRQ GLGNYENVQSLGAPPTIGLVESLLLLAENLPRSPPLVSPLELNAAGAREEPHGDENRQ GWQLIGLAVRSAYELGLDKMALRILSDSERTLEIERARVAWTYRHVSIRLGKGFWARG AAVCFQGFSSSAQSGPSAGFGNFPFLRGIADDQEHPQEDYGNLIQAYLELTQLMSNAH DTLYPNAARTRSLVIHGEYFKYLDEVSLYPVNTADPSSLAPSMHSKSSGSKNGGRCSL SPISCGQCSTTPSYTSAPSALATIRAEEEYRTKTTTSLKLSLFPRGAAQSPDARYIFH MCDAAREILTICVDKLYPGGALPYLPTRHVLWFTYAAIVLLKALYSGAMLRGDHQKTF NLIDKLCHCLSQTSVDPNYPAVRYGRQLEALRKKLAVLSDANTTPSTLPESRVNHDWD GSELYRDSTYQPMPPMHDWGNAPPTNTAPYAQQPMSDIGSGSYQGVEAGFGLASTYGP TDNLFGFISNWQGDGMPQAVGETGEMDLGDFWVQVGPGEGGFPFR L199_004829 MSTPISLDYTSDRAEELRENIQSVQADIDQAVGSSGSKPRLVAI SKIKPASDIKALYDAGYRHFGENYIQEMVDKAEVLPRDINWHFVGSLQSNKSKLAASV PNLYVLETLSSTKVADLLQKSLPSERTTKLNVYIQINTSLEDSKSGLPPLTPNADGGE VVDLATHVIEKCPGLNLLGLMTIGSFEASHDASKPNPDFETLKSTRKELSRILQEKGF KDAPKEDELELSMGMSADFVQAVKEGSNSVRVGTRIFGERPKKVSKAA L199_004830 MALPSARSALAAFSRPTISNAVASSSRYLTTTTPRLASPPATTS DDAETGLPVPFPKINKYLSFPPVTPHKPTHGVHVATLHLQSYHPYNLDLTTQFAVHAA HSLNIPTTLPAFLPRERSLYTVLKSPFVKKKAQENFERRTHKRAIKVYDSSKEAVDLW LRYLRQNGLPGVGMKAYVHEYVELGFGKKEQQESDSNQVLNEKKIQDAAQDLVKALSS EGEVQAIPAGKDAEQAVDEVVKEEKK L199_004831 MGKSQAEDYLAKAEKKASSSVGWFGSSSSKWEEAGDLFAQAANA FKVEKRWRESGQAFEREAACRQQANEMNDAMNAFHNAAKSYKKSDPEAAVTALHQCIK LLVNSGHFRQAADREKEIAAIYAQDGLDVAKARDSFVRAGDWYKQEDANATANQCYQQ AAELSADLQDYQRSMELYQTVADWSLTSALTKYSVKEYWLRAALCSMAMGDLVTTQRL LETFAQKDVTFPSTREAKFAHELMDACEQADVERYTAAVYQYDQVTKLDNWKTGVLLR IKKALEEDEGGLT L199_004832 MASHLANIFGTEQDRVNCSFYLKIGACRHGDRCSRKHIKPQFSQ TILLPNVYNNPGHTPEGQHMTPEQLQANFDTFYEDFYIELAKYGHLLEMHVCDNVGDH LLGNVYARYEWEAEAAKAVQALNDRWYAMRPLHCELSPVTDFRESCCRQNEMGECKRE GFCNFMHLCHPSKSLVKSLEASQRLSRKKKSENGGAVEENGGMGWVPGGGGGGEPRDD GPGGWQPRGREDGDLGWVPSRRDDDRY L199_004833 MAPLTPAERQKLLLQQEIAKLSGAISRHSTSSTSSTYHPYRGRG APRGYVPSRGRGRGRGGRGGSYALDLRQTNKQSSSAEPSRPSSSASVNPPNTVNEKES GEIEPSPPASDTPVAGPSGTSAVWVKGKGKNGNMSLMTAEKSAQLKAQRRSKPRVPPQ IQVINSLATSSGDKRVVIDGVVFQFEQDGKKLTRIGEAPSSATGTFTPTRKSLSFGGE KYRRTSRGDLIMKRNGSTSKSKQLCRYFTKTGRCNFGLTCPHIHDPNKVTICSRYLKG ACTLGTSCPLSHATSTHNTPSCVRFQATSSCSKPNCLYPHVKVSSDAPVCQDFAKIGW CDKEPGTCENLHVWECQEFRERGTCGKNGKCGLRHVVRAEINRPEVLATSSSVPVHGE GGFEEQDNFIEFDQGSPGLVSDEDGEEEDGSESESDSEEEEGSDSESGSASSEADNGK RNNLSSPSAHPPSDMMDTDEVDGDAVLDVVF L199_004834 MFYPEDLLLTNKNGSFGIIWLAATLGPKNKKITRKQLTTVNLAK TCQIIAEPPEPMALRLSGALLVGVARVYNQNYDIFFTDVTNLDSNLRRSIATDFVTGG SGTGGTANLDLPGGGKSRIDQITLPQAGPEFELGLNLQFHHVDWQNPLNHGRKRRSSS MLSSQATQSQEEEDEENSDDEDEDEDEDGELGRDFKRTKVSSSPAIGAYGLTTKTRNS IHHPSHSIGGHLYAGIDVPMGEIDLGLELDGMDHLVGDDSFSGPSGRDFDLPDGGNDA GMMLEGGDLVLPSRQPSLAPEAAHPATTTPPKNASQDREGSERGSVDDVEQQLGEVKT KKPKKVKKVAFDSTTELDPAGDQEARKRYHEDMKRERAIVESRLKEKVVAAMATCLVD GTGGLEFFDPEMKAFFSALTRVGSFKWESDLTVHRLGKAGVVAEGDDQERPGDGEFDI FGGGDVAMPGMDVFQEYEVPIQEMSGSAGQGSTAPDAEYARRISQGSQQGTLPWEEGR RSVTPGLPDLADTSFSPASLRLSIMTPQEARLRSRSIHGASTGGRSVDRRHQRSVSLM SDRPDDDPLLLVRGDGIELPQDENDLQLEALAPSQQARLADLPPAFRPEMLATLEKQC RDFFSYVERKMVTLSLEELEFEDLAPLGSKKHVAALAFYDCLTLATKKILSIDQEEAW GSIKVRFAIDPV L199_004835 MTISTDAQPEAGPSTSGLTPGPSPYHESSQFRHWKYSASSLQSI REELNGKSVEIVARNTELEKEAHISLGHSYTDPPPPTAYLTVSDELLLLRFYCSQITQ ICRRGFGLPDVVESTAISYLKRFYLKNSVMEWHPKNIMPTCLFLAAKTTNYPILMDQF ISKFSKLSPDDILDTEFLVAQSLRFEFWVRSPEKALRGWSLDLQNQPDPPIGLIQKTL PDALRNLAHCLLTDLEFIYTPSQIGLACFHLSNAQLVEDYLEHKYSTDSMEDGDEVEI EKLPFGMRKDRLLEILSDIEAIIEKDGQSGIDLKKVKEIDKRLKNCTNPEMIPGTALY VKRKREKETAEAASKAAKTAKAAAAALDRESVFGSVLSIPSSKSPALSDRKPLSPKVT MNGVPVTSGITRPGGADVALEDEGMGVKDENGIVMGGKGLRDVGLPL L199_004836 MRRTLNLLSSILLLPLVASFQCSLTASSIPYDLSPLRGLRQVSK DTPTPPTTSEAKVRMELCSPEGIGREDGVADEDQCPPNTRVCLTLLNHKPSASDPDRV TAVIPIWPVDIPEEDVFTTPMGKKGEQGLKVYVQGGDYAGVQQHLNLTLLCSQSDTAP NPTFVSYTSGLVSLEWATPDACPRSADSPSAPSDGSSGSGIGFWGFIKFVFWLSIIGL IAYFAIGIFYNHQQYSAKGWDLIPHRDFWREVPVLLQDLFSHLFAGLRGSSGGRGGYS SLG L199_004837 MAQIDDQGNQSQQGVTDLVEQLRVLADKDNGQAELLSVLDQLAV VLRDDLPQILVAQLKSQDEEVLRQVGRVAANLVIGCDENRERLIGAGYIDSVLSTRAF DSQSQQKPGSSTLSLAASIHNLVVEKHGESAQSQTIADLVAAVIAALKQDLHLPTLLE FARRWTNTFYQLDPLDPTVTIIRWSWSILSIVFEEPPSSLPHSTLDIFILPFSSISSD IDTHLHIITSASDILEAILTPEGPLRQQILPHLDVLLEFVENAEVPEEAKEETEDEDE ESDEDEAPDRTKSLASAKAAIIRVLLLEGDSSLLPVILPLLSPSTPATTQHAVIGLVR NLSVPIETKTILGEAGVIGRLTEMKVWSQEKDLLGSVQGGAAVILKNLCRNDVKNSHR FLAQPLDPLLDLIKRAEDSALRFECTRLLVNIIKSLSLAKESLNPVADQRVVDALVRM LVDGAQYMILQSESVIALTLLATFGGGQMNTIVSASLEGPGVQAVQQLAENPRREIKE NAQTLLSAIDR L199_004840 MSYFMTHLHSGWHVDQAILVEEDRVVCIRFGHDHDQECMALDET LYGVSEKVQNFAVIYLVDITEVPDFNKMYELYDNCSLMFFYRNKHIMIDLGTGDNNKI NWAITDKQELIDIIETVYRGASKGRGLVVSPRDYSTRQKGR L199_004841 MRSASSKPSPTPLADPCDFSSSNIDVTGIEADDEEDTSDDDQGV FLGDHRPDELSLIAKLSASTSASPSPIIHRVKKRDSREFMRRKTLLLSPMTKPNLVKR SVLTERQIRPDNNDSDITSTPSSSPLKQQRYSCSTPTRAQDASDLTLDFAAFNLSSPQ PPTSSIHSQSDSAGSDKENMPVETSESHTDKAASSEEVVIMGQNEDSNGSEDEATQLD MGGLRLSDFSDPETGFEENQSIAPNHYDDGDEKEAVKSPNSDLLPDGDMSSNMDSPLP IARAGPVVIPILSSHHFTSIRAEVGSPCRNLSPPPIIPVLEPFEDKIRLSQTQSPTSL HTVSEPTRTVAFTSTPSRSTPLPPPALVERGAKLLKEATSAIPKKNATLPTKSASRAM AIRNQLDTAYSSRIGALGPPQRSVSSSSSSSSSSSTALKASSSSSSSSTARTTAFPQQ SRSVAAPAKSSAVPKAVAPVQKKHTVVPLASSTTKSLPTTKILSRPALAAKKTLPAIS KPTNKPADKTTNPLRPSTSSSIPLKRPAPVTCLARSTNVDTAVISSSITPACRFPTTA PTRPALGLPSRNLHFHPNHQPIPLQAAPIFSVGVAGDTQVMGRPAFRSPAKAGLLKKT LLDKGTPRKLGTPMRFGTPRMVTMSTPSTWSSAPIHDVAAVPAPVSEGPPATATRHAT AEATSTASLSSASSPTERMRGSSADHEEVSVQLAKPPSSPTKSPSPKKKKRPVGRPRK ISQPQAVATIVPVSSKSKPTSTPPPTAGPPLMSEKELKTTTHRNTVRNQVYHCAIDRQ IIRQSGPRPPSPTSKIRTTAERNEEEKKNAREARAKRRKGQQEEEEAEKPIVQKLVQH RHPGDESDFETPQIPRPLKKLKSNEDDKKPKFVSFDKGLTVIRDDGTARPSSRNSREE SEAVTEVKSCLRAKVELDHHGNLHDAHRPIDNLKRTRVVVNAVFYDGEEPVPFSYSPS NGTRSKKK L199_004842 MTVTGALSADVAQGTYVPPFQYVTSYAQAPEVTGSITSALPVNP TVTASSDAAYATAYLQAHMMSWPSYRYAYLLWFVFIALGGIYALSHHLRLSGGSLGAG FKKWGMKRKPVGRKRAGGARGMALPSNSALISIGIMSIVTVVLALIGADYINPNSSTF NFGDSFRKRASIGYTINKAWWTTGSRFGFMAFALMPLVVLFALKAPPIAIFAIRGFTH LYSDKLALFHRAAAWIVWGFTTVHVVLWTVQLFQDQQNGRAVWFLIWNSYRFIFGCVA YAAMTAVMVLSLKPFRKNSYEFFYAAHVVFVVLTIVCSAIHHPVLWFWMAGALALWGL ERAYRLVRLAKINGMFGKKKRGNLEVVAGRPYKDATQSYGMQELKRSSDDDAPYTDKT LPRPPQAQQENEHELNDFGRSSNYGYYDEGSLQPLGSYETRYDQPQHQRTESTTSMMP IPRSGSTGNMAIPRSASMASMRQPSPGPNVPFFVPVPIPIGYAQAQLLPSRTIRLTIN VSRPFKWSPGQSVLLYLPELSRFQSHPFTISNNDPNEIVLLVKARKGLTRKLFDLVRA RSLAAVGVNDVKDKRISLQSMNPSETKSALQVPPIFIKAWVDGPMGSAGRVKWDNYST VLIICGGSGVSFGAAICDHVCRKINNGIGRTRRVRFCWVVREFAEMAWVASQLRRCQN LVSASQLEISIFVTKSHKPSYRPQQSADEFAPPRPGFVGGPTHQRRGSADSITSQLSV DTDAEPQMDYDETVDSHLSSNYADIIDLTNYEDEEDVNDPAENILSENLQKQGKIKRA KSRKAAKRNTRGSGPPAGSPLYPPNRQSQHQQQSSYDRPLPRQNSSYGVYNDYQVSPQ RLSTSSYDDPYSPRRPSGYDDGNYQAGQNNLYAPQPNQYQPHPQSAPMMLSSTSGSNY DRRQSFRSLADSTYNQYNPFSGPGGGNYSMGPSPSPSIMNFDDNASVAGESVRDLLSR TSRTQSMVLLEDPDYTDNSCISNPYNNDTGYSNGGIHQDKRKSYESKKDGGLWIDESD YVAMDILTELTIKGKPKLSAMLEEEIELNLGNMIVATCGPVTLNTVIRNLVSKHISPS KIRKGDKSGQIDIYSEDYEA L199_004843 MTVTSRKHALHLALPPRIAMTRTDSDDSAPSTPGPRTPLPYESL GYFNAPLISCDTMNLDETSSIGLGTKRGRDEEDERYVEPEWTEEEIDVIQSTLIHPFR PVSTSYPPGELPPPKVLDELTNQILNYAFRHSCSSSSPDDVDPSSSPEVRLSEKKWTH SWDATHKKLFEIALNESKSAFGFDNIEAKKKLTREERINHTNHRPGLRRVDSMDFLNQ QDDEDVDKNEQKKSDNVGRAIRLSTSLQNSAKQEPLLLSLTRSTSVGSGLLADSPLYP AGPFITPAPPIAAITLTPASPTGPTPKSQSLRRKPSLRNLSAKPSRPTSLLQRGRSFT AEDLRAEAEANPSSDDKLLSSSNGDDTDNPIISPKSSEMVTSPNSITSQPLPLLRTSA SSSAGSSAKLTRSHSSSSTLYPQPHAVQKAFLQNPKPSTNDRSRLALPLPIIDDPTPT RSHSSGGWSDSEDESISKKQPRKIKKLKQSKNKLELGGGIRAPAIINQQMLSPELTTS GGGLGLRSPFEEKEEPQFV L199_004844 MSERMKRELEEKRARVAELRRAREERKAQLAQASSQGNVEPLTT SRKDVNDLVDSLLARPSTPITAPRQSSYVSASSQATPSRRTTRATPEESLPGTPGGRA SRLSNEGSIGRASVTGAITSSQGVVDLDMMGSPYPAVAVDMVDMQQELYELPSKVVKP VTYSKAIQTSVTISTSTSDLESEDESGDESGTTRRRRRRADGESGRETEEEMRKRILQ ELEEERKALERELKGLKEKGEEMKLNALSDEQRQAIFAAPDFSAFIEESTKIVQRALS DGYDYIRDYTIGIEGAFDESEGKKVELFCAFSDDRWTKGRSVTDLDWSPKFPELVAAS YNKNPTAVNDPDGIVAVWNLHLLERPEFVFHSPSDVLSVTFSPYHPTLIFGGSYSGQV LLWDTRAKHLPVLKTPLSSSGHTYPIYGMKMVGTQNANNLISTSTDGLVCSWLSDMLA QPQETLPLTVPSHNKTDEVSITCLDFPDNETSTFWIGTEEGSIYQANRYDRASSKAGL NTDDVYRGHAAPITGIDFHPSSGSIDFSDLFLSSSVDWTVKLWRTKGSSSTSSTTNTT TSKNTKGDRGLGLGIGSLHSFEEADDYVFDVKWHPNHPAIFGTVDGNGKFDLWNLNQD VEVPMVSTKVSNEAINKLSFDKSPLLSKKVGLGSSNGKLYIYDISEKLVQPRDSEWVE LQKTIQRLMASREGRDSNSTLNNNHFDLTDQTRRYR L199_004845 MNSQPAEPGPSSPRYRQTSQSGQGYPDYSPQPAYTPWTTQQNPN PNSPYSTMPPSLSPAQTLQHPPSRERLTSSTSNSSFTSTLKGRRAPAPAALDLSPRRD DRYGGLGMGLGPSPESRRVVTEPVRRTSRPLPHPPTQAPLAPSAYTTPIIPQVPTSRQ STLTVDDNDARISPEQPDPSNIDRKALIGVGELATPRWGASTQHQHLRTPSMPFNLGS TPPLPQPTMDDWMQGQEGVGLGFEGEGKARPHSMSQTQTPPRLPSKSPARDRHEESAT APPSTKKEDPMFSLNTLADFNFDTSMEAALAASLSMPETKPSTTAPSEPVHALVPESP IRGLNQMHISESPISPNSARARIYARRQERERAAAAANAAAASIYPSQPPASADSQTF GNRANLPPPPTSADSQTFAHRTSRDSRKTPPNQSRHSSHDILKQFAPKDFSHLPPSPS TASINQILKASGSVNNFASSSTPPSSASGTTSHFGQKSIQRSDSQRLRSATSNPRLDA HTEEAMRKLDGISITPGKSKPKVKTPVASRPGTPPSTKHKEVPSRLSNGSLKDSESPL NNWIDIGEELPAVPIPKAKTAKRESSSSASFIGTPTSRDSHSLPTTSTTPSLSDVPSA KARRASGGSDVSTPSITVGESSDQDRDVSVPPVPPLPKSYISMRQGMNNVVSYVPMRE EPSASTSPLLSPPAQHVTPTYSPPETATSPVMPPPKMNKKWSFSSALNLKASSSPAVS NEELPRSPQTPWSEIHQSELPSPGLNRHESEESQYLATNKPAAKRLTPSSIPFFRRTS STSTQSKGTPPETPKQSDIKPVPGSQSRKSVLGMHLPSMLRGSTSKRGISQQFPAPAK PEVKAEPSQAQSTGWAGRRRGKHKTSVESSLDSRSSAASNRSESTINGQQDRRLPAIV GSPARPADMRPSDSSRNLPSVTPTKIPRIANRPGVGSPSTSMPPPAMPSLRGKTVSSS MADLSRPPISEFGVVEGMTTPRQSTSSAHRAHLLAPMSARQEARRTTSHNRPSDPPIR RDMGPPSRRQLPQPPPTSSLTAATVSSSAKRTSREFRIARRDSKDTLQSQSGGSSGRT SPIKPSKSLHSKLSIPSTSRMSSSSSVGAPGTSFRKTSLAAESPSMSPADDEESLADA EMAAYIKRRRQRAAAGKKDDLSDVNEFPEDILAAEPMSQRAFISRFLHDMSDFERKEV LDFDKIYYTPLPKKITRPPASGGVVYNHGYDDERGDYLVVEGDHLCYRYEVVGILGKG SFGQVVQCRDHKTGQSVAVKIIRNKKRFHAQALVEVKILQQLVEWDPEDKHYMVRMTD SFSFRGHLCIITELLSINLYELIKANQFAGFTTVLIRRFTTQMLASLQLMRSHRIVHC DLKPENILLCHPAKSGIKVIDFGSSCLETEKVYTYIQSRFYRSPEVILGMNYAMAIDM WSLGCILAELYTGVPIFPGENEHEQLACIMEVLGVPDRYLVEKASRRKMFFDATGAPR PFVNAKGRRRRPGTKTLASVLKCDDELFVDFIAKCLTWDPDKRLKPQPAMRHPWILAG RKRHVLSPSRVEEKRQSVSTVTGGNTINGISNVLSNSSLRSSGNSSSSNSKSKLLISP PTPLMARNGHSLQQVPQSLPRVGGGGGHTVPNHHATTGSRLSHQTRNSFTTASKISIG L199_004846 MASIISSIWPILSSYRPNAARDRQAQREKTPLRFGAFEATYIPP GWGVISPRNDKQAQRLYNHIRTVAFWLDAAPVLADLGLPFRAGLDDIISLVPIYGDLL SGVLQLYQVWLCFIFGVPRNILGYMLLNVILDVIVGLVPLLGDFLDNLFKSNLRNLAL LETWLLEDERAKRRYHILVMPEGNEFIPKPKSSRFSTSWFGNSSSNKSALDEERERER LTGKVKVTRRMGKDEGEKGPLNETAREAGSSSTAGDTTGTRRRTRRADPVMDPLD L199_004847 MSSDQDPPTSPRPSKQDKGKGKAKADPKPKFPRSFRIAKPGDSD LDVVAREMHKHLDPDLLGTETMLAEELERIDLLEGEELPIPPGAEGGPDFRGRRTPLS PDHQIPQQSQPVPKIIEEGTSGTSEQSPTKKAILGRLKQSPGKITSFMSTTFHSFCSS PSSKLRRPLSQQPLTSGSRSSHTLTATVEQSPSSPSTGQFVRRRSVSDPEASEYLSQS RQVAFRSGILRPSIPVNIPLSPLKRLEVDKEHRRVELAHKALETGCIDGSSPCIEDLR RLPPWSSITLVKPSREIQPSFLEQIMSPQDPDYPTSPPPPTQAAMDRRSKHFEHAPVV HRWEVIESSQGEPILKRIRNPPPPSMFPARRSSFSINGNSPISNLPPGAAPAIDITQT GGLWEKVSLLSRPTAQETLRSVQEWGNHPEMCGAHHIHSHSLQEDVLLDRNSLPRYRA RSLSDPPSRRAGSMHSAPPPGVSPFRVTATNHHEYTPSLEQDTGSSHSEDQDYQPPEY DSEQVQNSSTIGHADQEDDTSGAKPRSGLSEVMRLRGGSLHSNKREDESRNDVKGGFP SSQDIAPPTFRNYWPRGALSGERSSENCYDTLRPIPTVDNTMLKRMKEEDDQDWYCKN AQDCQSSISDIALNRSYQTRGHDGTNNESLYPPRSSNIMFLAPATSYPAIARPFARPA PTQRMPLHAHYHPSDCWVSNRPNCLVLEKHRSYQVPLEPEIEGHGPQPHLIAIPSSAP YTQLQRIDALDLAIMQKLAFAYGPTAFLVISEVDPDKYVQNASNRIKVLRWRRGVEDA VNDTEEEIKSTSQQSIGLPMPVPEYHHARHHFASSKDRNCILEEAQEYPLRLRGGKPK AGTSDIGSGVSEEQQIPELSTSPALPHQSSEDMPTTSPVVPYTGNFAPQPPHFSAFQN LPANAAGSSSSSAGNVAASVASGSGTINPRMITSQVTLAPNTPYVDPIMPPLFNAAFG KGPPVPYPHHASSAFHHSVVLAVHGFLSQNPGPILPGLKQLGTEAMRALDPAYEREAA AMRALVFARAVGRSAPTRPPVIVDPRSTAPPRVSIARAPPQSPTPRAPAPRAPAITPA PPSPQALAPQEETRLPFLDTAGWNEYLATMSSGLLAEAESSSSSRGPSNPIQVPLDIY EEWPAAFAGHNIQIIPSVSTTLPTSLEESLLAASTAVAPQPPVQGIAVSPAESSSSVG SEQYYPSLPAAGGSSVNEPQPVEPAPSRPSGLGRSAEAFTGESLIPPNMVPQPPSPDL ASLSPTWRGGFLPAIPASVPSTSVGYAPPQITPEVFNPLSTAPGIFGAYDLSGNLPTN VSIRINRSRSASPLSTRPTRTLPRVSRIPSPAPPQPPQPLKPLRVRRLSGPGSMEHPS EDDKQSTAEESREVVEQPKTGPRLKIIEPTPRGSLGSNASPIDPSAGATAQTTQLGKE AVLGAAVQYLILERSREARNKRDTSVPPPQDPKGGRQLTVPDRKTLASSCRRRSSSDP STAMAQYEARKALVAAAFPNQPIPLDQQVYLQQLAREAQASLVQARTEIALQHYSERQ SAEAARMMQEINRGRSPSPGMRSYIDETGTEYIIRDPEYKSRSAPASSSAGQSSSSST GAVGARSRGVGRGNAPLQRRLSQEVRAALIEGSNLQSGSSQDVPKGEEEERGRSRERK GKGREISEAGEQRDPKKKR L199_004848 MSSIRMDGILSPLVISIIAFAVGYQAHSLLSTRASPSLLTPTDS SSRTNSNSKNDTRSVTSSSGSGTDTESDAEDTAAALSSDLTSTKFNSSEEMKLVLVVN DELKMTKGKIAAQAGHATLACAMTLKEANPRLFRAWQNQGQPKIALRCANTEELEILA AQARSLNLCARTIRDAGRTQVAPGSKTIVGIGPGPARIINTVTGKLKLL L199_004849 MSKSATTPTYSTAGTVSAPIDLSGLKGEHTTLDLSSSKKGKKIV KPNVKVTLTSLTLNNSGTLRRINSVVIPIVYSDKFYKEVRDPSLDDVNKLIYYADIPV GAICCKFDNLAKGSKEPPTLVILTLAILAPYRSLNLGTSLLLSSLKASLHPTTPPPPI PSDNKTNTRASLTVAPPRVKVNRALAHVQVGNEDAKRFYERLGFKEVGIEENYYSKVE PHGAIIMVCEDIAKALGESEQPNGSA L199_004850 MSGISLADQLKKLSSAPQPKTLDPESAYTSLDSLSLPKKDDPNE GKEHYLDVGPSRLRMQLDDQEGSGGTLRGVKYQGEKVGRRKIFDDDDDDEEDGGMNFG EVEDSEADDDEDEDEGEDDDGEEEEDEDDDDEEEDEDEDEDEDEEDEDQEEVQPKPKS SNKTLDPMASLRDSRAKDIEKGIAIRRQKALFESLLTLRITLQKALSSSTSLPKSIDA YPSDPEGEIASKKAEILKSLSDLNERLFTLREHLLLPGAEKSVGDKRKRDDYDEEEYW KQSAVRSLTLSDSNHDQIVPILNKWSSKIQAASLQLGSKQAGGSKFLQSVKNGSGGVV EAIEAGLASKRDSEKTLMESEESAYRSLLREVIESRSGSGPAADLTHLRKEKKKKREA ERGGSKGRKLRYTVHEKAQNFVVPIPLSNGWHEEQVDELFSSLFGGVGMSGGGAVAEK RVEVDIGQVDGEGLSGLGGLRVF L199_004851 MFFHLSALSVFLVIAFLIVYYRSRILPILSRYLPTSLVAKFTNY QPLRNFSFSEQAAAGISSSNFDLESNNIAEGSGESRMGLDEAGVEEVRRIMAIERCTF DQARLIRHNRILAKNGIAPDGTPLDSKAITRL L199_004852 MSEVDPSAPAPLRLPAILQNPKSTTLKQVEAYNAQQREKAQARR EARLAEPKIEGRLNGKGKRVIRRLDNASFASNPHIALPLKSDYYPSVPLQVHPLQKPI FPSDAIPRKQSIPSTVRPERDVNSTDSVNGNFQLSLKGIRALLRKKRGRRVESLVQAV EGEMRKWLGGDWSLNLSSTEGAEGLRWKIIDGTLVDIPIEKNGETSGSNTNTNNRRRL PIQHQINGMLPFLPKENDQIPSILEISRSPAHLSWYIVDPFERLVVHLLARYYDLISW SESHQTISSETIRLTHIILPTIIKPRIISSSSNNLLTPETSELSSQSGPESIGSFTAS ETDSGSDTATERGDDDRDDDGSENGYSLEGEGNTTITSLPETLSNLRLTQESISLQRS ISNTSSAYASSEGGNSDYSLLGDSLTLPPKPPAGGGEGEAMGEIDGWSDFGSDMGIGE LPKVNTNINVMIRNRDVSSSTSIRKGWEDKPTFFEYLYGA L199_004853 MPPHISTISQPFNPSTSHELPFVQQIAVILLSFVLAAGFLLVIL SCALWANWLPLLVALTFVLAPLPNSICARCSRADDLSPEYNSAYVDFGRFLTGMLVTT GLSLPVLLAHSKLIQPAACWMSIAGGGLVYGTILVYSGWFGGSSDDEGW L199_004854 MVTAQLFITVLSLLSISHAILPPAHGSSQFRSMRRSDSALEEQR REILPQSRPPKNRGKARALKRGPSVGVGGVHLDVHERTYTGTKGNQKRAVEINGTEVS LGTAQNTFVVPVSIGSPPSVYPLQLDLASSDLLVASTLCTSTSCPVSLGPNVNAYYDV SKGSSGFEEVNGNRTYWNSSYADGSVASGVVVRETVTMGEVVLQGQVMGFINSTNLTL SQQKVSGILGLGFPRLSALSHILLDEEYQETSSSSNVSSSTSASSSIPSVSVSASSSS SAASTSSSSSPTYYPPLLENLVRTPHIPYPVFALALAPPPSSSDSTATSTSTSTSATS TSTARYQSSIGSLTLGGVSSHYISNRTGSGRTITDIEWHEVMPFGKARSFSNDTAEAL SRTLTASSSPTSSPSPSGSATSSSDSESTRRRKRSDQSQLNDPPSNLEQLGEEEYLFW ALELHNLSLNGTDIPLNSSYADIGLPSIALLDVGFNGISGPQQDVVALFSKINDARQV AEGRWVVPCDTRMTIGFSFGGRYIQLQPSDWISTQIESSSFCLAWPIASPSTGDGMDW QLGTPFLKKVYSIYSYGINGVQAPLVGFLPLEDNPSTSESSSSSSGSTSTSTTHTSFD PNSPTPTTIEDLHLTTTIQTVLPNNILPDPTYTTPTYVYSASPSLLQAGITQFIGLAN SSAYSVEQVPVISLDSAATSRIASMAGGEDGGSAAPASSGAMRVYGIGVGMGMGMGMV VGMIGVLFHLL L199_004855 MSAQDHLSTYFDPPPSSSSDKEWKTLLERLELTHPTINEIDEND QEEIAEPVYGTITWPSILDYLPSDHSHIAENDAAAAAGAGPSTPGSRQVDEGYHQNGS GNGGRESFGGDLVKRIQNHLPVQSTFTSLIALLSTSKSNDEIQSELIEIMGFEGNGLE LVEEILKPGAREMIVDEVGSGGSKSKSQSSPTYLPTNRMSVRAGKGKERKHQIDISDV IGSAEDIERRIQEQLERPKAMFSEDGPRLIDQEVLPHVYTATGSKAVNTSYGGKMALP MGTQRHINDTFEEVTVPPSNPVPPKRNERPVKISELPPLARGCFKYVELNRMQSIVQP TAMNTNENMLVCAPTGAGKTDVAIMSIIRVLSQHVTPGPSTHPSGFNLNRDAFKIIYV APMKALAAEIVAKFGKRLAWLGVKVRELTGDMQMTRQEINETQIIVTTPEKWDVVTRK PTGEGELASKVKLLIIDEVHLLNEDRGAVIETIVARTLRQVESSQSLIRIVGLSATLP NYIDVSDFLRVNRYQGLFFFDASFRPVPLEQHFIGVAGKPRSQMSARNMDRVVFDKVS ELVQAGHQVMVFVHARKETVKTAQKLKEMAIEEGVSTFFETMDHPKYGLYKRDIGTSR NKEMKELFESGFGIHHAGMLRSDRNMMERMFEDNAINVLCCTSTLAWGVNLPAHAVVI KGTQVYDSNKGSFMDLSVLDVLQIFGRAGRPGYETSGVGYICTTQDKLDHYLHTIMAQ HPIESKFIPGMVDSLNAEISLGTIANVSEAIQWLGYTYLFVRMRKEPFIYGMPHDVTK DDPQLGNKRNELISQAARLLQAAKMIRYDEIANTFAVSDLGRIAAKYYLRYQTIEVFN TKFNPRMSNADLFQMLCEATEFDQIQLRENEVEELEAINKSDVIPLEVAGGATDKRGK VNILLQAHVSKVYMEDFALVSDAAYVAQNAARIIRALLEIALSRHWANCAYLLVELSK CIEQRQWVYDHGLAQLNILQRDTLHKLNQYTTDDMTIADFRNMSAAELGEFIHMNEKH GQAVLDAANMFPTVGMTYKLRPISHDLLQISVKVEPQFKWSNKISGGSEPFYVWAQDE EGLKIYQWRSVRIQPTTSVIELDFVLPFDDTPPSLISIVSISDRWLWSHEQITIDLAD LVMPPAPAPSTDLLDIPFLRLSCFNDPTLEAAYRTNMSTLNSIQTQAFWTMYNTSVNA LIAAPVASGKSFLGEAAIWNAFRHSKEGLVLAILPQHHAVHETVARLRSICPRGKNVD IHSLVSSADFSRLSAPRGVIGVTTPFALLNNDKLDDILTSSRLGLYVFEDLHLLDEMY ELCIAKILSFARSLRTRIVGITSSLDNPSTLASWLGLDIIAPLLTDGSSEVVPYQPPA LYNFTPVDRDNPISVSIKPFTIPHGATLLRSMIKSTYDILKSVHHTSGGAIVFVPSRQ ACINVSNDLVTQSGTEMDLDGFLTTPRDHVEPLLVGKLKDKSLYEPILHGIGYIHSNL NSGDLALVLELFASGILKALIVHRTLCWTLPVKGSTVVVMSAQYTQTIPIPGNKKTKD GKELYERRIINYSNKELVKMQGFASIDASPLQQGNNGEGGRMFIMCQSEQQILISRVL SNGLELESTLSEVLQRQSRNGPMTYTSNRLEEVLSKKLIKRKGESQYKQQGQAHRLKV LDLRKRDMMDLINYSYLRERIKVNPSFYDLLKGVDNQSVSVSRWIDAWFEGVDEKEVM SNGADKEKGKDKGKKKELNGDDQDGSTDEVNGDGVQDEGDEADMDDDSGRVAVVGDQV NGDAENDVGEKDGETFEKHGEE L199_004856 MAPSTPSKKAIMPPPPPPTPRAPSNALVIRQPAGPVVPVLPAGS QASPAGRPRHTVTVQVKEERGMTSSPLTPRRASSTFPTPPSTSLIPRSTRSRILTPSP RRRRSSSTPLWSRAQSSTSTAAFKAKLAEIRSRTSRTRDPHPASGSFHRASRNRFVTV EDDDEEVQSRFWGGEKVRGSTADVAIDLLSDSDESSPSSSPTPSTCRGMSVPQSLVRP LPFPSTFSNATPGPSNSSRSDRAESTFSVASFGSSATPFLRAPTWDDSDQRYGRTYPK DGPPREVAPTAATSSLLSSPIPLPFIMAIGKMSDLISSFQPEIPEEDTKRGNEEKCIM SVKERLSMVMESILNDELTLHDGELMKRLKDELAASDIDLSLSDLSSVIKFFKSTVYQ TLKSSIGEFRDDQRYKGNKDSRQSMWFIDFKVYLKFATPTLGDKISKGTMETILLYLQ VALNEKDTMKHIVDRLKVRFNNCNKPKTMRLLDAMIDLCASIVNIAFPK L199_004857 MSDLKEPGFKTSDVLSALAAVFEKMPDKEKQTQIKKTNGIFQLN VKNSEGKEAVWVIDLKKDGTVTKGPAKKPDVSISLSDDTFIGLADGKVNAQKAFMTGS LKVKGNVMLATKLDGVLKGAKAKL L199_004858 MSSILPNVLSSSSSNMSVADEIPKSNEVYVTKRGAKLNLEEIGP KPTKKVALICCMDARIDAFSAFGLKEGESHIIRNGGGRASDSIRSLVISQQQLGTDEI IIMHHTDCGFFTFSESEFRSTLKSKGFSGPDVDAMSFMPMSSKDIDQNVKEDVDYLKN HPLINKESKVSGWVHDLKDGSVRRVV L199_004859 MSTTSSSGPSSSSLHHHHHHQQQQNTNTKDRKRSAHWTDSDTET LVNLLLRYKDSGRTADNGFKPEIWEEASILLEGNTYMGGPKTPDACKSRWQRLQRDYK AAKDMEAMPGFSWDRTTNRLSASAECWINAEKQLDAYKYRKIHLPTFDSIAILCTNDS TRTRPRAPKGRSSLGSISNASSMLSLSTTSTSNNQNGNANGNGYQHQNSIPDSNATAE AMAQLQVQNDQNVFTWSTSTGNGDNGNGEQDGTEDGFENAFGMSDGSQPFNLGQKRPL PFDPSILSPAINTNHGPGPPQNAMHHNLPIPQGSPPKKPRPSHSQAKSHTLPTHFAHQ QMTTNQQIQHHLPPAHTIPQHFYLPHTHTHTQNHTPSQPSSQVNSQLNMVSVPHSPEF SSSTTTTSNHQNLLEPSSIIQTPPSASSTSIIRQFTPITTSGSSSNIQGLTGVVVGGG MGIQEITNAGLTESQRLTKAILLLQNQQEVYELKDDDLIEIFNEFENNINSADTYLAI SKDHLRKMWLMKILKRRNGSSGKK L199_004860 MPLDLNYAPGAEDEADDDFIDDDDLPGPSSRTKKGGMAGSKGGA KGKDPGRHAWEAEYKKSWDIVQEDEKGSLESAVESLLARGRRKRALMADTPLRRSIIR HMFIILDLSESMMDKDFRPTRFEVILGYLRSYVVEWFDQNPLGQIGIIIMRDRLSEVL VPMGGNPQEILSVLSDKRKLEPSGEPSLQNGLMMAKGGMSHLPTTSSLETLIIFSSIS TADPDGPITIHNVLQEMVDSKIRTNILSLSGEIKICKQISERTNGKFGVALDQDHLKD LMWDTIPPPATTIAPPITVGVRNALAGAAAGQQRGAAGKAPVGDLMVMGFPIQLPLGG ETLCSCHGLLKKGGYLCPRCGSKLCDVPTDCEVCGLMVVSSPHLARSFWFLFPVANYG VLAVEDLMDSGNGYTCFGCDVEFPDVATIEEGVAQVEDGVSPTGRYRCAKCKKDFCAD CDLYIHDTLHTCPGCSQ L199_004861 MARLKAALANQQHSAAKAAAKKRSLQFEENKKQSIKASLSGSKK GLKKKTKLDLKDGLSERHSTTTAITSGESSNATSSTSTRTKIKSKTNPNQKPIIPFTK DDTILLLGEGNFSFSLSLLYPPHNLIGKQILATAYDSEEITYKKYPDAEEIVKELRGK GVKVEFSVDAMALEKSKVLGKGRRWSKVVFNFPHVGAGITDQDRNILTNQHMLLKFLR SVEPFLTDGPSIFNTDAKKSKNKGKGKSNSDTDDEIEIDGEEEESPYIIDDDDENGLS SIPLPTSSSANEMKIPSKQGSILITLLTCPPYTLWSLPKLATKPPTLTPGTKLIQPRY ELVRSFEFHPDLYSRYEHRRTIGWKEGLSKGGNAEITDRKGKARTWEFVRREKKEDDE L199_004863 MRSKTPLVAFLAASTSLVSATHFTDSLLNKVYNVMNEINSASWE NGTKSIAILESKYPDLSVYSSSSPFQPLDGLQSGQISEIIDIAQTTLNNRPKSNTTTS SSNSTLSGSSLLEDGAAGDPPSLGIAVLLANVSTDNEVVNGIGYGDAATSQLNHLLYN VPRTSSGAISHREDQAQLWSDNVFMVPPFFAYYAVLHNNQTLLQEAYRQCSLYRDTLR QDSGLWAHILLGTGTHDSGLWATGNAWAATGMLRVWATIKASSYASDMSSQMDDLKNW VTEILDASQGYITSDGLLHNYINDNTSRLSTLNITDTYVPDSLKLLAAVSSYVNSTGY LTQVVNPYDFSKQGTVSPEGQSFVVMAYAAYKEWDSLGRRGSTSGNDDPLGSSSSANK VTSGSIPALFGGLLASILGVWSMI L199_004864 MSFQDVPLWESEDESLELESQQPLISPSTQTRPSSTQGDEPILN KSITWPSGQADDFQKLGPDTLPVPDPNQGEGEGDDGIEFLSQSRRSLTLGGPIVGSQS KAEPSVLDEIASPNNLPKFPSAYTTYQSGEGPQINPSQKAELDTLASAICQNHFFLRK ISGGTISDLSTEEQFAFGINRSIAVDNLPQDDDMKRWTAFWESQKTALEG L199_004865 MQVEYESSPAGPAPDESMIEEGESFRPGEPDPNNQDEEEEAGVV EKEKPVAKKITKQRQSLAEKQLGTTIFPISRVKKIIKADKELDNMSSEAVFMISVATE YFIKHFMEEGYTKARLEKRKTVNYKDMAAVVARSEEFDFLKDVIPQPINLSEALERRK QKLANDENPGLHDTTQPDTTLNEDDLPPLAISTNPLFPNAIMKKPPNTHAKTAMPKPL PPPESENDNDNGEEEIAVVQAPAQSKPSSSRKSLGSKEKEKEPKEAQTPNQTKVFTGK NAPSTPHGLTTRGAARRSLAGTDVDMSPVNQLRTEIAADTDEVVTEPISQVEDEDERM D L199_004867 MSQYLQNAVVESSHQLYSSLPAHGKPLIRSNGVPEWTILSTFSL VVPQPQLEPQTRVIPISLGTGVKCLPYSKLSEYGDTLHDSHAEIIARRGFLRWLLWQA NLQAQVTRGEQVEEELFVEMREEEEDRGRLRLKDGVQVWLYISMLPCGDASTYYTSVH QSAEEASQWSVTDDDHSRPSNMGVIRGRNGYTAISTLRTKPGRPDSIPSISMSCSDKI ASWSVLGIQGGLLANLFRPIYLDGIIVGGVERPVNTHGRQIDAYEWEEKIKRELERSL WGRLESIKDHLTSPHQLHLASIYLTSLPFEHSKISIIHDPHNDSSDLVPSPSPLSLSH LPFLPSTHPKKGTKPEIIAEGGILGFPWKKGVLIKDKGRSRICKLSLLIEYEKLLLKE KAKTLDITVDANDGTYYGYKHLTVFGRDYQHIKIILRGIPGQSQSVKGLEKFEEISST GVPNSPKIKEEPPFRGWLVSGKQFESFTSSGHIHR L199_004868 MSQPPPSAPAGPSTPGGTVRPSAPGQSLMTINTIMHNLPNLFEM QSQGRLTDVQIVQLRQLMHTHFRQVIASSMASGRPNPLLNLPEALDPTMPFDGRPPLI SKEAYSASIATTTQHLKEAMAQRAKDVAAQNQNQNQSQISQPSMNTPPTTQPQPQSQS QTQNNAIPSVPLPPQPQRSQQQALQSTLQPISTSGPSARPVAPNVIPNQIPQGAVTSA GMAKINSNPTSVPSPASAAASTTAPAAAGQNTGTSTAIKSQPNKVPNGILAYSTMREL MKLTPEQRTTWLRADPQRTSAFNLSAKYWTSRTNANAAANANANANVNANAAASSSTS QSSQATQRPTTIAPSALLTPPIPPQTNAVPPPTNPKPIPQQNVPPSTTTLGDTSKSDP SQTAPATAPAPSKEETKNPPSTEDNTKPATTNDDKTATVKDSDEAPKAPVGAVADPAL PSAIANPQAGSEVPAFASALPDLKTFALKPPPPPPEPENVRRKRKCKEFIGELHPGME MEYGMDEVIGDILDGLLDEGLKGATRLAKHRKSDKVELKDIAFFVDQCWGIETPGFDA LGHTHRKTHIPPERERRRGRAVNPRAARLGQGRARDEE L199_004869 MNPTPAKRARRTSSPSSPTGSTSSLQRQRPPTASPSHPILPAPI PSNPPGSSGGGGFPPFAPGPSGWQSNWRSDSRRSFDAGSPTSTTSPRLPGDPGHPEEP QSVIFTRDVNSRAPRSMMACTRCRRQKMKCDGPSQVPCRGCRQSGQPCIFEPRSRPKS ISVIPSRPPPFHMSSGRPGSPGLGFYPAGPQPAPPITSRAPPLGAETYAFRQAREPMP PPPATSLAALTSPYQPSRHSPPPPSTSGSIVGGPPPPPPSQAIYHPPVNVVHPPPFPT SSMMAQAQSQPHPPAHTSTSTDSRLRHVESSLRHLQSLPLAVSNLQLSINSLQRHLMP KRSVPVLEATWESYRTRAWPLTPWLVGLRDSEGLPGMVVDLLGRRTVIERDEMRKREC EGLLVDVTAEVGRLVGERSDWSREEIRSLGVLATWINDPTYSAISIAQARSAGLDRLF AVRKTHDDWREWIYLVIMDHLCHIPNLLPPVTRDPLAVAWREKLSVSPASDLAVRDRD SKLLAWLEYSELLAELQQLQNTARTAPLPSENPTEEIILEDRKKRAMDPWRKLSGRID IWSRTWAVSTDPILSLYHNYVILFACSAAFLADERIWQELADSKEGYSIMERGRDAAT NVIQSICSVEIGRTLPYSFALFRPLLGLSIIHLVSLTLTPLPSTSSPLIGPSHLLSVL RQAYDAILTHQPIDRIPIQITSSSQTSSAPPPCLLAEIVESTRVEIAKRWLGVELIKE SWRRIVG L199_004870 MSPETPRSSLFKSRFSILSLLPSRHPPLHVSHPMPQRHNVSRHP TIVSSTVSPVHSEYPDPSTPLPPSKLKRPPPLDLDKTRMMYPPNHSDIVIDPGTGKTE RPVSIPILYDAPPLPSKKDLKAQSKPKSKPMPTKKVVEEDPFEVAEVEIGHRYPSWKG GKVDLKPGQVLPRDIIPSLVSHRSELFSSSPKGKTRDEVEGLENYESVLHNVLLTPTY LRASPLPPRTATASPSSLGESSRYAKRRTLLYKASDMISGVARNARNSKWLPGKSILK PTYALEAGRSLRAMKEREEQEMDRFRRNVKPVRLNVPDYEDDDHTRYPKPRENIGQTS TWSISSSSSPVRENSGTYKRSPGWVGAREWAAGGGAYDGQRARMPERDAAGGSWRANN AEEVKQRTRQRVWKYGIAIAILILAALIIGLCTTLLRKDSKSSSSSSSSNSTDTSTTA TTSAAVPTSTSSETLTSCLDQFRVLPTPTSYPCSDCVPILSTVTNDFSQAVVNGNVTG VGSALQFCALRDIYQKTASKDGLTDWMKDASPCGGWSGISCDPRGRITGLLLQYPNVP DELPESISNIWALEAIHIMGNSSVPTGKFPSNILSSQNVKTIDLEYTALSGPIDQVSF STARNLNTLVLVNNPNLGNTLPDLSGNTALLTLAVTGQSLVDARTDKLPSGITYLDLS YNSLSGTIPNLSQLSSLNTLYLQNNKYTTSPSTLPSSIVSLSLTSNTDLSGSLPDSIC SSTVLENCDLRLTKLSGTISSLNSSSTSSSTSAASSSVASSSAGASSSADVAQPVTTS AAVSSAAAAGASASTSATSISTLGSAVSIIAREPASCGVCKFT L199_004871 MAPKAKSPSGTPLKTTPLSTPLPRKASADILSKSSLAVDDEPLP SGRTTPKVRKLAYPLPTHISPTTINNTESLLRFIILALICGAAIGSRLFAVIRFESVI HEFDPWFNYRASKVLVNKGFYEFWNWFDPTAWYPLGRTVGTTLYPGLMVTAGLIWHFL RLINMPVDIRNVCVMLAPGFAGLTAWTTYLFTTEMSTPSAGLLAAAFIGIAPGYISRS VAGSYDNEAIAIFLLMGAFYSWIKAMKTGSALWGMVTALFYGWMVAAWGGYVFITNMI PLHAFVLILMGRFNNRLYTAYSSWYVIGTISSMQVPFVEFLPIRTSEHMAALGVFGLL QLIAFVEIVRRLVPGKQFQLLLRAFVVLVFVVSFAALVVLTFSGWIAPFAGRFYSLWD TGYAKVHMPIIASVSEHQPTAWPSFFFDLEMLIFFFPAGVFWCFKELRDEQIFIIIYA TLSAYFAGVMVRLMLVITPVVCVAAAIAFSKLLEAYIDPVIPESEEELEEATAHQPMS KAKAKKIAAAQQNKSEFNFTGILGGKGEKGVFGLDTRFAVVSVLSLFLFLFVYHCTWV TSSAYSSPSVVLASRNPDGSQNIIDDFREAYYWIRQNTEKDSVVMSWWDYGYQIAGMA DRPTLVDNNTWNNTHIATVGKAMSSNEDAAYPILRKHDVDYVLVIFGGLLGYSGDDIN KFLWMVRIAQGEWPDEVQEHNYFTQRGEYAVDERATPTMKNSLMYKMSYYRFHELFGG HPAQDRVRGQQIPSTGIQLDTLDEAFTSENWIVRIYKVKKEDPIGRELKAVSSFEGGK KLKKSASSSNAALASGAGGGRKSRPNM L199_004872 MTLLLTHPNSISLTTFSRPLPTTTIPTPLALPSRYPPKATPQVT TSPSGHIYLYSSSTHVVWEYDNKGKRISELSFPNEKIHKVLALNEKKLMVNLKDKDEL RVMQKNGTKWDCIDTLRTSNGEVTALVGDLTSTLVAAGSDQGELVVFDLSTGQKIVVP LGERVDGPISPLLTFCPNHRSTLLLPTSSSLLRITLTSSPFSTDIRELPVKGPVLDIT FSPVNESTDGSQKGGLCAVLRPQGEVAVIGINGSSAPKIMQFGEELEGLMFIDGTTLA GRTRKGSLLIKDLRAFSKPPVPISSSEPITSIQLLPNIARSSRPSLLPSSTSSHPSRA RTPLGERQTGNVPTPPPESVLKGKGSIRENTTVLQEDNVKAQTATRTERIVSAPVSTA SKQPRQPRSTARSTSGPIPLPVNPIVHRLRNENQTSSRIGSATPMIIEEDEETVQSES GEKQSARSTRIISQLEHIEGYDHEPSIHLHWALQPPRESVKEREVILTDNEVIEELRR ELRELKLDMLRMSRGLRNEIRQATKPLWKELEENKEVMERQRKEIERLRRGY L199_004873 MIRSNPTAIPIRANDIKLLQAEIDKRKAAREAAQSRAQSETNEV EGNRGKQKKGDEVFGLGEERKDRQGRSVAERIGL L199_004874 MEQLARVGEVARHFRETKLSTLKPPQEFFDHRQLSRPANMNEAT SRITYNTRHFSGNYLLVILILAAYAMLTNPLLLIALAFLAGGFAAINKFAPEPVQVGS QTITQKHLYIGLFVIGLPLLYIASPISTFFWLVGSSAILILGHASLMEPGVESEYQGV EGV L199_004875 MDQQNRLLAMLKSATTVPGPPSTSASPQGSINSISTHSTSQTLP PPPSSQYLTASNIGSAGSPREPSPTPPPPSLQAVTLLDLFKNISSPPPPTASSEGSNP DDQKNKLLGMLNSIGQATPNAGVISPSQGSNVGTPTTSGEKGDPLAVFKAGHPSHPPA SPTGQGPIINHPSDITSPHVKPVQAQHTGTSTSSSQAKAKPAKTEDRPTSGATFNETP KKSMFHFDSPFDAFEQLPRSRQASNAKPATPKEVKVKSEEDHALNRVKSTEKLVSSGK GSPQRGEVPLQMTNNSYEPPATFTTPVSVNELPQAEIDDKLKNTWQVGKVVKDGQGKG PKALTSHTTIDLSQPNLDSLVNTGDPVHIAPTTKMRTDFMTFKKGKRVGITNTYMAYT MSKGRVRLIDSSSGARLVIQLLGTASFGPVVDLAVTSNYVATIGWDKTVVVHKVPVNG WKADNPEVDMSFYCVAINGPIGWPKKIEWVKREGKDWLAVGGSEGVVLLDPSAYPGGG RVVMMEDVCKQNRILKTEGDVVDFCLNQSHQAIGLLSSTSQCTLYNVSNLNRVWNRQL PSASPSSEPSSIQFCESNILVGRARNTHYDLIQITVDLAVLSTIKLTAPSPCLEELNY SHAVYDPIKTTLYIAPFARGSLYAFKYALKGQQPVKDASKPDGPKVIAFDKVAEYPLE PVISLILAKKGVEEDSEIFYATPTGFSQATITRSAYDALKAPLPKVQQPESPVTMSTT KAVPSPKSPKGGKIELPKPRSKVPSKNTSPAVVKTELPSTSEDEVSTQPRPKVQLRKA SFAPVVADGEESAGGAVTQEELNKTLKKTEDRLSNHLKQLLKNEIATLNVRFDGLTGP DFAADISARIERQIKGSLNNTIVQEIKKTVVPAAASTIQNEVRTVTSNQVPAAIYDAL QTVPKEIERSLAPVVQRTISNLVSNAMDKAVQEAIQHTLLPAMTQTSSNVIEQLSAEM RSEMLQIRKELSPPSKEGQLANDHLLKTMSSSIAELQKQVAVLTEHLKSGHSGSAPNG LNPAPLPQPPSHQPSTFAPPPTHHQPPPPPPAAIPAQPSGPSPSQLEDTFLSALGAQT TASTLQLVVDHLALTDYCLPTQGKSPLSQAVLLTLLHRLAIVLSEIPSTHSMFQQVAG WERRTALLVDPKDQNIAGYISRVLSVVQGQLTTVLNNLQRYPDQNTQSHLVVIRGIMD IIGHKMNG L199_004876 MKTFSDSSNNTGSSSWNSSLSKKFDRLAERLKSRSFHRSSNPEK NQNRNQTQSIRRGTSNHSALSYSHYPPTASPNFGPVSVSTGMNDLTTYSRTNHISDAN QTLYEAISSNTTQSDRNDNSEVYTISDNIELMVINDSVRPEECTDQEALEMWRSQIKW REPGWKKVREDPERYREHFKDDQCDQYLWLREEHSDQQS L199_004877 MVSPAAPGLFLAFAALVLLLFASISPPAWDEVDFLHAQTPSGKV VYGVFGYCIKNGACSHRSVGYDLNLPGASNVSLNDKILHNLTYTLILHPIAGGFAFFS LVFGLLAACAASRVATIFMALAAALGAVVTLVIFVIDMVLWNVLKNRIQDAGYQAGLG NANWFTVGAFVALVLSMCASVCGAFGRFSSGRFAGERY L199_004878 MGGQLSKALGKLFGNKEMRILMLGLDAAGKTTILYKLKLNQSVT TIPTVGFNVETVTYKNVKFNVWDVGGQDKIRPLWRHYYTGTQGLIFVIDSGDRDRIDE ARLELERILADREMRDCLLMVFANKQDLPGAMSPAEVTEKLGLHKMRDRSWYVHPSCA TTGEGLFEGLQWLSQNVKGTKS L199_004879 MYTPPKISVRDAMDMLDDRRVKCTRPLIPPQILLEELPLSLKGA QTVLDGRRQVEAVVKGDDDRLLVVVGPCSVHDPAQAIEYAKKLAEYAQEAKDDLMIVM RVYFEKPRTTVGWKGLINDPDMNGTYQINRGLKLARKLLLDITELGLPTAGEFLDVIS PQYLADLSSWGAIGARTTESQVHRELASALSMSVGFKNGTDGSIDIAVDAIKAAGSGH TFLSVTKQGLSAIVETEGNNSTHVILRGSSKGPNYAAEHVLSAGEKLKKAGLPAKIMI DCSHGNSSKQHIKQIEVGHDISSQMSSGSTSQMIMGVMIESNINEGKQSVPPEGPSGL KYGVSVTDACISLEQTIPLLDELRKGVQVRRENVRNKHLNGE L199_004880 MPLQLKPRSPLPTFTFSLASPSPPTDLAVPVGQNEPIPPRPPAD FIPEKDMYMFGTFPLLGDGEVGRGVIRCGKCGKKGIEWAAGEHRRICNHILEGTPLTT KKVNTKSSGNKTTELSKKRRASEVSNPNLSPKKRTKLSTIPTPNDLAEKDNEDDDSIG DDDISLDLSNYKGLKKSEIKKIKKEKLRLERKEAKDKEKSEVAERKRMRATNPINLDR QCGVINDKSAPCARSLTCKTHTVGAKRAVQGRSRPYDELYLEWQREHNPNFKEPQRRE VKDPKDKQASASLKKKKKSSSSHHLHNGFKRGLGIGADEGIDMDDEDGLKELQELIEI TRLGGNRVRISFGNLGLDKPKVVEEENNTTTTTTTTMTGLKLPNGNGNGNGRGSISKV TATPLPVTRPIIPFQPNWNNSTFTEFTTVGQLLNKALAARSNKFANPPGHAHGHGHGH GHKVTLPITAAGGGVKV L199_004881 MLTKLALTALTFAASAQAAISILYPNSNTVWYKNNTVQMNWTLT NPETDTYLFRAYMSNGDQSVLAGNHSIADSTSATAQDVRILLPQIPAGEGYIVNLVNT TNEAQVFATSEPFEIADGIVTTSFTSASSTGAATSATGDIPNAKTTSSQNPFPSSTPS STSSSSSSASPDLTLNTLMTNGLMLVSIGLGALVAL L199_004882 MSNADSPSSPTPEEEDAPVASLLSRISGLSTNNNDDTPSAPSPP ESSSSTPPTRPKPNTSNPLFGRALASVKSDRPPQAPSTPTGSTSASGSKKNVELIDNQ NKQEEKKMSGFDDGWDTPARNGDGQSNGQAQETKTDATMSEGGLISNDFQVEVKLADL QADPNSALYSAKTFEALNLHEALMKGIYSVGFKKPSKIQEVALPLLLSNPPKNLIGQS QSGTGKTAAFTLNMLSRVDPDLLTPQAICLAPSRELARQIQEVVDRIGQFTEIKTHLA VPGSWSRGQPIRKHILIGTPGTLVDMLSRGKSIFDPKMIRVFVLDEADEMIALQGLGD QTVRIKKMLPPSVQNVLFSATFPEQVQQFAEGFAPEANKLFLKKEEVTVDAIKQLYLE CDGEASKYDALSALYDCMSIGQSIVFCRTKATADQIAKRLTSEGHSVASLHGDKQSTE RDDILDGFRNGKTKVLITTNVVARGIDIQQVNMVVNYDVPDLGRDGDFKPDIETYIHR IGRTGRFGRKGCSVIFVHDERSRQDVDYIMQELGKPMRKIDARNEEDLDQLEKALKAA LKGPN L199_004883 MSESNIPSSNSWADLDDEEDGKVTFKGLNPSANSFNPSSSSSST QQQQQQQQQQSEYTFISCRDSMCKREGRAYFHACAGGRSLLLSCTIHGYNDHNYLPLF HFHYST L199_004884 MDALRPGHAHRSSSDRLLNNYLDSQKSLTTSLLTLLSHSHSSTS SLLAYVTSSPGVIVPIRRGVRHAAFEGPLSSELMNDPHSHSFDQDADHGQGGWATYIS SLDQFRKDLKQIHLLEEELSRVKRDREILVTRLIKSTKSRPTKSDLSALATSYASHNG PAQSSRNSVLSMSSNGSTTATNKESKRAGKLADAQAELLGCEEHLRGLEVRIENERNK VMMRGLEERFRAMDVVGRMWVQQSKKGLRDLERLQDLPPDAFELDSNGSLAPSQSASQ IAYEDSPRRGGGVPFPRGFGHEHGPGSITGSIQEEEEDGSSADEAQGGTLVVHENRPG SRASALQARGRTTPKPSPLGVPSVNTQVRPLSSTIGGGSRHNLNDDDSDDGLPNFRSG GRRAASDIGGMAYKPPKGRQPLRRTFSDDQHNNNNFSSNRPRANSDSSSIASQRKKKG FFASLGRLFKGSSNKKSGRSGRESPPYGSSSHTRRGSGGGWNTRTDSNIKRSGTLRSG RGGRGGDESSSDEDTGNFVSVTNNRNNTWSIDNSRDTKGGIKRSSTMPVASGLIPSKP ATKSDLGMKRNSSQSTITAKPRSVTPLPASAKANAQSTLSRSNTVKSSMSTATVKSSG TVKSTGTAGGKKKTRPNGSIARASITAQQAAEGRNIMQLVDGADSQPKMPEVPKAPKS QVTPQMELPKAPGSSLVHAEPLKPQPAHPHGEIPTLGKTISRSNSVKKVAPKSDQAHA QQQQGSPKKETSPRRSTTPLPPSRTLSPPLKSALRPSSPLPPPAPLPQEPPKPMFTIT APGPVQLTPEKEDPEEKLIPPANNKRNSYHSMTSDGASIYESANEGDSGDEEGQGSSA EEDDESVKGYKVVENEKVRRAGEIATGISRYEEDDGDDTASDHTVEAAPTSSGNRAPP PGPIAVEPPTGGGTSTVSSNGVSRRKSVRMAVPDSPVVEKAPPPVAAHSATTDEAYQP PTSNYGYNEEAQGARPTSPEPERIKGDWSTRIGRMREDTSDEDEDKDPEYMKARKGLQ KLEKKWNELGDQSGKDGKLKKRGSVRSNKSNKSRSSRV L199_004885 MHSPCTPTTSIIFPCMRRMTLLDLPTRSAVLAEILQRRSATSRE LEGCLVEFVIKAWKEGRKVREWCRDIQQILEVIHVTEPLRDLIRSVPIRTLLPFVNVP SNAYFPTTEPPLPPPLLPTELLPNITRSQLIEALSRLSVENHSEGSRPFCDEPLICEL CLSYISMVWKDKKLDIEDNNLVDWISKSLTEVEFELQLQGESGSTNILPILHGFRFQL GFVTCSQLITRFNSNSNSTAALLSIANPAESFDTTATASTVNQDDFKQLESFARSWIH RRLSAGLGYQAIFDELSCIKNRIEDRENKDLIDTTLENELGFCNNTSMDLSTDQSGLL WSSPSSNPIHTYINTSSTTKTEPEDLYSQLASPSALSLLTFRMGRKRSFGHHTPKWLY HKRNNSSSSKLRFPTSDHSRPSSGYFGGTVIPESSSLTSPSTLTFSCPPHGNDDIRQS AFSENTITRQGTTFRDDLTSSLMSLTDPEDVRTVLLNQLMEMRYHLHGHEDESWFLGG GREHAEELLSHLEKKMIGKKDLMGLKEVFVSMRSAFDLPPLVNTCYSAISSDQFDSPF NRGTVSDRNLAQRDSLNLDQFFDEVAQLPDTLEESSSRENEDGHYHESDSEDGKGDHV EPTYQPVREEGKSLSCRGSNKTSQDEGHLEGTGTAREPFGRRTSTSAHSVLTMTSLFT TDSHSEGTRLSCFEIQEAHREYHTESQAIEYHFPLPPSTPPATFASQSQWERYERSSA SVPDLTDIEGKYTRLPPKVGSLRRSKMRIAASISETTSEDLELSTVPSTPTQQPSATL PEDDQEELGIISCKQGRRSSIEPISPTCPLSPSSMTEDSPSKSTFDTHIVTPTTIRHP YPYGHHQPLSTFSSEATLHYRHDHSHLITPDRRRASLGPIDKNRRQIHRHFAIFDGEQ VSPSKELRGLGMGIGKAFENPVTPTTKLTHFSESSSAGVATLHDTTTADITPKVRPRS SKSGIPNPLRIVPPRQSSSQMKSISSFSRPNGARNISSTSASHHDTASTQSQSFVPKP PIPLAEVLDLFKKHQESKDGLSLWEVEDSLYRLINIEKDRVERSNGIWDEEKKSKVRW LIEQIAIILGDPIYVAPISRVVASLSSSNTQIHRPESIDLTTSIPSQVSLFSVVKVLE RPPLPRYKSQPQFPTSFLSSPPRPEPVRPNILRRHTRECFSVGSIDSASSIYSTPSAT DSFPERNLGLDMELEEKMIDFPCLPSPSIGGVVIDESTRSRWASVDREKSRLRRVSKG YGYVDWDVPLPQRMEWPVPQINHKQRQRQYSEGSTGTFGR L199_004886 MTSKPTLIIYGATSFTARNLLSYLDTHPEGDSFEFILSGRNQQK LEIANGKLQRPREVVSCQLDDEDGVKKLVEKGQVIVNLAGPFRWHNAEALIRECCRTG KHYIDLCGESSWLATDIIPKYDSLAKQTGAIIVPSCGFDSIPSDLSLWLAHKTLQSQH PHLTIQNSTSFFKMKGGSMSGGTIQSMHSLAELPKDKRRSGEYDCVPNDGTIVKSTSP VKLLYSLPDPTEQRKTRYGSFFFMYPYNRTIVRRSQYLSTTGAGIRYGEKMDYAESMD IGRGWIGSTIFSLVLTITFGLFFLSSFVRKLSNYVLPKAGEGVSDEKLFKASYVVDNL SISTPSTDGQTVKVWTKFKADGDPGYLSTCYLLAESGLSILLNLQSLPPITKQGGLLT PSMAFGDVLLDRLNRSGNFQITSEIVDTSDNRKID L199_004887 MPIQTLAQQFKESLERHKQDPGRSETPVSLYDKRRNFSYNAKYN RVPPNAQGRKLYQEMQAKREKERGVKEQTIKEKFGISMKDVESTKKNDIWNKDYVQRR PTTLSSQATRHTPSWLKLAAEKSDVTGLMAAYARRHEVESSKPQEDNYISEARRRGDS FHGLPPAPTPSPLPQQSPYHQYQENNIGYGASNQTRIDVHQGQPNILLHPPQAYQQHQ YPENQQLLHVHQPKAHPTLSQHDPDLTQQNSFSFQHQYPLYNNELVSNDQRYYIDQGT ARYPALPSISPHSGTASNILPQSWQNGENKTLHSTESISSLQPFDGYTPDATSTPQRD NRFLKAPHYPSSGSTHSQQPFYPESGGSALDRRHGAIHSIPDPLYKAQQYPTTSMNIP QHNPMSNQEQYSQTSSTQQSRNPRPDRTVLLNDNPYYQASILTSKNGEHQVRFRSTPE VFFLPPQSHADLPGNLQDGSGMTPIPSQQVRQTYQDIHSRHSIPLPHPQPSPGWTDNT ATSWYQPVSGPLPVHEQAANIDSAPPAGLQQAHEEIVKLRKAKRQKKSSQSGKAQRLS AKVVNDLERIADETVDELDLLRRDMLSLDDQPQGVISMASDHTHLSAHPSSPRERCLH RSSRTQNHGWRPNTYHPPHSHHDEYYTDDTETGTDGDSYTSDNFLSSMEDDDAYIPSA HSHGSRGYSRSHHSDSSSHSQRTKRSSKRRRTTSKYHRRKYGSQRRKRPRYTHSPSGR YERFEDTTEDSTDTSDQTHERYDPDVDIDIDEDMEVDHPLPQRQKVPKPVKPLSAIAN TVRLPHTTKSSKPKPTTRRQANVITQVRKAQVPITNATRRKRNIRIPSPAQTVLGEDI EEFSDDQDEEQDDDEVIQDDTDEIQTNKVKARGRWLRDYEERDRLSTVEEENEEQEDK TEAHGGDSHREHDGVQLDERTEEDKFWGEGGDLGFKIWRDGY L199_004888 MSHTPVDDHFISNPDLDLLGHRPHKSAILLFQALTATAVFRVWP SLLFIGGWSAAIVVINQQTKADMTVPSTLLTALGVLLGLTLSYRTSSAYGQYAEGRKL WTQIIQANRTWARIVWIHCPDAIRATPPEDPQLRAVEETRAVIEKATLVRMGLAFAVA VKHYLRGEEGILYEDLYDLVKFIPSLHLPSGIPSSEDANIATGKFPGKHHHSSSITVN SGTELKTLSSPSPSDASQSGPSPRIIARPALHPARDPPKFRFSETFPFKFLVTRRQRY KAAGRAAMRERMKTAKSSGGVGQNVPLEITMFMSSWIANLQKRKTIDVPTTNALLAAQ LSMAEALSNLERILTTPIPWSYNAHIWEVTWIYCLVLPFQLYGAGFGWITIPAVVITS YIVLGFAEIGSEIENPFGYDKNDLNLDFFTNNIIREELAAITARPFSLPDEWIFVKNN DTLGRVGIGADELATKGLEEVRNSLAGLSGEKGQNAGLRGRARSPV L199_004889 MDLDKSLDEIVAEKRKTAPSSQNQGQQRRAPRVSSGNERRSNNS PYSRPPPRSTDEKWVHDAYQGPKSGNGNDRRGDRTNTASLTGTGAGFTGISTRIEVVG LHYEVTPADLKGIFSQAGTLVQGPTIRYDRSGRSKGEATMEFASPQQAKIAINKFDGA MTKGQTISIRLLPPIVAKPRPGPGGPGSAGQANAGGQSLLSRIQGGGVANPPAGGRGG RGGRGGPQRGRGGAGGRGGKRAGGGPKSEGDLDKELDSFMNNSTNGGGDVDMA L199_004890 MVTRESHSPDPLALTDDFDDNEEIGECIVVARTSPKYAVASTPK KRKEPVVEIVTPRKRKQVEDVDTTAKKTSKGPKTPSVSGARASPTPNGKIKSRSIGSI TGSTTTPNKKSTSISTPSLNKSSTPKLKDTPTLASSLHKPLPTPPSAQTQNGSSRKRP RISSPAKPGSSVAKALTFDDEIFESPQSKTKTREEISRETFLANEALKRQREARNFNY KGEASAPKLTRSGRVVGHDVTPSRDSEELDEYGGIIYQRDEVNPLGIQAPIIPDVEIR NDLQEKEDPDIMMVDDEERKSNKQIEQLPKSAQIYLRNVLATLTSQGTSTNPPPFVNE EKNEALNGIVNLLKGTVERGEGNSALVVGPRGVGKTRTVARALNLLLSTSKTSPITVR LSGLAQTNDRLAIREMGRQIAQAEGKKVENEEEEVEEEGNDEEYAPTTLPSHLLALLT QPSPRAIIIVIEEFDLFTEHARQALLYCLLDVVQSIKTGPVESTGRGVAVLGITTRID TLLLLEKRVKSRFSHRTFRIPSPISSDGIGWNALLMDSLIPSKGKENEEDRWKGDWQF AIQMLLKVDRIKRNLDRLTGLTTDVRNLYRPFILPVLSVLSSKIDFMSIQELADSVIS QIEGAGWGLQLNKLKGLPHPALGVLIITKHLSYAGKEEFNFAQVEEEYLRFSRTKLVG SGKVRWPIGVLRNAFDHLLRISLLVPASNASLKPQFQKVRCTLSPHEIVGWFKGEGAN VLGPELGNWGRMMGGHA L199_004891 MSAARELNQEKIAFIEAAIEHGVLLFGEFTLKSGRKSPYFFNAG LLYTGSLLSSTSKAYAKILTSSRIPEFDVLFGPAYKGIALAAITAVDLSRAGREVGFA YNRKEKKDHGEGGVLVGSPLKGRIVIIDDVLTRGTAIREAIEIIKSQPEATLVGVVQL VDRQERGTGDKSTVQEVEEEFGVPIEPILNLQDIIAYLEKKGGYTKELEDIKEYRKNY GIEL L199_004892 MANHPSLDFLLDGGLIPSLPTNGKVGHQELMGMGMGIKRPSHSP PLNFNLPAQPAIPPTHPVTSPPQPVYGLPYMPSNLPPRFPSNQPLTQQVAVTRSSSEG KKPNVHGLGHDQYSSRKTHGHELGHGKNHSVSNIPPSHHQPQSEFHSSGQVNENFLSV RRSLSFNQIQIPRSLIPGDVSRIHHSISDSAVHSNSHKRSISTSTTHPASYSDNQPSG IRLGNRGDEEVIKHLLDILDSLIPKERALDNIPDGKGVLNPLNLLIPLSIMLEALVNE RTILKDESSMVSESKLPLLADGVSMVLEDGEVNWNILNWYITSFGQLLNGLIPFLTVT RNPHIQEQDQEILEDLMRSIKVYITKMKKILGEIASLYVDRYSFVRGWWDEEGMKSSA GEVGRWADMFDI L199_004893 MGITRDSRHKRSASGARRAHYRKKRKFELGRQPAMTKLDSSKRI HEVRTRGGNTKYRALRLDSGNFAWGSEHVTRKTRLLTVRYNATNNELLRTQTLVKSAV VDVDATPFRQWYEAHYAQPVSRASKNAAATEEKAEVKQSNHVKRVLEERKKDAKIDPL LEQQFRAGRLLAIITSRPGQSGRADGYILEGKELEFYNRKLQVRKAKHAA L199_004894 MSIYDTEFSRRIRSSVPHPPSPGSPRYDLRSNGKVGNGDVFGDI TSPMKNGSASPRASPMSMGANVGKGKGVVREYGDRFIPTRDGSDIHAAYQLTADANGV TGQMVGKVKGRRRSGPQAFDGDVRREEANATFTQLLKTELFPPSQSSSRTSSPAQSRS RTRHQQLRQPMTFDTSNIPTHNSHSYPISGAGDTPSHHYPPPAATSSGERGREMSPAA TLPPLPMHAPSTPTSGHGRPPGAGPSSSHHRAHQSQVALTSGTTRPGVSPPNSTGRRS AFSPPPGGNHGSPSTPTKKRILNFTSPGTVRINGGLSGVNGLGVDSLDDMNHPAYSLS PVGKESQRMLLSPRKEIRSIAKTPFKVLDAPELADDFYLNLVSWSASNVLGVGLNSCV YLWSAQTSKVTKLCDLVRVAPDGASIDDTVTGLEWTNKGSTLAIGTNSGLVEIWDAEY CKRIRTMSGHTGRVGALAWNSHILTSGSRDRTILHRDTRIPEHYIRKLAGHHKQEVCG LRWNPDTDQLASGGNDNKLFVWGGTDNKPTWRFGEHRAAVKAIAWNPHQRGLLASGGG TADKKIRFWNSLTGGLVSEYDTGSQVCNLMWSRNSNELVSTHGYSGGPVSNQIHIWKY PSMTQIATLTGHTYRVLYLAMSPDGQTIVTGAGDETLRFWNAFQKSKSEVGKGFGDGM GVLAKIR L199_004895 MPGPRNITILGGGLSGLTAAYKLTRSSASGQKVTLIESTNRVGG WINSTKHEVEFQNPDNKREMIKGEVTIESGPRSIRPRGSEGAKGMLRLLKELNLTSSI LPIPFSHPAAKNRYLLNTSTSSLTPLPTSPLSLISSDSPLLKGLLPSALKEPFRPRLN GVTDESVDSFFARRFSPDIAQNLASAMVHGIYAASSKDLSVRSAFPSLWDAEQRYGSV VLGMLRGGTASKKTKAQVAEEEELGELGKESKKWSLYGLKGGLSTLTNTLYEEIRRSG NVEIKSQESVKSISVQPRQGEQNGMVEIETTKGKYTTDHIISALSSSTLSSILPSNQS LPHLDVNPYTSVGVVNLVYPLSPSQIHPAGFGYLIPRSSPSLNPFGVLGVIFDSTAIP LSTDVRGVTKLTLMLGGPYWSTYDPKSTPPKTNEELINNAIQHLNGIFPHLEDVQPIL KLGKIHCDCIPTYTLNHGQRLRELHESIQSNSSGWKGKLSLIGNAYGGVGLNDCVYSS ESVVDALGQGRSVTGLERWENWE L199_004896 MGEEDTPLQLSVKDEKDDTLDMELDTPSIVQVQESKLEDLFKAD DEDRKPEIPITTTTSGTGSPRSVIGEEDLKSRTHARDDQPQPSSSSSSSPFPTTGGGG RGRPKKVKDEKPQIQLIDDLPTAWDEAHETFVSLDKCHYESKGLGLSREQDEMMVCDC VYDKHDPDTDPCGPDSDCINRALFIECLAGECRAGKHCHNQQFSRKQYANVEVVLTEK KGFGLRAGSDIPSNTLIYEYIGEVVAEKTFRKRMQQYADEGIRHFYFMMLQKEEYIDA TKKGGIGRFANHSCNPNSEVQKWVVGRRLRMGIFTKRDVVKGEEITFNYNVDRYGHDA QICYCGEPNCVGTIGGKTQTDVSLSTMNDLFLDALGITDEVEAGGMKGNKKKKSRQLD EDFVPTLRPIQEPEVQKVAAAMRQSMENKTMMSRLLQRIKMTEEPAVQRQLGRMHGFS LMSMVLAELADDREIVLLALESMSKWKLQIRNKIEDSNIEEPVKKLQDSQDEEISKLA KQLLEYWATLELSYKIPRVKKLATLDAEDEAGTTTIAEAASYSTSTPRRPDAWENTTQ IQLDIAPVRPRIPIPSFHRPRPPPPAPAPRPSLPVSQSSDRLKLDAIIALAQQNLQSA VSNPAPSESPAAESSRSGSMATIEDDERRKRQKRSHYDLSDNEGEEEAKKEKRLTKLV GEVVVRSMSKYKEQMEHDTFKRYAKECTGILVEKEKKGHSYSTTRHSTLSEEKKAKMK AFTKEFTHKVLKRLKEKGKLRRINPHPNASSTSTPTSTSTSSRNNLKIEGGMINTPTP SGTIIETPTDPEGSTREGDLLDDIFGKDDDDVDIDMEMDMDMEQSPSSTSLSASRKES MNLGNGEKSPVDEVKTAPVTVKKMNGGYTVERLDLSTLGKVNGMNHHQQPGQTSSDDQ MNQ L199_004897 MTSISTFPHSSHSPHIASASPNPLHNPQLSHVLVPESPLIDLTM SSPDHRGLQAETASIASSTGTGVEGVRSRSELKRSGSHRRGPPEPRFLSDPSPENILP LLQAQESPNNDDDGQMGRELRIGLILRRALKFGVERCDSELIGWLVGLEGRWADILDN QAQQLEDEEGWGLVGMAIQASCGRQETEECVRIIVGRWGLEVGQRGGRDRTGWTPLHL AALISSPPLISFLLSRGSSPHALTNRGLTPLDLIVGMPDKEDVALFLEHATCYGESSS TLKTATALIPHFPPARQAMLERRRRHATLKMEAIEREERRNRLEVERETWLRERARMV DVDPELLIKPLPTKRESKTPSDDSGLGWMGYELDLEEERKDDDESEYGLDEDDFNVNQ LDLNSNMLVFSLSHLPMIFDILILNYAPVCQPLQKRSLPANALYLYARFAHYKCDEGW LEELIEGVVETIEEGVHNNVENLAYLAFWAYNSSVLLHLLKSDPGINAACEEMSLLGM MEELINAIHVFIIRVAERRIDIYLDAAILDYETLEDFNDIRFEGEWSIFRSFAPKKKR ETPRAASIFAPSPSQVNSIHDSPASSSVLSTPQRTPNRHQSMSDLRLGAGTPRSISHE SSISGASGHSHTPTVEVELNPSRITDILSGVHLILQLYEVNPAIVVQAFSQIFFWISC ELFNRILAQKKYLCRTKALQIKMNITALDDWVRANGLPVQTATKHLEPVSQLLQWLQC LSQIKEFDTLIGTMQNMRAINPLQMRRAVRDYKYEVNEGKMNEECGQYLAQLQKDWEK RRVQFSVQEAERRRSHGSLSDHSSSHSHGGPPMSDVSLQSNIDDSTPIDALFDGSTAL GEFVPQSAPECLGELLDSRYMLPFVLPNDNTYLIASPPRDAAYRNLLLPASPFISDGS GSSRPPSRSSFSSSRPMGWSLPKQRKLRELPMDFFEWLKGKETDYKLNSDAWAFKQKR AIPSTNPEDDEDDRVATIKDIRDLPKKIERKRSIEKSPTPTPTRPVVDTIKANEVQLQ GLLPSLTEDEEKTPISHKNGVELPSSRLRSMKSIEQLRETSKLIQFDNPSSSFGDRKG LGHERSESFELKLRMQRNSSGSYMSPMTSPNPSSMKSPTLSQMSESSGGSGSGGKKKW WKLGKKLSTINLKEEFSVNWDKDQDEDGFDKRKIREGSEDTIGPGGGWYSRNEIEMRT PVKSSGSGTPGRERNSFFS L199_004898 MFYPHQQTSHASSGGGASKHHDSNGDTGGNNRLGSGGGGGWARH PSHPSFTPSGMPLPSPGGYPSLGGGGAFPPPSGGHGGHHQHSNHVHLHGHGQHHPSFG GNSNLGGGLGVAGPGSGNTHSPSGYGGMSLFGGAGGAGQQNSPPRNEPSMPLTAFWQH QLLRAEASRLSSSPHHRARAAAISSRATHKPSAVTITDPNNRPTSSQSIALNGIHRKQ TSLSVTRPDSDRGVTPPPPPSDPSSTPGPEHADPATPAQPPAPNDKEEERVSEPWTGL DLGGIRLKTLSTALFSFDHITSLYVNHNALTTIPSAISRLRQLTLLDATGNELTSIPP EIGVLCKLKDLLLFDNHLTTLPFELGTLYQLETLGVEGNPLDDRLKKLIADEGTSGLI HHMRDNCPPGPEPPERHWIEIEPDISSPSSGKQESFTVLTYNILCHSFAPGTTYSYTP SWALEWGYRKQAILNEIAQASADIVCLQEIDCEQYADYFLPELKRHGYEGQHYPRSRA RTMSADEQKAVDGCATFWKSDRFQLVEAQVVEFNQLAISKTDMRTEDMFNRVMSRDNI AVVAALEFKASGARLLVANSHIYWDHRYRDVKLVQIGMLMEELEKIVENFSRLPARLS SDPEYNLGRGPPKYERSEKGRDIPLIMCVDLNSLSGSAVYDYLSTGEIPPDHEDFMDH TYGTYTNKGLRHRLALRSSCASFGEMKMTNFTPTFDAAIDYIFYTHKTMKVTSVLGDV DREYLDKCVGFPNTHFPSDHIPVFAQFRLKGQSDSHSQSNGSYNH L199_004899 MPARHQAPHPYAQALPEFDNQTTTRLDRRDSKASNWTFDGTTGR IIRSPRDQGTMRDFLPDVEDTEEIRDEQSRGVVVGQEAKHEGEDALQKSFWDPNLSNP SPQVADETLHYTHSTETPEVQEDKDQAQDEDSPHAEVRTSVHPTDDPYSPVSTIRAWF LGIIMSIVLPGINQFFIYRYPNVLVPGIVAQLVVHPLGLLLAKLPRRGRWKWVNPCDW NYKEHTLVYIMANVSAGSAYATDIIATQKFFYNQNWGWGYNLLLVLSTQMIGFSFAGV LHTILVTPASMIWPATLVNTALFNTLHSRSGPQTLRAKSNSRQVFFYITAALMFAWSF FPSYLFTALSMFDWVTWIKPTSQVINLLFGYQSGAGISLLTLDWGMMAAVGNPLATPW WVTGNVLGGFLFFIWCLGPILYYSNVFYAKYLPFSSACVFDNTASTYNVTRVVDDDAT LDVLAYEGYSPVYMSLSSALSYGLNFAAITATVVHSVLFFRHQVWHHLRNPLSKSDIH ARLSSVYPTVPGWWYLCLFMINLILAIMTIKVWPTGLPVWGLFVAIVLAGVMVLPIGL IQAITNMQVGLNVISEIIIGAMIPGKPIAMMIFKTYGYITTTQALGFIQDLKIAHYMH IPPRHIFLAQVIACIVGSITQLLVQVWVFENVPDVCSAKSERWWCPHTRTFFSASVLY GLIGPRRLFGSESLYKHLNWFYILGAIMPVVTWWMARRWPRVGFQYVCWPVVFSCVSL LPPYLPINFISFCIVGFITQCYVRRNYFNWWSRYNYTLSAALTCGYAICIIVIFFTLQ LPKDGKIGQNIQNWWGNTVYLNTLDGKGGVASAAIHLKEGELFGSN L199_004900 MSSSITSSVTSSLTSSASASASAAGLVDKEGNSSFKIVGICLAV GSGLLIGSSFVIKKKGLINSTKKYGNVAGEGHGYLKSWLWWAGMITMIVGEICNFVAY AFTEAILVTPMGALSVVVAAILSHFLLKEKLTFFGWIGCTLCIMGAVILALNAPEEQS VTTINEFKKLFLSVGFLVWASLLIAGSLVMIFFVAPRWGKKSMLPYISICSLIGGISV SCTQGLGASIVTSIQGNNQVKNWFFWFLFVFVVITLLTEINYLNKALELFNTSMVVPV YFCFFSSATLITSFILYQGLKASAVTLITMVLGFLVTCLGITLLQLSKVDPESLDTKL DRKSTILMQAAKHQTEDAEKGQVTSAEDPGMDALRGGFGAVGSIIRARSVSRRMSQSS SSATGFGGGVGGKGMYGYSSGNLSTHGLGHLERFQLSDNPMPADAMDQISLHSAKSPS ALSPTGGFLHQNHQYFPSPQRSKSQLKASSFEYIPLDIISSPTLKIHPTSYQILNSPL KRISNLSLNNPTTPAINSAQANLKNPSSSIQFEEADVVHQYHYGSQPDDAAVHSYRPH PSPSGLGMPTMGSRQPSSGSVYPVIEETDEEDLSREKEKGSSSRVYTNPVPVIARDQA YPFPDTHQHPNSKPYNLDQYTQPSNDPNLNAQPQKITSGHRSFSGLFHFPSGPDLSLG NNHGNGRRSKSKDRSEKFPHKRGEDDELDKQERTALVPSTSYDNDNDQSFEQGFDEVD RYESDESDGLDGKTTTENAHEAQIGVGHRVNLSVSTMDDSISANTTTTFGTNPSDRSI PTSRESSGTGGGGPMGPRALPPSKGRRSDPPGFAGPRYGS L199_004901 MTVLQPIRKAAIIGAGQMGLGIAYVSAVHAKIPITIYDPSSAVL SNATDKYKSLLSKDVKKSRLTKEQADEALSRFNTIKSDGTTADGSVKDDVDLVIEAIP EIPELKLGLFKRLGQTLPPSIILGSNTSSISITKLAASAGSGGGEDGKKSSERVIGIH YFNPVPIMRLVEIIPALQTSQETIERAKSFGKACKKEVTTSSDSPGFIANAILMPMIN EAIMVLERGIASSHDIDLTFQLGMSHPLGPLKLADLIGLDTCLNIQRVLHKETGDSKY RPATLLVKMVDAGWVGRKGGKGFYEYDKDGNVLG L199_004902 MSSSTRPTLRPNPSLPSHLKPPHAHTHSQSQSRSSTPTPHNQQH NYVPSFDDVGLSANGINERALFSEHNTPIPSPLPQTPEDPFNTNTEVDEEDDTPEWRK PSPRWLYPFILGVPLCIGMSIAPKAELFVNLACLAHPPSATKDSTSGHHPRAIEEVHI NQAYNHLGIYHHVHTPLTRGDQDQTFVPLPIENQIQPQPNGNISIGNDYVLSPADKWF LKLQHDIYQYHLHHPSNKSSKHSPVPSGRPIIVHPPESGPEPSEPLPKPNEPFPSDPD DDKDHRGQSPVPSDDKDGDKQDHRQYEEIDPRLCKKDPKVLAAAAKLTMLMTLTMGLL SALTTGFWGQTSDKLGRTKIIAVVEIGLLLNEICFIVVANFPYLVPGGYRALLLGPTI EGLLGGYSTISATLNAYVSDITPDGSRVTLFARISGMFMAGFALGPVLGSILITWSGD IMTPFYVNAIIYTLYIPLIVFLLPESLSSQARLQLAKNAKLAKEEAKKRDQLEREWEN ETPFPPDGVEGESDPLLSGWSRISNNAQASKASKRTIGNFRRLIRKVFGFLQPLSIFI PVKKEGERYRDWNLTVVGAGLFFMSMVFGIMAIKAQFTFYAYGWTSAQLGPYMSVTAF TRSFVLIVLVPVVMHYVKPRFLANERDSNAIANPTIEEEVIEDPSSSAITTNTTSSNP AKPKQPQRSAHLDLLTVRIALMLETIPYLLLAFSPSPMGFVVLSMLLTLGGPSNPAAN SLALSLLPDSNQSGRLFGALSVLHALGANLISPLMFGTVFASTVGTYAPTIFAIAAGS LILAQICMAFVRLDRHKSTGSGSGAEEGLGGRGRSRRVKRVSSSSFSVGGSGSGVSRS GGGGGGTYGAVDDNGNQIFDHRS L199_004903 MAFSSLADELAGAFENDPSLDKGEGLGLGQSLADEFGLDYELHQ GHDHGMEEQGEIDRLEDELQHPSTPPSRNDNLPKPTTPPKVDGRWRTVKSTHEPTNLD EFFSPNRGIDLDRDLELELEYGQGHSFSPQPHFQDEDSYNYQYEQDQLDHLPTTASPV RVRGIQNKSSTRSLRVNPKSSVKSLRLLNGNEKEEGIQEALIILSEGISANSRLLNSL RQINHHHQEGEEEIEIRLQRHLNRMNEVERFRDEWIRDLGIWSREVGLGGLEGNGRLG DAHDKLIDVNEEDEEEEEGESLGWTGESIIDDVNHLSEGQEISKNPQIALSHEEDHLN AQDNTLLDEDEHDSFPTYPSDRSLMSPITPSNQSKYHQTQPQNLPKLIMAIQKDTDIL LLSLRNLQDTMHTTTSFHTSLTRMMRGIRSSIDSYREREHVEEQARRKIEEWEAERLK IGLTRNNPGGREGGWTTREKLAKECREFEDVLERYGDRLKGLKDDGTRRVGVAA L199_004904 MGSNKPRGLQAARKLRTSRRENRWADKNYKKRALGKFYKTSPTG GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFTDENDEV LISGFGRRGKAKGDIPGVRFKVVKVSGVGLLALWKEKK L199_004905 MAPLDFTRLHPSYQPQFSHFPSRRSTIFSTKGVVATSQPLACQA GLEILNKGGNAADAAVATAAALNVTEPSCTGIGGDIFCLFYDAKSKTVKGINGSGRSP KALTLEYLRKQGITGDSIPLTNLNSVTVPCAAAGWLKTISEFGSGNLTMREILDPAIR LAREGVPEHELNSNAWQKSEQLIKNASPNWKEMMMPNGKPPQPSHVMLHSELADTFEA VAEHGREGFYKGRIAQAIVDLVQSGGGVMTLDDLAECDAEVIQPIKYDFKVGDAGDQG VSLWECPPNGQGLTALVALGIVEAVESQHGIDVLEIPHNSTLYLHILIEALRLAFAAR YYVTDPEVVHVPVKELLSKEYLNKRAALIDLKKAGQITHGDPINSSDTVYLATSDKDG NSCSFIASNYAGFGTGAIPKGTGFTLQNRGTGFTLEEGHPNNIAGGKRPYHTIIPAMV TQNGELLMSYGVMGGFMQPQGHVQVLLNKLRGFSPQASLDAPRFCISAGLPDTSKKGS LAGAGDMNSEIWFEDGISPQTVDELRALGHRCEVASGFARSIAGKGQIIQRVTDPNGR RVWAAGSDLRGDGCAVGQI L199_004906 MLISPSKIHSTLTSLLSSSSSDGPHTALLIYPNGHLVSSACVDE SSTDDDQDQVENQDGTVDDEDGDEDEDDDEEPYLERQERTRLLLGLASQWARDDSGKM ECELGRLHFTYIPLPPSDPVSSIGKESLPSVKPQNVDGFVLVLNATKEVDWKVLVSKS DEFVDKWK L199_004907 MTDFLGGDPPRPTTIIPGQWVIQLPLPETQIYIHRYKRASLDGE AGPSIYELEDVILDPFERAWRKINDSPNDQVSNVNNLEQPCAIISHAPVEERQEKVLW VFITNNDQIVPLDGLEEITPHLQPISITQLVSCPKHGHEPSCLDSQPSSDNKTTCIVS LDTSQDVARHLDLLAAALAERMAWKKGTRLILDSKPHLQSSLRASIRPISSSKLLLSV RPSTRPIATSSITLPPLRLLPLNLPALHVSSSSPTSTQDRHLTSTLDSALGHRWKHGR SESRTLAQITGEAYSDWSIYWVPLSTPASTKGKLTPRQLVERWKNSQGVLTIWPTHLS EPYFNTRYPKGKNSVVRPLQPKSSDLLDISTGVFDFLSSYKEPDPPLEHEDDEDDEAD ENIDVESTVITAEPEPEPIGIDGGGSEKSDIDDLFSEHSNSNSNSNSPAPTIPLPIPP SEPILDQNGLEPISISVDQDDTTNSINMNIDMDMNNENRHLSRNASTTNGLDNTQKEE MVTEDDFAFFDSPTDQITNEGEFNESTQENQAAEDDKMILEMDLPSVLDVQPDLTLNI EQDLSQNQTSTQDDALPLEAHDLPTSSSYLDPTPQVNDDNMLPQETPHPIAADVTALP PQPPSPVPLTFQRSTNDLIPLSFSPLPLLPISDSPFPYSLPTPAPTPSSLNWDLVERL QPPKTSVPTYANDWQMDEEISEIDEEEMYTGPPTPESAYTASDEDERNSVINDKKRLS ESNGTEIEFSGVRCIASEWVYLAYEFGYGVDGDRLKELMRDWNPSWIRTMTVLPHTPP AEAVDRSWNKGLDLTRFVKEMIGNRALRQMLDYSDNTTSTIHSDIMGLLILDGGITLS DLSKESKQRFLPQPQISAGYHNYTINLSIPSLQYWSELGLQPHGGYKDVEAITLCEDT DEAREKGKEIGIGMKRTWEELHLGSHHIAEIGGATQGVISVPASVFSEAVANLMNQSH THTVVYILLPPSTTISSSLLNSLFNISLSPSSSTVIQLVPQCALNPSCYREISFEVYN RISEPVKEINARSISDPYGLSQPSISDDHLTRQAFTLARDGIPTPEFSMSWPLKSYDV LNNHRSILAVYNFDEDLGVMMGFIVDDLGELFDWMIWDDQIDVGVDKIWKWIKGKSDD WLINWRLNVMRVGKMYSDELKAWRKISNISQASITLLTTSYDLIGESDVQDMDDIPRP KGFANIPLTILNDPNSQIIDLSLSAQLTTLPSVKLPIDLEVPNGKVNEEVEIVYPVSS FILTHPSPSHEKYQSTVYNILHRNHSHGKKDLDTGIEDELGEAIYRIGCLIDSRWGIN GGLAGLIRAGIKGLSSLAQGGKD L199_004908 MSSAQRNALYVLRMVWRDPQIPLARRSVSTATATVPLTIQPDHS SSTTSTSTPPSTSPIDNVHSLLPIDTTSTTSTPSFLEPESDEYTTASLLLPERQLHPE PIPWPTLFPNSNVIAPQSKFCDPIYRLVARDRYNDALTIYKEILSHNTRIQKGLLGHS IRIQHRHEYLKPAVRSLVEGDHQSTLLWLNIYPNRPATSNHPVLKEIWKPVLDIVINE KESFKEDPQFLQEFMVLVGKKGLLPTFLPQLLPHLTFAFPPETSLRILGESIKAYVST TTSDNSQTDRARYQEEIVQPQVVAWWGSYLRKLILAGWKEQALNLAHKKPFGESGEWD DITRKFIDEELLEVGRKKKDDSLRITDTTDIIQRIRGTLRDLPTPTELSTLIRALSHP LIAQEHPTLLNRYKSRFVRPPTIDLQRRRRETPTVQSKLWIHSEIINLQKEGEHHQAV EHFRENFFWVGLPPLETIYEEPKTPIGNPRSKTLPSIQLITTIIPSVIYTLPRPKSKT VKQFFSLYMSMLKTTPPSLRPNQVTYSTLLRELSHHSGSMIGLLSLRGLIDKGINPGQ ESYAAVLYALAGRRHPEQMWDLLDQAEKEGMVGLRTYRGLLAILVKTGLAREAERVFW RAREKTGRDDVFDGLDVD L199_004909 MAMSMGAARRGKPKIRPPKRPTSETPIKDTWTKLANAIREIQNH NASKLSYEEHYRYGYNMVLHKHGDQLYNGVKALISEYLDRLAEEKIVPTFPRSGGTRG AGKLGGGAEAIERALEGDRFLKAIKSAWEDHTGSMRKIRDILKYMDKGYSTANGLPLI YDVGLTLFLLHIIRSSKYPIHTHLISTLLSQVQLERDGETITRSTIRECTDILLRLHV SEREGGKNVYLMDFEPEFLKRSAEFYELEAIDELDKGDAASYLRRVERRLAEEADRTV HYLSTVTHNALQELLVANLLKPHLQTILDMPGSGLVTMLDSNRMSDLRRLYVLFLKVP KDQGKTSLRNALRLDIEERGKAVNQERLEQPGPSTLGQDDDGAAEDGKGKGKEKAKAT SPANNALTSALKWVQDVLELKDKFDGLLETAFMGDKQVQVSINEAFQSFINANPRAPE YLSLFIDENLKKGTKARSDSEIESALEKTIVLFRFLSDKDKFERYYKNHLARRLLYAR SASDDSEKQMVTKLKMEMGFQFTQKLEGMFTDMRLSNESANSFRNYLTRHGALPIDLT VNVLTASYWPQPIVASTTCTFPAALQPSMETFQKYYDSRHSGRRLTWQGNLGTADVKV RFKSRAHDLNLSTHALVVLLLFEDTKSGETLSYPDIKTSTDLPDPDLIRTLQSLACGK FRVLTKIPKGRDINPTDKFEFNENFTSPLARIKIMQVASKVESTKEREETQEMVDEER RHQVEACIVRIMKDRKQMSHNDLISEVAHQLSTRFSPSMSLIKKRIEGLIDREYLERT SDMGSYRYLA L199_004910 MSISLSSRPLFGGAISLDLPADYIDASDLRQIPDNQEVFLSSTS DTAIVLEVLGMVEDGLASTDLWEAIKFHFSSIAHDNASLHSTILTPPPSSSIPSQPSD ESSATTPQPVILGGTQRIHKFSHNPTGAPRPGHEDDIPDEVWIGVALWRIWLENQQTG KKKKADLVLSVNVNLSAEGGKGLEEKERVEQWFVGDCVRSLKVLDFGLFGYTD L199_004911 MSSAHSDSPLLPLDIRLRTLEAQLFGVPPSIVDQPSFIQGKAKG ESSKSAIRQIQEAEEIFERLSSESEGIKRLLDGYDQYLPLLNPPSTTITTLTNDQEQD STESNKKERITESDLLPDAIKLTMVLEAYSDIKGAERDLREIDSLKNKDVQGSGNLEE LLPLKPNLISSLKQTQNTSRELSKLKKELSTLLGRYSEFTSTTSDLFIDLHHQLQYLE DRVYKLERKKIKEIQERY L199_004912 MSTNNDNNRILHESPSSTYSARLGLGPPIPTSSSKDGNSQSEDV GASEIHGSPEKKRRYLEEENTPSSGAEQGGKLGSSPTEGRQGKRHSPRAKNGSSSARM ESTEESEKRRSRVLRTEQNTPGSSTSPSASPNMQSETIILSGPTQGGDDDDFEDLEDD DDEDGRRDSILDKPISPRNPTKVSPLAKRLGEEPPFMLPHPSRNTQTSQTSFPGVVIG SFAGGGGTTMTGFEGGMDDILDPIVNASANNQGRQGRTSANPSTTTHTQAAPNIAPWL MDDSSPSSASSSTTVIHQPPLEIERTPSTRGPAATLREKESSSRKASGVLNHFSSVPS LPKIRRQPTADTPNQSELGPSSRNTSHTSISTQILQGSGQQTPLASSSSSIIEGGSRQ RNNSNDSLQTLSGNQRSARDNSPKFDTATNQSSSSHSNKSHGTSRVGRFGSTASSTSG SGGTGNEKKKGFLGGLLKRRTGPSISLGSVPDFQPPETLNRGSTGSTSSRISNSPSIG SMISSTYGGLSPGDRMTSDSYGRNYRQGSNYISEGAISPLQEIAETPFHLDMNLDDME GIVDPTKSGVQPTFARPPPATHNSGTTATTESTAGSMSMEDALAQTSSFATTVSGGES SGSGGSSGQPPATGRNLVGEAERVSGPFVRNPFSTGSSVGSLDNTAKPSTPPSPHTLS PRHALPPTTQPRRPSQLRNVKMGSIDSENSEPVEGATWQHPIAPSWAAAGQSSQTVFN DPFGSTKVLPPIFDDSPAGAIPESRKPSESTTADMTSSISALPSHMGGGAAAAAAWAA PESWGVEGDEEESDDESSSSEEGIDEHWEGDTSNVTATANGRQSGGVESLQSPSTASS APKSPAMSPGGTKKPPPFGFKSAQSGPRARSSSGRPSTGQGGKRGKTASGRPSTAAKS KDGRPGTSGSIHGAAAVHWIRIYRSDGSYTLHQLPINTTTAEFIGILSGQNDAPPGKK ITTSMKLYLRERGQDRLLLPSEKPIAIQHRRLLQAGYTEAEHPEEIGKDDMAILCRFI YQTPALPIMNPEEESSYDSFEFIDVARRDLQTIPIFLHLHAHNIIILNVSANPMTDIP LDFIQACTGLKELRMSNMALKRVPNSIRASTTLARLDVSCNRIADLESVPLNEVETLV SLKVQNNKLTSMPSYFAQMKALKYLNISNNKFETFPSVVCEMSNLVDLDVSFNNITEL PSKMSDLKSLERLALFSNELTSFPPSFSTLLNLRILDVRRNKLTDLTAVYALPNLATL QADYNNIVTLDAQIGANVRQFSVPHNSITRFTLAPQPNMAMVTYNLTNLDLSHGKIST LADEAFSGLINLVKLNLNFNQFTRLPTTLDRLKNLEVFTCTDNMLSDLPLGLGKLQKL RILNLHNNNLKNLRSELWACGSLETLNCSSNLLEGFEPFPEDWETATGLPRSGLTDHY NGSPPITISGRHLYLGDNRITDEIFHYVANLPHIRTVNLSFNDIYEIPPDTIGHCSKL EHLYLSGNKLTSLPAEDLEKLVNLKTLHLNGNKLQTLPSELGAIKTLQHLDVGSNVLK YNIANWPYDWNWNWNTALRYLNLSGNKRLEIKPTSAQEMNHASSFRKELSDFTALTQL RVLGLMDVTLRIPSLPDESEQKRVRTSFSDINNMAYGISDMLGNNEHLGMFDLVVPNF RGKDDECLFGMFGRTTPTFNAGKIPKLLQELFAGQLVHHLGKLGKDEDMGDALRRTFL YVNRALFESCFTPGTTRKGSAQSMASIDDMFRGWAPAVGSIYRTGSSGAVVYLSGKTL HVGNVGDILVVVSRKGEAELLSKKHDPTDREETARIRRAEAWVSTKGFVNDDKDIDIS RAFGYYHNFPAVNTSPEIRTRPLTEQDEFVIIGNNALWSRCSYQTAVDIARTEKHDPM MAAQKLRDFAISYGADGSVMVMVVNISDLFFGQSGHRPRGVPGSNLPSGATDSTTGEI DSTTTTTSTNTALAKRPITRRREEVGDRTLNRLQREIEPPTGQIAIVFTDIVNSTHLW ETNPGMPTSIKIHHNFMRRQLRLDGGYEVKTEGDSFMVSFQSVTAALLWCFNCQIGLL SQEWPRELLEAQDGKVVYDSQGSLIQRGLRVRMGVHWGSPECERDPITRRMDYYGPMV NRAARINASADGGQLMASGDVINEITSVREYLETNDEEALNELQGDIKREILELRRIG LDIKDMGERKLKGLEVPEKLHLLYPKTLSGRLEMSNDLRAEVEVNDARKPEQRLIDIE EVKELSLITLRLEAICNLGSTSGSSLSSGSGNSDILSLSPPGSPHEGKKEPSSTKKVT GGVTLPVPNLNLGPTIREDMNDEELIMIIESLTGRCENALSTLYLKQVGGFASVMSAL EKATRIDSRLITHALSLMNGAFGG L199_004913 MKSNFVFQNLCGTVYRQGNVIFTPDGNSVLSPVGNRVSVFDLVN NKSRTLPFENRKNISSIALSPDGNVLMSIDEDGRALLVHFRKGTVIHHISFKAKVNHV SFSPDGKYIAITHGHKIQVWKTPSHLVREFAPFELHREYTGHHDEVVYICWSKTSRYF VTTSRDMTARLYTLNPLEGFKPKQFAGHRDVVISAFFSEDEKTIYTVSRDGAVFAWSA KRGASAEDSDVEMDILDAPSTSASGANLALEHTVAYTRWGISNRHFFNQPGTKVVCAT FHPKTSLLIVGFSTGVFGLWEMPSFTSVHTLSISNEKISSVAVSASGEWLAFGAAKLG QLLVWEWQSESYVLKQQGHYYDMNTLSFSPDGQNIATGGEDGKVKIWNASSGFCFVTF PEHTASVSSVEFAKQGQVLFTASLDGTVRAYDMVRYRNFRTFTSPTPVQFSSLAVDPS GDVVCAGSQDSFEIYMWSVQTGKLLDILTGHEAPVCGLAFSPTGDQLASASWDRSIRL WSVFGRSRATEPITLSSEATSLAFRPDGKEVCASTLDGQLSFIDVEEGQIKSVIEGRK DISGGRKMDDRLTAANNAASKYFNSVTYTADGTCVLAGGSSKYIIIYDRQEGVMIKKF QISQNLSLDGTLEMLDSRKLTAAGPIDSIDLDGDNSDLEDRLDKTLPGATKGDMSKRK YRREVKTTCCRFSTTGRSFAACSTEGLLIFTLDELNNFDPFDLTLDLTPENILKQLQQ EEWLIALIMALRLNENKLVQVVYEKIDLRSIQLISRQLPQIYIIPFLTFVSKHLESFP HVEYDLLWISSILTAHGKHIKENKGQLAPTIRGLIRGLMNLEGSVAKLSDQNTFSLDY ILSQIVRNEKKPMDQNGLTELGIEVDI L199_004914 MATAHRQPLGLSDALNAPKHNDTAYQLKKARSEVHDEKRKRAEA EGSAEGWKRDLEISKKEVESLKKDLERAKAKISRRDEMIKSLENNPASGLSPNAELER KLKDLVERHRVSKDKSQKQISSLQSENQSLQYTLSERTDKLKILKDQNSVLEDENRQL TQHIESLKQASSDHALEVNTNRKEIREYENEKTESKIEKHRLEKHVSRLEGELDNLKL TISREKQKEERVVMREEELRKSNQELQQVVASLREEVKEFDEVREENDRLQRLLEVTS ISYRLLYRGSVTKEKYQQLEGKWIETSTNALRWQEKAERLEGKLNRNREIIRDLQEQL KISKDERKLLSLMVQDMIQDRHNLRDELSSFIKSHSPSDSSVPLDQLPFLPVLDMAMT HNQLSTSHLINQLTSLRQAHSNLLKEYETTRESLVSSSTTLTALQRSFAELKSSHQAL EESHTPCSGLIANLQLDLTTVRDEVVRLKEEVKIAHEEMDKSNKQSRDDREALKRANE VVMRSKMAEETLDEEVKHLQEAYYESSKYEELYHDLKEQYGILESREQAAVDEAERLG LENAELVGHNNEGQKINYVEGVRREMVMIKQELASTRHLLNISNDKIIKLENEIQAYK SIDLIDSGLNGIGLGSSRTKVMRRQPENGRLTAPRSKPRSVSGPVWR L199_004915 MSFPPLNLTLFTSSPSFHPTITLHLQPPSSSPIPSSCNLRAQLS LKLPDEVFLDPDELEDKFAGSAISSYTLRSTKGKGRVKVDIERPSFDLIHEDEDKGSV IIDLTISPPQSYVTIEQGRGITPGELREVILEIPLHGRYLAPTEEGERIIMFPEEIRG EWMCNIDPLTTTHLPLIPHTPINITLPTGKHSHQPYVEIITPMVIWLGWGWLVYKIFN LRSRISYRKADMVKDKKDL L199_004916 MSDSEDDFMSDKYLIDLPSSSSSKNTKSYSEKRNIDQLKSLRMG QAKNQLPLKQLEEQRRKEGLSTSLFDSPAEKKNVGMGLMQKMGWNVGESLGKKRSPPP PSSTTTGSRGGGGLGKRSKFLPGDALEDQDEDEPKRGGIGSTSISKNRIEPIRISMWS GRKGLSARSPSPPPLPKNTSGRDPDALDPEKLERLNNQTEGFRERQRAEYGEKERERK GRAAREKLREFDIEKGVKFHPLHILPFSPLTTVPRPLLKHIYPSQVFSPSPSPPPNLG GVEGYEKESNLSAAAKLRDQMRKDMLSTLKPDDNDEDGEGVIRFGVIDGSEPGQEQGK KLPTQKLDENEDEYKGVNWEEHVNGAKRVLSMDPSTYLQFVVDQLRTEHLFCFWCSYR YSSFEEMDAPDGCPGEEEDDH L199_004917 MFSSSSSRRSSISPLPLLLTLLATALYVTGLPYNETLDPWNLNK NQDAGDDVLQYTTTRSNKTYTPSPDNWRSLPTYTLLLDKWIDGAPDNNDFYGLKYEYD IKETQLRAGGDAPGLMDDRGLDYLQAMGYKAIYIAGTNFLNMPWQADGYSAIDFSLLD PHYGTLDDWVKLIDKMHARGMYIILDFTVGTMGDLVGFEGYLNVSTPFSLDEHKAQWK LPDYAPWGFQEYPDFKFTNTYNDSCTYPTFYEDDGSIYDPGKTGCYASDFDQYGDIEA FGVFPDWQRQLAKFASVQDRLREWRPQTMDKIKVFSCLTIQALDVDGIRIDKATQVTV DALASWSNHTKECAAAVGKNNFFIPGEITGGNSFGAVYIGRGRANNLPPTFDSAVNMT QDQSQYFLRDQGQIALDSAAFHYSIYRSLCRFLGMDGNLQVAYDVDVNFVTAWNTMVL SNEFLNSQTNEFDPRHMYGTGNQDVFRWPGLTNGTERQQLGQFVNNLLMPGIPLGWYG EEQAFYLLDNSASNYLYGRQSMVSNQAWKRHGCYKLGSSQYYNMPYDKVLTGCEDDWN SLDHFDATAEPFRGIRNMFWLRSEFPSLQDGFGLVTRGNWTNFIQLPGSNQTQTELGM WTVTRAPLAVQEGHGAFGTNGTLDQAVWLIYTNENYTKTYSFDCKADGWISSPYQSGN TVRNLLYPFETYNLEDSLDPFYANDEAPWRGCLPEITLQPFEYKALVPIAQWKQPPPS LTKFSPGHDYRAASTNGGNLDISIEFDTEMNCDSLRNAMTLTQSIGGNTATPALSQGT CGTIADADQEAPYLVSVAPGSWRWTGQIENAVDGIYKITVGNVSTQDNGLSTGSTAHF LIRVGSTENPMVYPEQADYSSTLFSVSGDTYSLNHSAPGADQFRYSADFGQTWSAWQD YESTSTLNATMFTSKDIWWDGDHVIVQYYSSFAGSSNHQVHADANWKENYRRYYPQIL ARGSFNTWGYDLGASAHLTLQSDHTWRMPIMAAWPSYIQLNIWSYDDYFYGDVDGDGV IDRFPPNSQTANYLNMSVPPAPHLAWNLFVNDKTGEWSTEPIGTETVTTVAFALLLII PAITAFGAALAFRYSFYSIKVNKWGLKPNKGAKETSYFPIVGGHGEKKGDLNEKGGIV ALNEKSSKAPHKIIGWPEDQNKRRKVLIATLEYEIIDWKLKVKIGGLGVMSTLMGKAM SDVDLIWVVPKVQDLEYPQGEYAEPIEVIIFGEPYLIEVETHQLDNITYVILDSPVFR AQTKADPYPQRMDDLSSAIFYSTWNQAIAETIRRYPIIDIYHINDYHGALAPLYLLPK IVPTCLSLHNAEFQGLWPLRTKDEMKEVCAAFNIPKEVCSKYVQFGNTFNLLHAAASF ISHHQKSVGVAGVSDKYGKRSWARYPALWTLRNIDSLPNPDPTDIAALDEQPLAVDKI QIDTEAESKRPELKRQAQEWAGIKQDPNSDLFVFVGRWSKQKGVDLIADVMPSLLEKK PKIQLICVGPVIDLYGRFAAEKLARLMEMYPDRVFSKPEFTSLPPYLFSGADFALIPS RDEPFGLVAVEFGRKGALGVGSRLGGLGLMPGWWFPVESSATAHMLSQLTKTIKLALK STEEERAILRARSAVQRFPVVEWRQRLEDFQKRSISTSRQLAGEQAWGYDLVDSSVNG FYAQGDNGSVTSLARGEWGRSATPDSTAPNSPMPGHSPLTGGDNPGYFDPNTPMAGSN NGLLGAGGANYHKRFDKNANRQSAESFYDEDPNASPLYYENKRASRGGKPKFYGYDDE DAPSSQGSSDHGDTTVVGSATSARGGQSAAQSYDNFLAAANKQFARNNGGKNAPDPYF DNRQSMDAGTPSRPFTVHSRVSSFDSISSIVDEKGSSPLNKAMETFTDSDGEVAQSFV QKLRDLSADNSKGDLCIEKFLIKSEKAFFDEIKKEKISAMSLRSSRDSFIQSRAPSMI DGFRPESPYSNSGHGHDDHMDHYGGNGGDMYDDPGPSDAHMTRLQIFMGRQIAGWPLY SIIISLGQLLSATSFQLSLLGGSNVQKEVDLYIICSIFVVATLAWYTLFRMKPSVWCL SLPWATFAVAFFLIGLPSLHGALLGPRITITRVATWFYAIASSAGFLFFGLNFGEEAG AATEVWVTRACIVQGLQQIWVSALWYWGYTLNGTDPTEYVPSRIILYITWPLAVISAI FAYLMWAGMPEYYRQIPPYVPNFFKTLFRRKLVIWFLVSEILRNYWLSGPYGRNWQYL WNASDVARWAVVIMIVIFFIGIWGLFMGILIKYSKVHSWLLPVFAIGLGCPRWCQMWW GTSGMGLYVPWGGVAGPYIGTCLWLWLGVLDAIQGVGLGMILLQTLSRLHVCATLAGA QLLGSCVVMLARGTAPDKVGPGNVFPNLAIWDISTGQNNPFVHWEFWLCLVCQIVIVI GYAFFFRREQLSKP L199_004918 MSTRHAATRLLARAGSSRQTLAPIATRAASTSTSTPAASSSSSS SSSWQPALPAGISPAYDAALSYLSSHQSTTLSKLDRLRSRVDANNPDPDLLQRIDQLE VEAYSNDPAIRRSFRETGGKGQMGQTIFRWLSEEKWRKQGGLDLLMQRLLQMNVVPDL LPTLPPTSPLTITLNQVIEPGSIQPPSLFSEPPIIHSQLFHHPSLPTTTQANPEALHT LLVIDPDSPSHETHSFQQRVHFLKRDIPLSVLSGEVNLADTAVGKEVLGWESPAPEQG TPNHRYVFLLFRQTQSQSSSSVNSREDFDLRQYLSENDLVIDDLVGINMFRSKWSLEE NEFINNVYISQRGVEGGAPVYGKVPKQVRYGYPMSAKRQRIEEAREDAWNSAVAELEG LVHDVEGLAGSAEETEKVRV L199_004919 MAGTITITISRSIRNLFLLIFATVLLITFYRSATSTSASLSTSY LLPSSWQNPSTASGGVIPEEIANLIPAERELALKQYEYANNMQPYFPPNPHWGGGRED YKFHSDVELRKLAVCTATNTCRENQTNVIILGYIHAHFHIYEGYMGGEGIWTHSLVDT LEKWGYTLLHARDDWPYMHYLYNQIPDMVKAIIAWRTGQYGTFDDQVKTHGRGNGIPA WKFFVYNYFPDHYTSTVGDAWNVHSEFGYSKKPRNFTFIPYVVEPATTPPYTPAITRP YQVYILAKYVRYFYPGAQPAWEDRGIFLRAKNILEKEFPGFEFVVGCKDDRNAAQQKE IPMEVPAGVRNLGQMDRLEFERQLANSRAMLGIGWPTISPSPHVALSLGIPFINPYSM NPGSKKDDPESWAQSQHHTLKVLPPPHIYNVLRNNETEFVDAIRQALLHPIEPFILPI MTREYHEKQISEWLHTDWRSKAEAILEDRKKGIETQNGKEVKLFEL L199_004920 MSHPTKSININRNLCPAGALGNAGAGACSTSSPLLFSANDNPNP PLAIARAGGQGGQIYVYAEDPENPSTSYASFAGGGRQWGNDASESSETMREPLTSYLL ESLSQQPGRSIQVDPLTPPSSFTPSVDIPISTTTPHHIQSTSLPLTPVSSSSLSDSNT SPPQPSKSNLPSTQTRTSRLDKRERQIISLISSIFPTHFQTITNLSTTLEIVTPPSNV LKGFIVDTAKNGRTVFVHMETNSTNEGAFRPETLSPNFSQVLRPHDPLLSISPPKSSG GTGGVMYGMDIRESLTALLDLSSDALEANNLVLVLDREEAEQDVLAETLHSLMYVGGQ VLKSPSKTLGGWEWDIRKWVLVGMEL L199_004921 MTHSVLPPSLKRPRSTSQPPSPTSSSSPKRAASEDPSDIFPSLG GTNSMVGTSSPLRVDNDGDGDADETGSKNWVQRTGEVKIGSSDDGNGEEEGDKTVTQD GAQTGNVWKKRYDETLEQLSPPLVPYERYYILPKTILNKLTELAYDDDVYQTDTSTLP VDMKAAMTRLIPDQSDETFWVIQSKGSHTDGHQLVGEAKEEQVWALGDAEENRDFVFI PSEGWQKIVEWFGPYEGPILPRYCVPPENIEIQPAIIRLFVVLAPSSIYTKPENDESA QVVLMCPSTTSMPTFKEFVRSVAVQKLGSSAVDTATPIRLWKIEKTPSNDEKLLSSGP LVISPSALIETTSNYLPAEETAQDLAEAVLGSSKNQIVAIEIGKVENGNTVWNVDIDG ENRAVVKKTAPLFSKPAFFGGSSNALTVPTASTSSNGAVQTRSQSKQDRKGKGLVGLQ NLGNTCFMNSAVQCLSNTQELSEYFLSGVYTEELNRDNPLGMHGKIAEAFGEVIENLW SIPSSSYHSYSPRTLKFTTSRFAPQFAGYGQHDTQEFIAFLLDGLHEDLNRIIKKPYI EKPDWKAGGGDKELAELGKECWDGYKKRNDSVIVDLFQGQLQSTLVCPECHKESITMD PFMYLTVPLPIAQHRHMKMIYVPRDVDKPPVLVKLLIPQNASFAQVKERLAALTGSKA SHLLGFDLWHGRPYNFWIDADHNGEAKDHDVIIFYELDAPVSATRRSVGTVPTDETVT VPVYTFKSNENRSRYGNNYPSDNHAKPFFITLSKSDASDPAAVREKVMQGYTRFVKPE LKDKLYVHASSSKAVVATPNTPKQEEDSLPVTEIHLNGDQTTVIEVTPNEEEATMDVD LPNASSNPSGLHASPSTTSLVSQGSTSGRSLAGSISGKLVPRADLFKVYVSEPASEPM FNSFKTKEKINVHRIYSKDPNEASSSWSLLESRRKPKKALFKRMTAGINSIVSPSYTS EDEGNLSDSSTSHSHSHAHSGQPVVRPGEGIFCEWSAEAFHDWLDEDIMGDEIVDPAI EKEVAKKKEGRKITIEDCLDEFSKEETLGEDDLWYCPVCKKHQAATKKLEIYKAPDIL VICIKRFGSSRRMGDKLDNMVNFPVDGLDLGERIGERKVAQSLKMNGTNLEELGMEEH EDEEMIYDLYAVDNHFGGMGGGHYTAFCRNKVDGQWYNYDDSRVSKADVDAVQSRAAY LLFYRRRTKRPIGGISRIKAEEASRAATPLQPSSPVIEPAPSSTLQGDISSPSSSKSD ELPAYSDIDDDDGNMPSTPVVPSAQVSDDEDMTDSIDPSVKTESERETNIDLGQVGQS VGYGNNAWGVSSTDPGIKHTFGQNLFPNTSPSSQSDAGTGTPTLDGDTDVEKEGEPIM PGGNEVIGGKDK L199_004922 MSAPPQYTDNPQAGPLPPKKSYGAAPTDGDVEAREPLLTTVQAS TSAQRTGNAWMDEGSSDDFKVGVNVIDCDTEIRLAFIRKVYSILFLQLLLTSVVSLGM SYPKVSEFTKQNSWIIWIPLVGSMVSLFMVYWKRHHHPANLILLGIFTLFEAMSIGFV TSMVEGRIVLQALFLTLGVFAGLTLFTFQTKFDFSSFAPFLFVGIWGLITASLVQIFL PFNANVDLGIACFSTLLFAGFVLYDTQQIMKRLSVDEAILGALTLYLDFLNLFLSILR ILNNQNNR L199_004923 MHAQVYYQILLSLALLPSTFASPLRATNTDAPASKGTIIPITHR SPLLSHPILSARTDDYIPIFDTQAAELVQKELKAVKNKYSKAAQYLSGVQVAEADVSF QQPNAALPVQAAQSAKSITTTRASSTSTSSGQSTISSTSSAISTVTSTARTWSTGVTV IAPNTVSSSVVTSTKVPPPSGTGIVAPLASISIDNDGVFNMLGNHAPLLAPIIGSHTR SAVSKSNPSASATNTVSTSTFLSKQKTSTSTTSNIVVTSTTKNTLPTLPTGLVSADLP LDHSLGDLQVRGKSSSPIVPLTDYIQGSLDVLYYGNINIGTPSQTLSVDFDTGSADLW FPVGCSNCQSAQFDSTRSSTYQTTSQSFGVQYGSGSVSGQLARENVMVANTMVQGQYF GAVNSESSDFQGNPNSGVMGMAFSSISSSGKPTYFENLINNKAISSPLFGFHLTRRQA QGSQLCIGCYDSSKFTGGISWIPVISQTYWSVSMTSFSTNGGRSNALSQSLIGAIDTG TTLIYVPTSIADSFYSQIPGSSRADQYGEGFYQYPCKASISISLGFNNKNFNMNTVDF NLGKTSSGSNLCVGAVLAVADGFPDNLAIVGDAFLKNWYSVYDYSNGVRVGLASSTNN K L199_004924 MTARTSNNGGPVLQHHQSSSSNPTSSTTTNFRVPRAKGLNRPTT WFTSSSFGKSSTSSSSSSSSAGGGNSSSSTSSSATATAAATAASPMANPFAVPSSSSF KSPFPSSKGKHKLSPSPSPSNRQQDDDFVMISPVRTTYGLGVNLHPHSVHNGYSPSAS PSRLACLQKSNSMMREDSAASSSSPLEESPTPACGMASATARLKLVDDESPIRRVPGQ SRSLARAGKTKEDPRSVFLSAEKERLTTGDQSSPLKFATSHFMNTGDNDEEDESPFSP PTPIMGNGLPVPPPQPLFPPIRTSQSQDGTSSNSLFSHKADSSRIPRLSTSHPLRARA GTDAERPTLGSITKKAISLDQIPTSSGSDKDELFGSTSHIQVKKTRPASTFGSSALPG LPSSSSSGRAHKRINSGEGLPHAANTASLSRSFGAKSLALSLTPNLGPLPDFSYSNSS LSSLSTANLTPPASAFSPAEPPIFEDVKPLQEAFEQPHNTVSRKFKPRDSGVSMGDEE DRPKPKLLIPPPSVMKSTASGRPRRPAMLKRTSSMGDERSSSSGMDVETPGITPMMAS GWPAERNAFDFLGESGVGIGLKHGGNEAKPSMPDTPVKKNAFTHSSTAPRGIGHSSSQ PTLGSTPFESDDTNGTPLEQSTKTNIPSTSRSSFIPPPSTKKLPPPSTMKKRPGSGVP QLTLTTSSSPDWGGSPIDTDDAASSPTVGMGGKAAQSQSLKPSTLGAGTGKVNRVGLL RRLSNGVASESEDEGTPTKDGGEKATLAVAARSNLVTPTPSPKSSSTTTLPHISHAKP PPSSMKNISTTGSGIMPRLSLPALPPSSKHQHHQRTLHHRQSHPATSTIQPAEEDLFE KKFITLEVLGKGAFSTVVKVQDRHGEGLWAVKKARGVFDGIKDRLRHLEEVDILRHLS KNPCPHVIKFEDAWEQNRQLFIQTELCLGSLSFFLEEYGRVVERLDEGRVWKIVRELS DGINHIHSNGVIHFDIKPANILISSTGSLKIGDFGLATRYPRIEPSEILKGSGLGVGN NHNSGTVTFPSKSEKLEREGDRVYMPPEMLRGVFVMAADIFSFGLVILEVSTNICVPD GGLPWQALRSNDFSVVDLSPLSPALCDLITQCMNSKPESRPTIGHIVQHPVILRAKSK SEKPALAPEDKNWLVDVLAGGFAIPSSTMSEDVEMMDG L199_004925 MSQPIAITFHFPPSNKPSSRTLSKRKIATNNRVLSSPYITIDKS TPTAKPKPTPQHITTAESTTSTSTSSSSTAIPTPTGSKRRKGPVFVVPSSDKLASLTP LLRPIVDRCSTTAIPSSTQETSLSHHSPSRKRSKKSTPVPTILPLSHHPYSRLSDLSN TRSNVPSPKEVERNKRLEEEKVQMKKENAAIARANAIAKRKSNRKTVSGGGRKGTTSR RSSFEQFKSPSPEEKTVPVITTQAASEDGSSPILGGRSNGLKRTRSQGVIPILTSGLS SVVGSPVIGSPLKEVMSREQEDEMGPRKKSKLSTDVMERSNARRANTHSPVGTPSTLP EGLDADLQERLTKTVPLSHGQVLPKSVTGGIGLRRSVSNSTPPTTFNRAGSVASVGSS DNGRIRRETQLPERLRDYEMKAAI L199_004926 MSTTAIRMSSHAPSPSYVPRSSLPSRPNSPALPPKPAGAFHHGH GRSSSTSRLDSHSHTLSPSLAPGQAPTPRIGPIERSSSGLRNEVKGDSKKERKKAAEA ILKILSSLPAPLPETLPPTFLPTPSTSPISLTPSPPSARSFGEYARSSVKRNKRKRPT DSSDSSGSDGPSSSAGIGLGLGLSMSPTDPTTRKKLKGDAPALDRHTSHGNTTGRGLT PNLAGSSPALPAKKLGNSALRNEMGEKEEGKESWSRDKWRKMAQVYRDRALLLKRHGD AYQRSASAHPQFTSVLPHDPLKGLLSHTDAALLWLYSYFCDEQGGGRVRSTPYNESAN LRDFVRRSWESEMRKAPEEETGRREMAQAMVGLMHLIEAVICYHLTSEQLAHLSKRGR ELASSNGTPTKSPGSTTSNSPPNSATVQGPGQPPHPSPAGGSGMSSSSSPESTYVSYS LPPDLLPLISTSTSSSSRAQQHLLTSRHHLSLKLLRTWFPRTFNFAINSELNDEALPV PGDSLGSARKIDIDSHPQRFAWPIELGMHNPVAHTVAFGRCLVEEMAEAMGKEWVRVL E L199_004927 MVRISIPTLPKAPLIPPSGLSILPLPQAASYLAQFLENGKGNTV MLTGAGVSVDSGIRAYRGEKGTYSNPNYKPILYHELVEDSDRGDMFRRRYWARSFLGY PPVRDAQPNPTHIYIAALQHLGLAPKLITQNVDNLHRKAYSLLSPTYDKSSILELHGT LAKVHCLKHRHEQTRDIFQEDIARMNPIWDQEAKEAERTGRRPRTNPDGDVELHGVDY RSFNVPPCRICEKEKAKASMVKPNVVFFGETITPEVRDES L199_004928 MSSLHLISSSSSLLILGTSLATYSAFRLVKLAVEHKKPVLMITT GPTRADPFMGNGLEKMDRVAGDVLGKYLDEAVKTSTGKEVEDVKRYLHTGVVKRPPEV EGPRAEG L199_004929 MTPVLVFHYAYNEVVKPELEPIHTPPKDVLEPTEKAFQTYLEDS SSAPRLSDGAPVRTLEEWCDAGLQFPQQRQICWLYRAGKWVPGGMRQYGFDTINGLTE EFKLTTPAREKTLINDVCLLALHRQILSIDLKNSHRDRISLLTLFAIATSNAMDFSTI LRPRVSYKVMDNAQGPDVVKYLVYGCFRIWVRKGMEGGCNQLYAWIGPRGVVPGQGNV WPLTPGSPQICAGGSSMVIISLLIDGGLDVDRLAEILDPRWGPPGWEWREYPIQKDWH NKPVFPGTTDGSPLKPSEVDAWLRKLSINCGFEHLINRRTCHDSVTHAVQAEKKSLFD PIATLARLPVIADGASSDLKCNTRPDRHAPKALTDSQIQEVEESLRPKVERCERTQAL HGPENEGVILAREKLTKTQEKLLNLRLEQAREEFFLIRGISPQTSDQSTSQSSRDLTE PNEREEQNVLDEFYQRISKPHDEALNILKCLDTYQRHVQRELDGYRGSRAPQI L199_004932 MIVDMVVGLIKSSQNHRSGLTDHIEINTRSSYPGGAKKTFIGAQ GKSYNVHQDHGDKHICLWSSQREGQDDLGLNMQGQSEDEVSSHESHRKVKKRKGAATA STDAFDGDNEEELSTPGGEDSAMGNLPLAKWTRPYVNSERDAP L199_004933 MVISSEKTAESPARPDTPVIDKMTTPTLDRSLRSGEVAKVDSWL RSMRWKAMEQDMAMTSAEQEDKRRSLLEIYGGSATLEGQELDSAGRPFLDPTKETVAQ QNLMVQKWFKIESISADNRTFRLIALKSGFLQDNEAEDMPLMIELKDMDIAKAEEYCS WLNKECTGCRSIDKLLRQFALHVDVKEGRTGPFNDSAPPKDLVDVILNPDYLLQAAQS SMIGVDAAFARMANRYIEPPE L199_004934 MPNPCQSHPSRIVVNHTPPTLSSPSSEASSCKRPRLNVSTDAES SKSGGRRNRTGNTPVTDNTITENHTGHRESENEDDDWVDWDIFSGSDKSWEDTGGLDI ERTGHANSGDSIDEERTEEYEVRAREKGRKEMEMIEVITSKQQRTVNHVDDEEGNDER RPKSDPFKDGNHGKNRTSTKKPVGRAYPRPLPAAVIEARKRYAELMKEKKERAKKN L199_004935 MVRTRGQLATGNVGLENGAAVPVKKKKGVNKRKEAAANTPGYLV PYTFPGCSSPAPPEYSLAIPKALADDDHTSLHSGSRYEDVASRLVRLMAKMPHVSLFP YPMTALKAPKRRLRHDRGKPVKTGDDRFSFTSTAMIRWEKGEKKIRMGIFIDYQKGHE TAHAWGIAIVDLDQGKQIVLYDPSSSNTYQARGEVLYEDDIGSPQRNLWKMLKSTKKV KDGRINAKKVFWGGGPPNVVPGENRCLEETMRWMESCTNEEYFDEANLLNNGFVEVYF NPNPTSRRRIRAAGEEHLQGGRANDDLDQADSQNQESLNDAAEDGEEENQDIISNATT ENPDDVDYLDEGSSDEDRWT L199_004936 MEDYEITKSVYQRLKGDLRECQLKEGDAKFKEIDTFIQGFFGPM TIAPPPYHLEGSPKTISDLYKPSTPSLSGCNYIFLLGMDCSARRDFFPDEVYKKITEI LDSWIKVMKFIQIKKNRTVEDVLSQEDWLMVVHTLRNSFAEKYNLTDDTK L199_004937 MNDRLNIVIDMKMPYTPPKDYPIRSNNRLFSPNLTSSSEMSAPR VIAELVVTGIRVLGKATAAAGQQAVRNFKHKPEGAPDSGPVGTGSSKNKITSQLNMSL DEAHLILNVKKDDPMEVIQKHYDSIFTANGPPTVKPETHTTPSSSAKKSSRTPTHSHY LQSKVFRALERIKAEREAEAPPPPSTPEAPIPTPASTIETSNPISKEATKTVKEGETV APPPPPGSV L199_004938 MTSPYSPTEKRADSPLFDFSAAPKDKDDDGEELLDNVDLDAPSP NPDSGKDQSWRESSSSSLSYASPSIASSSRPPLSTKSSAMSSTTISTLLTSGGGPTPL VLGVAVVDFNHLIGPTVEFAYPPSLQLAIQDDEDWMRLLPFLALPDGAHLSEEDYSYF HCTYTPSGGQVPTDVPSSQTLFGISCNRQLASSELTRRPSDVTRSMVQKAVVVIASQP VFGPIRDKLGVVTRAYFAQRDFTQTEILEDFYTSLESSLEGKSNEGAIYIGTSLRELV HKFRHRTLVLLKLLMLQKRVMLYGYPVEKLCTYQYSLVSLIPGLLMSLKDSGSPDLDA KKTKVRPTSLRTSDRSSLLRYMGLPLQVFSKDSFFQPYMPLQQIHMLQARSWLVGTTN QIVTQQKDCKYDLLVNIDTNTFEFTDPKIERLVTLTPADRKWMDDVVRTVEESWSLPE GERPGFKGSDDDLRSRFEQYICGALSSIKYAEFLTKAKRQDISIVGVEGNDPAGDGPI LSPFSEHWIASFKSTPVYDIWNGCTDPALFDICEPRHPCGGKVNAVSDLGLRLAEGLH DLHLDQQLGPTRDALSSAFAAGSSSLFKAFDGVRSEVNTRLQQREEAQAQKTNRGTST TPTASTPPNAGKQMEDIKATLGGIGSGIGSFFGSRINTLRGGQAGAASAPTSSTQSAG PTSSSKDENGTKGLRPMSLMGGSNANSSNPGSIGRFGSWGRGKATGQ L199_004939 MMPIAQGRQGDIFAVRIQEQDGLCDHGTSSSEVSSNNAVSKDER KVKYGHADGLRGWKALKIVYAPRDGYVRGNEPHNIHGEVALLRQIDHPNIITLLNYTF DEETLQHRLTLPLYPMSLSDLLQDPSFPPTDVDIPKIISYQLLNAILYLHSMNPPIAH RDLNPSNVMFDKQGRMKLIDFGIAYCPKSNETRYSSLAGVKMHGGDGMEANREGGVKN NEWEEDEGTWKEDDQNMCCEVGTGSYRAPELLFSPRSYDPLKVDLWSVGCIIAQFFRP YGSALDSPSSDTSSSLSNFDSSDSLDEDQMDPLDNEPSSLIQTRQPLFDSTYGSLGLA SSIFKVLGKPSPENWLGFTTLPDSDKIDFPNSTPRPIHDHLPLLLHLSEEDRIDILKV IEGLLKLDPERRIGADQVLKMRWFRDVKEVEFDDKMKNGTKMWIDKALMKYENMEKSY ENHSERVW L199_004940 MLLSPRPAHLTNTLDNPTLPIPIVSPVKGKSKASSPQYDPQSES DDEEEVKPKISRSNSRSRSTSLPACRIERKFKCLHPGCDKAYFKPSRLAEHELCHTGE RPHKCPNCGQSYLRASHLHAHMRTHLSPDAKPFRCEREGCDKIFWTATHLKRHHDVHD KAEVYACDQCDETFVKAHLLRDHVTVTHMPEGAKPYPCSHEGCAQSFKMKAHLKAHEK THDPNRYTCSHPSHGDEFPSFPVWSALQTHIHTAHPPVCPHAECNGRVFKNAGRLKDH LKVHAEQAVDKAALAAKQPEGEIPQIIADGLSRRAKRRRISEINATEDGGSSPKLRRV LSGEAGKDWWCDEEGCDKRFKSKFALEAHRKAIHLSLRPHICPFDECGKSYPHKANLT RHIASHSRPITPSGTTNKDDAVANSNGLVGKVKEVRRFGCPAHAFAKFAGLSTTTGDI DIATGIDLSANKIGRDDEEYIPSMNDGRCLMRFWRVYDIRRHLKAEHGIELEDMETRR LLLFDGQTGE L199_004941 MSTYNESNAPTRRPDIKRKLVVVGDGGCGKTCLLTVYAENRFPE EYVPTVFENLITMIPSPNDPTKIIELALWDTAGQEDFDRLRPLSYNDTDVILIVFACN HRPSLLNVQDKWFPEMAHFCESVPILLICTKTDLRSDPQTQSLMAAQGTKPITSIEGE KVSKEIGAKRYLECSAKEGWGVKEVFDNAIKESLKKGGRGKGRGKKCVVL L199_004942 MSNRTGDPKKPLNEFHEPRNNDEGSSDEDRSDGSSSHSSSFHDT NTKVQPPMNTEDKVEEHTPNSALERVSNLITSGQAKNIILLLGAGISTSAEIPDFRSP KTGLYHNLQKLNLPFPEAVFELGFFRKRPEPFWELAKEIYPGKFHPTPTHYFLTLLHQ HKVLKRVFTQNIDTLETLAGLPEEMIVEAHGSFARSHCLDCRAEVNREKILRAGVRRG EVVRCDNLVKSKGKKGKDKTVCGGLVKPDIVFFGENLPDRFFSLLPELKQCDLLLVIG TSLQVQPFASLVDTVPSDCPRLLINREPVGPFERLSSSGALPDSLKKMLSPKSKDERD MYWEGDADQGIYELVEHLGWGEEFEEVMKKGKKDLEKRYKDAEKLEGKVDQDVRVVKE IVGDRDEAEELEEAIRRQLKL L199_004943 MSNLFIPSFTLPIARSTLAGPSRLPHRCFSNIPPVSGRQVLGRI TPKKIAVPRSAVESGQYSAPAGYTNSSLPRRSIWRPIVFCGLLGGGGYAAAALYTNND TQKWGDKLGGSSWWRKGQSQPSDREIHRAKQLEGARSAQKTLNNLPNTLSFLPNFLLI PVLRTYVMASEFYLNTPSAQLAPMGLIFVMGNVFVWWKLRRLEPFMRKWWLHRPIVFG TKRDEWRNCVTMFTSVLSHQSLPHLAFNSLALFSFGSAAYSYISSSPSFPALSSSTHT PHFLAFLLTAGLFSSLGSHLWTNIFRYPALMKALTHPARISSPQALMSHQGILPSLGA SGAIYAALTLTACAYPDSSVGIIFIPFVSVPIGMGVAGMVTLDLIGLIRGWRLFDHVA HLSGALFGFVYYRVGREVWQWTREKFGAEHKGSGLF L199_004944 MSAFLSGAAVECGPNNVLKNVHGRLDRDTSTQQDRLVSTPNVAS SSKQPFKSQTSSYSPSAQIPQNAQSPFDIASLRQHLPPAQRTSLDPPASSWANAFGVH TQSQAGPSRSQERTVPRQTQAHSNGWSHEYAQAQSGTNPSQQSTRLSQPVIAPWKRPL HHHAPNISTQSYQAPFQDHHPPHVTRPSSAPAKRGPPISHEQLTGSQDILAKTAQTFV DELEGPDGILKANPKLAQSNFISLLRKVGNGNVVIDESKQTYRDEIGEGANLVERDTL MERTEDILENDKSDGAMYNWANSFNAAFPEQKLRMKNNENNISTAPPMGYINGAIPSL DRYDTPSPAPNLRTNWESSFQDQEALIRSSENASRKSVHFDSDVDLSSTNGVPKTLEE ALRHTTSIPGMGASWEEEGLDLDDFNDEVFMGYNGMMKTVPTTEVNEGVGNEQSWGQL QNDWENFQRTEPAKQQLRGMGVADRYLFQRKNPYFGVSEVASYWEMAQESPTLKGVLE LEAAVQKSPSSFEAWYNLGLKQQENEREESAILALSKTIQLEPDYRPAYLALAVSYTN ESEVEAACTMLDKWISLGEGSGPSTSGLDVQEGGKLRNRARLIDRLIDVARQDPENVD ADVQVALGVLFNATEEYQKAEDCFLAALSVRPDDWLLYNRLGATLANSGRSNEAIQYY HKALELHPNFVRALFNLAISYINLGQYPLSAQSALDALRIQHSDASEGYSFGQEGSKV KSKGITSEALWNALRSACIHMNRHDLVGLVAQHDLSGFPMSFGDLEIQQ L199_004945 MSEDQDYHDDIPPPPYQRNAPSTSRQGIGLPDPLSFTSTSNTLD SWASWSRTQKDKIDISLKLKLEDQDKSLNTLGDLQNGITPDVKEYAIDPNASGPVPPL NIVIFYLGDEFDLIPLLLISLELIRSHFHRVRISTQEIHRDIITRYKERLYGLKAIDG ERLEDHLEFYDVLAGPKFSIKNWSDDPRQMETTLRSLYRSTYLPCSSTGTPFAADLII SHPDTLAHVHLAELYGIPLHIISDRPISPTISFPHHQTTLTQSNTPENLSNYLSYALS ENLIWRELGKSINKNFRQVPLGLQSLDESTGPAVLDRLKVPVTYIWDGELRKNDWKGH IDVTGFVYEDDTVYRPSDDLLRFLERSESVIYVNLYLGTIDAALKESVVSSLAGGLKK MGYAAILTIRGMENTGQLPSDVFVLDDQGAASWLLSDNKVSAIIYDGSSYMATLAIKY SSPSIAITLSTGDMYWPERLSHLGASPRPITLSQVTVESLSVALDEALSPERKVTAKQ LAESVKSSDRVKEAVKSIHRHLPLLNMRCDIAPQYNAIWYHPKYNVLLSSVVAGVLVE QGKLEFKELLLNRPKEYAVTQADSDPMIGGVQAFFLALSESIQNVKHLFGGDPPSRKV DISSQQIYSIYNESQTKPTTQTNYCPQPITDFKSGMKEARREMTEGVKDGVKGFVNGP LDPLKRGNLIGGVFGLVGGSIGLVTQPLSGAIRSVESTTRGISSEISNPKPKQLAPTA ATSPADVLRKPRMEISKSHARFISIQSKRDILDRWKECKTFESVLERRKRREEILSGR GSGGGSGSGSGTALPRDGIVIEYTGDMSSGSGEQVGERKWWKGKGRA L199_004946 MSQSQSATPRPSGSASASASGSGSRNSTSISIPATAAAGGITVT QPPSTASASYYKIAKDSWITFGWNMTSLYATPTSLTVVASCSANGNVYPVGPNPTPSG NASANVFPGNTTQVVWNPYDWEQIPGQVPFAEATYVLKIYDERGDGSAVKGGYLSPYA GTNFMMYRPGGYTSLSDGWTCSTCSSAFETFSQPAHLAMFTSLLITLVTAWGILRR L199_004947 MTQSHDEPPPSIGRVQTRYDTSEEIAKRAKVTIEKVSAEQLPLY APRLSAIIHAHMLLGMSTFFTFPYTLTDSLHLFNNISSQLVTPSAKPSFPPPLGGIAM LVAKLYPSEQPLESIDMEGNPTAYPEIVGSVQLGFASMPNGAFRSEVKKMLVDTRYGG RGVGKALLRGLEEEARKWGSTTCMLDTEQNSFGEKLYRSCGWIELGVLPRFHWPPDKS QQRSTVFFYKHLDVDEDNPNGQVEKKQIPNGKSD L199_004948 MQLSLSFKSFIFFLYFSLITTSAYQLTFNEITNNMADKDVIVQF KKSSSTEERQKIIQELKAKGATVVKDDNINSKILPFITVRLPESDFSTLQADFGGDHD VVENVEADQVVTTQ L199_004949 MSPHRKLSITSKRHPTQIQDTFLGLALSLSPQPTDKKPDGSDPG RELEYSAVLHDGTGVVESETFHTRYYSHGKEGDDLAEENKRIGREVLELIRSIQTDKG MNVRMVAVAEPVPKEFKGHQGVQFFSTLWLHVDVIPILVNPSTSIFTKLPAPSTSASA TAAISAGVKHLHPATHSATTADVDPVDHSVQVDCNGQVKLCSILQYKESTSEALWDRF TALADHLVKNDISISFFSATPQGGGVALMRHAMIRLWRMVGLKVKWYVPEGHPTVFDI TKRKFHNVLQGVAPQDMDLTDEDKKWFELWTEQNYESFWSNGAIDASIIVIDDPQLTA LIPIIKKKRPDAKIIFRSHIQIQSDLTDDPQTMQHRTWNYLFNFIKDVDLFLAHPVKF FVPKNVHETLPVLYMAPSTDPLDGLNKPYGRASVRYFRQYFNQLSLQQCGVHIDWDRG YVCQIARFDPSKGIDDLLAAYLQFRKKLENSAKPPVDGGPQLIIMGHGSVDDPDGSWI YEKLHDTLGTKEYALVRDDVAVVRAPPSDSILGCILQGAWVATQLSTREGFEVKVTEA VNKRVPIIASDAGGIPLQVKHGKNGWIVPTGDRSAVANLLYDIWEGKVSVHRDLSGAN RDLDGKTDPNSIAQAWVGDFDKEAQKVHADEGATSEDFWTVGNSTRWMLLFDRLLGLS PEDNVSQSPNANGKETTLGKEGEFGKVKITEKQVGLLKSMEVGKKLNDKGIDGVNVWE MVMGQDMIKGEGELI L199_004950 MPGKYDDAPLPFTSTAPLPTRRQTLKSGGAGGGTLKRGKTLTRP ERHVAPVPLIAPPPTQSFSPSSPLPESRSFVGDLDWWRLWSYATTWWAPPVVLKWFGI KEKQSRQAWREKVTLCWIAILLGGIVGFVTMGLQKALCPDGGNAGHLYERLGENELTL SISGYVFNISTSKTQETVDFYALSKQMPGQDITNLFQRTASDYPQCTSTAKYASTPYC NSTTTTTNSSCPLAKLGDSTYSTLAIQNTSKIEGYSWEQVAALEDYLVIDGMVLNMKP YLDANPTAISGDEVDKAIRQVLNNQPTSGKDATRLFYHRKAMQDSIPCMQARYAAGRI DKVAPGCFVASLFLYTSLGVILGVVLVRFAMACIFNWFMSAKLVRPPKDLARTAISPA VMPEGANMSVHNRTGTAPWSNPDNSSKQKLKNGKKINGLNTSSTTLVNNQSTEPLISL AKIGAELFTVCLVTCYSEGEDSVKGTIDSIASTNYSDSRKLIWVVCDGMITGHGEKVS TPDICVSLMDADPRFGNPMPMGYIAVGSGAKRENRAMVYAGHYVSKNGHRTPTIVVVK CGMPSEARDKKPGNRGKRDSQLILMNFFSRVTYNDRMSPLDFDIFRKVQTLMGVTPDY FETCLMVDADTKIYPDSLRYLVNCMHQDNMIMGVCGETRIANKRQSWVTAIQVYEYFI SHHHVKAFESVFGGVTCLPGCFSMYRIKARKDTDNDWVPILVKPEIVSEYSQSEVHTL HQKNLLLLGEDRFLSTIMLRTFPRRKNIFLPQAKCRTVAPDTFSVLLSQRRRWINSTV HNLMELVRVRNLCGTFCFSMQFVVFMDLVGTVVLPIAICLTGALIINSIIDPPNSFQE AIPLMLLGAVLGLPAILILITTRKVIYIAWMICYLFALPIWNFVLPVYSFWHFDDFSW GETRRVEGEVRSKAHDDKTAVFDGTTVPLRRWEDWEKSRLRKLRRDEKKRREMERQFG AGFHGDNDGLGLGPTPRAAWTRSDYESDSGSVFGSEEDVWGAEIGGYNENNPAFPPPP IALSQQSHHDHSQGQTLGQDEMAAILDSGFDDGPPHPPQSSYRNERNAPSPLHRHQHD YSPQMRYQTNGDYISGPKTPDMPTTGDSVSSSIESRPQGSGHAKKRSGGVNNQKARAQ AQAQNYGPLGPLEDDDTGWGGDFKGRRL L199_004951 MPRAGLKACKVPGDELAFECLNVNTELESCGGCLYGDYGVGLNA PSRSYGVDCTSLPGVAMGAITCTSGQCTAFACDEGYELTRENSTCVSLF L199_004952 MPSLFSLPYILGSLVVLGVAQACYYYVYLESPYDYYYRYCNVGC AGMDQNDENFNQCCLPMTSGQTAPAVCQTLSASCAAAGTTCTWGSAVPTSDQPSTSAP PATYTPPAYTPQARDAAPTTSTVWITETTTTPCATTEQAAAPTTSAEECECEDEEGET SEAAVPTSSAPGEGNTPDEGFNSDSRKRRRRGFKVRNHCVCPTSAPAASTPAPTTENA ATPTTAPAEEECVCPPEGETSEAAVPTSSAPGEGDAAPSGFSGDSRKRGIRARGGECV CPSSTSAPPPPPPTSTECVCPPEGETSQAAVPTSSAPGEGAAPSEGFTGDSRRRGLIA RNGDCVCPTTSAPTPTTSAAAAPTTSPEETPCDCPPEGETSNAAVPTSSAPGEGAAPP EGYSGDSRKRRGIMVRDTCVCPTTSMAATPTSSAPAEGDTPSAGYAGDSRKRAIVAPQ ARDTPPAGYQGNDKRGSAPGENHRRNTNPVQTVTSTTYVTTDDCAQQTAAPPATTANA AAPTTQAPSPSASAPGEGDAPPEGYAGDSRKRKFRRWGDF L199_004953 MTSPQPPSTPKSIRPTISTPHRRTNSASHASPIDIKPKSPAARR MSMTSTSQSASLTPQTLAQRIVENEQLMLAGLVSSLMLCGILYSLIQSTALDTSEIHH HTLPHRAEYFARKSNIFNVIFVKRAWGWTSMLYLLHLFSSPTIPSQIHTGLGGRARRL GIWILATIAWLVFTSWFFGAGLGDRIIALTGGNCAVQLPIGVDLNIARETFPSLFTAG EKSTTTNGRIYVPLPHQYCSGTPLNPSTFPQLFSLIPSHSDIHATSRHESLQALPRPR WHRGFDISGHAFLLTLSVTVLGRGLAGTWRSWASTASGTKSRRVIKRDDGYLALIHRW SGIAATGLVGIWCWMILMTGIYFHNPPEKLSGLVLGLSTAYLINILIPPSTPSSLVDP TSPFSRPNSSIGGIFDENAARRGGVVDDGVIYENSAESDSSDEAVDKGKDKTE L199_004954 MTTVQMTDIKPYESHVEDSKEEEILKGTPVLKSELDNLTRWETV KRFWKAIVICNMICFAAACDGYQINLNGNIIANPGFVNRVGEKNAAGKIALTTHATAT WGAVQSLGQLVGMWLLTPVSDRIGRKYMLYLLWLILFASIMIETFTKNWSQWAAAKFL AGTGIGCLQATLPIYVAEWAPANIRGGMLLAYSAWNHTGGFFGPLILFICKKTLGESE YKIPILTQWAFLGIMAPIFLYLPETPSYYAARGLHDQGLAVLKRVNGGVKGYNVEGEY EIIKNIIVEEQERLAELGLEEHDWRGVLRSYVECFKGSNFKRTIAASLPASCQQLTGL AFLSGYASLFFKEAGFTNAFEITSILFGIKIFFVIVFALTTDRFGRRNIVIYLAGLCC AMLLVIGILGHVPHNNATKDVLIVAACFWSAGSVGLGAFGWSFAGEVAAQKLRARTSG LGSGIAVIFGLTFNTSVPIMLLDGGKRLGNNTYNTAFIFLGFGTLVWILTIFMLPEVA SRNPAELDEMYEKGIAPWRMKNYVTDVQKAHAARTGQPIPGGQTDEQR L199_004955 MAHHANEDARGKPGNYTMMQYFEWYCPSGGVHWKKYADDAEHLA DIGITACWLPPPTKGSSPEGTGYDVYDLWDLGEFDQKGGKPTKWGSKEDLLNAIRKAK DNGIISYIDAVLNHKAGADDKEEFLATMVDQNDRNKLVGEMHNIQGWTKFTFPGRGDT YSPLKWNYNHFTGVDYDAKTETKAIFKIQGDGKGWAEDVDDENGSYDYLMFTCPFVVD HNHPEIEQELYKWGDWILKETGAYGFRFDAVKHISQSFIGEFVKHVRSSEGGKAKAFC VGEFWHDSVDALVEYVEGLGTQFSCFDSCLQDNFHTAGEERENYDLRQIFDNTLVQRR PIDAVTLVDNHDTQVGQSLQRWVSSAFKPLAYALILLRVDGYPCVFYGDLYGTGGDNP QQPVAQLEDIIRARKLFAYGELNDYWDHPNCVAWLRKGDEEHDGCVVVICNGKEDGSK KISVGKEHSGEKWTDVMGWHQGEVTIDDEGWAEFFSPPESISIWTKVDARGREEFKKD L199_004956 MSSLIILPSRTDAFSAYQPSKNAHLDFISAVDLGRGGSSGSGSS KDGVKTVKLNISVKTSPPPPNELLRSLLSSIPAILSGTPTKESYHTLSHTCHKLVLQP HTLGPTIYGRVKEELEKYASGLVREWRGSILSRQEGWLGRLVEGWNQWEKRINLLSAI FVYLDRVYSNPINGIASIKELSISTFRKVVWENEIILEKTRSDVLAWSTKERERDSPL EDARPTIQHVTSISKILETFVESIQNPFIELTTEYYSTSAQEKVNLVQRSDNELTAGK YVEWVLEKVIQEKARAESCLDENVAEQVVKVVRKEAGENVAEQVVRRALDESMDSQDQ GSLSRLYTFSTDVNSFPILVKSLEDHLEAKLKALISDPANDPQMIDGTLKVKRFTDKA ISSLFEPSQDDVIMQDKDDDAEKDKTDGKTLLEAKRIRRNRQFELEEAARTGFKKGMG SRQNAPAEWIAKHLDLAMRRGPSLSDSEFNSHLDEIIALIGFTKDKDVFKAFYSTQLA KRLLLGRSASDDMERNMIAKLQKEMGEEFTSGDIMMKDLQLSETLVKSYQSAQAREPE QYKDAGNFTANVLTESAWPAYPLLKDGWNFQLPSNLQSSIDLFTSWYTTQHKNRQLSW RFQLSTVTLTARFTNSSRYEIGVSLFQAVVLLLFNEEDTLDFSEIKKRTGIETQELVR TLQSLALGRKNTRVLLKKPPGKEVNPTDIFAWNKGFTSDRIKFKINGIQQDMSAEESR KTNEQIHIDRVSVLEATIVRIMKGKKKLSLQMLIDNVISDVVKRFPPDVKEIKKRVES LIEREFLMRDEEERGVLHYLA L199_004957 MVPPPQPAPKAGLSDNVPGIPTSQSTLRQRKVQASETPSTVSVG DEKSSVDDFKDKDGEEVNWGRTSSGEVFRAPNTHSFLHTLIYTTHKSTITRLTLFSLL FQPFLFYLLRNHSTTRSIFFLVYFAFWRGSYDFGFAWLLRKQSEKKYILRWLKQKGWL DLNSDVGGEEGRRWAKWWKSELQLKMDDSYRWENVPQEFNAWLMFRQLVDVVLLNDFV SYTCFAWSNLHFPPNHSVPLHILRWVFGWSLILFNLWVKMDAHRVVKDYAWYWGDAFW MMVMQNDLVFDGVYEIAPHPMYSVGYAGYYGLSMVVGSYTVLFVSLAAHAAQFAFLLW FENPHIERTYGGGQKPLVSRTPLSWEHDNGVAGESGFAAIAEEGGEGPTPSVTEGETE NESELPELPPSNVEIHPVIRKPRSDSLMSSGSNTDSGYAKSYPATTAGRKSSNKKSKK LSMHDLTHRYFRKPMIVLSQLDMFRANDFALVMLITYALSTLIPPLSPRLALSGHFLH ALSWRLFHSYGLGLLLRAQSKSKWLVRHYLKHYHYPAKQDVVKKATEEAFGNWQVAYN ISLVMTYVSFAGLAWKTYHLPGDWTVSGTILRHVLGLLLIALHIWSAVSSYEVLGDFG WLYSDFFLLEHIPSQLAYTGIYRFLNNPERSMGGAAFLGMWLISNSKLVFALALMSHL SHWWFLSFVEQPHMKKLYGDRLRKDGGLTKTLKNVADKTLSTKGGKRGSEIRRVVQEV KGSIEKVEEKVTEAVEEFLDHARPMFSDMVHDTKILLQHSRERMIITRVANDISAYDP SRYSLTLPTSSSSPVPRYHVGQPIRVSWTAPSNHSRKDWIGIYRLGSNKSTLVTRISS VGKWMPIYEDEWDGDTYLNPSVNEKSDAGEVLFKGERLPWLPGNYEIRYHHDGKHNVM SRVAPIEIYVSKPSNPDSIRSIRSTLLNIVSLSLDNNPKLIPRSAKSKIRTLSSTSTS GSSSLSSSMGKMKVKHQPQSIGSALSQLSESEATLRRNASSDSDSPIGQEESSPDEEA KKAYIEEEQEEEEEDSSTPSAKSIPILQPNSHNRRLDVKVGTDGEEDVFGSSPSSIDL HNPPLSFFAPNGGDVGEDDNPPLKGGGGIGTDQDDFIIMTPVQAQRISDLAQLAFGVE VSKDVVVAEANVGALARRVAGARGLTAGLGPAPTGSEKDE L199_004958 MSSFQHLKKSRDARLGKPRPNTSSTSGTSGPGVANAEDGTYDME DELASKAREVLSTALGRPLGQDEPGLESLDDPEVEITNVSSYDSQGRRQDVFPSIGNL KGEGKGKGKQPQISNDTVTKEGKDEVSHELYKSELEGSGLEVHTLFGRGRGLITKRLI KAGTIILKTPPSISALQNQHFQRVCHGCYLTMKERNIASCKNEKERYEKKMRGELKIK LNRCSGCKVLHYCSRECQLSDWPTHKHECLALQRFRKMYYKTYPNKRQDDDDLSWTQA SPEPVRALARIIWKRRVEREKNGGKDGIWWKQIASLESHVSKSTQQEVMRLAQQAQHL QHYLSASIPLKGSDVDVDEHQLLPVHMEDFGFENVQEVMNFCSSFHVNSFTLSSPSLT PIGVSNSPLMALSNHSCDPNAIVVFPNGAKYMELIAIKDIQLDEEILTSYIDLSCPYD IRQRDLKERYRFQCDCTLCEKSKNHRVDWVDPRWCVRHEGCKGGDGKGKMPVKGSEGV VSVKCDKCQEDFKVNAEEVLSVAQKGVDVLDSDEHRQLDYKTAQKTLSTLIPSLQKHL PNHSYPLLSLLRLSSVSHTPPQSPKDLQIASKHIKQAYEACYEVYNQNHATPTLILCE YAKLLSLHDSEQTKMVDLSRNMGMKNTIIRNLLKAIEMLSKAVKHSEITFGNGCVVGK ELEGILEGCKEEMERLKRSQ L199_004959 MADAQSIAQQNPGLDTKHDSAGAKHPATGGSGNPQTGEPFEHAK PDAHQRLDRKDERSHPNALEDAQRVEKLEKEAEKEHEDALRHPTAAAKAHGNKPSAGA VKDEKILDEEEEELRKKDEAKKQSAEAHKSKHH L199_004960 MSDTGRQSLTDKAGAALKPDSEKSYLEQGTDILKGKSDSAASSA QPQSQKSYSQEIGDAVSGNQNENQSSIADKAKDALGINKQ L199_004961 MLPLRNFLASFSTNSAAKMAPKTPPNPTVPTAIKGREGLKLGEG VEHATFASGCFWGTEHLFSKHFGHLPQFKAISGYTGGQAENPSYRQVCSGTTGHAEAV QLSYQAGSVSYAELVEFFYRTHDPTTVDRQGPDRGSQYRSAIFYHSPEQEELARKVTQ EVQEKYLKGKPIVTQIVKAGKWYPAEDYHQEYLDNNPGGYECPTHRFYW L199_004962 MSSPEAVQPLFTRSPLIFPPHTQTRHQNQDQARFVTSPISTSPP PASDLPTKSRGGYPSSTFTSTQSSPVFETLSFEKIKIPSSTTASTDSEAFSSDYASNS NIQSPSLISCLFQSTSIVAVNTNNHSRKYSNSTTTSPYPSRPPSRNGSLTKGIGSKPI LRRDTMMSHSTSASEDGFGLGIRQLPLDRSRPATIDGQAPTATAAPAPALKKRPSCLT FAVSSPTPRSSGNAKAGPSRSTSVSPTSSYTNGKMARSPCIKPNWSKVRGQVEREIQE DEEEEDEDEEDDDGDDVMVDSPLPIPGHGESDEEDQGYVEDEEDGFTTDEDDEAVNQR EDRVFGNWNTVEWNKEYLITTPKRRNTDFADMVPAHPMTSTDDNDVPQQGNMVSPRGR KTSICINTNTKPSSNRCTRHRSPPPPTRSSSASYFSAPPAARSPSAADLCRRRGSGSV EHTQSQTLTSSKKGWKSDDSAFFSNRPQIVKTNSFKLPSASGSAAGSTGYARKSSLPT PKLEDKYYRSSTRSILKRSETEAKVKVKAESQPMIRSSGENKMKIPPKTPPLTNDNNI MVVPNINDIGSVNLLRRGSAPVTTLKKDTEHLGVGIRPNCLARSATGYEREEDMDGYI HQQQQKGIRIL L199_004963 MSTTPTNDTVINAYRSSHYGLTDAVVRNSGIAANVFSALSLTMT LGFLGGTIWIYSYPNCRHILDRVSFRLLVVAMFFEFWYSFNFLLLYINDTIYRPGGSW GPSHCTAGVYFLVSSMHVVDLLVMFIAVNLFLTINMGINPLKLQLERWYIGISIAIGY IVPLPSAATQHFGWDSALGTCWINGRGRNRRVDYLIEGIYITPIITCFVSTVCVAIVL VVLFRQGRATSRALFGGQGGKQTIGDLSENGTISLDPLSYSNSTGTDTGTGTILTNSN SFVDSTDTNTTLFEESNTTTKEGINPNRNQKRTKGASSRLMDKTKNFVRGKGWKTTEQ SPQSYFHSLSDKFLSIAVKIAWYPITLLFMNAIMMVGDLVIAAQGGVASHKTVWLYVI YYVLYGGRGICIAGLAIIIDPSLRRGLKAAWRERQMKKNPDILPTTTQSIAVETTLSP TFNRTPYSTAFDPMSSSIGQTDSIQAHGNGYRPRIDSDASFDFATALAYIPDPTSYQR EKDRVREAPWKDNQTPLPTVEVDLSYLDQELDMTILNDSNSNSNSNNDISTDSPPPPA PPPPVRPMPVASNGRPITSNSQKTGRGILSRLPGNSGTTRPLELRPIDPEVERRKEEK RKREERVKEIKRRFEEVQRHL L199_004964 MSYSVTQGDIRYWNAMGSIAAGTSMILALFILVSSCWIYTHRLA RHTLDRISFRLLLWSMAWEVGYSTTYLIVCANPSFVVEYKKTKACVAGAYFMIGTIGV VNWLCTCIAINLMVTICFNHNPIQLGLEKWYIIGSTVLGLGVPVVPAIVGHLGEDPVF GSCCNRLTGSILATLPRVSMFLILSLNLPSDGWLMDRYGNADAEHRIKYLISDLCLWQ ILSCVIATVAVIATLVRLMRHGRKTTRLMMGGNSLNKAFHKDPENGQGYGQSPASSGG GGGGRTDSSFQDSLPNCLRYNFQHATRPRSTTNRLQDKLFKIAMKISLYPISLIIVNG IMTSGDLFLTLSGGINSKGDFTIFLIYNFMFAGRGIVFACLGIFVDPCLARGYKAALQ ERNESQKYYTESELRVKQPNTGGGFERSIPMVEISHISPLPYKGHLLESGAGSEDYSV SKGNGSEQVQEQQSTSVIRAGSIVDRVEEVSIVNLPNSNSQSQHLSDNSRRTSRLLAV SYHDILEGKSFTRSEPGREDISIIPTGAGTGENGGNAVRYVRSESALLAAGGSTNQIQ IEIHDGQLPVDKMNEKPQNKKKGRGSRGSGNITLGSHNENNIVGSSSSAALAAAADTE EDEIERIFQRAQTRL L199_004965 MSPIKIGIILGSTRTPSNTQGISTYFQNILSTSYPSLEIETIHL SSSPGHPLPFQLDQTVPQAHPPSTLPDAYSDPMVRQWSSTVMGLDGLVIITPQYNWGY PAILKNAFDHLYHEWTGLPVGIITLGGHGGTKCLEQLKIVLGGGLHVDLVQSNVLVQL PRELIVSEKRLEGDESWLGDYEKGMRGLVDELVERIENRRKMKTEE L199_004966 MDSLFSPGQRPPSPSSSGPVTDFALIESQKENIRPLATGRSAAT LSNVLKEPTAADKVAQEGHERFRKDIEDAEKRDKEGEDMIDGILDILDVYNKYILFVV QHHVSSDTHLLPLLETTTRRFVNDTRYTQDIRYLKLWVMYARQIERREEIWAFLESRD IGTRHSVFYEEWASALEGLGRRKKADEIYRLGIARKASPPDRLKNRHKQFLERIMAPP SGVVPDDEPSSSTSAPARTLGRSVLGQVATASTSVAGATQLAPSLRVASKGNGSKMEV FSDDKGRSDDSAPGEWADFGTRDARRKENTIEATPWRGETLPQSASRTRMAPRTPKVE VFKDVSENEGIRSADEVFVRQKQPPTEAELLKSDPLRHYDTSELSTAIPSLPAPPSAR KPPRAGKTSSSSRFVMQPWECPKDGPDTKNAAGKVERRMFDWNAVYKNGDEWSFEEIR ARQRGLLGKEWKGEVKEWERSWHNPGSSTPKAEEKKVKPPSPTVNTKLAELEVMKMFD QTIHGSKVRGSASDSDDSSDEEEVEEPAQCAPTPLPARPGSIAMLAATPRAMIPPTPT PAGQSRLFTPGENPTSKPLVFNDENAASSKPTVFQDENIQSSRPAVFSDENSVPPSAS KPGKFNIFNDTPAKTPLTSCTPLASSSSKPKAFGIFSDENGDQENAVQATPSAAPKQR QPLSQNLFATPALTRQGSYGRGVTESIQEVPEGDEEAKEEEAGFVHEVQQGVARVDLN DVYEEEEEEERPRGMRRFQINTMTPITERTCEYTHMTNLRSSTASNTRPFSVAEDEEE EGQEDAFVASDPAKVTAGISSTDPENQHPPQQAGNESTSPPAQFIEFDKSILSEDDMP SGDINGKFQLPEGFTIHRNENTMHTMVLTDGETMHTAREGSVDPTTESENFVTANHQI PEIFNIPNPCNPASSEILETILTSIEPPIPQIPGFIDCRSTSSSNWAQLQKHAKSRGR RGSTNSRASVAPSDDILPIELQGKKYEFGDKIGEGGFGLVFSAVDVAQRQLEDDMDSD DEDEDEDGDEKEDKSILAIKVEQPSSIWEYIVLNRIYSRISKSALSSIIQTRQLYAFK DESYLLMDYSSQGTLLDVVNKANTIGISPSTSGGPSTLEEMLCIFFIVELLKLVEELH SNDFIHGDLKIDNCLIRLPDIPTSSWSASHSREGKNGWSSKGVRLIDFGKTLDLTLYP SKRDQKFVIGDWKADEKDCIEMQNGENWSYQTDYYGLASIAYTMLYGRHIKVVKGQDG LWTIDQGLRRYWQQDLWTNFFRTLLNPGDDLPITERLVEIRRGFENWLEENCQKGGKN LKSMLKKIELAAITGKR L199_004967 MVTKQDRPYYLQEDDQEGEALVLPAEEDDPSIPKDESQKDKKAR EKREKEAGVRRVKVGKVELDPSIDYANFTSDGPGLDSKAILDDMGMINIWVDLKKPLP DLPTDYARAVKEYAVDRRKGVKCPPLNVVIFIVGSRGDVQPYLSLAMHLITSHSHRIR IATHPDFKDFNLEDKLEYYDVGGNPKELMAYMVKNPGLLPGMASLTNGDISSKRKMTA EMLEGFHKSTYSPDSVSGRPFAADAIISNPPAFGHIHIAEALGLPLHMTFTMPWSPTT AFNHPLVRIEQSNAEKGLTNYLSFALAEMLTWQGLGDVINSFRSKTLYLEPLSMSSGP SVVDRLKVPWTYCWSEGLIEKPKDWKNHIDISGFYFLEGDKDYEPDQELKEFLGAGGP PIYIGFGSVVVEDATAMTKTIFEAVKHSNVRALVSAGWGGLGGCDVPDNVFILKGNIP HDWLFSEGRVSAVCHHGGAGTTAIGLRNGLPTIVVPFFGDQAFWGEMIHKAGAGPPPI PQKTLTTENLAKAIEFATSPHAKAAAKGMADKIKSESGEVKGVESFHNHLPLLNMRCD VDPDQIATWWSDKLCLRLSGVVAALMVEKGKLDWKELEVHRAKEYDSKRRVVDPISGG ASAILGTITGYYAGIAQIFYNPPKGIINTTTAIPKGMVNIIDNIYEGMDNIPRMIGSE QVRERGKVDDFESGVKEGAKGVFYGYWDGITGLVREPVEGAKKEGFVGAIKGMGRSYV NVTARPAAGIVGAISLPIRGLTKAFQNKFSAPREIVLEQPRRLLSIESLDSLSPEEKD SLSKKFQDLTRPEKVKERKESLKKRAKRVMEGDETALDKGDSTPVEEKEEINPNNIPE AEADVKANELQGEGKKPREMEEAERRGYERALRELKEREGK L199_004968 MANTSVFAISPRSSYSDPPSASASASTSSSSAGPSHIPAYTHIH SQQHDGNAILTTTPTSTPIKRGKTGCITCRIRKKRCDEAKPTCDSCARLGLECMGYSV QRPSWLKEKDMEMKLREEIKQKSNERRRSKKTGQPKTKTGPKPKPTPTPTAKGKGKAE PEPQPEQQIEKYQSEMGGNLAIVASSSNPFKRKRHKQDTDEEEEEEDDAMGLEDNHNI SSSSMSGPSRSITVPTSSVLYPQVVAQSQNLSAPAPLTWAPLISSLNHPITGSLARHP WNPNHSQGPHRSQYQHPSPCPSPNAIEGPFTKSYTYPPPAEYYQNQYQSQSSSSNPDT PSSLIELSNPESPLEDLWIYLLNCQPDILSNLLSPSPIPPRSLSKSPSPDSVDRYFHH YLNVVLPLQFRFTMKSSAADLLAPLAMRDDTVMNGLKALAALHLSVYKNKDKTRNDKN KDSRGEGVVWDDIVAVDDEEELRTLRIQEDEGEEGEKDKEVAERSFDKTIKSLRSPTS DPNETDGLLVSSISAISYVIFSGGIASEWVEALRIARKYLWGALRDSPELGIFTPSTI PTSTHSHQKQKGSPWKRYRHFLQAIIRTDIFGSITENKASELLPIYRSLLNRSSIDFL SDGSGIGRANGLKEIVPNLDDIDNTTLLALAETVALSEWRAEQYQKGTLDLEELVRRG NEIKVLLNERSIRERQYFPNSNHHLHVRRSSSNGNEMEDTDTRLKGQLMSDAYYESTK ILLSITINGPFPKLSTVQEPINEIINLIRQLKIIENRQTQSQTATTATVGTQTAIGTE KKKRMTTMTTGTGAITGTNEFIRTLIFPIAISACHCLPCLQPFFRGLFLNLNKNSFLF GNTKFIWMLIEKMWEERNIMTNTDSDEINGSEIERPIFWLDVMKELGWEGGILLI L199_004969 MKSLFKGKRFYIYCPPDHQAEGENEIVGEEDWEKDVRYCKSDIE SYGGQVITSPNYNVNHILIYPTHLERYLGNFNHNHDYGCSHLKPDLILDIPLEQVAPI DDWDQFEYGIIPWTVAKIIERYGDTTPSGTGKEVILKLGWVKSCISAQRVLDQRYGEF GWAGMSIRSRIAANGEPETGPGRSSTDLIEISDEDLDSVFSTPRVRSEQFHDDDEMEE QVGVQMLVEREESISANNSDHFHNSALPSVDQASDDMMTASGPSSAIPSQAIHEKWEC DIHAELDRLLGQKGKKKAVINQAAPVESLRIYLRKGASLIKFIVEDLGHTTRTIEVAD VIVLQRLDDGPPSLRSKTEDERQLIGSAKEHQKVVSSQWLIESYKARQTINTDQFTIK LAPCKHKPAVAISANSPIAGIDQVALVRSYPPLRSAAISSFKPNKRSLPVTVSGDGSD EMNSEDEMDMVESSDDDYNDDKDNNTFRFKRAKKVYGVSARPSVIRDQAQRRRRDEHL CRLILDQPEGIGMYAYLTGCEPKYGITNWGKSYNSHKQTGRIDQMVKNMREQMSKRKK AESKEHM L199_004970 MLLRQEWVNECVRQDRVVGRFDDFAGWEIKGTYDPQHVNITPWG EPPLPPQQPLHTPPSTSNVPPNPFESLLRRSNSSSSSLSAPQSYSNVQDIHPVLPLAA RLSSRLAEDPSMTSRKIDQPEKADSPVQDPRNSRRAADDDGNATSTDLDGSLMSQEPV RSKVEESSIMEMETADERPPEIETPTTVQLPNDEDIKPLIDEGIKIDTRPETPDLPSQ QHLPMNAPPPPTPPMTASNTIDRDDIGLTSVKQHQHDMRTTSTPSPQPPLTMQPTKLS TPQLRSEPNLGNITPSSSYVQDRPDIQPKGIFARGLLLPLGFHVFGSVRERKFIELAI TRTGGGVIVPEPQATIHILPLSPTELLIEPEHSRIVREISSDPTRAVVSADWVNDCIE TDRLLSLDEYRMSLKDNDNVNGDMMTPPPTERG L199_004971 MKYRDAPRAKSPPRQPRQHSPRRRKSHGSVGGDQWKSKKEIDRL IKTIRYHNGSISRTPSSPSVTHIVLPPKPRLPAERSTAATHYRTRFGIARTPAGLDR L199_004972 MHPGKRKTPSWASTSPQPGTNGRASSVSSVKQEGETEGDSKRSK PNIPTGADGRPIYSASHGMYRDVRTAALDLFHQLKRAATMSYHDAYFRVQETSPGLDA TAALELLKGMDRVDFKEINNVFMYIPDLTLNTLPEIRSHIRIHSTPTSGIPVKTLREA MPNGINPLGELEAKGEVLIMRGLTGHFKDIPLPRLGRKNLNGYLINEGGNGRWKTVFW DHEREKGLAGKRVDDEFIFSWADVPMAETDDVTKLLAEQELTASSAIPAPTKVTHVAP VKKKKKTTRALKITNTHMKEQGIDFSKDYEKPS L199_004973 MPAGKESLLGAVQSPPTRTSPRKTSNNNTTTSINKFYPTPLSMS PSTSSSISISVSTSTNNGNGIGSLRFPPSFDPNHPSSSRYHSTTYTPYSPYRPGEYGR SERGLASDRTKIERKLFDDDDNDDNNDNKEEVEDDNVKQQQDKLTVDTNKKEGSSPLA PAFQAKMVLRNGASIDLISWLRTNFHHLPPPHTVLTPCMPLNGIRHLLLERFPRAPEV EEISKAVLAAFPHSQWDYPTGTSSEPPNIRGLVWHGKDITDEEEIEPAKQITTKTSGI NGGVHGSAKKNKQQQSQSQSRSPTQSTLVSPISSSKRQLPDTPATRSVLEEFAEIATL ADKTPTLKAKSLPGDNDIDIDIDVNNTMVESKKRRASQSPESGFSSKHRRRASTPDKL HGLLAAAEAVEGSPITSLLTGSSTTHSNGHKRRRTIGGFSSAKEMMSSSQRKFNRSSR GTLSPPPQAISKFNFLPQLNEDIDYLVPLNDTISNNGSGLEQVDDDHEEEDAISTSLR RKAPNSSSTSQSSIISSTIPSTSTTSTTGGGRKVNELPTEGDAPGYDCKPPYPYHEMI RHAIESAPDCRLQLSQIYSSIADRFPFFKTLDEKKTAGWQNSIRHNLSLKKMFVRVNK PDGTPDDSGGKGGWWTVQPGVPDEGRPGRKAKAKKAKAEAEAEAGAGAETMTTMNGEI NINDNSSNLTHMGIEDVNLNYDDFQNSTLSQQQQQQQQQPITNEKSILPTMATANHLT QVSVHEITNPLESDPNGLKYDI L199_004974 MRDPPSSRDWKDYYDSQETYIPPSYPTQTPPVYPRAHSPPTSPR RSSRNHYTPVSREQVPGHGSRWDLFKGLTIYIHPRENESSNEAWNRRDLMDKIKYYGG SLSVKPNASYVTTILIHLPQTYPRHTVMLIDRPQEIPKIGEWTRDELVQHLARVSSAD DNWVYRRESRKKVLRVDWAEECLRDGRIRGENESWSGWEVRATYDPKLVNDHNSYRTL SSDYGFNEYLSEQGNDRLEARVQLSPKSYATPAKHVETLAQDPRKYLRAKADQLRDDL VGDREKTVGSTAIERRHSQEEAKRVKTQEEAPISIEEPVPTSGTHATDLSGSNDSQRD RSLQLEDIQTDEIRANNGEQVEQSQANDMEAKGVHKINTVEELGGNGQGIIVDILVKE KTRKQVNGDKEVSSADIKDEKESQPKPVEVKPEDGDSKIQPRESEVEETENGTEENDK KPTQSQNDVGNNENKSEDQEDGTTDIKPVIIDDDEEPSEDDIRQSSLRSQSRSRSVRS RSSSRVRSFSQGSHNQSTRSSASEALIATAVSVAKVVPSSPTASRFGAIPRVGEKVFA RGVLLAYTFYVLGQGREKRFTEMIITNAGGIIASSESAIFLIVLLSPDQNASLADHPE VYRMVRKIQSEPGRRAVSVDWVENCIERDTLLPLEGFVMVAGEEGSAEMITPPPNGSG SSDSGGKKRDGGARDGREGSEKKCRT L199_004975 MRIEELILDGFKSYPVRTTVTGFDESFNAITGLNGSGKSNILDA ICFVLGITNMQSVRANNLMDLIYKRGQAGVTKASVTIVFNNQDRSKSPLGFENAPQIT VTRQIAVGNISKYLLNGHKSTLQALQNLFQSVQLNINNPNFLIMQGKITKVLNMKPAE ILGMVEEAAGTRMFEERKDKAVKTMAKKDKKVEEIESLLREEIDPKLEKLRAEKRSYL EYQKTTSELERLTRLVKAYEWTISVEKAQKAAENLKWKHHDIEAAKEDVERGGRECKG MEEELEEIRRKREKEMAKGGKISDLSDAVNNLDRELVKVKTQIEIIEGTLKDDVKRVD SAKKAVKETEKSLGDRRNQTTKDAAAFAELKSAYDAGQAELEKLEELLQSLITGLSSN KEDDENAGGYMGQLAEAKARLAAAGTEAEQAKVKMGLAEKEIKEKEPRAKKAEKEGAG LIQELTSKKALVEKLKTQVESADWDENKERDLLDTQAEHQTKITELMERRDALKSRLA AIDFGYSDPEPNFDRSKVKGLVATLVDLDQANFKSSTALEICAGGKLYNVVVQDEKVG SQLLEKGKLRKRVTIIPLNKINAFKISAEKLASAKQVAPGKVNLALDLVGYPDDVSAA MAYVFGDTFICADKQAAQAVTFNKNIGVKSVTLEGDVYDPSGTLSGGSAPSSQGILIK VQELRDIENQITQHKKSLDEVVKQLNGAKKVIDQYKKDKRELDLKGHEVRLLEEQVNG SNATKIVGEVENAKKLVAELKEVVNQAKEKQKQASADVKKLEKEMADFKNNKDSKLKE IKADIANKKKELGKKTTQVKTRQKEVQTAELELQQLESDLEAAKAEVEEALAAQEKTK AEHSELKKTLQAQQADYKAAEAKLKAERAVLVAFDNEMGDLERDLKAKKQEIADAELK LKKLDHDIGVVAKEQSSAESHKENLERQFTWITEEHQFFGKPGTPYDFHGVNLNQARE QCRELEAAQKGLGRKINTKVMNMIEGVEKKEQALKKMMATVLKDKSKIQDTIIELDRY KRDALKKTWEKVNGDFGLIFEELLPGNFAKLQPPEGQDLTEGLEVKVRLGSVWKASLT ELSGGQRSLIALSLIMSLLQFKPAPMYILDEIDAALDLQHTQHIGQLFRNRFKGSQFI VVSLKEGLFTNANVLFRARFRDGTSIVERTERRSNNAMYTSTEDKENSQDAATSGGKG KRATGGSNARSALAVR L199_004976 MTLPIPSHLYSLIIAIHSPDPALPLEDDIGQDWRLGEIDVDWID FTQEKSSDKMQPTPTPSSSPGRRKHNPSTASRNLPSPSKKNPTTVDDLSLQPLLAHYP NPSSGPNILDSSFASGISELGRGVVHLFKHPPPASLIASIDSHPIGEGSNTSQQLHSD LIGEKAEGEDGSLIAILAVPAWMRPADFIEFIGGWSNCLEGVRMIREATTPNRSIVLL KFRDPLQASDFSVIFTGKAFSTLDPRETCHPIRIHHLILHKLDRPSTKSSTIAVPAFP PSVYSSRARELPNLLDGVGVDTKNTYELPSCPVCLERLDSTVTGLVTLPCAHTFDCDC LRKWGDSKCPVCRLSHLLLSSNHQNQNQTASSSSGREITRLTKCSMCDSTENNWICVV CGTVGCGRYEPSKGHARRHWEESGHVLAMELETQRVWDYKGDNYVHRLIQSRSDGKLV ELPSASSLVTSSHISRPLPLGGTPSQPPSTNGTPQRQRPGHTQPSSARGSTSSNDAGP SSGDVEKMSTIESITLEYSYLLSSQLESMRHHYESQQSTLLSRLEKLESISKENEGRL KELEDSKRAKEKAENKALKAIELSRSLQQNLANEKSLSNGLSSKITNLQNQLEGLRGR LKEKEDECTGLEETVKDLMFTLEAGLKVKELGDEGGQGGDLVVVPGKGKKKNKR L199_004977 MVLVGLLPILAFAGTIQAAAIPIDSTPPASPALSLPAGGGSATF SPVPTFSPQLGVPSPTFPNAPSIDNTPDIHQNTSGGGRYTQDGSQGGLRSGTGVGRGK GLTNAERMRRGLGILPPTRRMTGKPSKRSGIPNGSPQVDAAQFQSPVMDGDGVVATPN DGSIAETSLPDDNNQDQDQSGSSDINQDSNDNANNNNDNDQTADINDGRVLASKKYAM RMTNPEDGSDMGLITGPQGDDNPLIGYSPSPAEPLQMTMPTDPSSNSPFSIVPSSNPD SAGDDSTFKGPRKLLAAVPHRTSTDPGSGDLMPGNGNYAPLGMGWKDGSGLLHINIQA LINHPESSIPGSPLPLPASDDPTSDLPVDPSTVFGAPKQLLSRPGGSVLPSTTNLPVD TSNLPLGASSDPTSLLHGTVSNIPLGGGSFGDPQDTLWTFEKGSNKLLAQYVNSDGST VPTYFVTGGDCSHTICLTADVNAFKDAQGGGAHEVHVLAEALADL L199_004978 MDVGSDISSQLDSISSISNQKERTQSYLSLLTTLLSSQSPSSNA LITFGRHFTTSTSMAMVVGRRVLGSYVIALCAGTSMDKKGTSAIPLDEELSNDQAKYE DLGIQALKNEHGEEIRRDVVEGVLSGGGLSGWCDEQTTVLRHLYSHLLTIEDDFLGAA KALMQIPLEGTSRLISDEEKLAVYIKIVRLLLECGEWGQAQTYFSRASLSIHVTKDKE TNLQYKLSQARLFDFSARFNEAAQKYHEVSFESSVAEDDRLQMLKAAVTTSILAPSGP QRSRILATLNRDDRVQSSLPPSLATMLRKMLLEYIVRQEEVKEFEASLEEHQRAKVEG GGTVLERAVREHNVNACGKVYDNISFEALGNILDLDADSAENTARRMIEQGRLRAWID QPLHLLYFESRTSHDTDAEAQGTAGGLGIESKEKEIEPRLWSERWDDRIRETSIKVES LAEQIQQKGLIPAVSVV L199_004979 MSHNLDKIVKLACKPKNAPPKAKYIEVLVAATYSDDGSLQDIIR SLSLRIREANAVVVFKALLTIHQMMRSGSTDQFLGVLSRQDVLKLRNVSGQGFEGFQP PASLSAYAAYLDSRIKCFRDIKHDVVQMQTESNRRSEGSSANSKARKLRHLSVEKGLL REVKYVQKILDSLIQCRFYDDDLRDENTVLAFRMLVKDLLVLFQAGNEGVCNILEHYF EMSKVDATESFDIYKSFIKQTDRVVDYLGVARKLNHVVNVPVPNLKHAPTGLVKALEE YLNDPNFENNRLEYKKSLGVVEGKSGRDPSPAAVVKETKPAATSSTSSAPAPAPAPSS PPPAAAPPGSSQKIQDFFDSIQTDQQPTMFGGPAQQMNYNQMTMHNSQQFNPFRQSMM MPQQTGFMPPQMTGFPGQQPHQQSFLQPQQTGMMAFGGAAPNQQIQPQQTGMMAFGGG GGQRQSMFPQQPQQQTFMQPQQTGFMQPQQTNFVQPQPTGAGSGFLQPQATGSNPFRQ SMMLNTSTLNGGGAMSQPSSPFGNHPTSPGGVGVNGFGQLQRPGSTPALSTSTAINAE PKPLTAQVTGSKNPFAPAGGVPPPVPQMPKGPTMNELLMGSMNKHPTGNANGNSPWGQ IQPQQQQQQQQNGTSGMSDIASAFTFDTSKSNNNGNDFLSQFGSGSTNGPSSPSSTTN GISQFGSISSQPTGLTSLSSQPTGTGSGGFLQPQQTGYGGSTIKPFKPTSSFGSQLLE SLPPIQEPGSNSNTASTPNKSSIGLPSQSTGFGQGLSPQMTGTPNPFRQSIFGSLGPN SPSGGIGGMNSQSTGFGTIGAGGPFGSGSPFAGQNKQGQGLPQGQQQQQNQNQNQNQN QMNQFGMFGTGGNSGGGGSLI L199_004980 MSSSSKIHSLSTNSSHTPSISLYRHRMYANNCPDLKRKRYGPYA TTPVRYSSSSAATSSSSFTRPSATRLDISRTPSPAGRNGCSKFLKTSTPKSYPSTPKS YPCDLHPTGRHQRTGDDIDPIEINNDRNGKQQQEQRKDQDHIGSLGQKGVGSDFAQTQ DSFDSEEGKNDPGDEAGDDSDLDCLDSDYEEERNIPDSQSHSPLTMSMTTSTICQPGR DDIHNGISSSDLEFTPEIDGSTPPAPWEITIPKIEERLSYLRARWKVDLTPSTNASWD KTSMAENTSTPSLLARNSHFAPSSFKAGGVNQQNQVVYGEDTNIGESLHNELDSNTPK DNHQTDDSQSDTEKIKIRMIRHEEVEDGGISSIRSSSNRRDSTPSSPCLTPPNYTPLF VNYQCWPPIPLQMHTKGLPSDLIHELIHWGGHSTNIPLKADIILFHREGDDIKPSTPR NSQELQLFCQAFERGQKVLSSLWVDDSIKIGKLQDWGPYEIWLNESDIPKKRAAAIKA NEKRTMQHMAMHSLFHQGNRPRGKDQKDQTMIPHQDEKSEVLDLGSELESDEEFDGIG GVRKIGEKGLVTLHQLKFRSKPRS L199_004981 MSSESSPTNIKPKKTLIVKDNRPGLFDDMVFYLHEYRGNYRGQG RDMFMVKQEILTNGGRTTHSPTDPNLTHILVPPDRESRSRDADQSSILNLKEIQNQTY NTKTKEEVAVWDIPKLVLFFGQHLNEDGEIIKDAEVPVLRMGWILRCAKKRRILVDGD GDWDGQYLRARIFPDFQARPRPDARPIQAIEMGGQGVSNEHLDFARQMSSGGPMTYNA RPIIPSAPILAYQPRRHLIKDEIRDQAYPPKDWTRTIAMGAKVNRWSALTNRQEISKQ PQSPVHVLPKADQLSVSLSAESVIHTQEVSSRQNYIGTAVIRDHQSPVVNSLKKSSSQ TPSPQHTSGPQSESSEGNINQTYVPMTPISSVESVSNDQPDQTHQPDKKRERPLDKPH LALDPRQHLKRRKVQDLAVTEVTEDERPPTPPLPEEMQTILAHAHQTRSKLSSLPEIS QNIQMAAAVPVGTGAKQIMDSSQSATSRPAYRFKSIIPSSSSRITQAIFPRDTSKIFF ANGRSMTFYVHQGDSATNLLIQHGGGRIVPIEYASTIIFDRMSSKELCTTEEEDILDG VELRGEWQVVVSSKWIEDCLRKGKQIEDSNYRITKVPQTVAIPEDHMENEGKQIDDTM DVDYNSDDEESVICLSENKPALAERKKRSSVSSKGEEKVTGSKSSAQGIDQLVNILVE EMRYWNPKNCRRTKFLNELTKKLPERHWRKFFDSHRSKILLRFDQLGYVYPDTDTIKV KIQKEKQEEEEEEKDDDDLDQLMSDYEEDSNYEPSVN L199_004982 MNNTRTDHWMFAGLTFYVQPICNPIKKSSLTTAQYEHVVESIRR NGGTIVPSPTYSCLTHIILTHTSYDRDAIFDVGRTRNDIHTIKWLDDNGWATFRLLEN FGEIINSPHRHTDLNSSFGHEYAHGHEMLGGHRKMVVVVVKKDWVYDCIRLGRVLGEE QNWFGWKVKGRYPDDVTGIESALPYSRPTPIANNKPSSISNISDSPIVSTNFDPPEYN NRPVKLKTRYYPLHPIKINPSIRLPSMTWSTRVPAPPNGQFQTHYLELTPEQLKSKIY LIGYLPPDPRFSRSYGERKEAHWYDESFKVDGQRHRAALLDYHGSQRIYEEARSTRGV SFYANYGLRSEKMGVIIKDPRKTISSCQSVNSKTQGLAAQNLNNPLPKLAISYAGNEN KGLNIFPLPDPKSDFSRLPVKMVYPDQTFDDSDLDCLDSDWEEADDSTDPVKDTIGVV SKRKLPIHEMTFKKKTKIDKVTHAQIPERLNLQYQNKDSINLPPTPISAFPKLLADSQ AFPINSSEEHERRPSLSPDLHSNSIDKKDKPSTAQICQILAREIYNHPDEAFVSISKK LEMRYQGRKWKTWYRNTSRYRNVIEKMIKRLDEKERRNELSEFKIQVNAQEH L199_004983 MSGSSELFDGIGFYVQPMAKENILNSPIGKEIMDHHGTIYPIPS LDQVQIIIVPPIPSPVNLIPLDPNFVWLDPDTLDEFRGWTPELLVRYFEDTIRNGDVI EGRKVVVGYGWVEKSLRAGRVLEREDNWAGWRVRGSYDIWNNLTNTLHTDCQIAHILT PHDHATTQPYSNDRYTNASAMDLVPHYPPATSNDSNGKLEVSKLFTYTQTLPSSRPHP VHYASACIDPGSEASSNHPNGFDGHTTYHPIQSSHLSNTAFEHVPHPGPYLQQRYTWE SHPSVLQPVPSKVLPSGAKVPITEWIASETTKDYATHHPNFNEYPQSQPRYHPKERYQ PYPAPSGVSPTHEDSRTLQPPLTLDKPLHPRKRVTKTPIIVPSQKITQAGYVPPTPIS PVTWNRTSYPPLSRHLPTQANNLTSAIQRQNRVKSSSSNAILQPTSTVGLSKPTWWDM SRSRTINIPDTPTKWQIAMNQKGKAERREASISGKPIKMYLAPNIHPIYREKIKNEGG QICSRLHASIAVFYRTATDPSPLMPKSTAENEAGWNCGKKGQVTVTLDWLKVCLEQGK LVNAKEYQILFQKPTRNESGPVSAYTTLSSKEKKVVPVTTDSQVSVHSSNKPNPTPSS QAELDATSRLRTKSTLTQSSSFPSCPSSTAYDPISWQVEKIARRLYQNGKTGGSVTIS DPYGKERGKDDMTYDYPEKYESSIQDRVKELANHYERAGRTLRIDETKNVPVSISASV PMPSTPSSNPSTLPKSRTEVQMVIESANTQDHALDSGELRVAGSLVGRLLTQLEKDGT DGVSEDRDGRDNKIESGGDYKVEEVRNLMDEELKELDEFFDFGNDTPNAIDSPTLVTE STSSELPSTRCVLTVEDTEGVGFHLHIPTQHALESAKNLEMSEDHINVDLDICMGKDE GNDHEDEVDQYHLFFQSLLDGSYLLPTPTRDQDASRSIGEVGSKDVILVDSEDSDEEI PLRVVQASRVLGRGRIGEEMRGRP L199_004984 MSDVKPNITITPSDSLAGPSSAPLETIFPFLQDQDERLEISDKK NGSSVWALKIPRFLLERWEMVEEKGVELGSLVVDNSTTPAKITLRLTHPDIKPTNTEE RSTYDTSGIPDEYRVNVPEERARNLYVFTEKKRVYGKGSTSVAMPEGGDNEGNEGGRR KRHKAQPKLVAKVDHECQVTPVQNQTYMKILEQRRLESEQSKRPVVRMDDTGISQAEQ NQLASGYRNAISTFGSRMISSAKTNNGERHARLERHELTDRIFALFKEKPYWGIPALK ATLKQPDGWLREVLRDVAEQIREGKYVNMWQLKKSWRAGGDGQGKVEGIEGQGEEDVK PGVIENEDGDENMNEVDEGEDNEDDEDDEDDFEEVLA L199_004985 MDYIEKLAKEAQNLTMYDVKSYYNQAKNMVLNVSEMEAKVREAT NDDPWGASSTLMQQIADGAQFNEIMPTIYSRFMEKEAREWRQIYKALTLLEFLVKNGS ERVVDDARAHVSTIKMLRSFHYIDEKGKDQGINVRNRASEIASLLGDVDKIRSERRKA KANKNKYQGGGNDGGMSFVTSSGSRYGGFGSDSLGGGGGGGGGAGGSRYGRNDYDAGD EYRSSSRTFRDTSAKTEYDEYEGADDFDDQPPRRTAPSSSRATSGASHRAPPKPVAKE EKPVEKPKEVNLFDFDDDEPATSAPAAAPAPPAAAAPSFGGDDDFDDFQQAPSSSAPA PAPASTGNANANLFNLLNSNKSSAPAPQPQAQAQALSFGQAPPPGYNFSSPPIAQAQA PSFASRPSYSSASSQVTAPPVTSPAAKPSGASTFDDLFTSSLTSMGGQSTNKQAGGQK TIKDLEKEKTMNSLWGSSSTNQGQGGSQAKNQQAQAAQNLSGGGFDDLLL L199_004986 MVRSYMRHGPTQAFGIVNSPTANSAYDGRLAYVAGWEDVLVWDV KRGEMVSMWHSPSHTSPVTYISPPSSPSSSSSSSSSDTPQTFAVSYHDGSIRLWSFNS ANPEIEASEIVTFNGHKKSISHMSWDVSGSKLASGGVEGEIVLWDVIGEIGLFKLKSH RGAITGLKFIPHPKSNKSTHPGFLVSTAKDTYMKLWDLETQHCIQTIVVGRSEVTSLE LKEYDDYLPTGQGEEEDGERIRGRWIVVTGSGDGESKVWSLENEKLDNGLKEGENGEL PTLIQPLCTLPLPSSSHAITQIDFHPTLPLLLLHTNDKTIVVLRIRSEEEVNAKRARR KKRDKEKSKKKGKQQVEEEEEEQLNDGVAADVKWEERVTSWCVIRANSKVKSFAMARE QVDSAKGGVQLLVALANNSIESYTIPSPSTSSKKSSKLPDGSPPEPTKTHVVDLPGHR NDIRSISISSDDQVIASCSNGTLKIWNARTTQCIRTIECGYSICSTFLPGDRHLVIGT KSGDLLLFDIASSTLLESYKAHSGSIFGIDVRPDGRGLVTGSEDKDVKFWDFELKEKG EGEKVVDRLGRETIYKTKQLALVHVRTLKMTDDILSCKYSPDGRFLAVSLLDSTVKIF FSDTLKFFLSLYGHKLPVLSLDISQDSKLIITCSADKNIKIWGMDFGDCHKSLFAHDD SIMQVQFEKGQERNSHYFWSVGKDGLLKYWDGDKFELIQKLEGHHGEIWALATSHNGQ FVVTGSHDKSIRIWEKTDEPLFLEEEREREIEQMYDTNILDNLNREPDLDGGEGAETV EGVTKQTSETLMSGEKIIEALEIADADREATRTWEEENAKSQVDLPRPSRNAELVAYG DLDPDEYVLKVIQKIPTANMEDALLVLPFRYVISLLGYLDEWALKGQQTVLISRILFF LMRTHSNQIISNKIMRTPLLTLRNHLRESLEKQRNVMGYNLAALKFLKSSWEQERTAG LYEQEGMDDEAVRKRIEEGRGKRKRVVV L199_004987 MSLPAGKQPRTDDSDLSSSTKRQRPEQRQYQDSSSDHCEQREVG IEQAQSILANEPYRFVHKRSIITRKDVKAFLRSLPDKGPERRTLRNMGLDPKGWHDHP PKTHQ L199_004988 MDALKKKRPWRDWQGTWQPQREQIMARLRKLGIDTSTWNTKKGQ VEVICISDDSDGDQEGGGQGREPGDIGTRVTVDGTTDYRNQDELTRVKTEHDYQDKQG DASTMPSDPLHNISSSLRSVGLTPDSASNTSTGIQRPQPVSPDSGIARMTIDMISSAH PSARSTAGAESEVEVKPEIVSEYGGGQEREDDLADLDEGEEFSGVGVDIT L199_004989 MTAVKPSKLFADGDFPMTFFLIQESIMTRMVIENKGGRIIDNPQ NAHHIIFNRDDGSPSLTPKDDEEIRVLNQVVHWQFVLASTWISECCKQNRLVEEENYQ IIPSSSIESNIPPTPVSSLRPQPSVTPASSPGFPSQQAAIVGEHKNPNSPTQSSSPMT NRKRFREEEAEDTGNGESSTSKKVKVNPLLEMISYGPLKVWIKDSKEYTNIRGLLEPE KHIGRKRSSIY L199_004990 MTINQNSPPKGVFSGTRRPLVFYICGRGEGIRYVIESNGGAITT SIPAAQIVIFNRDITPEILWPISVEERKVFEVVQQLGKVQPVLSSLWIYQSCREEKWL ERSGYAIQIGVYPITPPSTIATGTTAIPPVFAESRPISPASVTVPNIPSTPSQHQPLV LSRPSRVSTQPAPQAQAQLAVVPKAHPPALPQPVRRERQTNNSISSTLGGTCPSQQTV APRIIRPLSGTASHVITTEQAQWILAEELYRFAHKRKQVSENDLKVFLKDLESKRKER YWQKFYARHHDRIDTMLTEKGLNPKGWHNYPAKAVKDKVSGSGMKGWAWVVEPVDPIV QPLSQP L199_004991 MDLNNVFTPESEERLKRLMVERQVPGCSIALVRRDSNANTWEEL IRTYGTARDDQPVSSKTRFPIASHTKLFTVLALSKLLEENDFTFETRIKDIVPEFKLV DKVAETRLSVADVCAHVSGVPGYNLGYRKGQTKFEIFKLFKDLAPSTEFRGGKSHQYS NMGYDLLGYIIEHLSKDTYRDHIRKNILGPLGMKTAGFSPDENTAKGYRCDIEEYSAQ GSLSEVDMDVLGDESWDSSGGLIVSAEDMVRWMEAFPILPGYTFATTPRSFDPS L199_004992 MTYNGISIQEHWGSLCGYRALTLWIEDVQLGLSVMTNGAAGEDV VNLIKMMVLEEYTEGKKVGLDDWVRRIELERAAKTARICSDYPAISSTYPESPISGTF ACPGFPVLKLDETNFMNVRPRCVTLPFRPQLYGNLRPILCNMGNGRYEGCFELTMAVD GRKSFGLPFHLQVEEGGKDQFKVFGLSGVGYGVDGEECPAIFVRFR L199_004993 MGFKVIEDRPTPSAVYNWRVYLMGCCIAFGALTFGYDAAFIGTT ITRPGFTAAFGIDKMTASEKTDNSANLTSSFTAACFFGAVFAWPMMEAWGRRPALQVS AAIFNIGAIVMTAATHQLSMIYAGRVLTGLGVGIITAVVPSFLAELSPPPIRGVLTGL FEIAYQVGNLVGFWINYGVTHTIDLQSSKSYRIPLAVQLIPGGLFAIGCVFFKESPML LIKRGREEEAIRNLEWLRMIPGDHQYIQEELAMIHARIEEENKVAGGEGKGIKGYFMG CLRELKVSSIRHRFVLVMGMMFLQNFSGAITINYYSPSIFKAIGLTDVTLWTGIYGLF KAGGSIIFFIWGIDRFGRRKPWMLSAGLCGLCLIYLGTYIKVGHPGTSTTLSESTKKG GNAATAFIMIYGLVWSFGGNGLPWVVSAEIFPVRLRSLAGAWAGCCQWLSSFAATQAF PKMLLKMNWGVFIFFAGVCAATVLFTFIWIPDTKGIPIEAMDVLFSGPSKHSQWRQKK VYPPDGIPPLDFSLPTQSGTPHEGKSKDDYFEHATV L199_004994 MVLPIDNPTKSFWIEGAESPLRNHRSTADLPKQTDVLIIGSGYT GATFAYWLQKFASNGASPDMVMLEARDVCGGATGRNGGQLRPHFYSRYRNWSTRFGAD GALKVIQHEAAHLKAFDKLLKGEGIAKKVCFKLGETFDAAMSEEAWDRLKGEYELMKE DHGENGPIIGECRLIEDPKEAEEFTQMKGCIGAVVHPSGQVWPYKFVHALLEILLGTE KLNLQSHTPALGVSARDADGYITVMTPRGDIKAKTVVHATLIHGGRGTIAAIKAPEGF IKNTGAQHWDAVINNYHLQLPPPYNTIIIGGAKPLTVHDPWQYINNDKEDEQFRGVPE FYAGWPKRDIVGWQGNDPADLEKKVEDGGVWSGVYSSSIDSFPFVGPVPRRGGHFVAA GFAGHGELSS L199_004995 MPRILGSTAHLAPLVLKELGIDYNTPEAAAVFPPLPDPFYATEQ RIDSLQSVDAIKKFQDDVNDNLASSKKPFAAPYPQIVTD L199_004996 MSETYENAVITVELSDSKLEEVKKAYQNVYYHPDEQVPEDHLKV ADIWYANWSGFPPCVAALSQIPRVKILQLSSAGANNALQSAIMSSDEARKQIKVCSSS GMHVLSIPQYIVGNVINLYMKLHIQLHIARSKSTWPARDQVVERCGVSGEAFPGNRSL SGKTVGLLGYGHIARETARLFKAFNCKIVAANSKGDRRAEEGYRMPGTGDADGSIPEQ FYSTSDEQSFQEFLGRCDILVASLPSTPQTTNMLKQKHLESLPHGSVFVNVGRGDLVK SEDILGALDTPRGLWGAVLDVTDPEPLTEGHPLYTHSNVIVTPHTSGSVEGYFDVGAD ILIAQAAMLRENREALNVVDPAKGY L199_004997 MPSIDPAPVTIDFTKYFSKESTGRKRSQLKELRPYFEIPGMISF GVGIPHPSTWPVNGMTLSVPFAGKSVFIPGHESRSPEDMLPLAPYSDPMKGDHLFPDL SGELQYSATYGTPHLLGWIKEHIQRVHSPPYNNWVNLCTAGNTDGVDAVMRACFDRGD YMLVEEFAYPGLLSPAATLGINCLGVPLDAEGLVPEALDEILTNWDEKEREGPRPKML VLVPTCSNPAGVTIPAHRKREIYAVCRKWDVLICEDDPYCFLQIRPNGADSPIVPSFL SLDTDGRVIRVDSFSKIVAPGSRLGWITGHSTLVEKIMNTRESATQCPSGFSIAAIAA ILRAWGSHDGFEKKYIPYISDIYAKRCLMMIDLLKKHVPAETIELPAPSGGMFLWVRL KIESHPSFPAEDPEAISKKVFKSMIDEKVLMAPSEFFKAPSITTWTKEEEAKRIFVRI SFSLPPPEEMEEGCRRMGRALAKAWNL L199_004998 MTTEMNPIELEPKAAIDHLDVIEKTPSAINIVDNGPSYTPEEAK RVRRKMDIYILPVLCFMNICAFLDKANIGNANTAGMSADLGITKKQYNFLLTIFYVVY TICQPEMLLLKFIPPKFFLTGIVTFWGLASTLQGVTSSYGGMLACRVVIAALEAGGGP AIAFYFTMLYPREEFGLRWAIFQAVSCIANAFAGAAAYGLVQAKTSITPWKLVFIVES TPTFLAAALIFLLLPSGPDRCAWLSPRENEIARERVERSQSKEHHGGYSVAGFKAALK EPWTWWIAIIHYAGGSAFNSLSVFLTAIIKEMGYTSVNAQGLSAPPYLVAYVIAVITA YWSDRLSIRGWFIGVANLVGGVGYMMIAYVGPRSVRYFAAYVTVVGVYVGQPLMFSWC ATNADTSSKRAASLVIFLITGQLGSITGSNIYDDAPRYHKGNSICAGLLFLSSILCFT GTYYLKWRNRKKDEQFGVPDPDAVVEPTADGSDHPAWRFVY L199_004999 MTITNGASDKAYQEGLAAASKAAVPNTVKERILRGELAHSFSIK LVKSVEIIHYAAAAGYDAVLIDLEHSSFGLETTNQLSCAALQVGVTPIVRVPANTSDW ISRALDGGAAAVIVPHVNSVAEAENVVRYAKFAPLGERSATSGMPILKYASVPAKYAN PVANDATLVIVMIETERALEIADDIAAVPGVDIVLIGSSDLTSDMGIPGDYDNQRLAD AYAKVSAACKKASVDGRIVTLGIGGLNPRPDLIEKFASLHSNARYAMSGADNSIMLNG MKAGAAKCRVMTEKIVSGRSQ L199_005000 MVLPCSFDELKSRSGPPYNAWGVYGADDQLGRLNLINEQAVKRG RDSIKHGICVNLNLPLAAQPLNPARKGLEHEIIHRTHCNDDHVSFNTQASTQWDGFRH YPYLNYPEKGSYVYYGGQSNDDARDKSVARNGIHNYAKRPITSRAHLLDIVAYRDRRG LPPMSAFDGSTPITLDILSNCAKESNLIIESGDILIVRTGFTEALFKLSDEERAQLPN RKVRGYCGVDQSEEVLRWHWENGIAAVASDTAAYENYPSPRSPSIHEVFLAGWGLPIG ELFDLRELAKECERLQQWTFFFSSMVLNIEGGIASPPNAQAIL L199_005001 MSPRPVPSSTSPDEQASEAISNLGSASRTARYGSSCIACRRRKQ KCDAKTPSCSRCLKLKEECIYEGNIRNQPSPHLVPLLVKTFDFLRSIASPQSQYPDTA VRPMIQRFLHDHKIPNFTVSRKIADEGQDVSSDDLFAGNDDSIIRSTIEEHPVVGEED SAEGNPEVDELLMHMSLADDGQNGHFGVTSLFYQTPSDSIVERLQRRLSKSQAITRNT LQRSNSHQNEGQSKLSLGQSHRRDSDDEIWATDENSTTTGPEDEAGILKGNASMLGGW ESLAYSKLELESDAASARMIVHLLKTYFCWQYGSHCVVYRPVFIRDMALGGPYFNHFL LNVICAHATRYSDYHQQMSGFMGGNKNGLSTPALALGADFMNRAKLLLAAEMDKDRGN AHVVTCRRDGCTQGEFIKLNRELTCSMAFRAMRDLGIHLDCNRLPIFGFGTMSAEDRE IRRRLFWSAFTWDKIISLALGRTPTFNAWQNASPGPIVDDTEDDSEWKPYFWDDAMPP ELTHYPVQKSLMTSNFRHFIKLCEIIQKIIMRLYNGRVTRIRSHNFVDTMKHRLTEWM DQLPAGLKLDVNALPEHCPPPHIFSTKIYLPNAVPVRTAASPSITASAAVTCTRMAEE LHQLFLLYSKTFKLRNMTYTLTWSMYSAATINAIDFQSTDPAVAAAASPRLSMSLHVL ERGSLQTPGTQRSIEIIKQRLRTPAIRPVKRQGENLSDSDRTKRLSLLSPPPINSAQS QDIQAPDLIQNEPQTASTQSSTEFDLVSILGASATTSNPPQTDEEDDMNNMWTALIQN GSNGAGNMDLLQGMMAMPSVVAAPNQYTTYPDWSSDWWNQTDS L199_005002 MSPAAVPHKPRVFCLYPVDDSVKEYAERFFDFTCEPDTKVKQWR EEAEAVMVRSNKITPEDVKMLGPAFRFVGKHGVGVDAIAVKELKKRGIRVMNTPGVNA SAVAELALTMSLCLARDVAQIDRRIRSGETVTKAEGGSTGFQLTGKTLGLVGGGNIGY QLGKMFSGAFDAKVVVYDPHLHPSMVQKWSDLLASSHFTRVNTLDEMLEVSDVVSIHV PLLDSTKDLIGEDQLKKMKRSALLINTARGGIVNEGALLTALEQGWITGAGIDAFSIE PPTAKDFGKLMAHPRVLSTPHIGAASLDVIRITALAVVDHLVEAFAGDRLRDVVDA L199_005003 MSPVATQHLDASHGTTLIKDPHVSGKASTPDTEKLVINTIRCLG ADLCQQYKGGHPGTVMGAAAIATALWRYSMRYNPVNPDWINRDRFVLSAGHACLLQYI LLHLSGYPTWTLDQIKKYHAPTMDGIAAGHPEIEYPGIELTTGLLGQGIANAVGLAIA NKNMAATYNKDGYPIIDNKVWCFTGDGCLQEGIGQEAISMAGHWGLDNLILVYDNNSV TVDGTIDICFTDDTSAKLRSMGWHILEVDDGSNNLAAIVEAFDQAKSFTGKPVFINIK TVIGIGSANQGSGKVHGAALGEDDVANVKKALGFDPKEKFVVAPSVYDYFKESKARGE KDEKEWNELFRKYTEAYPAEAKEFQRRLAGELLEGWESNLPLKASLPQDPKATRQSSG IMLRSVVPHDNSFLVGSADLCESTFVNWDKMVEFQNPKSSYGDYSGRQIRYGIREHAM VGVANGLAAWHKGAIVPIMSSYFIFWLYAAPSLRMAALMKLRFIAIATHDSIGVGEDG PTHQPVAFPLFLRALPNFNYIRPADAEEVAGAWILALKDSQHPSLLSLTRQPVPLLAG TDRTQVQFGAYIVHGDAREVPDITLVATGSEVARAVDTAKLLSDYKVRVVSMPHMGRF DQQSAEYRRSIIPSSKSLVIAIEPYCSFGWAKYAHAGAHMTGFGHSAPYSTLFEHFGF GPKNLAAKISAWAETRKSSQGWDLPGVGEFEELLLHQNQGH L199_005004 MAIEPAPQPVSEGVRVAIDRGGTFCDVWAFIPNHLYSPPIPLEL LGNADIIPGDEQDGGVQVTFKLLSVDPANYDDAPSEGIRRLLQIVKKEKVPRGELYDT SLIESVRMGTTVATNALLEKKGEPFGLILTEGFKDMIEIGDQTRPDLFDLSISGKVKM LYKPEDVVQARERVTLEGWSLDQNAPAAEELIERAKQAGDVDVVMGVSGEAVRILKPL DVEQITSDLQNLYDRGLRALAICLLHSYTYPHHERQIGEIADKIGFTQISLSSDLSPA IKVLPRGNSAVIDAYLSPVLRAYVDGFNSHFEGKKAGKRSEFMKSDGGLVSSDKFSGL RAVLSGPAGGFVGSALTAYSQTRARPVVGFDMGGTSTDVSRFSGEFELTFESVVAGVP IACPQLSIETVAAGGGSKLTYKNGMFVVGPESVGAHPGPACYRKGGDLAITDANLILG RLIPSQFPRIFGPNADEPLDLQASRTKFEALTMEINASRSGKTPYTVEQVAAGFIKVA NEGMSRPMRQITEQRGFAMSSHDLCCFGGAGGQHACAIAAGLGIETVVVPRFSSILSA YGIACASLSAEAASPLSAEVVEDFEQSKTYSEASRRIESLKSDVLRQLQEQGAKQDEV DFTVAIAVQYDGADTILQIPFTPSLKNDFIEAHLRETSFSSNRKVLMSNIRVRGIGKT FNVTPTDYAVALQQVVSLSETSSKVVKPSTFNQAYFDTSSGVQGFQTPVYILSQVPVN SQISGPAIIVDSTQTIVVEPSARAVVLKEHVIIQLEDSTSTSRKEEGELSTDPIMLAV FANRFMSIAEQMGHTLQRTSVSVSIKERLDFSCSIHGTDGALVANAPHIPVHLGSMQY AVQAQHNHWLGKLKPGDVLLTNHPQWGGTHLPDLTTVTPVFEPGSDTKVLFYVASRGH HSDIGGTGVTSMNPIAKELWEEGVIIDTFKLVSQGTFNEEGVRDLFGKVAERPGCSAT RRIDHNITDLQAAISANVRGIKLVNKLFEEFGTKTVLFYMKEIQIIARETIKDFLRNT FDKFEGKPLRASDYMDDGTEIKLEVRINREEGTAEFDWNGTGPQVLGNCNMPVALTYA AIIYCLRSMISPEIPMPLNQGVLDPITNIVPIGSYINPTGVVAISGSTLASQRLVDII LQAFKAAACSQGCASSTGFGSGGKDASGKVTPGFSYGESLGGGSGAGPSWNGANCVHV HCTNTRLTDTEIFEQRCPMLLVESAIRRDSGGNGKYRGGDGMSKLFEARMPLNFSIVS QRRVFHPRGMEGGADGQRGKNTVYRLNKRLDIDENPQGYTEIGVGSNGIAKLNSGDRV RIETPGGGGWGSLEGQ L199_005005 MSDPYYPDQPLEATPKRTLWGKIRRIIWDDIQDPEERAFVRRLD CGLMTIAMLGYFVKYLSQANIANAYASGMKEDLNFKGNEYNTLLTMFTVGYVIGQFPG TLATVKISPSIWLPTCEVIWTALVMSCAAAKNVHTLYGLRFIIGLLEASAYPGMLWVL GSWYGPAELGKRVVMFQATSSVGTMFSGYLQAAVHSGLDGVGGYKGWQYLMLMDGVIC MPIAIAGYFLIPDIPTRPNPRARWYLRDQDIKMAIARMERYKRAPTKGFTLDIFKKIM TSWIPWTFFIPYTCFVVGLGSYAYMNLWLKATPPWKGDVTAINVIPTGGYALSIVMSL VYAWSSDALGTRWPICFIGGFPPLVGNIILSVWPAKNSTKFAGFFLNFTATPIGAIML AWVNEIMASSGEARAITIGFLNTAAYTINAWAPNLIFPASQAPHYKAGYKVTTAFFAI WIVSIPIILVLLRTWSIPKYQQDDVVEEEEGAPKSVNEKNSTENDRLPDLESSLAKSA VISGVDGKATEPQ L199_005006 MSKVIHHKPDQPKDRTLTPQDSRQCMSCGKCWPWVTFDVEIVHH TSNVCTRCAAPEIEARRWKELGQQMQKDLLKAKQKLSNHRRDTAPPQHGQSAIIRPQR QPEPQPEIRRAVSGEVRQKFLSDEQLAAIRTNLHVLRSIKEPQRAILWIELTFRPRPV VTPRAPSSISQLTIYQAYKAFFDTLSSHPDSHLYPTDTPLMDGSQLIKLIIKLYQEVG VRMIGKPHYTIEGLEWRPLDQSRTIQAQPQIQSGMGYNAEVSPVPIMQHQHHQQHQQH QQHQYQHNGQLYQLPPTQPQMHHNNVPGPTQFFTQPPPLLIHQHQPQYPPVLPQTINP PLPQPYPVSHPTHNRPQAPPQLNPPTFPSANTVKLRLTPDIKDVMIEVDDDDWTVFLF KPLAERSKKDIVLQSLSKDRKINTELSVQPRHSQSKSSQFRKDTKTNNDEEEEVDELD DDNDDDINMNVRLENGRDRVGSEDEVGDMLI L199_005007 MDLLDYGTSSSSRGSSSFNGPGGDYDPSNNDQLFFNMLFFNQTF WIVGNPHKAEIMRVNIVNGGTMSSLITLASRVIFLKPDGLTEALKAAHDLKAIIQSHQ SDGAGLPLAEGWINDSLFLARPIETKPYLINEQNLFVDGFWSGVGVGRERQNASSSLK KKRSKRQKTVHFPISPTSNEPISLGPSLYSTPTGLSPTACSQSHIDDLIQLDDPCPTN LNKEDKESEPKINPEDVYRNAASQNTNTFLVCPKPGDIITPPIHKESPALVSQSSHMA QQTRSATHSQNQDGPVRTTGNKYITPTGPIHLGQTNQEATQYDTSTPSIIGQLSSSSQ ASETFQDDGPSSASGQANQGDNDQDCRSHPHPSPSEKEQFPKTQMNIERMKDPDYVES APEPELHMEEDKQQKVASGSISKSAEYNRRWRNQTTVQPQDTVAYRALVEDLKSRVAG EGFPKGGMKAYLIGRGIHSLYTKYGALIRQQVPGLPNSRANFKKNAESAVNPKWQKFI DEQNAKTEVEK L199_005008 MIIPPDPEKDPRIFDPSASTHSLVEAPSEFDEVTSRWDGESLPP YERRRSSRGSILTDHEDDHDNIFSDRHATRRPPALIIHDLPTHPRQIHHHSLASSSSS LTPQPSLVLPHLTHRDNPSTIASTSKLWEGSSTNLRNGKKRACGCLPIPPAKFQRWWK RWKRWIQVIVVLVLIGIGLVVGLLVGMNKNSTPKPPIAPWQRPWMDQDTDGKRVAAWA GNGSFNLTYIESRDAPSSSDGNLTECNNFTPLNLTSSPFTSLFTPFPQSTVSLASFSF PFSSSGNAPSDLFVNARGFGSSGTLQFLGSDDPQAVITSGEEGKILIDVVVRYSGGQD LSTMMRVCKMTRGEDGVGVGIYSPRETDGKMTNPFKLNPNLVPTNLVIIRLPPSMYSA NTPPTNLPSFSLDAEHMIVRLGKLNNVASFDLLNLETGRGGVYANYAQVTKGSVVAFS GDVKGTWNVSEALIVNVTDGSIASDIILSDPNNSSTVLPATSDYTVFRRSPYALPSAD PSGTSEDDDDAFEDEVHALLNSTSSGTSVIQANDRRSMIVTNLFTTSGYVDVKYLTQP PSIDLSAVIGTQQGNMNIKFHPNYIGPFISSTTWGQINIPSPASIPQYDPSGKNRVRQ VLIEPIEVQANTTFSILGYTQQELEHSPDTISGFAYWASTKWTAAGEKVVINQTLSQL QNSDSDDTDNDNQVILLGNWGDVDITFDGQ L199_005009 MSNTYNPPGYKPPVLETFEPDAPPVDKEGKKLFEGEKFHVIPND KEKERIGEGHGLDIFEKVKGFIADRAGGTLVPLDEASYILIRHPDIKRFAKTLDSVCA RRLIHFDWVMDSLIVRQRVSRDRYWGTPREKEKAEKHHAPGSDLTSQDIPRDPRKHQA VRTPGKSQDQHHSGSMNCFASSSTSNSQYHTPQGEPSWSEARRASESYRAVSGYSEPS IPTMRRSSYDMPRQVSNCFAGIGFYVSGPIPAKRNLERKIRECDGTRCDNIQQASKVI VVDPRFLFGFKDGNLGVTVYGERQLFGDFELSRRAGKIILTEGFIHDCHYKRRMLNEK EYVVENEDLEDFQCWARTKDGNLLRSRREPNFSVCGRRAEATSVNSERQRDVSRFYAT PESPERLLANQPVESPTSILRSKDATVTFDSKDMNNVQADVDEEGSSVAIDQSLFHPH PATTEYEDDDFDICCTDSESKSLETEGEFRNFDSRSSSPLSTIGDGVNDNVEEDPSYT EASRAGRKRKVKVNGISDLTRRDPKWHKIQHDAVHPCDQEKYERLIHVLKVQMKNSGS LPKGGIRAFTACHGLQAVYRRYATLVRRVVPGLPEYGGAGRPRKTKLNP L199_005010 MAYQPRIDPPTGQSFCSRGGSRAQICRDRTEWRLLFRNERFYVH GRDVERMNVERYIRDRVGGTIVDPSSCNFIIVSYEPIYARSFAESLPREQGEKVIRFE CIRDSVEKGAIQSRKLFWAIPREDLDAVYKSGPENHATQDSPNLSRRLDIHRSWEHKR GDDGFGQNDESRWEKDNGWKDRVKKDSGDCIKSTSSNTPQGFNRHNNNAKGIFRHHII WVVGAPGQHRYLENLFMSHGGLKSHNLTSATQVVFYPPNRGFEAVTKNDHIRGENLYG KGVRCLTYRWVEDCVKAERLLDEKDYLVEKKDLFDNDFWRRVKERSLEDVQSCRDGES GSSTEIHQGGNENRRESCFGVKHQEIDGLDDFKSNIHPDQVASLLRKLTARVGTVIEA AQSQIRDTAPAQIPSKFSHGGDGSIDRQLPVDMVADRYPTPISPVRESSYQFSKSWSG PTKSDGFAMNIGEAALQEDSLEVTQLQALATPRQSISSSGSQNKEIYQPLTGKLIWVV GPGSARDTLHPKIMQLGGSLSTRLPNSTIVVFCRSAAKPFIMSRMRDFERATESDCLI VAESWIHDLYLVKTYIEPKLYFIKGPEVLLDVQLWDRIIPWGRIRADDQRHIGEDDKH PEPWQKYLGAEHGDNCHRSTKEGQVREQSIPAAGQEERGEPTGASYKHVEPQHIARDP MGLAVLERSRQGDCVPLSLQPLALDPRRAPKPPLPNCHDIQADSTRLPAKIDGPFPEE RTEIDGLVVPVPTIVHEQDDSDDYADLAGIFSSDAAENETDREESDLTPAPAVQNEAS SNVPVKRGRGRPKGTFKKRSRLPDGPKTQTKGKARSKALTNEEVDYINEMVGLGDEDS DDSDYTPVKRRKSNTRKAFRMSSSKSGSSSTPNYQESIGGNDEGGTATVYRYTEALPK DKKRFDELMAVLRTKVENNDFPDGLRKYLATLPGATHIYRKYRNLFRGTLPGLPQGAV QRYSYDSSLAKGK L199_005011 MSTDSTDKKCKVLIVGGGIAGLAAAHYLERAKKEGWAPPSLQVT VYERNEEDNDSVKYPMQISPDARSALQKILSTQAYSNLTTQSNYGITHGGVNILNPDL SHLFSNIKYQNEQPQKKSSEGQRIEAKVEVVFEDGANELADLVIGADGIGSIVRSQIH PSFPHIPLLPYVMIPFKLATPIAKLPLQLNKDCQNIIFGSRSNCLHIIPLHGTDLPHM TPRSAMTLSEQGPFAEPDQTVLKVQDALEDHQTGYVLVRMFLNVSAEGAAGWEDLTEG AWIDKILDILKKDGTNKGLLEIIENDLIPGTISSTGVVSGAPGKHVPYKDGKVFLIGD AAHAVPPTNGNGGAAALLDAQGIVETLLTCSELGPGDAPLTSLLPETYHQSLIRSEAY LKESLHLLENSTTSGWSGFIQKSRLKLMDIF L199_005012 MSRQAPLVIDNGTGYTKMGFAGNSEPSFVFPTVIATHQSASSGS SNTSGGSGARAPPPIAGKPSHLASKRGIEDLDFFIGDEAVANSKTYSLHYPIRHGQIE NWDHMERFWEQCIFKYLRAEPEDHYVLLTEPPLNPPENRENTAEIMFESFNVQGLYIA VQAVLALAASWTSSKVNERTLTGVVIDSGDGVTHTIPVAEGYVIGSSIKHIPIAGRDI TYFVQQLLRDRGESAQIPPEDQLRVAEKIKEDYTYVCQDIVKEFKKYDADPYRYFARF AGEHSVTGRKYDLDVGYERFLAPEIFFNPEIYSSDFLTPLPEVVDTVIQTSPIDVRRG LYKNIVLSGGSTMFKDFGKRLQRDVKAIVDGRIAGSEERSGSHMKSSGVEVNVISHKR QRYAVWYGGSLMASTPEFYNVSHSRVDYEEYGPSLVRRFSVFGSAV L199_005013 MKVAVFTVTGDQGSSVAKYLLEDKEKKYQVLGITRNVNSEKAQG LAKVGVELIKGDLADPSTYAAQLEGIEAAYVNADFWTHYFSNGYDALKALESEKKDSI GAIDACVKAGVKHIVYSTLDEVDKGECPHYESKNAVSRYLKEKGIPHTLLFTFNYFSN LVKFGQLKPPAEGGDEWLLDVPAPDDLRIPSYPAEQTGLWVKEAFDNRDKWLGKNIYA NTSTPTPLEMAQSLSLKFNVKVTTAKVTLEQFHTEAHKQKIGEELWLAYKVILNGKMY HAPETIAVLPGAWTFEDWIDQDQTLKQWFSKE L199_005014 MNVETDLPPPTVLLDADRQSYIGKPSSKKGKWQKFVSFIWDSDY YEKSDAERKLVFKLDCFMLTAMTIGWWLKNLDQNNLANAYVSGMKEDLNIQANQYTYM GTIYNAVVAAMQIPSSFIVMKVRPSLFLAICEIGWGVFTFAQAGAKSYQAMYGFRFCI ALFESFYYPIGFFILGSWYTRPELAKRIGLWFIAGPAGSAFSGFLQAAIYKNLDGVLG RAGWSWLYIVCGAMTVPCGFLLLFLVPDFPENTKVWYLSDEEKALAKERVARNGAQPM KGKINGTVILSALRDWKFWIIVPFYCIYGFAVQNGTQFGVYLKAFKYSVTLRNVLPSA MYIVQIPCILIYCYISDRTTRIQRGWIMLGPLIWGIFPTAVLAFWGHSNSLRVFAFMV NGSLYITPVFYAWVAEMCGHKTEFRAFITGCTSCLFYAFNAWLPAIVFLQTDGPRFKK GFRTTFSCCVASVVFVIVIILMRRRELRQENNKMVDETSVQQDQIDRDREGDLEEKL L199_005015 MGEGSETPPPAKRRKARRACDQCRNRKLRCEYPDDDQSQCVACK RSKSQCESTQPAKIDKRRLRTLVDLATTDEQKQWVAAQIASRGIYVSSTDTGALRKAR PSTAGDPIQLVARLSSPSTSNNPTTGISPTAASSSSTPVDLPKSSIITSDTSRYIQRD DDQPEGSTSTGPPRRNSDEPPNLKLSGPEPRMQGPTATINLLADLPEDSAALDRRYGL FRVTEGWVYGANPDIPMSEGQLSEQQVREDVIAKLLSFYTDQIAPLNAVIPPHRISSA IDTSSFVFSSILAVAALSREVPSSIYASVRSKLTRELHEELGKGNGSTLQQVQGLLIS GMSHELHGETNMEGGSNCWIRIGTAIRQAQDIGLHRLNANHWLPEMFADRARAWLAAI ITDRWYGGAFGQPLAINLLDCEDPLESSDLLHTSDLTHAFQVEMYHISCLLGDIMQVI YRPRSLERCTDDILESLLRQIDSRLSLIPEPFLFTGESTSVQGGLLRLVTVSTEVIFF RSFVKHNRKLPSHLTFRPTPQRWSRTVQRARQAISWMNQHGDHILDCWLIAKYAIVYA ALAQYYNYAAERDIGSLQSLSTAKDMMNRWALGHNQLRPIAARAKIADIVNLFYKAAL GMTGNTPSVSIPALITPTSSTSRTSSDSSPRFHSSTSSIPPRLEHYTNISMEKMSQGA SEDDTIPRLDTSTLDDWLADFFRQHDIPDVGLSTSAM L199_005016 MNHLTASCHCKQFSYQINIPEDVSFPLSETTCSCDACRYRTGQI CILTLSAKLTRSIPSPEDLKKLSKYDQKCGFAIDQDTLPDGEEDNSDGQGGLNKYSSN TTCGRTIITSFFCGKCGTKVYLQVASLTGDVEIGMWMLGCLDRLFLEVEGKKIPIVDV QGHHFLDDTVDGGISNIWRTSNGKQLKRYHDGLVEWEPHQDIPKNIRSDEMLNLHCKC KAFDVYVRRPKPSLPLPEGCYWYQHPLDDEGIPQRYMASWCSCDSCRLTTGSSLLAHP WVQIPFIDIFTSSSPNPTPYINGTMTSSTQLAGLTLYHSTPSNPGISRYHCSTCGASI LYFDEKRDFIGTFALGLNDSRYGTLNSNWFSWWTGEDKITMPIINGRSDGDKRWGEEM MEEFEDGLKEWGRSIGQRT L199_005017 MSPSPQPTTTWPDLPSEHPLLQFQSRLPKIIEESGHPHIWGVTL TTSTPPAFSTLLILQKYLRSVSNDVDKAAENLTKTLKWRKEFGLDKEDNTVEEFGPDF EGLGYVTKVGKNDGKEDIVTWNVYGAVKDLKKPFGDLQRFLRWRIGLMERAISHLHLS TTTKPIPDYGKGDDPHRVDQIHLYGGVSFLRMDPLVKAASKATIEIMQAHYPELLLRK FFVEVPLIMSWMFTAISLFVSAETAKKFQVISYKENLAKELGNAEDVPKDLGGNGPDL ATLEKRLESDKA L199_005018 MPFDFSHLFSGHHNNHDDGPHSAHKKKEYTFDHDVIGQGGYSQV MRAHWKARDGMVVAMKVVRKEAVKDREGYLKLIDCGFSRINTHPNISDNKRLLDPFFL GAGLDWFESTHKFYIAFPLLTGGELLERLNRRGRFTEDAVRKVMRVMLNTLSFIHSHG IIHRDVKPDNFLYRTPESEVDDIVLIDFGISKVLDNESEDDPRDQYEVGGTPGYAAPE VFCGIGYGKNSDLFGAGVIGYNLLSSWSPWESRDTVALIQETSRTTVNFPTGPFEGVS EQAKSFVRHLMQPPSRRPSAKKALQHVWLAEPVKPVEQDHILESHEIKQTSIQPLVPH PTIKPEHPIARQLTAQPAELKL L199_005019 MLLFALFSLPPILASAVNITTYVDVQLAPAQLPPSAAAKNADAI VSAFANLSRNTKWNLIQNITLEGDIGEPEGMVRIGDNRIVVAWGDWVVPTKSYGKDVQ GNSVINDGTDRENGQGYAHLSIFDGTGKRLADTTLNELSDSEYHIGGIDYDGECLWTE LSEYRPNSTATIIRVNPHTYQFDPVVHIKDHSGGVLHDTKKNTITALNWGSRNATKYT LDSEDLWRAPESKIPNPSFFADYQDCKWLGYPSRFDGVRSTALCAGVAAYSTKNSTVS LGGLAIIDVDTMVPLMEVPITLYTPKGTSMTQNPIDVDVVDGKMRLYFAPDQHNGTVY VYEAL L199_005020 MSVSTDQDTDEVWDLLDALERYNSALDVEELDDGEMASLEEALA YDPTSRPIASRASSGVLDNEQIEVPHFPDLSERLLADVRKGEARTSEPISKGVLQSEE SALVDMASKARFEELLGTQMGLTRFEEWINHEGLPGSKELMRYYKDLRAYMTLFKEVQ AIGSGMQEVYSEKTVHGHGPIDSSPVRTMDYTSGLTSASLSLRSAQQAATESLYASEF RRFVAGKLTEQARARLQFIPDHDKRGNLGEVFCIADPRLPDQPLVYISDGFCRLSEYS RALLIGRNCRFLQGPKTDKSSIRALRDAIEEGREHCCLLLNYTRTGKPFWNLLNMIPL RGVNGAVELLLGAQIDVTSAISSSKAFKNLEDLVDGKDGKDRSANAFGFSDELLVHAE KHLSTPSIGRRLQRPPSSISAPATPSLPYPGPAAVTQRYQTSSPKIGSPQVSQDRRSG WISKLKKRTDVPISMTSNIITPNGHVATPQIPARLRDRMADFSAAHAKLIIFDAKCGR IQYVTPPLLAYLRYPIRKHKDRLTSSLLRMDIVDLLTGGTPSETNSIIKSIDDIVSNE STHSVFAGLLLFQSGRDAPDHIADAVTEGGKRYARSLLHLTPVKDRRDKSQLYVVVVG L199_005021 MAPVLTIRSSEQENTNVTSRSCKECRRRKLRCDRKIPCSSCVSR GLEDLCPDGIVNTKTVQLRAEVDRLQQRIEYLETKLGSNQAENQHRPFPSNSSLISPS SVSTYTNHPDDQPNASSSKQGRSDEPWTPAMGTLVVDRSGRARYVGSTAASEWLNQGP EAAHMTETDLVHLPYRSNLQQLANFPLRTASSSVYPFLHSLLPSRDRAEVSLDAYWYL YAFNYNVVPRESLESYFNHIYSMNPADGWSQETHPHKLALIFTVLAIGSLVNPDSDTD NFEESETFLDAAEVALSISNFMKDHTIASVQALHIMANFHVYSDRLRGGDRAWPLGGL AMRITQAMGLHRDGLKWGLPEDIIEERRRLFWDIHTEETFQAHCFGRPGGLSSRQFDV ECPKDDALLPFSTIRYQLVRISSDVLDQVMVVEPPAYSIILHFYQQFEALEATLPESV RWTPATTASPSADLPWASTPAEEKKALLINLKQHLLCLNINESILFLMRTHFARCLRE NPDDPSKGRYRIAFESVFARCQTIIAIARSLYTLHPRIALAQWFFWYHACSAAVCMAS IPMIAPLSPFALQGWHELQSACRLFSALGHQNKSSRASLALLLRLRKSAFDKMSGDRS FASSGPSGLTNIVPGSVDGSHGEEADESSHLMGLHTRLIERRSMAPTRSREDLGGVPT GMRLPVPEVRSPLELDNSWQPSFPSNVVNESELPAFSFDIMPGNGDEPDNPDQAMPLN FDLDHTSLAFLSSMRPDADQLGALPLDTQLDWNDIDFWLGPGADTSHEAVPEPFLNDQ PT L199_005022 MPIATSNIPAILNVGVIGLGRMGQRHANNVAFATPRARLVAACD LRKDNVTWAKKALPSGTRVYEDEDAFFNHERLDAVLIATETSTHAPLALKALQYGKHV LVEKPISVDQDTAEQFELQAGEFPNLKVMVGFSRRFDQSYQEAKQLIDSGVVGKPFLV KSSTNDQYDPSGFFIAYSAKSGGIFMDCGIHDIDMARHLLEITPADRVKRVFASGTNV RHPELADSGDADNALGFVEYESGKSFTFHLSRTAIHGHDCACEVHGEEAKLIVNQNPR LNRLELADQYGVRSLSTPTYYERFREAFVTEVNEFVACVLDDKPIPSTIHDAIEAGSI AQALTYSFRQGKPILFDQDNKAILA L199_005023 MSAEKPQRSAGTRTAIRPASDFGIELHPGVEDLLYDSTALAKAY GGASLKDIFATKLVTTCVLTACTGGLLFGFDQGLLSIILVMPKFLGDFPAIDEASSSA ASFNKGLMTAMLELGAFLGAILVGFVADKYSRKVSIGVGLAWFAVGSTIQTASFSVAQ LIVGRTLGGIGIGMLSCTAPIYVSEVAPPNVRGALLVMEQFMIVFGIVLMFYITYGTR LIDSAWCYRLPFLLQMVPGFFLGAALFILPFSPRWLASKGRDQECLDTLVRLRNLPAT DPRIQAEWLTIRVEAIHNHEALIERHPTLIGSGMKTELRLEAASWVDMFKPAVIRRTM IGIMLMFFQQFVGINALIYYSPTLFETLGLDSALQLHMSGVMNVLQLVAVIAAFFFFD RIGRRPLLLLGSIGMCVAHTVVAIMIGLYSKDWASHSGQAWCGVSFILIYMLAFGLSW GPVPWAMPAEVHASSYRAKGVALSTCSNWLCNFIIGLITPPMIKNIGYGTFIFFAVFS FLSGVWAWFIAPETKGRTLEQMDQVFHSHAAAHDQEMKEQITRVVLGQTPAQTESPIP NASNEKVADVFIERQ L199_005024 MDTLRESFAGQVIRAITGSKLLPYPDERPDYVLPNRYRFSEKTA QSVDRASHSPPDHPFHERNLAPGEDAEQHGRASTTSQDSDATMVVDERLVTRQGGQGL SPEKIKELMGKSQIVTWYGVDDPENPLNWSLFKKCWLVVIIMLMTSSVYMGSSIWSPA VMQGAEYFGVGQVTSTLGLSLFVAGYGVGPLCLSPVTEIPAIGRTIPYIVTLAIFCVL QVPTALVTNFAGFAVLRFLAGFWGSPPLATGGATIQDVFAAHTTPYAMGLWGLSAASA PALAPIIAGFAVEYKGWRWSFWEMLWLSGFTLVLAIFTLPETSSGTILLRRAKRLRKL TGNDNLKSVSEISSEKMTGAEVAKMTLIRPFSMTFTEPIVLAIDLYIGLIYAILYSYF ESYPIVYSEMGYGWSLGVSNLPFAALLVGSLISYAGYCIWNKLYFEKIYNDTNHNAPP EARLPMSMAAAICFPVSLFWFAWSANRTHWIVPVLSAVAFGMGTTWMFMPFLTYLPHA YPEYAASVLASNDFFRSMMGAGMPLAAHGLFVNLGIDWGNSLLGFLTVLFIPIPFILY KAGPWLRKKSPRALHDNPEESSNKDDNQA L199_005025 MTQEQQSEYLVVKGEEITLQGKPILLKGAGLGGWMNMENFITGY PGHEFQARAAIKKAIGQEKYEFFFDKFLEHFFGEDDAKFFASLGLNCIRLPVNYRHFE DDMNPRVFKEEGLKHLDRVINLCAKHGIYTVIDLHAAPGGQNIDWHADAGNHQALFWE HKDFQDRTILIWEHLARHYKDNTWVAGYNPLNEPTDSEHTRLLDFYVRAERAIRAIDP YHILFLDGNTFGADFSHFGDPLPNSVYACHDYSRYGFPNPPADFVGSPEQVAYHERSF NRKVEYMKRIKGPIWNGEFGPVYQNAHDGLPDWKEINERRYNVLECQLDIYAKSRASW SIWLYKDIGFQGMVYVGEETPYMTRLKPFLEKKKKLAADEWGCDDVPVRDVFDPLEKW FLDAVPSLAHRYPQTWKPATHIGRLVRNILLSEELCHEFGKYFEGLDFDELEALAKSF SFENCKQRTKLNDILTADSKRTD L199_005026 MEHAVHESNTSNEDLQEIMKNRDTRWYRGHLGKLNLICFLCIIT SMNNGYDGSMMNGLQSLDTWKGYFGTPTGSTLGIFNAIQSIGGIVGLPFAPFLNDRFG RRWTMFIGAAIQIVGVALQTGAQSVGMFIGCRFLIGFGLAFSCLAAPTLLTELAFPTH RGPITSLYNSTWYLGSIVAAWTTYGTFRINNTWGWRIPSLLQGLPSALQLLLIFFVPE SPRWLVDHGRDEQAIKVITKHHCGGNSDDPLVAFEYNEIREALRLEKAANKSSTYLSL FRGSGNLKRMRVIIAIAFFSQWSGNGIVSYYLNLALNGIGIRSAGQQTLINGILQVWN LGTAYLGALLVDKLGRRPLWLISVGGMLASYTFWTICNGVYAKSATHLDADGNPIGAN QAAGHGVLAAIFLYYAAYNLAMSPLLVSYTVEILPFRIRSKGLMVMQMSVNASLVFNQ YVNPIALDALQWKLYIIYTCWLAFEFVYLWFTVVETKGKDGPLPLEEIAALFDGKEMT DQMKAATRAQTNGPQVTTAISPDFDEKALHSKDIPVHQEVQTLSHERSY L199_005027 MNRESTIIVVAARSEVVHPSGIIIISTDSQCSQQRRHGPVTSAE CGRLISSPKATQKTQQPDGLQTRTNYSLGISSYPARPEQRSSTSTTGYRQPIRIEDIT HRGEQSSSNRIPEDSSAAQAAVSSTLSPLISSGTNATISWLDSILDDTAIPLLSSANL GVVTHGGPESNTLYVSDQAHLLSLESPIGTYKSAPGQTEAANGHQGEEITSWANMSHF IALFLRYLYPLMPLVHRPTFAEQLATRRDLVDNDFRALLLSIVAYVISQLPTSRLVNE RFDIEALKSLQRKCHRTCRGLQRTCYGPTTCTQISTIIFDTFYLLSIGLGHTASARLG HAIQLAFSMGMHSDAKTDALGLDPIEVQLRRRVFWQLYATDKTRAISDLPMMINDFQG VCSLPEPVDDEFITIQGSFLQPTSRPSAICGFIAVSKLFKILSECLFHHRCIMAKIQL TDTACTETLEDRLQEVLRDFPDGSYKLSGNNDGIVQNMLAVQRANILITAAICKFALY DLRASMQSDKEQLAKEREAIAREIHSSLMNIPLEDLASNGESVRSKIFHIVCALCDQK PTGGVDHGLICDWYSMFSTISFVQMPPPPPEDADDGLSPK L199_005028 MKVKKSTRKHAQAPPDVLALAESIVNAPEDGLTQVLQTFDCWKY PRGDLHTWVDVLDRFDSILEELCKSYELEKPQTNDFTPKTKDLILQILRVQRLLMENC TSRKLFSSYDRLADLLNTSDLEVLQSTIFVILRPAQQYANSTPFEPSQRHVILHRLLT LSRGWERFTNAGIDLPSLASSQDLSLPEELCTVQLQYYPTRGSSVSPESPVKSMASQE TPVRPRQTGPMTPVAASAKSRSSHSVGPSSLDFGDVSSWPNPVDQLTLLSEENHVPLD DQYIALNKIRLAQLKDLDTRRQLLTIRLLALATYVYVSTDDAAQSGLFLYEPELVPQL AELLRASQQVGERVTIGTLHALDACAHHRIKTGEVMTAVSANVNHGILVTFFKNMVEQ LVKGEPVPNDLFDAAISFVAYIPSSQVHINMLMGAGILRLLLDILNSSGERRESYIPR VTGLIDSIIYSSSQALSNFSNIDGVNFLVHRIKAEIESRDQIILPSPSSSLSEDTILA YVNNPLKGILRSVHRLMQASGGTEGLRNLVDSDLPKCLKQIFEQPAKYAINIMATFVH NEPTSLSILQELQLPQTLYAELEKGIPPSSEVFNAVPTAIGAVCLNQSGLDYTVAHPG VISNLVGGVNLPSHEKIFNDRDNAKSLGAALDELSRHQPSLRPVIMKAIIDLLRRATD AAASFAPTEADRHDYILDEMTPVGTDRAAIMNNPCLSTFARMFKVLNGLVRNAATAKD FIKEGGLDYVLGVADLPCVPIRFHSTDASVSLAYLLRHIGEHDPTQLVEKIRLSIQDT MTKCASVWEDQNAKQLWSTLHSGNVDASSRQAFETLRSLGIRLSFLLEVIGPLVISNP RYATSLITALAVDTDPSFMTNLGHVHRVAFDQNVLLRRDKITNSDDLDPSRPPGSSDE SAKDTGAQYLAYRLHNVLTRLFRAFIKLIHVKRNPDANHLKLANTLSDIVSDVMIEHL HRKDDSITIDIASLDVLSSLLFDHNRGTDGPLHTTLFLAFYKKQGIDGILSSASRITE QMDRVSRVPVDTRDQHQKDSIIEATAGMKTVLALLGAFASTKSLLDNSETHALQQRPQ NPLKAVNIFVTLRLTIFPLAHRIWNASWLLDCPVPIIKLAVKCFSALMEGKSEEQPPE DDSLPASRSSIAPPPARPAPVTADPHRVDQLVDMGFPRRAAERALLRARNNVAAATDM ILTMPHVFEEEPVAEGTPAAPAELPDDNAGAPAVPSESADEITPVQPESMQVDSDTFA ADRTALQKLRDEYRPDIKIRALTLLDHAEDLVFDVLPCFPPGDEGVIYLIDRLAEISK SYVVKYENAISARLRLIAVYLRSVEGVILDEQSVATATRILSELPLEYQEARPKWLPA LLLFAETIAASSNTINKAKLGDEPGYDVSIPSTAFASIAPKLYSACFRLIAADDVTRN ELVSALRLLALISREKTFSIIKETDLINLLKPFKRPTEKLQGCHPLLLLIFRHVLEDP GTISDLMRKEIRHWLTPTRNKVVDIHHFLKQLRQVAFREPNAFVRVVADECALVDPTP PQSVYHIRAIDQPQEPSPVARSSDPFQDDPEEKRNPFIDHLVLELGQAVRLSLEADDT NNLTEEEIKHAHAYAGLLMSILTELLGSYTTVKKSFIASIRAQSLGANKVKSGITSLI ADLVCCVVLQPDVTGLPQFERDSKPARRLALSSWSISMVLALCSEATPATSVKDVTED MVNIRRAVLDAIAKVLRDPMPNNDLPTRYGRLWALGELVYRLLVSRPIGPIRQMNDAT LHIAKTMLEKNFVGLLTNALGEIDLSYPDIRNVLISLLRTLDHLSKTSVKWGKVNKET KNTPGDPAANDDSQTSESDDESGDSDVDMMEEDQSAPDLYRNSALGMIGGEIGEDDED DEEDEDDEDDMDMGDDITDDDGDTDLQTSEDESMPSEMDADNWTDEHDEENDDQDSEE DEEMEPEVILGSNEEDEGEMWDDVPDDGESVMTEENEIMDEEDAMDGEVEGFFEDDDE QPDLEMDEEDFDEIDMLESFPSAAHVGSMHRQSPEITGPWAWDQSNFPGMSQSRRRRS ILAEDPTVSLFGRPSPPSGGQVAQHPLTAHPAPSQWPPMRGLSRSFGSNYQELLSAIE VMGGVEAVQMIENLITSRQLAGSEAIRIDFAQDHNGTVGLSVGGQTFALRPPQGRQTH QQQLANSDVLAEFFPVPTMQRWQESMQLAILARNELTSRLVIHVVNRLMPEARRRAEE EEAKNKKAEEEAAKAEAEEKKKNLESAASVALPESRQPSPVEDADVAMEEEEVPEDVV PMDSASESLARTVISIRGRDVDITDTGIDLEFLQALPDDMRADVVEQHMREQNRHRRP PSSTNVSETASQINSEFLDALPPEIRAEVIMQEAMENARRQQPPPAPSQLPLADRAAG FLRGLTDELRDVMLLNQQPPGSLPRIGGPSVHQPAQDQAPSKKSNREAIQLLDKPGIA SLVRLLFFPETLKKGHLFRVLVHLCENSTTRSDLLNLLLSVVQDGSGDLPAVDRSFQQ MSLRGMITPKATPKGRSIDSPAAAVVPTGLFSHLHTEHVPTFIAQRCFEALVHIVNAN PSAVNYFLSEHEQPVGLKKHPLKKNKGKEKMLPQTKFPIVVLMGLLDRPLLAKTPGMM ETVTSLLVTITRPLSEKKKDDKQADTEGNSAKPPQPIIPAPVLKLIVNCLTSGECTSR TFSATLVAMQNLACLPDAKDIILQELRTRCKELGGVVYQQLSDLSIALQDPSAEIGSL TLTNFSPPTSNQAQLLRLLKTIDYLHLNKVDSDPPAQQLTDEERAVSAVFESFEFESL WDQLGQCLSIVETKGGTDQIATVLLPLVEALMVVSKYRSRLSREVRSPSVQGPGAVER ADLFVSFTTTHRKVLNTIVRNNPSLLSGSFSLLIRNPRVLEFDNKRNWFFQKLKRKRD PHMPVSVIPLNIRRQYVFEDSFHAMQRRTGDEIKFGKLSVKFYNEDGVDAGGVTREWY SVLAQQIFDPNFALFEPCAADQQTYQPNKASSINGDHLAYFKFVGRVIGKAVYDGRLL DAYFNRAFYKQILGKTVDMRDLESIDPEYHKSLQWMLDNDITGVIDQEFTIEDDQFGE KKIVELKPGGSSIPVTEENKEEYVRLVVSYRLDNSIKEQIKAFLEGFYDIIPRQLIQI FEPDQLELLISGITTVDVDELKNATQLSGWKATDPEISWFWRALRSFSQEERSRFLMF VTSSSRVPLGGFTQLQGSSGTQPFQIQKLYAKEGSLPQASTCFNLLLLPTYASYEQLR DKLQFAIVETGGFGKA L199_005029 MTMAAQPTSPFQPFYTPFRKRRALSPPSLDTSEYQTRKDTNDSD LKYASPFTDPSHRDKRRRPNLANGFQGLSISVDQSASNLNDDLPRNGLDDDEGIGLQK NRDNDVTVEVLPDKATRSTNHRHHHHSNTHHWSIPSRAGPSSPSSSSTSPTTSSEEDY HPFQRHRRYAGVAQQADEIVQPDQVEAGSDLSVEDVTVVSPRNGRKRRDDEIGMDRVT NTRNKRARTGDDFDVEMNAEEDMEEIGNSDHDKRRRRKTEWHEPEKDRIIITSLSDAS SSRESSRSASPEPTADDVRNLSQPGMRGFTISPSLLTHLLKSQRDQLSGRKVSPTSNS LILYRPLGIPPGEWNDDIVKAWKPDEEYVDSGRFEMLDDDEVFDKVTPSDNGDTHMDI DGDVEMA L199_005030 MVEKTTSPDQDGWGEMTSEAIQAMLMANAVRSSPYRHPFFRRLY LVAFLLVTLLILLPAWTIIYILTKNRPRRNWALKRCLRVRFSRRLCGLIARCEIDYLG RDLSIDLDRRKLKYSHPVNIPPAPSDILCGHPKEALDLLQASRGRWVPQYIHRCKREK EGIWGKWNNSHDGNVYGLEEVKAFWYTGEKSTAEEAPPSRRRGDPVMLHFHGGGYVLG TAAETSLSSNFPRALVKHSPIHHVLSVDYRLAPTAPWPLPLLDAISAYHWLVKVEGVE ERDITVAGDSAGGHLALNLVRWLRDQGQSIGLRMPRGMVLLSPWADLGFTNVWGEEAI RHNKDSDTIDDTFGPFACSLLLRALPASIMHTSPYISPASLLLPEASQGEHSFEKFPA TYIVYGGAERLSTNIELLWSRIRLSREFEPPIVPDRLFVSPDAIHDFMIFPWMAEEAS EVYEDLDGWLRELLSADLPEEDEQSVAESLELEDSPEASWKEMVRQRRLSRQMTRESI KSHKSPVMGPVRDDLGMIRMMEDMSEEGLSMITPDLSMPQFDLEMPKSPEWLTPLTSD LRPDYSLDDDEVPWYDLDGNADRDLDMETRKDR L199_005031 MVDSSNRLQTLIAYYLAQNYPSVLPSFLSASHTAPPDLTQPPKP DLKTLVEDYISEQLVKDLGHVEIDEDMEPATDGSWRGWSNKDIVKVSLAPEVTLQGVR RSIEGISAMNLLTVGAERVPRRIFDTTTASYRATYTPSIITTSVDKTVRIIDYNTGEV DGILEPHKAAVLSFALHPQNPRYLLTGSMDGTTVLTDLITSQPLQTFKSTKFVVRVAF SPDGHFMATCSYDHHIVVYAANGSAVPPPFDEDDIPLDETDDLLLASEPTLRYHEVHR IKVDSNPEAILFHPESTWLMYTLRSSHLLYYARLPSSDNTISEIKDWETRTKSFNPHP MDNHVSFSILNMALHPSKKIVACQTGDHRGNTGERILLYGVEPEDAERLAVLWTGSEG DDFVLPRMSWLPDGSGLITTTPNGYLNLISLSGENRSSVKIHGAVNLGQASSEVVRDC TVVTTEEGDWEVVSVGYDRQVRISR L199_005032 MVLTPHANFDSPDQISPTPNSAQSASASSLHPGSADSYFSHGGA GPSAPRLRKTSTSSFSKLSEFSLDEPLLSEKDRYAPQSDPDTPQHSTLSGVRHVPLSV DASEWRHPVFKQKVLGILRRLRVPLWSSTLLTPSSIHLQKVSGALTNAVFFVSFNPAP NPTSPSESPMLTPTMPASDPDHPPPLTPEQYPPTLLFRVYGVSSDALISREEELRILY VLNTEYHIGPKIYGTFGNGRVEQFFPSRALTAKELREPHIFRGIARRMRELHSVDLRL LGYENGKNTEPMVWKCLLEWIATAEEVLDTLSASGGKWESWVENYGLHRIRQEVEKYR KWVESEPGKGKGAVFAHNDTQYGNLLLLDGDLPPNVPEHHRYVVIDFEYAAPNARGYD IANHFHEWRANYHHPTHSHSLKPHFPYPTLEERQDFYRAYLSVEMDGTEEVVHRRKDV LSEKVDALEREVRIWSPAPSILWSLWGIIQAQEQVEAIAEQRTDYVPEFDYLAYAVER LEMFRKEAKEVGVPI L199_005033 MPAQLKQRLVVIGIGGASCSGKTLLAKHIRRALPQGSHIIHQDD FCPDWDDPETCIMWPEFRSILSQVRQSGQHGAHASHDHLNKQVEVGIKEDIFTKWKDR LRRYIEEQESKGVELVWYIVDGFVLFWDKARREERQVYVLQHGGVWVDPPGYFDKIVW PGYVKAHREVFEEVEKGDLKKEWGPEGRSLLLVQPGEGEEGMTMAFDKACEAILKQCE EGAGTVISA L199_005034 MSCSSVSRKLIAFGNNVCGNLDPTCSSIIQRASDVTTHCDCDDI NWSSWTCTIGGDDVDPLKIWGNDPLVIDDQPTKIDFSGRVIGFERPLAFLLEDGFVES TEKKRSSTTWDQVVSTGLGLTYACKDDQIYRFDDLEHLMRNDPSFGPIHGLPPGALQL YATESRAFALVDGQTQHLYEMIDVKSLPPKLVKTSDQVQLDHIDDLEASGNLTVIAGS GNQVGVVTEVGVAYLLPSKGDIEMISFDDEEIRLMGLGADFEIVVTDQNIYVRGSSK L199_005035 MVAIARIAPRVASAPLKARAFAVMSTRGKHTLPELPYAYDALEP SISSEIMTLHHTKHHQTYVNGLNAAEESLQKLQANNDIKAAIALQPALKFNGGGHINH SLFWKILAPHGSAQTKFPSSGALYDQVQADFGGFENLKKEVNAKTAAVQGSGWGWLGF NKGTKKLEVVTTANQDPLLSHVPIIGIDIWEHAFYLQYKNVKPDYLNAIWEVINFEEA EKRLKEAL L199_005036 MLLPSSFPTYKQSTSPKQFYKRFLQHLQLLPDPHIWSILIPRFR TLLRQSSHNTTVELASQQSSPEEVPAEENSRAASERVKQWKRERAFKRAERELRRVRA AVACHPHALTRLIEEAYGQRGIIRHDLLKAISSPYSVSPHFDPLPPPLQPLKPPPPAP SEAQPRARKEIPPCRVRAELRRSIERDWSMVKPPLLLSFTALDVQDTYMGSNGWDRRA VENLRLLSTHNDSSRTNQLDKLDLSSLQPHIRRLFPIKRVPRLREPSFAPPRPKATRQ NPNIWGLPRRLDWRLLNRTYSRFWDGLVWVRPVEASHQDRWTRCSYEETKFGLNPPEV VLAGPNDQGLKTKRSSKKRNNTPKAEPDRLTEATEDDMKWLSCDQDANSRNVL L199_005037 MSDQYSAASSASPSLTEKLTTLRAFFKAQKAVILTTRDSDGSLH ARVMAVAEITPDWKFRFIYDKESHKDVEVQQDSHVNIAVDGTQNNTGWASIAGKASRI EDHAVVEKLWNPTIKAWFADKGDGVHDGSPSDPRVVVFEVKVDEIRHFHQEKTTLGTV VDVISSTISGSTATPGSIRTITGEEIAGAWARNELKEP L199_005038 MTKPIIFPRARRNIWSELDEDNEFDNLGEGKEEADFFDEEVKKE IRWKETGSGAGMKQDLLDDNLTVEGPELTDPIAHVHDRNVSTIAMEQIPEDSPSSHPN APDPSIIADTTFIPPSFRPSNILQTSTPRAHTSTYPSVPTPSDNGTPKLPHPAPVNQA STSRTRSSRIHDTPEYIASPIDSPSTSLSRPSIDPTSAGEGRGKRDDPSTPVPIGKSA LSDIKSKRDHQAISKHFDLLSKVLVKDPIKGKGKGRQPIGKALSVAAGLGGKVFDGLR FCLTSEVNQAAKLKQRADIITTLGGQVVLQPDTAITHVIYDAGKSASLLAAKLGLETL SELPEGTVCVKWDWVVQCKMAGRKLDPTPWLSFPKTSFSRAVSANAIRPTSRIFDITD QLRGQSVAITRKREPTASDSDTEESLRKRTRLGQTKSITLFPPVTERSETHAVAGKTK IHQMANMDITVATEHNLPSGSGWEKASRTERDALDDMIAGVIEGSLVDQEASDEDDEQ RDGDEMNKHSRTGVDTKVNGYKCSQKNDGKGYNGPNEWLAKKFEELHDLYQGQVGKNS FAIRGYQRAAGIMRRIDYPITTGAQARAIPGIGQSLADRIDEFLSGAQGRAFYENTEQ ARCIALFKDIYGVGRQHANDLYRLGARTIDDLRSGRFALTAGQMIGLQLYEDLKARIP RDECRQIFEIIRSEAQAIDDHLWVEIMGSYRRGQETSGDVDILITRNGEEVNGKKGVL GELIQRLKKRGLITHDLGTPTAWDAPEAKWMGVGKLSSVHKHRRIDILCIPFEHWGAA LLYFTGNEVVGISTIIWSNMCSKADDCHQFNRSMRLYARKTGYSLNQRGLYRGVLRGK DGLKQTEGELVASRTEQDIFDALGLRWRHPHHRRP L199_005039 MTTATTTWTCSELLRGESYKSYALIIVNQPIRQDLLEKAWKSAK IKLCADGGANRLYDVDSVKNPLRRSIRSSGPDGTYHVSTTQATSRDICARWRIGRHDI QLDHNTMGQTCGILPVGIDSARVKTKGLKWDTGKSWPERASLTGRLGNVA L199_005040 MSTDATSPAPAAAKPAAPAASTPNAEAPAAAPAPAQQQPSASAS LYVGELDSSVTEAMLFEIFNMIGPVASIRVCRDAVTRRSLGYAYVNYLNAADGERALE HLNYSLIKNRPCRIMWSQRDPALRKTGQGNIFIKNLDEGIDNKALHDTFAAFGDILSC KVGTDETGKSRGFAFVHYSTGEAADAAIKAVNGMLLNDKKVFVGHHVGKKERLSKVEE QRSQFTNVYIKNLDPEFTDAEFEELVKPFGATVSVALSKDESGASKGFGFVNFESHEA ARQAVDELNDKDVKGRKLYAGRAQSKLERESELKKSHEEKRMENEAKSAGVNLYIKNL DDEWDDDRLRAEFDSFGTITSCKVMKDDHDVSRGFGFVCFSAPEEATKAVSEMNGKMI GTKPLYVALAQRKDVRRQALESQIAQRSNMRMQYGPGGFGGMQGYMGQPVYGYPPMPG YGQPMPGMPPMRGPMMGYPGGPQNAMQSRPRYAPGGQPMPGAPYGGPPPMGGAYGGVP PQYPVRPGGARIPAAPTTNGPRGAGGPSPVGAPQGLPRANGQARPQEAQSQAPRLDSQ SLSRANPAEQKQMLGEALYPLIFETQPDLAGKITGMLLEMDNAELLHLVESPAALQDK VDEALRVLAEWGKGDEKANGDATEAKEEVKEEKAE L199_005041 MAYNYSNPYQSNSAAPARLFNPPSSSSAAVTSQARQVLFSGLPV DISEKDLRELLLSDPLRLSPITTSVTCFSGPDGRFCGIALVYVANAADAEKIRTNYSG QQIDGSKLTEGHILPANQSLSAASTSITAPSTSVPRPTNPAKTPKNKTNGAVTPNPKV DEKPAGLKLLARLSKPAQGKDKQLALLEKQKANLAKSGASGAALLSRLQGSPKGHASA KTKGKATKLSTGTAKVGRAKAKAAGKDAMDVDKPVAIAKAKKEKPKPKTQAELDEEMR AYERARRFA L199_005042 MSTLEGKSNQPGTYAEGSLVHVPATLPHTFDLDALVNHFNNKPL PEGACALIDLPGNVFLETEDEINVIAASLWVLCVKYDDLPAGERPTTRENLRRQTPWR NAWTIDQMEKDVSQMLDEVLAKTLPVDKDAKGKK L199_005043 MSKNTGECCPPQSTSNPSKPNVPHTVSDPTGYEYKGRFESVGDY EKVYVTGPDDAKHALVVIYDIFGFGDTTIRGKPFPKDQDGNKEELKKFFAGTLKRDHG INRVSILGYCWGGKLAFLSLTSGTPFCCGAVIHPAMIAPEDGENLTVPFGFYPNVVEK IEEAIKSKPFASKSDYHLYDTVHHGWAAARADLKDPENLKQYEDVYQRTADYFAKVKC L199_005044 MSDESYRPALQSYTAPQEILDEHAELADQDDTPDVFEDNARSKQ VAARQSDYHLRRFNRADGQGEGEDESYEDRMRRINLQREEERVRRHKEQLEKDKNEDE KMVLDDKTPPRALAGDETPPRAIAGGDTPPRDTTPPKKKRRWDVEEVKEEPGAEPAAA PKRKSRWDQTPSEAAPEVKKSRWDQTPAASATTSAITSTPSVGGMMMVEDKRYRRMTD EELDGLLPGGEEGYEIVPVPDDYRPAPSVRKMVPATAEHGFMMQDDSDAARARSAAGG MQGSQEQTEIEGIGTLQFLKPEDTQYFAKVLGEGGGEDDDNSYTLEELKERKIMRLLL KIKNGTPPVRKTALRQITDRAREFGAGPLFDKILPLLMERTLEDQERHLLVKVIDRVL YKLDDLVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLAKAAGLAHMISTMRPDID HVDEYVRNTTARAFSVVASALGIPALLPFLRAVCRSKKSWQARHTGIRIIQQIAIMMG CAVLPHLRNLVDTVADGLQDEQQKVRTMTALSLAALAESAAPYGIESFDNVLKPLWLG IRQHRGKTLAAFLKAIGYIIPLMDPEYAGYYVRECMPILIREFQTSDEEMRRIVLQVI KQCASTEGVTPTYIKEEVLPEFFKAFWVRRMALDKRNYKQLVETTVELAQKAGVSEIV GRICNDLKDESEPYRKMVMETITKVIASIGAADVDERLEVLLIDGIIFAFQEQTLEDT IMLDGFATVVNALGDRVKPYLPQIVSMILWRLTNKSAKVRMLAADLTSRLAPIIKSSG EDGLLSKLGVVIFEQLGEEYPDALGSLIAAEGAIANVVGMTQMNPPVKDLHLLKAHKK AIRRAAVNSFGYIAKAIGPQDVLSVLLTNLKVQERQSRVCSTVAIAIVSETCGPFTCI PAILNEYRTPELNVRNGCLKALAFVFEYVGEMSKDYIHSVVGLLEDALTDRDHVHRQT ACAIVKHLAIGVAGLGYEEALTHLLNLVWPNIFETSPHVIGGVMDAIEAMRLGVGAGP ILSYVLQGLFHPARRVREVYWRMYNTLILGASDAMVPFYPNLGSAADLATGQDYTRHE LMMWV L199_005045 MSAANKILRTANAPQTPPSEIEQQIAQAFVDLEQNVPELKSELR QLAFSEAREVDVKGGKKAVVIFVPVPMAKAFHKVQQRLTRELEKKLSDKYIVFLSQRR VLPKPARNSSAAKKQKRPRSRTLTAVHEKILEELVWPSEIVGKRTRVAQDGGKLIRVF LDSKDQNNLEYKLDTFSSVYRTLAGKDVHFEFPVQAAE L199_005046 MADKKKDSKKKFTFGPFEQALLVLVVLGFAYIGYHILTRQEAAQ DRAKALAKAKSSSSSSSSSRSSSSSSSQKPLSSSDIRAGGMPSSSSSSKRVGNPAPKQ ISGSSSKDDPSSLGFRKNYFLTMQGPGRPALVPFQDGLRPKKGDPAGTLCTDAADLMA PPLDKDKLKATMKNPWATEMIEKDIQLLKIAKAQKEEEERYSKVANLLQTILGFLLCA VDMRLGILAIVFFLWRHFTKLHDESMSDEKDALEKEKKEISEKLREAKKAGMSSQEYA GLQMALERL L199_005047 MQLAGRLYSAWSLVTANLSSVVFYNPDNGRFGDWKTAPALVLTS NETISKKKEDGVMCNDDGKKCKAVGKGLHRHEDEIEPTFVQQFKQTTDTSTMTMTTQG QTITTRVLVVPTLTVIAGEAITTEVMLDEQGGLMESSTLMTATVMPSTASRSSSITVS ISSTDTATGSSSVATMASTLFSANPTTSSKALIEAEEEEEDGQEEGDDESDVSSDSTS SEDEEDEEEDERPKVLKVSKNEYIRAWKRDIQLSAAQDSGTVVGVNIAHLSGSNEPRV VVTQQCALHYGWAINSLDNLVREETVLAAFFIWILGLAIVGLLNESIPHLTVLGSTVV TLIASGVLCWKIREYFGWQTFQRIGGSSKINKIYKLLLALSILLQLDAFVLVTLFALF LDQAFKAIYSLLIVALLPWLYFVSCSGWDPADRIGMDFNPSRTEKTIQYICGFISTHH RWMGSIIRKPDFPDCLHYLGILHFFTCMGSLAVGLTTITVILAIIRRLTFGKGLADFL YLEEVHERPNSGLLDEKPSMPVAMPRSNLPTFSTAFGPGPAPPPRQMFPPMPVYTTAT RVTSWNTGDDRRAL L199_005048 MLRTSILRAVRPTARSITSIPPLAFIPRSYATLSPHTPPPTTPY EVFDEPSKDSQKDRAIARLREAGNSSQINSAEIDEDPVKVVDYIREEIAERLAERIED LRIPPSSILELASHSGQLTQILQEVIVDEVPSSSDQQDTQTERRKWWIVESSREALHR DDDSLFASPPTRIQASASKLLEHPEIEKLREQVEAVVSGGGLHWVGDIVGALTQIRHL LKPDGVFVGAVLGGDTLFELRTSLQLAEQERRGGIANRVSPMINPTDAPSLLNRAGFT LTTIDVEDMTINYPSIWELMSDLRDMGESNAILGRRAHVSRDVLLAADAIYKELYGNE DGSVPATFQIIFLIGWKPGPNQPQALERGSATTSLKDVL L199_005049 MVNLKQQKRLAASVAGVGKRKIWLDPQEAAEIGQANSRSGVRKL LKDGHIIIKPTVIHSRARTREHAAAKRAGRHTGFGKRKGTAEARMPTKVQWLRRMRVL RRLLKKYREGGKIDKHLYHTLYLEAKGNRFKNKRVLMEHIHKAKAEVIRTKHLAEQQE ARRVKNKAMRERRAQRLAEKRQGITEVEREEVVKE L199_005050 MNVASSGGIAYTIDVLVGEGRVPVAVLVDTGSADLWVSANPCGN CTKANMVDTKVQTSDGCTLESKKYGSGAVYGCLVKTDVAFGQYSLSDYPLLAARDVVG FDGSYMSGILGLAMRQNSIDNQATPIDLMLSAGMIISPEVGFYLTREGDGSEIVFGHA HSSPHADQNKKVALPKKGQDGLYRVNLDAFISQNQPISSEATNMQNIEVIIDTGTTNV LVSESMMDPIYSALGGSEKGSDGLRSVPCKGPDNPDEALALQFGGISFDVKWEDLIAM PTSSNPDYCYLRIQETPAEDFILIGSAFLHNVYHTINAATGEVTFYGLKK L199_005051 MPVDVEAYMAGAIPALSRGGSWLPVQGPASGTSLPPLQPQSACG SSPISSYPQTPPTALTGMSGSPVIGGPIQFPKSPWNRPPASPATVHSARSGTLSQLDL VGSASTHGLKRESVLGPVKHNGWLKTGSEASESVGGSIYQESIGPSASVRAITPSHLP PGHLRGSPRAGDHWERQAGSPKPSSREPNYIHKNQLHPSTEVSVPITSSYRKISGHDI LQPSPYRRVTELLPPSPSEHYWSSRPSLARRHTHDPLHAPKPRKHQEEDLYRSAQLNN RLARLSLEGRDCHTPDPHSAPNSPAVPREASHSSRSRRHSTSDTSSSSSLPSESAHHK SHKHAERPRHLSTPHGIPLVPSPSSASPHRRPSAGSTLDFTSNISRHIPSSPQSFPQS GSNLGGSYRSPPTPRCRASSVTGLSARKPVGYISPMDNEEYDPYEAHPAAPVPDRTDR HVNSAGLTVSETLQSPQTPLCPAKKEYVPPGFAKPTREVPWNRDGPAMKTHGIAWLRE GDPHALPTAPKGPRWDVARPPRIDQTQNGSWWESSGGRVNSYNVG L199_005052 MTKHESSSSNPPIVGALNIPRRKGARDLAGLEERAINLKMTPHT ASHHLEKKQVEPTFSNQQSSNSNNPYAFPTSTSEYYESQVNQPSSSSDVASQYHSSWS TTSAWTSSWSGSSTTATATAYAIKSSATTVNDTESISSDNFSSSTSPSRTASANNPIT TLEVEDPSQMGTIYTIDVTVDGVVLPVHSYVRWLNIDTGSSQFWAAHDSCRECKLNGM TTISTALPSDCQGDNLIIITYAMGWVKGCHVNTSVTLGEDTLQSYPVLAVIEAGGGTE QLGGYYSGLIGLASAGLNQNDIPTVVSALYKQGSIQQPIVGFYLPRASDNQESEITFG DPATSEYADDSKVVYLSRQGGQNENYIVRMDSFVVGSETIYQGTDCYLDTGSSGIAVP QNVLEQVYKAAYGLNSTNSTESPPCQAPSSNTGAWVTFGGQGFEIPYQDLVYQNDDGT CGALITSYSGDVSNIWLFGDSFLHNVYHSVNVETGEVELFGLKNATTSQ L199_005053 MTPSTRHSILHPPPSSPIRSSSPRHSRSRRSNHPQTLQTRHLIR FRPSHGRRNHKRRQDFDSDLKGVITSLASPLIRSTPTSVAAVKATDDPEEAANVIISQ VTRTRTRTVSATVPTPTDTAKAEGPALGQEPDLNLDNTGGLAYTIDVNVGGISVPVIV DTGSSAFWVPTSSCQTCKEAAMTISPLIVPDGCESQNITYGIGSAEGCYAHGAVSIGP YKVPDVELMGVTAIDAALASSGSVLSGILGLAGETNGDGNPTLVKAMYDLGLIKAKTV GFYLSEDENVDSEITFGDVTTSEHADPNHKVTLQSVPNEMNLYEVILDSLTVANETVS GSKTVIIDTGSSYIYIPEEDALAIYAHLPSPKTSDQGYLLPCAPENPPTLTFDFGGKA FDLEYKYLIGADVGSQDGYCWAKIGSLKGMDTWVIGDAFLHTVYTSFDVGTKEVTLYK LL L199_005054 MSTSLLSAISNLPSTSYHHPIATVPSGSSKLNPYLPIPQASGNV TVLFTNPTFLPSVPSASLKRTVVQVVDAEEVVTPRAAKSLSLISRSAQEAYDHSLLAL RLAQDEDALVYHFIASGLDGSVQQVEDAESWLSGPLGSPNPANGDANGEAVDDLLSSY EAISLSLLKLTRRAQRSFVHRKGDSSKLIVNFLPSAIEAENVIDVVLAIPVPKEKLRS SLTGVQEVVVVEGGNGKYGSGWASVVDALEGSDVNIRSVLVAGDVSPSEISSALSASA PITRLGKPASHSIPSSSVAIPSPESSYTSLLASSPTPLEILNDPSHLAANESTSPLYA FGKAVALRKERARLVELAKKILKASNTRKEVHEALSAWLLVRDEQGAAAAGKKVAQVV GAGDSADEKELAQLGEKGHWEKRALWIVISNSWAVDLASSGLHHALASGLDINLLVYE TASSPFSPNAPAQPPKERKKDLALYALNMGDVYVASVAIYADYAGVINAMREAENYSG PGLVLAYLPWGDKEDGETVSEKEKAGPLERLRETKRAVSGGWWPMFRWNPSLADEKRF TLDSSYIKAALSEFLDRESHLSQLTLSQPSIDASVTSSVGNDLIAARKEKARKAYDAL LNSLDGPGLLVLYASDGGNAEKVAKRLVGRAKMRGVGASLRVLDEIAPSIVDSLAEEK NVLVLTSTAGQGEAPQNGREFHKALGKLSASDKLAETKITVFGMGDSHYWPRPEDAGY YNKPAKDFFPRLLALGCAELCPLGLGDDSDPDGYMTGYKPFEAGLWRALGVDSVEVAE EKEEVVANEHIKIASDYLRGTILEGLEDKTTGAIGASDAQLTKFHGTYMQDDRDIRES LKAQGLEPAYSFMIRVRMPAGVCTADQWLHMDRISDEHGNGTFKLTTRQTFQFHGIIK SHLKPAMQAINRSLLDTIAACGDVNRNVQCCVNPAYSKTHKTVYDFSVAISEHLLPST NAYHEIWLDKKKVYGDATQAFSADHEPLYGPYYLPRKFKIAVAVPPDNAVDVFTNDVG FIAIVENEEVIGYNVSVGGGMGVTHGNKKTYPRLGDVLGFLSPEDGTKVAESIMLVQR DYGNRQDRKNARLKYTVDRLGVAKFKSLVEERWGKKFGEARPYQFTSNLDKYGWHQGH DGKWHFTMFIENGRIEDSSRHQFKSGLQEIAKVHKGTFRLTANQHLILSDVAPEDLEE MKRLLAKWGLDNIDHSGVRLSSSACVAFPTCGLAMAESERYLPLLIDKVEKICEEAGV RNDDLVMRMTGCPNGCARPWAAEVAFVGKAPGSYMMMLGGNHNGTRLNKPFIESATEP EILAVLKPMIKRWALERHDGERFGDWTIRAGYIKPTTHGTNFWENGFPSANQAAQAIT A L199_005055 MPAFLRKSQIGLSGFTPERLRGWTSTGALWGIAGAGFVSLFLSD VPLFKKDVLIKLPVVREYFIDKTPDSDKPF L199_005056 MSAPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGV DFKIRTIELEGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDSDTYANVKQ WLQEIDRYAVEGVNKLLVGNKSDLATKKVVEYAAAKAFADELGIPFLETSAKNATNVE QAFLTMSKQIKDRMGSTSMASGPGAKSTIKGLGQNVEQKTAGGCC L199_005057 MSNTNGDVPRGMSISISPTTIQPSTVSTSLPIPNSHAQSQPSSS RRASQSSSSSSSSTGPDHSLDLPSLQPLSHSHPLLSSENFNADEFLLSRLHIPLEELR GELRSYLNELKEELVRLINEDYEEFISLGTGLRGEESRLKGLQEPMKVVRGEVESVRD VLYEHQQKVQTKLDERSALREEKALLDLLQRLFDTLTRAETLLDTTPDEEHGSAKMIT RVAGEYTQVVYLVNKARMEGCAIVDIVEDRIQIIKSRLSKDLSTLLTAELAEPNIPRL KQCLKTYELIEGWEEAEGVVRKAIRSYCRSTITSTSLTVPTTPTAPKTPHSSSLNNAL EKPLRLPAEDQSPLASLYNKILSQMETYSPLLQISEDVSEKFDFFPKIIWPEFAGALI DNLGSTIFAAGRPDELHKHYTTTHEFIALLETLAPSTRNVISMRASPAYEAFERRWQL PVYFQLRWKEIVGTLESALASTSNEKSGSGEWALPASASVWRALETTWSEDVFIDELA PRFWRLSLQIISRYGTYLKYTLNTFTIKEEDTAQEDAALKFTAAAIVDLDRIKEKVAE IEVIKSLGLQEHLTIPITPYSNVLLQILTRRCIDPLKLIRSIASQFRSSTSTSSSTSS SSSNQASYFIPNVLKPISNLYNLQPQLKDTYGKEWSVQISDSVLQNYSSILSSVRKTE DLLRKHRKSKKTGLSSFFSSASSTTTAADPEGGKEEKEEERFRSQMLIDINTLRDDAK RLCGIDIQELSSWKELVDVINKPSE L199_005058 MSDPLSPPHSTDPVPLTSAHVPTSIPPPASTAKTGRRSVRLFAP DGDSSSDEEGGPLIGGNPHIQDGGVDGAPPANPRSASYPGPTTHPSPTSRLSAIVSSA SAAQPKLARAATFVAPSSSSSTSRPPIAHTSTHGAGSAQSAGLSQHHDGWRSRLRPDS LSKSLHTPGARTPGGSGSNGVLRGRSDMYNDPEASYFHSHAGPSKRRTGTTDIESDDE DEDERPRSAGWQMGISQFKGLSNERKNDLSDESQIGQSQESQQIQQPQQHQQQQPQIA LTRAENSVSNEEKQERLDWQGMLESVLTSDVLKIEEARISQAMPTESFREEFGQSLWW QIRAKLRGRTEAEEKRRVHERRARVVDTVLEEVATFKARSYIPSTKDEVDGTSKEEDK DLGPQHTALEQVNLMLAKLAAIKALYPNLAAMRADKPTYASSEFRAKTDALTAWSTVV TALQTQLGLLQKWTGSDDLDITKPNTTKEKALTDKSTYTYHPLDSQGGNAMTAGRDAA DDSSFLDRVMKEDNLQRMFERRAFIDLINLVRNAKETVISYLPIFEEQNLPDFQYELV RLIGFPGRLIIEALKVRLDAASRLVDPNPMVVSDFIENLRLSISLAVLIRKQYDEIIA PDDDGRWKVPHCLPPEYNDVMLDAMRAFFKLLHWRLRGVGRGSYFKETEVLEDEGPFL YEAAEAIEGGDMVVAEHSCALSNKLLIRSCNYLDQQLRVPQTSGNPNAYGRDGSAKAM KTEEMISWYGKLLDSARMRHRKTQRYCRRLTQRFDNSAEYSLEHTEVDALVAHLQDSG HFLVYTNVFEGKGTYIVADGSLWAQPDEVRHLLQRAFSVTIPGSRARPRHDGDSDNED DGHIAAYLLLLSPRQNFVWTGAVMTLNVDYIEYMLQDNRVRLIADGPTSRLALCKHLF AESLIDPETGESLYLPCLVEAQAHLPSIQGQLLKIAKSSYRLSECIVQSAPLVRNAFR GAPGSQDLVENWYSFATDHGTRVSSHIDPSSWERFSRLLMRLAISWISFICQECNPTD RKTFRWTVAALTYAFTMTRGNNILALDRAEFSLLRRHVGNCVSLLISHFDILGARSSL EAKKEADRIEAMRRLQRLQENLDDEFLPRTPSPSGQPRIDRSIRLTVEERLRLINELE QRRNEAAPQPVGTVLDEEVSEDRALVFLAASKSNISMRWQQGAYIGGGASGSVYLGYS LQDNTVFAVKILPTVDIQSSPALYESIKRESDVMSLLSHPNIVGFLGLEVHRNRVCLF QEYCEGGSLAGMLEYGKIDDEEVVGAFTIQLLRGLDYLHQNRIEHRDLKPENILIGAN SVLKLADFGTAKIIKGNKTLARTRGGAHAKMEGLEGTPMYMAPEMIKNIRSNKLGSCD IWGLGCVVLQMITGRKPWSFLDFDNEWAIMFHLGATTEHPPLPEPNEMSELGIEWIER CLSLEPDERPTAPELLADEWLEPMLEQMAALEEDYPDVLAAHETEAAPPPPEDLSTDA TPSLISEEATPPLEPDNYEVEASS L199_005059 MSTLLAFPAGWIPRAHTVIAYTAFSTALFVGWMSGLWKELCINS VAKWPVEWFPSVSATIGDHAPPRAPFQILIALCATPRFLLLLVQWLVHRYPPSRPPKS SERYPLDSDLDSAGYSSAIKDAGIKTRSAAAKIEKEVEEEILKPVEEALRDEIGNRTL VDIELFVGIARTFCCGGWVYITSRDHHDLHDLFMILYLLLTLPWMFLSTQNCSNTKTR STRRIPFFGFLAMVPPLIWFYYKHSVMRIPGAYTYYSIFEWSLVLWDVAFDALAVLEL GHLQIAIIDTTPSSSTKHIATSNGTFYMPKIRTTSFAKLNKDAKVDWTAELPTPSPKW RQALAFASDVYWAVCFWTVFTSLGLQLFYWSIWKLALAGSELALLANLSGFTFSMRNT YEFCTSKQGLLTHRIMTVVFGMGFYIFPWPIIRLVGIFIGVWTGWQALFATWERLKGS EEIVAEGQILGLGLVITLLIKYINNSTNPLWAISHPASGGWNKTGLILAALALYEYHQ RPIDLHPAPPLNWYSRKEPQPHTLIKTTRWQGLSITVGFGALIHLIQTFVTDAGTIIS WTWTGYPIKGPTLHPFAGIVIAVASLGVLHQSRKFHYHLTVLGLIGAIALYRYPDWIE YIGGLLLVFYLQAIFPSYIRVLSVFEPGPTYGYALVTNIILDVISVVTAAYAFVPLGW IFRERTDLVLGFCMVATVLGWWSTRNIKLPSISEIPPRAQRRIQSTKRYTIISSVLLS IVSIGYSYSKMPRGKPVPYYPDHKIFSGGIWTVHFGLDKEGRDSQWRMMQLIKEMQVD VLGLLESDLHRFVYGNRDLTRVISEELGYYVDLGPGPNKHTWGAALLSKYPILNSTHH LLPSPHGELAPAIHATLDIHGQKVNVMVSHNGQEEDALDRELQTTEIARLLRETSDAP TVFLGYLVTRPGDFRPWPYQILMEDGQMWDIEIEDRRRWCEYIAFRGLWRIGFARVHE SDISDTELQVGKFMLPKPGHPVHYESNKEMYWHIGESDIPEPWHMPSMFRGNGTRGHR YVIWDGPLYYLPPERSGLQRYGQGWSIDP L199_005060 MNSSPAPPSHPLEPSTSLNPPTAHQHLPSSMLVPSESVENGNAS NKPPSSGPKITLNLPSNPASVSVSRSSTPSDELTPEPQEPPRAEQEVEGQDADGSSDV DMDGSHQNGQQALQNPSEGEEEVPSSDEEPEADVEQDEGYEDEDADMEEDDDVEEEEE DDEDEDEDYDDPSFGSKKKSSSKKAKVKSERRESAGPPKKKKPSASFPMRKEKSISSD EDYGTKSHKKKFFSKSGASTANGGGRTTTGTPDTPYSEADGAWRRGAAKKVVTYNEAD VDYGLEESEDEQGEYYGAAGVEEEEADEIDQVLYHYRDEARKEDPKDIPQENLRFHIK WKNYSHIHNTDETYAFLKTYKGFKKVENYINKIWTLDQQYHHPSPEQTWKPTSEELEQ YEIDKERIRELQESYKTIERVLDEKEEWSYEKQETLTKFFCKWSNLQYADCTWESYEE MKECPGALESIEDFHKRAARNTVPAQSINYGINNRPAYQKIPEDPDYLKCGGSLKPFQ LTGLNWLAYLWTKGENGILADEMGLGKTVQSVSFLSYLFHTQRQYGPFLVVVPLSTIS AWQMQFRVWAPDLNVICYMGSARSREVIRQFEFGPLKNLKFNVLLTTYEFILKDRQDL QQIKWQSLAVDEAHRLKNHESQLYEALKSFWTASRLLITGTPLQNNVKELLALMHFLM PEKFQLANDFDLNDADQESKIQDLHEKLGTLMLRRLKKDVIKELPSKSEKILRVEMSA MQTHYYKNILTKNFAVLSKGGTQQVSLMNVAMELKKASNHPYLFDGAEDRNKPLNEVL KGLVMNSGKMVLLDKLLARLKADGHRVLIFSQMVRLLDIMSDYMSARGYIFQRLDGTV PSDVRKKSIEAFNAPGSPDFAFLLSTRAGGLGINLETADTVIIFDSDYNPQNDLQAMA RAHRIGQQRHVSIYRFVSKGTIEEDILERAKRKMILEYAIINQMDTTGAHINGNGAPK EKNGDFSKEELSAILKFGAQNMYKTDDNAQKQKLEEMDLDDILTKADAFDTESAAQPG GTSLGGEGFLSQFAAIQDVKADADDLSWDDIIPVDERTKAEEEEKAAQIAEAQASTSR KRAAARPPGTYEGMDFDDAEGGSSKPGSPSSKKPKAATAQPRKTTAQRALELKERDLR VLIRGIQKWGDIRLRYDPIVKEAKLEAKNRVVIIQTCEDIITQAEEAVTSHKAHLRDL QERGEPISSSLRQKAILFTYKSVTAINAETVVARYYELKALVEHFKRIEDTSRYQIPY DSLKPTMNWTVEWSIQDDAHLLVGIWRHGFGSWEAISQDPELGLKDKIFLEDPKSAKA TDPNAPKPGIPGPIHLVRRGDYLCGLIREYEENRRMLVEQQAVIANMPTKEGFGFEHP PLPPIAPGKASPAISATTSKAEQQAKGKRRKTPEYTDSDDESSYESMDEDAVKELLRP AKKHLKKLKSGTENLSREDKIAALKECLAGIGLRIDEIVAEKQSSGEDPNKWRKHCWV FASFFWPRQGVNYSKLMEIHGKMVGTIPAEIPKKSKAKPRKKAEPSGERPKKKVKTEV KTETAGEDI L199_005061 MVLIDLQKVLSTLGLVSSIAKPIPLVGGLAGGLLDSTGSVVSGV QSGGALNGLLGGGANGLIAAVNFDDANSTSDNYTATSSTDDTSSTGDGTVSVQDACSF TPYSPPSPVILEPFAPYDANKALIYRYRQQQSVNLGSWFVQEQWMNPSLFTCASGNKQ AEFDIANGWGSVDNARQVLEKHWDEWITEDDFKYLAGIGINTVRLPIGYWSLGPVYCQ GTAFESVSAAYINSWPRVVRAINWAEKYGLGVLVDLHGAPGSQNGQAHSGVSDGRQNL FNIPTNVQLTINILTYLTQQLVKVNNVVGIQILNEPSNVDGLPAFYTQVLSVLRQVSP EASVFPFYLNDAFDMSRFADYISTRKDFVVLDHHSYFVFGDQNSQATPANQLTASLQP GQGGLSQQIIGASNEGRRNIVIDEFSCALSGQALSNSQDQQADRRSFCTGQMESYTNA TAGYSFWSYKTENCASDVNWCFTSAVGNTLPSTFFSYPKSTIQAIQGGVASSAPQDPT TDTSFLSFGTSSPSSDNDYSPPTTDDWLAAMGASDPEYDQVTEALATTEFDSIPDSDP SAAASSDDTGEATSSTYDDSAMATTTLWPASSTPTPATMDAGDLAQAAFALGSSSESG MATIPSSRQQLSSTQKRSLGLTSLPQRAFLLSSQLQRHRFVTRSARSHSRKHSKTIKS KSKTRRDESAVVYTPEQAAIAKGYSDGWKAAKTFAAFDNSRLGFTGQFISDALNAMDN KIVSGDEGFYKTWFMKGLADGEVQVIKLLAMEAQGPQ L199_005062 MPNFLPRNTRSRILNRSQRSSVPAKILLVVFLVVVYLSLPTGTY TPPSSPSDPQIHNTLSDATLQELVEIYDQVRLDTLQQKGFDSAAAAEKLRAPIHLPDG STNFDPDLNTYVGRLRRFVDDYFMNTPDPIHTGARNALKDLLRRAPPQSRPDHFPSTV WSTHPSGTEGLEEGFELWKRLLPLPLSKRLLKQIDYGEELEWLRPAKESEEWEVIVPD DDGLDRLMSEWTAEQVVRGVSREGKWQRLWGSFEKGVLRADFFRYMSMFVKGGIYSDS DTMPISHPYLWGIVAPSILSPDLESLSKRIKEACSLTSIGPAKRGLVSSNPHESLHTP PNSTVDDVLTSYIPPYYTRFRRTPISRVQSIPTTILNPEISIVVAIEWDSMIGRTPGM WRQWTWWRFKRSWPDCCFPRGLEMVQNLLVSKPFHPIMLDTLATIAEVVDSGQAKELG PLELTGPGPFTDAVLRYLLVQYGVTPSDLRALRGPVRVGDVLILQEEAWHAPDKAIRQ LLCHVRSLGYDLLEKGQDPWLFGSGWKSWQSGGKKVSYHGLTGIWKGKGR L199_005063 MSFYGYGSQPPFHGASTSDGFYTERHVPGGGRTHYTRSSSAPGY IPRGASRARPRPATSNLRYNRRGQVDPNGHTIKEWQSRFGEWFDENYDASDRLTRDRI NMDFVDHITSGRAAEMNGYDKGNRRSTWSDSYRRPSHRSLIRSHRSHGSRWGCFISSV FQAVGDLFTSPSHGTTSLLDKGPGSTRAIYKKRGRSTGRGAFIRSRRR L199_005064 MALVRRRNTPAEDHRIHTDTSGSLILSSTTNMTRDSHRQRRRRN HWEAEGSIGRYHGPPHRTTEATIVHPDRLEILMRVETYHFLHGPGRMPNNRPSQAFHQ DFLTTLQINLTVPARIYQSTILRLKTIITTIQTTDGSTIGKITCFPYAYPPSGELSVS CRDAAVLGPARDVVGSIRQE L199_005065 MSSSGYSHSPFANGARPSHNHSSSDARPARSTRYDLDTIFRNFT RFFTDRYGHGCDQEGRTLQERLTELQYSIQAGRIDPGILEEGSRPDFYSGSRGASSGT DNTPASLRAQHRRHTFEGFLDTEGPDERHQAPSPTYHEYSDDEIYSDDDYEDFDDDDY DHVSGEEPRPQIIRRHVINPSGGPVRSATWSFSIGPESSARQGLDGSIHITSSMTSNG QSSSGHSHQHHFSLPPGLTGGYGESRPYLGRGYRLTGKNPRYHGGGSGRYPPY L199_005066 MGYHDDGTESYYGDEPDGEDPRGNRTSDFDSDFRAYHGTSRASH SNRNRTRKVSRRGPPDSSTARTFTRGTPDRRATDPTPGRKATVEDPLEHLKESKWAAI ANRHIDLPPPDQHQSSLSARSSFKTRGDGSRVATIGVHREADAKLVIELDEETFTVGL DGRSRCFTVPCTTLVYQMNTRVTYDTSSRSAEEEDQWLANLNVFQVLDKMYPRIPQII KEKMSEDAIEQALGWRDEVIPAPLDPTLLKTILVATLSTAPHQAAASLLGLKSGVNGP EQWEVVSYVPVVVHQAARAAGEFVRILW L199_005067 MESHFYPYGKSSRKPRGRRRTDCDVDETAGESNTQTDSEETERF DEYGYPSATGYTIDEMLQRFRQWASKNGMDLNELPDARLYEMMTNHLHSKRATPTSQG DDEWELPPSDDSHPAASHDEEEKRQAAELNSYIGLPPPYERQSALSRRSTTERHPTRG VTTRVGVRKNWDYELEIEFPEETIWANSPTGGSTDIRIPPTIIVYEISRERLSAESPK DRGHPSRRSSKATFPPPPRSAAPRAPPFCHNRGEPDCWGC L199_005068 MTTPAPTTTTCMINASGGITEFTEENTAYIRQGLQKSTPMLIRF RPSELPQNAEITLPLPDRNAMYYITPDKTNETVQDFIEYLNLPMIIDTLYGDNIIPET TRCMLFGQGEREASAWFYNNASRHSSYTVITPSSRLKSSGSGKSRRSRRIRW L199_005069 MALTNKKETYTPLVHKIVNYLSGMSDWLNDDKLSRCHLLKYISD HIDRPPSVLAKASLIFGFSVILLNPSDSSSSLTNAFGLIPASYKTLQFLQYTESSSNT PGLKKKENLVRGEKSKKWLDYWVIYSTTCIVEKAVGEDTVLSLIPLWYALKAGWIVWF LVGLLDDDVGNEARPEPVRLRPRSIIATKDSPSASSSTSSDDTSVSGGSPYPPSTGVP DTPTETETGSEESNISPDPESASSESPTAISPGQARELRAELAELDSPPLSRTPDLPK RADRAVTPVTGISSNPSRSDTSDDTGLSPQSKRGEQSDSEDSSSGSDEASGSDDSDNS DDVVLPAGTPLDELALAGKKTLELDTSDEEYQDGRHKYRRRSEKIIDVEEKEEGKVAP GSSQGDKHDKISWGEDLNQCKTNVKLAKTTPANTVDIEKTSDLTKDTDSNETKLAITD IPSAAHKAASDVKRSNENPNLDGEVVKLSMEELLTMSEGDKPHDEYTYLVDGGEKDKK EVMRGTPPLVVNRKAVIGKSGTVGKED L199_005070 MPSDPKSKSGSNSKTSSSSKKPSSSSSSSSSRKSLSSSSSSSRS SSSEPRDDRLTSSYLKSHSGFLRPLILSLSTTTTLSGTIISLNLLFIFLSLHLYLPLF LIPHLSAPITLLIPIQSTIQCIVSEKGKKKSDGAQWCLYWIIYCLTGWVRGAAQIWWP NYRGMVEIGRTSVLVLMGGPWFGRMGLRPESAGDRHSDSSSEKSSGSGSSGRSRSSKD EKRKKDEPKRSK L199_005071 MDYQYHQEGWGPLQQPFNTFPLYSSFRDTNISDNEGIGSSASLV HSDHEGFSQLPAYPISTIAHHINSGIDLYQPRYVPPLTEIPQTPVHSYIPAIPPSISP RDIHIPRYTGLEVAIPDSSFLPDSAASPLFTYAKKKDEQSIQADTDTESESDSDYGFG TIREVSRNLLNDLTSINSSISQTPCDNQTVQTDIVNSHPVSANPGIISAEGSSVPPSP IVSPALVNPPLVDVNNKKDPVKKRKGKLTLNRKKEIAVAQTPGCKKASSGRQVLLAKK AKPGRRPRPMKPVGGKKSSANVGKSKVKTVTKAAAAPESDSLISFHQSSMTNDDAPKS IWNSSSSSSRSKKSIKLAAASKPRRSSSGIISSPKSGKRKLPPQLSSKVQPKEVIGLG EEDHLSPIPPSPAFVADCSDGEDDDYCPSPFAAETDDELDYDSPPPSATRRKRARSQE EDDEEWTMGKKSNGKKKVKESTEVVESIGEAPPKERQLNKTRNRFYHPGRQEQNVRAQ SKYRNKIKARSDLVLEFAQEIFPKVRKTPSAKKLLAKLRDLDHAFATEKFGQL L199_005072 MDSSNVASGSTSSGGTVGFPPNPSANTYNPASTSLRSEENDNDT ISNLPHPGVNLGLPQLTHRNNSVSSLMDAVMGPNQSPQQTSPAHYLPTPTALKPTGSV SRSGLGHGATAGAAGGLGDWDILSDVTSRINGAGHGNGSGKPQVEEANVKELQEKVDR RRSQLPHLESQLAALEAQIKATEERLNRAAGSGGAGAISTGGLSNK L199_005073 MFVPKFPLLFIPFLLSVSAIPGLFSSDDSSSSSSSSSSSSSSSS DTSSTSTSIETEEVAFLQTLQAAQVSSMSCLITLVNLTSSPVGSCLGLTDLASLISNP QQNASFSTQLDTYLDNVCSQSCSDQDLNDAKGQIEGSCDTSNGLISVLNKVIERYSSS YKTVACQVYFNGTSDLCLPSALNTTDQANNNNFFDSLVTGTNLDQFTDSVFKGAKCTG CMYELFKAAQYTIPDIRGNDLTNILGSHLKNDCPNDPSNPGDINWDDVDNQQIPNSLQ VSQNTNPNGGSGKSSGGYRVSEIGLGMGYVVEGLVLLVGIVYWIKV L199_005074 MMSDRSTLDRASIPINKDRDKNSRHSARYRRLHAFGHDATETQT QDPSDNHSQGGLGLEKDDRSNFTRTDSIVSTTQSQSPSMLNTSRRTTTAHPEYHQDDT GHTNTNILRHPHSNRVFERSALLQEEGKPYDAPPGEYYDGGRYKRWNIVFYTYGRDGE EQSGKGHEVDEVEREMLSELENDYRTRGW L199_005075 MSASRLWAGGPSVPLVTAMNADESINYDALAKQTVRLAKAGLGI VLLGTNGEASHLSNEERRKCTVVVRKALDDNGFKDEPLLVGTGSGSAETTITVTKEAA EAGATHSIVITPGYFSFAMGRDRKAIKDFFKKVFDNSPIPVMIYNFPGAAAGIDLNSD EINELADHPNCFGVKLTCAMIGKGHRIAAYTQSEEFLAKRASNLKSQTVTGQFQVLPG FSESTLPALLSRHTGCITGTGNAIPKTIRKLWDTSVAGLKGDANALAEALKLQDRVAE ADWTIVKAGIPGTKYFLDHYVEKGLGGPARLPIGSITDDVKKLIEVDLKEAWEYEQSL L199_005076 MSNINPDRSTSTSNIIPHPASRLSPPPGTDLSHLYGQGFATAGP SDYNMISGGSSIPPQVQPGTSGIGGANAGSTSDNKKKKDDKDANVPKRGYRACVHCRL RKAKCDLGDVNAPSEPPCSRCRREQRDCVFLPSKRRRKTSTAAEGALREASVDPSQAE VYPAHGSTTSTTTTITNPNSSQHNPPQPQPQPIIRPPFENNPSTSEWDISSLSHIMQP QVDDTSRNQALYNHNPPSSSSSNWPDQYSQTQAGPSNTTSGLSSHTLSQNQMLPPPPS QTSLTPQHHPDTTTPGSMGESSVGSTTGLSPNQPSQHRAKKRRTVEPDGTRKIVNASL SNEMDALEILANAATDENGETDPNMPANKGKHPQQDGRSNGDTKRVSWDISEEASPVR ELEEFHLIKNGILNEETLQQLVNIYFRDHHPSLPIFQTSRIPRTREQLLDLAHNDSFL LTCIVAVASRHPVDPKYREIHDKTWPILRETMADYSFAGLPGSVGFVEGVLLLAEHLP RERAAPPKSYSLNMLSGPGTEVTGEHGTDNRRSWSLTGLAIRAAYLLGLDQIALEINE DERTPDVERVRSVWTWCYLYDRTIDKTGLAFWSRGPSLCFIGYSHISQTGEAAARFNF PLQLSPGNGNENEDSTHDDSASLMQALVELTQIMTNAHDILYPSKSRTAVLVRQGEYF LFLDHFRRALDSYRTIWKPKKWSNQTLQELSWMTYQFVRLYISSFGYSAHVKRAQWRA EAEAQAGRDGGRQSVQLFPRGSATSPDAIYIYESIGSANEIMAIALRLSQMGSLRFLP SRYLINISYAAVFALKSSYSGAVTGKDMIRIRELVDHVCAALVLACPDKDHPANKYGQ MLRMLSKKLEQLSDASAVPSRFPSPEPMSSTPLPAAHSEPTPLPWTLPTETLDTQPKP FQFPPFEFNFLPATNTTNNNPNNNGNNDSSTTPTHFQYNPTPAGGAGDYVSSLGLGLG AASANISQNSVNYNHNGNNGNGSDPAGGQEGLFDFDTNFDFDLKGFWDDFTLGEGSGF PFR L199_005077 MSDRGTSFQPLTYYPSETPAIFLPDEIDLSETILSNYRDSFSSS STRSKRPSILPKRDSLPPPPRHKSASSSRRTSAQSSPVTYSRTDGEGGIDFTKDPFEN AFHKAEWDIQDGMRPTHKDVAHEGPFEILQKDELNRIKTVSILEGRLKPSDRDINRNS NGLKLELWDKNDQKFFDNMEAGEWNVKDRKPVKTPKTPKKFIQDHFTLKTPRMPVPFT AKSLPIPVPIPPHINLPTPIMPIIHLLLVISHLVLSALLPYLLFKNFIQPLVLWIVTT VTVVLQCVYLLPGIFLELIGILRRRPVDSAWLQSGLHLIIMILSLAPHAAAVFLLIIA NQVPECPSALIYKPPNLPNFESHLRWSACEQLPKVTMIAMVNLVVVTCEIITTSIAVA VDYRIQRKEEKLRSIDMSEDERAIKKRRRRTWWKEKDEPMKVRRRRWTTGVGRLLWDI EGWVHRRQAQREEQKSV L199_005078 MLRTSALFSPRLTVPVDSSRRSYSFGRQNLTLATVRISVFNHRS RHEARAFKSSKAQSKKNAEHKARTAVRKAAVAGTVTGSPAEFTFLTENLPPLPRIQDE HLAAAAFDESSVEFLKLALLGDRLFEIAASKSLWNSCTTNTTLANGKQKLTTNRTFSR IAEAYDLPKKLRGSGPKPPVISEKKLGSALEALLGAAYLDAWKHGNEREPLRWAAQVL DMDRWSGLEEYMVQAESKHGRTGDYAIAAKGDIWALDQVRGGIRPLEGNTAVSTTTSK SIFSRILGLIWPATSSQTEKPTKKPPVTLSTLLRRRRLSQETVLSPFNIPNTATANDI TSPGVHVQTPVESEALLRNLSDKDSNTYQQKGLLQLYKFLRQALSVYNHSKESLEQIT GLLVSSQTLSHIGHHYGFSTQNDESRRSQTETARQFARYFAMLIKDRKGKNEDQSLLS WMRKVFSKGVFPTIDGSALSESTTLGVKLHTSQPPQASASKPISSPPSFVSPERAETE KIMDILSDLEQRLHRVEKSVFSLQTPTVNTPTVDRHIEQPSPSQQAVLIPSESLNMEA GITPTISNAPYETNLAPRSWRLVTPPTEWATPIIQVNLSELLPLPDALRGKIYNDFKR KYSSYEESVKAGRCWFQTNMSKIIGPQCQHEATLKYVLKHHTDLAVTSYLAKHYNLEP LVTNGRITGGDQQLDYSEMFFGMIGRLRQNVDGPDSQKWLSALTSPMAWPHIKKLAAA FEQSGKRQRHGVTIEGEKSAFASTTSTKLLEDTNKKSKRLPVSTKPSASLDVRADQPV TYTSADTINRNWATAGIRFDPDKLPTLYRNEHVTIDVDFFAQSDEEMDEARRVWHDTV FEHIKGSLKKYTVSEPAIMVATCRLISNRTLSYLAFHYCLFDPSKSLPSQRDMAQRMR KYVALLLDQSAEHGSRQELMSWFDRLWAVEAWPTLQDVILEAQNRQSFDFDVRTSEMS ALATGNNGNESYTLSPPEPRQGDELNPPTSSGGLKESDLSIAEGETVNPGMRQRTISI DTLQLPPPLTEVSIDDSELYEILEPDSEELVCSDYRTNMDFLTQSLIGRWEEEDAILL ASKTLLETGSLVPLPSLYGLDRDQEEQLLAPKVSAQLFRLYTALLLKRAAKESRMIKL IIEDWLPEGATSTHHIWAQADENNVPPDALLREFEELAKDDAISTSSKQAKALSIKQE ADPNQPARE L199_005079 MSASQPQPLGTSTAATDISTQQATYLPPSELAAKYNKGIHRPIT VQPHASASQPGSTKIKSIENFYVRPRWLFVRVETEGGVVGWGEGTLEGHTEAVQGSLK DITRRLVGWDAMNIEEIYSYLYRHRFYRGGEVLMSAMSGVDIALWDIKGKVLGVPVWE LLGGKIRERCDVYGWVGGDRPSDVLEQAKVRKAQGFTRVKMNATESIGWLDSPHALDE TVKRLAEVKSIGIDAGLDFHGRVHKGMAKQLAAALEPHRPLFIEEPLLPGYVNELKDL YNKTTIPIALGERLFTRLDCRPYFEAGCIDIIQPDIAHAGGISETKKIAIMAEAYDIG VAPHCPLGPLAFAASLHVGFSTPNFVVCEMSWKMHYNTGGFDLFTYMTNPEVFKVEGG SVGLLTTPGLGIELNEDLIRKEAAEAEKLEPWINPLFRGDDGMIREW L199_005080 MSSLHEDTYKEAEKHMEDVDQPYLGDNVDRHLAVQVPESLRGLS EDEIAKIDKAATRKIDILLMPTLVALYILNYLDRQNISSAKIAGISKDLGLTTTDYNT AVSVLFAGYVSLQVPSNMLASKIAYPGIYICIMCAVWGVISACTGAVHSFAGLAVCRT FLGFAEAAFFPGAVYLVSTFYTKRSMALRTAILYSGSQIGNAFGGLFALAILELDGAH GLEGWRWLFIVEGVLTVGLAAIFATFIPNKPQTVRWLTPQERDRLQYRLELDRGSKDA TDEVSVWTAFKMAVTDPKTWLLCACLQANYIAASVTNFFPVVVNTLGFNRTITLAITC PPYVLCCIAVIVNGWHSDKKSERTLHIIVPFIFTVLGNIIAVATTNVAARYFAMCILP SSFYSASIVILSWISSSVTGPAVKRAIVYAVINALCNTPNIWTSYLYFNAPKYTAAFG VDLAASVLLIIAAASTHLYLKRQNARLERGEDTGKHGPSAVQIEAGFRYQL L199_005081 MTTTFAFITFFNILYLLSVLTSATAVHGDHNHVNVNKRHRNLAK SLHQPTSRAAPENQVVYTPDTSLHFEYPRRNFNVNSNKYKKLTKLLPKILKNANSITT HSWELGCFTETLLEVYNPSLTPFEWDGEYGSGGGKCEKLELGEIPWNVLKIAKNSLIA YDWMGSPSSSSNGTTKSSSHLQDYLFNSTSPVPHNSQALINGDGALGDPVSLVPAIWI LSQFSKNHLVKLGLGGKSAEDYSWALGNQLDYLFSGPKAPTNNTISQREASFELWADM MYMIPPSLSYLGLSLSSEEYLKYGLAQWDGETTALLDTTVNIYRHIHDWDARLWATGN GWGVYGGIRSLYSVKASPFASTLTQQITEAESTLASVFEGLFNELDSQYLIPNYLRQD NQTLAVGDTAGTALVVAAYYRFLKICPDKVNDHLTKLAERAFDAVVAKIDKDGWVTHA VDPMGTYGWVVYPDDPNMHSPEAQAFAAKMWKARTEAGA L199_005082 MDKWAPIAPSKPGGPSNSHNLSSRFASVLKPSKPSARGSVSSGP LVGRWPENVILRIIEFLPVPDLPNVARANRSLARLVKDERGWEVRCKWLGLSPDNSTT SPRKNSTQKPLPTQTPKKSSFSIPTKSRASIDDDFGDFSSQANNNNTNNNDVFEEVDF GDFEAAKPNGSFTGSSKGNNLLDFDDLPLPSNSKSITGGQQKTGFFALIPTPTKPKFS SSSSFSSFSAAALGNPPGPYYNSYKNHHLSMIPYCNHLRSSPSPSSTLSLLFPPNRPS SLDEQSTLLLSLLLFLSSKLQPLRDWGFLRQALLAAADRFDSTCLVAFEVSDSKKDES GMRLAAESSWKVWDAGGGSRDQWECGRVWVEKREVFYDTARWDSSENIVKVQTTTGAT TRQLDFTPMDAFISHVLEAFRMDAEFAKRVFPQNARVILSFCDRVASDVIGEYIHPLL SQARAVSQDLFLQATAATFVQAWKLVDLVMEVLGNDQTTISKTQVEDTVFRMFEQHLD EYLDDETERVKHHLEDICKAWDQQLGATDPGQKRSHGSGPTFLTSENPDQVKRNVLAG FKDALLLPVTIVPRTVTFATNAIVTGSTQAVSGLAMLNPQKWTGSGSNGKLVKKEGAG EEGGDVVFEVPDSAENQEKFEENDDDGNGINEKNDSSLSVPNDDDTTRTMTPNPEGPK EDNSFDKLQLLVSLDTALELIQADRDSLKRAETFAKYPGRPGLHVREAIEEIFILLLK AAGDRHIAPGFRIATYQMSTYKPSEHETTTSVAPLLQFFELVHIGDTIQSMIQVYFDK ELSPYVDKTDFLNAVMREKKRFESVLDDAVAAGLNAGIEVLMNQVEHIIITKTGPREY YPVEGTPLELGPTKGCQEAIKCLEMHCNLLRGSTSKEVLEVFYQEVGIRLQAILQRHL KRQIISLEGGFQIIADLNTYHAFVASLKQQRITEDFANLKMLGHVYIVSDAKDLAQIV RDVTRYGGTFTPEDIYEFIQRRSDWKKIEKTVDKAMYALSVREDCTIM L199_005083 MKLSILLTLLPILAVSSAAPAQESSKSISSLKVQNGTSTSSRAS TSTIDNSSRRSSITSVKSSVISSSSNKVISASKASSSSSTSKSSSTTTSKAPSSSSTS KAPSSTLKSSSSTSKVSSTTTGRSSSSSSASKSSSVLSTSKSTSLTSIVRVASSSVKV SASSPTDKVSSTSLIKTSSTTRQIKASSSSAKVSTSSSLVKNSVPSSSISKSSSTTIP TSKAVSSSSVKPVWSTTQVLTSSVRSSTSSTTVKTSTTSATSKSSTPSSTIKPSSSTI KSSTSLSTSAVRTSSSSMKPSTTTSASTFKSSTSTSTTRASTSTAKTSTSSSSIRPAS SSISTSKLASITTSSTKPISSSVSNTLKSTSTSSAAQTTSSSPSAVGLGLSSASYSKL ATTKGLGWYYNWSPSGFSGMTAEFVPMIWGEGSIDPWDGTVPSGSSHILGFNEPDQSW QVGGSDMNVTYAATLHQKWTNKLKDKTVKIGSPAVARGGEWWFNGWITACNGQCKFDF VPIHFYGTNANDLISYIKSFPAQSKPIWLTEVACLDFSSGQICTLDQNKQFMQTAITW FRSTEGLKYIQRWSWFGAFPDLYDKPYGLENQDSTLNDLGKCYLSL L199_005084 MIRTVRQTTIPIHTLRLTRSYASPALASSPSSSSKSGLSPLAAA AAKEVSDRWRGTSAVGGNTKNYIGGEFKESKAEKWLDVNDPSTQTLLTKVPETTSSEF TEAVDAASQAFKTWGKTSVMRRQRVMFELQHLIRQHSSDIAKSIVLEQGKTFADALGD VGRGLQVVESATAITNTLLGDKLEVSADMDTFSRRLPLGVTAAITPFNFPAMIVLWSA ALATVTGNTLIVKPSERDPGATMIIAELCERAGIPPGVINVVHGSAPTVNRICDDPAI KAISFVGGDKAGEHIYNRATPLGKRVQANLGAKNHCVIMPDANKNLSLNAVAGAAFGA AGQRCMALSVAIFVGTARQWIPELLERAKALKVSGGFEENTDLGPVISPQAKAKIEHY IASVEKEGGKILLDGRGLTVPEYPNGNFVGPTVVEATVDMTAYKNEIFGPVLTIVEAD TLDDAIEIINQNKYGNGASIFTNSGSTARKFELEAEPGQIGVNVAVPVPLPMFNWSGN KGSFKGDIPFYGKSGIDFYTYRKTTTSLWPAADAVGNRASVHMPQIH L199_005085 MSQVTPTQIPIPIPFRQPGDIECWGHRGASAHLPENTLASFRAA IKEGCDGIESDVHATSDGVILMFHDPTLDRTTTGKGLIKNQPWKGVIEHVRTTKEPIQ PIPLFEELIALLMEPENRHVTLNIDCKMQNDPEKLFPEMARIISKYPNHSTLLSPRLI LGLWHPLFIPPSMKYLPNCTRYHIGFSLPIIREYFWDHCSGFSLAFSALMNSTGQAFL NECREKGKEVTVWTVNHELEMKTAIGWGVKAVLTDRVGRFVELKNEIIDDPSKLNITG LSKLTFPWSSWRYYTLAHPYILRTQLDVMRTMCYQPGPIEKPDLSEFSMVNDADQHET ELPSTSPDQPLSASTIGKIDSGVEDIRLETSDMKGNGLLGKVAA L199_005086 MPFGFSHGPLVSLANSAICSFFRQIEVYGAENVPDHGPIIFASS HANMALDPAVLSNTIPHGHFLHYWVKDSLFKNPAVGALLRNAGNIAVDRKTKNNQMLF RGTFEALALGESIGVFPEGTSHTEPHMIPLKDGTSWAALEYVRYLKGTEENAGPKKGR KAVVIPVGIAYVDKRKYRSRVVVHYGEPISMDQFEAQFLSEQEGESKLAVKRLTWMID IELKKMTVNAPDWDTAFAAQMARELLWVRQDDLALKDYVQVSQTLVDLFTTPTESIQS LKTLLATYHRLLTSSRLSNSVLYGISLSSTLDPSIPVSLPNRFSTLFYFIKDTLIVLF HLPFFIVPMLIHIPIYVVGYLGASLVEEELETQAQMKVVFGLLLSLITYPILFFVLWS VLKGLALGVVLAAVTVWGLGRYHGALIDENYNAMKRLIASWRLLIGIWTPRTSEFPLP SFLESYKSFAPDPPKVAGLPPTTKPEKYVKPKKLPSRVLVKHVLRTRLEAAKQLAKVL LELEARDEQVNASFWLAEEFSGQVLEVPEDEKGLNEWERNLPRARRSGKEVVGFLRGR GARLGINRDEEGHWVGSSGGEGEPESDV L199_005087 MSQKDLDAAVLAYLVKRGFTKTVKALEKESGVKAGEQGKLEAVW NASQIKNEDVEMSSSSSESSSSESESESESEDEGKKNVKVAAAVPLPASSSGSSSSSS SSSHESESESESGSESDSSSSNSSSSSSSSSSSSSSSSSTSKSSSSSSSAKPEPASAL SSVTLKGDSPAPAKSSSSSSSSSSSSSSSGSDSDSDSSSSSSESDSESEENDSEKSVK KFLDVEASESESGSESDSESSDSESESDSDSSSSLSSSDSESESEKEEAKPVIGQKRK APSASSSSSSSSSSSSSSSSSSSSDSSSSSESESESDSESTPAVTVVTTKKRKLEDGT EAITSTSTTTTPAHHHHHHPSRAQNFDTPGSSAAGTPTGSGKKQRIQGQRFERIKMDN VTYHHDGLKDNSFAAREAAGANPNDYGARASRDLIVTRGAGFRKEKNKKKRGSYVGGE ITLATHSIKFDD L199_005088 MSSGSTPTTATPEELYRNSPDGNFPWVVQKYGGTSVGKSLDSIT KIVESYISNKTNVAIVCSARSSHTKALGTTNLLLQASREALAPPSSSSLSEKSGSTTP FFPKRVGSGFFGKDALSSSISSLTQLDLNNTRSSSPSPFTSSSNRSRTPPKSPTAQED EPNEEEEGFHKTVDLIKKGHLEAARNSLKAGPLRDELEEEIERDCESLRGFLYAAQII DEISPRSQDSIVGTGERLACKIVAAALRDRGVDSELVVLDNIVDSSFAAVGGDAALTA AGDQGVAQLGQDFYDHLAVKLGERLKECGQRVPVVTGYFGPVPGSLLAQIGRGYTDLC AALCAVGLKASELQVWKEVDGIFTADPRKVKSARLVPIITPDEAAELTYYGSEVIHPF TMEQVIRARIPIRIKNVENPSGGGTIIYPDESFPRSLQTEKPPQAELTIEERMPTAVT IKDSIIVLNIHSNRKTLSHGFLARIFGTLDRAGVVVDLISTSEVHVSMAMQSFTHRHR LTRLLSDLEKIGDVSVSKEMAILSLVGRNMRNAIGSAGAMFTALAKAGVNIEMISQGA SEINISCVIEEKDAIKALNVIHDSCLTYPPSPARFSEGLQLKA L199_005089 MSDDTNNDAPPHTTIDDCDVNWQYMGDTWGTNHTDDPLVGDYHH GTFTSTTIHRASARLCWTGTNAEILGAKRNNHALYTVTVDNGEIEWLNGYSEKAQIQA TLYKTEGLEWGNHQITLTSMPKTNMTAKDHIWFDIDYAEIDGWPIDCKDLPENTVLPP TGTIAPALSTTYPASNATPANSTETGTGNSTSSTISSFHTNSTATLIKAPLATSNGTA TLANSTAVISPTTTIRMSSPSSSVQASGVSSNSTTTSSGASSLTHSRISNGIHCQLAL IGMVGIYLFKWIF L199_005090 MSSPSSPAGPSGQHAMKRVVHSKHSSKTKMEKFTFTLGKLDAGM AILLGPNAHLLEFPSLLLPTPSPGAPPLGPGSILTITVSRDVSAELAAQQHFHDLQTE ILSTFSTPPSSPVLKLRNVTQTSVCVEWEKLNIGSAAWRGLEMYRNGQRWGRVGGDFG QGKKEKREWKTGGLQSGEEYTFQLVLKTTAGTYPSNLIRVRTHTMDNLTGLLIHFGPI HPPQLLSQLREGLQQIGARESPTVALDTTHFVCTTPIVGGDESGRGGQIDPEYQEAAR MNLPVVGPGWLMAVAAERKLVPISNYLLPSLPQASTNTSDPAPFRRPSPQKRSSLPFT SSSPTSPHHENPEEIRRSPSPETIARMSMHASSTRPNVSRNGSMEGRRSREMSLDVDT GRPRSPKPEADGKLDRGFKFPLSSSVSNSPAQSPTTSDPRRSSSPSKRATAPVVPSVQ EIHSKEGEQSTQPTIEEATPRSKQTSLPTIEDVPKTSEQTVQPTVDPVGGGAVVDAIP EPSGPTAETGPPRKSEAEDEVEKVEVPVITPVGESTSITEATPAIVEEPSEIPQVSTA SGPPPVVDEEAAPTNVNAKASTIDEAVANFESAIAKTPEPESIAEPSKVDSPVIKASE EPKLERVEDKAVPAAAIPVDLSTQRNEPENANATKGSNAVTPEPSSTTTPRPEVGAEG VSTSSSSKNKKKKNKKKKSSASTSGLTPASSNPGTGRNTPTSDPVEEGDEGMDEIDLS L199_005091 MVNDTVKIAIVVASPIIFFTLLFLSAYLYRRHRTPFRKGSFRRK SQRQSTELPCTSTDKGLVRDPTKEETRFPHCHLCWEDHRSHNIHDRQSPIPDPMDSQN TLVNNSKIQINVEPDSHDRSVPNSIGDQFKIAQPHFEEKRLSIRSISINPLAPDEYTR VNSFCSSLNQNAKTSSELRPQRASHGGMVDHLEPGSIDLGKQRSSSSLCIPDKVSMRR INVGGEEDTLNIPKGCTLDDDWEDIPIRRSFTENQRDTSQELPTIVTSFSPLSYRTPI ASDKSGQGEESGEDLPSPHPFSAASLRRSSFISQPPMQFPIPHIKLPEKVIQKERQNR PGSLNIPSHTTSSPSQFISLSGITYVDGNGGRSDSQSLNMAVRSASSTQAIKSCVTPL PKLSVDTCSSFGHDPADGTQLQRAKYFEDGWNGFADQDRACTPRGKEGTRGRLIPKIE IPVSPTTPTTYITNDLPASIMDQAGEGSKVAQSQVQGDSKRNDTSADLKLSNDKPNYH KRTPSQLTISSPNQTPNNHKKAPSNASCVTYLSDVVKEKEEEEEIQRRSVYSTPEELY TPEGGLAGKRSNWTEQNGKVKICPLSRSRDRPASNGIQSALATGLGVGMVVGMSQGEM H L199_005092 MTTIPRPNLFSRIWTFYTTSLRERPLRTKMVQSGVLYISADLVA QLGIEGKSIRRSMEGEQGEEVYDPIRTARLSIYGSTVFAPLAHYWLNNVERIALSSKL KTLVAKLSLDICLWSPFVTFMFPTCLGLLEGKSIDEVRKKVAHGWFPTWQKAVCVFGP TQIINFSLVPTQHRLLTVQSVGLCWNIFLSWQNNRNNKLLAAAQEHLLNAQEHMLDMG VRPEHVTRVELTEEEVRSKRDLELAEKEVEDAEKRRKGLKDQAGEMGVGVKMAWS L199_005093 MIRSVFPCSKVLNRPRVLPRAIISPSRFTTPLLSQVRLYNHEPY PTPKHDLKSVPSKGDHAESRITPYKKGKVWVDAKHAIQDIKSGATVLSAGFGLCGTAE TIITAIHERKDLQDLMVVSNNAGNAGTAGLSPLVTSGQIQRMILSYLGTNKGLMANYI NGKISLELSPQGTIAERLRAAGAGMPGIYTRSGVGTFIETGGIPRRFSAPDSEGKQTV VQEGVKKEVREIDGKKYIFEPAIHGDVAILRAWKVDRAGNCVFRYTTKAFGPLVAKAA KLTIVEAENIVEIGEIGAMDIDLPGIYVDRIVPATVDKQIEIKTTREESENVSPSSGD EGHKSESPQVEKAKEARERIAKRASKELYDGAYVNLGVGIPVLAANYLPEGQKVWIQS ENGILGMGPYPLEDEVDADIINAGKETVTLVPGASVFDSSESFGMIRGGHVDVSILGA MEVSANGDLANYMIPGKLIKGMGGAMDLVSNPDSTKIVVVTTHVDKHGKPKVLESCSL PLTGVAVVSRIITDLAVFDVDRANSNGGGLTLIEVAEGVTVEEVKEKTGASFKIAKHL GRF L199_005094 MQLNEKEVSKRQTEEATFATEQDDEIISLHSSDAESIGEFPHYF SMPIVATANEHFLRVVENTAQLRISDPESDAEVGTQPERGHRRPHGHGHGYGHGHHGP RHHMPAPPFGGRYSPFHPGGLGEHMFLPPPPGFGPHGHELGHRSRHGPYGRPPHPPPP FEDDFGFDSHFFGPHPHDGHEHGYRRGPSGRRPPPPPVQEGEFDNHFFGPPPHGHGHG HGHGRSDRRRPSPPPGFAAQNADHPFESGPPPPHPHHRHGKEHKHKHKHRHARAHSPP GSRWERQHHHHSHRSHKRDEPGYDLEPHHNEEKKSQPEGDSDEKEDQQQIASSSSSES TSASESESDSIPPPPPFPHHRHMRGRGGFGGRGRGRGGHFPGMPEHHHHRRGHGAYGP AGRPGPPPDMNDFPPHHMGCHFHHGARGRDGFGFGYGHPGFTVA L199_005095 MAKGPIHLPVHSSDHSPERGSARRSWPLVVLGLLATAAVMSSWP VGVNQLSSKVNLPSFKGTSEMRKGTCEQAEPILPDGINVTKVWEDKEIIIKRLQEAIR IPTQMYDEMGPVDEDPRWKIFAEFHDFLERTFPNVYEHAQVTKTDWALVYEIEGSDSS LKPLMLTAHQDVVPVLPETVNQWTHDPFSGDYDGTSIHGRGSSDTKSSLIAVMSALEH LFGTTDFKPRRPIILAFGSDEERGGQTGAPAIAKYLLEKYGKNSMSLLIDEGNGLVET WGQQFATPAVAEKGHVDIGLTVSTLGGHSSVPPPHTAIGLISLLIAQLEAHPHEPTIS AKSPIYEFMTCAATFAESMPAKLKKLVIKAEKGSKKAWKDLPLEIISTGMDGSSHGPG QGDPLRSLLTTTQAVDIINGGLKVNALPESVKAIINHRINVLSNHVELQSRIETLLLP VAETYNLTLNGFDGTTVFSGSPNSKVDLDLAFGYFTDPAPHSPVTLDDPAWNVLAGTS RGVWASRKEVSKDGKIVELEKGKDLVMAPFMSTGNTDTRRYLDLTPNIYRYRYTPMSG GAGAHTINEYSNANDLIEFTRFYQAIILNMDQSEEVA L199_005096 MAKSFQDCVILFGDSLTERQDVPQALFERMCQAYARKLDILNRG FGGYTTTGSLPLFEKLFAKKGDDASRVRLVTLWFGTNDSVHFPNPRATHPAQFKKNYE IILDHLTSPNSPYSISQTPVHILLITPPPPYLPQVPLPAKWVRSEERSLEFVNAVRGL GRDYKAKENGTWSIEVLDLWEAMKVKAGGLGDGLGPFFHDGCHMTPEGYGVLWEEYRK IVKGAWKGHGLDWEDEDDLPMRVPSVRTIDHTRPDSVLELLGLPSYR L199_005097 MYRLPFLVFLAITSAAPAPSKRKNCSTKSQSTDTAPAIVGALQQ NESAVVLPTGTEGVTPYISGTVLPESKSGSDVVIGAWDRGGWSGRNKPQSTSAGTAAP TTPPATAAASAPTSIASQAAPPASQAVSSPASQPVAPASSQVISSPAASPISAAPSST AVSTPTSGGGGQTGLGLDDTSWSQLTNAQGLDWYWNWSYKPFEGMQAEFVACIWGEVM ANEFIGLGTGGLSQGVQYVMSFNEPDMGADVGGSNIPDVAHAASLHQQWTANVPEGVK IGAPAVARGGDVKWFTPWVTACGGQCKYDFVPIHFYGVVVEDLFEYIKSFPTGGKPIW VTEFDCQDFSTGEVCDEKKQTDFMDRAIAWFKGEGSAYVERWAWFGALPKFSETTFGL LDKGGALNEFGAHYLSL L199_005098 MTSLASSPPPSSPPLGASSPPPVPMTIDSPNLPPLESDPTEPKT APKAKSGSGNKKKRKSKALVEEVDDEIGDLLGEEELNTPGPSNGNGGKGKTQKVKKEQ SQVDEEMDELQDQEVQAEGEAGGGSFKCEWGECDNVSGTHDRLIEHVKEDHINALKDS FICEWINCPRTGQKQASRHSLSTHMRMHTGERPYACTYAGCPKSFTRSDALQKHIKSQ HLERPAPPPKPTPTPTQSGKSSKGKSKSRHPPIVSTPLTRAPLGVPPPSDEDLLLDED LAEVLPRIRNRDHLKPTTDEVEVLSYLRSIFPREVLDPKDPIPESLDDPPAELGVPEE AQLLQSIPDPDLPGGELDLLGRSEWQARYIMIKARLMLVEEENNMRRLELVREFQKDG HPVDVEQMV L199_005099 MQAINDTVQSIAEKVVGPTKLPGPEDWLNADETEKIEPDEDEKI LRVGELVHDMQRHNFDAHQHKFRGTHVKSLAFVKGTFTVNPSLPPYLRHGLFSGSGKS YDCIGRYANEPSFILPDTTSAPRGFSLKVFDVHGDRLSPSPDGSTNHGTQDFLFNNAP IVELTDLNTTIEIFELRTKYFDSPTKLQVELAKRNDRLKQFAPAMLPNEYVVGSTFYS QSAFKFGPYACKLSLIPIHSSQEQYKNQTIPKDSSTTFHRDHLREYYSANSTEYAFRV QFCSDLSNQPVEDASVEWKESLAPWYNLATVKFDKQDTFSDERRVWWEDKIGLSPWDG LKDHRPLGSINRLRHKAYEMSRQYRAKGNQSEVYLPLSVNDMPN L199_005100 MIFPDRTSFTPLSTIPLPSSQILHPGSCNPSMDLIVLLSLPNAS ASTNGNSWKGKGKEIGSKTQISLWRTGGSKVWQVGVEGDVQGLAWNEDGLILSLLSSS SNGNLVHHLSVHTGEIIRSVPLPSDLIDKNRKGRWVEMKWTVSQSGWAKVKNGSGLDI VDSLPTVTPVDPPKPPSQLPFMRQTALSQTSPKPTIHSSLSTFPALLPSIIPLQPDIL QIESSEYSLLTGTFALPSNSQPTEEILQLSKISDKIVHLLNIILRGIENAEIHFREGE KQTMICREDLETCAKQQAMSIEDVHSDLFRFLMTGRSGVAVNEWMGNRLTGRTITKWD QTLDTSFRTIQNLIIESISPALERLILLLEEMRGWSRTPKNQTQLHLNENDITKAIDL MLGFAKTVEGMRRDAEHELKAASEFMKWLKYEIARAVSQDPSSDDLPLPTHDLKSVWS FMLNGFVRSSFHDHFPYLNVRPPEDTLPSDHATYPRISTRSFDHVLRETSQALKIDHP SHSAAVDTPSVQANESLDSENTSMDMSISMTHETQDDDGDLTPTGILSDDDEEEDEGI SRFSSPDTVGNKYALPEEDEQEMKRFMEKEPWVWANTLIRDLEGLVRSAVGGVEGDGA GRIERDNELELNLRDKRLVDGGLWEVVIREQDDIQRLWLLNINNANESLTISKFATFS ISEARCLSIRFFDDEEIVLLMESENGRHLTTFRYPDISDEMSELPAKREWRLVDVLRE YRDAFEVAPSIPISRSRYLGPTPQSDDIPGRDPEIALNGRKGRRLGCILYEDGKEVQV WDLDVDEDDEEEEEGEEMDGVEE L199_005101 MPPKSSNLHHITFHFYKSAVLLSLPSNTTITSLKTQLLPALSPL SSTIPVDLPTSISGLELWEDNSLIEGQDQTDKDIQKLTEGSKTIAQLGWDRWKTIYVS FKNPDGSFSKPIYTVPDVEDEEPDGSEI L199_005102 MQSQPEASTSSYTPPLELESEIWGSIPSIENENKSGTTDGSPLS SPEIGGRRTLRSRKNVETPRMTHPLSTLITPPTIHTDVLGDVSRPNLKRLTSETERQV AESSTSGSLRGSLDLLRMTPTDGGGEGEEREVEVLIHTIKSNESLAGIALLYGIDLAT LRKSNKLWSSDPLHIRTHLYVPLEACRWNKAKEILVRGPGEGQVTLMPKTNRDKGKGK EVYNLNGPISQNGLSHIMDLSDSPPSASTSTLSLASTFLPSTNHSYTQESSSPTATEP LVNEQTPTPRVLDVVRIPSSQLRFFPKPKPDKPPDRTSSDYFVSGSKYSPGSNTNTNT LSSPLSRNGRPSGEIERKSIEDTLHGISNHRRNSQNHESPNVDGPTIINDLSTLPPPL RPTPTSPIHPSSSSSSISKGRSKSTIVKIRPPIIQTSSQHQRNYSNGMSLNMEGIKGS IKDFFTVPPPPPSNYGTLGRSKPRNADGQRERMVSSPSTLSLGQNSPISKSNGSPING NGKVLRKQESMELKTRYSDLGLDLGLGGLGINVGQPSSTKGGVGRKDSSGGGKGDKQD L199_005103 MVLPKTISSPSPLDPLLLLPIPSPLPPSPQPDLDPLVNSITSHI DSTEGQIPITVLTSAIRQITRKSQILLNAARVNAAQARDALDNVDEELREVEYESTRV NDEMRKCSEYVPAYEEMDLPSIEEFFSSADESILSSLPSKDGEEYENQLMIARLEHEL QEIEKRENQVNQLSKQRDNLIKTKKEIKMKFDAVDVHLGGFSRSANAVASKLKDVADI PSAKIPQISLEGESTSST L199_005104 MAISATLKPTTILDELSNLWCQANDQTEIPLVTLILGIHHSRTY STAANLSVTRSTTEEGAMHVMPTIGRLFPKLHNLFITSFTGDRYFFCDIPFTIEDPLK IPVKSNDPDVDFVGYLSNLRFSTNLRYLDCGISFLPGANPPVTPDARASVSKKVFIDQ LRSFDKASDEYQEHLRKFRNRLRDLIGIVLLHLPSLQGGAFWEPTVGDTYPESWLRWS WSIEAETETPKDDSDAHGKRRKDGGSCVVVDTKPICFNYPFMLARNGDSIPSSPSRSF LTNTQGVEEGKKQDRDGDISRWEEDDNW L199_005105 MSSSTSQATRKLTEADLRGADPTTYSPPPPKNWRLLNRQARPQY YEGWKTALEKVSSEGELGGIEPSRIPYIAPVHAGELLVSLGIKPGLTQRPYIQRWTLD DIVDYTNTVKGRIRGLTDEKSWCAEDNETYKDLYDNKLSKDLFFLRKRENLRADGGGG IAKIADRRKIMEDIHFLSYYDSLRQTHTAIPSIMEDVTSQVQNRIRTILKEDHTSGRQ KTLKVLKDFVDTRKEYLNSLYQGDKITLDRLSDKTQEFRDLLDSFDKDANARTMKYLV NSGKIMLDYIPFTDLGSMGIGVQQLVENPSTIARGNERRGDDFKRQTHTHHTNTSFGI SRDTMTEPEMRDIARHTLQESLDNFKYNPDRINVKSEGEVITRDAMRLVHDVEMLYSD WVENYKVENGLDDNDVIEHINKHRSKDKSHTEEEATKAANLRKTQGQFVHSIKDYRST AQNVVDTIISKINDRESELDQYNDENQDDMVTSRMVGVFLNKLKADRDRISSLALPLR DHSKTFFSKEKKRLHNSSSGYISKSKDLFEPLRGALSENVPTWEQFLGLGEEDRQKAH VALTARTDNGKTTNPDSVVKSEESITDTVSQAEVVDSLVNSSEDGSQDGSMAGKLSWA DIDEDEDKVWQAQFGSPGQISSI L199_005106 MPVPDDKRLPVTLLSGFLGSGKTTLLSYILKSKDHGLRCAVIVN DMGALNIDASLIKNHKLTQKEEKVVQMQNGCICCTLRADLLEEVANLAEMGSFDYLII ESSGISEPIQVAETFTPEFAESIEGTSVEEIEESLPADDGTTPEARRRLAKLIAEGGL SKVAKLDTCVSMVDCTTFMDDFDTTDFLTDRHGKEVDPEDERNITDLLTDQIEFANVI LLNKTDMVSKEQIAKIENLVKTLNPGAKIIRTSYSKVDLKEILNTNLFDFAKAATGAG WLQSLRENTLMEITDSKGVKKMVPKPETLEYGISSFVYTARRPFHPHRLWELLSSPFC IIQSAEEEEEEEEDGEEGEGEGEDEDVEMDEEKLKAQRLEEMKAEKEALDLPSKVEFK RESKVWKGVLRSKGWIWLATRPNLHGEWSQAGVMCTIDGGGPWMCKIPEDQWPGGGDQ ETTDAIKLDFMGEWGDRRQELVFIGQELDQELIRETMDQVLLNDDEWAQWEKIMKSRR LSDEKKNEKLFNLFDDGWEAWPEPIEDDEEEGEEGHNHPHAGHNHSAVPKKKAKISGE L199_005107 MSQNILDDASDLFQYGGDTWGVDHTDDPFTPQYQEGTFHTTTVH MAWSRVCWEGTGIKIHGAKRDNHGLYAVSIDNAEPEVLDGYSKKEQIKAELYVADGLE WGQHQLTIWNVNKFNFIKNHIWLDVDFATIDGNAISCDGLPEITAVPQDPGNSTSSVS VTSAGSNTTSNSTISAFTVDPTTTNTVGLTTILSSSVSPTTTVQLSLTTSSSASNSLA SISDSTAPSASSTGASNGAQRWDAPGIEGQIAIAGMIGYYMFRIFM L199_005108 MSSTSSRGKQPCDHRHDHHHPGEIELDQLGDDTSIVPPPLTHRE TTWSSTGQTRVNTCDFADYPESSKGIEAIKFSDFNDVELRELGDMVSPLPTHCHTASS AAHNSRGRTCFNDDSQTRQETLDQIAPAMSSNSDEPIGMLTASWQWRQGQSYSKKYLQ TKLDEPAIRRDTYLGVLPRWRGIADKYKQVADNISTSKYQNGSDTAQFRYLDMEEHKA RDTYREASKAWDTCMSELYGRSMDAAVRITAKMLTSIKKEQKKRYGQSTDIDDEVLTK TWKDAMYTHFDETLSDFLMTWDHEKPVKKRELEKADEQVRKLRELHHALNYGTISMSG DRDSITCTWTSRTIEERGLPGPGILFGREPKDKSRIVAETGWTWKDGEVTFLSAD L199_005109 MCWCQGHKQEEWHLKTLYLRVTGSKKLDDHEKGMISVIKVIGVL WPRLEDLPLGRFEEEVGKMMDGLPTIGTSTPTGEWECHLVPTGTGDSDTPYSLQESLD EMALPETLRIIDLCIVLKIPPKRPSTIIPRQRDYYCRNPFKAMMALLQRHHVMIFLPN LQEGSVWEIHKSVDGREDGWLRWSWRKTPQPHESDPALATSNIFVGISPVLYTKCFTS NYRSGGIGRFLPEDEDDDSD L199_005110 MPRSVNSDHFRFRWKISSNQFTNGDTYLPLPSFHPHIQNWASDI DPANINGLSAFRLTCRKFHQEASTRI L199_005111 MPRLNSALPAIPKTYPVQGYRHFLTPLRKLIFDYDAESPSQQGI RSFIKKPLINLARENPDVEFVVRRLKRGKAAVLRGHYVNGRDKVICVNKLEMDGVSNK VNLLLNSSGAKIKPLKNLTLEAAPASESARGVWSALHDQTKDGQGYRI L199_005112 MPGKQLIVFDFDWSFVDQDTDRWVFEVLSTELRRLLQTRKSAGT GMQCTPDVVNDTMKDLYEKGFKKEDVLEALRILPFHPAMKRAVTSLQARSKDTTFLCL SNSNEVYIGTILEKHGLTSLFSQIITNPAHWNLSAPDHLIIGRRLPADQPPHGCSVGC LANMCKGNELDTYLAANGGKDSFDKIVYIGDGGNDFCPLLRMRKQDLVLCRKNLELHE RILNESEKAGLKVDVKYWEQAWQIDE L199_005113 MHPLTKPSSFLFALSFLFAPADVSAHRNLPRKPQHHPHLDERLM PITATTTVVVQRTATHTVWVQPTDQVPVVLPGSGGDSGSDDGDQSGSSTSVATSTASI ASSSVTRTASASASPSAIPVSSSLSSSSSSSTSSEWDTASLTSSEASSSSSYPSASAL SSGYDGKSALPSSTFLSDSPSISLTSSLASSTIDASSSYLSSSSIYSSDIPSSSLSHS PSITASASASSSWSDYESSITDSQHTSSSHSGSKSASTSLSSSSASSTEGSSSSSSKI SASASSTASASSITASETASITASETASVTGNETASSIASETVSLTASETASLTWNET EAITSTQSSLTASSTAYPNITSTLTSDFASSTSSNITSFEPSSTVSLNVSATASVTST SSAASATSSPSLDAIGSTIMAAYYPDWAATHLPPESVDFTRFDVVDFAFALPTSNNGL EFTQDDSEDLLNRLVTAAHSNGKRVKLSIGGWTGSAYFSTICGNSASRATFVQNILDA YNTYDLDGIDIDWEYPGTTGADGNAVSSNDSANFLLFLQELREALPTGALITTATQVW PFADSTGSPMSDVSEFAKVIDWILIMNYDVWGSSSTPGPNAPLSDACGNSTQPLANAY AAVSSWTGAGMPANQITLGVPAYGYLQKSTASSLKQRRRSSFPSPPHKSSNSTRIQGR ASEVTIYNDNGGSSDGQVMFYSLIDQGALTLEDGEYVGEGGFTRHWDSCSSTPWLKSS SSGQIITYDDTQSMNLKGQFAAQVGLRGCNVFSIDGDWTGSSWPLTDAVRSGLGL L199_005114 MPSLLDLEDETIRAIKVPVRIHSLSRLEGLHVKATQGTKIAKWM SEAPREVEQGVRRLEICAPFLAGSDLISTFSALIMFLHRFENLKELVIRNNPNTSCYH LHGYTTSPDQLPGMLKLPLFPFLPKLRSLSVEVMCPSCAEQIPKILVPAAPNIQHLKL SVYGLPKPLPLGQFTGADPWDESPDPSEVIRDMVTMWCKNNQKDTIGLKTLYIRYWPR PDERTRDRYRSTIRKTSEALPNLKESVIVEFNGITGNLKSGISVKARCIHDIWIFECD ATTDEGDEARCCSLEETLVTLSLPPKIKIFDPIFVLNFPSKRPTIPIASTGQTRSLYS ASRSITQRPTLEEVKTFEKTCRVVIEAAAQIIVDIVPSLEEGFFWEKGTELSKKDWYL WKWKKVIVNGISKVEVDQCPEMMSSAFISGKPREPNNSPRIVHTPSGIPMVMNDDGEE SEMDESEAEADLAIQMTMGFDVEDLMMFGPHMNI L199_005115 MTATPPSKISLPPRPTATDLLGDSALSTETPSPTVQRNLNNLNL NSPNGSPSFQARSGGTLPRAASRSHRRSVRNSFASYGRGGRRMSRGTPGGGVDIGSPL VDDEDLQPKLPTLVPGIRPAYSTPLPVLPMIVMCIAMLSELLSANLCTPFLLKMVEGF FISSGREKNSETEAAVGLWTGNLVSVFFITQFFTSLLWSSIADRHGRRAVLVASLLGS AIALTIFGTSESLPEAICVRLIQGIFGGAVGVFRGSIRDLTDDTNASRAYAVLGFSWG FGGVIGPIIGGVFESPVDNFPGTFLSKIGLFQGFPYVLPTLIASVILVAGAILACFLS WDGGVRGGSRIALTVEKDEPLAGASPAVERTISPAPSSRTAIRVPSFSIRRNAAFSPG ETEAASHGAGYPALSTTPHARRDSRASLGTAYGYGGIRSKHPTLAARAALEAARRASA AIPRLEDEEEDEEEGAHARGALGLAQKLLLANEENTFNINDLWVSAAVAQDTAVFEDE DETEGELTEEEQAIDEENETPQPSPGLTPGSTIDDPRASLGHRKPSVRPNRIVSGASS IYKPLGGHRLSVSHGGRRFSTSSGHMPAIFSNTGVRTPPAVAAAYEGESPSVETGGDP FFPAPADRRPGQAAGGLSVIAEGQTSTAIVPTSPGGTQQVSEKQPSSFALLPFLMIMQ YGLVALHGTIHDQVFLSFLVTPYRSGGLGLNPAHFSFLVAIMCCCQLVYQFYLYPRLG PPLGKFNHLQMFRIGSALYLPAYFSLPVLHKIASPDSEGGFMLMTGLTLITAIRYCAS TFAYTSVMVLINAMSPPHVVGLANGLAQSTVSASRFFGPIIGGAVWSASINGNPTGYA YGFYFCTIACFIQLCLSFLIR L199_005116 MSESSSRSLKSVHFAEPRPYLHLIPDELLIEVGKYLLPTTKLPL PSLQPHFENYRHPFDRRGNYGDYISFRESCHKVSCLLKPIDKDFEIEIKSKEGLRKWV NAPKEKLDNVVRLRLNFTLDPTDDIPTVSIRFWNEFISLLLKMPNLVELYFTSTPFCY HGKHGHTLLFELPNSNQSPLNSIISFGNEVKCRCCAEHLTRLSTCMPNLKHLKCTSQD SVSQINTDDDFEPYDFMINNDTENLETLYLKYWDLDTFDEIPMGELHSGSPKLKKLIY SSHAIESPYTLRQKGLVEAVQDDDQWHFNVGREMGSDLNLSGTLSLFAEEWSQFNNME EFDPGLVMIPVQYYTRTIYGKPPERNSDPRFPYALQQVPPEVLDQIRIVGPEEYERVS KEAMIEATKIMKTNWTSLEKVYWWQDRNKRPDSPTRDYLRWTAVITDVSSTQSDGDGE LMNQAEQSPNGIQVVIEGPELMYHDLIWSDEGSVPPDVEEPLDERSVGK L199_005117 MPPHLLDLTDEVLQRIAYFTNRDSIIPIPSYGPHWQNFRGDIRS SSAKDLLAFRSTCKRIRDVCGLENIHVYIKDRMKCKYWLNESSLEVEKAVSRLRYHPQ RPGSDVTSAWAAFSTLLHNFPSLKELLMDESFSCSHTYYDYDLSQLKKAPRLPRYPIL TNLKSLLISIDCPACSIIIPHMILPAARLLKHLKVRLVAPEEYTSDTVDYSPSESFKK IIDTWCKDDESGSRRNQLKTLYLKYPPLRSDRIKSGWTGTIKEAFNSLPKLEDFRTTQ YYKNEDMLAAGITVKGRKNRKGEWNFKVKKQVVEAGQDGYQSFVNFILILNLHLVYVV KEICPESSPTHLKYFDPIILIELDYIRPMNKVATLTQTRRSWLKGRLDNDPIAIKEDE AEQAGYEVNFQHAAAAIATTMLKYFPTLEGGALWERGSETNEFDWLQWNWTKSGNKVS IEEHPWVLPPEFVGSREGRRTPKLPSGDDYPYSDSETGSSSGSEDDDDN L199_005118 MSKGILDFPDEVLVRSARFVHQDTHIPLPSLIPHFGDFYSPIDP FTTETFSIFNRPVEEPFTPFPYEAYTCQSPNGKVLSLICQGLLASRGQLHIGSWRYSD PSSDPNYNAITMWDTLITFLSQLTSLEELVIYDLPLCFHKPGQNLIKHLPPPAGDILP SREIIIVSAKSYLLLKACTATFYSLMPIPQQSQALLVGGDLDNPQ L199_005119 MPSRPPSTTKSSPQLTNVPLPVDDQPEAGPSTASSLSSRASTVS SIKGKEKAVAGDDGSDDEDYDDDLSVDDAEGGEVSSDQDDQIQNGDDQTNGQGGEDYE DDTIATEEDDEDDEEGEEEEDEDEEEEEPALKYSRLKGRIPEILAKDTASTIAVSPRV IALGTHNGMVHVLTYEGSKVNSFRPHAASVTCLKMDEDNDFVATSSVEGRVVIHSLTS TESYAFDYKRPMRAIALEPGFAKKNTRAFVCGGMAGNLIMQEKGWLGYKEQIIHSGEG PIWAIEWRGNLIAWANDLGVKIYDTTTSQRIGYIDRGAHAPRAELFKCTLQWKDDHTL IIGWADHIKIVRIRNRAKSQTSTGLPALTVEMTSIYQVDCMISGLAQFNSSYVVLAYI APDTYENEATDNPMEQRRKAANRPELRLIDKGEEVNADALSLTNYHMYGCNDYSLIKS QRENEHVFFVISPSDVIVVRPRDEIDHIDWLVERERYSEALESAEELRKKHGNALDVK TIGLKYMNHLLAQGHFEQTASLAQKVLGQDSEAWEKWIYTFVQHHQLPTIIPYIPTKD PQLGKPVYEMVFGHLLVNDRAALLKTITSWPTDIYDMNTVMGAVQGELEATKDDPILL ECLAELHLINRQPAKALPYFLRLRQPHVFDLIREHNLFTAVQDQALLLVQFDQEREVN KEEVKGDKSKHGAAIELLVDHTHSIPIDRVVHQLEEKPKYLYMYLDALFDKDPQFCLP YSDRMVELYAAYDLDRLMPFLRASNFYDLEKAYEICKERDLVTEMVFLLGRIGNNKKA LMLIIERLGDVQRAIDFAKEQSDEDLWEDLLTYSETRPSFIRALLEHVGAEINPIRLI SRIKDGLEIPGLKEGVVRVLMGMNLQVSLLEGCQHILNGDCSNLALELQAAQVGSTRC SPTSTCIICHLPLFLPSTKYPSQTLVLLYLCQHLVHAQCALPEDVELPQRQENINVTY LLANGASGAKGQNWKSRAIGGKLGYAAAVRVRVGKCPVCEKGGGRRASKIG L199_005120 MSRTPTLPKLIITPSTPLPPHTSYFDLPSSPLPSPSSQTFTAND EIQSRRSSQSFDNDQVNGHTEKRPAPIRSYSLPTDSYLSLPSPTRPKSGKRPLRSPLI SILFLAFAFLLVLSTAMCTSSSAGKLLDIQREAGKKINDLLRIKGCKEQNEGSQIPVT GLEESGSDQGGAGGIKSLFDSLWNREEEYHHYANSLVTAGPAISLDGSAIWDFDSQF L199_005121 MSAVHGNLSHAMRDNYNEHGVDEYYRKVAATYRNPFYPGIKKVI WTFMNRWWEAEGRSMYGSQATDDSTLRVLDMAAGSGEATLCLLEWAQSTRDFTSNSSA QSPVSVPPTSQSSQAVPPDPLAALRAMQSPVGSGSSRPAFIPPNARRPAQGQSSNGKG KQVFGGMDPPELPANFGIDIEATDPYTSPAYTDRTSRSCHPLSFTDLANGLLPDDTSQ PKGGGPIWDLIICSFALHLVTSPSELFALLYELSGKARWLVIIAPHKKPEIKETWGWS RYDIASWSAAGEAKLYGGSGKGSTANADDDEEETELEIVRDKVKLRLYRSNAF L199_005122 MRSPDDWRTTLGVLSMLWQDRYSQNPFPLKMLYLPFDGIYDLSK ELRDIQSAWPLLEELCTNHIEYSGPEDLGLPNQYVMSNKIGNGDSWSHIWTHKTIGLD SMIEQNVVDWPPQDDPTFEDVLNSFKLFKYLRKIDCGIIFRLYEEKYLPPVIMPTAPW TSQQQDIYLQQIRLRAEASKSINFEDMLPEAMVHAAQILFDVLPTLEECVFWRTAPEY YTYPDEYWCKFVLRRETNDRGESAVVLDPYKQYLSKRSMGSQLGGITL L199_005123 MPITLLGLSDDLLAEIAYWGDDDLTPNPPRFTPHHLIPPSSIKT HTYGDLLSFRATCRRIRAICKVKPTNVVIKRFSQMQNWLERAPMAVTLHVRHMVIDCE ADISPYINPDPFSGRDKIAVRKRMAYLHQVPLKIYLS L199_005124 MRTGLLNLSDDTLQYIGSLIHGDTDIPLPSFHPHTLNFATEIDP VVHKDYMSFRATCKRLLEHKNDAPQSVREGIRRLHIDIFRSSTGNDSLVVWQTFSTFL MSLKSLEEIVIKQSPLCAFRHAHSDFSGRHPDFRFIHQVQAMAFEMKCSECAKSLPKS LALGSKPLRTLKLAHLQGVNRDETLIKELSNRDVYFSHCLRTLYVKIDGDTDGIASLE KIAEDLPYLQTLALSSFSHVHDLLGPFHSFAHTNSLSDLSWAFKLCCDEYYDHLMDPE SIQSFPVDPRWSSFLETLAKFKHLRSLDLTINVIICNKPLSDAHQNRNLKRSTVEAQN LARRGQPSRNDFLLSAMKAAAVLMVKHVPTLESGYLWDHHFDRVYSEHDDRSYRYFWK RESSEDGNWDITISDTMECFHDDAMINEDGSA L199_005125 MPTSLLDLSDEVLQLISQYVKQDDSIPIPSFAPHWKSTTNSQIA SETSRDIRAFRATCRRMRNACNLKGLHVVLGKANGTTSDRRWDRELSDRMRKATSRIC VDIPISSSESSLQTWSDCARHLERFTDTEEMIIKKGPTCHHIPNDLTYIPVTQGFSRY MQPWKTQSSLDKLTSIAVEVDCPDCSFGLPTFFLPSFPNLKHLKFYLSPPSDTTSWDP VKDFRSLFEECRSSEKKSNLELETLYLRYPWSSRGNIWKNWGKVIKESFSLFQHLRDF QITIFNYKRELTFGTYLIFSRDETQRRKGGEKGGEKGNGEREEWKFEVMNLEDGDWRN SWSLEQMMSYLSPPPSLKLFDPIIVIQPGESRPHHLTSSKGTNSQRSVYHDTHSYEET RYESLLKKYESLLKSQMTAAAEELIELVPSLQEGAFWERGTEISYDDWYRWTWAKVVD DHGEVRVQVVDKPFVLSKKSIKWK L199_005126 MPSTLLHLSDDVIRHIAYYVLLDNEIPIPSFNPHWANFKDEIDP ANQKDYISLRSCCKRIRELCPLVGLHVVMKSWMKLLKWSVEAPLAVRKAVRRIIIDID RLELPNQKDLANYSIVVIWYTLTSFLCSLANLEELVIRKTPLCQHSPASLKPSAIYGL DYINFLSKVKSIAIETHCRLCCDQIPKLLVSAINHLEHLKISPSESRQKLYGTEKARL IKTDNNFYKNIHTLHLKTFVLLDKLEVLDQISKNCPSIRNLYLTAYDPDDHYLVGSCT LFCHQSLSAGEWSFKMNAENYLDHFEQADPVNEWGSDQTFDRFLEVLSRSEYLEELDC LIDFKLKRRSLEPLYPSDSKKSEYDQYKNSLLSSKSKSADEGDGDEKSKSAMIAAAKL FAATVPTLRIGYFWAYNPQHPLFEKGFWRRWTWTCNRSENEVTIELNDLPEEFKDSWM NNDTGSRNWDYVAPQGEDEDD L199_005127 MPKSLLDLTDELLQHVAFYLHTDNFIPLPSFHPHHFNWASEIDP QVQRDYLSYRSTCIRVKELCPPKGLHVVLKKWDRLIEWTERAPNSILVGVRRLVLDVP PRVSMSLHTAWPILTRFLELLTNLEELILLQTPLCPHKHKVAPHFGRLSLNEVPIHTR DFLPSLKSFSISVRCDYCHEKLEHMFIPAIPLISTLKTSGFFLVEGADAEWSDFHDDT TMPLKTIYTKICHIVYIIVEAPDSLEDIGDRFPDLETLVVSRYDVEDVNGCHIRAQPM TDREWGLEYECSEGLNYNFINFVTDDYEDHWDYLVRFLKNLGTLKKLKTLYIVMIFTI KDRRPSPDEYSKEIRCSDLHSGPNKAHQKIQEYESKLRSAMSMAAIPFLEHIPSLETG YFWEPYGPLKPTGWMDIEEWYRWEYRRVIHNDGSWGVEMMPTPQHLSSDFIANPDGME H L199_005128 MPDLMSLSDEIIDRIGYLLHQDNFIPFPSFNPSWANFALEINPT VASDYLAFRSTCKRIFHICGLKGLHIRLDRWGKLLKWLVYAPDQVKRGVRRMSIDITH KRGRSIISAWTTLITFLQTFPSLQELIIIDTPLCRHHDNTTSIRDLHHLTPLCFLQLS SLSFEMECRECSIHMPELVLECAPYVRHLKTIDSTQLSIVLEAMDDTHPDDTRPRFLC IKLFEDTSKLDMVLQTIGGCYPQVTHLHLSMHEVAKPHVFTPCAYISDLGSFSEVEEV KIGLVTKSEVEQILMGHQVEPDEKALMMLNRSLSRMVKLRDLDCGMMFLDRNGHRPIA RPLAHETKTSYMDRQAKSDEYRQRQIHKMHATSDFFFHNVGSFLEVFSFWVSDRRGRP NCWNNWRRVRTTRSHDEDVGDFYESKWTSSHIGEIDLVESEDVSNDSETDA L199_005129 MTYIHLFILFLPLSTHATPLHLTARQDPVPTVANIANEYGHNPC ASPTDVAQSWLCEHPEIATAPVDSATIIPGNYGTVNPNTVSSSAASAPVTYDGPSEPV EPTTFISDIASVATSYSSPDAGSSAATRTVGVQPTQSTTPVSSVPIAPTEVPYLSSSV VEDGYTVTSSHPSQNTIPNEPSSTIAEPSSPATQSTSSTSNSNSTTSSPSECGCGYSF SENFNSAYYPKAKIIDFSTIADGTDVTNLGFSVSNGDKIGASSMTDHSMICVGSSENV YIAGGVLNIKVPAGQLKGGEIVFEEAVTGGVFSMEAKIDKTEGTCQSLFAYTRTEGTA DELDMEMLGKNIFKPDDNGDTGIGLSNYAPDGSKDGEHADYTSDPTADFNRYTIGWFS DSNKFYYNDQQLKGPEPHLPVNPSSIIINNWSNGVPTFTAGPPAEDNTLQIRKIEYYY QTEDHSMYPALASGCSVDSACQVV L199_005130 MAHPIDPVNLSPIPPMIDSYTYHSRLPSSIDGQIKDEDEGWIMG IDEAGRGRPMVYAAAYCPISFKTTLEEMGFDDSKALSADTRQSLWESFDVHSELCYSS TSLSPQAISAGMLRRIPINLNRQAEDATVGLIKAALDRGVNVKECYVDALGPAPQWQA RLSAIFPTIKFTVCPKADSLFKIVGAASIIAKVTRDRYVHNWIDYEDVGVDGSLPVKT IKEGEEEEEEINRGSGYPSDPKTQAFLKNSLDPVFGYKGIVRFSWATVKVLLDKNGVE CKWIDDNSQPSALSYFSADNDNAKPKVWKDLGVSGVGEL L199_005131 MGKPSAKALGKRKAPPPSTKGGNKKTKTSKSTEQELEPTGKPKR KADQPKKVKLRDQKSIPIPKSTYAEDQDEDDEEDLEDLGEEEGLGAGSASARFLVGMD ENALSRSVKETKRLHDLSKSREPLPKQKRQKLKIPLPDSAKESDSDDYDFNSDPEFDS DLASDVDGDDDDDVALGSDDEEDEEDSVYDSGASLDDSDEDQDDVIAEFDNLPSEEEE EYDSDTANSKRRKRKTGEEEADYETSARNRWAADKKDKKENEDQVEVGRLPIKLPTGE IKMVEGSTKISLPPSKKKQLTPPPSDSEEEEEESEDEGSDDGEQAERMAGLKGKFGRM GVSEIVSQNGWKNAQKLESAKEQMAQLGAEILAGGELVDIGPVLTRLSTFALPTVPSL EEGEGSLPVPASIRGLAFLSQLAVFKDLIPGYRIRQLTEIEEAEKVRDEVKRLREGEK LLVRNYKSYLKMLESEIKRRSPLASLSLKCMCELLVGVTHFNFSENIMGVLVGKLGRK GWDSDSDLVLESFISVFKEDISGVHAQTLVTLIARMIKERHFQVNPNVLTCLLHLRLR NELDQMKRGKNAKGGSGGDKKEDNIKGKKFKSEIRKKWATKNQRKKEKEMKEVEKEMK EAEAEIDKEERAQIQTETLKNLFVLYFSILKAPTRTPLLPAALEGISAYAHFINIDFF RDLLAVLRKIINDQEDHDEVDGSDDEDTKIHQIDASERIRIRLLSILTGFQILSGQGE ALNIDLSEFINCLFGLLRPISLNTGIEDPPIKSATTKNTNSMGELSISELMFRCLELT FFSRHSGKSPDYRVGSFAKRLIECSLHFPPITARRSLEFARKLISKEPKLESLLNTEE KINDGVYKPEFNDPQLINPFNTNVYESGILGDRYFERGTREEMKKLRDNKAV L199_005132 MASSSRAPVKPIKLEPDQVYIHVSYFSPEPPSAISLNNVEVKYL GPIGELSGEGIYQIQFHGRPVKRNDESWQNSQKDLIEKVKRSEGVKSVKVMDEPKQRT KRDEF L199_005133 MEEPSISRLIIDRETEWLRVKDNVSSAMMKVMETRLATMPGGKD GEAAKVMRKQLESRLKRIQEQMFDLSKYNLQVNGQNYENYVEATEGFDESLDRHIWAL QVERTDWESKTAEKRKRWPEMFYSVEEDLEMRRTDVEWLPDENDGKEENKPQLQNLPP PERHEEVKETFKVVVDNLAEVAQSAPIQLQRAQRAQTVRDEISSLPP L199_005134 MTSTPGHQAEGMGVQSGLAAGDEESIGADWERQLARVSETATGA HQAYIQIQQMTPILTNLRKECKALQDRQRSLESDINQRQDHLTKLNEEIENARNEREL LRQTDNSWWISRSLSASASMSSFSEGDVQGKLNRRIWLLDEQVQSLKQEKVDMKRECD DELERERAISSGLRDRISDLEVKRKKLKDDNQAYQTREGELETKVDNLELEIKEIGTR HHENIIDMKENVVRGKKESDDLKEEIRKLKQERDDVVAEMMVMKEQAAEQALKSPKIL QPQDLNVPSSQLSSQISSQPMTPTKPKSFKPFTPLLTSPSSRVAPPSPTSLARRYAVI EARCNHLQAAYDHQSEAHKLLLTEHNKLRKIHQEDIDHMKKYQASQIERRKKKDDRRA QKKARQSQELREMTGTPGDITSSGKTVGGQDDGFIPVEEVGNDVLVEESGSLPHVRKQ ATKGNGATMNDEEEYMAHAEHGSDGNQNEKMMDIDVPPHMTSGQVVDGINNFQLPSSS LRLANNKVVHQGSHRHTRSNETSSSDQISSHQNRAVATQSTQGSRRNASPIRPSSSQR VRRSSASSSTSTPQQPQKPAATPQPVRRVVRPTHVTPWLGVENDGISSSSNRSRSKSP TKKKRLNQTTNEDDDFASPPDNFISTPTTVRTPLVRDRLGGGSVILGGDSLRKKVMRQ TVHDHETPRIATPGPSTGTRTRTRTPTQSSGLMSTEKKRKIIDIETEGLSPSEKALKL KRLAKMPVSEKRELYKGYKGNGRYLKPEEMDKTVGEEYEINPSQNDGNDFAYHDVRRK KAERRNMHGGDCECCKDWYEEIGDIPRFNQAPRWRDDAQNHQSENDQTVSDHVREHQN MVSRHRETWMKPPTPPGYWKIGFPSTQDVREQNEQADKMMKEKEERIRREAL L199_005135 MSAELSTLDLPPASNGFGNASVHKMPSNSTMGWEDEEEDVTFDS PQEEIAHYREKYRQAIDMLTETRVELEEFQQSSKELEDEMEQELAANEKVQGELKEKI KRLEGEKEEWRSKQIALQKLHSSTTAAMQREMDNLRSERDKTLVALRDLEMGNDELER NERVAVSSLLDLESKYNRAIEEKTLLEQEIVQKQDLEEECQRLKDEMRDANNEISILK DQLARMSLPTPPSSISEPVSSSPTQEPPRELAEEEERENEVDPASIPLPPPVPYKSIN NSSIPQSPSRRLPRSATSSSIPISSPITKKFAPTSIPQSPTMSSLSRSTTSRNLAAAA KFTPTPVRTRTKSGLHQSQGLGDNSPGTVRVAAVQQTKSRGFKLLHDLQARLKATDDK LGVAKVPRRNVSNPLSNLGGGGNKSRSASAASSAKEEKSQQPQQPKLTNPRITALSQS QTQSQAGGGTPMASSNSSSLMSPNGWVLVDGEEDDFQNTPTANSNGFRQREEPLSPID QTFGIGIAPRAVSSASNSSQRSLPSRPGIPSPLTNMNGLNKSTTTTTTTTANGRISRK PTTTVPFPTTNHPNRTVKYQNGINRIQASPGKSKPRPTATPMTPGSSRPLSPSTSTSR PMSPSMIPTSTSSSRPMSPSLMNSMTSASRPMSPSMLPRAQPLRAPSPSISAVASSAS SSRPSSRMGIGRGPPPSFHNRNPNPLSVSTSNSGQQGLRRSTRRSSVGAHEPPTGIPA PSSRGDGSRTPVRPVTIHGDTPPPVPRIPSALRKK L199_005136 MNADLKRVRDNAIDDTPSPSAKRRVLSGHASPQVNDSDGDGIED WMKVVEVRRKEAIYRQMLEYRRASEREAKRANEVEAQRRVLEASFHAVELCWNQVVAA IRELGGQQEVDLNEKEVLEPYLEPQTTRPELEKAIQSRLPTTHQLVSRFVDLANKNQH RSSTTEELQKRCLKLEAESSALRSNSKLLQTQISSLSESKDVIQKDLIKVQKALDRQR MEHDKAIQEWKEESSRQRVGTPGIAGPSKSNGGSGHATPNGKMEEDVKPFGNGPSAGI AAGPSASGALQDTAELEQLAESRLQQLQTLRSEQAQLQQEVDRLKILAHHPSEAALRE SPFFQVYLQQLSTHINRANSLQSRFETSEKKLDNLRDSNQQFHDQVISEAKAENDALR LQISKKDSDLARLRGQRDEMNSELMERKSRETEKLKYAEQFENLSKTRQERINFLNSE VRRLKGHLASRQNVDSEHYLEFLRVEGSSAAVDKDYVKDLEEKVVSAQDQINALNSQL SRLSDGSIGVQEEAQIRVELEVTKRSLLKYQKILGPESQVSEEVGELAKKLEEKEKER KLLELRLSEAEAATNALYTEVEGLSKLWENLDQTVQSKVFELKDGELKISRLSTEKAK ADNKFFSAMRAKEAVEAEWKLAQRTVEKQLKLLERAAEVEQNLRVQVTANEKGMTSLK NSALELQTQLASTTSEKTQLELRLQQSQAALAEAQQIMHQRVAEATSEKEARAKLQDE VDTSSKTIKKLKERQEAISAAERDKDMSAGEWQMKEERDKLLKLLRCSCCEQNFKQQV IVKCMHTFCKSCLEARIASRQRKCPACGLAFAKEDIQTLYWQ L199_005137 MLYLTADKFPVFVPFGVIGFYRYLWYIIRLLARAAYRPIPLPQN PTYVAAEDVTIIVPTIDAGEEFREAAFSWLEGSPKEIIIVTEDKMVGPLQELANSVDP SRIRVLTVPFANKRLQMAHGIRNTTTDIIVFADDDAIWPPTLLPYVLACFEDQQVGGV GTSQRVKPCGDSMTIWEVLAAFRLTIRNIEIASSTHIDGGIPCLSGRTAAYRTVILKD PDFLHGFTNDLWLGKYHLNSGDDKFLTRWMVSHGWNTYVQVCKEAELLSTMKPNWRFL KQVLRWTRNTWRSDFRSIFTERYVWTKHPYVAYTMIDKFINPLTLLVGPVLVIYLIVK STKNVEDGGYHLPAWKIVISYLVWLMVTRTAKLLPHLWYRPSHIIYVPAWILFGYYFA IMKLYALFTLHETGWGTRAGIGDPATATTAAQQEKKADPAFGGGGTGDHGMYDAESNG LARKG L199_005138 MSTSQDLGYLSAARYGKQLVKIARVVREGDQHFIVEYVIRALLE GDIETSYTEADNTVVVATDSVKNTCNVFAKTSPHVLNAPVFALHLGLHFVTKYAHISK AFIDIEQLKWSRIEVNGKPHKWSFIRDGDEKSLVECVVDASGGKESVKADLKVGMKDL LVLKTSGSAFENFYRDEFTTLADVSDRIFSTSVSIQSTIALPPNTPLTIDNLSDIAKE LNFPKLTSQIRKDVLETFAEDESASVQATMYITMQNILKSCPAVKETSMQLPNKHYIP INLSAFKLDNGLGYEGGAEVFHPTADPSGYITATVTRK L199_005139 MLSPILRTAAMSTTRSQLNAAAATRLSSIQRHLSTSPASNGPKI ERLTVFGAGLMGAGIAQVGAQSGLKVTLSDVTDKALENGLNIISKSLSRVAKKKSPDD IEGFTKKIMDNIETTTDAGKAVENTDLVIEAIIESIKVKRDLFGFLDSKAKSECIFAT NTSSLSVKEVAESCGKERQERFAGLHFFNPVPAMKLVEIIRTPQTSTSTYESLKEVTL RMGKAPVTCNDTPGFIVNRLLVPYLLESIRMVERGDATPEDIDTAMELGAGYPMGPFK LLDFVGLDTTSYIAQGWREKAASGQISKELVEPIELLEKLVKEGKLGRKSGQGFYEYE NKK L199_005140 MSSSITPPDPTLAHLLNLPPLLQPISPPLAALHLARVRLSLSVP TSSSYTAKSLDDWCNLCGGLRLHLGGAQPQQSIKKRKRKGGICGTCGEMYKKPLSDKS TLADYPPARRTRRMKRDEQQQQQQQQFEVSSKSQKGQHSKDNVADRSEEMNESNPNSD VQPVSTPQQDIHSNNTDRSSTRPAVDNNPPKLLSRPSLSHIPNSSPNLPAYPAPQSKP ISTVNSSSGKKPATGAGASASANSKKKKKSGLAKLLAENKEREMVSKGSGGMWGLG L199_005141 MSRKWFNLEAQTDDAIFGGNQSAYSSLGLTRTQRLGGFAACYVG GLGISLLGAILLFLGATGAFATLFAVGAILSLVGTGFLIGFKTQLEKMFKPVRVVATV LLFAAIVMTFVSAFVLPAILCIVFVIVQYLAFLWYSLSYIPYARTLVKSWVGM L199_005142 MSLNSVVNNLVRAAAGISSTISDTDLDAHVAKLLAEEAKARELK WSELGLTGLLGNSLDRNGSPDPSLPKTNKRFLASVIRTVDGHNSALLKAQAQSARDAR GESSRSASGSGKGIGGSRMFGGALRDMSRNGNGNGKGKEREREDRHVRRGDDRPSRDA RDDRSLNRYDEDGHARRSTRQSEDDERRYRRYEDERDRNRRRDRSRDRHRDRSSDRST ERDRDRDRSKDEKRSRHHRSEDELTEQQSRRKDRQANTDISDKSNRQSRLALRADKPQ DPAKAPSPSRSPSPDPPAAPISKMDKYFNSTYDPRLDFAPIPKEGMIADVGWDNMLAV LKEKGRKKRHQSPTLSDTISEPPLGVLPSRRLSPDRDRFDDLSRKLEKKDKKKRKEER KRRRGSSYDSSEDEREKRKERKRKEKQKLKEKEREDEEKYGGLRKEGGVGVSKSGILE GYEYVKKGGTREWDVGKE L199_005143 MSSSIELINPRAESIRRTQALQVNTAGAVGLANVVKSNLGPRGT IKMLVDGSGQIKMTKDGKVLLSEMQIQNPTAAMIARTAVAQDEQVGDGTTSVVLLVGE LLKQADRYIQEGVHPRVIGEGFDLAKKEALKFLDDFRQTPTMDRSNLISVAHTSLSTK LHSKLAHKLSADVVDAVLAIQPPENEDGSKRDPIDLHMIEIMKMQHKTDTDTTLIRGL VLDHGARHPDMPKRVENAFVLSLNVSLEYEKTEVNSGFFYSSAEQREKLVESERRFVD AKLQKIVEFKNQVCDVTVGSDEKPKNFVIINQKGIDPMSLDVLAKNGIFALRRAKRRN MERLQFACGGVAQNSVDDLTPDVLGWAGLVYEHTLGEEKYTFVEDVKEPKSVTMLIKG PNAHTMTQIQDALRDGFRSVKNAVEDNSLIPGAGAFEIACSAHLQTALKSTKGRAKLG VLAFAEALLIIPKTLAQNGGSDVQDSIVALQQEQEETDDPVGLDLKSGEPINPVLEGI WDNYRVKRQMLHGAATIGVNLLNVDEVLRAGRSSLKPEGPGP L199_005144 MSSLLEEAHQVLPPTTSSSSTNPPPIPSFQLRQSICGVDTELLI QTFDDRVLVIVTQNGKVGCLTQASLPPHIPLPPPPKPSSKSINGHSSPLDILEILPTP PPSLTLTPLLGSPPNPTLYELYITQIATLIFWALEISGQGRRNVVVGLSLRTQQQTSE GQDEDNGEVLDESERLRYAGIMDLVSQWSGPSE L199_005145 MTETFASALKTLRDTLRNPNSLSPDDLAFQLSSALQSHHIHPSS IAPDHIPSEDLRSITRYLPSVQDLLLNQIVPTFYDTLNSQDRQNLKSFFVPAKTPDGL QIRRSIVLCSYTTLPTYLNTPKPGLNAIVKPARKFLLDILEELVGIYGIDDLYYSIYS QDSKGKSKEDGTKTLQWEEATRSCVGIPAKVGNAVGSWKAEGGLVDVQKALEARLYFH RLIVKLEGLMFELSHSSGSADTTPIRFVFEKLCAIGLLSSSPSSGDSRIPSMFPSLLP PLLQHLHPALASSLRPYPAEYLPSIFLQLPSSTLASFVDSLITHLAFNLIPPSSPLEP DKPDNRIKRSVEVLTGVIGYPKLGEEAWDAVLRTVLSGKSSMKLSDQRDQARNRLIVG WIAKSGEDGIKSFIGSISEAWTDPKYVKFTLYSQQFNLTHILILALSLLPPLSPWLVA LSHRSRLIMAFQSYLSHPDASIRRLGMLVAEIISQLTIPEDNTVKSEIQVDEEIEDLR KGLEDVGTDGMPKMNQKKATGGMKRLRFTGIWDGNGEGREECRWLRRIIGVRDEVAVI DETEIGEEWLLGWKEQDADVGSTPATLPVSLQAESQSPKRGRTTAPKQPKALSKSKPK IVMLDPDQLDDPMEGYASSSPSSSRSPSPTPSYLEEVAADPSLAIDATQKKKVTRPVY IPQLVALLKERDKPESIEMGLRFGEGLIRAKREFGTELAENAVAVALMTLGLNDPFNL EGFDEKRQGIMNALAACSPKEVAPFLVEQYFNTQYSLQQKSAILTALAMGARELAGLT VPTPPTIKKIDFPSKTLPPSLHKRYLTIADVPPSRRETIENGQLEETMDVMRNKLLSK GAKKGDEVPEIARERRLKVGNKKKTLVAELGTLKDSQMISATAQSTTVSKPIIAYKDI AGEYFVMPLINRFWQYYKDTSLRESRGGGRNGYNGTGTGMIMSPLGLEKFLITLSVLL HASRHSPVFLAVLAPEALELALTLGVRFTSTNKINSNDDDNNLEGSDSLVVGSSLELC LVVLDTSYELDDGRSLMMERSDLVMAVGEWATTIFQNEVEGNRVSGGQGGKSEGRIKA NAAAVVLKVGEVGEKWGGLGVRF L199_005146 MPPQHQRDLTNDATYDSDAQTIVVSEPESEAEDDHQKRSPPRKH RQARSRNKLSTDNDISDSESQRGSNSNQPKRLTYEEFQNRSRAIHSKYLKEREESRWS NRYEYGRRFTSFWRKVWGWWFLRWFLQKFPYLLALLTMIAGGLIALTGPWLEMWAVEV DGVRYGAWGGCKNGGKGQCSTQIFYDGPKVGTWSSKTISVVLLCFGIVALLQLLFLLY TFLFIRHDFVQSCCIDPESLGDGMRVGRRERHDGIYRYASPSLLSYGYSSAYSTDPNF YLKLPRI L199_005147 MMTSYRSVFPDHIHAPPQSPPNTHLDLDNKQKLPQTSGGIRCEE DQDIVTTTPTPTPTPTTSSSSRQVEVSSPSSDRRQALSRPTSSRESRRSSYIKKLELS AALGKEKFGLDHLQLSTSPYEEEITVELPSPVLADQREIDVSYQPGCGESDSAMVGSA DMSKNDTIRPSTPPSRPPTTAVNIPTSNRIRNPPPPSPLSKVSSSSPAKETELHTIPP PTAGAGAGTEITQPSSSLGSSVSPPSLKGLNIPLHSPCFIHSHLDKHGHGSLQDWLKN KSTSGGGGGGGGGGNSSGNISSSSTSTTSNTQGGSSSASTYRAPQTNHHTGSNHHLGN TTKPIRQPSYNHFKSHTNTNTNTPTHSLPTSPNGSSKYASPTLGKDKDTGYDSDQSSI NGHVLRNLSGSALLDGDLMDGSEEGGSLTKQLAETAQGVREMSKELGRTKVRSRIQHV LIVTKARDNRLIKLTRELALYLMQKKPANSPDGSSRAGHEGRDRGMVVYVDAQLRTSK RFDAAGIQRDYPDLFKPISRRRSSSSASVSTLGSLSAYPSTTNMSDFQKRNKDEGQLR YWTSEMCSSSPHLFDFVITLGGDGTVLFTSWLFQRIVPPVLPFALGSLGFLTNFDYAQ YKQTMDKVVDEGIRVNLRMRFTCTVYRAIAPEEAAEAASAKGGKKRKAIKKPGGEILM SHVDKGGWESLEGPPPQGSCSEAAGKDKEILCFSTRPVEQFEVLNDLVVDRGPSPYVS LLELFGDEHHLTTVQADGLTVSTPTGSTAYSLSAGGSLVHPQIPAILITPICPHTLSF RPMLLPDSMELRICVPYNSRSTAWASFDGRGRVELKQGDHIKVTASKYPFPTVCANKA STDWFSSISRTLRWNEREKQKSFVVVEEDSEPPADTKSHQRSNNQRHGYREGRQAAEA ERAAVHVEGQGEDKEGEDDDEDEDEEDEEDEEFDIDDKSGGENTEPSSPPIEAENPPA PPPINTRHSMLGHPHKCGVETPNRFMTPYEAPPPLSQRHLVEALAKAEIREKDNELGQ RDNIREDGSAFRYNGRHGEHLIPPGRSNLTSPTESESVGEGEGEGDETERSSMMDQKT PRPIITSHNSHHHHHHNGERVRIQSPTQHKHNHEHHHAHSGRAKNEAKGKAKAFAFFG QDDSASDLSDDHSDA L199_005148 MAEDKVEEQQWGRVLICGGMNWATNGRKERPPNSASDLLSPVIL RSLSNIKITKLITGPSANYAVVLDIFGAAYIFGKPPSPSLATSPDGIISEHAPIKISP SAVGLPKEAKFVSGAAARGHVLLLDDQGVVWGCGNNVVGQIGLPVTMLINQFTRVTGP WSKDPENKIVQVTAGHTFSLFLTAAGQVYAAGSSECGQLGNGKTGERIIKNGKVAFDI ESPARLIQGFEKRKIVQIASGNQHSLALDEEGYVYAWGYAGYSRLGLQDQKDRLVPTL VPHFAGNNIASRAAQVLCGPTSSIVVDRQKMFLIAGKFKLTGDGSTGQPYTYFKYIQD IMACKVIKASCGGCTHFLTTPEGDGVMTVGFGQGCLYGELGLGPDAGKSATKPVKIEP LSGVDVIDVAGGAFFSLFLARPNAALSELDRYPEHIESPTICLACNEEKDDDPMECEK CDSPYHPECLTPPLSEPPEGEWFCPACEIQADAGPDDPFEPLFVDGPKPKKKVLPKPP LSLERASTPKSVSSPKLESVRSNGSKGTPTRTPTGKRKADSESIHGM L199_005149 MSTTTTEIEPQATSSRPASIGERPDDLELMGITSVISREAGERD PLLLRDKLISDAHLEEIRQRKKGGGGKLADFYETQNSRINDLLKPLHTLSQEAEQDAA DNALKVKLAVNISFGCNIVLAIVQLYAAISSGSLALFASCVDAVDPFANLILWAAHRA SDKAEEKKWPVRGSRFETSMFDPFSPLILVDKADHGTHSYVVYGTIMGGVNVILIVMS IQEFVTHKGDDLQKFHLPSILSVCVAFAVKFSLFLYCMAIRKSSSQVQVLWEDHRNDL LTNGFGILTAAGGAKLAWWIDPMGATIIAICIITVWTRTVYEQFTFLAGIAAPTEFIN LVTYKALTFSEHITSVDTVRVYHSGPNYFVEVDIVLPPDMLLWKAHDIAQDLQDQIER LKQVDRCFVHVDHEVDHKPEHRKNV L199_005150 MSRSLPLVRLPSNALIHRFGSPNTSSANALLRFPSQGWTINRSK REGWAVVGDSEGRKLAVETLLSRHRIHPLPPPPGPFPHITSLLSSSSSSTGEISSYKP IRHLAFSRPPSSGEFTDFTARYGALQEEDKLSFRETLLSTLHPTPSNEEIERVAGLMK ITKLLDLPMVSLSSGQTRRSRIAASLLTKPVLLILEDPMAGLDIPSRKEVSHTLGELN DSGDIRIVLVLRGKGTEDVPDWITNVVEVKDGNVWIGDKAQYEESLRTRANIQADNEK ASIQELVDTRADNKKNLVNLDKVSVSYGEGSRPVLKDVSWSIKEGEKWHLVGANGSGK TTLLSIILGHHPRSFSLPSTSLQLFSKPRREIPTTTLRGMIGHTSPEIYSSFPRGMGL TAYSAVGTGFKGIFSRSELDENEKKRVLYLLDQVKDLLQPTVGLGGVESTKGMDLKEI ALRPFAHFTPPQQGLILFLRAIVGKPNLLVLDEPSQGIDEFIWERCKAILEREWKDNE KMATIVVSHYEDEVPWKQGRGKILKLDQGVATVEEPV L199_005151 MQSSQPVASSSSSAIPSSTSSANGKPDRLYVGNLSPTVDEYTLI QIFSKYGKITKLDFMFHKTGVLKGKPRGFAFIQFTDKDDALKAMIKLHDRLLRGRKLV VTYASSAPPENLPLINTKGRRPNDPSKTTTLSLLKSSKKPQSAAAQIAAMEAKLAHMK RTKPADENYVPGLGGSTPSSRNGTPGLEGSLISEEQGEEVEMGEEEAERAAEELEREM KDLVNQEGSSTPLLIDPSRSSTPVPVEDEAKKIPLPPKPVDEAQSEIAIPPTSSTIEG RSKQREEAFKKGLAGLPKKPVF L199_005152 MDCLDEPLHLQILTSLASSYAQDAKTTEDENSISVLLDGLPNNT LSANDVEELIPHDEDDWTEFEINHAISYAHYVSSLPLTSPHLGESLSRLNALLFGLLR HANPSPSGDNSPSSSYRSLEAGGLGSNSHAQLCEGLLKALLWVAWGKAKLRDDIGGVL EDFVEKIEGLMSGSTGITFPLVLLHCLHSVISHCPLPPFPTRTIASIVKSLLSIASPG NLIKLVHQSTSAASTPHIRMPPTTPFTTPHPITPSGVVMAVTEIMNVLLSTPLLPYTN VREYQNAFAHHSGGYHHPFSQTHGQEGNAHILKKHTKQLVDDALVLDDIKRLSTDEKD HAVLDQATKIALRWWTDLMGGSSLVEETPYSRRGSLFSIGGQPDEEVELMVSVLYLLN NLSLHHSEPDPTHLARLKLLLSEDSSVVDPRVLEALFICTSIQVRNDRSLGTAMTHHI RRLLLSPIPAFEGEMGGSASSVPPAVVAASKCLAICIEMSANDDLTSSTLYSLLNTLS HSTSVPGGMSIRSTTLHHHFKGETDASTVKTNATSNRATEEQRRLVAVTAVEVVSRLA LEIGKEDIIHLSISMLIQRLRGVDLTTESTIVTNLVPLALAAPNGDLVEVYRAFSQIS RSSHPEDPRNSSNAVLAAQTTLAKGLGNRLDCADGYLVELLTLFADKGTQTQMVAMAS HGYDSRDKDAVAQLKQEGIKRVGDMKAWLAALLIPIATLLSHKSYHPDRSATPELVAH FRNLWFLCVVFGLSGPEGQKRLSEHETNALRTVAVKTPALVLESSNDFVASGLAYNSI LRKDYAASIQQSQRAALTQYLPHQRHSYDIRNMTTPQTTLLMAISDLEEARTTKYRPS VLLQYFCNESINESPLIGCLDAIAQKITSSFMKQVYLQVVTHSLPPIVADEVCKILIA CTHRMRKVREIALSYARQLLETFSALLCDRKVVFTLLEILTLMRRSCELQYTDEYSPV YDFTSDKMDLTLHLTDDYAVRNEITSQLHSVTKHWLTVAISRAPIEVQSTLQSYLNES RDVLLIDSVEMGAGLALHFSKTISRLDRQETIMPSIGGWPSDSSNLVASQFASKNYFN GELSGARLILSQGLSNLQEGSPANSSPAELLAFKSQLAQATSNIRTKNKPFNVPEIRR ILLRAVSVLIASPKMDRDILHYLVELPMAAFTPLAIAAGVDAWTWLLRQRPEAEVAII GAITGGWLQTIRQRKGLFSTSMNYRDPFGQPVEYAPSDKKVLDLELAKARKLLRPHLL LVQVLSSQFQAIKYRERGIIVCLIRLMMRSLKAHKQMSTHPLAREVRFSLLLFGFQML ASSRMEALLELRLRDRLFHAAFSWFAFRPQWSFGSDRIQVGAEIKLLQDLLQIVQEDK IRGDHSTSSLSDRAPAFLIRGSSSMHEYESHHRDRVKLLQLLIENEISRLSVWCNPLN ENGRPNAPPTGALERSITGDEWTRLVGKGWKVNPAMAIHMGERFKNAPVQAEISRLVK SDPKSVIDVPEALHFLLGDRLENNAKAALKWLPVWSAVPPVTAIVYFQPRYGNHPLIL QYAMRVLEQHPVELTFFFVPQVVQALRADALGYVERFIFETSKISQLFCHQIIWNMKA NTYRDDDASQPDPMKPLLDRMIDMIVAGLSGKAKGFYDLEFTFFDAVTSISGKLKPYI KKSKPEKKAKIDEEMALINLSVGVYLPSNPDGVVIDLDRKSGRPLQSHAKAPFMATFK VQKERIDLPSDSNIEISDEARLVKTKYDVWQSAIFKVGDDCRQDVLALQIIAMFKNVF TSIGLTLYLFPYRVTATAPGCGVIDVVPNATSRDEMGRAKINDLFSYFVDKYGGVDTV SFQKARLNFIQSMAAYSVACYILQIKDRHNGNIMIDGEGHIVHIDFGFLFDIGPGGIK FEPSSFKLNHEMVALMGGRDSQGYKMFTELTVKAFLAIRPHADQLVDAVHLMLGTALP SFKGEGTITRLKNRFQLQLSERQAADYMMGVVENARENMRSNVYDGFQKIQK L199_005153 MSNQSNDIPLTDMNSLQYTSHDRPSFAAPSQAATSNQGTSTANN NSTARQEGNSSSTAAPDGSLNSLPNSPR L199_005154 MSHSDANIPLVEIIIEPYTSANPPQYAPQDSQQLSTTSTASGEQ SHATHDTQSQITDDQSNSTNASRHSHQSERTLSEATTAVNVGAGQSHIDLPSFTVPTT GQSCCEAHSDGIANCVGFTVTGACGAGLVFGSVAAVSACCNGCPPF L199_005155 MVSLNLFRRRQVKSSVLDVPLEKYDQSTGLPASIKIGDEDYKPF VSLQETRDHLTFLSALSSLRDSVPSFDDNAFPSLCQESAKAYAHWAQHTLISRPFTAS DLPSLGVLMAWHSHLLNPTIYEREIAGEYRALKGVDFPLSEIATAIRENTLPPFRPIA SDEESTLKKTIWSYEEIGMAIGRQAKFVAHMKRIGWLDERYWHKGLSELQFSIVLYHA WLDLMRSTECKYFLVPRLDIDLAWHTHQLHHVRYKADTIKVLGKLLNHNDAAGDEKTG NGMEVTRKLWKKRFGWEYQ L199_005156 MASSGPNPSQTGDSTLSGRDTPSSGGTRSRRASIRSAIGAIQRF KQSWISIMVLKGGISLGQVIALITLSILASTLLSPLYPHQRQSTPSEACPHPQYFQAW MGVQIGRLVICWLNSVWICVRRRRAEDREGDVEDSQRQNIAERRIPSQTGPAHHTLQR HITPSSSTSTIFQTPLSHHPPRDESPETIYISTYTSTPSLFNPSPPEENTRSKGSRTT HPPDDSDNPPRMEVHIIDRMNTHGIGEENRVDNGDNNNIRAALVNSPETINEGLNQAD SLGKYMDSIAPKLSSFLGLLSFILFILGNILLFKPLPSDDMSCYNASPMLWWGVMTVT GVGWVLLAQMILVIVVVGIGGTVLMAILRNFGITLPSSSSQSSSNRNPQPEPLTLIEL NTLQYVCYLPNSTIDIQKESLPHPPIYLKEDQITCAICQENFVPPQQGREAFAEWLRV LGCGHVYHAKCIDEWLLRGAASCPFCNRSVRDMIAPSDGNNASGVESRTRRTSALGRW MRKESR L199_005158 MSSNNQKQFQHHSLKSILYITLAFTVLLLGIGSSNRDKVISTDI IHSFKTSSSKALQSIQTFRVNLIRGSSIHTMVNQSSTKADVYFLSHGGPPTVEQTYSD PFKAWQTFGKIINSNPPKGIVAVSAHWENDRGLGGSEGVIVNSNSSNPLIYDFYNFPK RLYELKFRSTFTPELESTVLDALKSSGISFSREDRGFDHGVWIPFKAAFGESTQIPII QVSLPTSSDPRASVKLGRALSKVRDEGYTVVATGQSVHNLRDLFTGQRMPYTKPFLNL LNQSLSSPDPISSTIDILRTPLYKKSHPTDEHFYPLFVALGALDKEEYRNKKDLISGV WDAQGMPAEDEGLGWSMYRWSTGQQ L199_005159 MTESNNKGDVYFISHGGPVTGDQKQSEPYKAWESVGRIIDANPP KGIVIVSAHWENHKDDGVLVNCNPTNPVVYDFYNFPKHFYTLRFQSHFDRELEESVLR VLGDEGVRVGRQDRGLDHGIWIAFRAMFGESTNIPIIQVSLPSTENALDSVKLGKAIG KLRHRGYTIVGSGQGTHNVRDLIQGRPMPYSWPFLSLLQRSIRSSDPISSTLNLLHQP LYRLAHPSDEHFFPLFVSMGAVDPEDKRDDVYMGVVDLKGNNVEDQGLGWCLWRWKS L199_005160 MPSFHPPRNSLTRLRQVCRPTSLQIRPFTSSTSDLSPSSFPNMS TKATEQGKLKVSLRGPAILNNPRFNKGSAFTRDERDELGLRGRLPFAVDTLEEQVERA YMQYKSRETNILKNSFLASMKSQNWTLYYALLSKYLIEMFPIVYTPTEADAISDYSHL FRRSEGLYLSPPEFEKMEEDFLDACEGRDLDLIVVSDGEAILGIGDQGSGGIGISSAK AVIYTLAAGVDPAKALAVTLDVGTNNEDLLKDDLYIGYREKRLRGEKYDEFVDKFVGL VKKHQPRCLLHFEDFGVTNAQRLLARYRDQHTVFNDDIQGTGAVTLAALQAAIAVTKT KLSDQRIIIYGSGSAGLGIARQLRDAIVLESSDEKKVDSKKASSQFWLMDKHGLIKKS LGNDKIRDEIEDDFIRTEEEWGSGDEENGLLEVAKKVKPTVLIGTSTHAGAFTEEVVK EMSKHVDRPIIFPLSNPTKKCEADPKDLNQWTDGKALMATGSPFDPVDVPGKKKKYVV AECNNALIYPGLGLGAIISRSSKMTDSMIVAGSKRLAELAPAILKNDPDESLLPDFGD APKVNFEVALSVIKAAQADKLAREEGIPKDEDGMRKWAEEKSWKAEYRGYEYDPEGLR L199_005161 MSFSAAELQKRHGLEGAPDPFPSLSGSTAPAPPAAKSFNNNNDN GPSTSVDTSSEDAFPSLGASAAPATNITKPAISAWASKPSAVKATGGKVKPNTSGGLG RAGTPTAASHPFSDSFSIPAADLTQGKTAQETIQKVREQTGVIVESSTQMRTGLKTFL IRGPDQKKLIIARRLIERGLSKPVTISVEVPITTLGTIIGPKGSTLKSITESTQTRID VPKRENLPAYDPKESNEKDQDADEDDEEDDEPLVSISVTGPSASCNDAKNKILSLISF KTSQTSTSIKTIPSSYYPFIAGPKGVKAKQLEEELGQGEVKIHVPPPAVWKALEKQSQ GETDDTDVIPKDRDLSIKVKGEKEKVKLVVQEILKRYEELNDSLRELKISIPKRQHRF LVGSSADEILDQTSCIVELPPVDDPSDQCVIRGPQPSLIPALTLVMDKANAISVEMVD VVTLHRPNTSDPLAHAKKVLRYLIRTSKLRSIADSHSGVKIFPPFASAVANTGSVVIE IVGENKSEVSKVKDEVASLVKSILPAGTTTVEIDHLIHSLLIGKKGSKINQFEQSHNV TTVFPPAQEESSEVTLIYTGPLDSLPTEKKARDAKLKEILAGASKAIEELAKDAADIK TETLNIEKKWHRFIIGSGGTVLNALIGEDQLVNVKVGSSATNGKPTQNKGVDEDSVVV RGPSTEVDRVVGQIKQIVEDAKNDDIVNGFTIEFNVLKTYVPHLVGTSGATINKLRET LGVKVNFDDDESAGAAGKKGGKKPVVHCKIVGRKEAVEEAKKRLDLQIEKLEDETTEV LHIKRSIQPALIGQGGKYAIRLEEKYGVKLSFPRDSKENQKPDEVIIRGGKKGVAAAK AELLEAAEYESESRQEIQFKIPTKAIAQVVGKGGVTINKIKDESNAQIDIEKTPDEKD ATKTTVTVKGDKKAIEVAKKAILGLIEELGDEVEDELKVDSKYHRTLIGQGGQRLRET IAAAGGPAEGFKQSGLVTFPKSGDANPDVVRFRGDSKIVKKIKAELEKQVSVLKETII IGVVVPQSQHASKIGRGGSALQDLQRKTGATVHFPGSRQYASVGEIENASDLGDAPEG DIVKVIGTKEVVAKAAELLQVSNERPARTDSRQGRSTPSNDYPSRTISIPTKYYHAIA DQQNLIRQIRNVGAFITIPTAPPKPAAPSKTSASNTNGNGLAAKTARIDLSGGDEDDP VDVEGDFELVENYQNYPEGEQDWSVRAKEEQDLDRAVEVLEGALEKAKAATHVGLLTG LPRSAFPRIIGSKGSTISRIRVETGADVQVSKDDDLITIIGDETSVLQAKDSILSIVS RPGRGDRY L199_005162 MSNLAQARLHEERKNWRKDHPFGFYAKPVKAADGTMNIMLWEVG IPGKAGTIWEGGVYKVMMTFPDEFPTKPPKCKFDPPLFHPNVYPSGTICLSILDEEKN WKPSITIKQIVLGVQDLLDNPNIGDPAQIDAYQMYKNDKNAYEKRVKQQAVERRQK L199_005163 MGVNAVIPAAVQSTLSHQSQRQESFPYSHQSHPQSYANIVKNGN GLTIDQLRAESYGSTASTLDDAEDNGVEGSSRRASPGKGKNLQKYDDHADDLYDGEED EEDDDDDEGEEFGMEPEIPVTWKYMSRLYLLVPIITMVWLALLILLVTFAWPPTKRER EAGQKYPHPILFKPFLIGIFASCTVQTIRVPVWVVVSWFRLSTGQTTFWSTSLHAIIH ELLRLSTLPLITISPTSGFHSSYYLGLGWGLAEVTYGIIQGWEQIELYKEVMRPSGSL SLSCDPNDHDVEAQTTGGNGTTRLSKTQEGMLSSVLERSDEEDSQVIYDDPNQQDQEE EEEEEEEEELERKVEILERMRARRDLEEVIGLPFPNIPFPLHLLWRLDTLLLNLGLTL LLSSFYFNSTPIYRHSPFVVRHDAAVPDSQPHKWLWQVWALVSLLHVAVSLVWKVVGR VGIGAVTWGGLIVALGSVFAGLGCWGGLV L199_005164 MGDFQARNNIVKKKKRVVQSDDEEDEQPVNGASSSKVVMNSSQP DKKSTEGESSPPHKKPKTSPEKIASIFAPAPKRVEKPKSSSEPSKSTSSSTDKEKSKA NGKDGGEPIASIFAKASSSKPKVKTEERADADVDLERGSPTNDDEGEEELEEEDEEDE QEEAAAVKLASIFTKNHKSVPVADKGWKDGEAVPYAALVSTFEKIEATTKRLEILELL TQFFLVVAKRDTAKQAKDSNLLKVVYLCINRLCPDYMGIELGIGETLLIKAIAESTGR ATTKIKEDLRKEGDLGKVAMNSRNTQPTMFKPKALTVPYVFQNLTEIAKATGNASQAK KVGIIKKLLAACQGNEAKFIVRSLEGKLRIGLADKTLVVALAHAIVLKTMGDKKIPHD KLAAKLEEGAEIVKSVYSELPNYDLVIPALLEVGVEGLKERCKLTPGVPLKPMLAKPT KAIGEVLDRFEGKEFTCEYKYDGERAQVHLLDDGSIAVFSRNSENMSAKYPDLVQQVP RAIKPSVQSFVIDAEAVAYDLETKKILPFQDLSRRKRKDVKAEDITVRVHLFAFDLLY LNGESLLTKELKERRALLQEHFQPVESEFAFAKSSDSQSTEEIAAFLEESVKDGCEGL MVKMLTTANSTYEPSRRSMNWLKLKKDYLSGVGDSLDLVVVGAYHGKGKRTAVYGAFL LACYDQDSENFQTICKIGTGFSEEFLSQSYEILKPLEIETVRNDIETGNAKPDVWFEP KIVWEVLTADLSLSPVYAAAHGLVDSRGISLRFPRFIKIRDDKSADEATSSEQVSEFY QRQVTAGGKKGGGGGEDDFW L199_005165 MERSSSQRDLRSERLSRTPYTRPEPSRLRKSASMTPFATLKSIV NYVSSPFTRTSSSSAVLPTHSTSNELAVRVPDVDIDQRSESGSEDEWNGEPPSKLEGQ DIFSLAAAAGRGGDEFDKRAASWRNKGEVLGGKRQLNRLALQNSSSELKQLEPSTPTA PGHFNFLKSSPSMPALSQSSTLQIPSASTKRPRSPLAGPEFSSSALPQRTPLPSSFVN PAPTTPANTQDLSSSASSVALTAFLEAKKGQQMTPEDFRVIETLTENMKAESHLPGQT PPEKKHGGWAAGSYPSGSAIKSSQSFASFNTPDKRTENGNAQTPGTVFSVGSTTPRSI NQSSPYRQRYLGPGMSPRRMFPQPKKSNLKPLFNFGAEEEDTSKGKKRKTNDEEEVMD VDQNTSSTSKGLSSSASMPSLSAFSDPSANDKGKNKLSVPSHTPARPSPLSRNISSPG SVTSTTPVDEKARKKAEAEAAGKKRAAEIIMDIIDEEIGPVIPTRKAEPVIFNPYDRT SLNPSPAPAVPLTVPSTAFAGSTPRKSKGSFSPARRTPARGAAAKLELHKEAMKGSKP LTTIERIQGVKPWEKAGSPVRSSKDRVETPTPDEDIIEIDELVDESEASSSRAPTPAP APVSAPPIPPAAAVAKIPAAETFKPFSPPTITFNNQPPPQSQPAVNAVDTSAFDSPMR KSIIQQSSSSGEGTIPKPTFSFNEPSPAPSPADEEMQEERREVTEKPGTGENSKLDLN RIYLSAKDSALKISKPALPFFTFTLPPRPLESTPKKEVLEEAKKRDQPTFEFSLTASS TTKVTAPAAETQWTCGTCMLKNPDSAKEKCTICEEPRPKASSAASSVSSTSTLVQQAK KNDDQWICGTCMLKNPDSAKEKCTICDAPRPASTQTSSSGGKTSGQSGFTGFASGFGP KKTEGTWTCPMCMLQNPESAKDKCTICDTPR L199_005166 MSIFHIILFLYFLLTRQSHASLASDGVYASSNEDHLIYPSTPMN IPKVLVYTYTDGFRHDSISTAISVLVSEGVRWGVDFDFTENKSSFKDDYLKQYDALMF ISVTGDALDDQGEQAFHRYIQSGGNFVGVHASTCALYNSSVYNATIGSQFEWHPVIQD ATFVRSNISHPATANIPDQWRFAEEVYYFTFDPRDNGVVVILTVDGSSYNNESYTSNS GDPHPIAWYINPPSTCQPLQEGAVEAGRSFYTALGHSNETWQNETFINHLLSGLTWAL DGASTRAYGEGVVGSENPRPTFSSSTDSPTSSPTHPGHALQVTSGVGKVLASHLTDPW LCLVVGFSMGFISFPNGVFVILLIVIIGSIVLL L199_005167 MSTPPPPSYSSPILPPSSSPSTNNNLHKQYPQPPNSTIINIDSP SSSAIPNHMKSLPLSRTNSNTSSLAEEDETTGLLSNAKKPKWYKGPYFITAIKFSVLF TIFTIIVVITFYFGMPKLDKEDRGLVKLPRSFKDLQDLNTLFQKYKKLYPLRILACAV VTYLFVQTFTLPGSMYISILFGAAYGIMYGLLLSCLCDAFGSLLCYTLSSLLAPPLLT MPFYRARVETWRTKIMGDPKKGKKVTWDSIFAFLLVLRIAPFPPHWVANFVAPHLGIG MFMFWSSCFIGIAPVSVIHVTIGSSLDSMTSAADFHILSLRNILGLLAVVVAVLIPVG LKRVFKKDLGDLGEAEEIFNDTDRRNDRDIQVPPIEGSEIGGRRYHAIDSGVVLSLPS TGENGENMGNKLIKKGKGRALEIIHDEDEEEEEDGFYDEDGEDEWERYEGETAAEAST SNSNITSGQASPNSDSNTTPTPDVNVVGENGPDAQPQPQPQYQYEVYDPSGQIDSVRF NPTRTRSRSNSKLSQNGGTGVATRPKGYSRSNTNTSNRFRNYGAVQEE L199_005168 MSISNPSHLLISRNSPRSPAHPQLAHASTSRHHHAHVPSSPLPS PRQNFLGRWHQSHSADEISSSSYNTNRTQRESVRDGFEEDMLNRQTTLDSQNQEEGEE DVVIDVHPTFLPDASMPGKVKVIVGRNEFYCHKDVLWFASPFFQGLLQGNWAETTPHT NPTTPSSYAIDLSPTPSVHLPSSPPTDTDPPSPRHMPIPDDPVNQDAVDLDTDDTHPR DQSEVLPLNNEDEHHIAVDSTIRIRKSDLYIDGTDDPSVADILRELRELPETPDGVRA ISDVLEDNPPTLGEVISGHGNSVASLSRLTIQPPPPDVHLPDNSTPLISPSPSIESAQ TELAPSHQPSSARLSIRTTRTRSTIRHSFSSGTGMGMKNTKLEAVVELHEESPAAFHD FLFWAYPHLECKVTWTNVENLLSLSLKLIVPALQKLCEHFLMTHASGRPVMALCLAEE HCNAELYREASRFVLDQPSWDHNEMQYLSSQTQLKLSIRRNWFLERLLKLASIDVKKE YICRADCPDPLRCQTQLDEKWRQAYAAVTRYGPPQPSVAFRCLRQLETFPTNPSLVMS HPLCQSAAKTWVMSLFDRMFQPKLVYSNPGTEKYWLWINMN L199_005169 MSLLSGSIQPPLLTLLSSTSSPSLSPLFQPVTDDSKDSHIASLL DHATAQAPGSSRSVPHARAKGGILHNVVHIQSSNPRQTYIQAGCSLSEYRKRLEKGKA RDDGVLPLGIELPWIGLQVKRLGRRHMSFEVGIVDNRGREGIVRLSSFKKNPTVHPHR SPPLIHLPLQPPQQNPSTLTPWFHVPLHLAPLIQLFHSLPRPQRHASDDDDDREDSRK RRKVAELPGGTFASISYVRVYANCRVRRIWFSADGERTIQDMGKGVRDEWELYAADEM A L199_005170 MSRLPRLTRFVRYMHTEGRQIDHQGPTVTPPIHGHIPPSVSTIE PPIPTPEVVDTSPFVESSRPTHTSKGKIIPRRPARSIPVALPNGDPEPPSYPPPKEYY DNIDSNKRGKHPLWQFFHLPTAAKARIPPSQNKSPSDMGSLETLIRDDANLHSGRSWT AAELRQKSFQDLHTLWYVLLKERNVLATQREERRRLNIGHRVDGELLTKRAFRCRKTM ARIKYVLNERRLGLIAAAGPRFNVDPIHVPWSASPTTDPAGATLAIRGESPIPRHILQ SKSRSKDGALPASEESFVEDEEVAKEEVESRDEGFGGSEEAKQFDEQIKVDESGKVEK KE L199_005171 MSGPDTMSTNEGLPNLRSKGDASIELTTNDDRMTLVFREVASSE PTENSPRSLVLKDQYDHPLCAFHIGTAPTLSPRLEEATSAGSGTVHEGFHLSEEKGAS ILTCDRCDNKLSILDLNVNPELNKISQLLTGMNSKISSSSVHISRLNIDIKSLQREIG ELKSQNSELLAEKKSKQSQSQSDQQVSVIRFRDDDDGLGWEDPCLHCSQLLCYNAFVE HDPKALAVCSISGAVVFGAAAFAIGAGISSAADA L199_005172 MTLPVLFTSASKRVDLDEHTEGFAASRKPSKPQLDPAASANSRT DGNDLTSIAASANIARDIYSIYHTEPDCARWTRQMKNFAESLEKYNLDDITTKRLYED VNRILLCSDPGQPVSHFISSSENVVKSIGEMEKSLEIQKIWNTHLENTFNQLKRSLRT SQDTKPPQHVSVDPNNDLIQRISDLWDDHEHSAPGSVFDIISKRSLAVSAISVAVATY LVMGGRESTV L199_005173 MSVAPFDQNNDGNSSSVDPGKNDLSGLTLRPTTSHTAASTSASG DTKYIFSKEELSSYVNTLKRQLGKFTLENEARKDTVHGLVRDCETITKSIRDCSASDQ GQQKISQLSESSQDLLKVIQNDKSDYFEFRDAIRSLREKVEELDLIPKQEVSLINLSR DRSQGDNSYTGCISDFLDGLENNELPNVCVCAAGCCCTICAVSFSVASALFVLTGNSE SFWSLS L199_005174 MERSDQPSTRSGECPSPPARSLSNTRHDQTPQASKDCSRNSYGT FSDVVKEQPTFGSPEDMKYQLRISPQGETSEGASTRRIAPCTSPSEVSQGDSEESDNV ACAALHVLC L199_005175 MASLIRSTIFSTSSRLSRSTFISTASFASSSRHGLDFTRPRQFP PSTPTTTTTTTLLEEDPTSEPITESHESPSTSNQNPEPIPTPQPSPTSSTKTIPTPTL ASTPIPSSPARARSSKGSGLRWLAETDKSNDNNKHLVPTHTLHVRSTRNNIVLSFTDG LGPVFTNVSGGSDRQFKNSQRSSYEAATQASIKMFEKILEYHQTTTPSNRRLQLRVSF NGLFGMGREAIASALSGPEGQEIRSLITRVEDRTKIKIGGTRARKPRRL L199_005176 MPSNTLTTVIPDTASLVTHKALSVLYIFYIGLPLKIMNAVVDSI SEAVLASPSTPPGGKKNQGKADVSSGPGGKPDIVSPTATLVDTSSSSILTRVDTPHPE RSAPGSNRRVSISAASPIIATFTPERRPRQIYQYEEQEPHNLSDSEHSNDGNEPLLSP YQSVNPNNTFDSTATSGSDVNMTTISSSAPEVSFGPQEVPFPLLQRETRVDAHSTPSR PSGQTYQPRFRNTNTPPVVIKPITTEEVQSTFRAVTTATAVVSSGDDYDSRLLSSWRR EQIKTTRTAKLMAGTTPRPIPTLHGPLSLPYARNPSGVDATVADESAYLSHVFGLRAA GGMTLNDADIKTAARRVSSGTHSSGTTTSRSASGSSGLGNTTKSSQDRSVLTEGSSYS TAGGTHHARPVVIRDPYQNIGIKIKGVPPKEGPAVPLIRDSRTSTSEDKENLDPSSSK LRRRASETSLLEPRIGDAVIGPSRSQVNLRDLTNLSPIPGSPAENATRDPFRVLYDRA LTTSGHDSHTEGLLPVHPEATHSGSIKIPTLVPIYFNPTSMTYEVAVPQRSSYEDLHI NTPLGVVTPQTLKTSHASTVQPSKADSSDNWRKKGENADTDQASRTGLVVPANTPTAT QENNADPKGSSAEGDVMTIDTLFEKFHTIDEQPEASTDPTPSVASKASTKRESAKQPG LKSSKSNPASPKGTSVNVLGESTKKLNADAPPYSPSKTEKHSSSTKKRPSSPITTKGM PTPSKANSSSARGTPGAGKLLKKSGGGEEENTGSLSTSVTSKEKGKAKAGKK L199_005177 MSMNHHLPKKISLVPKKHHGFQFFLFLCGLLLPPIAVAVRFGIG KDFFINVFLCILGYFPCHFHNFYIQNIRNNQNRARTPKWAIKHGLVDNSDRERRARKN QWSKRFDERNAHSTLRDQELEEGEEGGNYDPSIRSDPEEVQRRRNEGLWTNDDEEYYN EDQAPNQRNWHYPANFEGTVGDGRSYKRGKSGTSGDRWERASARRSSNASSSGYPPVA ATDADVPEWGKDYGSKRRSSKNKKSNNKKVDWINDSPNDYNNNSWRNNGSQSSLSNGN AGGGRSNGRPAGSNGSGRAGGDPNWDHEF L199_005178 MSSSPPLTPPTAPLLPRSQAPRPNPPTLSGHSQSSPSVTTSISS HQRAITAPGLVNRAPSAPLFTSSDLTTSSSSYASGSGSSSKPLVTPTTSNSNTNFALG ALGPAFKEGVNQQNQTHPLSKQGLTASSSTSVSGLLSSASVQGLPNSNSNLHTPITQP NVLSASNAPSVNQTPQTGASKMAAAAKRGLDGIIPTPSAVGLAKMQTQEEEEGHPLSE KSLKERDYQTIHTLNRLFHLPSRWKLIRPLGQGAYGLVINVQDTYSGEPVAVKCITRV FDKVILARRALREITLLRHFGGHENLTGLIDLDNVWEGYNEIYLYMEPMEADLHQIVR SGQALSNSHIQYFLYQLLRGMKYIHTANVIHRDLKPGNLLVNSDCELKICDFGLARGF KPVTGEEDQNAESKLTEYVATRWYRAPEIMLSNKRYTTAIDVWSIGCILAELLGGKPL FKGKDYVDQLNLILGILGTPDDETLARVSSEKALAYIKTLPHSPRVEFVDLFPEADPD ALDLLSKLLAFDPSQRIDVTQALSHPYLATYHDELDEPACPEIFSKWEQVEALSTIEE LREAITREIEEFREEVRTMDDEDYYEEDGEPVEGEESWRVNGLAEGEQVVIHASPMPD ANLAHVEPGIPIPSNGEGVSAMPKGRTEISPKANFSPLISSSPLAKRQSIIAASPERR KSVGPNAAGNRSLPRTRDQSPVTPATALSEDSFGNPFSASTGRSSRRQSGHSMSFSMS GRRPNSFLFNPFGQGMTPMPTQGPAVGSVEMGAGSGVLGENGLPLQRTSSRSATGGGG RRSRAPSQSGNISQLIKRLSVVDYEVPNVGDKHGEKKESKEGNDQDEVPPMTVSPSDA PPSEVPKNFS L199_005179 MSDIELKDLSTTSSLSDLEVELKRLQDNHKELNDLMISQNQSGS DVDDETAQVTRLSWVAIGRQIAQVRTKINSQNANSSSS L199_005180 MVKSRASRGILLTSNLPQLQNLIKRDPEGYKEEFLTQYNHYLSL LKLQQVSSVTSTSTSSTTDKSNELFGDLITFISQVAQCYPTETKELPSQLKGLLLGTE GGQTVKGDLRRTVVKNLVMLRNKEIIDSIELLQILLPLLPQVPSALRGVIRHTILTDI KTSNQKTKNHRLNRVVQSLLFGMVESGMGAEVVGDKGKGKGKERGGEAMWAVMMVKEL WKKGVWNDAKTVSIVALAAFHPNTKVQSAALHFFLGSDNEDAEDTDSDEEEIREARRG VKKMEHRMEVGKSGRKKDRMLAQMKRETNKKRAKHAAGLGNTPNFPALELLHDPQTFG EKLYDNLHKHDKIYSLDHKILIMQLLSRVMGTHKLCVLGFYSYIIKYLTYHQLQVTLI LVSLAQSVHELTPPDVLTPVIRKLAQEFVHPGVGAEVIAAGLNAIREVCRRQPWCMEE DLLSDLIEYRKSKDKGVVTASRGLLQLFREVNPGMLKRRERGKAASMGLIGNQVLAYG HSKDAAEGIEGLELLEEHFAQMRKEANGGVSDEEGSDVEMAADEDDEQGWENWDVESE SGSESSGWEDVSSGDEDLEISDSDDEDDRKRDRKEKKLKKKGKNTKKDDSDDEDEDAK MDDEEDDEMDDAKSTVSAATTAVSQDTKKLSLLAQQKILTPADFALLNELRLKAAKSL AESGGGSGAKRKLAALEASKRHVDADESERFLTEAEILGPRKKVKATWEERMELVQKG REGREKFGSLKGKKKKETPSSSTNREKARNKPIMMALHSNKVMQKKKASLRDKQIKLR AAIDKQKKLKH L199_005181 MSLPLNNHLHSGHQRTDTESTYELLPLTETSSASPGEKQSRRTN MDNYQNHVKKSRHALPTRLEKLYMAVAAFEAVVITSIAFAVFGLVQANIKSQNAKVRT VPVYLAVFIMAQIFSLLYIFDALRARNIVQLIMHLFFNLCMLIYSILQIPQTKNALSN DNAPGACGSFERCTGPDSLFNLLQKLMIVPPIIFGLCTIVFCVLIKFIHKVWGWSVFR LVGAQPELKRAHRHYQTMISLLKMLLFFGLAFCTAMLILASAWSAKRAEFIITIVAFP LVILFMLGCGWALRKENKPMMAACLVLMVAGIAYFIYKLATLWLPRTEGLYSNTKITM AIFSIFSIIILLATFILSLLCINDFGKGLIDAHRNPENRTSLWSLPANARFEKRMEDA EKYGKSEGGHTPMIGGEEGGRNERLVIE L199_005182 MISHPYPLGTHHEPTSPRNAPSRSQSTRSIARHLSHHSAAGRTE EEVEGEGFRRLLSPSPDDQPSSLENTETEIISTKSRSILTGRDNYYGTKRLRKVIKVE GDWDLIREVDTDGYDNSDNRT L199_005183 MATLSQAQAQSTPKVLVYTATAGYRHDSIPTAIEVLGDNAQKYG VEFTFSEDKSLFTDENLATYDGVMFVSNSDEVLDSSGQAALQKFFQSGGVYTGVHAAS ACLFNDTNYQQAVGALFDYHPPIQDATFTRLNDSHPATADVPDRWSFQEEVYYFRSNP RDNGAMVIMSVDETSYVDNGTSTGNYPSMGDPHPIAWYIDQPLSSQPLAEGVSKPGRS FYTSLGHLNSTWQNETFINHVMAGLKWALDGGSTKAYGVGLVGNGNGSNSTSSNSTAG GSSATSSGQTATFTSGGGSAASSAGGSASSAASSGSTSSAGHAIQLDRRSMGLGIGMI GAVGVGVGLVL L199_005184 MPRIQLDYSLYLVTGREFLPPGKDYYESLEESLQGGVTLVQVRE KDADTGEFIEVARRTKQICDKYNVPVLINDRIDVHLAVGTAGIHIGQTDCPLPLARTL IGPDAIIGLSVRNIDECKRAIEQGADYIGIGSVWPTGSKDIKGRKCLGPDGTGEILDL LDGTGIKAVAIGGIHLPNLPQLLHGSISPQTSNALDGVAVISDIVSSLHPREAAVALR EIVDSFKRARNSLKDNKGLFGTSSSTSEELNEDRLVEKVQGLMRVLEQETPLINQLTN KVVMNDSANVTLAVGASPIMSTNPRDVYDLSPAIGACLINFGTVDDKEGMKVAGRQAN VNRKPLVFDPVAVGATSYRRETAEELLAHWQPTIIKGNAAEIGAMAESTEVASRGVDA AGSGFKDPAAIVRALAKKRAAIIVLTGPTDYISDGHTVLKVSNGSHYLEKITGSGCQA GTLIACFAAASRSFYLNENEPFEDDSQLVQGDMLVAALAGVLIYTIASEVAADRPDVK GPGTFRSALIDELYNLTPEVVQQRAKVEIL L199_005185 MSAMDTPLNDEDSGDISLSSSHFHQPQPQPRRPSSSDQSSSSLQ PQSRPQAHSRERDVSVPNQRLFGKSLFTRSPGVPDAGPSVPSPHQGIVNLNDEVDSLS LPTTGNDRSTNNSPSSSSSSARPSSSRRSSSGLGRSRSLNVKLPSLSTDVPRQSGPNS SLAPSSSSAHVHSTPSSKIGWSRRPGEPRPPPLVNDLTSRKMSRWVKEVVVCNFDLER GPVVERRAGDRRWGPGEKENVAFSSFPDTSLFSEGSILFSFKIRHIPPDPSSLTQPEP PSPMPDRVVKTVEEEMIDLKVGDPPGEADVLPGGPGNGNGVIGTPGTAKPGDKAEEYR KWDERGREWLYGFVWFEQRRDKGITRGYMQKSLVILTHLPFPALFAAVLQKVAPVFFE YGYSALEAACHSIASWPDPTPDSILELPMLTDLINVKLPDTTESPQIGKAFGISSPTS KQPILAALPTSTPLRAFASFLPSLWSLWECLILAEPLLIIAPDPKTCSEIVWWLRDLL RPIPPAGDFRPYLHIHDHDFSLLVNSNKPQAGVIVGVTNPFFRNAASHWPNVISIPSQ RTRRIMQNGASPATTAVASPGMRDTPEGFLSRRHRSVQKDRVLLKRLEGLVAEGNLDD PEGNEALRTHFQQLTERFLVPLNRYFQTLVPTLSSTPIPSSHSPIPNHITSPLTSTSS TSISSNTSTGYTNQHTAGVIKPFSLPNFLTHLRNHGPNPLLFKTKGLSTKSRVESDFY ASFCMSSSFAKWLENRVNSLGLALTNNNNNNNTLNVPGRPSTPQPQRPNLPRSISASV GLGILGGLPSSDGRVSPNSVVQSESELSRVSSDRDSSRASVEMENGPEGKQQREREGS GMTIISSSQNRNEQGGWFDTGRRASEGMVKFNGGGSVGR L199_005186 MRLPSLSFSLYPFLLALPFLSSANAKAGDTLYTNSVTYCAEAKA VLVDQFDIAYHKSNGSITFSFSLASVESNLNVSANLYVNVYGIEPVNQTLDLCSYFQG VVCPLPQVNFTGYGTYPIPTKYSSQIPSIAWTIPNLEAYARIQLLREETGEVAACLQA TLSNGWSVRQSAVSWATGMFTLVALLVGLFHTGAVNSPSPAQYRWFDILYLFQSAAAS GLMHLNYPLAYSAFTQNFHWAIGLFKSSHIQNSINTMRSKTGGHLDSNAYSDVQYINR KFSPYNVYASMNEVMSSKSTFQTFLADNAIIPQDSNVNNLELHKRARIASALAQNATS ELSSGLPVYTNTLSIPTANAFTTVFFFFLAFIAIAIVFHVLLFGVLFLVERSSRGKRE IGWAGRLRRMWWGFCAGNALRLCLIWFFPIFIFGFWQFHVGDSGLSIFFAVLSILLVL VPLATVFVLSILRNRRLSSTAPGISPLYTSYRWFHSVGVLYRAYRQKFHFFWFAPLIL GMIAKSAFISFGPTSAWAQVIGNVVVEFIVFISLLACRPHKDRKGDWITSTLALFRLI AFGLLIAFIPSIGVKPIPRAVIGFVIIVAFGLPTILLLFGLIWNLGYGYFWRRHTHRI EDGLEVERFVASDDDSTENQPAMTQVEPTLPNVTSRDMNTDNSRSPPESMLNRRTSIM EPVGDNYYEPTFGSKHLPSFSGTSDLSNSPPTRISPDFNNNNNNYIAETHGEEEQIGS GDRISAAQAYEQAAKGGFTDYNEKQTYEHQQPMMTRQSTASSRPLSGHSNYYTPSTGL HDSTNDQYFSYNKQG L199_005187 MSAEESTSAAPAVDASAPAETVEKPSSSSAPAQATAAATEEKPK SSSPLKKPPSSKPRGRPSTGGGASNKKSTASANNNDEKRNFEVGEIVLARLRGYPPWP ARIANPDTLPRNVLKQRPGKNPLIFCCQFFPAGDFSWLQSKEIKPLSQSDISAYLGES HRKSAGGLREAYITAQDPTEWDAQQADLQQAKEDAENENEVDEIEDEEEEEATTGGKR KRAAPEKKKDGKKAKTTKSKDAVKEEDISDIREITVQCDEREEMDNSWNFRPKEHSGY DDSKSKSKPAAKPKSTATKGSKPPASKPENQEPVDDDPLASNPECVKVKDWRHKLQRA FLSKSLPSAEEMPSYDDLFKTIESYDSMTIDALQYSKIGKVMKKIMTLNEIPRNQEFK ITDRASKLMHQWTDFIASSENKPNGAANGEAPASTAVNGEKKEEVTEKKDSEKMEVDA L199_005188 MRSSSSLSILTAALLASPALAFRDTSPLLLWNTDPSRAFEEASK LLSGSALAPADEVYGTLSSLGCDWETVVVVHKDQLHSSQLANLNYPTHDAHLHIPYLT RPHKRGLDGALQGWAESCGAEVVSSFDERVEGQKNVILIESAEAESFPSIPSSLPEPY ILLLTGSSSSSSSQEKRQERPFPTHITHSASATTTLSGPTSTSTSEPSNTPNRNSTIP SKDAPLLERVQLLTTPIITSLLITFGIFLPILGFGISMLTSIQVPPRMLEIGKSLSVG KDRKDQ L199_005189 MAESSNIIFEDRFTVETVDKDGKKFDRVSRITAPSHNLNMSLTL DLANELYPLEVGEMFTLAIARTLVPEELNTEEDEENEDGQGQSTKKIKRELWRSENMG LGEDYDYVMYGKIYKFDDSAQGDNQTTAYFSFGGLLMALRGSYRHLASVVVGENVYLL MRK L199_005190 MSHGDHSRDPCPYVILNDFGGAFAMGAIGGGIWHGIKGARNSPR GERFVGSMSAIKARAPVLGGNFGVWGGLFSTFDCAVKGYRQKEDPWNAIISGFLTGGS LALRAGPRSAFGSAVGCGILLGVFEGVGVLMNRMFAQPIPQMQLPEQAPASPSPAVA L199_005191 MSYFMTHLHSGWHVDQAILVEEDRVVCIRFGHDHDQECMALDET LYGVSEKVQNFAVIYLVDITQVPDFNKMYELYDNCSLMFFYRNKHIMIDLGTGDNNKI NWAITDKQELIDIIETVYRGASKGRGLVVSPRDYSTRQKGR L199_005192 MITNLRLSPATLHPLKIHPLRCIPPSARVRIYHSTSPPEPPHHQ CPSCSRSIPLPLSPCPNCSALLPLPSTLSHHSMLYLSAPIGSSPNGPFDIPRELGHLP SHGFGLDKSDLRSRWVRRQRELHPDKFTSKGDKIVDLARELSGRVNEAYNVLGDELRR AEYILSIYDKATEETDKLDDPMMLAEILEAREELEEAESQEDIQRIRSDNHAKVEDII AQLQSAFSEDPPNLDEAKNLAVQLKYWRGLENAAREKSI L199_005193 MTDPPRAYRAGLGLPQAVIIRSSPGPLPTPSHYHSSLIEDQSTN ETEEETIRNAYRGVIPKETIWKEWNVNPTSHGPAKLVLPPKFVISSTQYDELGRSSND GVDVLVDKGKTREEGEKKESGRDVADWYMSLAKSRSGSGTPANVSSTNTDTNTNMAQE VKTIIQDSTTQSVASSSTSIPTTASSSSSTLPSSNTQKPLRVHSRDWFIRRALLHSSS STPVPPSRTTSIGNLLKMNPSAPVKRQYEPQYVLGPENKGYEILKDRLGWHGGGLGKP DGWEASQSQPEESGSSASGSSTVVDEKGKVKEVLEIDDNGQPIIDLTLDSDEDFDGSE EEKKYGPGRTAPIPTMLKLDRKGLGHTHNSRNKNIASLAKKVTHSHKEIEDARRRSQY GRGKGHGRGLELGKKGKVKWKERDKKDRDERRAIKAALG L199_005194 MATKSQPSTELDALELSDRSKDTYLPTTDVNGQNTAQLPQTDYR YDEEAAANGRYRKYPNGVVGLAKRGMDVLVEHGVEERGIEPRPEDDRDTLTIWSYLPQ TTLWAAFNFNILSFSEGVIGPALFGLNWRASAACIVLFTLASGIPVAYCATNGPKTGM RQMVQARYGLGYFPAMIFGLINCATMIGFMSLTAILAGQCLSLASNSTMSYNVGIVIA ALIALILSFVGLKALHIVSLTAFPIMIVVFIALTGISGNKLHLAVAQAAYDATGVTAS GVLGYGASLIGFTITYSSLASDFTTSLPAHTPRLPLFLCVYLGLTIPIISITLLGAAC QLASYSIVSWAEGSEVGVPNLIFEITGSGGGARFVMVLFCLSVVANTAPTIYSAGLSG QVAIPFLVKVPRYFLAVIVTAIYLPIAIVGASSFYVALENFSAVLSYWTALYIPPTLI EPMLFRNPVGRKTYPVEIWNKIGKLPIGLACICSSICGIPIIVASMSQSWWVGWIARK ITAGSGDVAFELGATVVTLVYLPVRYLERKYTGR L199_005195 MKFGRYLVENQTPEWKRAYIDYRLCKKKIKVVAKRLGQVKERKE GEGEEDDQDGDSSGADDDHGPSAPPRERHTPETIKGSLKLRMTASGADTPRLNRWTSR ASERSQKYGSTGTSPRPPQPSSERPIPPPLDLGSPSVNSNETNVHKPDPSPRPQDDSS RPSRSRADSSSRSRKGVVFSPNLKADAIVETTIEEQSEHSRRSSGSNDLIESDSGTPL TKPTASQKDEVSEGRRGSTTTAKSPKLWSPRLSSSAATPRDSSSAADTPRGVKSPRLG PRSLRSMTLPSPALPLRPAGPPVRTADNFDDLYKQLEQDEKDFFDLLEHELDKVENFY VAREGEAMRRAHDLRDQLRELAEHRKIYHELYPHGTPEWETKVQRILPIPQAATNVPA FNKFKQKLGLGPPDTDVSQNGTGNNLQVPGTQGPKRSNSPIPMMSENERSSLREAMRA DKDHQTYNPERYQKYKKELKLAVLEFYRQLELIKNYRIMNLTGFRKALKKFEKTTKIT CLEMYTDERISKATFSQSEAIDGLIKQIEDLYTIHFEHGDSKRARDKLRRQTTEKTHY DSVFRSGIMIGIGLPAAVFALIESSKSHVREEIPVWGALLQVYGGLYLPIMFAMLFQL NLGAYVAARINYEFVMELTRPTIDYRSFLEIPAFLFLTLSYCFYFTFAQIGSENVSPT TWPLAWLVFTVVFFLNPLPVLRRGTRYWLLKVLFRVITPGYSRVEFIAFFLADELNSL VYSVQNLYFLSCGYAKHWPADVFSVCHSGKSWPYALLACLPAGSRFIQCLKRYHDSKL NIHLINAGKYSSVILQQCLFVYWRSRGSLINDKSLIVWLIFAIISAVYTSTWDLVIDW SLFRPQAGLLRKDLGYSQRYVYYLAMISNVFIRFVFIWYLPLSTKNVRLKSFFFALAE MMRRWQWNFFRVETEHLGNADAYRVTREIPLPYRRINNDSDEDGDQEMDGEVQKEKKK NTLSVHLNRLRLRHNIRQHQNTDDESSTPGHGQGEGRGPDALNAGPRGYRAERDYEAR RPGDWSGVNSPRGGQAV L199_005196 MGVCYSTHNSADCCCDDPCCGPICDCCCDRSRIYPWGPRGLTDL TVFPPPVLYPTPIGPAPGPIIVQPTPVQPPLVSYPSTVSQTFVQPVQVPTYPPRPQIS QYTSPPQIPRYPSHSQQGSYIGPQAERPAPRPSSRSDRRYSNDQYPHHHRDRRYSLEE RVIAGHSPRIDPRRALTPEPQNHYRPRNHRSASTSRRIPERQYHNHQAVFEEEGTSSS SAEERTTRYVRPSHRRAASTSAQRQQQYLSELAQERIPDPPRRSFDHSSRDYLVDIDH LHPHSHQLKSSSIASNRPSIRGHGRTPSTDHLMVPERLDTLPQRKRKESLDTLRNHPV SEHNPTIAHSRNHHQPSPTLSRDTKTPTPRQNELHTYGQQEQQQKNEIKPSTTKGKVY HTPKPISKELPAESRKERHNRVRKLSSREVLYPTPEAAKEAFRAERAINPPPKHRRPP TPYTSSRRTSSVRRVESDRSIRSRVSFDSTRSRKSNRSNRSNRSTRSGRTYDSDSDSD GTMGSKWEPSFGSNVGIGPAGEAIEVIGLGRKKPRHRTFNAGMLKPALRSTSRLNSKV DLNENVQSSSTKREKPLPSRPMSYVAPLVNSLSKVTAPVGKKIQKRSSHQPTFTSFDH NDDNLLGASSSVNPLSRSVSRNNGNENGIRSLFSSLSLGPNSSTASSSRHDIGDSNSS LINSGVGNETRSTSIAPSWASRRHLISPADDLHSYLRYAEVQSWDRWPLTPASQKRGL GLWGGRRSLGFDEMSWEWHRRLGLAEEARIQRRLLGSWEMQRGWEKGILDWLDENVPK HPIDMANRWGTQIFALPAEGFDTLEFFDESINQAEDYSLLSWVTGTLLQTAVSTLHML RYSSSTFTFHLIPSPRPPHLPHSSSPPTARGKNHFLWEGFGTMVLVNKNNDIDRAMIL EIRPPSVVNSSVMKEFARGKNSEGWWGFYGDTCVGEVGQANLLQAQVYDDCIQNQCYF FAVTNLKYWVFGQFNSNWSRCTVSPVIHRQARDPSLMQCLTAWVVRSVDERPRAGENH SIMSSQLPTPPQENERERGRHRRSQSRPFDIPSYSENLRSRRSNSRSSFSLPPNPQGY DYSAPAPYSQPNIGPTPVYPITTPNGSQTVFPVNMNMNVSSDYSYGLPAYSDIPHPHP QSTMSPSPYSQPTPGPGGMMFPQWSGMNVNGTSRALSPAPPPQHTGTPMPYNFGNSWY GGGGGMFPWAGMM L199_005197 MFGAFRQSSILSGGLLWKTPWRLSPTRKANQRKRLKQVDSVISA VAQSGVTTRSLEKALALPMESEMNPRDKYTTFSKHHRGYRKSQHKVPKWTRLTLRENP KGF L199_005198 MSMPPPPPPHKGKSPQLSASDPPSANSNTKSPSLSPSKARSPRT KPLQMTGITPPAPPTNTGKSVDPEVLADAVSKLDMIRSAPAPMSQVNSPAVTPGASGP SSPRLFGIPGGANMPTGLERMPSTDGKSSVPGTPHFGAQTELLRTLDESTRILRQSSK APSRAPSVSGIGTVVEKPDYSEAKIVVAMVGLPARGKSYLSNKLMRYLRWLEYNVDVF NVGQLRRSKARSALQAGKGKVDHSATYFSHSNAEASKIREQLANETLESLISWLKKEG NVGIMDATNSTIERREWIRHRVAQEPNLQLMFLESFCDDPVVIAANVALKASSGDPDY AGMSREDAVRDFRKRIEQYESVYQTITEPDISYCRILNVGQRVTINRIQSYLQSRVAF YLMNLHLKPRSIYLSRHGESMYNVEGKIGGDSDLSPRGWEYARALPALVRDNIGDGPL EVWTSTLQRTQQTGSFLPFEKKTWKSLDELDAGVCDGMTYEEIEEKYPEDYESRDEDK FNYRYRGGESYRDVVVRLEPVIMELERQENILIIAHQAILRCLYAYFHGKTQEELPYI KIPLHTLIKISPRAYGCHEERYPLPIAAVDTHRPKPKGKKGNTPSAITPAAAENDKKE QPVQDGKDEQDFNADSNAARDYFGDKAGKGIGVKPESVSRILEQKVKEGNIKPTAMSG L199_005199 MSSYYGDRSRDVPSWESLEAPDEDDIVDTSEYAYASRDHILFCI DASKSMQTPFSDTTNDDGELVRGKTALHQALEAVVKIQRSKVITGPADSVGVLLWNID PGNTPSSSQASYKPGTQVYQNLRTINAEEIKRIVKLMERAKDQYDAQGKDEDVKTEQP EVFSETFPPCDQSEEMNIADVLVTCNFLFRDAGTNLAGNKRVFLVTDNDYPPGSKMNR EPARTVYGDLSSYGISINTFFVDRPDHKFNPTLYWNDILQREPDQNAPEEDAPPDADG LAELAEVMNDLVIRHAPKRVQFSIPLKFGGKEGEIEIGISGYAMVSTQGKGQPKLVRM RGQTVEEVQIKSEYTSAETGAVLKDTEIGQAFQFGNESTVKNVIEPNWWESAEHQVEQ QMVADEVLRLDKERRQREDEGGDEEVPGAEGTQGKEKLHLGDEKPKVVARTRLQFTND EVAEFKSMGLKPQIKILGFQSPDHLAFEENLKHSYFIYPNENEYTGSTRTFAALLKSC IKFNKHALALSRFRTNTQPEFCVLIPQEESLTKDGGQDQPPGFHVIILPFRDDIRRPP KNMIENLLASDRQAKLMSNIVKRLRIKAGHYRSEAYPNPALAYHYAQLQSLAFEEDFD PSSTAGQDLDKTFPKWWGMHKAAGEFMSEFNKSIEEDDRAVESLKGGTKRSTKAAAEE EIDEADLKDLRGLWKLDKLDKAKVQEIRDYAKFHKISLNGKTKKADMVGILSWYFQNE QTDDTNGKKKSKK L199_005200 MTGQAFEPKNMLYRNLGNTGLRVPVFSYGGWLTVGYQQKGDIVK ELMQTAFDAGINMFDNAEAYAGGESETQMGRVIKELGWNRSDIIVTTKIFFGVGRKEK HNTRGLSRKHIIEGLNDSLKRLQLDYVDIVFAHRPDVTTPLEETVRAFNYLIDTGKTF YWGTSEWSAMQIQQAHEIARRLNMVGPAAEQPHYSMFHRERFEQEYEPLWRYENYGST IWSPLDSGLLTGKYNNGIPEGSRYHSNLNGAMDENVKHLTSPEGQAKIEKVKKLTAVA ERLGGSMTSLALAWTLKHKGVSTCILGATKPEQIKENVKALDIYPKLTPEVLAEIEKI LDNKPALPTAYGRRSDDGDLI L199_005201 MASHESSLEYERTPENENVKVPSIEEKHVNNMFANAASATAKEH KMTLLEGIKLYPKAIGWSILISSCCAMEGYDVSLVGNFYAFEPFNEKYGVLGSDGTYQ VPARWQSGLSNGAQCGQILGLILNGLCTERYGYRPVLMVCLVWLMGVIAIFFCAPNIQ TLLAAEILAGIPWGVFQSIAINYASEVCPIPLRGYLTCYANFCWGWGQLIGIGVIRSM FDRDDQWAYRIPYGVQWVWPPIILIGVIFAPESPWWLVRKNRIEDAKRSLIRLTSAKR NPDFDVDETIDMIRHTTELEKEITSGASYLDCFKGIDLRRTEIVCAIWAIQNLSGNTF SNYSTYFFKQAGLDGTIAYDFAMGQYGINMAGTFGAWGLMALGLGRRKLLLFGLVGLS ISLFVMGFIGLVPDEHKHAASLATGTMMLVWAVNYQLSVGTIAYSLVGEISSRRLAIK TVALGRAAYNVVAIINNVLTPYMINPTAWNWGNYAGFFWGGSCLLCLVYTYFRVPEPA GRTFAELDILFERRIPARKFATTDVNAFDPTLRHHFGGGKDGSAHVEEKETQSA L199_005202 MAIPRRTTTSSGQGSSYADVSELDKYKLVSNIGKGSFGVISKVQ RVTDGKEFALKQLDYSKMTEKDRKQILAEVAILDSLKHRNIVQLIQKIKDPKNERIYI VMEYCTSGDLGTLIRKAQRSNQPIHEDKVWNIFLQITLALHHCHWPTERPSKLGVARL SQGTQQTADGGIARYQVLHRDLKPENVFLSDEFVKLGDFGLSKDMGTASFTSTYVGTP LYMPPEILAENRYDTKSDIWSLGCLVYEMCALHSPFSQAQTQAELISLVKSGKLPSLP AQYSPALKNVIRAMLTLNPIKRPSTKDLLEMDEMKLHRKLFTVQNQTSLLLSKRDELK SYEEQLRAKSSALEEREKALVAREAAIEAREAICESRDEEATETQRRLNQAAESLRAQ WERLREEKEKLSLMAGGQEVLVEDARPAKSSAPPLSRPGLEERSNTLPLPVTSRFSRL AHPAYGDTPSKIPLAAISSPTPLDRFAHLGIAPKAATPLRRNATKSLGNLAAAAKMDA VRDAATASAMAEATPAKQINRGISFHSRKRSSIGSPDDLKNYCEDISMAIATPMSVAS PWLMRPRRSSLVPINSNNNGLGSTSSGSGSGSSSGTASSSNPESVLPPTMIPAPVATN SSIYREAATPAKWNMEDPDLPSPFLKRPSSAPMPSHTTSSSERQVLGSINPPQSQTTT TSIYPNLSRQQTDPVIKKPTGAGMGAGNIPRSKSGNLNLHQHVLRNNAIVAGRTSGDG LPGQVQGTIRRLGGGVGR L199_005203 MSASGSSEASSSRSQSPSGSASSSSRSPSPDFDPSAPEPSESNK QFSDLGISAELCAACSSMGFKKPTDIQIESIPPALEGKDIIGLAQTGSGKTAAFSLPI LQSLWENPQPFFALVLAPTRELAYQISQQVTSLGSGIGVRTATIVGGMDMMSQSIALS KRPHVIVATPGRLMDHLENTKGFSLKSLKYLVMDEADRLLDMDFGPIIDKILKVIPKE RNTYLFSATMTTKVAKLQRASLNKPVRVEVSSKYSTVSTLLQHYLLLPLKAKDTHLIY LTNELSSSSMIIFTRTVNDSQRLSIILRRLGFPAIPLHGQMSQSMRLASLNKFKSGGR SILVATDVASRGLDIPLVDLVINYDMPTNSKDYVHRVGRTARAGRSGKSITLVTQYDV EILQRIESHIGKKMISFDVDKEAVSLLTDTVAKVNREAALEMRELGNGGKGGKRGRDM GSKRKFDDGDDRDRDDDTHEAGMGMMKKRNGNGKSNKFSNAGKKKVRR L199_005204 MVSTSTPYQNFAKVISSYHDQYAEAGPSNSSSLDSPIRYEAVLD EEGGLIRAFMGALEEVIKSKAPTTNSFEIDGEEQISLEEHQALLSEHRTWQLLRAVYE NQLHRSDPNFIPGSSKQQMLENPYTSPEDIMQILVNEDPELSLWATLVDHLQTRPFAS VAPPLEARHGYLPSTLRRAKTARSAGGISLDPDFTLRDPHGQGLAGEDQTYQTPLLET LWDLVRHGELDQAIKVCEQGGEPWRGATLMGGRRWTMGGMTKESIAISPLEGNRRRAL WKKSCRAIAKNPTLSPAERQLYAALISDLPTLLPSCEDWEDHLWAHIQHRIEYRLEQR WHELGGYWEEESGLLGRDDEDVEIAQGGLEEVFKKMQGVQKGGVANAMSDPYHVAQRM IILGRTDLLFNQFADQISKIEASVSPELVGPLVRFFAHLVLTLRTLGQSVPDSAANAI IQAYLTILEREGNDSLVAMYAACLREGSGEESYARFLRAMDHSATREQRTEALSRAKQ HNLDVAIIAKETVKMILSEAFEDIPSLSSSEPDITAVSVGLSERDVQLIRSIEWLTMM PETLGEALIKSNDVARYFLALGKANAAQSLIRTLPSSLLEILSNSSMAEDEENHLIEH QEYLKLFSIFSSYEVLADLESDPNRRPKETSTKLEKHNYNKSLLGAIDRVWERTIGLL QPTEEDDGWLRFRVSRKFENGSKRKVELSIIRRLFIPSLILQLHQLLIQKSTTFPELL DKALELIKIVAKEDNHLYEEFLPSSTGGGAGEGKLGLYLERVREVGMVALKVGKGGLF GVRN L199_005205 MSSDGDNDDFLYEDEYDDQFDQDDIDSTSEPDVYDAMSPAIEEA PQKKPYDVSWKVKTLQEIIDMQNKEITKVQSLLEVPASSAAILLRHYVWNSEKLQEQF WNDPAQALEEAGLSPPSSPTTHTSSLPSPSSPIKRSTRSLKPGPSSFLKRTKSAQPTG QFECPICCMEYDYSSTYSMGCDHRFCKDCWIEYLTGKIKGEGESAKIQCMESGCKRLV REEVIDDLVTHDISKRYHNLLNAAFVADSPTLRWCPHPNCEYIIECSQAPTRMLNQLV PTVECKCGHDLCFGCGYSASHRPVLCRIVKLWEKKCADDSETANWLQANTKECSKCQS TIEKNGGCNHMTCKKCKWEFCWVCMGPWSEHGTSWYQCNRYDEKSGIDARDTQAKSRA SLERYLHYFNRWANHEHSAKLDAEFYQKTEKKMEQMQNSGNLSWIEVQFAKQAVDAVI KARITLKWSYCMAFYLKRNNMTELFEDNQRDLERAVENLGYLLEQNISDTDSIAKLRQ DITNQAAYVQKRHDILMDDTLRGYLERRWEFTVDT L199_005206 MSKSQDLTPQPHHARRLLSELICYIEGFDLTQLMYRAPILDRIR YEPWGQDEIMGWKKWFDGVENHMRLLDAMIESGIPPKDDPIPTVLNLITYWKIIITSD SPIVGVKMSMGGDKRLNNPWPMNKPPHGGKIKGKGKEKEKEKEVWVDVIAQGGREWKR IYSKKISHLLAEFREADSYINSDYDSDSSSTSASSSKSKPIPGMDNSLITTAQDLLRA ASLVERIPGAPVPKITIHLTRIPSSPKDIYRENDPSLQRDSEEAEEQEEDVEWPDDRI PLTFQKIRELGVNLTFGDLSQTSLSSLHGPTVPAEPIPSLKFNLDITTLMGLCSDVLH HPLPTSKDEAASRSLRPTSHLLRNAEGEVVLVSRGRDGTGKTKNKLKRQIDIDNDEEG EYERLKGQSQNSIELYKCILEEMERPFIEEFNNVIQQAWKDQRSNSSRRLDDLKVDGD PQQPQVEFWTTLEAAQYTYEALSSGPAHGHGMEQLRMRRMLGLEQGYFFEGSRYQGNE GYLKDLKIKIFDLDEKVFRPRSIEEVLKNARERGEGLSTTNRNATDQIRADQVGNGDV GVDGKTGFHQTLYSITSLFLNQYYLSLVPDIGNDQNIRKSSNGNEKIKSQNLTINLPN FLQPRKLPTPPIAKISLPFPVVSLHSLNRGAKEGMTTIMMGTATLKEVWTQVTWRLRG WERGWYNWDDEERKREKGNAAVMIFPYRVFGEGKRVRFQKGDYSYPTTDWWDEEETA L199_005207 MSRQHLTKLESQISSLKHELELATFLNKGILQTNTEYKLRIAEL ESENIILSKAESKLSETEDELEVTQKQYRTLQGDHVDLLNAHNSLLQGHSELKDEVRL WQDRYQDLRKSIKGLLDNGQVDVDADGDGQSKRNSITPISKSRATSASASISVLAKRR TNATTRNQEDQTKIASSSKVPLSVSPVLPPLRSQSKKRRRVEDSVSDQESGDEQIDQD DRENHEDRYHSHNLHTPSPRNTYSSRTKYHTTTRTPTIHPNSNSTPNGKPRSSTASMT NKRKTNNQNQSNVKVKDEPISPDNGRRGNDDTQYSDDGDDPLAMM L199_005208 MSESPERRHYRRDSRSPRRREYVSKGAGRSSSRRRSPGPSKPYD RRPRDNGYGDRNRVRDNESKKGYSEKDREKERAVDRKRYDGDVKREDPDAPTVEPEKP NFGNSGLLAKETNTIKGVEVKYNEPPEARKPTKNWRLYVFKGSEQVDLIHIYRQSCYL IGRDTVVTDIPIAHPSCSKQHSAIQYRQISEKNEYGDVTTTVKPFIIDLESTNGTYVN DVEIPKSRYYELRGSDVIKFGTSSREYVLLAEDASA L199_005209 MEILLDDAAPEITFFSNADGWIDDHRAGTQYSDVYLSSYSQKTF HATYTDGDYMEYRFNGTGIAIMGSKRKNHGVYGVRIDDESETFYSSFSADPVFQSELY RRDDLLTDKEHVIRVTNYPTRTDPQPSSTADSWLDIDHLVISHDISGQVYTTTLDDSI SKITYDASWSTDTVDSMNYNSTIHLTGQSGATMILPFNGSSIQVFAGVNVDHDAYSVS IDNGPERTFNGSHFERLTQVPHYTASGLTEGPHILKITNTGKISSPIVGFDFAIVNTT VNPNGETSGTVTNQQLKPTFTSADDGSKSSGSKSTSVGAIAGGVVGGVFGLTIIAVLA WCLLSRKFRHTSSKSNDRHKSYIDFPSGSTNSKSMSVVESKITGSRMVSSSSSKDDSS PTTSSIFGSLREFFKKKPYEEEEGISTTRSNSPEGSIAYFYTPYPNRVVKPPSSAGLT SPSSAGQGDSFDNQIYRNPPSSRNRPPMTQHVSQGSRSGHSITSRSSSYSQGGETYPT DRLENIASRGPIPLPSPPSQESSDYEHMRLPPLPAATTGIHNGSSSSTRPGLSHADAS SSLLPSLMVRQSVAPSLPTIEQHNTSSTHVSPSSTPPRSQATSHQTPGDYKRSILGLT LDRRSPVERSQSVEGNGNHDPNDARRLDMTPSVLDLEPPILSPPPEYGHIMAAQQQHG RR L199_005210 MEIVIDDASPQISYYSSSKEDGWIVNHSEGQKYSDPNTDKYSQS TFHATFTDGDRMEFRFNGSKIQVFGAKRDNHATYGVELDGSNPIYTSGHTDNARYQTV IFSQDDLPTDIEHVITMTNHPNGIDSQSNQTLKWWLDIDHIVITQPIEDEIYTTRIDD TSPSVIYDEDGWTTEGLGDSQFYNSTQHTSVIPSSTMTMNFTGSSVQLFGSVNDNHGN YSISLDGVIEGMYSATYWEHLSGVSLFLASGLEDGPHTVQLTNLGKSNITTIDFDYAV VNSTIKPANSESHAATVSISDGSDSSNDFQTEVGVDGGNGQNSKSNGGAVAGAVIAVI LVVIALGLGGWYLCRRKQGKSVYPGSSGRSPIKNMSTRVINRLPLTVQRGSTDSKQEW ARLTDSLPSDDTTASFDASIPSSTRTSSSRRDTVIPSLASFRASFPSLFHMSFRSSNL PMVEPNIGDDKRGSKSNLSKFPSVVPPQSNQTNPTKTGQNTPRSSYQTYPFKANAFTP RSSCQSNGLKPSDVSQFTRSSRNPFGNDHGTPPYQAGNVEEMNGSRQNLSSAIPSSAA ITSPMFSDFFSGDNANHHLESDCPVSHPQPSYMPNPRTRISVAVPMRHLSVPYTATMA DLDSSAYTTTSIFSSRRGTGISEEEIDLGLFSIPEFAPPAYAQATRISLGPGRTEELL RAASNASSA L199_005211 MVTGKNSSRLTSDQSLYFQLDDDKADYFSPLDAPEYTFPSTTAC WGSEPEPLTVLSLPRDSFKLPRDASVETLVQNAIDNDDVISPRWLQKVAVVHDGDNDD DTESGISGSQLECLKNRYGTTDITLDSRIPMIDQNAKHGAPTVHTLELVTTRDVASGA YLAKSMDGVLKLYPVYRLHPDKTSAHVTGCYPSPEGDGTYKTLNKTDSRKHNLIPVRS KSSGSGPRVTVKDIYDVQGLVTGNGSRICTEHGEPAKANATSIQALLDSEVQIVGKTQ CNEFAGRGPNIEENTQFSYPWSLRADGYQSVGGSSSGAASALIAADYLDAALTSDTCG STLYPAGCSGLYGMRPTHGAVSMQGVLSFCPESDTVGIMSRDPAILTDLARKWFSTSD KVKPHTKLPKEVLVPSDDLKNMSSDVKNRFETFIEKVSHNLGMTVKYINMSIEGPNEE KCMITPAEFRLLNLADQWRDVGKEFVDDYEKANDGRWPPLGGYTRSGWKKAKAEHWSE EDFGFYKDKLAKTGEMITKLFRPNQESISESIFINLWDPSSYPYYREKSLLADHLTPP SVQPVSPVHLPAYSGCPTIVAPFDQVPFNSVISGKEEMHPLAVSMMGPQGSDLMLAEI VDKLTSADILKTVKTGREAF L199_005213 MRILTWNVNVLRTCLDYHPFSSMKKKNVEGLLDELGAQIACFQE HKTPRAKLEKSMAIPGPYDGFWTFPRSKTGYSGVCTYVDARYCVPLKAEEGITGLLLD DSKGSTMKPPWTPEERIGAYPDIDGMGWMDEVDGKEFEPKKLDIEGRAVVCDFGLFVL FNLYCPNETNETRRPYKMNFLKCLQERVRLLQQAGQEVIIVGDINIMRAPIDSGEGGI RTSAEQHYEHPARRILDDWCAPKGPMVDVVRESWPNRDDMFTCWNQKLDARSANYGSR IDLILCTPGLRPWIKGGDILNKVYGSDHCPVYIDLHESIDHPEKGELHLKDMLNPPDR PPSTAPVYPNDIPREAPEPPRFATKFFDEFSGRQTTLKSFFGGGGNKKKDVAIDPTPS PTPATSSRSTATPVPSENTYAEPPIVTQNTAKTSPLPENGASLATPFSLARAAFDSID TSTQIPASSNSITLPSPPPAIHEISPQRRRSSRDDAIDMTHDDDDIHKPTSNAIAGPS KSTIKPKSHSNKFPQLKSASTTGSQTKLSSFFSQPPTLTSKRKPSPSTSSMDTVQPRT KSARKSISSISPSTPPSVSTQSVNHEEVPGGWTEQEDELINQAILEAEKDRKAKNDSA KPVWGELFAKKLPPLCTVHNKPCKDFLVMKPGPNKGKRFWLCSLPVGAGYDTGRSKRP REDVNRNFRCDFFLWDSANSRKEKVKDLKNNEGEKESQKLH L199_005214 MLLKSALLALPLLASAIPTQPEQLTFNDHEIGSADVPGFSLDLN DLRLVQFSDDEPPVWISELEKIEAKTKGRKFMDITDTPTLGFSSYLLPSTANVKYTYP TPGNYSEPINKIINTIDLKRMKSFLKEFTSFRTRYYRSETGKQSQQFLLAKIKEIASI NPGITVKEFPHPWGQNSIIARFNPADDLKGERPVVVVGAHQDSTNQWPFLPAPGADDD GSGTTSSLGAFCALARANFTPEHPVEFHYYSAEEGGLLGSQAVAKSYEDKGTKVLAMI QMDMTAWVKQGTKESVGIIQDYVDPELTKFVGKLVEKYLAIPAVETKCGYACSDHASF AKAGYQSAFAIESTFENSNHNIHTTADTTNHPEFSFTHMREFSKLAISFAVELAGIKD A L199_005215 MSISTTSLSVKLNSTSSLLLERARIISLNLKPNPSSLSQIIRNL TSIKLDLDQLQLEHGLDQDPKGKLIDDGSEVGKGDIEELCERYDKLLEMLLEDELGKA KVNELRREERRSPSPPIPTPAPKPIPSPIQTPTFAEVPSLHIEPPTPGIQKNDLKPFR DYPDEYDLDEEERAAGMSHNEMLDHQQMMMNDQDERLNLLSNSIGRQNDLSVQIGSEL DIHHQLLEDTDHAMDRTAHNLNRAKRRLDKVAGEARQYGSTITIVVLIFILLILIIVF KT L199_005216 MSDPRSTASPGQETSTIKRVGGKANVSSACGPCKRAHLACDVAR PCKRCVNMGKEDQCEDVPHKKRGRPKVPKPALGEPYHRARPPQPDNGGVGKWRGPSAY DAPYMSTVDAPPPPLVSMGGRNSPPMPRPLGVEGDPAFSAPPQPNTPFTIFSTTEFKI LRASPMSYHLIGYHPNEFVNLNMLDWIHPNDRHFVENERIRLISVPYVESQLKSTEVT QAAITQRTEIELLSPAEGMREPYPNKNVRVLHSDGRFTPFNVRLHLGGGLGASLWQPN TLGRIYLVVSFLPIPQPRDLPREGPSSSTSIIQPVRRSSGMAPPTPVTPVPGQPMTAG QGLPGFSAIAAAADAPQTRYDQPPPPQAYYPPPLPQQQQGPSRQPTTAQPAYPYPRSG PMVIPPPGAGVPPPYGRRSASPSSTYRTPQTSTYPLGTPEYPQPIPHQTGYYPPQPEQ SFRRPSEEEQWRNANMGSSNGGGGIPSMTGRPGQGQGQGVPPQIHGQGQQQVQPGGGI PNDGSRRAWEL L199_005217 MNILIDDASPQIQYYKGDSLSVGWITEHTPKSKFPDTLTSRYSE STFHGTFGEGDYMEYRINGTGVTVYGAKRDNHGVYGVQIDNNPEERYDGEGKNNFQAV MYQKMGLDEGVEHVIRMTNYPSATPNATRDIWFDIDHIIITHTIPSTMYTSYIDDASP SISYDTSWVSYGYGTGGYYNLTEHMSSTTGSIMELKFNGSSIQLFASINTDHGDYTIS LDGGDQEVYSGNNWQLVYQVPIYTVSGLTEGGHTITITNQGVSPQNVVGFDFAMVNSS VKPPETESIGMSSTGYTSPPTSSITAGAGSTSSGQSSSSLNIGALAGGISAGVVLLAL IIVLVIWFFTRRKKVEDTTPYYSEGYRPGEPSTGRLDLIGHEIQSPSAQAPTTASHSH SNPDWTSYHSEAAIGAGAASLRDRKGHNFQPSNPISSGSPILPPNMREVNHHDHPFLQ NMHSRLPPGAQAQTHGSSPHSQSGSSSSPDSHSNSTSYQSPSPRNGNTSPFQHFTNHS RNNTLSSSSPLTNSPSTSHHYHSPSPSDQLQYHSPVSAGGLTGVYHSLSPNASGSRNR TLPRTFGESPISDDGNKGIHRSPSSSNDHSHSHSQTRIRDAYDNPSPYTTAHAGPTPP YHSPKSKSMAPLEVQPDLDDNVNDARRNERAGGGFAWG L199_005218 MNITIDDASPQWQYISKNWTWIQDHTADDQTSKYFKGTFMGTHT EGDSASLRFNGTAIVIYGAKRFNHGYYSTQLDGGTVQYQNGYSSNQDIQQILFQAGGL DANREHVFVIANTPSRNTQPLKAGDEWWLDIDYAVITTSVQDKVYTTTFDDDTSAIQY NGGGWARGDPNKDYYNTTAHVSAKPTDLMRLQFNGSSIQVFGGLFTDHGNYSIVLDDG PEEKYNGTFFRLQPQVPLYTANNLQDGPHTLTMINVGGGLTGNFLDFDYAVVNSTIDP SGSSSNDTSTNTTISDPGSGSSSSSDSGSSNVAAIAGGVAGGVVGLALVVVLAWFLFR RKNKNREGYPYAMKKKNQAPMDLTGDEVKPFQHNNQYHYSLASNSSSNGNEDNLQRYQ HHGPSPNGSLIQMQDSPYPRETDQSNTPFLTTIPAPPPSSNTSYPRSMNPPSSVGRSN SLNEVQNPFSVAASSATFGHGQGYTDSQPEPMPTTPTPRTSNKTQGVQLPFTALPPIP SSDLSSLDNLPSAPPPLRGREHSISSVNQRMYVPGREQDMGPLPIHNDDESDESHGHG FLPPDYNQATEPLPGQRPGPIP L199_005219 MAPPQTVPCQYKTGKTLGSAVVKECVHITTGEYYACKVLNKKFL LGREHMVRNEISVLKRVSAGHKNIVQLHDFFETTHNLYLVFDLCTGGELFDRICARGS YFEKDAANIVRTVTSAVKYLHDQGIVHRDLKPENILFKSKAEDADLMLADFGLSKVLD EDNFSILTTTCGTPGYMAPEIFKKAGHGKPVDIWAIGVISYFLLCGYTPFDRESQYEE MQAICNGDYKFEPAEYWSGVSDTARDFVRKCLTIDPTNRPTASQLLEHKWLKVDEDTS LKDPTSPAGKAVDLLPNVKAGFDAKKTFRKAVLGMMAVHRFKDQPHQGGEQTAKEKEQ LVKEVEEYKKEAENVSEVELKSSQPSIAITQE L199_005220 MEAKLQKLKVTELKELLAKHHLVQTGKKDDLVKRLLDNNVSLDE EPSEELVDPDITAPVPSSAPQTSTAAPSTSTTEQPTSNAAPTESADEIPSEDTLTPEQ KAMKARAERFGIPFNPKATSTKQTQKPPTSKNGDGSTKKELPNANAGPQKKEPQQKAG SIDATSLGISQDVLAKRAAKFGLPEKKESTPVAVAGAGKPAEKKVESKKEEITPEMAE KIAIEEEKKRKRAEKFGLNKPVNGNDNVTEPDAKKVKV L199_005221 MDAEIQASLEAVIDSNTASDSPTSSKTTLKIKPSKSNPKAPKTP RAGIVRNSAADYRRREANRLAAERSRSRTAEKYSTLNQTFALLQDENEKLRFQIAQLE NNNVDDNTNTVSNDVLVPDSQPQKQQEEEEGDTSRQQEQEAHSNTILAALTGITGVEF TDPQAHEGEEEDNDHSWIHNIESTIDAETSGRLAELAVVATTGTGTGQDQEGHQADIE SGNVNIIGSDQVGKSQSYLSNENDQSLVSSPVNNPVIALAAAINTEIESIIMEDLALT KAAIASIEKQIEALKQGQQPSLDNTEEIDRFLPDSALIEDVNKLSVHSTEYAQESDAI QSKLPQLHDELVKLRDDKVNEEKKVVDLVNEVRRLDISDESEKNALVDGLKALGGLVE SLLSDAREGDLSYAVGSYSTPAIARRRRGRPPKGDISRTFYQSFLLKHPLSPKSDKTT GSDDSKGGRTRGTRKPRRSRLKDSTKDDEENPSENQEVEERLRAIQATLQAQDQARTP TQSHSVAQDGEERITKEGAQDEGITSAAVDRAEAFILSQLASQSQDHDGENGSDSVNH SSTSFVDLLPAQEELERQVNQHRQDQQQQSTQELSNSIDPTLTQTHGISESVLARLKK GPPGSCDVCMRTSTTAWRKLVLGDLTLKVCNACGLYHKRFGVIRPPELWDDGNVIRRR RAGPRNSSIKDSGGDDVGDNEGERPNKKVKIGNGDDQVTEVVSNLNTDGMTSGMLDGQ GDGQISIPPMITQQEEEDGRRAEFNAAIMEGISAINAAAEREGDENEVLLDVQMD L199_005222 MANSPHGGILKDLVARDAPRHSELQEEARNLGDIFLTERQLCDL ELILNGGFSPLEGFMNERDYISVRDTLRLEPVHGQRQGTLFPMPITLDVSKEDIAKLN LKEGARVALRDPRDDAALAILTVSDIYTPDKHLEAENVMGADDIAHPAVAYLHNTVKE FYVGGKVDAIAAPTHYDYVPLRFTPSELRAHFHKLAWRKVVAFQTRNPMHRAHRELTV RAARQRRANVLIHPVVGLTKPGDVDHYTRVRAYQALMPSYPEGMAHLALLPLAMRMAG PREAVWHAIIRKNFGATHFIVGRDHAGPGKNSQGKDFYGPYDAQELVTQFKDELSIEM VPFQAMTYLPGTDEYQPVDEVPKGTVTADISGTELRKRLRTGASIPDWFSYTGVVKVL RDSYPPRPKQGFTILISGLHNSGKDTIARALQVTLQQQGSRSVSLLLGEELRGDLDPK INRAITPEQKHINLQRIAFVASELTKAGAAVIAAPVAPYEKSRQAIRKIVNGNFFLVH VATPLEWCEKVDRRGLYKRARSGELKNLTGVDDIYEPPTDADLVCDLRHDTVPEIVHS IIMILEGENLI L199_005223 MVHGRHGHDDFEGNQFLGKELKYFSQAGFDLDRIHIKRNAPIAS LYEDAILNEGAVISSSGALINFSGKKTGRSPKDKRIVYEETSKDDVWWGPVNIKMDEH TFEINRERAIDYLNTRENVYVFDGFAGWDPKYRIKVRVIASRAYHALFMHNMLIRPTP EELENFGEPDFIIYNAGQFPANRFTTGMTSTTSVEVNFKRMEMVILGTEYAGEMKKGI FSVMHYLQPVKFGQLSLHSSANQAKGDNGDVTLFFGLSGTGKTTLSADPNRLLIGDDE HVWSDTGVFNIEGGCYAKTINLSAEKEPEIFNAIKFGSILENVVYNPADRKPDYDDVS ITENTRCAYPIEYIPNAKIPCIAERQPSNIIMLTCDAFGVLPPVSRLTPEQAQYHFVA GYTSKTPGTEDGIVEPSPTFSTCYGQPFIILHPGRYARMLAERMEKNKVDCWLINTGW TGGKFGTGKRCPLKYTRAIVDAIHNGTLAKAEFENFPVFNLSIPKTVEGVPSEILDPA KVWPSKDAFNAEIQKLGGMFQKAFAKYEDAITADVKAAGPIL L199_005224 MTDDIERDGGVDRKSFDSHPHHVSPVLMKSITIQDATHDNALHL ERMKRATHSRRDSASRIVEEFRTLSIDVSNTQQRHIAVTPQKGAAKDIAELEWHKYSV DDILQRLSSNAKLGLENEQVKRKFGQYGANEVSPPKNNIFLKWVGYVLGGFGSLLLIA SILCFIAWKPLGEPNPAAANLALAVVLLIVIVIQTAFNAWQDFSTNRVMASISGLLPS AVLVLRDARQIQLPAKELVPGDIIYVSLGNKLPADIRFIDVSSDLKMDRSVLTGESEP IQGTVDMTDDNLLETKNIGLQGTLCVSGSAIGVVIQTGNLTVFGRIAKLSTSGAPSLT TLQREILRFVIIIAACAITIALLVIILWAAWLNKQHKGFITVPVLIIDVVAVMVAFIP EGLPASVTVSLAVIANTLVKNKVLCKSLMTVETLGAVNILCSDKTGTLTENKMTVTSL SVLDDEMDLTQARDRMVVGKDNGKTIEQMAAVMGVCNAATFDESKMDQPVSLRKVNGD ATDSAILRAAETLRPVKDSNSEWSEVFKVNFNSKTKYMLKLCRRASAKSPLFPAPCDM YDEFGPQDLMLMCKGAPDVLLKRCAYINDPSGGPALPLTQSNIERLTAVQEKWSSKGQ RVLLLAKRMIPHSLIPDGMAFDQPEFGDLVNIKLNQQLTIIGLVGLVDPPRAEIPETV RIMRGAGIRFFMVTGDFALTAVAIAEQCGIISSSKTLHHVQDLPRDTPLDQVLQFDKQ DMELGTGGLPGLVLSGNDLMEMSDSQWEQACQYTEIVFARTTPEQKLRIVKEFQARGN VVGMTGDGVNDAPSLKAANVGIAMGGGSDVAMEASDLVLLENFSSIVVAVEYGRLVFD NLKKTCLYLLPAGSFSELMPILLNVLFGLPQILSSLQMIIICVVTDVLPAISMCFEKP EAGLLTRPPRNTKKDKLVNWKFLLHAYGFLGLLESLSAMSMAFWWLEKQGYKFSDLVL AYGGLPPQYDPDAYNEAINKAQSIYFFTLVGMQFGNLLATRTRRLSILQSNPFKWSDE TQRNYWIIPSMIVSICFLFFFSYVPFFQNTFLTRGVPVEHIFIPFTFALGLLLLDELR KYFVRRYSKGILAKIAW L199_005225 MPVIASSIRLGLAPRSLSYRSLSTFSPSLAAAASSPAPTTSRYV RKTAVPIKPSSAKATSSTSTSKTSKFNPTPTPTPPSQSANSEIYDDFPDDFPLPSNEP IIDDYSHLAPSPTKATPTASPPLTNLPGDNYTPLPSAGGITGEAPVGEGNDWSSSFAG LSERPFPKEVANELLRELRPEDVEIKPDGLLYLPEIKYRRTLNAAFGPGGWGLAPRGE TNVGPRIVSREWGLVCLGRLVSIARGEQEYFDPSGIPTATEACKSNALMRCCKDLGIA SELWDPSFIREFKAKYCKEVYVEHATTKKKKKLWRKTKGPKFEYPWREGA L199_005226 MSSSERIKGIQVHRPIIYGSHARLLTDEEKATAPSGHTHRWTVF LTSATSPPPNKLPSGEIEKDDIDYLPGGEDDLSYLIKKVTFKLHDTYPQPNRAFDKPP FKVTETGWGEFVVQIRVQFIPESSEKPLTLNHPIKLHHWGLPIEGLVAPPTEGGVATG TGTANTSVVNTPAPEPIQEPTPAATPVPPEKKEDDQEEVKEEIIVTPAPIGESSNSVK QGEGSIVLEPTQTSEQIYPVNDEIIVQQDLQQQQTAAMTAILPVHSWQYDEIVFSDPP KNFLDILNEHPPKSLPKINRRAKTQRDEYDSGSTGQKKSKKNGRQSVLGSRANTEFQS PTPSVIGAGAGAQNGLVGGELGSADVPLEFSLEMERAEYNKLAEARRKIVDQMDKWRE RLIAQEKELAKLKQEVKGI L199_005227 MSQAGGYFKTAISLLSHLEEGKPHSSITDWVEVLSSDRYDELSL DGIPELVESINIQGYQGTTEAARAIRKKLKYGNVHRQLRALVILRALTENAGKGFQLG WANSQLMERLREMANDSLLDPKVKKRLILVFHAWSLHYKDEPRMQEVARLYSKYGGAG PGVKKPTPMAPTKQSSTSSTSSAPTSANRGTFDDDLFSHDWAPSRSARGPDTYTDLAA AKADADARKRERESRILIEQREAEVERRERELKRKQDMAALEARRQKEAAEEAERRRK AKEDAKKKGSQPKRPPFDFQKEKPQVMVAIANAIQCANNLVNSCRLIDRSVESVLESP KVQDNLDKAKAARRSVIRYIQLVTDEEFVGTLLEANEKIVEAIQLYDRLSKPAVLDSD SDDEAKETEALAKRLAAQKLEANRTGEIDQLQSRQKKESARRELKRQQSQNAQPKPSR NAGAAHPDLADLDFGGSISAKDRGLPKPIRPDSDQESYGQGSLSDFSDYEYDSSDEEW RASHQKARSRGPSRRQSLAAEQPQYQRQPPARDYEALEDDFTGGAGGGNRKGLLDPND PFGDPFADDNDTPIQENRRMQCE L199_005228 MRANISLLSVPRASGKTLCPRPLSLPSTSRSSINYHPRSLHTPP SSLVRQDARTSPCNPQAILSSRLSAGGARNHSSSAAVEPTTAIPEISEENSYDIVIIG GANAGLAFACALLSQPTVSSTTKILLLEGSSLDKTRNWSGEGDWENRISSLTWENISW LESIGVWKHIEQSRSCPVEEMIIWANPSANSFPTIHFPPLGHPMAKMTENMNLQRALL RRIEEIGKGKVDLKEDKKVQEMRLGEGERWVGLRVGETEWIKGSLVVGADGPNSPVRL FSKIETYGHAYQTHAVVATLNHPPSPLYPNTTAFQRFLPTGPIAFLPLSSEASTMVWS TLPPHAAALKRLSPEALTLMVNCGYQLPESTLTALTDEMIRADQLGQPLTANQINELL ASLPIPGNTVGETDQPILPPTITSIHAPSVASFPLRLSHANAYLGKRTALVGDAAHTI HPLAGQGLNQGLADVRCLAEVLENTRKLGGDLGSITSLADYPRERYPLNHLILSTTDK LHYIFRARNGIVNWFRGTGLEIINELGPLKRLLMGGAGAQPDITTRGELSKSRKHDER EFGRSRGAGDELGQIGGWQMTAANGVESWFTIKGVAKMAGEVGVGLVREGMKKAVGAL DNRSKR L199_005229 MVSLRERKARASYSNVADGLENLSSEDEQAGPSNGPSKANSPNS EDEGDQGDDTSLSSGESSEFKPDSPEKKGKGKDRADGSQNGEAEDDDEDDEMDEDEDE NDEPDDGDIEDDDIDPSLREMSGTPVPRTKLPTKNKKVPPIRPGVSLAAPPIHGQSEI SLLSLQHRALIQASSVLLTKPNTISKNLDNERHQARDRDQSRVHAPSIFPSGHQVPFE TRLTASPNNGWAGKGEIQWIDQSGPSSQRNENRRNNDWNRHMHLTFGSPWEEWRGEGW FPELFVGNEDELQEKDRGKRENWLLRDEVRLGLDDIGRWTKEELQFLTEDEAEESYLP TPIYRNGESHITCYMGPHDQQSAHTFSLFQSRSLSETNPIVPHEGHTFFAGGPIWGMD WCPYPDSKSADFGFEQYIAVSTLPHLDTRPHMAEKWPQSSKGSIQIWSLSPSSADVLV DGSSQQSRMTCEMVLCVNGGPVMQLKWMPLGVWDEHDVSSIGQAGTKIPKLGILAAIQ LDGSVSFYSVPHPRFVPKIQYHPIYIKMDEPLLRLEIPDAMAMCLDWMTGTRIAVGLS NGHLAVWDIYDALKAGNAQDIFPVLYTSVAVSAIRSISAGRIPPSEKQLGGEPFYVLM GAYDGSTTLLDLRDPSFPIELNKARIPCMAVKWIAQMASPALCDIDYIIQIIKTRGAH SGRSHTMSSHRGQVWDIASSDYHTMLVSAGSDGALIMTNFNAGFFRKRKIPLAMHRLY EIDYSEISDEYRIIDDILPESQTLENATSRRPPNIAKRATHDPPTHLLKTAAWRPEVG IHKVTWNDGCGLARAGWLASGGASGLGRVEWIEGRWRGGRAP L199_005230 MAPRLSPALLHPRALAGPSRLTRRVLSTASQTSPSPKISSVPFK IRPEDAAQRMYINGLLASAALPNMILAGLLRLFGPSITPLANEFGLGSNLKMKDMKAV LYPIWRVDSILQGSVKLEGTNQRVEPKMWISTREGYVPGNPFAPLSYLSFAVPPLPDD LPQYNLSEDLTQLGDGFDVVPVPFTVSPLGLAKKLRKLIGTSKKWENVTIDESKWEEI MLAAYPIMFPIYVAEFEHDMGDDGVRSFNVIMDAHDENPTNCRVSWPPPPQLVESGRF DKNYFVNPAPFLPMANLLLYPSVAPHNVIGPNTSKLVESFREWMSPAPYEDDKKTIPP SPMLAVQNDEEPNGPNWDDVRIQSWSGEERLQNGDWIEQALKTQKGIETLETMSYFSS RAPHPEDLKGLVINTAGSRPTFERKSLSDMENQLRDDVERMKKELEEMKPEWLREFEK NKNKS L199_005231 MPDSYRPIRPISTEIHPLLSSSPSSSANTRSQIGQASNSLWTAL TSSTRKDSQAGDLQRVGVAIEWPEDRRLGKGKQRSLVVWVEKSRIEEDSNEKRLYVHP SLLPPFSITPLPALVYLHEPFELSLVILQSVLDHPDDPQINQDNIDLSSLYGNTSNQI NQNQGSSDNHPPYPPIIRENEFLPFSTKFRVILCEPLSQGIITPSTRIILSTEPYILS QQDDVDIDGYEVESSFSKTHLSLDNFDPDTFLSSDLSLPSAIDGGRIEDEMVHSISSS TSGSLTPRPGDRPISPPTALDELVAEEVERGTKFSALMAQGGDERVCWMGVGGLGRAG IFEGDWVYLKPTIDGESSSSNSSKQGRLVKALAWERLDEYDDDLPFNPILLSPSLHRS LLPSSSSGGSITVQPTSFGARLPTLPTAKTLTLARIATAEGTDKRYEKSWLKGLKSYF STKDKRQSKGKSKDEADGILVKTGDIISIPVYISKPLGDNENIAQEEDDEEDDDSWND RNPIASGVVYFTITNLSYDPLVPPEEDFRSTLSSKARAGELGCWFDEGTKMVLTGVEK ERVRNRDGDLIWHGLNPAPPPFSFLSSGKLRDLLKTPFVYPSLSALVQLSILIKGARG SGKRSLIKSVADELGYNIVNVECYDIIGDSPSVTSGSLLARLEKAKLCSPSLLVLNHI EALAKKSESNTLGRSPPIVKVLEEVIQSAKESSTDWPVVVVGTTVDADSMPNEVVGSF KQDVEIRAPNESERYAIISHILSSHSLSPDVDLKDLARQTAALQVGDIEALLRRSYDL SLKRIDTSKSSSTGPVNSAILAGLNISSKDLNEAINEARNSYSDSIGAPKIPNVTWDD VGGLASIKKDILDTVQLPLERGDLFGDGLKKRSGILLYGPPGTGKTLLAKAVATSCSL NFLSVKGPELLNMYIGESEANVRRLFQKARDASPCIIFMDELDSIAPKRGNQGDSGGV MDRIVSQLLAELDGMSTASGSSDQNGSSSQVFVLGATNRPDLLDSALLRPGRFDKMLY LQIPQSHKEQLDIIKSLTRKFTLDPTLRLEDVVEGLEYNLTGADLYALCSDAMLGAMS RTAEKVDQEVERLNRLHNGSGTLQLNVDTKKSWKGELTVQYYLSKLASPSEVQVKVGL EDFQGALKKLVPSVSKDEMDHYERVQRQFKSFNLVKTQEKEKDLRNEQGRQDGLDRNG FHLDESNDEDIYGDMGLEMNGTMEGQVKGKGKEVDNLDDRKGKGKGKAKLVDGDEGLL S L199_005232 MGNPPTWLLQQLIPPLLLTYFYFAWKISTFELGPHLLNDPSIYR LISYFYLIFPTLFILPITLLYIRLYFLPSSQSIPPFDPPPAIRNGHVIFQCLPPAEAN AIRLADNVPHDDDEPMIERCYRGKCGGRWKPARTRHCSLCGRCRAGWDHHCVFFANCL SAPYMRTFLALLLYTPPTIFIISLPLYKSLYNRAKEAYLFSRSDDSIRQKWWNWGYSW VIAGGPIGRYAGGTVLGWRKLDKSDEDGGGLVRLNIGLMVGFGIILALITIGLATTTL SLILKGDLTIDRGRSSAHGQALSAIYRLKSQGRPIPPHLENNVSRFSDRRWFFIPLPQ ELQTQDREGIILQTLEHERPYGHGWRQNLRIVLGSMTSWIYPWNAIRKGMGEEVFLWP ITEDVEKRLRAEAERRAKEGMLSERT L199_005233 MSIPAPIPGRQSGEVRRSPTVGKMSTSASSSTLLSQASGASATA LYNFRLLSALRSDNAAEVQPFLDELRPSTKSVEGQEDLDKAGRLLGMAVRVASVPIIN LILQSPAIPNPNLPVNLGSSTTPLHVVSEIGRTEVVEVLLSDPRINDTVRDEQGRTAL ECSATSEISHLIEESRGQLQLKYLAKLAGYISSPLSSVDESLNMVEFLEGPRTGILNL SALDERSGTSILHEAARRRDLRLVELAVKRGADVFVRDKRGRRVLDGEKNADERIKVF LRQFNNQDSLVEAKSDGRPPDLRGFLSKWVNYRSGWRTRWFVLENGVLSYYRNREDEQ VACRGSIAMAVATLHPSTDGSRFEVHSKVSSSVPKFIVKSAHRAEIARWVQSIRLNIE YYQKKGNSEGQPKRSMSMNQDKQSVQALPAADAFLSPKLQRSTTGLSGLSVPAPTAEG SRTRDMSSSRTNLTEDDATEILSVFEGADNGSIIGSGDQHTGIPHETAFDLGAQSIKA QLEMTIQLVDSIVTPPASANASPVRGGGADMARSSSRQQAVKNALRESLSGLSNMIAQ QNIMTHDREKYLLNRIQREVEARHLWEENMLTVAQQQAEADRQLTEAAKDNEKKRKAL KQAKEVLANLAGSDNLPSLPTSPGVPEIRTAVVDQNLLDQATKDIQKTSVPVKPPITP RPSIPNIQEAHNAILAAESDEEDDDDDEFFDAIETGTIPNLKLYESIAHPEKERPGTP NALERQSFSLEVQKQPQKGTIKEYLARKSLEPYLHVRNRLPIDDDKRPSVSLWSILKS SVGKDLTKISFPVSFNECTSMLQRMAEDMEYDACLTVAASEQDSLKRIAFVGAFAMSN YSSTIGRIAKPFNPLLSQSFEYAIPNRYRYISEQVSHHPPISACYSESPTWKYYGEVD AQNKFQGRSFEIRPTGVAHAELIIPKSWVKQGLDYPDADHEYGEGLVVEHYSWKKVTT NVSNFIMGTPLIDHYGDLIVTNHRTGETCTLTFKPRGWRGKDAFEIKGNVVDANGNTA WDIAGRWDTQLIARQSGTSAPLEVDTTFHPTQKEYLLLWRNSEKPKAPFNLTPFAVTL NDIPGDLKKYLAPTDCRLRTDQRAFENAEYDRAQELKTLNEENQRTTRKLRAEGKLPP HEPRWFTSSVDADSGERLWLPKRSDDGEVAFWHERERANWDEVGVDHIFAEDER L199_005234 MASDPANCDVASANVILGVSQLKYLNGGDSDYFKFKIDTVHGDP SFNNFNDPSAVKRLQDDLTNQTVVCDLPGRAAHEWTQKLMALSSPLKSVTTHY L199_005235 MTFGMNNQLHHSPTSDPNPTLTRDKIPSMSGKTDSHRPQGIVDV TSPSSTPTPNALSPTTSSTQISIMSNSHIYSSSKPKPKRRSWFSLRRTKQLIDQSNKT TSIPEENHLVTPLPPPSAWNGQPEERLRPIQFQPNRVQTLYVTKSERDLYLAAMSQLD QAPPPLPLWSDRAPELPKLNTQLTPLKAPSIPDGSIGRSGGHGHARSISEGGSTAFHP LTSRPLSPKLVTSPQMGRSGLMVRDEFKRTAPPQQSKHALMAFIPPSGLRLTGFPPSA IGAVDLVLQENWDQGIAGRSESAESLGQRHEDDKFTWKVELEGKLWKRKGAQELDSIR LLIALMSILGIHGWTLVENVQAGGTKKDAHNFLFSYSPQTSMNPPLFFALSLPLPDRL SLISPPPKVTPAIISALREAIISSPANSYKHLRDGTAATGVTVTSDANGSLNEGRSRS PKISWKGYDPRGIKLEGWVHDGVYRFWIDGMRRWLGGTVKRKIVENLHPNLLIAIINN ITNLHFGFVASIPLLPIARGRDVFIFSSLPSSGLSVVDSYVPKAPSIAGTESPVLVSP MASVPAQDPLEDPREVDPGNRQLPWTTVVNDQTTPPSPVKNKPPASSIGNTSNDAARK RTASRESSKPLLAAPSASVNSTPKHKNVLLKKNSLQRRRSTSANGSHSRPPSAIGQGN DRYSVDGGHSAQGTYQPPHDDSRQFKVMNPDDASERWSFIDLPPNVGRLGVTMFDTTG GHTHHYLPPQTAGRTSGEDGSRDTHNSSIYADAPTGPVRLIPIQNGQPTRHVDRGIVE STSLESELGFGEHDTQSELNHANPITKPLALGQPINRDYREDDHEFNDGMMIGKMPLK DSDSPERRGRQNIVDLGSAIQSVHGSVGYLQSMISGISGHNGKRQSMDLDNVQQQQQE AQGSNASNAVPKPEIVIINPSSHNGSSGTSSQDHNTPPPLPSRPHPDHIPESTLPVST PPTRTQPTEEEVARQRNKYLEWERKGKVKNGMRRIWDEDDNSWRDIPISHGEGIGSGR TKVTLG L199_005236 MSLPKPPPLPPRRPTPTPQPSPHADTEPGPPISEPVAADPSPLP PRSLSTSRTLDATELPHDEADHPRPLASVGSDSIILPPPLPPRVPSVSKPIPEPILVN EGNSRVISNPVPIPDNPPTSIEHLDGVSTSRSSREVTSPVTVAITPATPPDSQPNSPT SPSSLSFGQSVEEMRTPRPPSPSDNPETAISQTPPPATDQTEPSSSPVPPPLPPRKPN PPPLPPRNSRTTNVALSTVPTLGPMAWSISMVCLAYMRVSFVTLLILGLLGYMGMKKL EKTAEETLREEEPEDPGPLGSDRAGGKEAVEWLNHALYALFPLISTDVLTPFVDLMED ALAGQVPPVVTSVRLTSPALGSQPVLLTSLKPISDEEWFSSLSVPEKPVKNVLRKKGR GTSDSGKGKHNRNISSVSNVSEGSTDVSTEMKRSRSTTSSGGSTTYGADEQAKEAVRR RKRDRILQRVSRKRAPMDDQNKIDGNDDLQQHEQEQGVNGERKHGGENDEANDMNEDD PNAGQYVNYQVGFEYKRSRDAEKKGRGLHCLAYFGWGIKGVAGSEIPVYIDVLSIKGT VNLRLLLSATPPFVRTGTFSFPKLPEYDVSAQPLKKGAFNAMEIPGMKQYVKQSITEV ASAFVSPQSYTLDLDRLLLGQESALRTTNIGVLRIIIHNAEDLPKVDTMGSCDPYVSI GMSKYHKPVFSTRTIRDSRDPKWEEEAFVLVSSDAIEAGEKLRIRACDSDRFSADDAL GVVEVDISELVDTHSSKLFFRTDDLKEDTPGLKCSGRLNWSVQFHGLWQMSSENIKKR SMETNALQDDINPPEIHQVPLWMELLSKIIDKNDEKWYRNRQQKRKEALAWFNGEKER DRLEAEEKPDEGYRSGILQFHVHQCIDLEVEPLSGTYSSHTTSRNSPAGGKPALESLT DRTPVENPDPPSSYCEVHLNDKLIYRTRTKQVTPLPYFNAVSERFVRDWTESKVVFVV RDERNREHDPILGLVVIPLKEAFKTRSQFTRWFPLVGGLGWGRIRISLLWKPLDMELP RGISGYEVATFRLKGLSFSSLGIGSQSEKGLSVILSTDSDKYELSTSSDQVSSSSTNI PTSARTSLERIQSPSKVSLDEEIEMEFDLSSKRVRLAIMYRHSCSLLVTLIQRSSVLK KKRIMGIGVVNVGKMLDGNEECKIGIWGTENVEKVMRSQELLDQEDDDGTDEGEGRLM SPPLSVGVERKPSFRRRIAERRSWPDSPASIRERSKSISGSSINSTRTSGGGVNGVPL LGFAEVKFKIIPGVSRVHRKVAKRNMRFKRVYEVWESEREVEMGWDKMNEGERVRSEL EAIDGKSDSDGEEQDDGGSDGSDSGSDVEEDDNEDEEGKRDLVDDSEDELEVEKKMSE RRAHSHALHKRHKGIFQLKIARTGRYVKDKLSSKVYSAAHSGGNKDQSRSRGADLEVE REGISKM L199_005237 MSKPPITTPTSSNPTKKHRPRSSSLSLSIARSPSVLSSGLFSPL SPHPQQDEEALEDEIQHHPNVYGIHPYGNQMRRVISAGGKLDPIHDDDNIEDIVGVKA SSSVSHLPSIINKSPKIPISNTTTLFKGLDEELDDHDQEHVDMDDGSRPLLQTRKVSF QPLTSARDIESEMDNLSESDVRSQITYEGPFQPPDSKELLSIMFSVVGVVILAVAAGC TTIFDWVL L199_005238 MDDPWAGLSWSTPSKPSSSISMTIPSAGRTTPPPRFDDSDPWGV THATKTDLQKTPEHDDDEEKEVAEPKESTVETPGWGGEDHATWGIDDTEEDKSTTPAE SESPPKPDKPKNQQPTWSSSESSESPVKDLSPLPTSLDLQDTSVRSAIVSPDKLSSPH IASSPALAASPPAVPLPTFDDGPFASTSTNLTPIPKSPSFGDDFGGFSAGPSFSSGGD DPWGNNAGPSTIAAKKDAGGWGGEDLSWGGGKNDTSWGDTSFGEEAQTSFKSPIIPQE EDEDDEADEGEGWGRAKPAARPVVEEGPKGDDDWEEAQRKIRVKQERAPQDKIDGLTK AWTDLLGGLIHQELEKMTGAEELQFEEKVKKLEDDTLDRLRSLSAIPPDINIYPPVLS SLMTHERYVYALQRPNPAPSTSLLTTTTSRRPTRVDPLALASSELEPSWTRRSMLGEP DAPSQDAISQQEEQNKSRWSFWGKRPVPERQLTTSGGGVLERKSISLASPDLNNERHS TDIKPSSRPSSRAPSISIASSRPNSPAPPAPAGANVNSDIGHSAPSGTLTQTQAQPSQ PVQAAPSAVSRFFGRLSRNKSQTTTQETEENNNKDLELSADDFSFLSEVPSLKPPEKG IGDLLALEPGRNEQIASLESLLNSKPAQLPKPLAPPPKIASPAPRSSSGRFVARMKSP PPTDMDLLGDLNFDGSAQPRPSTSSIVQSPVQAISSPSNAWDDFLSLDSNSGPSAPVE PTVKSPSTPIIAPPLVPSRSNTPTVSLSPPPPPPPSNPAISSLVNKPTPVTPAKSPVN DFGDFDDFGTPQHASTSTFDDFGDFSAFESTTTISGPVHASTHAFARPSAIPSNLQQT QTQTPVRSSAISAPGYSKTLSTPANHARPGSLDHTPTLNLIAGASATKGKRWPAPPSP VAPAIAPPPKSQGTAGGSAAGFPFLKPPPPGRPNSRSNDLLDENAITQNTRAESVGTT GVGIGMGIGNLDSPIFGGSLQPSRTTTPAPQPANPAVGVATGPHQDNGKGGLSAQDLS FFDSL L199_005239 MKFSTIFTAALLTLSFGAIAAPVEVIDLNARGVTKAPPTVPPGY KLVTVGNIYILTDNNGNFAGAFSKGDLAGAGAGSQNTGAGSTNKGNSNSQNIGSGGNT NLGGGSGWPSV L199_005240 MSIITANNNWYHGASELSASRISNFREITHSQGDYSHWAFTKKS EQDQGQSTEVECSIIPIRGQDKQRHFVVVESNEEVPTVSNCPDNIIELISENVRSVVD ASENIATATQA L199_005241 MQPSSSLMDMNLENMELDSTPSSPTTAPSTATTMEITDDLIDLG GNVTTETTAIAVPDATGVTAVSEVEEEVDDRPDLSDDFSCNLVFSRNEGQIISAPRVF TVVEVSPKSRSTVGFSDLAEQMKDKKFNLSNTNWDDQGLYEIIPHEPYAKHSKYTRIF FRTNLANTMSGYSQDGDKITFVPSLAQNVLGPEVDAGRTVFFDDNLSGRTCTLMPSPD DEDTYIMTVCGTMSKGSTDHHDIFRTDQAFRQGLLQGNREYPTGSTHGTVWPV L199_005242 MAFSGALTITDLDDFLTPSQACIIPVRNKPTTTAEEGSTEIQID SNNNYYEVSTYPTDNVNGGPGPSTLNGKKALEKAEINLNDCLACSGCITSTESLLITL QSQNEVLEFIQSNPTALDPNAPCHKPRLPILSISPQTLASLSAAYSSAQSHPTIPLLV LLRRIRAFLSSPEKGGWKVWDTTFARHMSLKETVVEYHERKDKKDKGKSTELPMLASA CPGWVCYAEKAQGDMLHLLSNARSSQGIIGALAKDWYGHKTNHKPNEIYHVTAMPCYD KKLEASRSDFYSSLYSTRDVDCVLTTGELDLLLQELGFDPYLSAPNEATPFSGQSEES PFPELLNHEGSSSGSYLATIIREIQSSHSNPTKISTREIRGSTDNVEYLIHDLVSGEV LFKGAKVYGFRNLQNLVRKVNKETGLGKGGRSGTGAGKLSLAVAARRRKAKTATSGTS GTSGTSTPGESGLSDVDSISCLSLSSGEDKKLDFVEVMACPGGCVNGGGQMKPVSTNT AVNGTEEKMEVDDEGYTRPLPDDGTDIDIDKASKAKDGSLINSGVEEGMRWSTKEWVE KVEAIYWNGLPTPPPSPPLEASNINFKLYRSELNGHVDGPAQTNGHVDRNQQADLIAE QIVQDVCGNDSAKRWEFLRTRFRKVESDILAQGGVTLEAVKW L199_005243 MPVLLEASCHCKAVKYTLESNTPVPYMLCQCSICRKVGGYMGSV NIMGNTKTLKFIRGEDKIKKYRAVKSYDKDDSPKERYGSERAFCTECSSMLWCFDEQW ADWIYPFASCIDKPNPLPPIPEGETIRAIKRDSCPSHVPIPEGAKAYDDYGPGKGIED WHKSTGAWVD L199_005244 MEYISVIKDDVDLSKSHRYLRLPHPRTGQPQLYLPNGESSILEV IKLNGSQRRTWFIGDDTIDAGDMLIHYSIDPLFLVIPIITALSGGNNAQSFQPFSDLV STASSLPRFSLPEPFTQPVKLGQSSSSSSGFNRDIESLLKLKCVKRVFKACCEKKVTP TISSSPSSSTSTPQRYYRPSIPIVINHLKKKIEHFSQPEQFEKFDHLVRGLGRDGLMG DEFQDLRALARTQAAIEHLSQYLPNPITQQLSESYDFTPLSTHLKNRTAASIAASQIP STASGKENATKGTKRKAPASSKGVEALKKVNTNNMAKLTNFFKPKEGKKK L199_005245 MSHEVIYDYVESIDPNLTCAICQSALVDPVTTISCKHTFCRDCI TQAIAVNPQCPIDRSALNMSSLRDTEQLVKLMLDELKVKCAAEGCGMEMQRGLLLAHL RSCPKAIITCQDGDCELSMARHRLPHHRAYECFQRKMECKKCGTMLDFKDRAAQLKPD CCEEPATTCEVCSETLGSDKHLHQWTCPQVRVACPHTSRGCPAIIPRSDLQTHLNACP FEGLSGFFEQNDARFRLLEQKNETLQAEVNLLKSELVSTRPTSSRSPGWGHEGLWGIR GNAGLNHSTSQPALNIPSAQHNHSTQMDQQNSPSTPVAQSHNTQLQPPSPDNTDTTPR LTIPVPQAEQSPYPSPLSVSSSPQNNGLFHDQGITARAAADLAHHRSMIAPSFGSHQS YADWAFNRLSSINMPSVEDAIHALRASVLQLAGGMDTMERRNEVRTMTESLRVLEEVG SLRAIVTTMRMQVMMAQPTRTPLTRHPSTLFPPPNSHLPIPQLTIPINQTVNSHIHSQ IDRSQVPHHDHTSEDHSGEPVSEDTYLDPDRPHSIRESHAFSTHTNNDAGSSTSSLIT AYNANGRRGTGRTIGSGAGLTGRTVAIPPPSMLNGIGEGGEGSQSMGRSGSRLSRANP MNLIRKQPSRLSNRPRL L199_005246 MSSLRSISARLSMLTSSAGTEKTSTKLADTIEVPSRESQTQDGV TFGSLNKVRLFTRPNPEYHRLEGIFHPPQAIYSFSMSDGRGPDPETNPKFSKNWIPLV NSHRNNRKDVIVPFKLTDLIVPRDSEEKETYNPSNFERRMWKSLESVHRSFSGLSQYT SRQFETSPSEAVSKSEDGGLPTVTVTVLHLGQGEVVGGHYTPTLAVWFNPHGSAGGFM TDSEKLSSGDISWTGPMYYLINENQGGVVPLSHNFGKFAGDRSTSHKIESPQPEDEGT EAAFEGLVDLEVDGKPCFL L199_005247 MSNEIQAANIPTPVVEAKYDAGEKGQIEHVNDVSVDKAAANFKA DAMEAEKMEHQMTVMEAVKAYPMACFWAFVMSFCIVMESYDVFLIGNFLALPAFANRF GVFDEAAGEYVIATKWQSALQVAGQLGALIGVFLAGPLTSRVGYRWATLIGLMAMNAT IFIMFFADSLPVFFVAQLLEGLPWGIFIANAPAYCSEIVPLRLRAPATQVLQMFWAIG SIIVGAVTYKYNVRLDTSAYKIPTALQWMFPTPLAILMFFAPESPWWLVRKGRLDEAA RSVERLGRKAKLNAGETVAMMRRVIEHESEDKPNYIELFKGTDLRRTAIVCGIYAAQN LAGNLIANQAVYFFKQAGMSTDTAFALGLITSALQMIFVMLSWILTTYFGRRPIYIYG TLFNVVMLFALGIAASVGTSNAASQAQASFGLIVSVIFTFAAAPVSWAVIGETSSIRL RPLTTGIGRATYYIIEIPCIFLASYMLNPTGGNLGGKCGYVWGGTAFACLVTAYFCLP EMKGRSYREIDILFRRRVSARKFASTEIGPEEDD L199_005248 MRVSPLSPILDTLDGADHSSLGEIVNEIKAVKDNKSLLVVKAEK GKGKLKKDHWTAVVGHEGGDKDDTRVQFWDPQQKEPFWVDFDDFADKSDSLSKIGNER VSA L199_005249 MKIQKNTSTTLLLLPLLASSTLALPGRKRHQPLFRRIYGNHKRQ DDSGASPSGSAGDVHEPSSVASSTASASGSAVASSSSAEASSTYSSTDVSPSASASAS SSASNGLSPYEESNSNSTTGSQNTNSGYDTINPNPGNVTSEYLSSLLENSKGYETLTY SVYTPVTETVTNTQTEVQMATSTVTAEGSESTDNAYLDEIQKAAESYAKNNMGGYLPT ITLEVVLEPTQEPDGTWDYVVHIGADGTATASPTYFPANPTSTSTSGSSSGSGSSDEE AYSYSSSPITTSFDQEVPSPTTSSSSNSGSTGSRSINIASITSAAAAQATNPYLQTAS NIYVPISTASSATTASASASAGSGADTSSSDNSQTSSSTSESGSDESSDNTGDDTSAS ASSSGSGTSTESSSADNAQSTDYSVPGHSESNFDNGSDDSSSSAAASSSASSEQATST SAPGHETSFGGSSPDSDSDSDSGSSDSDTATTATSADPAATTAPYPVWYGGSGDDSSS DSASASATSVDESTQTSTPYPPWYGGSGDQGDSSNSTAPIVNGTDPNFNGNGTFPTNV TDPVGDVTVPMVNGTSPIDINATAPIDVNSTAPVTSTDLPINATASATASISGVFSSV APSVTDSASIAPSAVNSGSAGSPSPSASVSASVAPSAADSGTYGAPQPSMTSSESASS ATSSAVDSGSAGQPSPTASSSSSSSAAASISPSIEPSAISSSAAESTLPTESASVTST FTSSASESVITSSPSSTVSVEENDNGIATESVTSWTTVDITMTLTASSTAPTASATAT ATASVNASIPGNVNLAEPVTESESVSESETATFDPTSAASDFAYPPPEETASVSATAS STSTSDEVNPTSSASLSEEIIPTSSATSSDAEITATASATTTSSASDPTETDCDEDET VADSDVVERGFDGEDEYEVVWVNEEDVQPEWEILA L199_005250 MASPTLASSSRTLLSLLSFSTLGSTRGVLHRARPPRIPVLQSPH TPKTPLPTSGTSHPIDPKIHGQLTPSSSSVLLEDTGLTFHHAPPPSLPSYTTGAVPPL LQWLGGKSITLTGEEQASKMVERRVYEGELEWSEDLVEKMVQLRNEGKSRKEIGDALQ LPKDQYRLISRVAPQTSVQKASKLTELEEQKSTWGYRKKLSRAVREKRKEFW L199_005251 MVAPSTQSQAEKLTTQEKLLLSQAIYKLGAISWPAISKLLTSHP CCVNRPSELFSPEACEGIYMELMAGLEINVPAPDAMKPQAKTHLRLAQTYYLKRMEEL QNTITQYETRFKELMGEITSLKSGEADESIRREIRNTIARKYGKKLLDTWFPEVEVVR QAIEDGPTTAQEEENQTEMDKDSINEKEKEKGDKLDEAQDVEMVDEQDEKEEPGTVDG DKPEASVDTPKASQPDAEDQPQPDEDTEQKEEEEHKDAGQEDTEPPTVEDENNTEDIE PKPEKNIRTMKTPRPRRFSPAVSIRSDLSPAPGSDLSPPPSDHPTPAQHGTRSNKRKA SVQPKGAPASKRLGRRSTVNPQPSESVEPEPEPEVETLPEVEIEKEEEPSEEEEQEVH NTRGRRNTRRESTRNSSMKKSPISTKATTKDNERSPTTSRRAPSVTSTNRSTPAPAVE ERERRSSRRSLAASGTAKSRGMRDDVVSKSVREQSAAVESVKAETEAEEDGEDEEDNK PTRTSARRGRAAQEKEKEKEASTPAEKRGTRASARNARDQSETENTPRYTPSVADISG ELETPPNSTRLKPTREQRSSQKLLYSLLDAIAQHRNGNVFQNPVKKSDASDYYQVIKR PMDLKTIRARIKDGLITGIDEFERDVLLIFANAMMYNNPDTPTYEMAKEMLKAAEDQI AHFKNLQHHVSR L199_005252 MSDLSSKLEPFLLLARSTKGAAAAKIIIDATAAPGVYVFSELLG IPNIQELSTDPTYQNHYRLLQVFAYGTLTDYESNSSSYPSLSPTHILKLKHLTLVSLA LQHRSLIYDQLLSALRLDTIRQLEDLIIDVIYAGLLGGKMHHREKVLHVDWVSGRDLQ EKDLLGVQNNLANWCKTAETLLTTLDTRILELKESSAQELARSNEYKVYRDREYQMIS LELKSSKARQDPFGDRVLGSGLGTGPAKFPGAGGMSQDALLAAIAGGGGMGGASGRLP TRNVSSPDELDVNRSFKRSRD L199_005253 MEDQSTHPHSPLNLAAANDIHSFDIDPSLEASSEFFAGVGIDEH EHEHEHEHDHDHNHQVTPHNDQVDDNEHGHPTQEDIDAVIKASLDHVQAQAQAQVAAD AVAALTRIDTALPDSDDIPTQQEQGDAEDVNERPSKRAKTLLDDDQLGSEGNPIPNPT LHIAPFSKPDRQIGSTPLPLYLQFPNRGQFLRWLDAENSWCHFVQRRTTTPDKRSAER LQSRIRAHNKSMEAMTPEERAAAPPLKTRRRKRVSPVFEKVTFTCHHAGTYESKHSTS LPKEKLRLNTKKSVKCACASRVILIEMQSGDCKVVYHWRHEGHDPFSDTDIEGGRLPK SIDIWLTKQIEVGKSLDDIRKVLSIPEEEKEAYLAKVAADPTTLEPDMPPPLALVLKV KYPDIYNRYRKLKGPVKDHKVLKGSTKRTSSGTAKSGSRSKTNDSTISQSASPSSIVI DQQEGQSQSPSIDQQMIMELNSKPLVDSLDGLLPTSSTSSVHGHGVGEGGGEGGMIID EHSEVDNEFAQLASSHDLLGQNDSDKRDESELDLIHSHEGLARALLDLPSAGPGLRDE DGNEMSLEEAMRRMAEGVAAVAAAQAEHEDHTDQNTNPIENVAEDGQ L199_005254 MSAPAAPEQANMHKDEVTGEMVSKSELKRRQKERALAAKKAEKA ASQPAAQPKADKGPSKAAEAELDATAFRELRIKEIQALRKSQSPNPYPHKFHVTQSVP SFVREWGIEGKVEKGAHVTDAKPISLAGRVHTIRESSSKLIFYDLKADGEKVQILAQA QNAASVDEFISSHSLIRRGDIVGVTGIPSRTKMGELSLLISSIQLLSPCLHQLPGREG VQDQETRYRKRYLDLIMNQPTRDIFITRSKVVNYIRKFLDNLGFLEVETPMMSMVAGG ATAKPFVTHHNDLKLDLFMRIAPELYLKELVVGGLDRVFEIGRVFRNEQIDMTHNPEF SICEFYMAYADMYDLMDMTESMISGLVQYLYGTNKVTFHPQGKGEGKKSYEVDFSTPW KRFDMIGELEKQLNVKFPPGETLHDDNANKFLRELCEKHNVDCSEPKTNARLLDKLVG EFIEVQCVNPSFIVGHPQVMSPLAKYHRSRPGLCERFEAFMCTKEICNAYTELNDPFD QRDRFEEQVRQKEAGDDEAQGVDETFLDALEYGLPPTGGWGLGIDRLVMFLTDSANIK EVLLFPAMRPVVATSTEAVPPSITATEAAKEGGVVA L199_005255 MSINMFTQPLRSLLASSSRLFTPSSGPSSSRAASSFAHLGDLQP AKGSTHVDIRYGRGPGSQRGGTSGRGHKGQKARNGKGVRLGFEGGQTPLHRKVPKRGF INFTSKTYAPLSLSTLQKFISEGRIDPNQPIGISTIVQSNAVHGLSGFAGIKLLGEPD PDLPLPQLELNLSRYSKSSAQSVIEAGGKVTAVYHNNLSLRKEVFPDKFIGRDVKDAR PTRKNDILYYTNPKKYGYLAESVSTSARKMTPAEWTEGTLNAESLVQAQI L199_005256 MNTIREINRINERELQLGVKGSWHDEYKDSAYIFVGGLAYELSE GDVITIFSQWGEIIDINLPRDKETGKTKGFGFLMYEDQRSTVLAVDNMNGAQVLGRTI RVDHTRNYRQPGKRNDEGEYEEPEEPTYNAMPPVLSDSDSDDSSESDKDDLDEEDPMA AFIREEKKKAKKALTQGEKGKKRKHEGESKEERKKRKEEKKLRKEEKKRKKDGKVKVE DDITPRRGIDDERRRKEDRLEDRDRDRIREREDDRKVGGSNRDDWRDGKFELQRERER DERPSSSSRRDNRDERYGSRDRGERDGTDRRNRYREDDERDRRRRDEERRYRDDDRDS ERRYRDDRDRDRGRGRDDRDYRR L199_005257 MASQQDCGEYTDRMDMRSNTWRHRGPIILTVSQISTNHDPITQS PSSKGGLSFMMEDIQPVYFRWNSPSNHIVSELRKEPSLVVVGTERAAEEDYTRLLFKG STRPTGSGSGSAQPSGKSAFSEIWFRDDLMNSISSQEGEEEVKVEGMCVGVIEANRSP INYIDRF L199_005258 MSYQPIPQTEDDRLNTPSSPPSHAQHETDPSYRPLRRSVQEEFN RPPPSWWKRFLLIVVLIFMAWFSVWLGRKGMQEQKPTVIYASRYSEEHKYRPAASPVI TEYLPGNRIRIRGASIGGVGIADEDIPLTPKQIEARDKKRREEAKNKAREKMGLRVKK RKSLKEKKEEEMVKVRELERRIKGEV L199_005259 MTTPLEQVQSIDQAIDKEESKHVEIENGEKPLPASLVGMSEDEI LKMEKKMVRKMDAVILPIIMVLYVLNYIDRQNLASAKLQGIMDDLHMTTQQFATAIAI LYAGYIPFQIPSNYLISLFSRPGLYICVAVVIWGTISACTAAVHSYSALLGIRVLLGA SEAVFFPGCLYFLSAWYTKKELGKRYAGLFIGQQLGNGFGGLIAAGVLKLDGAHGIRG WRWLFIIEGVATVGLGLIFATFMPEYPHNAKILTPEEREYAVWRIEQEAGVAEAHDSG SNIKAYVSALADPKVYTLIFCMIMSQAMGSVGNFFPTIIKSLGYDNIITLVLTAPPYI LVCFFFYGLSWYSDKNGKIYLPIVICLSIGILTYVIAVATLNIGARYFAIMLTPICNV VPQLFIYNTLSLHVARPYPKRAAGLALINAIGGTSNVWSAYVWYAPPHFYAGFGMVLA CNVLFLFIITGYRFYVRRENAKLDAGGEKARHAMRHGITQEQVDLGWRYVGY L199_005260 MLVLPGSSAITDSRRNVLLKAFQAHIPAITSVDAVHLHLVNPTS DESAALLSDEKSNERAILNSLLAYGDYEQLETTKAFLESGMKGTIGGKTNALFILPRA GTISPWSSKATDIAKICRLKEHVARLERGALYIITSSEPISLPVIHHELHLIHDRMTQ LVHTSLPAAATVFPPVPPHPSPLVTVPIIGASDPTAVLGEANARLGLALSDTEIPYLV ESFLAAGRNPTDAELFMFAQVNSEHCRHKIFNAKWTIDGKDKENSLFGMIRNTEKVVN SAGTLSAYEDNAAVLEGYEATRFAVNGKDDWIYSSKVEKNPVLIKVETHNHPTAVSPY PGAATGSGGEIRDEGATGQGSKPKAGLAGYTTSDLLIPDFTQPWESDIGKPAHIASAL DIMIEAPLGAAAFNNEFGRPALGGYFRTFLLEAPTANGEKEWRGYHKPIMIAGGLGNV RPQYARKDKISPGSKVIVLGGPGMLIGLGGGAASSMASGSSSADLDFASVQRENPEMQ RRCQQVIDACIARGDGAGNPIESIHDVGAGGLSNALPELVHDSGLGAVFEIRDVLVDD PGMSPMEIWCNESQERYVLAVTPENLATFEAICKRERCPFSVVGTATEEERLVVTDRF LGDSPIDIPMPVLFGKPPRMHREASTVEPKQDAFDSSLFTYLPVYKGAPTTSLIAETV NRVLRLPSVGSKSFLITIGDRSITGLVARDQMVGPWQVPVADVAVTRSSYGFDTVVGE AMAMGERTPLALLNAGASARMAIAESLTNLAASSIEDITKIKLSANWMSAASHEGEGA KLYEAVQAVGMDLCPKLGVGVPVGKDSMSMSMKWAGAKGEQNQVTAPLSLIVTAFAPV NRVDRTWTPQIQTGAGESVLVFVDLARGKQRLGGSALAQVFKQLGAEAPDVEDASDIR SFFAAVQALKSSDTVLAYHDRSDGGLFTTLVEMAFAGRSGIEVSLDAISIHGDAIASL FNEELGAVMQVRTADLTTFTDAFVKAGFPTQHIHVIGRVKGRKDQTVTLIHKSEAIYT STRGVLQQLWAETSYRMQAIRDQPEGAKEEFDSILDNDDVGIQYSVPFQYLPEPQPDA KRPRVAILREQGVNGHIEMAWSFHAAGFEAVDVHMSDIISSKVSLSTFVGLAACGGFS YGDVLGAGNGWAQSVLLNEVARKEFSEFFYRKDTFALGVCNGCQFFSQLKDIIPGTEN WPAFKANRSERFEGRVSTVQISSSSNSVFFKDMEGTVIPVAIAHGEGRASFDNSTATL EGLNKDRLVPVRYVDSKKQTATSYPKNPNGSPEGIAAVQSKDGRVLAIMPHPERVTQL TSNSWYPKDLAKAAGGKGPWFRLFQNAYQFAVEQRK L199_005261 MNYLKSITTSVLQSTGVTFPFSIGERIPGLDSSSSIWEIREGVK RDDGTPLTLFIYDSTLPPFQPGNKDRKTLFQLARNALKKLRTIRHPDVVKYIDSVETE THIYIATERVRPLEGVLRDWETGGALAGKGKGKGKEEWIGWGVKSVSTALAFLNAPPL SQHHAYLLPSTIFVTPSLEWRLGGFDLLTGREDQAGVLWALGGVAPGDVGERSGPEVK KGGWGVLRDTDPALSDTYLLALLLFTLYNPLSPLPSLSSAPTPSLAGSIPKSLFPIWK KMLNPNPRTRLSTASFLDEAAQSGFWSSNALISLVDGLDNFELKSESEKLGLLRNIKE SASSGTLPSPFVTNKILPSLLHSLSLPTAPSSAMLPLVLELGKLVSPAEYPKLVLEPV VKLYTSPDRGTRMALLDGLNEYADKLDNKMVQEKVWPNLITGFADTVPVIREATVKAV FPLSSKLSDRILNNDLLRLLAKMQMDTEPSIRTNTCILLGRLAPILGPNTKKKVLVPA FARSLKDPFVHARVAGLMALMATVDCFDRDDLAGKVVPNMAFTLVDKEKLVRDQAFKA MNMFMARITEMVKTMPDTVLSEEKSSASYGPVTTTSSTTTTNQAGLANSAAGAAGALA GWAISSLSKQLSTPDMNSTMSAATALHVPSGLSPNPSPMNSPRISSDSNAVSAFGSTS PSVPGPSSGKFATGVNDGRRGSAGGSGLKLGGSGNARKTGGAGAGPGPSSLVDMVASE WDDNDGGNAWGNDDLIDVNADEDDWSAFESAPIPEIVVPPPQSYYVTSPKQPSSNGVN TNTNKPIQKIVSSNSPKPSPVAAFAATTKSKPNSAVSPAMSSTTNDDWGEIPDNDNNS SSSPQKVASPTPQAQAQSLAGMSKEDKDKEMARRRAERAARIAAMKEQKKGKA L199_005262 MPTQSTSTAPPKKSRKRSSFSEHTAAENTQDLNKVRAYAACRNC RTKKIRCLPGPSISSGDNINGQVPGTCQQCIQAGLECSYPPTRDRAAYSRQYVQNLET RVQALEAMQARVIPLLEAFENTQSLALPLPQSIHRKNEISHSTVPAQGALVGDVPVNV LESEGDGEGESQEDDAMQGSDVEDGGQMTQDERGNYRYIGMSNTLSLLDSFSHRDRER DKDKDKDAENLIQGGPMSRSNSFALRSNTQLSDSNSRQSPAISDHTTRGPNPYFSHVA GSGVVKALPPVHEVQYPSPEEAVKFIDAFFEEVHPSLPVVIEHEFRKEFKDIMDARAR GALPTQGPFLAVVFAMFALGERVLVTSKAWQRERKRIQDDIEGDEDTVLPGEAEAGVI WFERAQILHYTSLKDININQVQCLTLMAAFQASVNAMPMSWLLAGQALRVAQDLGLHR STSRLPIPFAEKQLRSRCWWAIYGLERMMSISLGRPLGVDDLDIDVAYPAEIDDEGLE RMAQDGTPTSLVSGTEEPLGSTMSGFIALTKLCKIAGKVAHLLYRPANEKSVSDPSWA ASQQTAINKVDKLLREWLDHDVPSKYKDPSDNRAVSLVSAILSNSYFAVLITLHRNFL PSNPDYPRPKPPPSSQSLARCVEAARSVIHIASQSRTLVPPSHHLAVYCQYLWSSAII LLLCEVQAKDQLVIETVGSHVESCRRTLQSLEPVWPGSRKLKELLNDVASRAKEVAQV SKVNNPRSKKRKAISLDNIINTTNNVGQPQVAGQMRPPPSRTQSSSASPHRPSIPSAN YGDNQTIPGAYQSSSPSAHVVQPGSNPDKRQRSYQTSDTRTLILPEPSQAPQANLPQT QTHMPQQATYFNSGHHNPSNLPPPYPSSTPTPTSAHILPDQMDVGVYDSFDLGGVSFN PSELLQGFSDSASASNFWNQMTFIENSNNNNHNNTTYGHGQQQVFSGQNTPNSNSGAN TSGPSPGIIPQAQSQNNNGSVGYNTIPGQGQVDPFAEFWAQVAGNSFDWQADPSVPFN I L199_005263 MSSSSLSTNSDPETAPLIPQPPSIPFPVPWLQLTPLMLLRVLDA LTYSIIFPYIVAYITSIPSVPPDKIGLYAGLAEGSLMVVEALMAPFWAYMADKVGRKK CLVWGFAVVVGAMGLMGFGESVGWIIVWRACYGLNPGPVISRTIFTELSHPSNRALIF SIWGPLFSVGICLGTFLGGLLAEPYGRLPRWVGGENGLWMKWPYALPGVVCVALSVFT LILCQIMTKDIYPICEPLDQTRPATLIHRDEEEDHVVSIPGRRKSKFIKTLDIPDFKT ILVCFCATFAYDGFFTVFSYTSVPQGGLGLSIQTIGIVGSISAIMNFILSPLLVPRLQ PRIGIRRFLSMTIGTIPMEAILIPGFQKIATHGKVAIYSGLAVQLPLKNFHLMAWPLN DQLTAGCFDGHPELLATGSAIVLIAGAGGRAIGPTIAGWMFSIATQFPTGSLGRQISW ISLLGISLSPLIVTFYLPKKLGIPQQESIDQNSSTVDGVEIEQD L199_005264 MLSLSRTRLSRSVGKNLLKRNYVVASQTHRASEAPNFGQHKGYP VIDHEFDAVVVGAGGAGLRAAFGLAEGGLKTACITKLFPTRSHTVAAQGGVNAALGNM TEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPNTVIELEHYGVPFSRTKEGKIYQRA FGGQSLKYGKGGQAYRCAAAADRTGHAILHTLYGQSLRHNTNFFIEYFALDLLMQDGE CVGVLALNMEDGTLHRFRSHKTVLATGGYGRAYFSCTSAHTCSGDGNAMVVRAGLPLQ DLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSEGERFMERYAPTAKDLASRDVVSRS MTLEIREGRGVGPEKDHIFLQLSHLPAEILHERLPGISETAAIFAGVDVTKEPIPVLP TVHYNMGGIPTKYTGEVITVDKDGNDKVVPGLYAAGEAACVSVHGANRLGANSLLDIV VFGRACANHIKETLAPNTPHKPFKDDLGKESIANLDKIRNSTGPMSTAQVRLNMQKTM QTDAAVFRTQASLDEGVKKMTEVYKQYDQVGIKDRSMIWNSDLIETLELRNIQQNAIQ TVVSAAARKESRGAHAREDFPDRDDEKWMKHTLSFQHDPESPKVDLSYRGVIATTLDE AECKPVPPFKRTY L199_005265 MSEITSANGASDNMAVVAPNLESTPSIIAAHAPQVKDDDESMSE DDKPLMKKQQQQNNKRVGGGTSSSEDEKPLNKRPRVSNGAANKKRRVVDSDSDESDAG PSVKKEAEPTPKTNGPSKPRTSTTKKSAIPSSDSELSEDEKPLAKRATANENRTAKAK PKPSTKAAKKASSSDEDESLSEESESSEDEKPLAKKKAPAKPRQSTSSTKATPKSKVK AEPESEDDDDVPLAKGKANGQAKPAAKDKKPVVKKEKKVKEEEDEEDKYKWWEQEALG DGEVKWTTFEHNAVLFPPPYVPLSKNVKMKYDGVPLTLPPESEEVAGFFGAMIETDHA QDAKFRENFFKDFKAMLEKYPPKENVKVKSLDKCDFKPMFEHFEREKEKKKAMTKEEK KAIKEAKDKMEAPYLFCMVDGRKEKVGNFRAEPPGLFRGRGEHPKKGTLKHRLRPEDI IINIGKDAPIPAPNIPGKWKGVQHDNTVTWLAHWKENVNGNAKYVFLSAGSSWKGQSD RAKFEKARELIKHVDKIRKDYTEDLKSKVMADRQRATALYFIDRLALRAGNEKGEDEA DTVGCCSLRYEHVTLSPPNTVVFDFLGKDSMRFFQEVQVDAQVFKNIKLFKAEPKKKG DDLFDRLTTTLLNKHLNSMMPGLTAKVFRTYNASWTFQKQLKHTPKNGTIAEKIAAYN TANRDVAILCNHQKSVSKTWDVSKTKHEDKIRALKYQRMKLRLQLFSLDPKIKKKRPD LAEDESDMDEEFCERHEKELLEKALDTAQKKFDKDNVKLEEEGEKKKDKKDLEERLKE IKEEFKELKKERKSRKVEPRRAATEEKLLEQIQKMDERIATAKVQLEDRDKLKDVALG TSKINYIDPRITVAWAKKYDVPLEKLFSKTLREKFPWAEAEADKGWIF L199_005266 MSDYKSAKEAFVSDNPGSSIFTILAVSLVAWTSYVLYATLYPRF RPSFTFDYLTSALPLLLGVTIFATVPITFNISLITLSLIAYSLSPKSRRRSISNNSQK GQSKGSWLEESDSDEEIAEPTSTTTSTQNTPIKLPSQVALASAHEYSTSPSPSLISSN PTSPSSTLSVEDPFSKLPNTSNGVNKRRLSPQPSPDNITVNILPTPPSAETDSLSNPS GSSNYPTNAKSRIRNVSTPSTIQITGDNAKTKRKLPFLSVYRAHMMIMTVHCILAVDF KVSPRWLGKCEDFGTSLMDVGVGSFVFSLGLISLKSLTPSSNNNGKPPNSPGMKLIRK VNSTLFQELFKAFKKTLPTLILGFIRLIMVKGVEYPEHVTEYGVHWNFFFTIGLLPIF GVLVRPLRGWVRWSVLGIGISLIHQVILTKFSLQSFLLSPSRPGLLGQNKEGLSSLPG YISIYLLGLSIGEHIQRLSAPPPSLTGVTENVDDHIKRHYDKRRTELILELFGYAVVY WMSLCGWLYFDLVGDGGVSRRFANTPYVLFIASYNTLFLLGYLLLESTFPDIPTPALL ESINRNGLIIFLVANLGTGLVNICINSIYAGRSLSMLVLVGYSLVVCGVAWILSGKRI KI L199_005267 MPIPPRPIPVHHPRHPHHARQTKPKPGSSVPRKSGITSSSLEWR AEIALLNELGPRSVPLPLHLSGNGNGNSKGVKMIHKKDNESEKDTKEGEMEGRREVGL KELIDDGRGKREKRATTATTLGFDFIPNPTILTLPDIDTDSSPNKKKSSSLLTISKSS PIGPSSSPLENTPLTPGLLPQPSSSPEPGPELEDEDQEDDWEYIPSLSSQRSVVDNDD DHDIIILGELELEDDGDLRGCNSKMGKKYKDIKKISYADILGN L199_005268 MPTALSPLPLNAPQQWTKPTYSNTHGGKTYRPTHSDLFKVEFTP PQSGGEEYSSRLVAVRDFEPNERITKLTNISLAPEKAYSSVQFGKGQRDHLELNSDLL FMNHSCSPTAEIHLTSNNPDQWEVRTASKGLKKGDAITFFYPSTEWDMAQGFQCACGF ENCLGEIKGAKHISLEELESRSYVNEHIRQLKETQIQGEK L199_005270 MDSLTYGLIFPFIVEYMSSLEVPKKKIGLYAGISEGSLMLAEAA AAPFWAKAADKNGRKRCATLGFLVSALASGCSGFGHNYHSDRSATYTICAMHGKVGES LDLVGLDDCAITTEKLPSDGLAMNDHLNSACFDDYPELIATGSAITLIAGVIYLIWCF VLFIRHQEELSDPPSQVFLSLYVPEGLTKEYRQVEDESLENEDENLPLVERSRSVDQV RSDEE L199_005271 MSGRGGFSGRGGGDRGGRGGFRGGGRGGRGGFGQRDFGPPETVQ EIGSFLHPVESEMLCSLATPTKIPYFNAPIYLQNKTQIGKVDEILGPINQVYFTVKMD QGMLASSFKKEDKVYISGEKLLPIERFLPKPKTAGGKERGGARGGRGGAGGRGGRGGP PGRGGRGGFSARGGPGGRGGARGGAGGRGGFGGGRGGGAPRGRGGFGGGRGRGQ L199_005272 MGVTFSSLWSRLFSKRETKVLILGLDSAGKSTILYRITMGSVVA SAPTVGSNHEIYDYKGVRFGLIDIGGQTSLRSSWSQYFLGTEAIILVIDSSDSARLGM VKQELMKLVADEQLKTSLLLVLANKQDLPISQGRLTPAQVSEALGLTDLREREWQIMG CSALTGIGLFEGMDWLVGKLEARG L199_005273 MSDTRAEAEKELETVEMSGNLEDSLGSALESSFNLPPPPPPPAK GSDSAHEPTAIPEETVAATSEPIEGMAEWKDTLEGYTREWQAESSIAREKALKTRQRI EKENADAERKMKEELEKEKKAKLAEEKKKRDEQRLKQELEAEAESKKGGLKGHGGDRE KKVKEAWELVGDKKGDDQTTAETDVRGPTGKEQSKKEASKPLSYDPTRSTDPIPPIFQ DPVPASQTTAPTESATLSRHSATSGAWEELSRGSPSGSSGEEVSRPQSNSEESDIVNI PSSSSKRGGDHQHPQPPSQPPSLTLSLFNPSQLTFRRVLAVIGINLVLPFVNGVFLGF GEIFAREVVRVGKLVWRGERTWFGLGRGAAGRGTSGVGLSGGF L199_005274 MSTCPVCGEVQNADQGAFAYHVNSHFEAGPSTPAPPINTSQEKP TMHKAGVNNESDTCPICDFPLSFLSPTEAQTHVNGCLDGPPLSPQASLKRRRSIASIR DDEEELDLDYDFTVNTTSSLQNRRDEQADDEGWDGPARPGGWMDWTGRKVERGDQWWD PISGSTADIPSNFSPGVIPILAQTLRKASHHGITRQAVLCRDITHIKGIWKFDMGWGC GYRNALMSISSLLSIPTYQPIFDRQNNGAELGVRRVQGWIQEAWEEGYDPEGKKQLKG KILGTRKWIGPSDLYAMFTYKGIPCELYDFPKPKDTKDGSRTAHIALQRWVKAYFSDG NEHQFNGDSRSAFDVLMRTQDGGVGRGEVIRTSKKFPLILQHSGHSRTIIGYEENSRG DINLLLFDPGRSMPKDIRSTAISSLSQTRHLPSSSQSPQIHTDHSTSSSFRPNILKKR SSSISATHEPARPFSPPYTNGRAEIDYSTSQFNLNLNLSHEDQASHPRGGVTLPHPKL EDDEEISSSGWVRKKLSKVKPTTSKEGGAEGLDVIRTLNYFRVNLSNLSKHTEYQVLA FTGQGVLDLCERERRKLVSSTVVRA L199_005275 MEDDPFSLPLFNHHPSAAGGSTLPPIRTNIDGPPQIPPPPPASA HRNNQASYTGGILSSPFSASPRVPTTGGGMPPIPNSQRPNQNAIPYGSIPFPQHHQPT SDELMPPPSSAPSNSHSHSYLGRSASLGGSRKKDPFAYRSDDVESGFGNMDVSDNINP GGLPTIPSVASSSSNWSGNYSNNAQAQRQSYHGYSGQPQQAQQSRDIVMSPSKPYSSN TSMNPPPVPAHALRQQQQATNESSSAYQSNNPYIPKGSDPGPTSAASSDQSHWTDYRR PSINQRMSSTGSYQSKTSDQLSPFAKPAPLSGGVESPSLSSPLLNPYDVSTSTAAFSN SQSPSIPPPPQVANLPTSPRSWNNPPPPTASSSSNRPVASPVGGRPPPSTSRASMSNQ LYPASQPVTPAGKYELAPSRGALPSRDGKRDTPSRSGLRDIHDWSDLKPVVNAQPNGR RADPDAPGKYLSPLKCLTTALPQTYNLCNPSFRYETSDNPRRVLTKPSKPVHNDGADN EDWDYILYVNDVLGGEHGGDRYLILDVLGQGTFGQVVKCQNMRTHEIVAVKVVKNKPA YLQQSKMEVAILELLNNQHDPNDRHHILRMHDSFTHKNHLCLVFECLSSNLYELIKQN QFKGLSTQLVKVFTGQMLDCLTVLKDARLIHCDLKPENILLKSLQSPQIKIIDFGSAC HEMQTVYTYIQSRFYRSPEVLLGLPYSTAIDMWSLGCIVVELFLGLPLFPGTSEYNQL SRIVDMLGTPPTHLLEVGKQTHEFFNTSTDAYGRKVYKLKSMQQYASEHRTDEQPSKQ YFKQTKLKDIIMEYSFSKRGAKQSDIDKEMAMRRAFVDFAEGLLNMDPIKRWSPQQAA KHPFITGEKFTGPFQPTAPTSRTSSQPSSSEKTAASPSSSKKYGGLVQNPTPTRAQRI YSDAASYNQQLAQHQSYTAQVQSAANAPRPGPFSPGYDMQQSQQQVYGQGHRIPSQQM PAQQSQRQPSGQWQMPPIQASYQQQRVPSLNSSTSHAQLRQPGNTSNAMNLSTTNPPP NSYYPATRNRANTINQMDAIPPALARLVQFSAQDPSGTRNSLTPVMNRDDFTREWEKR QQGHQKQSSLHNASYPQLEYLQEQAELAGSSGGGNWLMPGNAYSTHHHHQQSQSLGHG HGNHRTHPSLSGNQNYQMQPHIGVSPPTNNNTEYGSSTRREYDPSPHHHRTSYSGNTT GLPTYPPPAATSNANPNSASAAGSFDAFGDSRDNNGMGMMYTPLQPSYGNASYSSGGG GGAGGHQARASYSGPYGSNNTTGQTGQSPFGNQGGSGSPRYGGARRNQYGT L199_005276 MDPSVPLVVDNGTGFVKCGYAGSNFPEFVFPSVVGRPILRAEER LGTSQIKDIMVGDEASEFRSFLQVSQPMEHGIVKNWEDMGHLWDYTFQEKLQIDPRGR KVLLTEPPMNPKVNRHKMAEVMFEQYGFGGIYVAIQAVLTLYAQGLQTGVVVDSGDGV THIVPVYDGFALPHLTRRLDVAGRDVTRYLIKLLLMRGYAFERTADFETVRGIKEALC FTSYDLESDKKLGEETTVLVENYTLPDGRVIKVGSERYEAPECMFQPHLVDVEQPGVA ELLFQTIQQAAVDTRSELYKHIVLSGGSSMYPGFPSRLEKEMKQLYLTRVLGGDASRL SNFKIRIEDPPRRKHMVFLGGAVLADIMKDKEAFWVTKEEWDEQGVRALDKLGRGD L199_005277 MVCKKCEKKLSTVAAPDPFQPSSSTRKIGENKLLSARARASPYV KPGTGGAGGVKKGSINPYGNKCIDCKQSVQQNNASRCQKCAYKKGLCAICGNLVLDTS RYKQTTK L199_005278 MSHHSSASTSALGFGSVSSSSSSAPGPSRQRLTGSWQPLPYIHS GFCIYPYQPDGSPPPTPATAQTDDQKSAKNRNRFSWSGIRHSGDEEGEGRLNAYEVPL DIGDEFFAFEEYKCSLEEDGRGDLWYRGYVVQAVSLPSLAPSSSAAHSATFPRPEPSV LIGIFPAAAVHVRPSASNDNGELTEAYERAVRAAEETARNANPSWVGEMDTVKEEEEG EGIDVSSPQREKDVEGDVVGVEAQNGGLSSNKLERRSSLGKRDGLRSNRPKSLILESK LAQMEEENKEQPPLPKLTAGDSTIAGQQWPLVDEIACAIREWYGRLPTYLANREYRLF STVMQHIDALFLGRRQLLSQTLSGDELVRIRRECVSRLVKCNVAQGLEVIVRSLEDGS VLVVDKERAYTGASWVGGIACYVYQVQLAYIDLIPLDNLFGKFPSLIDPRPTLPSAQP FSLIDATATGLTQPSTTGSYYHCFLDVRAFIANPCAPGETAELYFSLYNKAESRFVTE EFCLILNHLGSPARDGEQRLGKLRTLFVDLKLDDLAHDIYLVCRIVRNGAMKMRQESG SMAIRPATGRRTSLYGISEGSAAHGNPSMLDTLTDDSFSVTSGYGGQRTPTIDTSYTA NGHSSSMEGKPTFRRPMGCAVLHLPPSTRLLADGTDKMGTGVEFHVPIYLPKDEATFA TLHENIIHNRVKEYVTSSRAEAIALSLKVFQGAAPQVIREHPSLLLDIPLSARLGFPD VVYPGTIRNDLYVKLWSAVFTPAPTNPGGSIRVRKSVIPTYQGDVQVTIEVRRGDGSI VQDVMVAGGCGEPPVAQYHSLVFHRNDRPTFGELVKISLPASAQAEGYHLFLTFRSRG KERHLNPDPSELEQPFAFAYLPLADGTACIKDGDHDLMLYKNERNVPPTPNAYLEAPA LAQPGSGLSPTLMKSLIPLRDRVTLRTYLCSSLQTQDNTLRTLFVWHTSGGGNIDVLC STLQLFSFVSEDEIAKFVPSVFDALFSILCTDHGARQDEVELLILKSLIKVLAMTSDR RFPNFENVFNLYIDAQYHYPSSAFNLLRCMKKVMSTPLTTEYRAFLKVWHLFFKFIIR SREHDRARGIGLDATSTHIESEFRSQTKSILEEINSLMRSNEKQLIGTQTLAVQHYAD ILPDLSRIFQPLEIAEMVIEFADTLTFATGSIAIYKLLLLLQVVKNVFENSESRSILV PAIVRWVKPHLGSFDELRTIGKDDSQITRDGKRVRWLECNRLAVTVIAWTVNKLQEWL DSPLIKDDTTLRIQEEDNIEYCLTLLPTLYESYFELLSAKTKVTLNRQRSSPTSTIWK STPDVFPSTHPFALISELPPPSLLERQQNAGQDALPSYETFNCGLAETAVVILTLILS SPRPNITRWLNEVLDIEGVSSLSDTLKSTFAFCSSVIGSSAFPKQWLTLSLMSLSSIS KFLTTLTPLLESEHFIPSIENADSFDITLWTKLFELLCEFCGSEELALEDMTQQRRRA EWIIAGDLRDEGAALLGRLWNAIGWPVDGPQGKVDGMELRYGGYQTRFTGLAERILGL CLSSHDVMCETAVEILFSMIYAEYVIEGQFDTIETEIFAKLDKLFASKSTSSASDPTM RAYFVAQLRAVFESTPSIDDTFTDKVSIFLDEIELFIDLLLSLRDIPDSVEWSDEKMS AIYRLITFVEKIGRNDLYIRFIQQLVDISVSNRNWLSAGLSIRLHAQIYNWDLNSDLL DELTPGEQVKMRLPPQNQFHRKEALYYHAIGYFAEAEAYEHALELCQELTVQHQKMTF DVAKLTELLHHQAALWEKIGAGGRGKPEYFRVAYFGEFGQLNQDKDFVVKGEPWQRYS EFCDFLQLKHPQATLHRSKIPPPSSARESSEPLIWVTPLTPEPDLSKPIFSDGVAENV QSHWRYYDIREFSSLRPYMRDQDESEVVLTWTEKTVVTTKEELPGILNRSEIINIRYE QIPPVNMAIMEVEKATKNLVTLSKGKDGHLPESKLLGTAINGAVDSPVSGGVKTYKKV FLDGIYVQKHPESTSEIDQLRLSILAYVKSIQDSLKVHKVVCKDIAFHEALKTQFYKT FSEEISLLPRQSESSSTEDDTPSFDHSKNNFEFTSPPNHPLPPLPLPTYNSPVISHYE NTSRGSGDGSYKLPKLKLGPTGVGGVLSIHTANSNSNATSSPRESINTIPTHIHAHSH SRHGSQTPTLTPVLPQGSLRKTPSTSDRDKRSSWNSSSNLSISAMGMGLGQRAMSIVG LSQKGTPPSVSEGGTVDEEYANTYNQQQQQVGERNMDGNENENGNGSGGKEKRMSMSM GGGLKRFGSLIRRDK L199_005279 MAAFQQALANFNNTQQDNAPSSSTSVSIRGSATGAPSTRSLSSA LRGAGITREQGMELDGTNNGGRVGRGGRRGARSAGPLDQTGRHHPSNNANNKPYQKPG SLASRMTPASSSSRDGRHPRNGSNNARNPTGTPPVIKQLQTISGKAEREHSKSELTKK LHGEEMKEWIRKRVIGDGILDMSNLPNDPWLKENGILPPGHPNAPPNAGTVFWRIIEG VVQKGAGITVLTLSLANNNLDHLAHLSKLPLTLPDIRALDLSGNPIKNIGELDNLRAA GEKKGKATAGAGSLKSLVEIKLNGCFFREKMLQQPDGPNIYKHDILRRFPGLRILDGI ELERIILPIDRKPKVRLTEEQKAAFVAKPFTFPCDVQSAFGEEGVKEPAMQFCAKYFT LFDTDRNALIPGYAPNALISISANTLPSRSANQVEVMKTRANRPQPVSFEAWTNLPSR NFFRGTTSIKARMDSLHNPADAERLLRWWNKIVPRTRHPLSDPEKWCFDTWVLDGEGE STKLCLMIQGEFEEMPSGTYRSFSRTFILSPAPPGSSAANAGWPAIILSDTMTVHSYL GTFAFDERNRSLATHGVTIQPPSIIPPTSTPAAGNDALVAQLSQRTGMNAQFSAMCLE QNSWNFEAALKNFEEIRGTVPPEAFV L199_005280 MPAAVASKPNGDLANGHGSVKSSSVKSRGALKRLKAKQKAAAGV GKNGNTSGREDASASEPPTDTESDVESVTSTSTAATSVDVEIDTSDPAYSAFSSILAR FQGQEGGDALEAGQDAGPAKGEVYYSDEEDDDEEDVRKAAQRAADQEGLTRRERRKAA KLTVAELKQLVDRPEVVEWFDCDARDPRTLVNLKSYRNTVPVPAHWNAKRDYLAGKRG IEKPPYLLPPWIADTGIGEQRDAIKAKEAEQTLRQKTRERVQPKMGKIDIDYQKLHDA FFKFQTKPSMSRFGEAYYEGKELETDLRTKKPGELSDELIEALSIPPLAPPPWLIAMQ RFGPPPSYPNLRIKGLNAPIPPGAQWGFHPGGWGKPPMDDFNRPLYGDVFGVMQGAEI ANQDQIDRTLWGEIEQLDEESEEEESDEEEEAEEDEDSAPVRSGNAPADGLETPSGLA TPSGYNSVVSTVPGGLETPDFVDLRKNTRAESEDVSSGPRELYHVIPERETSSRGFMG SSTAYDVSNVGRPGGPAVLGAEDSGRKRKAGDVEISISEDEDLTQEQLKAKYEASRSQ SSKVYVPGADADRSGFDDVMVGEMKKRARKDDKKGKDKAEKFKF L199_005281 MSDNGRRSNAETPSKRRLSLRLGDSSSTVNSDKKARIDRPLSTR EPDTIDLTEESEQPQTATSTRFTHSSYSSPSDSTEEERDRAFRERMAGHRTSDTSHRR RPSYPSAWVIGDNERGGLSAGSSSRQPNQSHPHHPSSTSATASRNSSPPPSFNLSSGQ PLVNPPQKTQAAFVGKLYSILEDEDIVKTGLIYWSAEGTTFTCPNPQEFAKIVLPRFF KHNNWQSFVRQLNMYSFSKVNDIYTTSVDPQAWEFRHNLFRRGEPHLLASIKRKSSRP SAHDGGQPISPTGETAELSRPAAGWMRDVPPPGVPNHLPQILRLSSPPQSRGNIVFPY SGPNEDNRPTTTAGIWESRQPSSHGNGPPPPAPAPPSISLRMPPPLQDFQTQSSNHQP QLPRFHPDPNRPPLSAHGQRFLPSNVPDSPYYPQQPPTSPLDSLSSQVIMLEDRLQRI TEVLNNDRIEHVRYNLDFTSYLLQMVGWAAGDQPSLEMKALQDTLSRQNGEMRQKYEA LMASDALAIMASGAGMIGRERAPERYRDGDREERRTRFSFETAIPNLTRSLGGTSPTP RLAPALNSSRGVTPMASPSVRELGDLSSTSGMINSRPPTGFTLSASGDSHLANSNSNM NLPIPFNLTRSSNPNVSYFPTPAQSSASASGPVTPSATATATAGPSVVAATISDPRKT PQEEPRSITSHTTPNGLKDTPSGRAGDGEEIKPKTGLKNLLN L199_005282 MAKKKSTEGAIALQPSAGKKLTFDDFDDDTIDQVNATTSSPRNQ DIQEEGKDGEWTDEDSDDDDAPEAVGMSRAMEEEKRIAEREAALNANRKAAAKARSQA ISQAKAESSTKGKGKGKNVPNPPKSKSQKIRQPKSDPESEEDNEDEDEETKRLRRRME AAMAQASNDDSELDEDDDEDADDDEEEASEDEGVEEEEEDQQSFHTDSEDEEGDPEEG NETDDSEDLSTVKIDESLKGKFAAMQAMMEAAESRAKGSTSTGGPSNAKKAEKKRKVS QEDPESSDSASESGSSGEPQKEDDETQWDLIPGAPKPLSKAVLARAEQLEKAKKEYNQ LKVEELNKQIQEAGKRSKKRRKVIKEKTEKKISDKTTLQILPPTFNPSTTSLPPLIDP RRKVSARPAGKASKDKFMKRAMNNSGVVPGRGMVDGRRKIVI L199_005283 MNTMKQFIRICPLKATFTHTRFHLSLRTVDPPIIYHRLLLFRIN KPETNDVSVSSSEAGPSWKAPELYCMEETCPHLGAPLSHAEIEDIEDTKAIVCPWHQY DFDLKDGSSSTGLQACTYEVQVKGIAEDAEVWVEAPHPSPSAPQRKWELVEMRGVSEE FLDPPIPSLSRLSLAEEIDHSSRTSFPESAAILPDDLPNTLLGFAHLILRTSNPELKC LLTREAVMRLRAGKLKSIRPTVGEIKREREKEGGLLDEPPREVEMIAPGKAPRRGKGG SEKSRIMMLHALANIEQYAIDLAWDIIARFADFTTNNGERLPVEYFSDWAKVAEDEAK HYTLLARRLVELGSYFGAHTVHAGLWESATQTADSLSARIAIIHLVAEARGIDMNPLT LAKLQAAGDKESSRVLEIIHADEITHVTTGHRWFTWLCQKQGVDPITTFRAEVFANFR GKIKGPFNAADRLKAGLTPDFYEDLSGGLGSNLPITVNEDGKAKEPEGDEHIHPRLT L199_005284 MSTEPGHSNAETHTKLDGSPDRRFKEHGGGSHGAQGQGFEEDGD GTSSLVTEGTGEDTGVYKPSEHGGQKKDGSSDARVSSEHGFGGDRERASAEGQKGGSA SYDNGGLTQ L199_005285 MAGPPSSTGDVFLYFLAIFLPPVAVFFKRGCSADVLINILLWIL GWIPGVIHAWWIISRYGRPAGAPIGRTY L199_005286 MSSSLPLDAPSASSSTHSHSHSPSSVAFPIPHPNPHSPSIDSQK PSASTSAPTVLSPRVSSPSNISTPTINGSTYHDPTNLKNGGGEHATTKTGDSLPNLGD LDAKCGGCHEVIDQESGGVVVAFGSSLWHVDCFKCAKCKNKVSADTNLLLLSDGSPVC GNCSYQCFVCKQAITEEAIMTGDESYHAHCFTCRTCKRRIEELVFAKTSQGIYCMACH NERVARSRRHAEHKRQKQAKKEAREQERREKGIDGSEKDKEKKKDDDYGMTSPIAQPS GNFLHSTPGIPSSMSLAQTANSPLASPSATPNSFNSGKFDTPSNGIGTPNAGEAFEDA SERESSREREREKQRDLSVDRPIQRSTSPADRRIIEAEDTPLPASPSDPARGVPHSRS MDSEHSPQGRAHPGHALSPSLGSSRSLNTSSPVNVPVAGPSARQQPQNVGLGVGPVGL NVPTSKADRRRSINPAMTFNLDPQNSTFNAEPRMSPLPPSPLRASFTDLQAEQQQQQQ AQGLQPLRSPTTPSPSPGNDMFPFKERQLSAGGGGRSTPDQNVSGPPPRTSSLPDQLG TSRSRPLATIEDEESSASRKSSMDNTASSGLPSKQPSSNQLSEGALATPRLNAPNLPP MSFSLSDPDFAVILNNIDQSPKTDTITNNNKSGESVVTVKAEEGGSGPSSPLSINEGG NSPSLARSPHMDMLSSAADQQSQLAGTGTATTSGSLDAITSRSPSRSRLSPNDQVQTP QMLRIRQPSADSTLSINSRYGTGDGSFATLVELVAGAKHREEDKIEVDLTVLSGVIQE IEELRDTITGLKNKYTGAKRTSQQYSEGLTIAGEEYDKELAHRRELEAEVSRLRAQVH SQTARLSVISGDERRAENMRRRSNDLVNSLTGLERDISRLRAQRDMTLAEVEELSARR GSVESSNGDDGASSLSRSLTNRLDTIKEQYREELEPLTAQREALQREIAELRETKESF LEESAALAAKNEELAELNASLSRQAESVQDHLSRIRQPTTIFNKSSASGRTHSSGSPS LSSLATSATLQEVPEETARVVKVTKPEPIEAAPARRFKWYKSSKGPDSSSVSASISKP LNLPTSNLNLRNPGLGVNMSGLGLANGVPGGANGGGAGGNGLQRPSTEFGLRDHNFNQ HSTMRLTRCELCQEKMWGLQEVKCSSCGIVCHSKCAEKLPRSCTGSRHGTIENLDGPL PPSMFGRDLIEQVGADKTPIPGIVTKCINAVEAVGMEYEGIYRKTGGSSQSKQITQLF ERGDYDAFDLTDLETFNDISSVTSVLKTYFRSLPNPLLTHALHESFVAAASIRDANNK HSALCALLKELPKEHYNTLKTLMLHLNRVTAQSSVNLMTSQNLGVVFGPTLMRSADPN REFGDMAGKALSVQWMVDNAPQVFVDRD L199_005287 MTIWTFLGRQWTVLPPAPKGDYLKGKVILITGANSGIGLESLKH FVQASPSKLILCVRSIESTEKILGELQSFHPDLKAEIVFLDLCDLNTIKVLPDELRKR GVEKIDILINNAGANPGNASKPPEFTKDNYEKTFQINVLSPFLLSLNLLPFLRESPAP KILFLGSGVHASADVKLIESSLKEDKSIVRAFNEKNGDWYDNKQIYGISKLLLQMITR SLIPSLPTINIITVSPGLAITNLGRDFNFNLAFYIFGAPFMLLNARSAEKGARNVSSA VAQADQSYDYWAECGPSYSESSWLSSGNGIEATKAFYKEMIEEVEKISPGATNGLIV L199_005288 MSSIHISKSAGRFTRLVNTRTRQFASSSKSTSSLPIVPLWINGQ PLESSSAGEVVHRHPRTGRETCQTVVAGEKEVNDAIISSKTAFGEWRDVTGWERKGIL QIVGKLIKDRSKDLEEALRADSTFSDLVVKKDQESALHLLEGASHTAISVEGTIPQTV DGSFSMVLRQPYGPVLSIPAFNYPLTLALRSIAYPLACGNTVLLRASPLLPQFFQLLG PLFQDAGLPKGALQILNFSEKDVAERIEQIIAHRDVRMVNFTGSVKLGKILAAKCGQY LKPSVMELGGKSVAIVLPSADLELAANNILFGAFFNSGQVCMSTEQVLVHSDIANEFE EVLKQVAEQAKWGEQGMEMVRPGSGDGARDLYDNAIKEGAKVLYSPSNTSSTSSTSST SSTSSTSSTSFPPTILSSLPTSSKLYIEESFSPLLSLHRLSSTADIIQHANSHPTGLT SSIFTNDYREALDVAKELESGAVHVNGMTIHDQHNLPHGGWKDSGYGRFNSKGAVESF TQTKNVRFVKGDKFPLGLIYQGL L199_005289 MSNLTAAETLEIAAKESVDYNHNLNLGTFIIASGTDMLLGGVMI VQLINYWTWSKGDRMFNKSIVVITSASSFLATISIIVLMFRLFVYHFGTYAPFASLNL LVYMSIIDIIPSVATQVFFTERAYKLCNRSKILAGAISICLLVSIIGAVGMPPIRAAV QAGKVDAEQKKVFMYMWLCGSLSADLMITASIMWSLMKSKTGWKETDDTITQLIRVMV ETQLPPTLLVIFFFIVTFGFPLLYLDIFALWVQSKFYTCGLLASLNSRYSLRRTMKND SSNHGRTNKTGPVVHVLTETYVQNGDPAVSSTINHNSNPSHRPTFTHTPHRIVRKDPL DLDIDDDSIELEDKTPQNPNPNETDLHESHKMDYIDNASKTGLTDNIVYSGKRN L199_005290 MKKTLGPVVMIDTNPARFTHNNLPSSTDPNTNGNATKEPKGRFW TSEDDKNNQATYLFFPIFAAFLLILLSSLSTPIIDGLSIANVKVDGGGSIKVGTWGWC ISEFDGIENQCSANKGFNLDLWATLNTLPDPLKSLKSISKNVNVDYLIGSGVMHILAT LSVWLTLCWTLASSGSWKNKERHAYNWTKWAFNGAGFSTIFVLIAWSLDIEMLTRMQS VSSDVLVDGKYNNSLSVKPGPAIFMNLFSFLLCLSAYLVRMVWGRFKPRPSWTIKGHS DFHDPPNSAALPPNEDTPPTWESLNISNDQLYINDKPPIPENSVDQRGFIV L199_005291 MGAAQSSQNVQEQVITPSEPGTSVQFSPSLISRLSSPSDSSSSS SNSADDIVRRRLAAESANLRSQEAEILSKINAALEKENLDKEKPGMSSEVLGKDIEQI REKVERLKEKKLNTSKGVREAREQVEKCYLTNPEKPLDCWKQVEAFKSEVAKLEQAFV KSLQ L199_005292 MNDPLSPLTVPPPRYLLESDSSDEEGQGSYPGVAPGPSTKPKIR VNDGVQVDSTGLGDEVDEVVVGLGQAGRYILKGVQGEKIGGIKIGSKNVASVTKVGKN RVISIEEGEMGGEECWEVVKSLVAKVKAKKWTIVTSYVSSMYIPSPSERAERLDNPPI RVLSSGSVNGTKGFDSPNYLTGIAGGLVSLGSRPTSSIAQPTTLLLPLPLSSLPSSQV VSTLRSISPGISDIFSQSSKRWTEDDDEPYSAPGMGRVRGLRKGVGEVSSMYM L199_005293 MSVSEEVGIPQIILDTRKAASGVTGESVEPRQTLPTEDMEPNPA NSIPLPPNRQKLIDDVIALYSCQPTIERVARYAPACVYDDQFVYANDRYKMAGQWFGL PKLFPKSENVAYEIVKNEDTLIQFRNKQTWHFHLIPKTATINALVSIVLDPATKDSDF PLILYHKDQANEKDYSHEGFGFSFKKTQADMVSKLMSDKEVKLFKGDETAAKEPVKKY GSGTAEAPLAKDV L199_005294 MPPKGGSRKTELRACLICSVLQSTNDFLTQGCPNCEEILEMRGS AERVAECTSVTYDGMIAMMEPSESWVARWQRIDKKMRGIYAVRVTGRPPQDVIDAIEA RGGVYRPRDAVED L199_005295 MSMNQESLTIEETLKLREQLGLSTAAPAEGSGEEAPVDKDALAE ANYAQRREDMRKEKEEKDLKERIERSRNRSALNAKLKGKTLGISNEDDKLDTKSWIKQ QKKREKLRAAELARRAKEMEEADKAIYDERDLSGLKVGHDVDDFEEGADVILTLKDNR ILEGDEDELQNVNMVDDAAIKAAKERKRKAAEQYTGYDDEEFDENRIGIKADILGKYD DEFSSGKAKSEGFRLGAPIEKKMKIVDEDGEMGMAPATKVKLNLDYTKEFEVSDYAKE GEKGFKKPKKKKAKRSTRKAEADGEDENGMEVDGEPTFQRRVVDQGPDNLVDDDDLQA ALARSRRENAKKKPKIKPEDLAAQIAQQKQEEEAAQPADGGDGDEDGRITFDDTSEFV RNVTLESLAAPVKKERAATPPSTATANQQQPVVVKIERPEEGEMDEDEDMSDEEDDAL AEMAAREGLSLDEYRLKIDSQMQEMENIKKEEAGVPTESEPVVGNGVAGILSMLRNQG ALKAATDEEKERERIQKEKDLWLADHRRRVAQREMERIMSRGGNKDQAQREYENRMRE QQEARDALESYKTYRPDINIVYTDEFGRKMTPKEAWKSLSHKFHGKTSGRMKTEKRLK KIAEERKQATMGATDTPLGMTDAFTRRQQKTGEAHMVLSVGNRQSVPQNNKKR L199_005296 MSFIGAGELEHKVTQLQRQLDHKDHELNSIKNEQRKREEDLSNA RRAKEDAEYKLRDEADRAHQAEKSITAKATEISQLKLKLSNLESSLNQATDKLRKEEK DKERIQDALDEALNSGTDGASQQVKSLQSRTKQLEEALRSAEEEKEKLRSQGSSNDPW CSEEPLTRGERNRLMVLQNQVESLREENARLQTSAPSKSSSSDMFSSSSPSRPKTKRR SMSVSAPAPSELIELENQIVSLKDQLAICKKDLDKAVNEKLAVEITSKKKMEKMQSDM DDIREELDFYRRNQDGNGTSSTQEIEKIKKALTNENDKLQIQLKEKNNEVVKHVQQIK DLENQIESVGKLEADLERERNLRQCLEADKGDSSVSSTHLVEAEEQIQSLRAELVKAR SSSATTATASSSKGGDMEIRHVKRELQKALRDKEYLESLVKENDELLAEKDEEIQRLK TAIPVPSSPVLGAQIYDTRLQELEEEKMVLEEKIDEQKERYEEEIKGIESRLEMVTKE VDAVKISEKQLAERLAMAQEEIETHRHQRVSSETQLESLSEKLASKETEILSLNAQLS QLRADLDKAQDAYLIAQQDIESTRNRLQELEVSLDAKEKLLDDLRAQRDELVSTLAAR SRDDADLAFLENALKGAQAQLAEVEIALTENEGEKAKLREALAGARFESDLSSQQVSD LSANLVAVEKQLDEVEERARTLSQQKDETIQSLNDQLDDLKMDVSSLQIKLDDKIAEL AVSTASHDKIHDLFDEAMKSLGEVQAKLSEAEEAQADRSTATEARHKDEGLFQLKEEK AQLSQTLQSAKEEFQEELAAATFKGEQDLLEAQGRVQKLEKQVENLQSALSSVHHSKS SITSDSETVHRLEQKISQLRSERDDLRHNLSFVQNERHFAIRAANTDKEVALEDVRKV RDEIKQSNMACERLRVDLEEAKIRLAENASKVNEKDDEEKQQLVERVCSLEVDLSNQS ERVQSLESQLKSREEALSDIQRQLQKAEKRAEGLQKELLEMVNHVGQTTKLSDPPRHS PTSEATSDLPTELVSAMSNGEARSRRTSLGHMRSRSNMSANVLQNLNIERQLQAKIGR RDTRIAELTHDLEKANLNLTLAKEAQEETLEEITELAEERDRLQAELRQSTQRIEQVQ VQVEDPEALRAMVLALVMYRQSVKSAESRWNVASEMLSRSRNAATALRAHIDVAEHKS NEDDERVQSLEREKTALEMQVAALQAEETTSRSQLDEARKSLGDLQSRLSTYEDASLS AAETPAALSAMEAQVADREGRIRELKAQNVEYTARIEVLEGDLAELTSSRDEELSQLN SRISGLEGELKDSQDKIKELRTEKEGLAEEIIAAERALEEGMNGASAEQEKMEKKCKD AEVRVAELEGQLREKVNQLEEMTRKSEELERCLETARNRLKEMSIASEEDQALVHKLQ GELDTLKESGQGNTEVVTQLREQLASLQITSTSVEDEKKALTIEIIQLKETVSSANKQ SDLTKKQLDETFIELEQARKAKEMVDESVLQIRNELASSEENRKSTESSLQEIMNRMD KLSVELNITKEDLVEKTQAFQNALNVSEEQQANLERLRSENSDLKAELEKAQSATPTV EVDEELVADLKERIEQLETSLTQKTEEVDEADDRTREAFKTNAKLEKKLGKLQRQLEA AQVEKNTALNKLATQPQAQPRQPAVTSSSSAPTIISAAVVAPAAAPALAPTPCQTVAA APPTTTKPRVVSAPSPIQRTPLSSVNIFQPSSNTHDPSPIPTSGHKRHREDDPVKPNP TVDAILLPPSMKSISPHRPKSSFTPQRGISTHGHAQGQGNLNLDIAKQRPAFPLPPTR SVFQPR L199_005297 MTTLLILPPHLIETIQDLLKSDVDLPEELRDELDRATSNRNAEY ELAGTSEDDSRQGPEDRDKGDLAALTVKDTPPQRTIPHQTIEELSRWAGSHQGIKQLE KNGLDPSRYNTISLLAGTEIYIPPNELERLKLSESGDKPSPYLPSYLSPSTSTSSSFG KEFRNLSKTISTVLNILFSIFGSSIAVYVVSTSSAGYSREISILLGILTGIIVGVADM VLVYLYTRKLDEGRRESKKVGLKMLRGSGKIGEKDEGEKDSSVDGEKEDESVVDLSQT SDTLSTAVKKEVRLRRRGLNGTT L199_005298 MSSQQVKNVVVFGATGQQGTAFIEALSSHNGQYKIYALSRNPSS SSSVRLSKLPGVEVVQVNKDYMDKPELAFTATGLKENEVYGAFNVQGYVSEKVELAQG KAIIVASKRWNVKHFIYSSVSFGGSDDTKAPGFEVKRDIENYLISSGIPYTILRPTQF MDNLLPTSAFMFKISRTILLRQTFYNHPERKHQLISSRDIGRAGSEAILNPDKWLNGI IELAGDELTVKEIEDVYTEVLGKEPELTFWPLAAFVKWVSPLGPMARFFDDHGFKVNI AQLKEDLPQVGFEDLRSYLQRYKASH L199_005299 MRPLFPYFAAPLRGYRSRAPPLIQRSISTTIHNIRLMNGRATIP PSTLNAHSGGIVRRNFHPSRPRQDVFFVAFPALKSGLLNITRFSLLFLPFVFRYKLWK KYKKTSYALIQIPIFAICVVLALGLDQSPRTGRWRLLLMTEHEEMAWSRRKQQEVLRN DGPLILPPEDERSKQVARVTSKLITALEEQDQHIIHGASWPPRSQELSRVMSEREAEY GQDRKRVHFKPSATARSTFMPFRPVTSNPLKKLESADWNLYVIDLPQMNAFALPSKDI FVYTGLLSTLPEGDDSLLAAVLSHEIAHVTQRHSVENMGFLNIATVAFDVLRGITFAL TISFPMITDSAGLFINWVNDVVAERAFSRKLEQEADAVGLEIMATAGYDPRAAQDLWE LMAAVEADAIAAGQAIKVENKFAMLRTHPTSEARQEALAKDMPKALKIWRDHLPKRPG PVPTLNAIPSQREQTKEGRLKEAQTLAS L199_005300 MTPEEIAFEEAAKASITLDQRLNLGTFILAAATDTLLCGVMIIQ SIEYWTYSQDDRKFNKASVLITTTSSLGATIFIIVLMFKLFVYNFGQYTPFAAIPDLT YMCIFDIIPSTATQLFFTYRAYKLTGRSKILALVIIGMILVSVAGAIGFPITQEMYHR NKSDAVLQSKIALTYCWLSGALGADVLITISIMWGLWRSKTGWKETDKTVKRLIRVMV ETQLPPTILLIVFFVFVFGFPDFYLDIYPLWVQSKFYTCGLLASLNSRYSLRRAIANG SSSGRIQSKPPVVHVLTETYVQSDGQHGTSTKNQPKPRYLNENDHFSPRRQPAKDPLE LDDDSIELDVIPTLDDHAEQLQQGTADLEFERDRDSSHKMDYMDNSSRTGLTDRRK L199_005301 MSMSGSISRKRVGSVSQRNNESGLPPLDIQSIQMPSNKTNALAL KTAALSSTKSLYQTCSILRKRLRCVEDFQAFLEQPASAEPLDVVSHMCHLFRLGSPLC HLYNLLIPSFTNPSSPLYADLPAPPPIEYDLPPFIESPNGVRNWAKRPENAKMCQRYI ASFCMAMKKRHQEGRWHSEIWALHELWGKSNGDEIEAYDSTGLMKVLHTVEEILDHLP ESAISPLSPITPHTASSSIHMSTAAMRNQRQSYDLPFTMGGNGTGSGAIANMAATMNG GVQLESIPQIPNGAANGNGSSSPTTMTGGEDMQRTKSTADANAFKSVEELVSSEKSYV QELEILVRCSQEMLDKQLVSTETNHQIFSNLAKILDFHRKFLIKLETEYEPIQEGRGP KAWAEGVWGRPFITSEAEFDCYGPYCANYLDAITIVNEQMPNLMRGQELPPAERPCLD PQRELQAFMIKPIQRITKYGLLLDAILHATAKHEYPFRPELEEASAAVKRIAASINEV TDFKAKQATVRELMDRVEDWKGHELDRFGDLWLDDHFTVTKADQPREYHVFLFEKMML CCKEVMPERKKSSKNSSMLRKDKTISKSMEKRKLALKGRIFVSNINRAALLPPEPSPS DPYGVSRVCIAWTVPHRHPNGWHEDQEDSFIMIGRSEEQMKKWADKVTELATAERKKQ EANRNSRSAMSSGMYSGSEKTYQHSSFAPPTPSAEKPPFAFPPPLPGQGSQFPSAEDD DQDGLISGRTTPSLSNHGHAQGNTSPYTSVHPTTGRRVQSQQSMPAAMQAEFRARALT EDQYGPSMTQWRSQQQPMPPPLPRLTSAMSTLSTASEASFGPGVGSGSRYYPHPAMSR TMSGAGSRILQRADEAEEDSPTEQREAFNRYGPARGMARAPSHGVAPTVPYPPQLRNR SASSPNVYQQPQMAPNSALPPLPPNQYQQQQQQNWNTSMDPQLSMSSSSTLVGGTAYF TKRMSAGGKRSSGESHSTETSETTSDQQSPATPYGSVPGAPVSRQNSSEVVGTGSSSV LIKLRCGEDQFLIGVPSDINFPTLYQKIQKKIRLCSSSRNIGETLQIKWIDADEDEVT IKCDDDIQAMIGECMEVGASHVNLVAR L199_005302 MVYTIVVHLQSLPDKVEEVKAKLIEAAAVYRKDQETLDWHVMQD PKDATKFCIVERYEKESSQEYHLNNPTFDPYVVPRLAKPMDLTRWEEM L199_005303 MSAYKSQASDSKATFKHDLFKGKVLFCTGGRSGICYKIVETMMS HGVDAAIVGRDAKGLAESAEALEKSTGQRCLPTSADVRDPKQLGKAVKDTVDKYGKID FVICGAAGNFLAPITSLSSNAFKAVVDIDLLGTYNTIKATLPYVRESHGSYLHISATL HYRGLPYQAHVSAAKAGVDALSNVLAVEEGPRGVRSNVVAPGPIGNTEGMSRLTPKGW QAQNDIPLGRMGDTSDIANAALFLFSPAANWITGTVLAVDGGENHIRQLSLPYPQSLL DPDSVKGLIKGKL L199_005304 MDPPQTPWVGLPPFQDEDESLLINAQPIFEDSFSMTKGDGDGLE GWVESVTGDARQTKWDMVDQSLDLGDYVPEEEEHIQQSIVAMTTINNRQTSHKRVGSQ SLIPIAGPSKKTKPPIAKEKIDDLYTRRLSDSVRRNSTTNVQNLHASLTSTQFQSHIP QAQASSSNLHKMQETSSSRPKASLHIPPASNEKKEVRGFGADGHIQKHTSFGRIPQIQ EKTSSRGLTKSNNIQPSRQPSIETSSTSGDIPRRGGSADQDGVQTFSNQLATQHLLSV ADHEKFTAHRQPSPFSLASTTSEMSSSHTYTSEIKDLSAGSRRSSMFEKVVGFLSNLL TRSISSSSLRDEVSSCRADQGVEGEEDDATVTLKYDIDQEEDIAVVFDNEHQEEQEAR EHRLELNTEKRLPLNKAESSTNRVSAKHIYSIVPLPKPIRFSRPLHIRPKPNFKAQTT FMVHPKSKPLQPSRSANTNVSSSSSNVSKGSTSTDKQPFVVTSTGTRSTINRPTTKSA FASAALAKLPPPGKGHSTSLSTQPVIVKSTERVRDLKERKSVFERLSKPNPNSNPINK NHVAHLRPSRARMNLTVPIRGHTPGKASDIRSKQRAKLDAIVNEDIKEKEKQQEELKK RKEQEEEEEYMRRRKETVIWAKPVPDMYKR L199_005305 MFVLALLPLLPFLALAFPTQQQPFSFTPEESLNNKINPLKHLSA ISPFYIPTEKPTPLPPKCELDRVSLLIRHSSIQGNDDEFEQTMKPFIDKIQNIPKEDL PKEGPWKFLRDWDTPIKEETLEVVSPQGKKDAKFLGKYIRDQYQPLFPPKKKTSTKKD KNKTPYKVWTASSTRDIDTAKSYIKGGFPSHQSGDDGEGDGEVVQLVKVPNKAKDWDR SLTPHKACDTFEKESSLEPANKWLGVYAPKVIERLRGTIPEIADQLVDQDILAMQMLC GYESIAVGHSHFCYLFTDEEWLDVEYYFDVRFHYMMGYGSSLSPYLGMPWAKTAKHLL AGKDTDGDDNGGHAPNQGDEGTLGNFKKGGKLPEPKLPPNATHTQLLHPSFTHRESPA FVAVFLNLFNSSSHPHPASEVPPLDHRVDDRAWRTSHLVSFLGHVALERFHCKGDKEE YVRAVVNGRAEKMSGCEDGEEGSCKWKTFDKWVDERAQRWGDWESVCEK L199_005307 MSTLNQLEITPAPVPAYQDEEDIEVIKADVANIRSEFTFEDSKR LLRKADWRLLPILIAIYLCKNMDGNLVSYVKTMNAGEPTNILNSLNITANQFAYASTC FSVTYIVFEVPSNLIIKWSTPRLHFFRILVVWSVVCACTAACTNLAGFLTARAFLGMA EAGLLPGIYWQLTCWYRPDEIALRMASLSVLGQFSGILDSLLTYGLSYIDGRGLASWR WAYIICGLIGIVESVWLFFYFPDFPDSPPSRRQFLTPEEGAFLVARLPPNAARSSDKN FDWPAIRRELKSPLLWGFSFFALCMNSSLYGLSFWLPTIISSFGLTKGPKSQLLNIPS AVVSIISSLSLSWWLDHDTRIPRPLFMLSGATALIGTFLGMIFCKSSGGLYALILLAQ AFAALMTCALLPLRSQSLRGATSAAFIMAFQNAWGQIPGLYTAQFFQTKYAPRYAVSY SVSIVFIVGLMSASSFICYFQYDVEKQTREIARLRREEGKKNVVVEEDIKI L199_005308 MSTQTLTSTTTLRSQPQAILQLRGAHTSPNTNAFPELDRQGYTV VKNVLSSEKSIEYVKRAFDWLEGFNKGFKRNDRSTWKPENLPAFSRGGLFNRHGAQHE QWAWDVRSEQAIVDVFSKIWDTQELLVSFDAVNISLPFDQDAVFQKESWPHTDQSPLR RHKHCIQGIMNLVEVGPEDGSLLVLEGSFELYNEFFETHDQDAPPEGWSWRDSFVPTD EQIQWFYDRGCKWKKIEAGPGDLILWDSRTIHYGGNAEGDSIRVATYVCYKPAKDIEP DALERRKKCWDELIGTSHDPLLFRETGSIALGPLTEDERLRPLERPVLSDRAKLLAGI ESY L199_005309 MSAQPDPAAAQPSAEGPPRVIDENTDLSTLTDQEIMKLMEGMDH QEDQMNKPLISTPVPLSVIRQEYEKGSTQILKKLDWLAENGWDQVWRARGDGDCFYRS FTLAYLVRILHSDDSQLEANLAYENIQRALPAMEQCGFDKELYEEFLDPLLALIRSFA EEGESTSTEYGIVQSLQDPERSNCIVVALRLITSSYIRTHSDLFSPFLLSPTTFLPLS TDDFCRSEVEPCGKEADHAQIMALAEALNVGIRIAYLDRSDLGSDADSVINWVEFGKD TSEQGRPLTLLYRPGHYDVVTKDVLPQV L199_005310 MQTSSSSSSTSRNDTTSRFANVDLDVVERIIERGRHATTFLQIY RPYAEVLQECGISETNDSLYYGFLLKLGNIKGPTWADKWEIWKTNGSFRANHSNSQTS LEEEEDGDTEEYEVDDLNQDDHSFSQSQLPGLRARVPFLASASSDIDEGFAPSNHEYQ NEGVSFINQLRPSPRKELELSKRRDRNRSLGYTPDQSFNGDNHTNDLLDFDPPIRTST PIGRSPKYQQHSSTNPPAYSDSISVSDTNQLVDQATEDFSALGLGFVTPRPKNKGTYS PVILNEPERSWIDRVDEITEGKRKCMEKKADDYYNLGLMGRCWDMWFKTSEFYRVTYK NIPIARDNLLLRQVIEKWTKAARYQLSLPGTADQHRQLHLKLSVMRKWAERIKEKRLS LLESKWSAEQRLKEIFELFQVWKAGAERRRMERWKGDMAQRVSRFVNDRDTRIMRNSL KFWQIETLGRQVARDRERRWIHGVFGEWYDQANRKMELSTILGDIEQRRLREVFIKWR RKSVLQPREEKIRRVHEMDLVQRVWDDWRISSWQAKQSSIFDRRRLLLMVLDRWRIAR SHQQRMERKALIYDKTRLLDKAFRRWRIESWGRLLVQAKEKRSQEKVWTKWKDRRDHL KRLENVADQFEEKNRSSNLQRLFSRWRSAVAGHQTDRLRATLVYEQKMQVNVLAKWHS STAIIKSNQGLADRAHAFFLLRTAFKAWRAEDARTKGARWIEKKNQEKVYEVFTKWKV MTIKYKDLGRRQIVLKDHADRQIMKRSLERWTNRVIEVKDRELRIARARDDQFKSQLL QRWRDRLSVIQSNEKKADDTLEIRELENLRRVFRSWRGRAKRQKRLRLTAETSLIERD QKLVRSVFERWYERKRERDLVEMEKEVAFLHENVILYGVTDRWKAGTEILPGITADSL RLKRKALNTWLVALARKKRADDMQNERDRKLLAETFGLWRDATAHKAALNARRMRGRS RPSALSDRRTSLNLPFSSTSGSRRVTPTTTTFPNHVRRDEGRLSPGLGMRDNETVHSE PVYSRLRSELGLGKTRRRRSRGESEEPESGMGQNDWNDLYSPRSGSEMIRALRGNIPG R L199_005311 MAEENFSAALTTWKEINLSELQKSLDSTALELVENQKENLVGRK KLAEQTREFKKLPDDAEKFSAIKVLLKAYQGEIDSLTRRSKVSETSFLNVYKLLADAP DPYPLLDAAVDQTVKIAEARVLESELSRLREENNDLKKNLKEYKDSEDRRKKAENKVE QIEEKMEELIQERVNQKENELNAEYDERMRNYEEREKDLQRQVETVRNQLRDMHSSNE STQAKLMDASQRQEQDVAARLAELDMVAADLSRANERVATVERRNELLRSEIESVRSG SQQAEKVKALESQIEELEAEASRLLRALDQIKERKAESAKAAKKKEDELAKEVASQAT EIQNLKNKVKQYGDYDEIKRELEIMKYVEFSGADSDVEDEGDFEESGLSLKLPDPNAT VANKSSNRSLENLLVSKNRKLLEDLTKLRVSYEELNSEHSKTDEIIENLQMDLNKQLS LVEKLENDLMNINNKDDNEKEGKGLQGLDIGGSKVDGRASPATQAQDNSILPIVTSQR DRFRQRNAELEEELRKQFEIISDLRTEIKSLQADNLKLYEKVRYMGSYGISSNNNNNP NGISGYNVPGSSSRGGLNGVGVGIGARRDDEIGKYKDKYDESLNPFEAFKGREAQRAI QALNPLERGVFSLTRAIIGNKRARSLFILYAASLHILILFVLWNTMAASDSNAHPPVS IHP L199_005312 MDLVPFMYFEKQEEGSLLCAQHCLNNVLQQFTYTEWDLADIAKK LDQAENATLDVSHQLKKSYNFDDTGYFSISVLERALEVWDLSLVRWRGEAMREYQEHP EEQVAFILNLSSHWFPLRRFSTYPPHSSATKRWYNLNSFLPQPEWISPTYLRMVLTQA EQEGYSVFVVRKTVVGTREGEDAGEGQGWQDGGIGVLPECMADTMAVELGEPVGRSGA SGSMFSQPPSSATGGIAGPSHVGTSINPDTPSVDFPSSSGAGPSSPPVPRRRRRQEDL VPEDPIAIDSDEYSRPAVTRTRQSSNRSTPGPTPAVDIFDNQTFPLGHGDGDGDEDEE IHSEEAEGEGRVGTARDDIFGYTGPSDFQFHSRSYDDEDEALQAALKASMNDLPPGWK PPPELPPKIDSPILKSPDPTTSTSVVPPAQPSEFKSTKKEEQKDVEDEEEDADDGPAH EPSPEEIRRRRLAKFGGQ L199_005313 MSSSATNTATYKFNHTMLRIKDPKVSIPWYEKVLGMQKFRESPG GDFTNYFLAFPAGFGDKANASDDEKASVMLSREGVLELCHNWGTESDPNFKGYASGNE EPGRGFGHIAVTVDNLEAAVKRFDELGVKFKKRPEDGKMRHIAFIYDPDGYWIEILAH QK L199_005314 MTSKYRLQQSTDFDAFDDQSSGNTEGLTIGTVRGGPAGSSGAAQ LKEIRKEALEGVRRRAESTKGSGKLNGKVGVITGVGPESGIGTAAAKLFARDGAKHLY LIDYDDTALPNLKKWLERTYPSTKITILKADAASPSTISNLISQVIKENGHLDFFFAN AGVSQIRPRNTTQDVNKAIGDLQSLARPVSKIDEKEFDEVMRINALGVFIAIKYASEA MKIVSPEKGKKVPGGSILLTASIAGLKANAGPIPYSASKAAVVSMAQTAAYDLAGYNI RVNALCPGLIETDMTRGMFTLAEAAGKSDKMGVLNPAHRQGLGSEVAQVALFLASDDS SYVNGQAIPIDGGLTAGVPYAKMKL L199_005315 MSPGHPLTAFKTAADGEHVGDDYFLNSGDKIRYFLEPSSTSPAT VSQPAKLLVPPAQSINKIGHALAVLNPVFNKYTLQNDKIKNVASDLGEQEDPRVLQSM IICKQPRIGGTVPCHNDSTFLYTDPPSAIGCWIALEDCTPTNGCLSFLPGSHKTARIS SRFVRDPSGNGTTFIDIPEVKNNEENWDEMDGWKEADCKAGTLVLIHGSVMHKSPPNH SDKTRFIYTFHMIEGAQETVYDEKNWLQPTKEMPFPSLLA L199_005316 MSLRSLRDTLYSLTGSVPQDSQVTMDTEPVWVAAGDGVWSDGNG HGNGLFGWRSLASGLGFGHHSHQYHHHGVTDWRNLFFWLAVFAILILFAVITNPTESS FRAHLTELSFRRHLADIRRSETDEVTPLTDEQAQLPSTSPSAHTATPDRRGFTPGTGT ETPTHTIAPFRFANHVAISLRTPTLLYRSFLICSITITSPLAPPAFLLDQAPHLLKGK HASPTVKERHVLWFGCMGHWSLVGLVPTSVEWAWKFLKRSEREKGRKKNLDKAGVLDM RAIQNKEDTAPSVKAAIGHAMSASKGMRRTDSSTNLTESLPLHSHPAQLSASPLAPES RRPSLVNLIASPPATATLDSPEIANSPVIIALKAELTAAQTVLTDLQAQLTSHEQSVS DAHAHLQKNLDEVRNRRKEDDAERQELKSRTKSLEEQKRQAEAARREAEKKLKSVEGI RDGLLSKISAAESEIKELKGNMENSEKNVRVIQEEGGKHVVLTQLNVEERKKEMDLVE NEILEIENRNEDLIQLIKDAEERLKKIIEDGENARKIKPEEEMMMMAAAYEAAAQEGY LHSGYQPSHHGPSPVPPPPGPPTGGRGAQQDQWQSQAAAYMAEAGMPHLGYDYTARPA HSGSTGFGHLSKHPNPNSASSRDLESMRRPDISGFEDFGPGTAFGSLASHAHSQGNQI NRSTTPQPPSDSESDIYGQDPGSPYGGFSSSNLLPQGLFRSLEGDQTPFVSGDEELPE TFEEPLSFDLDQHHHHQQHRDPTESTSTDTTTSTSTTVSKLERQAEDLQVDSGSSDSD EGDNEDEDDWKSPLPEPKSLKETKESGYKRLSSSSASRLVPPAPTGSASPNTLSALPS LLPGSRRWFSGTGVGTLSNDNIPSTASAFGGFMHSTTSNDSLNLPGYENNPFAPTSSE KKALANKWGPFGTSGSDNKWASAFGRGNTNAKDNEDDPISNGWPSTSPANGNGNENEG KKPFRFFSLRGKPTTGSTPP L199_005317 MPGPQYRDPWAAREAWRKSPIFSNRAMFRSMFPGLGTAIVAFTA YVIYDDYFASKPAHEHGGHTISH L199_005318 MSGTSSQLEQSTHGRIQAIERRLASLKPMDVTYQDQTYHLRSSL EANSHPDRLSEKISNLAEGYNRSTLTIRNYQISKHLSQIKGENTKYWDERVEKEESRL WEIEEEILKVSLEGTSEVERNSDAG L199_005319 MSVPQDSASEKLNANSVLLSNAAAEPTNSDLSADSRPKIRILES VDETTSLSTLGRLADHQLKEMTYYCSYAMIVHSHNERIRNDSLASREEFDFLRTMTAA MYDWKKRKDERSAQIESAVQRVEVDEDDI L199_005320 MSQQVLPWLQDGHRGAQSTERVSLDSERLQSSGPRDAEQLYEPD AAGYHSDDEEPDQDFTSITKSQAGQIGDISRGDEAAARDTKHSSGDDSNYTNTRRDGQ TS L199_005321 MTSTTSDSSKLPISTTNPNPASNINISTTSQSETQKENHNRNVD SSSVQPPILANPISPSPPSLTVTPASPTTTQSDKVDQQKELDTNPNPTTSGVETSMKE KGNHPTESETALLSQQPQTSTNMASTTGGEPSKSTNGGALSNLTRKLSKSNSNTNPSK AGLSKEKEKESSTSTPPSNTTKPTPTTTQASSSTKAAASTSTPSTQKKKKKRKGLAGF LLALGCLSADEFEEKPKPKTSQLQQQQITQKAGTSVTTAKPAETAKVKDEVPSKKETL GHQVEPTATTGTTLVDQNVEGDKAVKPNEEIVVAPAEPHTLPDEETAGVTSSAVQPPG GGSSLLGTPTRSHPQVQRRDSDIAAPSTSAEQDRTETSGGYTDISNSEIQDESSGVGV QHEEGVDEYGLEDDYEDEEDRLIEQGGMGIPIDENGNPAPLLPSILKEHHGRKCLVLD LDETLLHSSFKSLPSADYIVPVEIESQIHNVYVIKRPGVDHFLKEMGKIYEIVVFTAS LSKYADPVLDMLDTHRVVTHRLFRESCYNHKGNYVKDLSQLGRSIETSIIIDNSPASY IFHPNNAVPVSTWFNDPHDTELTDLCPFLADLATVDDIRGVLDGRMN L199_005322 MSSEETPSATLLPAPTFARQGYIAETADLSLAISIVRVNVRAPE WTTFVIDSVNSNSTDNAEYIVEDISKQMTSRTVKEMIAKTDDSGAISYELSFAKPRKG SSQGSVNTYRRMYFDQSLYNQIVDPTNSVNKSRTASGRVTGIRQPRTEPVDEGLTGSK SVTKDVRSSNAAKTQVESNAADLIPSSLRGPIDCQNTELTYENVIPRDYYYLK L199_005323 METSWTEGESIYERTTLSFQLSDISTADYGGITFNVDSAHPAVA NSTEVSENLSRYLTGCRLRGVKTYRLTSYYCVMHGHFKKIENNDESEETQTVYGFNSI TMYPDLLDHLESHKEESGQNTFIGTVTGVRAKPSPLEDSILTPERSKQENILVIDFAM AK L199_005324 MSLAPSDYDHTHDAHLTFGVSKAILDTVKNTITFNLDSIKPARS NQVLSDDVRNLMDQLQIPCLYRVITEVGDDTEIFSSIEPTDRTQIKHRKTAKFPSVFG SAHFVEHLTRLTGREGHKPQTFTAAIFGGTDEAPGDTVRSELGSMYGTSSTKTFPIFT PGTMTEAPSIRDFEDDRSDGATTPTTGQPELLELPRSGHANITITSTPIRYPRDLYPV FRIDSIEKADQLSARYNHDASALLLERELSGKTVNLMKEYSQDGRDMTGKTALQVVAT RDSAPSRELYNYHIDSKSESAWRKLGTKDQSSITMEVHFRERVNGKDNFTWTPAPEDF GPYGIGR L199_005325 MSLSLKDYPCTTSALVSLTVSDVKYDNTQDSWYLTISCATPSFP RQLGSDVTNQFGGSGQYKMSKVNDEESQIGEYYSIASVDPGSMREWNRSKYLGVFADR SLGDDIKSCLGRPGELKAYGSLIGCHDDLYNDHFNERLFSQGNSTVTMGSDVFTPTGT MTPSTGHFGMPLFESQASLSPTPERGEANVTLRFAATEGFAGGMTYEVQAVEVGKSGA SFWHDQVSFDVIQRNLTNEAFAMDLEYPDGDKTDRWALKLRSVENSRYRSGTSFMEGT EIHQEPLNFSVPKKMRNLIVNESTGRNKKVTGNVTYIKRIQGKANYE L199_005326 MISRPPSLDDLGSATTEYISMGISGIRFNRRWGNPDDIDFKLQT VSPGLPIPPSATVSALSDQIELGEYYRLSLNPTITTSSGNALFTLSPIDTADDRTLTK WNQGLLSSVVLEENMVRAIGRQYGSDPGEGELIDGPIFCIPNKPQVLPSNVAGYQLPN VDQGSAQVTITFSSSRGSQEIFPTFKCISIANPLGESSNPNQSRLSVWSNDEDNAFEL IQQVFPGRKFRTQLCYDKYGNQSYNDLALELRKDDTDGGHRQNDEDLISLYNFAISTH FDKALTEDHRIRKGQGTGEVTGIVKFERRNGGKSNFSL L199_005327 MFCRTHADVNATTSYRTSLQISNIHQDDQDESFQFDIHSIISRK PRSRRSESQVSSDPPESEIQSSPLMEGDESGLSTQIIFGERYKLSPLHVTYNGGIPMY DLQPVSQDGESRERWSNGDISQVVFNNDFAFEIATFANTYTNTNTNTNTTDSSNVQGH PTSADTTTNTSTNERDSDRVTVEGWMFCKSESALKEHQSQLGRASRSGKSWKRPFGRM GDVWGKIIGQSRSKDITRSDKSGERLGDEF L199_005328 MLSQLAVSLTLALLPLTLAQGDLSAANNLTDLEGTWSSNSAVST GGSFCSPAEMAFDYPNNTGMAYSFTNDGFFEEAQYRYNSNATNPACIQAVLIWQHGTY SLEDDGSIILHPFSADGRVQVQDPCAATTNIITYYDETTTFTDWGIIVDPDTGNYQLR LNRYDGAKLPYMNLIAKPPNMLPTQTLTGVNASGQTNTRKRSLTSSPLDIFKRSSASN RASISSNQVIAVGGIVGSVMVGLLALV L199_005329 MTNNQLVALPPPPPPSDLIGSALFLPLHNVNLEHRARKLRFVGQ VLAFHPPTSLLLLTSFPPASDPHSPSPTILVNISIPLMGQSPSLKDVSAAAESNSYTQ TVQSSSEGQLGRGPVNREPLTLNRGEWVNVVGWLEGDGEKMVRKVKTSSSYLKPIPII LEAIHLSNARPPPVDAMYRGSIPGWDGIRSSTKGGEDEPIVIDDDDEGEDVLESTPRP KR L199_005330 MATSFADAGPLDEYFRDEIESSNEQRPVQGQNLDMEMDLDNQTR RSSGLSDESGDITLVDRLSLSPNTLKGWTGSLPNSAGPSNTSNNAVPGKFPSPSRLSF SDETFAEKFRYLICSSGLLEKDYVPALSGGLESELGDDIPDNNEETWKVLEGWIQKGK ERWDLVLASIALMVGLMISLGLWTILGLSSVGILFMGWYKGLVPISKDISNKPTTSSD DNTPQSAALASLTNFITQSQSLNNTLLSSLSLLEPHPYNLYTHNPLRVALHRFTGNMT DHLATATSTLLELTDRRELAVLGEMYDIPVVGSFFYSRGRRHHSITDSSSEEEYDQPI PVHRPALPHRPSSHPSPQKHNHAAHSSTSSLPAYAGPSSLKKLPSAQRFSFSSSPGGD DRFTHLPDKTPRLSKRASVERLRDTWSQSPRYERPKHERRITEADEEAENEDTTTTSV QSMSSDDNTPVKLDRRDSRSDNQIGSTVISPKSPPAGGRAGLGVTIPRTPILTRETPR GSSASPFRHIPSPLSRRLSNASERLQPLRTAAMSTPSRSLPGSTTLLPSPFMSDPSSI SDIVPPSSAPLRAVTSLDPLLSSSEAGASGNPKRRSLQNMVYYHSSDENDPHQSLSSG PLSAGLTRTRSMPLSDLQALRSASTAGGGGGRSRRSSLNPSAIRSSIGLGIGFPTDVV NKRSSLTTLPPPSPEPSLNLNLRRVESISPLTTPSLKASCLGIHLKRRRMTCCLLGLK FRENQDDSYWRDVKGILDDLVLGMIEEKKILEDVLKDSEREERIIRLLNSDKHEHPRN NDNGLSDIWSPSPIESVFPDHKRDYAPKTPDEVLLNEHMDKLAQALIGSWKELSKLRE SLGSNRKEGQGLGLMDGWIGVRSRLGDGIREWERGKEVILRMTSQNRGSDNVQVSSST EDDQGQNVEQEKDVRQSTSPLPEFMKSWTTTDDDENQDRGSADKSFEDAEEPLPPVGK DLIFEATSSIPLNEDKVLLSKMSREDRIKLTKQAREKGISVVELLKDRNGLGDQKEKD VREMKIRSGQVVDELRGVIGSIRRMKVGDLPGEDGLSMIDGQTNGTGVRNVVEEGSLM PSLPIPLPLSDRQLNENIVEHHISQDQDDLVKMLANPVNRHDHDDRKDEQIDQDYQIR LTRTSKLTNPQDNQDAPNGFNSRFMLDLSELKRNIRPIKNKDSDEDMSE L199_005331 MSLRLGSQPLSKWSATSLLYLLLVSLSQSILVNSQYIPPQALSY RRSYVNSTLAGRGDESNDKTKDNSDAQSQSKELWLMSHEDFCVYGLSEENRGKMLSES VDNVISYCSKSGHGTRLIPDGTLKGVTYVRTPSWVQVSGSGDFSQIGISPDDSGAQFD SSSHNPQGSSLITSQGGDPAKDWVTMISGQTFCVRACFGDPAFCPTQYDSLGCYFLTS NGVGWHDVWQDCEGDEGDPPGLIDGQTYTPGIGPVPTQSIPAVSNCQPGSSIQNGQTA AASSGGSKNASASGTEGGKEGSTSWVPVQTCLPCTATAASDSTSASDGEAGPSSGKSD GSSSAASSSGNPFASEEFSASGSAAPSSSTSSPASGSGESESSAAEGSTEQVGVTKLS ASTATSASGSVSGAAAITPAPSPAGDLSARGLNQWWYLKRGEGEGETITSGDQCCFTT WTPSIVGGAGGAKATSPSSASSKGKGSNSTGTASGKSTSNGAKTGTAAPSVTSGTGTG KNGTTGVNGTNANGTNSSESYALPLQLNLINGGFDKLIGLGIAAGLGLLVGGITLV L199_005332 MDDEDNDYEIERQKTILQNRLLLDSLGLDPNGSSKIPFARSTAS PKPKPASKKRKAPPTSNVDEGPRRRSGRLAGLEADSETLKVKLEDEAKEREVLRVISR KEREKIMDVGKMVEDPEEGEVKGLEDYLERISLSSNPRRYPKESDSPKDAYADSDPNT ISTEVARLRSAFKNMELKANAKVTEDRVFSMVVHPEKKKTLVFVGDKSGQLGIWDALG PPEDIVNSDDDTTGVKQEQQEDESYEGRVWRIQAHARSAITAMKVNPVNGTGLFTSSY DCSLRHLDFATLKSTELFAFQDENTLVTHFDLLPNGEEAWITDRYGGLTHCDFREGKN ERRRFVVQDEGRAAKLGGISVNPLMPHLIVTAGNDQHLRLWDVRSLSSINPTSIPTPP PSAKTEGESIDTHLTGETPYEEVSKYMHSKKGQGLLRASWQHGKSCSSAYWDPWGRRV LTTSYDDKLRVWSLQPSSLLLPTPLSPSHFQPSKQIPHNCQTGRWLTILRAQWSLNMD YIPHFTVGNMKRSLDVVAANGEKIAQLWNDVVTAVPAVTASHPKIVDHVVGGNTSGRV QLWGAGSA L199_005333 MIAGIGIDILSLTRFKSLLQKRGSTRLAKRICTTKEYELFTNLA SAIGSPSTANHNDTIKEESDELLDKQLRFLSCRWALKEAAYKSLSPHLNPITWKDLQI THSSNGSLVLFPTQKEHRDRFDLLGSLSHDGGMVVGVVIAQFRNNQNT L199_005334 MSAPRTVPPAPAHAPVPLPNPQNAPTQKKYSEGSMYEDLSPEDV EAWHRRYAEFKEWRKAIPNKALVELGAGTGSSMSSGKGVGVGSADWSWSEPSDNGTIP STIVERAWETARARSNLGPQAEPPAPSPPKSGLSKRSSKRNMNLQARVETLHATETRP SQPASKATTVRAPLSQKPVPSHNIANIQKTDKSLKTPTIEIQPPSSKSPNQVPLPSSK PAQSQAQHSISSQKPSSFAKPTRLPPTPLLAQPPSADKIIIPSSEPKIMSRSPSTRGS LVPGEQIIPSPTPSTKYAEREAYIASALSLPLDMLAETNGPPRTDSSTQFTTGRLDSL LGKGKSASRTKGSQRSVGSSSTLPSVRSENVAKRKASAHDLTRSQTASGVSTRSRVSE RALTDHREHHYEGSNHLPVPGPPTNRSRAPSDATVVRAANQPLPPSAQSQFQASAIAP ANSPATQASASRSAPPPTQPAASQATFIRASREPLPLSVPSATTHAETIKVPASVKTV IASSGPATKVPPTEATVSRAANIGLPLTTGRTGTVRSSASTVKTAGELLSARNVPLPP AVLTAASTAVPKKESAPTHRQQMPLPRPALTPQPSFDGLTKATSLGRKHRDPSLGDLT AHFEPSMYPLPPSGATLFSSPEQVGNLPSKPSEEHAVAFSTVEYIPPKSLKSTTQAST PAVQPTVQSRSVKSVPQTSASRAAAIPLPPSSAALSASSTPKATPSAPAPSTVSPPAP PPPPVTSPVEPAHVPATIRNSAAQEEVSRPVSNRLSNPPIEPPNLTQISTESRSPAHT HPHIHFSPGQVSQSLRSEDDHVSFEVPSGSRGRLRVTLKWFREGGRSDRGSPRTGRLN VVEEDAPPPLPPKTTSLKSKVMGKSSSIQRERPRSENPPPSTHQTSHAEDHRHPIPQD ERPELLPPPPKRDDLKSPSSDDQYPPDQQAQQQHHPAGPAPFYNPYYSGATLPAYAAA MPQVYNMLSPPMAYPQPGLPAGGGPTGQYRPAQRGVMAQHPPPIDPASPARESVDPPS DVDPSPQPQLRPQPTQQPYQGYPPHMMGYPPQQGYWNGMTRPSIWQRMFRKPSQGQGQ GQGQGQGEDNIGPDDSVTIRNWRKGVQPGGRAPTMLPNVTPQRGVPTVVPPRPTLANT AYPGTGMGMGMMGTPSGPGTTLYNARGGYPMARSPRERGRGREGTPSVWEKLMYRRQT EEAIYRSPPRKNRRDLPDPAPSPSPLGARKNIFNRSRFGEGTKIRPRYEDDSTRRRRD KDDRYVIRQKEKDERRRQRSLRRDQRATAQQTHGEGQGQGLRREQFLYADERDRPGDL GSAPARGRSGTLVGEWVGKFGRGRTGQQFPNSESQVKNYQPRLWKDRLTFNNRRTPGQ VERTQAQPQPQLRTNDRGQRTTLRRTLRTTERVNPAARTGGNALGLGGLGLGYGNSQR QDRSRGRVEGTGLGMRGMIGRLNLNRKDGATTNRGRRM L199_005335 MPPQLLACGSNAASHLSINHPDDVFTLTPTVYHPSLPPIPDASI ILDLVSTSAHSLLLISTPASEGGVRPRNILLGAGTNTFGQLGPRCALWDDINPEARWK PLNLLNSAGVEGDWEPVKIASAWTTSFVVYQRISDSTQDQSVSSSMSKADTGSSQIKE DDVEQIVLSCGSNDFAELGSTTNVPLTLDAPAEIPISQASRKPTIVEVGLRRGEKVEM IKGGQRHVIVVISGRNGEQRVMGWGASRKGELDAATLSSNITNGSNSSAFNMAKGKGK GKAISRPTTLPPTKIDLQIPPGERIVDVSLGASHTLALLSNGTVLGWGSNLKGQITDI HLLKDVKCIAATWNGSYFLTKSNRLLSQGSNTHSQLLRGSNAEAVRGEVEIPDGWGVY RIVAGSEHLLVHMKSTEMEEQGEGLWTGGWNEHGNLALGDQEDRARLTRVGINGRIRG LWGGCASTWVWVG L199_005336 MVAQAPSGKKPLPDMMTSIRIDKSGQVEIVDQLLLPHSVVWIPI STPEEAFEAIKSMKIRGAPAIASLAALSLKSSLSSTSLTENISSTTDVVKWVKEKCDY LQSSRPTAVNLSEAMNRIRQYLNTTITEKDTKESVIDKVREICQDVHEEDLERNMQMG KLGADWLFAKRGGKKDKLKVVTVCNTGSLATSGYGTAIGVITALYEHDQLDTAYYAQT TPYHQGSRLTSLELTTLQIPSCMICDTMLGSLFQHEDIDGVIVGADRVVKNGDTANKI GTYQAAVLAQRHNIPFMVIAPVTTIDLSLKTGKEIHIEQRPSIEATQVRGLNTETGKL SVVRITPEGVGEGDKPWQRVYNPSFDVTPAELISCVVTEKGVAERKDGEKSIDVSSIC L199_005337 MSHPARLLLRAPPHLPFIQGYPGIPASPNRKAAGVHGSLELRVG SIPVKAKWVRVEIRKYESLPPGFPNTGGSSSEPVWEHIGEINTLWKPSDESKETEQIE TADFKFFLPLPENIPPSVELPRSTGIRYELVAALCYKQKSGLFKKESYPILKISEPLI IIKHDLHSSWPLYNIPDSKTIKASNDQLILNVSRPNTAFSSGDRIQFSASLKSTKSQP FKLKGFECTIYELITSIPIPPDPQGTKGKKRKSLQNPITKSRPISTVKAAVDERIGLG GEKSAKIEMLVDRVLVTVENARTLRVEYALEVKAVMEGIRDKIEINGIMYTVGVFDRN TAEQATGDIGRVDFLCPDIPQPSPPPRPIDSTPFAGPQPDLPPNARFSGNSLLPGPGY FPPQRPTAGSYNQQQQQQPIQVLDHRQPYQRHASSNSASTFTTTNTHTNEFGMTPTPT QNRSYATMPTSPGQRRPEIMFPTAQPRPRSTTPTSPTLDSAGGHERDVMSDAGHDTSS NRYSTATMATFGRWDKGLKNAKKPEQESDRAANSLASSNNAITPTTATAPSPSTRQSS PMPAVPSRPTSARPPVPPSSFYLTSEQEKTLQRERYEAARNAAGLPTSSQTTKKDEEE IPEIPPPEYAPPVPAQPKRQYTAPSRPVSEYTSLNGSSVNSSPKTTSSPRLTSPSPAT RPSSGSNRMGSPPPPSIAEQTVLLSAAEEKEIQRKRYEEATSRVAETTTPPPQAGPSK IDVVKANSSSSPNRQSSIIQALKTSPPLFPRKSSQGSTSDGPMPYNAIYPPDRTSSKA DGSTPVGLGISANGLSEKEQMKRYYEAQEAVAQNQNQPNPNSPSKLIHVGSSSVLSRK SGGGSVGSISVNEQGHRTGQGSRIDEKEQMRRYYEAQGRVASASGSGQSPATPSKVTN LHNQDRDVSIPSSPSGSKPPTSALDEKEQLRRYYEAQDRVAAAARGENSASPSTSTSQ KAKQDTLPSSSAIDEKEQMRRYYEAQEKVAARTGSPPPVGPSGYTRKTTQTPSPTKPK IYAAIPGPIDEKEQMRRYYEAQDRVAAASRGESSGAGMQQSPPRSPHQGSHATSPTTP ETSAAASSAIDEKEQMRRYYEAQDRVARASQSPGIGSSGNNRISDTPTAFPTKSRATS TVPTALNEKEQMRRYYEAQDRVARASSGSPQPGPLRPAAGPGSMVPSSSSGGVSASDE KEQMKRYYEAMDRVNRASDTNESPSRTRQSTASPPPPPVLAPPVESPPSFDDAPPSFA GPSSNNAGSVGASGSGSGTGYPSAEQEKDMMKKRYEQANSAVQRYNSPSSPPPEASSL STAATNPFRRSLTNQPRTSLGLASEQIINHANIAAPSQVQKGDDRGYFGSNDTLSPAT SPPSSPLLARDPTIKAGKARAIDGSSTSNAPPPPLPARPPKEYVELLSPV L199_005338 MSDAFRKAMANKLPQLPRTKAPWAEEDEQGQEELQEDEEDDGLG DLHAALPPPTKYGSTDLTPLSASSFFQQALEVTPSSSSTTFRVYLTPPNASDGKGKGT YLICHHGAGASALSFAALAKHVRESSNGELGVLAFDCRNHGRTKTAGSSSSEQDLSLP TLHNDLLGLIQHIFPDAKESPSLILLGHSMGAAPILSSAPILQKKGYTIPGVIVLDVV EGTAIEALPLMKSILSQRPTQFRSVVDAIHWHLTSNTLRDTEAARVSVPSFLIPDPST TNNGGGGGGEKQIWRTDLLATEPYWEEWYIGLSKRFLEAKCARLLVLAGQERLDKELM VGQMQGKFQLEVMPDTGHYLHEDNPSSLASTIVQFWRRNTRVLVLPPKIGQPGVPGMK PNNVVKRVGED L199_005339 MGNGNIEDINWVSNPWDHTRELKHIPSDPKAERDRSTSSSDTGH GQEILEYNNGLILINDFLSPEDYQVLLNTIKDEFRTMEESKSSFLLTINHLKPKPKAK LRRLAIHYGPKYDYTTNHASPNPTPVPDYIQNLIEMIRPYINGLEINQATLQYYPPGS GIPPHIDTHSCFEKEILSFSLGARVNMGFQKGDEATAMKMFAPRRCVGGSTSTSTPGT LPSEISVNGNHPPPPTLTPKPLAQSTYYEIPLQPNSLCIMSNEVRYAWTHGIRSRATD DSVKREDRYSITFRKVDFEGVCQCDYGIWCDSQQDKNKE L199_005340 MFFTLSIISSILLFLNLVQALPSAQISPAKYESLEKRQSDVHFT SSWPDQLVGGDSISMSWEGSDSGKYTLAWVEEYNGGDDLLLNFVFQNEVYDHYDFYFA PAKCWKPEASFRFIVWDSEGFPLPEQRAYGPKLNLVPGDDEPDTC L199_005341 MSEQSSNRTSTTSSYNGASGGTSSDTGTSQTTTNTGGGSTESSG LPEDWEELRRDKIELEAAQFGSSQSSVKPTRLLFDLCSSCARVFGVSN L199_005342 MPLKQEPVFEPWSLPPSMNASSTSGSTQSTDTNTGGTSSSQSGG SYVPSGGSQTQTQQGPQSPASTFEWPRESGGC L199_005343 MPETTPQRPWIETPLLESAALSKINGCRVFLKLENLQPSKSFKS RGIGNFIVQSIQSTPNPSSQPHFYISSGGNAGLACVTACSTLGYKATVVVPLSTKQFM IEKLKIAGADVLQYGETWFHADQHLRNDILSKDPGGVYVPPFDHPNVWDGAATLVPEW ETQLSHIDGLEQVEADGVVCCVGGGGLFAGIMQGILNQKKRKTKVIAVETKGAESLNA SLEKGENSSLGGITSIATSLGAIKVCQQAFDYAHENRGLVKSVVVSDQQAVQALLRFA SEENMIVEPACGATLSIAYEGKLKNHIEGLKKDSRVVLVVCGGSAVTLDLLDEWRKTY AN L199_005344 MSFYSRCFPSSPSRLFKIQTSIAAHFFALITIVFLVLSGVSGPK SIQTASGVNVNGTVGGDGEIWNLGGLGTCKLGEKCQTGQSPPGYYTPIQQVLRLHLAI TAIFSVNWILSYVLFSFPQSVFSKRYSTLIPLFAPFFTCIIFMADLCVAHALEIKEGV KEVEKIDVFWLGTVAFVFSILWCIFAELDGMYKRHDFAELDRPVLEPEPERGLAEKAI HGVYSLWPWRREEKTRESGRKREDKRNHKPRSGSKGSNSKSHQRSQSMNKRSETA L199_005345 MSALRPMAAAGPGPQTWLYRLSLGTNATTHLRPPLLRPLHTTAP APAYKRSRNVKPKPNVTRPPPSSKPKGLSTTEQAAKPVAHDPTSPLTSRGDAILLGVP PDYPIEANINRLISHLQNSQLDQSIAEWAQLWDLRCIHRLAPWHFEVISNSIRQILFG KNYPHLGKMALYEAAKYGHLKNMVIESASRGYALGLYGFMLKLIGTGRPKDVVDAYER CKEHMREIQGKKKEDLFSWDRIKRLNARLEDPSARPIGNQNKVNVGLKHLLMANIAAH TLMDTLDDKVLFSMLDSHIDLRPTSTFDFKPIDRALKASKAPSQVHKRFRANVDKLVL SLMCYHPNALVARIITLGTRKSDTKLDRLYDRVLEASIGPDAYLKPRELDDFSLVFRN IPIPPVIWLQFMKTFEWKGDVDRIIKMIDVDLPERGLEPNGDFLSSAMLYMAILSQRV GQPSAVRSKARAWVDEYWRRLTTQNWHVEDQPFSRRIRTLSILSFDEPNLRNEIKSLY KAAKEGHLGSIHSRTRAAFVEFFMKHGTLNQAWQIFQTFPMDTTRPEDNSDIAFAVFI RRLALGPWPVEDKLKMYKKVTKLFIDSGIPLRPHVLGPLLSVQLQVLNLPMKTIVDLT LNATTDAEAPDPGIQRWTKVLYGMITKWTHTASPTLLEIQAGLYILEKASERKLYGVT RSRLVQMWMSFLGPIAKSTYTSAEQRQEYIDLALDLFPDGGKANVSISMWMEIITHSF NRHDGAGFGEGHRRWKELGQIRMVQAIWYSKMLDLLIKHDKIDWALDLVKDAWNKKHI KKTDGFYLRAKAYGLINKSNLDMELEMEQNRLMDDQDGQVKRWKVPIAFVEDYEDEMY LEAEEQSSIDEEDVIDEEDAGFQDEEDIDTEGEINRS L199_005346 MLSDTGASNLPGDVSNPFLSKFTNSPKKGRMSPAEESIATLREG MGRMDISSRGNSMDYLHMERKDSKETANRRELDRFVPSRPSSIAAHSHSSSTLPTLAL DGHSPDTSMDRSGSLSMDHTTSSLSATLGVPQNNRRILSFRSAPPPASHATSHLDAQR NYLLHSSSSANRGTGTNAAGKEGNKKRAPPYVPERVLDAPGFEDDYYLNLIDWSCSNR VAIGLGDTGYVWDAESGTVNALGGGDESEDRPKVTAVSWSNDGAYLAVGTDAGEVEVW DVEEGKKMRVMGGHSARIPSLSWHGHVLSSGCRDGSIHHHDVRVAKHKVMELIGHNAE VCGLTWRSDGQFLASGGNDNVVNCWDGRIGASVLQTGEGVPRGVAKWTKRNHTAAVKA LAWCPWQSSLLASGGGTADKHIHFWSTSTGARTSSLATTTQVTSLTFSPHSKEILGTH GYPDNTITLWSYPSLEKIWEVPAHDSRIICSSLSPDGTTVCTGAGDENLKFWKIWEVK QSKKDKGDEGGMINDGGMGRTKNTVRIR L199_005347 MPRHILQPLPKAFKPTPSSSSRYQRHDENMWETLDRVQVLGETD GHRGCVNALSWSDDGTTLLSGSDDKRICIWQPDPHPSSSSSSFSSSSYSPHPLKLSDT ISTGHRANIFSAKFLPNTSTPTIVSCAGDRDVRVFEVERLVRDTATGELKGERGDGVT ILKCHKDRTKRIATENSPYLFLTVSEDGTVRQHDLRRPHTCRSQCPEPLFYAPKGVDL YSLSISTVTPHIFAVAGRTDCAFICDRRMLPRQTPSWGPNIRSSGQVHCVRKLGLPND EWDKVAPNNGNRLFGEERHITCVKMSPQNADEVAVSFAKHSTSLFSIYDSPPSTSIRN GSSSVIPPNEGSRKGSKSPTSPTKIKRKSKSPSIPASPSRRSPRSPPPDPPAPYILAD DIPPRPTYSPKIAIDDGDEDEICGGSSPSLGKRRQSDRDSILQNSGVPSIRQRMIDQN HHAEQSASREITLGEQNHVDDEAGRNVEERTSTDAVSRAEEGQSRGQTRVGPPTPPRA YTAADFLRDENQEENDNMEDRFDPGGELSRLASTQQSRADYIMTEASLSDMEDLALDI LDREGMLDVETDEDQDEDDEDDALDEFDEDEDEEDDMDLDAEDEEEEDEVEIDQDFMD MDPDIDILGFGGSNASRLETKAFDHVDTIYPRKSFKGARNVETVKDCNFLGIRADKIC SGSDDGNFFVWDKDSGRLEGIWEGDGSVVNVMEQHPTLPLVAVSGIDNTVKMFAPTHM PISPSFSRMHLAQNIIERNTRLPRFLPGGSFERATLLQFLASRGIRVRAEPASHMNGV DGEEGNEDDEGVEGCATQ L199_005348 MSDQRYSISRPLSPPMPSYEPLSANSTSSTSTSTVNAYPRQPVK RESWRPGQASSSSTGQGVNIPTVHAANTSNANRWQNPSAGPSGMYSRDTEGSRSPVSK RDISPSSSRGYDNSNRARSEYNEDADRDRDRDNRGWKRDNNNNNRKRKNFDGNDGNFN NNNNYGQGQGHGNNNGPGGGGGGEERSWAAWNQKVQNTTNRQDDLDRNNDRDRNRDRG RDRGWRRDVDRDRDRDRRRDDRDRDRDRRRSGGKDDSNSNNDGGGDGRSWAAWKAKVD TTERGREVVNTIDQRRNTNLDLETVKKEGFWTNSRYDNHQHQHQQRNRNFQRRDSPDY GNGGGPSSNRRNGRESPDYATGQRGRRDSPDYGTGGRAKSPDNTDPERRPLASRSRNR RDSPDYGEPRVGGRASEPGVGRGARDSPDYGVGGKSRERSRQTSPEPNERKRAPSSPR ANEPKRPRDRSPSGSRRGSPSPPPINRWGRHGRQSPERRARSPPSGPRGQSQTTPVSR RQPLAPQGDMFVSGGNSRPSWGERHEVPPQRRWGRDERMDVDEPPRGSAAQADPSNGY TRQGEGYSYDAYRPTHGQRPFPPVQEPAFAQTQHPPFPSSSQPPLQQSPYNAKPPPPP SETLNYGSPPTRSTNILQPFLQPPSQEPLISENPNPAIPAPPPAASGPIKIAVNASPK KGWKSISPSKTIQNLFEAPTSPSHNSRSPSKGSTTSLSPTRRYSDNPSSAVAPYIQAA FSSWYGQGMSPPIESFLIHYFGREPSDFELNQIELLLRNKQSQNQLGAVRSKDDDVRE RDVSPDQSRYTRGRGQDQPVWRDERNNNSKDDNRFTRQRDDEMDRNGDHRYAPYGRER ETNRRLSNSNGGVNDIPVIQSRWTPNKPTTSTVSTATHGPIDTTITNGTVNNARTSTL SIPSSLPQPQSDQPSPVIQAQTSESSGENILSTSEPSFVPTTSGEMYVLVSHVGEGTY GKVYKARNTDTGRMVALKKIRLEGEKDGFPVTAMREIKLLQGVRQENVVRLLEIMVSK GNVHMVFEYMEHDLTGLLSHRTLRFSQANIKSLNHQMLNGLSYLHSKSILHRDMKGSN ILLNSKGELKLADFGLARLYSKKHLDDYTNRVITLWYRSPELLMGETVYKSEVDMWSA GCIVLEIFTTKPIFQGSDEINQLEVIYSIMGTPKESQWPGVKELPWYELVKPKEAIES KFRTAFEKWLSPAALELVEGLLHFDPSKRLSAKDAMKTPYFINEQPPMEMPTQLDNIG EHHEMSAKQDRQRRRQMEGR L199_005349 MPPSGPRSRPAQGQGSTRGAPPTANQRNEVFENIFGRPAGGHHL GPNPQQPQSGLHPGPPSTTGGYQYPSYSNTPSSSSTYLNPAQPSFPPGTSYSAAPPPR QHFPPQHTYQPTQNDGGTGGGIYNRPSYDNNSLNGNYPGGNRKVSQGYAPSAYGVPVG DLPDRRASLAPSTYSTQSSASYYSPNPDSVSPPPPRTTRLPSNPSAATFPAPQPTNRI PSGPGSLRQPQGLTPAQAYQASQSYSNGNGSVSSPASAVFPPRSTASPAPSVPPIPNP KTESVTSFMSSTSSGSRLPISASTTSFHKPTPPISSSHSRSPPPRGLAGSAIDENDRS GSTTPDYIGQALGKLAFETSPQKGFLDDFGLSSSAGSHTNQDYFPQTISQPPPIPPKA EQRQGSFSSNSRVSMHQRMASDSSIASSVYTTTAGRTYMAPLSQIEPPSGIQDSLSSP TTPTGSDSGFAAHAQRRLSGRKSMESTVSLPLPATDSPLPPGAAPSATAFDGRATSFS GSSTTRKDVVKTMRSESIGRGSQRRSNPVGAPPSSFTFPTPLSSQRSLSGTLTRSTST ARAAILQSMPPIYPALLSQVAEAFKKLLTLSELVKDGITYKDSFDGRTAVGIIADIIK TPDRNLALLLGRALDAQKFFHDVTYDHRLRDNPHEVYQFKERLTAAPFMNTPNGGTAT VQDSPMSEHAGLGRTSSVRSTNNNFRASGGMTRPPIGGQGNSDNGSMNTTTSEGGHIS NSHSHSTPATSTTTLASPKSHHYVPSHTPLGGQQAGNGIVEEGDTEDDLPVGVFTLLT DCYSPTCSRERLCYSINCPRRLEQMKRLNMKPQPGLSGKLSQESIVDVKETGTLWIHS VSQEILDSVDDKEKKRQEAINEVIYTERDFVRDLEYLRDSWVKPLRTSDVIDPKRRDD FVRQVFWNVHDVLSVNHVLAEKLTKRQKKEPVVSGIGDLFLERVPLFEPFVVYGSHQL FGKYEFEKEKGSNPAFQKFVDETERKPESRKLELNGYLTKPTTRLGRYPLLLEAVLKY TPDDHSDKKILPEVIKLIRGFLTKVNIESGKSENIFELAQIEQSLVFRPGEHIDLRLR DKSRELVHKGPLKRRGGNREEIADLIGFLFDHAFLLVKPKWVQKSEQYKVYRRPIPLE LLVLVTPDDSYNSSKLSASRAANKLMPRQSNASSSNHTNNSKAVLANPPKPESKHGFS LTVVHLGKKGYSMQLWVDTYMSRKKWLESIDKQQTILRERSCVFVSETITEGGPTFTG NGLRKVNCVSPYDMGHRMIYGTDDGVYFSNLRDDKLRDPVKVINLLDVTQVDVIEEFQ LLIVLHERCVTTFPLDCLDPNDANAALKRGKRISSHTSFIKSGICLGKTLIAIVKSST LSSTIKVMEPIDQSLRNKKAPGGFMKRLNGRDEALKLFKEFYIPTESSSVHFLKTKLC VGCTKGFEIVDLETLDMQGLLDPSDASLDFVLKRDNVRPIAIYRIEEDFLLCYDEFAF YVNKNGWRSRPKWAIVWEGLPTAFALQYPYVIAFEPTFIEVHHVETGHLVQIIPGSNI QCLFADTPPSRVNAPVPVQPNRQLMYPPGPGGGYGRPPPPQSSNPYSQQQGYYPQQPQ PQQQGYFPPQAQAQAGRPRPPPAGPYGMPPPPNHQMMVSRFARQQVIFTSDDSHVQFL KFPPPQGQQGVQGQGGSQRMSGPQAGKAHSIRGSH L199_005350 MLKGPSLQHFIVRAEMIQAYRSAVRATRPLPDPNTRRETLDFLR ADLERLRGEYDLNKLKSNLSHFNRTLKQMLPSIGLNGLTSDQVGKGTRLIGQKSTVKD LLS L199_005351 MEMDTVNHIELTIHPSQVRPTLRALLYSIFFHRNLDAIEPEDFE LLDSHIAISSNHTIEREVNVKIEEFAREYLEDPRKTYGEIAVVFLQKKPKKGWFAITE ELIPWEEHLITLRFDRSSTSNQPLHNALLQILTFCAEKKGNVPPLVGSSENSNTSHQV LISPPSPTELFSPSPPTYPARLTSPPPQPLTNTTPATATARDITPVNPSGGDVSIGST RRGVSPATGTFGYLEQAKDGLRAVGAGVGWGGRAIGGAFGRGGG L199_005352 MRCTKPSWVEHSVGEKKGRCPIYSISVHPDGTRIATGGLDNKVK IWSTLPILDEEAEKDEANHKLLCTMAAHTGPVLTVRWAHHGRFLATGSDDQVIMIWGI DPEGAGRLWGSEEVNHENWKALTRLVGHVADVVDLAWSRDDSMLASVGLDSKVWIWDG ATFERLRKLDLHQGFVKGVCWDPVGNFLATQSDDKTVRVWNTEDWSLTHTVSEPFKTS PQSTFFRRLSWSPDGAFIAASNAMNGPVFVAAVIDREGWASDISFVGHENTIQVAAFN PRLFFKKEDKPGRATASCMLALGANDFSISIWRNTMHKPLVVLKEVFGRDLLDMCWSN DGYNLYGCSADGSICAVSFSPSEFPELGQPEMTEQVLEEYDYKPKRQPARGASIQPLS VSNSFGPSASTSNVVNVLQPRKKGQGSNRRVDLQNPASNSRSLQPPSRDPFSGPIQQF GGSSGHQASTAKMFEDAHTAFANDSQQVNGGSPRAGLKRKAPLSSEEETRAVRGRLMA TTPANAAPVEVLRAPLLTPQAGPSSFGRSLPVPQVQSVVRAALPQNEGSGYLEAHNSP SAEEVNKITFVKDGQGQWVDYLPSAVLALAVTAKLCAAAMEDGSVMIYSSSGRQLSHL KLGLLCHDLQGNKDKLLIITSDCQVRVIGTKNGRNVFLPTTLSPLLDSPSSTTTIDIK ECTLRPNGIPIIVTSLPAAYAYDPSVSEWTVISSTHHVQSSPLTSSSKGVVGEIERTV GSLWKGKKKDNGNQEWWNESMTMGHWETRIKACVLLDSTDEYQDYMIQYITYVGNQGF IQRAEEVLRDLIGPVYRTSSTVEWDDQVTGLSKRDVAQVLVDYLGKTKSGKELSREYA ILLGRFNGDGW L199_005353 MEEIKPERTHSHDSIVKPADNNVEALRGEKDERRLAIEKKLVRK LDMRCSLFVLIYIMNYLDRNNIASAKAKGLTRDLNLNATQYSTCLSILYVGYILMQIP SNMIINKISRPSWYIGIAMLIWGAISTCSGVVTSFGGMLAIRFTLGFVEAAFLPGALL ILSKWYTRRELTLRNAILFGGNLISNAFAALIAAGVLSNMEGVLGHAAWRWMFWIEGA ATMLIATAAFFILPDLPTNTRGFTEEELEVAQLRLLEDVGEADQDSAEEGIFSGLIMA VKDVKIYVMMFALTAYVVGLSFNAFFPSLTGTLGFSYIPTLLMSSPPWVFSTIVSMIN AWHADRTQEKFWHIVVPMCFGLIGFIICMATHNTAARYIALFLQASSYAGFIVFYSWI SSSFPRPPAKRAVAIAMVNAFSQLGNIAGSYVWKLDSNGYRQAYGIVTAMFGVAIVLC FVFRLILINLNKKLEAGEQAWETRADVADKGNKLEHIEKGDEALDMKRGFRYLI L199_005354 MAPYLRLVRQDASSSNSTSADTGTGATGNDQSFMDKYSKEIYIG LAVLGVLILSYFLWAVTTHRLSFPPFNQKRCIDCRKGISKDKTEDEDYFKNDQESKKG WVCKECQEKREEKMLDKELKEGEEGKHAKTKKNANDKKNKNKAGSRKNEVVDSDDSSD DSEEDDKVAKRGVRKSMVVYKRKSRPPPISRTRKEESEVEYVSDSDDEDTSDEEDERE KRKEKDRRRRERE L199_005355 MSAPPSPTLSDSELLDSLEDAGFDLASDRERRIEALQREIKQVR DLKESDNGRVVTFNDEKSLIERMSKERYCLLHFFHNDFSRCKIMDQKLSDLAPSHPHT LFLRASVSDVPFLVTKMAVQVLPCVICFVDGRAVDRLIGFEELGDSDHFTSKVLEFRL KQSGVLPSDLSLASNLSSTLVPTKKDDDGNSDDEDRLSGGRARKGKVGIRNGLFNGGD DDDY L199_005356 MASPLVQFKKGPSRRPVQSRKRSASPVADPDLSSSSTSVVRPEK KNIANPLVQGTKRRRDLNGENNDEGLGLDDLDYRADEGLTRRADELATRANDWDLEQD GGDVLKEKKLKLNEDGELDVDDGLYRGSSNYLPTINKTRETLNAKMKTGPIKATSHVR TITLMDYQPDVCKDYKETGFCGYGDSCKFLHDRGDYLAGWQMDNLPEGQQQVIEEEDE EEEVPFACLICRQPFTNPVVTKCGHYFCMSCATKRFIKSPKCYACGAPTSGIFNTADK ILAKMEARNKAKREAKGIVDEEAEDDGGIEIGGGSGSEDEQEED L199_005357 MSDLPGAHLISPDGKSCVKIDHERVLEVLSSTAPVRTADGKNAF YTKDGWYLLTETPERTQEILDAQAKDNRA L199_005358 MTIQSRIMICSLVPYFLLGVNAYSRAHYTGGCTGAEQCQFTTTA CTECSPDFQYINALGVETSAYNVYTFGHLPQFSNCPQAQAQATIYTGCKACDDCEYSN AITYIDHDRVLEILSSTAPLRTEDDKMAFYTDDGWYLLLETPENTQRLLESGELEIRS VANALSPVQGHR L199_005359 MADSKSKSNSNSDTNLPGAPLFSPDGKTHKCIPCEQVLEILSST APVRTPKGQDAFYDSEGWYSILETPENTEKILDSGEDHSIILKPGQGHK L199_005360 MWLLLLTFLVAVTTCHAWPNPYSNVSTQAGSSSGLTVDLGYAKY KGYYNSTSDLYVFQGPEVPDVNRTSTQPATSYGPTCVVNSLALGTGDAFTNETGASED CLFLNVQAPSNATGLPVFVWIHGGGYGAGNGRVDFSPLLKTNDNEFIGVAIQYRLGAF GFLAGDEVNRFGSLNAGLQDQQLALYWVQQYIHLFGGDPSRVTIGGESAGGGSVMLQA MAYGGSLGTELFNNVFASSPYLPQQYDYRDFVPEQAYYAFAQAAGCLTGPYGNTNQSV FACLQAGDSATLINASASVSEEGVYGTWAFVPVTGPAGSFVQELPSQQLLQAKINGKV VLSGNNANEGYPFVPKGVTTEDEAIAYLKLLFPFFSEDNLNTVLAAYKYDTGPNATLY ATAGDSGDTANDVSSVASGPQQQIAQIYSETTFVCPSYWLAEAFTASGGAGYKYQFSV PPATHGADVAAYFGNGYTSDFSQAFQTALGTLIIDNKPSIPSQVANGLSTSNTSFNPA SDWPVFSRQSELMIDLNTTCTDFVTSAAGVPTCQGADNLNVIREVDAYSWEGGRGARC ELWRSLYQVVPE L199_005361 MDDQSLQTAQQQADNDALASAVAAASAHLGSLDDGGVGVGVVSL DDQHQPHQHHFHHALQQPDFSQHHHHVKEDPHHQHHHGDPANDLNVDVDVHGLEIPVA VDDGSHMHHHDHNMGMEGEPELDLGLGNIGTPNEFDPRENDLSDFDNQRSNSFGRPPS IRKACDLCHAAKQKCSGDRPSCTRCAAGGWNCNYAPRQRRRTVPKDQKNSHHNLDPMQ QHGMSHSAPSHGGKKRKLGARESLSTFGSEAMDMKMAMGMAMDMGLTGEEEGEEMQTM SDDQMLESIAIDGYLADLPLASFVHNLPFTAPPPPEPQVHYNNDDFPSAGDNTFPNSD MDQHTTSALRDAIFSLNENNGGGHGEGAVEGEHGGDGQGDQHEMDPHLALLNLTHMQD DGDNNDPNNTHNDSTGLQIPDYSTPVHSGCNHRQLVPHILSLLTQHTLDPKPGSNTPL TLGVFAPLARSLRLFHSLHVCPSCSSSPQQTLPQLALLSRTTTILTFPYPPIISSTVG SSAQITIHGARLSGTGLSEAIEQHIVGVVWDSWRASIREIFAVLDKKAQDIIRPTTSL GGTNNNNNGTENGNGDGKDGSNSNGNGTPPQPGTPSQSQNQPAQPPQPVVSSLEKQRA GLIFQAVSRLSNAMDEVDP L199_005362 MVSPYASCEYVETKYRISCPEPELTLRIPLQSHLPKLRSKHSNE KSQPLPKPFQRSLHITNLPPTIKPAHFNYILSHPVHSQSSGRRGRYKKDPGISLVQIY HLPSPSPSIKYRPLKRLISVFERIVCVSGGKEDDDTVPCDTPRNSIAKSLIPSQSPHA NSNTNSNPGVDPPISPGETSNGNSRSDTNEITASEDNGSRVEEREVIDQNINPISPDQ DQLLMDISQNVKDNEEKEKELKTIAWIHFRDEDHLYRAKQVLRSITIDGRQIVVKTDR FNGGIIKRIWSEGSPNKKSE L199_005363 MAPLDYLRSTPRANIESNSAVRGSINVSATTISILASTHVRYQR RCINPIRKHIDVNSKRQLTLDVSTPTRTAATNANTTRRTANPTLNDLSREYTTSRAAA ATTAPSIRTTRSDNPTIVGNLEISSSSNTRTANDGEATSTEGDADEGTSSPSSTSTSF TRTTTIHSSSSSDKPASSSSVFKSGTNSSKESESESSILSSAVATTNLSWWQLLALII CGILALSVGSWLFFRHQQRRRNEKQQKKKEEIENEIKRKKEMKDDQRFKALAFGHNSR RGRGRGRYDSDEYEDYWTDKYSDGGTIRPSRRRKGRRRPPRRYRRDDRRRRRDEYSED DDDDDDDDETYSRSIEPKSAFSFRPSPSTHSGTGQGLKSALTKKKGFRDSVFSTYTSM KKAAIKHKYVEAKIKLDEQLKQEEELENQRKMKVLQANREIEEFNKAEMQRKATLELA NEGSGNRAGIGAHNDISRPPNGQQQQPQWGHRNGTRNDNDWNGNGNANDNFHGTNGND QKWSGRNGEITRGKLLIPPVPRQPSKTRSTHEIPTAALLPYQSSPMKESSHSLDGEIS NLLGNSSSPSSTSSSKRPVPSRQATSRDDKRKGVLKNSTTEIDIARPKPTYQPSTSST TSSGFSSLRDGRERPKAKKGYTNPFQIDWLNKPSTTVSSPTEVEPPPISPRYQPREDV SVSMRHPHERPVLPRQRQGVDATAGPVSLRGKGSVGFGLGDDNLSTNSSERGDGKKWA NRLRERR L199_005364 MSTKSIELSYTLHPPSSIPPPTDSSSNPIPLSSTTNFPVPSEPT PNSEISLPPKITQTLSVTAKYYESLTYQIRNVQQSLNDTLTKYKDAVGDLEKSKEDFG KVAHGMGRATVMSLAVNGDFKDQREGYQKQVGVDSESEEDSESD L199_005365 MLRPITTLSILLPLLLSGVVCAADEGQDSIAGMIEKTTPLRTHS LAAPYVDSDLQNRWWDFGGNSIINTNKHVRLTQDRPSEKGWLWSRMPLSVSNWQIDVE FKVDGKAHNMFGDGFAMWITKDRAQEGPVFGSVDYFTGLGIFFDTYANSRHSYQWPRI TSMLGDGKTKYDHDHDNEANELAGCSENFRRQGDTPTKARLTYIKGKTLQLKLQTKHV NDWKLCFEIPVDLPESPYIGFTAATGDVSDNHDIVAINTFSATLRPQYRTDQAPLAAD AKSQGRSGMGRPSPKSSSGGGAAGWFLFILKGIGILAFIAFAIAAFRTYNAQKKQKRH W L199_005366 MTGMIMPKIMYGTAWKKERTTELVTQAIKAGFRGVDTACQPKHY REDLVGKALREVIDQGVVKREDIFIQTKFTSLDGQDKSQPLPYDPNLPIPEQVKQSFG TSLKNLGVEYVDSVVLHSPLRSKEQTLSAYQALETFVKEGKIRQLGISNIYDPKLLLW LIERVEVKVGVVQNRWYEGNGWDWAVWEICQKNDIRYQSFWTLTGSPSLLQHPHLINL ASKYGLTPEQTVYKLCQLWNITPLCGSTTLSHINEALAVEGATGLKEGTPEVKELWDA MHSV L199_005367 MAKTRPTRGDTLQGSLGNLQPLLDDLTRITSTQAIPLEQLMKAL DSVRFQVDKYKKSKVTKKRKVNEVNDDHEEGYKVDLVIKNEMDEIGVKIWNTTSEIER MSGKKTGEDKQLIASLRQTSFRLLEASTDSKLHLGLVIRLLRAASEAISSLAQANQMA TAEELALLGAEYQQTISSSSAPDEIGEADKLHAILQFLLARVDLEISKTNDNLALSFM VKASNLDSEGTMDPLEYQEMAIKCWSIAQELLSRQKATKAVPFTTTPIEWLQQGLLII EKVKERSAHALLLDKIRTTILQTIAQAHFKNASDDPNGLQNAIDSLDEIIKMTDPMDQ HTATRIRQIQIHLLSKRTTANDHATVRKAFEELLKKTKWSEETVNELFAQLQVLNADH PEIPLNLAQIILHHALAQDEGRPYVSRIVYEGVFLARQCAVTQPQAGYNGVLSMLDSL VMNGSEKLLDRTRITAIQMILNSVAGKVYESKGRYEDAARWYLLAAHSALISDKAEIT SRCRRKAVLGYIRSGDLTSAEKELTQCPAGEASIQYLAFLIAERRDDIDKARAAIKNI IACQDVQPSQILLITSLAERKGSKTLLFAALQTLFSALQRPDLKQRLKVESLTVIRCM IRITISQFDEITEKEFLGESIVGLLDSAIALLRESPQDYSSQVKNVSWLFRTAYNVAV EQLANLSAKVLSDLFDRSAQLIDWYRDLSTAGVDLALEKIRASTMFSCFCGKTFQYRD LPEGEEKLLLRTQLTMYYTIVKQAIPHDDEGKSNKMRDLLEVYHIELLCDASNWELVG GLIASRKSTNLSDSDRSIRKLEIVLNLLLDYPECPPKLIHDTLDTIIKTCVITNEAEV VRFSRWIRGIMMILLPENGRGEQVTVKTYLSRVHKVLQTTLGSQNYPHDEMQWLVATT WNRGLNDYHHSRLNDARSWLGLCLKLADSMPEGVINMPSLKKQYSQLFSGRDPSR L199_005368 MPTRTQDDDDSSSGSTKTSISASATSGGGVTGDLTSQGKNYIGS MSSTSFTIMMIVLVVGVIAILFLLWWFTIGKNRRQKKRDLQQFSMQNGSTSTTTAVKP GSNSVV L199_005369 MSDRSTNTSSTPIDDSSSSKTYAIIAIIAFLVLTIISLLIFYVH RRKYVGRGMFGIKPRCKHCKETLDDDEKTHRPGKFLCMKSRVWYHKYCIREIESA L199_005370 MSKSNTISQSKFIRPSPDLTAPNGPSHLTYTLITSLAYLLYLII ISIYVPYLIIIHFLTPIPFPSWTLDRRVTTRLNKLWVTLTGWWIPPLPADWDDWKIAP PGEPYIEAQKKGEIEVKVIRLDPVGKEYIKGIAEVEHVKGEVRPGFWIDPLVALSKDG VGEEKVILHIHGGGYIRGHSLWTPFPMEICKSTSLKCLSVNYRKTLSPSSSFPAPLLD VFSAYFYLTRKLDVPPRNIIVLGESAGAHLALKLSQYLRDLSLPQTGYLILSSPWSDF TLSYPSYKYNSNYCQLSPIRLGRAVKSATRYLTPEFLGSGYASPAKMVSGGWKYLSEE GTKVYVHYGGRELFRDEIEDLGRGMKRDGVDVRMRLDPDGLHTSGMNGEAGEVFKKDV LEILDIHND L199_005371 MSFLPNLAGINYVPGPNTTTDLPGSATAPTAQTSGFVQAGDAPQ SQQVGTSGGPGLGSSPLVPKPGTSTDTQTGKPHSEGITLEELQAKRAAEAAAITAQLS SSTSAELNDQVSLPSGGGGLGSSPLVPKPGTSINAQTGQLHSEGITKEELQARRAAEQ AALTANVSSATSAKPEEISQDELKQIQEKGKELLDPTPHHQQARRGSTSGAPPSDLPG HSTALTADGRRLSDLSGREQAARQLAGNAIPIHPANQRQDSGLSTPGQELPGGWGSTQ TVPLPGTAPNAPTSLYHDIAEGLDSVGRAAFNVIPTPIKDAFSASPTSPKSSSAQLGV SPPQGRRSSVTALFDQAKDKASRLVEDAQGTLQNTQRRASASLSRDSELRTKISGFVD NFAAASPAFVAAGPGRQIPVAIVPRYSLPSEEPAGALPGEHTSGVGALPGSSNERGVA VLPDEKKAPLSLPSEENQGILPGETSGGIGALPGQIGESGVAVLPDERATNAYQELKP ASSSAGPSGTSGLAGPSGTTSKDLPAGSGSAGPSGTSTESTFRGASSGLSYAGLAPAL PSTSLGLGGDKGATDVPATLGPSSSNANSLTTAPEPTSTVESGSTPATSTLSPATATT APASIESSDGVSSALAVPETRHANERTSSAASVTAIRHGHEGSVSKISPLAGDGITVP EHEELDTTSTSAGLGQKSTTPGTGPSHTTSLTPGNEHEGIGHPATRGSEGTERNPGSY PAVNGGDDKLPEEKKKAEAIVDAPAQESDLTKNKVNTETPANGPTQNAVKDTTPTEKP VAGSTTSKDSSIPHPTSVDEAKDIAGVNGASPATPASKSTTTPSSPSIKTTPTTAAAT GNGTGKTSGDGHSRKGSTSSEKKRGLFGKIKDKLKH L199_005372 MDIDKPPSTAPTPSSSSSSSSTPKEKEKPKKFKLDPMSLLLPHE RQAYLANNAAAPPPPPQQQQQIRPQGYMSMSRPQHATSTGQGQQQQAGPSRLAGNEGG KNAQPYPPTQIPSQALPISPPASLMSTPPPAQWDASSLSSTPFSLDGSSSLASHYAHH TPYHPLRGSKVRLEDWEIVETLGTGTFGRVLLVRQRPSYRPTPYHPIFPHLFQSLDPL SPSPSSTQQSDNQLPHFAMKVLKKSEIVRLKQVEHINSERSILEKIRHPFIVELHSTY QDQLNVYMLLSYIPGGELFSHLRRAGRFSADVTRFYLASIILAIEYLHSKNIIYRDLK PENLLLDRYGYLRIADFGFAKIIEDRTFTLCGTPEYLAPEIVLSQGHGKAVDWWALGI LAFEMLAGYPPFFDDHPLGIYEKILRGDIAFPSHIDPYAKDLIRGLLTADRSKRLGNL RNGARDVMSHAWFAGVDWRTLERKEIGAPIVPRVASMGDSQNFQRYPPPRPHELPGIF GQPYDVTTDQYGDLFRDFSFPHQGNPTNVGGSAGSESASPVMSEGR L199_005373 MSQVRMEDSTNKVEPPSDWFISLTDLRSSQITYLTLTQLLTSFE GKKDSKALINGGVVLGRKSSTAAPKDGRFDSPIISRAHAQLTLTPNGHVYVTDLGSMH GSSISSATQSTVPLTIHSPVQVIDGDTILLGKTVTSNGTNYDPLRLTVFFRHHEFGEG STAHGGRKSIGKIKSELAQSKFAGVNDEPKLRKLIANLKPNHAGTRIASAAIIAAMAQ DRIDLPAYLPSSSQESPLIQSPDGDDDNIDFNADDSVEFTFEIKSSQVRSGPGLSPES AIEVQSLRSQSPISVRSDTNDSDQENTAPEVIHRSNTYKIPPSILYISEEEDHDSLPR GSSDFDQHEADDDSMTDYEDHEDVAEAQGEEADIFPEKISTLSGMPYEDDQRYDDDSE LENESENGEPEVMGVREDVVQPQPPLHSAPAKSPAPRPVDNNESINPDYRFNSPINVP IITSPLGGDDSIEDYDKDDVSRHSPPSSPNYRAWYSYSSDEEGDIPDPDLLMGGTLNI IDFAQSQAASLPSPVSPALTPMNATLVAVTAPKAQDQMQGEDEEDRYSDSQEDQEEEH EEEDFNEDQSMVENDENYSEEEAEDEGIQMEGYHHDGSSERYDDSNYDEDESERMDYS EDGRSSVYDSDGGQSYDEENEFYDEEEEEDDGEDEEEGDDDDEDGGSEREEEFERSEI DDDDDEDEDEVEDEDEKGEVSPIVNNIGTPHRPQIEVSVNVDSDIQTSQLGKMEPLEI ALENDQPAQEAEHHEHALDRTGLSKEVKEEMLSQHNQQSSVAQDRLASLPTSARDVDE EIESNIGKDVDIETSSVEVVAGSEEAAAPTVSDGQLPVERPRTPCFSFSDASSEGPIT PESTKKRPLPEDFTIVDHDHISEGGTTTSTDMLVPLQVRDATRPTKKIRRIGSAIGYM ALGAALGGAGTVYGLMQFAP L199_005374 MPPTRTTTNKTPRKPAVQPIASSSKHTLDAIPPADVPESITPGY VYKAITERKRLNEEERARLIHELVGFQPRKLCDDISEVARQQVYVTISAIESWAREKS NHNPKYETELITGLVALETLLESHVDKAFDKFTAWVLRNAFEFSPELEVVLPWQKGLD FQRGEYVANLPKGQDTLDENLDEMRLKVEQARLLAHKLDLAEEKLDRRINVAKQRKAE VGFIKQVIDSAGLNPLDKPAQQILPTLLSLHESLKPLEPSTSTIGTGISTRNTPNDHT KAWELGRSAYLNWALGKVIPNTGGTGTIQGGEGGGGDKLIDVESEIRQVIGDENNKAD IERVLGNL L199_005375 MSYLSPYHPDQPEPSIPAFDLSTIPDEDIDHPSGNAELLPKALK SPNDRRVIFPPLVLGCSTFGYGIYADDDNVKSSLPLRIVRLAMRCGINAFDTSPWYHP SEIVLGQIFKSLDYPRGSYHLITKVGKYGPNSSDHHFDPETIKKSVERSLKRMNTDYL DVVYLHDIEYILPPPSYSGNPLDSLSEILNSPSTPSKEEQILLTAIETLRSLQAEGKI LQVGIAGYPLPILLRIALLVYQTSGKGLDVLQTYSHQTIQNSSLSSGYLQAFTEKAKV SQVVSAAPLSMGLLTSSGGPSWHPARSHTKLYETTRKVSERCEADGTSIEEVSLRFGY RPLKQTEGKKVPIVVGCTELEQLHSTLRKWKEVNPSFVFEEREKQQEKYEKQDNEKVE FERELEKMFEDEGVKNWSWACPSDQQREG L199_005376 MPVEEDESLADATFLELLHTAGHPSSSDALSSEELASALGIDGP LSKERALELLEKEVLASVESLQGDELWRWQVQPPVDLPIPPLTLRPLYQTHTVTPSYK GIDGTFTHWREGLAPKPPAHPTLSSSTTRAPGSLQNFVRGKGSYAPFLPGGLEAAAKP EEEENEQEEEEEDEGWKTRAPGMRRGIKLEGADEFLAEMLGQQSIAPKAKRRRKEGEF EPQLTISRLGDEDAEEEVEQISTNGHAGPSSKNVDDLLPIGRLPAPPPPRRQFKAAVH KEWAHVVDVNQRLVNFNELVPEMARDYPFELDNFQKEAVYRLEMGDSVFVAAHTSAGK TVVAEYAIALAAKHMTKAIYTSPIKALSNQKFRDFKTTFDPSTVGILTGDVQINAEGS CLIMTTEILRSMLYKGADLIRDVEFVIFDEVHYVNDAERGVVWEEVIIMLPEHVNIIL LSATVPNTKEFADWVGRTKKKDIYVISTPMRPVPLEHFLWAGKDIHKIVDSKSHFLGD GYKSAQEASRRKQDKEREANGLPPVQRTGGRGGAPTRAKDLPTGKNAPFTKIGAGRTH TNRAGGNGAPAPAVASRGGRGGGRGGFGGRASHQLDQNVWTHLINYLKKNSLLPVVNF VFSKKRCEEYAQTLGAMDLNSAKERSEVHLTWERALTRLKGSDKTLPQILRMRDLLSR GIGVHHGGLLPLVKEVVELLFSRGLVKVLFATETFAMGVNMPAKCVVFSGIRKHDGTS FRNLLPGEYTQMAGRAGRRGLDTTGTVILLSGEELPSVTELNEMMLGTPNRLSSQFRL TYNMILNLLRVEALKVEEMIKRSFSENATQKMAPEQQRQIAHAEKQLSRLPNVECDVC KTDIDAFYELSSEVVRLNQSIFKQASYAQNATKIFVPGRVVILRNGHLPGNLAILLRS ATSLTTDGVKSDAKAWRMLVLVTPGQRSRKEDVKESEVPPRWPPVLPKGSFPNPQWEI GVYDTTSLSFVVNRILKVDHSGIVDKSSKDSRDKALHDLTILHEELSSVPELPEVDWS RIRAVEFVDAIRQRAILHDRLNKLGCQLCSDFQEHYSILHERKVVESGLVALKLSLSD QNLELLPDYNSRVEVLKKLQFIDENSTVLLKGRVACEINSAPELILTELILENILSEY EPEEVVALLSIFVFVEKSDSQPVIPPKIQQGLEVIYKIADQVEREQDKCSVQYDQFDE KYKVGLVEVVYEWAKGMPFNQITELTDIPEGTIVRVITRLDETCREVRDAARVIGDAE LFQKMEAAQALIKRDIVFAASLVSFPFH L199_005377 MSTTATVAPKRKLRPARKQVAEGEVDKSEAIQPGKEYNIWYNKW AGGDKEDALANKTLSQTRCIIARDAGYTRADATGNKYCCLFFARGCCPYGHECNYLHR LPLPNHQLPDNSRDCFGREKHGDYRDDMGGVGSFNRVNRTLYVGKIHESPDKKQTEET LLRHFGEWGKILRWNILYNRGVAFVMYDSELNAQFAKEAMANQSMDGDEILNVRWATE DPNPGEKKAEARRIEDMGQKAIAGMLDEDLVEAAQTVRALEEGDEQDFYHIEQSKDNE EDEEEVPEQPNDEDEKEPGRPIKKVKNTNGGFFDADALDNLKFYAELAKKQALEDQER VKERKVPAKPAGMASLLGGYGSGDDSD L199_005378 MLPRRITRSQAKASQPPASQASATAISGHARHSRRTDVEPTRQF KGWEYVSDDEATPQPEVQDLSANARAREVRAATRRTNAASRQEERDLERMIRESMTEP EATQTRQTLVEEEAERNPIVLGDSSPEDTDDDEFHTPGVPGKMHMPAMDDDQLNDLEE VDVQLQNPVITVEASTGPGSVATATRRKGRAPNKSRQNHGVYVLRDGDEEPQWLKEKT WYSTASHAQYETNTLLDRAVAVSIEKDSNFKKSSVGNAGLPDLQAIVRSCSQTGCQYS AETLQGYHLSQLAEFMSGRPSQQDHDSLIRTSITKGTARCTEHSDGERYPYPPARIAG WAKCGHLEPQSRGTKKHGLEMPIWFITEEDGTEKPSRMWHIANDNTKTLTVTCSNRDA ASCVHSIRQKIVRVKKREDEDALAAATSQASAQSLMPPPSLPHVLFQPGHHGASMAQT EGSKYGSSNWRTSHMNFFNGSSREEIGLVLDEFHEWSCWTCKKAVGNQPTPNSSRLEK YKWMFTDEYQKAMDDRVEYGAFVCDDEECKSGRRDFMHEQGHQTIKCPSLQGCSTTFN MVNNDTRNEDFIVNQTNTNPQQIYRLCSQPCTAMTSLRVADSNYRTSGQQFKDARALI ERSQSRGRKRNRDGYGEYVPNSRGSSASGMSATTVGTMGMSALNVAATASSLISGSEE GGRRSQIARTESPDSGWR L199_005379 MPILRKRSQPSAPRSPSDGRSTATRSSTRERRPPPSTPYGASPL LSTRPTPLAGGDSRLRQSEFKGWQYFEISDTDDSNNDKSPTPREASPDAITVMPRAIR YALTQASGVSTDDDLPGLEHWTKGKGMNDEKINNIKHFLRASKGWDAELKDTISALNF EEQPEYMADIMARCRFTGCSHHDLESLNLSVDRLIKYAESDAHRSIVFNHATRGLSLC KDHTSDELSNLPQTRLKDFKVCGHTILSEHWVLKEDEQRAYTRQILESQNLMCDVKIP IWEEKQSDGSWKELYLFRSIGPDTSASEPPTHLCSLVSTLETDDKWVGRD L199_005380 MEGNIDNARQYLNSGEGQGLKQQLFGGDQPTGDPQADAPSFDHQ GNPGQPGQFGQEGQFGAVTGKGFGGGFAGGNQSQPQGQGQEGKRFGEVTGQGLGGALE EKKAEKKSDYEGMDVGDSTATGGYGKNAQGFSFSRQNQMGTDHDNHANPGGVYGNDDD HREGIKTGYSTPGYRKEGDDEEENARRLNQNVYGQQADDRGAKGNDYNEAEI L199_005381 MPDSLPIIDPLPYLPPSSLLPHLNPLSPSDLQPSREATARSIHA ACRDIGFLYLKVDSYLLKEEMKEVLELGREFFHRPREEKESIGLEKSDGVRGYQKLHQ NITKGKVDHHEGLDLYAPSPYTKSTQDNDDPKSNGNGERNFKPLDGPNQWPSQPESFK PKLEQWIEKMKVLGWAVMHAMADGLGMTSEEWDELGGMVDDSFWVMRVIGYPPLPNGA DGISCGEHKDYGCLTLLHADPIPSSLQVLSRSNQWINADPIEGCIIVNIGEMWEIWTG GMYPSTLHRVIHKSPTYRVSIPFFYEPNFNARVKLLEAAERKAKEEGIEVEEKDEVVY GDFLLGKVSGNFKY L199_005382 MGASSDSEDDHELLSYGQPSSSSTDNHPHSQPLLSNGEPSSSSR SHRHSSFHRRHPTLHYVVKKWWKPLFAISLPFLLLFIYALIHPHVKGLPPLPKVHFSS GSSSELVPEKVYEEKIVEGCTCGITDEGRRLCDVYNEEGLRNSRLVQGSGARMRRMLQ KAREGEGLKIGVLGGSVSACHGVHPSADYPQGDPAGPGCYTTLLKQWFENTFPDADHD FMNGAIGGMDSSYYAFCGTHHIATDTDLIVLEFDVNDQADLLYQAFFDQLLRALSEFT TQPAILILGAWSPQVAQDQGYGDPQIVHAPISLYYDVPYISMKRLMFNHYLRFPKSTA EAFFQPDLVHPKARGHRILADLLISYLESELCMLTHYGLPVVPSISETISTSEPFSNF VDTPFPLDTLHLIDPITPPPGWEATFDTEPLEKLKLENRKFVLPTTPYFVPPVGIFTP LREVVNPMKEDPSSGKHITGLIQPELFCADANDKENPMKPTSSEGWKPFVWNGEKHYW VSDTPGARIRVEIKVTAGRVAVYYFRSQHYNLGDARCWVDDNEKGAVHLAGYWKKQYN VAIVAYIDEKVTPGDHYVTCEVAQNTSHPTNPDAHHFRLTAIMAT L199_005383 MSSSPPLQYIRINGADLAYREAGEVSNQLFITLHGGRGFGSHES DFSAYLPLSTTHHIVSFDFRGHGQSSHTPPYTFAHLVEDIESLRQYLVAKHNKKEAKA VICGGSFGGYLAQQYAITYPGGLSHLILRGTVPSYEHETEAMEVLEQRLSRAPLASLN FLKKVFNSFVDDDEMRLVMFAIGPLYSEADYDADKGLERARSMRLSAKVHNDLYSEQE KYFDYRPELKDIKAKTLIVVGDKDWICPPSQSKLIHQGISGSELLIVPNANHSVHQEK NEEVIAAIRKFLS L199_005384 MPTAVTSPRNPLHPSLLPRLDKQYEAFYNKYMANAPMIQELPWD PAVRSSPAVPGGAPALPVSKTEDIELDGFSIRVFYPTDLPTSGDAPIFIWYHGGGMVL GGINAENPFCTRVANSAKCVVVTVDYRMAPEYKFPVGHEDAWTAFEWVYKNGKERLGV DVSRFGIGGSSSGGNLAAFVSQRAGVQGIPIQFMVLGVPVCDNTANAETYKSWNVNRH CPGLPDAKMLWYRDQYIPNAEDLSNPIASPLFGSDEAFKSSVNKVFIALAELDLLRSE GEAYAEKLKSFGKEVDCRTYPGVPHAVQAMDGVLDMARKWIKDMCTYVAVQFGRHPAD VAMEDLYPDNVEVDVPQIEGGGPWLRLKTPMVLGEAPIYRAEDSTLHYVDCLKEPAEL HILKLDPTTGEAAECQPRIIQLEESVTVQFFRENKPGYICAYFAGVAFLDEETGKLEI LKEIIPQDERSIRRFNDGGVDVMGRFWLAEIDRKALSLGMGRLPQDYGEPLGRLWRYD PDGSLHLMEKGLVCGNGLAWSPDNKTMYLNDSAAGLVFAYDFDIPTGLLSNKRLFIDR RDLGGEPDGMVVSVEGDLYIAMWASSRVMVYSPEGKHLKDIKFTARNMACTSWGGPHY DTLYIASATDKRPNKNPEDDGGHLFKYHVGVQGLLKYKFKG L199_005385 MAPPSDYTFREKVQYAFSSPKHFHQAITLESAKSKFINEDLVPS PPERRTWSAWAYLSYWWSENWNVSTWSLGSSLITLGLSIGEALLVILFANILSAIVIV ANGVAASRYHIGYPVLARATFGMYGHYFFVLIRAILGIIWGGVQLYFEGQFISIMLRC IFPSWARMRNTIPESQGITLQVLIGFVIAFFVTMPFMFIHTTKIHYLFSVKSVIMPLA CLGVVIWATTSNGGVSAGVLTTPADKSSIAVFAWSIVSQFNSVMGSNSALIVTVPDLA RYSRTPRSQIIGQLLGLPMAIVCAAFGVITTAAVQNMWGEAFWNPYDLFNGILDHTYS SKSRAGVFFASLVFAFATLGTSIACNIVPFAADITCMLPKYINIIRGQILCLIVAFAI TPWHILTSAPTFLNFLGGYSIFQGSVVSIMLVDYFLVRKGNLDIGALYDGSSTSKYFY TFGTNWRSIVAFIVGFVVPLPGFIGSFGTVTVSQGAMRLFYLGWELSFVFGGITYWVL CLVFKVPGQEDCQRPFHDMVNEDWVLPDGETSHPAESTTGVVENYDDYEKKIENGVRV NEI L199_005386 MPAEYTLTKDAPAPLPGIYSQAVRAGNYVYTSGSVGMTKEGQMV PGTVQDRTRQVIQNLEAVLKGSGMSLKNVVKANIYLSNLSKDFNAVNEVYKEMMPEPK PARTCVGVAELPAGGTDVEIEFVAYDG L199_005387 MSSTVAGSSADPSSTRKRASKACSRCRRYRTKCVPLRPDAVGQP PCEACDTLGLAQECTFLPRGQSALDRSHRRRAPAPRGHTSEYASSHHSRSVSPPNHHH RRESLHHLPISPITSPSPSSRPIPVAVGVTNALPPPSDIIEAIRHYVASYFQLGFLHK ALFVERYTSHPESVSQFLLLAICSIAAPFTPTLVNRYGGKKRATDFFLAKADEILGRE MVRPSLERAQAFLLLGVTEWGQGNGPKAWMLIGTAVRMAGFLGLHRESTYKLPPNPTA EEVIESEVARRTFWAITCHENLLAGQSRPMQISLAEVDVLLPCEESEFNFGIQPRNRA SIAGALGTSSDSFDTNSQGDKSLFASLVQVKLLWSLTARHACRGSGRFEVGVWSPASQ SLLTALQDFEASLPVKHRFSLTNLRGMMVEGLDLAFLSITLITRLSNIVIRRLYLPSM AAAIDPDGPTEASLESRHFWQRMANDMIVNSEQLLSQVETFFSMRSVHLGFPPIMVFG VYMCGNVWSYLRKWPELCLERANTAERSYERCVEILSQLADSWPLASTWHVALKSAST RPMRQAGYTRIRAAVRDERTLDDEMADIYGHTAPSIVGSSPGDRDTHGIRMVNPHISN QTANSLLPPTQGQAGANLQNQSMNIFNFNEPHTENIIQPSSTGTGGTNIGFDMTSTAE ADFFMDTFGDDLSAFLQNAVPTNEGNDIDGIGQFLFGDVGNGGM L199_005388 MAVILRSNTDLVYLPDHGFVGEEGALRILPQITRHIHRLDISHN LLGSSGTLTLFKGLSTLRLRHSSPELGLGMWGLKEVNLGNNSLDDIAFDGVLAYAKKD VWLKRVLVHGNEITLDEKNVDSIVNSLNGSHITSLSLVNNTSISPKGLVRLIGLLDST ELKQLLLSACNLSSEDGLIETIVDYLASNRSRNLESLELNGNHLGGSGVTKIVDCIEL SNWTVTTAGLLANHSLSDQLVPIDDNSNGHDGNGVNGGNMVNRAEENKIMEYQVHRRL PEILNRNRILTKRIRLAALKALVPARIILNAMPLTDEQTARRVIDDISQDVSYNMAGF RLLELPEEVIQLIVRHTSDDPWAFSESQWTRLRKEVSNRDNLRKSSELVNSRLRGKLP DERRETMRELKNDWLRKGRWDKWER L199_005389 MGFIYPLIALAGCIILLIIRIYQVIPSPSSKSPKRNPSSKCSTA IFLGSGGHTSEMKSLLSTLPFDRYSPRKYIYCHNDEISLRAISELESKKGDTKDQDSY TLLPLPRARKVSEPLLSTLISASKTLIVSLWHIFLLPLLIDPREPYAEVLLINGPGTC VVLVLVSYIRRILGLKYTKIIYIESFARVRSLSLSGKLVRPFVDKFLVQWPEAGGQGG RAECKGWLV L199_005390 MTHQPPFDLPQIGSPSFTPLLLRYVLAHPSLDGWPINPSLFSVI ILAMIVRKGGVVVDTNKRDVDVLVNAVHHMIRLIFDLRVYHLSLDEHSGLEDVVNHLS HQTSQPNRTMLDRGYDNERQPGRQIDNEDADEMEVLVITGLESARSPVKVKLAGIMTK KRIRVPSSQKNDEDVTGDGLERKSDPLIIWVREEGKEVPSWMIDHFMLGLNIDSDDIE PPPSDLDYPPSGEGILPNTYINQLASLLPYVHIHAPLKIHMSNLLSAVSSHPGLRTAM TGRSTRCFGEYVKAHRLLSGSFTVPYAFFESSSTQNGYEEKSLKGTGLGGGIGGVDTW AEMAGEEPSLSKFVSETEGVVDDVYCTPANVEGVWKVFVGHRCRSREEREEVMYLIKG SAVDGNADETKKKKGGKRRGVDRILDEILRTV L199_005391 MSDIPTQLSLPPTLPYPITVTRLLVSPGSSVKRGSPLLEYSFMS KEQREAISKREKEGRRADISKGEIEGDDGSGTWDCLVDGDVAGWEGWVKVGVKIERRQ SSQALLNVHQPCSHPVQLHGMCGVCGADLTEDDYLSIPAQAGSSSQAGPSRGFEVTHD SMGVTVSKNEAHRLDNLTRDALLSSRKLSLIVDLDQTIIHTTVDPTVAEWMDEIDQYN RETESAALPQDPQDQRSTTPPALPPDTSNTISTTPPTSPHNANATLPQLTPSPPRERN PNTEALKDVARFQIADDLPPGYVKPKNRSGREQIVQMQGRWYFTKPRPGLQKFLDEMS ELYEMHVYTMGTRSYADAICNVIDPDGKIFAGRILSRDESGSFSSKNLKRLFPTDTSM VVVIDDRSDVWADCPNLVKVVPYDFFLGIGDINSSFLPKNKSTPPPSSTATSPTAASS PASSSDLSTPPPATPEDVPSVEDGLLLKAKLLDDLSESRPLAKMQEKLEHDMQPDGEV DIADILPIGVKSDTDEVERLSTERTAPNGKVEQQEDASEPTPSPTTSSTPPRPRKPLL NPNDYELLRVSDILTEIHHRFYRAFDSLDSWDPSTSLPMSCDVEFIIPELKSKVLEGC NLVFSGLIPQAVNPETTEIWQTAETFGALCSLSVHPRITHCVTATLNTEKTYRSSRLP DVKIVWANWFWDSIALWNRQDENKYLAQKEKQRQDPITPVSAEVVENTNRNGSNGESS LVQSVDGIDPKEKKNGDYDGLDGPREEEEEHEDMDDDAQVGKGWDEGADAEWDAFMAE DDDDEGYGTDNGSVRSVNTAPSTPSKKRVRYADEESLPLEDFKDPSPTDPDDQPAKRR KPLLLEAATENDQIPAENRFQYKDKAKDKGKGTGKPDDSHVSVVSQGEEEDKDVDEQS VAGTEGTEGTEQDEFAMMLMDSLANGDEDGRGEEE L199_005392 MSGDNNGSNNKQDDPTNNPLFGAPPPPSTSTSDIPPSQTTNSQA GASTNNRFQATTDPLFGASPPQDEQLTTSIPTPTQYHQPIRRELRSYHPPEPASPSRT LLFIRRLTYILSILLGLSSAVAGIWSIFILPLLHSSFSARKALVDQQTERVNNLLEGI KKLKSLGIYTQSGSSGDEDEHAGRGNQREEASLKEISSSISSLRSDNINNPEPNDETN EIIPITSLTRLISKLKILSSSLDATSTTRLSVISTLEGYTSSIHHQLFLSRSTTGGMS SYGVNMNSLSNHLNQDKNQGRDMIGGTGEEWDNTRKEIRAIKGLLLNRRQFVAGK L199_005393 MSIPTPDLLSPAIQPPSAETMMANSIITQPTALEALEHYKKKDA SKVVVRFKAIGSAPIMKNNVFKATAGHKFQAVILFLRQQLGMKKEEALFTYINAAFAP APDDTVGNLYKCFGTEGHLIVNYSNTQAWG L199_005394 MSRAATATPPPQTYFPQRNTTPTMRHCAAPTVTAAHFASRGYQH QPHKRKTKNLPHSGNTSNSSPLKKSSTPVENGEALGLSFEDLGATAVEYDQPPQPPKI SRQSSVASYSSSASMDSFQSSRSEVFTSEPSAYEQNSGLETGRSTPTNASISSSVSRA PTQLLTPVASEASFPIAHAPQEQQDVAAQQAARGSWMGKMAMAVVNTGMSMGIPFGQH VKKESAVTPPKSVEPVVETAPTPAPIAAPSGYSIPKPSIEELSTLSPEQRLVRQREWA EAENRKVTECARLCSQWPQSGYNMSKHGPNGLNCYYQPQSFANPQHVAGVMQRQAELE RYLAINSMMFFSCQRERDSHRDSSSDDDNDTDPSSYESSQPSPSTSMSLSVDDAMAKQ EADIRAAMASPIISIANLPNGAQIPAPLLLSPKSVKGARSLPELDILAQSMVLSKDDL AESAMDIDQSESGSLAGSTDLSESGSSFSRPSRAQSCGAKRPSTAEGFEEEKRRKVDE AMVVEEAVETGVIAPPPSVKSSSNNRMSSSVPDLNKAKAAAAAAQAPPAVFGVVVKTS DTHPIIISPFFPSDLLPILTKHMVMPPPTGPFSQTPLLLASNIDVPSLLLSYVPPTPN PAIIPSPIHNYFGQQQTDRKVVGNLLLSSCPGKRLRLDGPSRGRGPVCRDLATDLRRI KSEGVGCLVCCLDDPELALLGVPWETYREIANEIGLDVIRLPMPDGFTPVNIGLFDSQ ITLIATKYSLQGVNVLVHCRGGVGRAGLTACAWAIKMGFVQPHPSLLLVESASSQKNK NEPLPAELEHQIVMSIVERVIAMIRSRRGLKAIESFEQVQFLARYVGWLRKEVRGC L199_005395 MSTDRLPKALQATEEDIQLLLAAQAHLGTKNCDKTMEPYVWKRR ADGIHVLNVGKTWEKLVLAARVLATIDNPNDICVISARPYGHRAVLKFGKFTGAQAIA GRFTPGSFTNYITRSFKEPRVIIVTDPRVDHQAIREAAYVNIPVIAFCDTDASLKFVD IAIPGNNKSRHSVGLLWYLLCREVLRLKGQVPRGPTGPSGWETLPDLFFYRDPEEIER EAAEKAAAQADAEGADADAAASAAATGVAQEWDAGNAADAVLAAQPTDQALDWSAEPT SGDWTAEPAQDASGGW L199_005396 MSSLPSAERPESFSSPSLVPKLHLYTVGTPNGFKPSILLEELHE AYPENDQLVYDFIPIRFSETDQKKPEFLAINPNGRIPALVDDNVGGHNVWESASILLW LIERYDKDHKFSFEDPKLRSDVLSWIFFAHGGVGPMQGQANHFYRYAPEKIPYGIKRY QDETARLYSVLEDQLKKPESKGWLVGGKYSVADINVFPWVRSHAWAGIDITPFPHVQK WLETIEDRPAVYKGLGVPTRAKKLTKEEEEEKAEQARKNFGWGKETK L199_005397 MSKVATAQLVKIVVPAGLAKPTPPVGPALGARGVKAMDFCKEFN ARTANYVQSIPIPTLITISPDRTFTFTTRTPPVSYLIKKTLGLEKGSGEAMGKPTGSK LSLKHVYEIAKVKALDEDLAAVGLERIAKGVLGTARSLGVEVVP L199_005398 MATAKLLIPILKPILPYIPLLPTPVLSLFPSLLLHITTHPAPSS TSFVSASTTHPLHAPLLFTILSIPVIYTLGLISGNVSWVDRLWPFYTPLCTGLLVLWI FVNEEGAVYGHNIPRVGLMWGLQLLWSMRLLSHALKRDFYNLKSEDYRYTVFRSLVPR PIFSLVHIFVIAIAQPILLFSLSLPIYAIMSLPPSELASTSRNGISFRSISPFLPERY RNSAPGGTVVLNLADLVVSILAIGCLIIEYTADSQMYEFQSTKHNLIKTLPKDKIVHP PQPQPQPLLTQSKSKLPQPTVYPPSHHPGFPTKGIWRYSRHPNFASEQLFWVTQALFV IAGSDSSGVTRRGDACVWAPCFALSILFCASTFLTEWITSRKFPVYRSYRRLVGQFLP QETFWIWLAGCIAGYRRKQLERVYGLTDQIDRQKRKKGQ L199_005399 MTHKILIIGAGELGLSLIRSFTSHPSKPSVSVLLRPSSKTDLSS YPVNIIHGDISGPISEVSPLLKGHDVVISATGFAGGPGSQIGLAKAALEAGVKHYFPW QFGVDYDTIGRGSSQPLFDEQLEVRDLLRSQDKTKWTIVSTGLFTSFLFHPSFGVVNL DPDDRHATVNALGGWSNGITITSAEDIGRVTSKIVLDNPEDIPQGVVFIAGDTITFED VYKQVKRVGWKVDKKVTTVEELEEKRKADPEDLGPKYGLIWARNVGVSWDIKDTWNAK KGVETESLGEWVDKNLPKP L199_005400 MTVMPLTPPAEPTLEIHMKDGYMAHQDTSLPCPWIETPLIESAP LSKLAGCRIFLKMENFQPSGSFKSRGIGNLVLRAVQRSSASSSSPKHFYASSGGNAGL ACVTASTLLQQKSTVVVPLTTKPEMIQRIISAGATEVVQYGQTIADADAYLRAEILPK DPQGVYVPPFDHQDIWNGAETLIHEVNHSLVHTPDALVCSVGGGGLLVGLCQGLDKLT PLSKRETTKVIGVETIGCESFYEAIKAKSLVTLPSIRSIATSLGCTTVASKALEYGLR SNVSSTLVSDKEAIEACIRFAEDHKVLVEPACGATLALVYSGRLGEVMEVKEDDKVVL VVCGGSNISVEMMCGWKKEFEL L199_005401 MGIASLVPLLFAYNAQHTNTLEVTGVRNLNCGAGDNQVTNGYVH LENGNLFFSLFEGKDEAKNDGLVVQFEGGPGASAFDYPFIGAGPCQLTPDEEPSLTGL TPAPYPWTDHANLLVVDFPIGTGWSYNTSDQFPGSTSDIAAEEFDDFLQVILKQWPQF QRQPLILSTLSYGGTTGSHIASTVLERNNAVRDQWWNSRVIKQFDQILFGNPYADAMS EIYSQWDVMCNTEPKGYNETICSHFRDDLTECLDKLRYIDEVENTKDLRLSAVESCVK SLEIRFEAPLYNRYNKKERKCYPTNLCFWWMGKLTELMNSQEMREWLGVTHHVERWWY AGPAMIRFSKNADHMQSAYKLLTPILEAGTRLLAYSGLEDTMVPYIGTLSWMNRLPNS HLRAFRASEAQQVSNDLFKGTVINPGSDFTLIGVKDAGHLVEQTHAALMQEMVRQAVR GKNYNPLLVVPNTTGNDN L199_005402 MSTIRPENSSPASTSSEDFSSSSYSDNEKIGSAPGRKNSDAPLR TPPLDVSEKGQAAHFTSQPIQGPDGTTLDILEGIPPLAEAPAPASGSAFGKACLRIVG IGKKNAAHAGNAIATQPSVFDTPQRDHYTPKSWYEGYAAFDPLFRWTWKEENKVTRMI DFKIFLWVTIMFLALDIDRYNLTNATADNFLKDLNLTQADYNLGNTLFRVGFLIAELP SQLVSKRLGPDVWLPLQMIIFSIIACSQFWLSGRASFLATRFLISFFQGGFIPDVILY LSYYYTKSELAIRLSFFWVSNYLANLITAFLAVGILQMRGIGGYAGWRFQFLLEGLLT LLVGVASFFLLPASASQTKTWFRPKGYFTDDQVKIIVNKVLRDDPTKSSMHNRQALPV KTIFRCMGDYQMYPLYLIGILFGIGGYPVQQYFQLSMKQLGFTTLQSNLLSIPNIVWS IINIVGITVISEVVNSRAWVCMAEDLWMLPNYIALLAIPNPGAWTYFAISTVLLSFPY VHAIQVSWTSRNAGSVENRTVSASLYNISVQLSAIIGANLYQASDKPRYKHANSAIVA IICFNLVVLYPATRWYYKSRNAKREAVWGSMTSEQRSEYLENTGDKGNKRLDFRFAY L199_005403 MSTITQTITEPLRTLQLRSESPEKTEKPAFKGTKYDHDKDAGGA KDSEYPYAWALPTFNNTTYPPLTPYEHKDPGLEALKHENPREFLQNAQVSDLTPRFGA DVSGVQLTQLDARGRQQLAKFVAERGIVVFRDQDFQDQSPEWMLQDWGSFFGRLHIHP TSAHPLNHPEFHLVYRDMSKTFNYEFTDRFTSSVWHSDVTYEEQPPGLTTLFLFDSPA SGGDTAYVSQVEAYKRLSPSFRAYLETLEVVHSGVEQANFSRAGNRGGTVRREPVENV HPLVRTHPVTGEKALFVNKQFSRRIVGLKQEESDAILNLLYDHLAKGVDFQVRVKWTP RAVVLWDNRITAHSAIVDFDSAQDGRRHGARITPQAERPFLNKN L199_005404 MEFPSLPASFGVKLVPALSGEGTKARTFALLPEDLLKISPSVTG ITLKQLKGKLPAKSRFCYSQGEEVDDNLTIESYVRYSNDSNIPPDNKDSKATSFVKIW YLSPSVSPNEVNLPRPSAGEIIQPHNNNENDSQLNQSTIYQRGHLQTPEQRGKLNEQS IQDLLQKIGGDASQMSIGDFQTNGLRNMTSNDWSKVERNLGYLYGYYPSSDKKRFLKA AYPAFRTFIKTDKKPAPVVPPPPSYDENGNLLSPAAPAQVEELIDGYLIERQTPLYHT SGLQVKIDVESYRSSQDMQYAVSGFNHQNTQIALGVATPKVQVGLSGSHDSTSATATT GSSTEVTNKIVATWQIPVVEIMLNTASTEIHPECQDRIRALKSGGTCEMAQKFIQDYG TSFSTRIVLGGKLHSSQDTLSSSKDSFSQQETRIKKAVNASISGGPASGKLDAKGTGG HSSEKGNQNSQSSSKDEETVNLAWTAFGGESIFAQNPIAWLPTVANFNNWQIIEHTEV RSIIYAFDGYKGYEGTSAMLRALLSPIDLVRPSTLPWTAAMYDAIPGFDPSVGAIGYS FSSVDLSQHFKTPPFKVKASKVIHEGSLNQTDQEDLKLSWTLLKDVGGVKEYVIKELS SSETENGVRPLSDHLLYLDVSERSFTIVLQVRSPELVTSVDPKEMDWTKEALELVKLS ESKEENRRLFNDKYGDRFITGWARQRIIHANFQMSFNRLDDFDTAIRKFNEAKLSEKR VSSGLTWIKEMISRSGVQTNVVICKSDWKSKGFEVKHFDRVDNHRWNQVFEEIYNISS ADPIPTKTFSHSFVESFPNLEFPKQFTFTNVDSDNTEKKRKKLINFFAEISSQSSLPI LRYGSSKKRELKDNLGKIIQKIKSAEQGMKGIGYTESQVNEINAMIIKANQDLLVQFA ACHWIRKSKQCEISLWKNGLNWIIRYATHRFTSDFCPNEADREKFGNDAVNTINQLCR KPAETPRADYEWSVFSVPDRFVNVTANPPFSNYKLVYWRMTSYNGGSMGGGKWWPYCS WKQVLNLLSCHWEEESDLFIWNRSPTMRVERQDLFSYHWGLETWWLSDDEFPFDEDML WDFEISGYSHRKGKIAFTD L199_005405 MAAPQPNLNQNFANVPAGDTPEPRVNDKLRLPAWESAYQEQPIH WLQNQKWLNANYILVTGYLIRQEGNITREKPIPVFVDSVVYNPHCAHLVNTPNLYSTN YVNIRNHNGIAGTEYLELSDLRPKHYDLQYAKVPINIPGSPSSYFIVIHPLQRAINVD RFVMFNSELMKSSVETVLNTVTWGNAGKLIEAWKSAGNRPLLRFNANQF L199_005406 MLALSTSIGSIGLNVPSSILRNGLIRCSTESASVTRRGILQNGY GVNRQISSYKPSINRRIPTTFIRHNSYSSRPPTPSIPKSQSSTTPSESSAITQTDSKQ TAKRSILSRITSNLSLKPVAETHTSKEGGEDSGSSSVIKLLELAKPESKNLGIAVGLL LVSSSVSMLVPLTIGKLIDFFSSNSTQFLGLSFPVAAGLLAVTFCIGATANAGRAIIM RTSGQRIIARVRNQAYLSTLRQEPEFADRSAGDIVSRLSVDTNILGDSVTSNLSDGLR ALISATVGVAAMFWISAKLTLVMLCVVPPVSLGAVFYGRYLRKLSNLTQEAVGEMSKT AEEKLNAFKTVAAYNSQPLEATLFSKKVDQVFQLAKKEALMTGIFWGASGLTGNLAML CLLGYGGHLVSISEITVGDLTSLLMYSAYVGGSVSGLTGFFTGLMRGVGAGSRVFWLL DRTSHIPLDKGIKLDHTRNGPIRFENVVFRYPSRKEVVVLKGINMTVEPGTSVALVGS SGSGKSSIQQLISRFYDPEEGKITFDGTDIREFAPESWRERIGVVFQDPILFAGTVHE NIAYGSPDATREDVEAAARAANCDFIWDLPQGFDTLIGKASLSGGQRQRISIARALVR NPSILLLDEATSALDSTSENAVNAAIDDIIRQKNITVILAAHRLSSIARAERVVVLEN GVVSEEGRYDVLSRKEGSRFRTLMAAQLLVEKSSKGVQEDRPQIEQNMEEEQQGQQTE RVVAQGAR L199_005407 MYRLTLARSLRAPITPIRSFSSTARSLQKGPPTTQGHSTDKAHQ TGHSDGDVQSASVRAGQNAKDNASPTAQGEAQPFDAARQGSSGGTAKPSEAKAEVKDE GQAGSFKDQVGGQDESAPGVEFGKTEKAPGGSYTDSVKETVKSGFDGLKKLRTEGKNF HTSARQLYPGKGTSPSAETEGSRQPKEPNLEGEQNQHLKHQAPGTADQGKGNAAETPH LPSRKGDVTTGGSGAPTAPQSGKKAFSTYSRQMMAAQPPKGYAKALTSEGEQAGYNAP SEGFPRRLDDTYTSEATPSAEPGQTPSSKAEYSSTAVDPPNEALRAAAKDGTLAERNE QPHAEFGKLGNKEAWKHRK L199_005408 MPGLTTAQVTELSAVNVVIHPLVLLSVVDHAARVPLSKNKRVLG VLLGQDNGNTINVANSFAIPFEEDDKDPKTFFLDLDYVEEMWRMFRKVNAKERPIGFY HTGPRLRSSDLEITQLFKRFCSRPVMVIVDTRATGGRGDTGIPTDAYFAVEEIKDDGT ATQRTFTHVSTSIEAEEAEEIGVEHLLRDISSSSSAPSSSLLTTQSLSTKVTSQLQSL KGLNSRLLDIKDYLTAVKNKKLPVNHQVIYQLQEIIGLLPQLSDDQQLGKAFKIGQND SNLVVYLSAMIRTVLALHDLIENRIENAQQELEDSKSPQDRANEAAAQAAGVKAEDVA RAKKEAEEEKEKEKRK L199_005409 MSSTTKLRKLARKLECPVDEDGGYTNDRWTNRDLIPIPPDRRTY KIWSFCIYWFVSGACISAYSTGSSLLAYGLTAQQSMACVVIGAVITGLLSVVSGFPGE IHHIGFTVVSRMSWGMKGSYFPVCLRVFTSVWWFGIQSYWGGQAVNLMLGAMSPSWKH QPNKFSASSNITHQDFLGVVLWYLAYIPLVLVPPERLQRPFVLSSAAFGATLIGLLAW AVPNAGGGGPLFKTVNTASSTPYSMMLGITSILGSWGSGTIGQSDWVRYSERRYYPML SQLFAAPLMITLCALVGVVVTSASSSILGEIIWSPIELLSAIQDHYNSTPGVRAAVFF AGLGCTCAQLSINVLLNSVSTGMDMAGLWPKYLNIRRGAYLLAAIGIASNPWQILASA ATFLSVISGLGVFIAPMTGIMLADYIVLRRCHVKIEDLYNGSPSSIYWFKNGFHWRAI VAFIMGAWPFCPGFIMILIDPTATNAWVKLFNISFLVGLAIGFFVYLGICLVSPIPHQ KEGLNYLDDERFCKNVSGEPSTPAVDENESEDKDRDIKGIETNALVREV L199_005410 MPSADSAIPFIDFSEFGDGTSEAAKRIGTQLYTACRDVGFLYIV GHSIPQESIDGIFDMSKRFFALPYDVKMTAPHPKEAWHHRGYSGIGVEQVSQMVFDDD KIEEMRGKGADFKESYDMGSEDSHKCPNVWLEDKYLPGLRSTCLDFQETCKKLENKVL KALALGMPGVPENFFEEYHSGYDNQLRFLHYPSAPRKVFDSGEKGRIAAHTDFGTCTF LFQDDCGGLEVESPHNPGTFLPAPPVHGAIVFNIGDFLMRWSNDELKSTLHRVRAPPP RADDGEMTRERYSIPYFCSADPERVIDALPGTYSEARPKKYAPITSREYITMRMNATY AKY L199_005411 MAETVDDEGAIVKELATWQQGVKDGRSTSFHIHPTDRPSQTGLA HQWSIPNESQDDNIVDNTLAPMTTSTDIHVDLPDSTQEDTTIIAGEEFNWNDTVPEQG QTGDNMDDLLSWLFNPATDNDILSSSTIPLDNQPLFEDLQHKGHDDNLHPDETDLEVR SDLGYQSNINMIPTLSQRPPSRMRSTYPENYIPHVPPPVPSQNIYPDLSERWSSYRPW RVPPNVEIVDEEARASMLDLFGNTARQDLMSPSFSLQQMRLHLELYFMHFAPLYPIVH EASLPYRKLPPDLLLAMICVGTAFANDPEGLEMASKVHKHLRTRVFDMVEDEPTASVS SLQTILLLNHFARSFCSLKQHDVAQIFHSPIINLARQSGMLLPNFDRKLQNSLDDPMA CWLEWVAEEERKRLGWFSFMMDTENAALYRGFLLIHCYLIQIDVPCSDEVWQSSNPLE WNTALTQSVRPPSFRNLLRDLAGRGYIAPGLTKLVLWILLHGIHCVQWTLLWRDLGDL SMVHVSKITNWKDSCRKAFDTWRTYVDDNFGMSNTSLKVSPLLSTAEHPMLSAGIPFS HLGTVLLLSDTEQIRIFAGTKKIAGRPISPGEWAAANIYVNSWAKSQDGAYCCFAALR LLAHVFRWSSETKFQRTSMVPWCVYLAALVIWSYSSALEDHDPLLAPFILITSASSNS NPQVRIEPSLAQRSAIDYLDNLLSVDHPFSLPSIKGKNRCAGVVAYTAYLAGTLKRGV MEECRTVLLGLLTEHV L199_005412 MLHTLQRKEGKNRIILGTMTFGPDESKGARITSLDEYKKHLDYF QSQGYNEIDTARVYIGGQQEGWTAQAGYKERGLKIASKWYPSEAGAHKEAKVKEIVNK SLEELKAEKTDIYYLHAADRTTPFHETLKAINDLHKEGKFDTFAISNFTAAEVAEVCT ICQYEGWVRPTLYQGMYNAVCRGAEPELLPVLRRFKIDWVVYNPIAGGLLSGKYKSED PPKDGRFGTESSTGANYRARYFRPAAFDALEVIEKAIKPHNLTMVETALRWCVHHSQL KLASKGGNDGIIIGVSSFSQLESNLADLEKGPLPDDVVRALDEAWLICKASAVPYWHG ENKYAYELTDDLYGKK L199_005413 MSDRQAGSSSTRSGSQSSRKKATRYQPIVSCLECRRMKWKCDRQ FPCANCRKRGLESLCPNGQLRSLRGQYEQTSEDIENLKKRNALLKEALEEALRQGFEI SGLRNGLDDISVVDARPTGMVTSAMSTERYAQALVERESSTEESTHGHLIVGDEPGSS SFFGNAGAHYHLHVSGEGSDSPLRGERPFIADDISAERSDTFPFPNLAPITVENLLLL SPSRHDAERWSRIYFEDAAILHHSVDPQIYWTNVFPRLFGNATTADQARGLSLNSHEL GLVFLVLACGAAMDTSLPPYNEIAERFFRLGRTALSINPGDSLPFIQSIHIMSRYLSN SFKGPKTTLGFWTHLGMAVRSAQSMGLHRDGLRWNLSDEELEMRRRLFWEIYTEDILQ SMTQARPRLHHYKYRLARILSKVNDVQVNVVPSQYKDVLAIHDLLKKFEEELPPVLRT NHVIGPHMDRSAHYQRMTLRLLITEGSHEPLTSPFRFSYVAELEASRVILLILQDALA MEDQLAYRFLIFFFHAFTAIVNFAAVVIRSPRSSLAMASLIQAEHGVRLFESIPDGFR ARNDLPLIRKLLDEARKSIESLNNENRQQSEIDVNSFGFGTKLVRLSTKPLAQAEAIN PSAQNGKSFGLDFNFPTTLADAFELTNQADPSLEQNFSSEYAVPTFSEDDHLFDWLSA DWTSAFVSSDQYHAVDQSGTAARVEEDFVNLMTSIGLFASNDQT L199_005414 MPIATKTPLRPWVPPAETTANVEYANLRTIDLSKFDSEDASERE AVFREFQTAVKEDGFLYLVNFGLTQAQIDKQFAIAQHALIDNGITPEEKQRLEWKYLN TGKYTGYKPRGYWDIAQGVKDNVESFNYYSETMCDGKHLPACLRDYIGEIVDFNTYLH DVINKKLLALLSRMLELPESYLWDHVQSHNGPIGEGYFRQMMFHPPPAEHRKKSDVQM HGHQDYGITTLLLSQPIAALQVLSADGQWRFVKYKPGGMIVNLGEVLEFISGGHLPAT RHRVTQCPEDQADKYRLTIGLFTAANNGLSLAPLTDSPLLAREGYVSRFEAGSDGVVD ATKIPTAEQWRVARVLRSQTPPEDVVVVKGVKYNRQYFQGIYVLEPI L199_005415 MQQTPGANIDSYDEKERGGKLSSIHEVAQDLNGNAINYAFTTEE VERYLLDPKLNAQVLRSYDWRILPTTMLMYLFSALDRGNVSNAKSDHMDRDLGFTGNQ WNIMLTVFFIPYCCMAYPGTFMSKKFGPNRMLPIYMFGWGAMAMINAGVTGFASCLVV RLLLGIFEGCFAASLIMYLACFYTRGELGKRMACWYSTVAISGAFSGLLSYGLFQAKS KLHGWQLLFVVEGGLTIVMAVISWFVLPKYPTEASFLSPEQKVAGVMRLLKDATVAVN TTMTFKDYIEPAKRWETWVWGFYAIIYGVANSTASVFLTQIIGRWGYSTVKTNLLTVP PYSVAVIVMWVFVISSDRHRERTLHVMGSNALVLIGCIVLGSISIKKIGVGYFCTFLI ASGSFIPTVLFQSFVQNNTTKENHRAFRAAVCTFGANAGGIVSANIFLSQFAPQYVTP LIVSAAIAGLGIVVLGILRTYMVLDNRRRNREQGVNWTSKDVPTAALKDGPAGPSWRH FY L199_005416 MTWQTTAAQYLEERDKSIPKEYLLTLPPPDDVLDVKDYPTLPGV LSPPELEITQSLTVTQLLEAIKAGRYTALQVTEAFCHRATIAHQLTNCLTELFFDKAL ERAKELDEYYEKTGKTIDADSPPSGLPISLKDQIEVEGTNFTMSYVGWIGNKAKHNAV IADILLAQGAVLYCRTNMSQGLWFGEGYNNVYGRTLNPFNRNVTCGGSSGGEGALIGL RGSLLGVGSDIGGSVRIPAAYQGLYGVRGSYARIPYCKASNSSEGQEMVRSVLGPLTV SVDGLKTFYKAVLDAKPWELDPWTPRMPWSDSAYNLVDHGNGEKLCFAIMWDDGVVKP CPPYERGMKEVKDALLAAGHEVIDWTPYKTAEARDLLMRFFTADGGYDLKKQLALSGE PQLGSILERGVPELSAHELFDLCYRRSAFVKDSLDYWNATTSQTTTGRPVDAIIAPAC GGPPQPHDGFMWLGYTGFCNLNDYTASILPATQVDPALDPKPSRTDFIGEDDRKTYEQ YDPIFMKGAPCSLQIIGKKYEEEAVIRMTEIADAALKQYRGGRT L199_005417 MSQAGEREFTKLLSPATGYGVVVGLGAAFAVLMIGFTYLQRRFT RFDTNNASEFATASRSIKPGLICAGIVSAWTWSATLLQSSAATYQSGLSAAWWYGVGG TIQIGFFAAIAAKVKMNANGATTFLQICKARYGTGCHILFTFYALVCAHIVAGSLVLG ASATINALTGASIEACNFLLPIGIAIYVIAGGLRATFICDFTHTVILFVIIYLFMFSA YATSPALGSISSMYKLLVEAAQTTPVEGNEQGSYLTMKSNSGILFAGCTIASGFSGVF CDQGYWQRAIASRPESTTRAYMLGGLSWFAIPWAFGTTMGLSSRALQSTPAYPTYPYA LSASQQSAGLVAPAAAVALLGKGGAVAILLATFMAATSAASAELIAVSSIVVYDIFGT YWKPLSGKQVVFWSHLVIALAAIWFGAWSCILHKAKIDLGWLFYIQGVCLTPAVVPIG LTVSWGRMSKISAFYGTIFGTVCGMIGWMLGCWKVYGEINTVNLAKPYAAISGSAPGL VMSTLATLILAYFFPGSNDWTATRAIHQADDKASTDSKGEPVSAHIASAPMPEKNFTD EKHQDANSTTVQTVDVKAESPGETNETYEREIIEELDLDLLQKVFVRASVISSSMAMI ITFIVPIPMFAAHYVFSLGFFKFWVVASFIWVFLAGSFCIILPVWESRVELVSICKSL LGFARIKTKTGY L199_005418 MASIAINRSALACLLLQHTSSTFGSAAYDFASFLFLIQVFPDNL IPASLAGLCSTACGLILSGYIGSLVDRIKRLPFVRTVICAQKVLQASSYSFFLILLGP FKSFAASAFHGDESISRVAATWGILLSIITSSAFLGLSATGMTVAIERDWITEIAQSD STVLTRLNTYMRRIDLLSKLTAPLLVSLLTSTGGYPLATTVLLGMSAVSLATEYWWIQ VVYNHFPVLDRETAHSSRDHEELGLLEEDNDAGDSIVRHMESSNGTGGIWKKLEMEKQ SWLEFIALPIFFSSTSMALIHLTTLSYAARGWDDTIIASMRGLCLVTGLIGTAAMPIL ERRIGLERAGAWSIWYEVACLAPVAVSFFFGTGKYGEHGPVWNSIVLFGGIALSRVGL WSFDLCQLKELQLALDTHPRRNQLTARQISLQNLFDLLKYVLTLSASTPARFKWTALV SWLAIVVGAVSYAVYLRSVRGHLFHFCRTKEL L199_005419 MSTFNLTKLAATLPRSSHSISVIQGKAYIYGGEINPREPVDGAV HLIDLSTGAYQKLAAFGQIPEPRVGHVAGVIDGRIYIFGGRGGPVMTPLEEAGAVHVY DPTTSSWSTVKATSKEFPCARSYHCATASGKQFIIHAGCGDASTGRLNDTWSFDITTL EWSRLPDAPGDPRGGSSITVLGDTLWRFGGFNGKTEVGGSIENIDYLAPTSEWKSIVF GDTAGLGRESSVLLDTSDSYPGARSVAALQAIKGRLIIIGGEGKPSTTGGHDSAGNFW DDIWSFDPTEERWSKLAPKEQMEARGWFASDVTKDGEIIIWGGINSTNERLGDGWIGR L L199_005420 MEESYREIRRSKEFGVRREGPCKARKCRCDATLPTRPLSSIAAR HCTQCKNLKIECTFNYQAKRRGPVPGFLRSQRAKDKVQAVRQSTTRTSPSVSSQSPLA VPPLQPSYIGSEKSESSVLPTPSASFSSNTAVTPSLAHSSLHTSLSSMPGPSTSFALN PLDAVLPRSLRFEIVSLFFRCVYPLLPVPHRITFMEDMHNRREERNGQEEWVTMVFSI LAFTLVQIPHHMVSITKNEIRDLVERLSRKVKLSLMEDYHAVSLERLITVYCTVFNNL GRHIQSRALHGSNVVHCLQLGLNEESTYTSMDPISAESHRRLFWAVYCSDRSAACSEN GNLLFNEDEINVALPKDLDDEFITRYGHQEPPAGHASLMRGFVDSCRLFSFGGNMLSK RMHDRSRPPTGNGLRGRINELDELLQNIEDLLVDCQPEMRLSFTDMGSMATEIEGGLV DALPDMLEQLIPASLADSTPFLVHSAHVRVTQQLFRFLTLQYREDLSRILFHEQLRCT TIRQIMAPSPPKSEEHNKRKVLEDLLQILHGIPLEIHAINSFPGISKIRSVSASLLTS FSPTSLATPGSQASPPQISDVTAQDERSMELLCDFLRLMATIEKMYSLVVAEPSTASM DGT L199_005421 MSAQLSILVVGATGKQGGQAVSTLLEEKASNLSIKFLTRNSTSE KAQQLTDKGLEAVEGSLEDKNTLKTALTGVQRAFLVTDAGAGEEKETQQGINFIEAAK LAGVEHLVFTSVASADDANNVPHFRSKAKVEEALKASGLPYTILRPVAFMDNFPPTAG FARFMVVGMFYASLRWKKCQLIATEDIGVFAGKALLNPESDKFKNRVIELSGGNYDLE DVRQAIFKTQGYQPWLASYTPTPIRAILPYDFRQMMYYLEETGYSNVDTVALRSIHPG LMSLEDWFRKNGN L199_005422 MKVFTVHTDLLFDSKKKQFVKDISITVDGDTGLISKVVERKEAL PESIQAPDVDLRGKVVCPGFVDAHTHIFLHAYDEAPSVVQKRDESFVERIVRAVNHCR LGLMAGYTTYRDLGSESMKEADANIRDAINRGLTPGPRLFVATRVIASTAAYEPRTEN HLGGTCMPAGCDSADGPDELRKAVRRRIGHGADVIKFYADYYRRVMRFPPKQQHPYIP SMKFQPVDPNPDLVVFQDEEMDAIVSEANLARAPTVAHADSKEGILAAARAGVLSIEH ASRAGEEGLRLMKEKGVIFVPTMAIFELILQERFGKVVENLQLAHKLGVRLACGGDTG TFPHGENAREMEIFIEAGISVEDTLEAGTVGGWEACGGDLCGRRFGWFEEGCAADIIA LDTDPREDPSALRKVSFVMKDGRIWKQDNEPVDLFSP L199_005423 MSKENQVEEEKHYGPGVTELAVASEAPTDKIKWFRSTLVQVLVV GITSFLAPGAYSSLASVGAGGLANVNIGNASVALAYALIVPSALCATACLSKIGPRYS LSLGAAGYVFYAAALYQNSATGNQPFLVVGAIICGATSGIFWVSEAAITLLYSEPEYK GRHLAIWQSLFQLATCIGGAINLGLNIDLNETGGLKPKTFLVFVGLNAIAPLAALLLT NPKQVQRKDRLPVPAFPAEPFFKEVWLTIVELKNPRIIACCFLWSQSLFIPSWTSTYL AIHFSVRVRGLTSLITPLLTILTLALVGSILDIKSMTIRYKLVLTWGLLQVLGLGSAI WLLVMQVRQSKEAVVPTWDWSTPGFGPAWVPYLFSFAASWTSYGFFYYMATYCFPREA SGARTTRIIATLRSAESGSAAIAFGINSINVPLHHIGYINLVFIIVCLFCGYYVLQWI WKQDKKGAYNEVVVPVEPVPPAIH L199_005424 MSGPEPTPLSILITPKLFSLKGRTIIISGGGRGLGLSVARGVLE AGANVAAVDLLPVPTEPEWSEYQQIAKANNLTLSYHNLDISDAKAVEQVFGKIFEDAF PSAPVKGMFLAAGVPSSLTVLDSSIEYWRKVFDVNTAGSFLCCKAFAKEWLSQNKTNG NPGVSGASIVLTASVAGHVANRQIPCSAYSASKAAVIHMAKSFAAEWGKLGIRVNAVS PGYIKTVMTAGIVAARPGYEEDMVNSTLLGRASTGDEYRGPAVFLLSDASSFVIGADI KVDGGHAA L199_005425 MTAIVATPPAALHDTFQALKIKPIHNDVYDAEEKKRRIFDTPYE PNLKWWTTNQAEYVKPSQDPLPEGYPDQVVSNTVWDGKVLINQRFRVLRGLPVDSWTR SKQISVFAGISAYVSPRRIASPSGDNITHLRDITNIEADKRPPIVVKGQTNGNQVFHN DGSLGIISLFTLGVAETGGLSQLASVGQTYNEFARDRRDIVRELAKPDWKNKYHPEER RLIHPVGDKQVISVYSRRPYFGFYGADEDVEPLPKEKHLALDAIHFTAEKFSIDLDLE KGDLEYVNNVTVYHARTASEDSDKNQRHLIRLWLDNEEQPLPDNLVDEFRAPREGQKW PQEAWD L199_005426 MSQPCEGCTCGRAQEALAATSGSGPRQLRSFTSPAEADPNATEG IEPAVPLRSKKWFNDPTDLGLTVPELSPKNRPIIGIAQTGSDLSPCNKYHVELAKRVR DGIIAAGGTPLEFPCHPIQETGKRPTASLDRNLSYLSLVEVLFGYPLDGVVLLTGCDK TTPALLMAAATVNIPAIAMNVGPMLNGYSGQKLIGSGGVVWESRAQLAAGEITEAEFL HHVALSAPSAGHCNTIGTASTMNAMAEALGMALPGSASIPAVYRERGACAYATGLRIV QLVREDVKPSDIMTKEAFENAIACCAAIGGSTNAPIHLNAIAKHVGVQLDCDDWERVG YKLPLILNVQPAGQWLCEEYHRAGGLPAIVAELLEHDALPHPSALTVSGKSIGDNCRG DLSQDRRVIVPFDKPLMAEAGFLHLKGSLFNSGIMKTSVISKAFREQYLSNPNDPMAF EGPVAVFDGPEDYHHRIEKSPNIGPGTILIMRGAGPVGYPGAAEVVNMIPPGELIKKG IELPCIGDGRQSGTSGSPSILNASPEAATGGMLGYLKDGDRVRIDLLKRTADVLLSEA EIEERKKEVGSYQFPKSQTPWQEIYRGSVSELSEGMVIKSAVKYQRLAQTQGIPRQNH L199_005427 MDFASSSTSSYAPPPSPSPSETPRNGKNQRRKTGCLTCRLRKKK CDEGKPACGACLRLGLDCMGYDTKRPGWMNKKDKVKDMTAQIKHTVHETRAAKMRDHW AARAASVSGGDGDGHSVYSPEDQEEILVDKPVISTTKPSLHSTNDLTVPTAVSIPSQG GSYVGGGYGYSGGTSRNLFNSQQPNSTPTASSSYPHSHSQANPPDLLSEPYIPQSAAS SPLIDPDILNLLGLIPPTKPTTDDPYFPLYPQLPNTLWFPYPSALESRENLEDMRYFH HYLTVILPLQFRFDNQPISDLVAPLALQNPRVLQALSAIAALHIATKKRRQPVLLEDF VGSDSVNSHPLPNPDDVFARTTIQATIKELKSVPSSELGSDDSILAALSANSFNLFDG GENKDWVETAELCRRCLAAVLNGIAGIGSGFSSSKPQADISPLMDRLGHLISPLMWVD ILMSVTQNKASQHLPIYRLFLFDRYQKQGNVSKLLRETVMGCDNTTRLALAETVALSE WKDKAIRSGTLSHRTLVERADSIERLLLERKWREEHLFQPDDTSTVQRMAMSNVFHHG VRVLLATVLDGCYPNVPDVATAVQDTADALLALDKYDPQGATDKLMIFPIVIAGCHAE RPALQRVFRHRFAKLGDDGTSFGNTRSALRLMEEVWRRRAEATSEQSETHWRKVMFEL YEGGLLLI L199_005428 MDNGRSVSTTETDRYSYALADRAEAGKVSDPEVAKPQLDPEQGQ GALVDAVWGTIEGDGPNYRSLSWIKATVLQLKTQVGLGILGLPTAFNILGLVPGIIVI VAIALIVGWSDYVVGTFKLNHPEVYTVADVGYMLFGFWGREILGFAFWLQIVAVTGAS FLSMSVAFNTITEHATCTVVWAIVAMVIIGALASIQTLSRISWLGWLGLASIMSSVIT LMISLGVSDKPSLAPAGDDWSIDTKIAANPSFIDAINAVCIIIFSYAGTPNYFSIVGE MREPKDFTKSVIVGQTLMTTVYLIVAAVVYHYAGQYIASPALGTAGHNIKKVCYGLAL PGLAAGGLILVHTAAKYVFVRILRKSAHLSKNTPTHYAVWYGCISITSILAFVIAEAI PFFNDLISLIGALLGTLICIQTETYMWVWDHWRAPNRGSKKWYTMMAMNVIFHFIGWF ILVSGTYAAVVTIHKDFQDGTLSQPFSCADNSGSS L199_005429 MLFKSPSILALATALTTLIPVISAKGSGATSNNRRDDQDNKDGK GKSYPSYSYNFDYLFTITYAFGQPSPIIYQTGITENINSFVGGTLEGPAFNGHITKGV ITNKFVPYYDTSIVFEEATWYGYFDNGDKGNGTFIAETSGLVDFEAHEQQRVKLTVTP GDYDWLQYSYILCGSIAFDLRTALARQDCFRTYYGEKVALPPVFP L199_005430 MTTKSSTVILITGANTGIGYATAQALLTSPSPSPSNSMTIIITS RTLDKAVQASRDLQTNQALSEAFESGCRVVPRQLDIDDEESVKALHKEVAEKYGKLDV LVNNAGAHYDFQVASGKMSTRDAFLKSFTTNVVSTHLFTETFVDLLLASSTPRLLFLS SGISSLGDHSNPHVPVNFSPPAGWPKQPIFQVPTYRTSKTAMNMMILEWCRTLKNDTV KIHILDPGFLSTTLGGAQPEVLKEKGAKDPIEGGKFIRSVIEGKRDDDQGKLIGVDGI VPW L199_005431 MMSKTQAFSLLTFLVGASVVNAHGHVSSWTVGGETKPGFNPSNP PEYGATAERPTDNSDQGFADYTSPIVACGGLSAGSGLETWDVNAGDSVTAHWNTWPDS HKGPVTEYMAPCPSSGCDGVDAASLQWFKIQEDSFDGTKWPSDTIVSTLEWTFTIPSD LAAGPYLVRHDILAMHTTGAPQVYPVCFQANLISSGSAVPADTVTFPEAYDISDEFKT WSLYGGDQSAFVPPGPAVYSGGGSGTTPPATSAAASSSTAASTSAAASTSAPAETSAP AETSDAASATNSDSASAPVETEAPATSDVASEPATSSTSAAGPSDTEVSSEPAPSTSV AESSAAASTTSAAPSSAPTSGTPGNAEMGGPVPPVTQNGNRYETLGGSYFDEQSAVNA ACMEQMNRCKRWANSLANSEVSNALASCDTQATTCQSSGASAKRAVVMNRYAKRRLF L199_005432 MTWQDIKLNDGREIPGISFGSSRHSGDTVSTVDEAIHAGFDSID TAQIYRSEAAVGQAIKQSGLSRDEIWITTKWSGLKTAKESIQDSLQALGVDYVDLYLI HFPAVTKGDIAGSWKHLEEFKKLGHAKSIGVSNFEISHLKELLSHAHIKPSVNQIPFH PDILAKQEPLVQFLKDHNIAIEGYSPLAPLWNDDKKSPVLKVVKDIAGKRNVPEERAL LAWSRSKGVIPVTASSTKSRIESFIAAGDLDLTGEEVKAIDKAGYKSARNAEIRRKVV NGIKWVTAAGLVTYLAINRLST L199_005433 MASLLLGIPNTLPADPNDPKGKKKKIPKVAGYVAGAAFAITTGL TLLVIPYVRQAAKQVNATQFLSHQSQAILNSRTRIPRIALPAATNAVESNSPSSSSSS STHLTASSSSSTIPTIPLDPSLIAARSFNAREEISLYGSSLTPMEGEEGFSNEPVSGL LGFQALGIATALVFGSAGLGALIVAKLMGVKDMTEFSTKMRETLNLSMPTLVESVNQP GRSIDGFDGEAIDQWVATLEKQDEEEEQRQNQQ L199_005434 MSSINSLKRKASSSKLASKKRIPVQQPSPSPSDLDEDFEDLEDG PGADDVEEAGGIYDDPMIDRQSSDDDSGDSEQGEDEDEDEEDGSTSKAGPSRSTNSSG KHLYKAPTLEEMEKLKAVEQSGGTTFSLQLSALLESTLLPLTPQANLKALLSTLHSTI LSLPSLPPLPPTKAIKRLGKEVKVPFVGGPQWDPTKNEVKWQLGWDKPEEIIVGGSWG VVGGYRQGKGDAGNIDLVVVIPSTMFSPKDRMDYRYFYKRSHYLAVIYAAIQKLAKED GPLRGVQVSWETAMGDARRPIVRISAGKEQGLKHQLDIRIHASILPTVFPISTLSPTK SLLRSEQPTPLYSSSMLNDTLHKPHLLHLHRLSQLLSPARTVDSFLAIWRIWCSRRGI RRERGASAWFASMLLGWVVGGGEVGGVGGVREKVKKVRGVGRGLGHWGAIRAAWEFLA HTDFTQTPIFINTSSEDTIAHAEFTKSFDHDVFVDPTGRVNIFAGWERGDVQILRHHA RETLAMLEDENTDQFGETFLKDRKVGTEVFDEFIKVDTSSAQFDGDIQQKTEYPSPID LAIHLLADILRRGLSDRAQIVRISPSLASPSTLEIGVIFNPEHANRVIDIGPSSNSSQ AAAAEGFRALWGSKAELRRFKDGSISESVVWDITRPEEATLIPCKIVKYLLGQHFQIP EEDVRCLSSDSTWQDIIQVPASVRHAINVSGSEKQGFRPVLSAYEELYKILKDFDTEL PLSILNVNPSSELLRYSSIYVPHPIDVNRIATAPVCIAHIPSVDVVVQFESSPKWPDD LAAIQNVKLALFEKLARVLQGKLPRSRINILFDSVRRDSSEIEDSSSLEVLMNLGIAF RLKIHYEKERVLSERIIQEDRKPLAALAGTQLPRPSIKLAIPALEKHLFLFNHKTQHH QAVIPLHHKYPSYSTATRLLKKWFSSHMLLGIHVSIEVVELIMAKLYLDTNSLQRPSS ATNGFVRAMCLLVEWDWKNDPLFVPIFSVKNTAATDAGGRIRFPVEKRQEAIKAFAIL RSKDNSCTNSHQHGWVVYTEEDDSGLRWTKGVNKVIASRISQLAKATLTAIKTGTIDG GLDVKSLFITPLDHYDFLLHLLPSTTTKYYQNIQANPEEWESKLKYRNLHSASPDGAG VKIGFNPAESFAQDLIRTYGDSILWFYDCSGGAVIAGIWNPQKDSARALKAFLGYNSK PTESDSALVTINKDAILAEIARLGKGMIERVDRRN L199_005435 MSNTLRPYLNAVRATLTAALTLENFSSQVVERHNKPEVEVRTSP EVLLKPLIVSRNESERVLIEPSVNSIRLSISIKQADEIEKILCHKFTRFMMMRAEGFV ILRRKPLPGYDISFLITNFHSESMLKHKLVDFIIQFMEDVDKEISEMKLSLNARARIV AESYLSTFA L199_005436 MAVKMNLWSLTLLPGERYPLFVRRDFQITNVALGEELVSKDGRS VVKVTHNPIPSSALESDSEFDSDEYDSEFDDEELDDEEDDEEEEEPKAAKKADGVKKA EVDAEMDEDEDSEDEDYSVDDSDLDGEIEETNVITSLTAGRIEQATVNLTFVEGDVVI FETTGENAVHLLGNYIDQFPDVSSDDESDSEFSGDDDYSDIYGSDDDIELDTDEEEAV AKITEIPVEKPAKKALPAAEAKPKKAEAPAAESKPAQKRKADDIDSPAKAAPAAAADG EALSKNQKKKLAKKAKVEGAAPAAEKKEEKKPSKKTLPSGLIIEDVKVGDGPVAKTGK RLGMRYIGKLTTGKQFDANTSGKPFTFVLGKGEVIRGWDEGLAGMAVGGERRLTIPPQ LAYGSQKLPGIPKNSTLKFDVKLVSIN L199_005437 MTKPQFLIDLERDGYVVVPNVISKEQCKEFQQKAWEWLESFPYG FKRDDKSTWTNEHLPYSTTGGLYNRYSVNHEDFVWNIRTEPAIIKIFEQIWGTEDLIA SFDGMNASLPINPKTGRKDISTTKAWPHIDQNPRTVQNFELYQGIANLSPNGPEDGGL CVLKGSHKLHQEYFDHIGGFKLDQDAGVRENGYNYKIDEMDWFKGKGCEEVKVCAGEG DLILWDSRTIHWNASPTGEQTRFVTYVCYCPKTLMSPEELAVKAQIFKDRKGTTHFPY MNRVPAERPGYYNALPRRPDGQLDPANRTRPRNEPEETPLMLKLAGVVV L199_005438 MSNINDHTLGQTTSIISETEKHAETNLDSADIKDVQGDSNFYET VTAAPLSPWSKTSFQLYAILLVAALNATASGFDGSIFSSINAMDQYKAYFHHVETGKS TGIIFMIYTIGNMVGSLFTGPICDHLGRRAGMGVGSIIIGAAAIVLTAAKNDSYLLGG RFMLGFGISIGTSSAPTYALELAPPQWRARIVGFYNTFFYTGSILSTGVAYASNKASG ELAFRLPLGLQLFPPFCILIGCFFIPESPRWLTARGKKERAQAILAKYHGGGDVNHPL VQLEIKEFEEGIQVKEAQSVWNYYDLVNTRNQRWRMLMNAFMSFFAQLSGNSVLTYYL PNMYTKLGITSTDRRLLLTFANSIVSCTGAVAGSATNDMIGRRTKLWVGSIVLSCLFA AVTGFSSQFDLGKEHVSSAFSNGGVAFIFLFGCAYSFIYTPLTATYCAEVLANHTRAK GMGVHVIMSNCANLYNTFVTAIALDSIGYKYYLVFVALNLFYAVMWFTFGVETRGRTL EELDAVFDAKWPPKAALSKATMVKREDGQLEGL L199_005439 MSRFKISAALWDKIHHFASFPQTGVSLQQMVLFGQHPTQGTLLK ASQFLSEELPIRLSHRVVELESLPDGLSKMPSINKVKEWYAQSFEELVTFPRPRLKPE IEEILRTQASQPTQFPSATPNPSLDPLMHEGPVGSNLVTGSGNYNGYGNGNGVPVGAA MALPGTRLRIPIERRYFSPPPANIVYPPEVHDYNDRFTNLLQNIKKRHDPTVTTVAQG VLEWKKRQGHGRIGQNIQEWLDRFYMSRIGIRFLIGQHVALNTLQPHPDYVGIICTRA NVHDICHEAIENARYVCEEHYSLFRGPPIQLLCPKDLTFAYVPGHLSHILFELLKNSL RAVVERYGVDNEDSFPPIKVVVVEGSEDITIKISDEGGGIPRSAIPMIWTYLYTTMSD EGLEANIESSDFKAPMAGFGYGLPLSRLYARFFGGDLRLISMDGYGTDVYISLNKLSS SREPLQ L199_005440 MPECSLDPPEDLPLASPTTPAPYKTTTFKQRLRETEWSKRWGWN LFTTAMGTGAVMVCVHSIPYHFKGQPAIATVFFMLDILIISICTTMTIIRAIKHPLVF RHSFFDQTEAPWLPTFNLTIATILIGMIELGIPKTGPWFIVTLEVLYWVYIFLGACTA LILQNTFRLLPRPLHLIGPVECLECFPLMLAGSIGSMLCVEVNKLDSGRALIILLFAI VCQGLGFWMSLIKLSSWMLHHIVLPRAPSKTLPSYMIAAGAPGFTAFALVNIGNASVE IFPATGFLKGQGIDPMLAAQVFAVVGNWFGLLCVGMYVWIVMIFLGWGLLRIIDMIQH GYLGKYELSLWAFTFPLSGAIACWGHWAEFFPSKAFGILNVIGTVFVFLMWLFNSIGT LTLLYNGILPGVPKEFVPSELNTAYDLTEDLTGLDLDEIRYQGPDVERGEGDITFNSR LSTAVNSLNGEAGVTRMRGRG L199_005441 MSSKIAADQEKNLGGPTQASVYPAGNGYPQDNRSPDYDHGYVDH DTGVGKSENSLHRGLKPRHVSLIAIGGVIGTGLFIGSGTALANGGPLGLWLGFSFVGI LVYFMMLGLGEMSAYLPITGGQLTYAGRFFDPALGFAMTWSTTIHWALTCASELSAVA VLVNYWLEPSRVNNAVWITIGYVIIVLLNLTTSGVYGETEFIFSSIKVLTIIGLIILG IAIDCGAGPTGHYLGFHYWKDPGALAQYEGIGGAKGRFLGFWAVMTQAAFSYGGVEFF GITAAESANPRFSIPRAMKQIYFRIGVFYILGTFILGLIVPFDNDRLGTSSDAAASPW VIAIQLSGIKALPHIINACLITSAWSAGNADLYISSRSLYNMAHKGLVPRIFLRTHRW GTPYVAVLTMALIPLLAYMTVSTGAAKVFGWFVNLVAVMGLVEWMGICLAYIGFRRAM KAQGFDRSTLPYKNPLALFGAWFALFSFGIIILFSAWTVFRDTDNFDRATFITNYLPA VFFLALYFGYKIYGKTKIIPPTDVDLITNLAEIEATIEERPPAKGFKGRLQAMFL L199_005442 MHPDDKDLEISHIESGVHTIDKKGDDALVADTAAAEYVDSSIII TEEENLRLRRKIYRNLLPVMCVAYITQSLDKGTLGSASIMGWQKDVGAVGQDYALTST LLWVGIIVGEPLVNQFVRRLPVAKVLGISIVIWSALVMGLAFSLDVKPVFAIRFLLGF FESSFSPCLVAITVQWFTAHEQTLITTVWQAMFAAAGFASNLIAYGFYQLGGDSNTKT KGLYTWQWMTVVIALISFIAAVIVLVFLPDTPVQARWATKEEKVKYVERVRANDQGIQ QKVWRTDQAWEVLRDPLPWLLFGMMFIQSTVVGGLNTFNNLLIKNAFGFTTSQSLLLA LPLAVFQVILYFLIGWLGTKTRQTVYCMVGYVMVNIAGSIVLITVAPSSKTKVGLLIT FYLMQCCQATNPSMYAMLSRNVAGQTKKSVVYAIFFVGWAGGNALGPQLFQAKWASRY INSLYIHIGLYCAFIIDVLVMRWICVSRNKKRDALMQGQVNAHAHAFEDKTDMQNVEF RYSY L199_005443 MGTDDKSRVAYIWSQELQDVSDELPSNSGRSSMVHGLIKALDLL DDDADDNRRANGDDNFNKATVFAPDEDLGSEQCLLKYHDQSYIDALMQYRATSPPAYD PTAPRDTPSASSQPPPAKRARTKGPNLQEYRDMDVYNLSHDNPPFPKLPRYITLVAAA TSTACRLLVQDEADFVICWDGGRHHAKKSEAGGFCYVNDLVLGLLLLSREGKVPIPSD QRNKNGRTKTRSPRILYLDLDLHYSDGVSSAFHSTKEYPDPMPTKNPLKPPSVMTFSI HHSATGFYPPATPWAELTNPWLPTPFSLSVPLGAYPSSKTYKTVWDGCVEPIVKEWDP DYIVLQLGSDGLPGDRVGQFGNWSVEEEGGMRWCIEKVKKWGKKTCVTGGGGYNHPNT ARAWAVVTASLLDRTINGETPIPHHEHFEEYAHSFTMEVPQGHMEDQNKRRYLDQACE DFGYISTRIKDIVASSLR L199_005444 MGFLSTIFTWIWFQLDLIVHSGFPVFFWVRPKWSIDDIPDQSGK VVLVTGGNSGTGYATALSFYNAGAKVYIACRNEELAKEAIENIKKGGINSLTGFTYPK DQSGQRKNGTVKFIKLDLSDLQSVEECAQELLKKEDKLDVLFANAGVMASPEGLYTKQ GYTLQFGTNVLGHHRLINLLLPLLLSSPPAHPSRVILTSSAGHGGAPKGGVDFKSVVR DASDPIIKDGQQPRQGKNEKMRWVEYGQSKWGDIALAKFLHNTYGRQGRLISVAVHPG MVATNLFRNLSLTPHIIKYAPWLAPVITRTPAIGAVNQVWAATIPEYDARWISGEYLV PYRKVGIARPDLQDDKKIEEVWNWCDEQAKKWA L199_005445 MSYPIPSLPSPEEGQDKENMKFTLPPIRDIHATPKTTTQLPPTP STIPPLHARQDPNLVTPVQPRMRQPLQSISSQSNGSMMGHPIFTAPQSHMMMTPQTNG ASSHHQKNGQPLSSALAFEEKHHQNENGSHPQSRLHQTPCPRPSGSIALSSSGRKKVH PPNGTPLDTPINNTFNVGYSNASNITPMRTPVSTLPARTMGGSISTPTLPMNSLPKTG SYTISNRSAASTRYSPSVDSHRSSSLPRSGTRGRTHMSFSPSPTPASPTPIDLSGIQP PAPFPGAYPTAYTRKDPVPSEEGEPESENKRSGRQGAPSWNPWDYVLGEVPGGEQGPN QTPVKDTDDGKGNKIRRRFTKRELEALEVLWSISKSPSKYERQRLGAWLGVKTKHITV WFQNRRQEEKRYLREGAPVEPPPPSRSNRGTFDPVTGKWRPVPLSCISGLQPPPEEKS AVVRSISVGDLTRDMFFAQHPELEAHLPTPTPSSSGRRYTTQGAITPLPKRANSRSLD EILQARESGFGDNKKRSYQRTPGEGMIQGEGQERIREILALMPSDPPSMDLSELAGDD ESEEVDEEEDETPKRKPLGNSGGPMGLDRMLNAPTNTLGRATSLDILASVPRTKGKYW SSKPGNNGGPLQPRSIKGSSSGVLGSINPNIPSENRQSTPLGKTPLKHSLPLTSQAAS KRARLDGGRHRPATSFRHKDSFSRSQSAAVLETPLARKDTNDKEEEGSEMKTPGFARS FSFSSTMSEGVAITPDNAVLARKQRGNDKKEEKDAEVLGAAEKLLELLGGTK L199_005446 MIRRRPMSRLNPICLTPPTNHSFLLQPKYPFPPIRTLVSTSVRL DIPQWPNPPVPQPPVGPSDTLTDTPPRRNSDKKGFWEQWASSASFQAALTTVVGLGMV FAAGVGYLEWYKAHVLHRIMRAFEPGYDPALELSTLHAPNSSHIHRREQSLIDRIVSG EEKGGYYLVIGSKGTGKGTMILDAMRKINADGVSFCEAHPDLEVFRLRLGKALDFDFY EDWQGSLFSRADPRNGGPALDVERALNKLEKVALRYARKNKRPLVLAFNNIHLFPNTD EGHGLLHQLQQRAEAWAEGGIMTMIFSTDDFWCLDMMKKNASRMRILSVYDLSASESL KALRHLRAQALTNRGLQRDVEEDDVLKRVYELVGGRTSYLARVARADDMLEEAEQMIE GEKQWLLSKIGLIPEHDDDVMDEQKWASCSWLLLRHLAKMIPPLSPYPVVEPDAISPI DNDLPLAEESAEGETSNSSVNVTPPAAEPSSADIHLPYVTYEAARRIMTRTDFLNELD HYHVVSIDLNHHVRPDSLLLLRAAQQVVSEENFDEDLDTTRDRVDQIEGLHRQSELTV KEPFKVTFDKVDGKAVWEVVGLGDSFVPASEDEEEERLV L199_005447 MPPPPDKDRDKGNARAEVEMSEEDKAAIAAAEARQRSFERSLAG PSVGKAGLMRDQTDINRIIAEASKGSKYYLNQVRKDKELTEKIEWYQAKRDELMKMAN VPRSESEAERILVEVEATRDLSQVIVHCDMDAFYASVEVQRDPSLRGTAFGVGRGVLT TASYEARKYGCRSGMAGFIAKKLCPHITLTEMHFDLYIAASKAVREVLLQYDENLMMA SLDEGYLNITTYMNANNMTAAEVVSQMRAEVEARTQLTMSAGIAPNRMLAKICSDKNK PNGQYEMEFERTVITRFMRDLPVRKIPGFGRVTERCLEGLGVETCGDIFTHRAELLAM DHWFGFRGLCKAYLGIADNNVAPGKREERKSVGVERTFRDKTDDEDILNELTSIAEEL EKDLERLQYAGKTVTVKYKLHTYENKTRAKSVGKFISTSKDILPIALELMKRELPVRI RLLGIRLSTLKDLTVADKGIKGFFTSPGKKRANSTEAGRSRPTTPILIDVDDDNELAR MEREDEAEVFDMTAEDSDDVVDSPIPSDIGAPPPTSILGKRKSSESDKSAHLLGPVCP ICNKALGPGTSNIGLNEHIDWCLNKEAINEAGRRSPVKKPKLEKKGTGNNAAQKGKDK KGTMLDWLKKS L199_005448 MNSTLPPFLQASSGALGSAVGNAIVYPLDLATTRMQNNARRPHH ERLNLRDTLSHLLSHNNYLSEIYSGIGADTLSTLLSNFIYFYTYTSLQKGLHVWHTRH PIIPTQNPHQHGSVSSSPAGIGGLASKASEILPKPSKPTALEELLIGILSGLISKGIT LPISTVCVRQQIENDTDTDTDTDTDTDDKDKKDMTLLETLSTIYQESGIEGLFSGLKP TIPLTLLPSLTLYIHTVLVRLLLPARHRTHPPAGLTFLLGAVSNALATIPLYPLVLIK VLSQSGRDKGKNKHKLGMLETLVKIIKRGGIRTLYTGLEGQLVKGLVQQGVMMLVKQR VEQGVIKAYKARSHAGTA L199_005449 MYSLAKLPRGQSALKTAVRRSVSSKASSAGGVSVIGFENKGPAA TSSLTVAIKAGSRFESTPGVAHVLKNFAFKATANGSSLKTARETELYGGVLSSALSRE HIFLTAEFLRGDEEHFLSVLTSVLSSSHFYGHELKELVLPVVQSEAIAAQSSPTTLAL DAAHKLAFRKGLGNSLYASPHYPVTIDDVKSYAQGAFSKSNVAVIGSGISTESLSQVV SSAFGSGSSQPGSSGLNTSKTSYYGGEARIPLDIHAPPTALPTLVIAYGTTSPATPEL KVLKQLLGGESSLKWTPGTSPLAQAADKVPGGSAKAFLLPYSDAALFGVVVSAPTSPQ LAGLAKEVAQIIKSAGSAAKDEEIKRAVAKATFADATASETLEGLIANVGPALFAGGE VKSESFSGVSASSVSKAASELLKAKPTVVAVGNVNVLPYA L199_005450 MSTRTRTTASTRVQNPTRTQIQPGTPTDDSGDVKCTGHHMSCPK LRAGPTTKNAGRAFYCCPLPRDDPGRCKFFKWHDELFPLHSSAGPSTPSGSTRASALV QRTNQTLGQSPAARYGRPVGSNDSTHTVRNSSSRQQEAVLISDDEDEDEMEEIDWDKV DAEEIEREAIASTPGSSQLVASEQTPSSTTPSKGISFNDRLKNAVDEGLGKRRRDEED SQEVDRTPKRAANDPNPFLSSPTTPRSPPHSILSPTLSSLEQLSEHLHRQDRLLRAAE QMKKGMRSTIKSLQERNKELEDRVKELENRLG L199_005451 MSFQGSSSPIIRSYDDHYGSHSNTRDDDDENEIESRHSGQNTDF SEAAYFVEAVRTYNNTSWVYRLPADQEEVVRQDRLHYILLGILPGLYRGPVEQILNDR SRRKRILDIGCGTGLWIQEMAELFHHVDYITPFQHDSQYRNCTFMQVSAPSGLRTFGD ESFDVVHMRQMVHATNDYPSIVREAHRLLRPGGILLVHEPQLQLHSAWEGFGLKDLAP CLAQMISHLEAACHYRGIDTELFSRMDQVLAEAGFDPDGIDVFYHYRQACPDDPQSEV GMNETTHAISFLYAARLMILEAGAVDEDEFDRLMPGVLDEVSGRSGGLAGPLGAQGLL SPWGYWWVIKEE L199_005452 MSIPPNKRLRNSNDPSILPSHPYALQDFLAHHQAYLNSIEDQRR KAPPKDFDHLSKSCWKELNITHRRLRPSQQCGITGEVAGVIQSAVREITKGCRDSPRL ETKLSALEALRKISKSIILCDEREIRKGVMNDDWTPNSLAHAMVEILDGMDEEEKDRL GPNGIRWLVEEYNKYAIDCFDQVLEILDGDEEEDEEV L199_005453 MPGIPVFVAILVGLVSSFVQSLGLTIQRKSHLIDSSLPLPQRCK PLKRPLWLLGFGIYITSNIFSTIFQLDSLPIVILAPLGAISLIYNAILARVMLGDKFG KVWIIGTALIALGAVSIAIFGVVNEQHHSLDEVLLLFRRGPFVVFFTIMSLMTAAVIV VSHIASFHIHRQLSRIRLPEDSADTGSSTPTSLVPSNYASPHNNSQAIPFRSRPATKP DGHNLRRWSSPTSPVYNSVPSPLPSDPNTAKALPKHHLHLEIPDPPSHPYGTNQPNEK QQRTLTLCGLGFASASGTLSGMCLVLAKAAVELLVLSINYFRTGQGKNEFLRIQTWFL VLGLGVCAILQLVYLNYSLTFASPAIICPLAFCFFNLSSIFDGLVFYDQFSRLSTLQI TFVSLGVAILLLGVWVVSAIQPDSGVDIGTWVEEESDTESILDEVGVEQNPFSQNESP TQAQLNQVNPLSPTLRGDQNPMTRSYVQTSDEQGTPEALHRSVFSNPEHPSTPTSPMS PTTRRRHHHRMRYGSLIPDALPTGAPTGFSIGLAASSPGFALRSGSFSIDGNFHPHHG HGHGHSPLIGSGQGEGPRDLWGRNAHVRSRSEGQRGMTAIILGQDEGGYEARTEDDAN VGAQEQEIIGENRVEGELREWTERDEDGNVKRKAWWEKLFGRELRGSASGNEQGKVRL GGDEHERI L199_005454 MSPVATNTPNAPAQPVGGKAKFSTQQIINLEHEYSAHNYHPLPV CFERGEGAHVWDPEGNEYLDFLAAYSAVNQGHCHPDILQTLITQASKLTLSSRAFYSS NLGPFAEKITKMFGFDMVLPMNTGAEAVETAIKLARKWGYEKKGIKEGKAKVLSVEGN FHGRTIGIISMSTDPESRNGFGPFLDNVGPQWDTGLIRYNHPEDLERTLEKYGDEVAA FLVEPIQGEAGIYVPDDGYLAKIHEICKKYNVLLICDEIQTGLARTGKMLCYEWDNIK PDMVILGKALSGGMYPVSCVMASKEIMLCIKPGEHGSTYGGNPLGCAVAMTALDVLVN ENLVERSQKLGEIFRSELAKLNSPFIKIIRGRGLFNGVVIDEKASKKGRTAWQLCLLM KSKGLLAKPTHVNIIRFAPPLVISEEDVYKATRIIAESLEEFDVIEKIPGDEGEEHDT KIELED L199_005455 MSRPTPRPTYAPDPNGWIDTIPSSSSSSRRPTQRPLLSFNHGIA TPLSSGYKSAKPGPGPSASANIRKRLYDQTPLGQVKDRQGTLNRYLNTPSTMSDKERK LPLSDKDAPVQSHNSVRSGLIFGSNQRNQTDQGQGRGVQGSKLKVRDDFWHSDDAEEE DLQKKATLMMASQIRGKSQRKVLEKENQVRLPPISQQRSLKKDEVASAKTHKKTDDRP EISSSSRRRTSEIVRNVIEPSLPPPAQSTRSHDKSLRSQERGMTGTPAQSTRSHHHRS PSPTPPRKSPSLPELTPSLSPEPETEPTQPRAPSSSPGPFDPLPPTERTPLISKISSP PPPPITPIPDWVMNNHRQVNGRNPSQFAAIPSPWRRRDEHPHPPDDEPPQASDGKMKK RKREISKEEEVNRRVLLAAHEKRHKTPSPGKKEKKLVDLSSSDPPGSEPMLENEEKKA PSETPSPSNKRSKASGREGRKALTPIKVNALRGTISSSNIGRKPSQQSPFTPRSRPRK GLPIPVSPLPRDSIMQMQRDQYTERERSLSPSPIKATSKVFPRLSGKSPSSATDLTNR IDEVEEREQLHARLNKAENVGRGSEPPHTPRKPSPKRYHRQITSSRARSIRPRLTERQ ETLFVLPDPPAQPRFPTPRRGGKADDGPREWKAAEMEPETLLYWGLDHESVDTKDGIE AQDNALPEEEVAQEQDERDRVQSQSPTPEPPLFSPGLEDHSPEHGKDRRPSFGHRWSS KSIEPTALSQDFPRLLASSPTPSNKEDKSPSRSSPSLAGRRHDGTDNATREDPQSDAM KPKPASSSQWDHLNRGILAAGTSQPPKASQKQNKKRKSRSTADEKEQQSKLASFGFFN DRPNKRMNRDFEKKWEDEEDLDIDIPEDDQVIEAGQEEEKGKGKKLDKVPSPPLHPSL RPAGIRELQRREGEKSRSGFRGSPDQGITPNRKNTTTTAAAAAITLSSPEPPLFDVRT SSLTSGTGSSQTSWSKTPGSTREWWDKLGNRRTSEFGTME L199_005456 MASVLRSFKAISPALRRPIVAQQPLRAFSVSVNRSAGHGPPQLL GPGAKAGEVPTDEAQSTGIERFELLGKLEGVDVFDMKPLEITRLGTVDEPIPVYSLYP ERQVGCTGYPADSHDTIWLNVNTELKNHRCPECGSVYTLNFQGDEALLHGGHHH L199_005457 MLNLIRPTLMGTALSLPTASSRIAVRSFSGSMVALKKKVIDPTL PVPPKNPPSAYTLFFKQYVLDPSNHVRNSDGKLDMKQVATAAGQAWTNLPSSSKSPYD TEASSLRKEYESAYRKFWDSTTSETRREIESVTGKKLKVPGGKKAYQKSVSERSGNPG KPLTPYLAFTKELRDQSKLDIPSDLTPREAFLYASKEAGRLWKELGEEAQQTYKDTYA AAKAKWEEWKVTQKDL L199_005458 MTTQAKAGSGSSSRMAHTYSHNAASPLERSASRISNALSRIQST EAYPPQDRDLGGTSNDESIIIDDQEGEKEKDITASSDDSPSKDKGKAKALIIDIEHVP VEDDPRDWSNGKKWFALLVVTAGLLGPVMAASIYNPVINELTEQLNASEAETGLSLSM YILFQGWTPVVWAMISEPVYLTSFAIYIVALIVASRANSMPLLIVMRALQATGSGAVT ALGAGSLADMYEMHERGTKMGLYYGLPMLGPGVAPLLGGALGQAFGWRSVFYFLAAYA GVMMVAFVFFPDSWRRERSRVYQKALSNATKRAEAQMVKKQLRIEKKQRKARLAKPSD QLEDIPDVHTPVESRRPSQEDIMLPTQPVEVDVEKQPGFTRQGEIKVKAKRTWYGKKI LKEQNETVKLSFRDLNPLPTMISVVRKPANSVLLTASGILFAAQYTIVYTASITLGDA PYNYNSLIIGLVLLAFGIGNIMASILGGRYSDMVLRRLKKKSGGVMVAEMRLKATFVA MPFLVLGVLAYAWTAEEKVHIAGIVVCLVISGFALLWIYSSTLAYLVDANPGISSSAV SLNSLFRGVMACIMSQIAVPIRNGIGDGGLYTLFAGLLALSCAGLILLAYKGEKWRSP DHKSSPKKDVEKS L199_005459 MPPSSPTPYRYSTSLIPSSPLSSTPNPFRRRQRLRSSSGDTIIK GLSNWRRQAKSTSQSTSTAATRNQTRFTHNNENDYELTSSKKRKSKGSGLGMGMRGTP AKIVKRSSKASIGPTSTPIADTKKRWEGEDAALDISTRSSTTSSHLLTAEEITSPSIS AFGTSPILPLMQRRGNTPSALPGYTPVDDSLFSPYHRVRSRRDDTLLPAQADLVIPLN DLTSTSSRSTSNVDETTPKAGSRASLSAEVTRSTTQGDERTLEDDNDDGRWEFTIPLN DLSQFKKRRLPRSSSGSSKLKERRSTPRKIPGMDKTPSISDTSPSSDSLVRRRHEATV NIAEERHSLDISIETVRGSEWDVEAPMVVPQSQSNNDWDVEYPLGVDAEDLPQDQSHA IEMAAAPPASPRQTSPAPSFSDGEDDFADTAAEFPFTPTKAKVHVDKSTSTGSTSTGS DSDNDSYGFEWMEDIVREKIPQPYDLSSGANTPGPAPSPSPISFSTITFTPPINRTPT PEVEERQSAGTRTIKGIWKGAQVMQRIENGEIQQIAQEDTGEETDDEWSDETRPKRRK SKAREKSDFPDSTDEAIQTRQDRIAHYIDLAENYELHVEYVLW L199_005460 MGADGGSIPDRRDLVRTKAQAGQTDKSLLRELYILCALSKKPLS KPVVLDPLGKLYNKDAILEYFLDKSKYGDGEQICGHLKGIKDLITLNLTPNPDYSPPT ATAVSQYTKTPFICPLSLREMSGVIPFIAIRSCGCVFSEAALRGIIPNLTKGITTSTP AQELTPEQAKPVVPVDGQKEVTCPNCGKGFDPSLPTAIIPINPPKNVEDLLLENLLLA RASAKSSKKRKNTEKSTNGVTEVSEPLKKVSKVSSTTNGSASPIPRVNSPAISGNARS VQDKLAEQEKKRLKAQENMSEAVKSMFKPKDNGGKKSGADDFFGRTFTRVSCLSLHCF RIKR L199_005461 MSQFPNNFNQQAFFGQARPNMNANGNATGSPNPNMNTPSPMMGS IRPTALQHFSSPTASPSPNRPHQFNPSQLASLTDFHQRQQALLAVQQAQAQARQQVQA SGQMQNLGQAQPRPNLQAMQQALQQRLQAQQQNALQQFLQSSNQNQAITPSQLQQNGV QSQNPPLPLGHQTVTPSTIAPQLPAPPRPINQNPAFNPALLNAAKVAQNTVSNSSLNL SVNPASISSPQISKPVVPLTQTFTPPPEGIPRPPSPFKSDTKATTEKRDEGDNQDGKP VEKEKKKKAPKKKKDKKEDDQIKTDEGKDKDKTDSNAATPTEKPVKPKKPRTEEEKAK RAEARRRKVAADKEKAAAAAAASSAQTGDGDAAVFSATSTSANKESSIANEVKAVQKD DGAKEREKDKEGQKAVDSRAAEPKTISSVPAAAPETRSRRQEGMRGSMRNEIARLMYG AGDVPEPDIDTVDYMEDMVVEFLADLCRPIPPLRPTGTSASQPLPVPLSFDIIRHRLT NPIYSKYLERFDHMVYMSEVLKQHRRIANPNLNDLVEIVGNDYLGLDDDQPNVVSNTR SNQGGEGDERGKKRGRPSTSANPRRPLKDKSEKRKPGPQKGWKLNRVLDPNAPPSSQS RKLSGQGQKRKYQRKPGPAPGGGLKREGSMNI L199_005462 MAIVEEIPSSPDPISNQSSSTRQRKNKSKSKTNKEVPSLAIPLR TPSSSSSSTSTKPLIGVDLPENSSIYTLNPGETISTDHLSNNTTEEQEEEEEEGDDEI FNTLILAVPFTFLYLLLDILVHLQYNHRPDKSDLMKGCIVALPTNRHASHWITNSFLI SASLISGCRLIWLVNKASWSVVTAEAPPMGTLWILTIVQLPLSRAVLALGLVGGWIWW KGMKLMP L199_005463 MTDDPFHPHESTPGHSPDIEMASPTDHPSGSPYHPSTPPHQASS ALYGGQSPEIYTSPQPSGGVPAGSSSAPLQATPPNLGKRNFSALPPLTPRESEERERP STGLEAGLGLGLELGNPSSSASSRNNPDLSTSIDRPDRQSEEVASHLLNQQIHLAHPE VVEDERGSYAAPTTPNPTPARGSEQSEAMDVDQGPGQPPAELVEAMTRTKRLQRRIPT DPLPVNFKPEVVPSNLDLTPDEWKKVRFESIKADFRKTLLPKWREPSGTHRVMAPSGD LAWVDPFASPTLPRALIPELRIPPDFGIDDFNAARIGLNFMEMLADDLKSGEMTPLDL DWPVRRHVFGCIMMQERGEARTLFPTSLDRTILPARQFEGVDLDETAAYTFRRPLQWA RPQLHVTFELLAAQSSFKDTMRLYGFECGRVFHCDPTLSLEPHIISLITTQPHTTEFE NLYITVSEMISAGGNSDVYRGTLHTHAHGEQKTDIDAEDRDVVIKVICPKAFEDDPRW AAKKATTREAKQSMINEITMYNNHAAALQSEVILRYYGLWEWKDQLRKSDGGDSGDMT EKSYRVFIEVLEDVGISMNQRFGWPENTSPDSIRIEHKMEILAAYAQLHKMKVHHGYI GPEVIRFNPRIGYPSIDSGIRLIDFTHSLVVRYSSHCKDELDHLQLLYKITHEELMEF FQQMRENFESFTYMDGLGQPESDEDLDMT L199_005464 MPPTTSISAKSQSKTDLSRAPSGSIQLGVNLLQALLFDRKWYWL FVSLLLIGEALLGVLIIWKIPYTKIDWPAYMQQVEMFLDGERDYSNIEGETGPLVYPA LHLYIYTLFHRFLPSIESIRPAQYIFLGFYLTTLLLVSTVYYLSGSNNGNTRNKHYPQ ILLIPLCLSKRLHSIFLLRLFNDPIAMMIFYGSVLAMMKGGKPGWRIGGVLYSLALGV KMNILLFLPGLLVLLFQYRGVCGTIESVMTIVIIQVLLPSPYFLSSTYLSKAYFTSAF DFSRQFLYEWTVNWRFIDEKMFLSRERATLLLAGHLGVVILFAAFKWSPIPGGTLTVL KNGLNRWSRPAIGTGQLPSYHIPLTLFTSNLIGILFARSLHYQFQSWYFHQLPFLIYS GGAWNSLSLGIAIWLMIEYAWEITPATPLSSGLLVLGHVLILGGLFYKGSGVSNQGKA EKKSQ L199_005465 MSLPPPGGGASASSYGIPGRNASNTISRGSASYGNIGLGYSNSS NEYDPTLGGLVDEPGKIDNGGTGTVTNNGGYAYSTTLRRQASVTDGFPPFHHSPRIPT TSLRRDSSSHVHAASPYRSQHNFPLTNGGLDYREPIDQEEEGFIGRLVGVGKRIMGKK DYEQLKLEEEDRRIQTERRQRETPSAIFAHKTIDETIQQLSTHPTQGLPSSSLSALLA RYGPNEFELPPADPLFLKFAKQVYENPLILLLLGSSVVSALMGNYDDAACVVVAVGIV LTVGFVQEQRSEKSLEALNKLVPHYCHLIRNGHPLTPLANALVPGDLVTFSVGDRIPA DIRLITAVSLEIDESALTGETRPARKNTDICERGEGEDTHGEGGGKALGERHCMAFMG TLVRSGHGSGIVVGTGKDTEFGVIFSMMQDVEEKRTPLQLDMDDLAKRLSLFSFGVIG VIFLIGVLQNRDWLEMFTIGVSLAVAAIPEGLPIVTTVTLALGVLRMSKRKAIVKKLP SVEALGSVSVICSDKTGTLTKNEMTVTHMYAVDDLVDLSPLLNVTSPFGPKRPDQPEL ARSQALKKTALVGSICNNAFKNEQGINVGQATEVALLNVLPVIGVDDQRRNFTRKSEI PFSSETKIMSVTGSLNGGSDMIYLKGAVEQVLSKCRYFYVTDSSTPSLDSSTQKTILD RANEVSARGLRVIAMAYGFPKGEGNDLIFVGFQAMMDPPRKGVSHAVSALHNAGVQVV MITGDAEPTALAIAKQLGLKVNPSSTSGSPINPLAGASSCMLGTQVDQLTERELIERV PSISVYARTTPRHKMAIVKAWQMRGAVVAMTGDGVNDSPALKMADIGISMGKSGTDVA KEAADVILVDDDFSSILPAVEEGKSIFYNIQNFLSFQLSTAVAALSLITLSTFFKLAN PLNAMQILFINILMDGPPAQALGVDPVDKEIMRRPPRKKGDHVLSKRLIGRVAFSATM IVLGTLYIYLREISDGSMSKRDQTMTFTGFVFLDLVSALQNRGLTCTIFKNKMLFLTI SISFFVQLLLIYLPILQHIFQTEALSLRDLFTLLGLGVTSASLHEVRRWYERKGVERE LIAEGGGGRLV L199_005466 MPQSAEDEFSDIVKISPPSSSASLMSYDSVSAGESNNNNNNNNS EIKSDFMSNTKDETDVKPIIPVSPSKHTNDRRPPHVTFEPVPITPSKKEHRQPISLEE EEGKHGVVEDTVRRVRQQSDKLQSIAQPYADKTRYFAESKPVLFTFIALWIGFSAIPI LIFLGFALASTVFILSAALIFSAVVILGAILMAATTLIGTILFGATILTPILFLTTFL SSCTLFTLLCLFLVHRLYLHIQLSTSESSEGYSMSAIGSGIKSWMDETIQRIILSLPF TRKPSDSDESLWLGRNSKLGKVNVGRKWSPVEFANHQPTSKHSDAQGDHNVTDGDARV TTNRDKNQVDTLHDNESIISEAASSGSSSSSLDTPSTGSGSTFKEIKDVPAFDRHLTK EDRKAVVGLVN L199_005467 MNQTPQIASDLLSDPPPELQRILDDPRTTDEARQAVKEVSVVSP PPTHNGLVATQKEKEQTSDGVNNAGEGRLQIVNEHQEFTKELSPYLSQWGLLDKGFAY DVVSVFGSQSTGKSTLLNRLFGTSFDVMDESRRQQTTKGIWMCPSVYGNTLVMDVEGT DGRERGEDQDFERKSALFSLASTEVLIVNLWEHQIGLYNGANMGLLKTVFEVNLGLFG GGGDSSKPKPQEKTMILFVIRDHVGSTPVSNLTATLTQDMEKIWASLSKPQHLAEATL SSYFDLSFATLPHKVLMPEKFEEEVLELRKRFTDRSRSDYVFQPSYHKRIPADGVGFY MEGIWQQVLTNKDLDLPTQQELLAQFRCDEISASATETFLASFKVVRKPIEAGQVVEG LDVLMKDWLDTALGKFDRDASRYHAGVYQRKRLDLLSSLHSNLSSLFLGQLKNLHKIE LNNFTKNLSKGTKEVNYDFAKIVTQAQQQAKQNFLTSAKEVVVDGTDWEYTSELELLE DDLKNIADRYRKDETKKMVNAIERNVKRQLLEPVEVALSQPSPKMWDTVLTTYKEVSE DAEGSYLSKAKSYNCSEEENEAALASLRARTWLSLRRKLEEQTSDATILATLRTTFEE RFRYDEAGVPRVWKPEDDIESAFTKAKEETLKLLPIFSQITPTTSSLLPALPSPEVTF DIESDPIPFDPSTAFVLLSPTKLLSLETRFKREADAAYVEAKRSMVSSVAQVPLWMYG ILVVLGWNEAMAVLFNPLYFAMLLVLGASAYIILQLGLAGPLLQVTRTILNEIKRIAT DKLREAFKEVPEAQRMLNTPYLANANNTSADGLRSEEREKGDLLKEKFVEK L199_005468 MSASSSSSTNPYAGLISQNITTVPSFTLESGVRLTDVPVAYKTW GKLNENGDNCLVICHALTGSADVEDWWGPLLGPDRAFDPTRFFIFCANVIGSPYGTIS SVTTNPETGKPFGPEMPGSSVKDDVRLHYIILKSLGVQSVAAVIGGSMGGMTCLEWPL NSPPGFVKAIVPLATSARHSAWCISWGEAQRQSIYSDPDYKDGYYFEQDNSTVDLTKQ PTRGLAAARMAALLTYRSRDSFESRFGRRSGNNATNKSKVPKGGVRIMGGKKTTDPSS PSDSDVKLHNEETPREIAWREHNDGHRSSSNLGSRRNSDSGKSSTSTSNGMQTPELEK SLVLGENDKIGQGVALGSAAALSAQQQDGKVGGNGTGAGGDKQPKIFSAQSYLRYQGD KFTGRFDANCYIHITRKLDTHDLSQPSTDSSLGSLSSKLPPHSSEGEPPSDIELEKLL SDALSLEPPALVIGIESDGLFTTSEQKEIAAYIPDAELVLIPSPDGHDGFLLEFEAIN GWIDGFLKRKMPNFFQDRVIPLEEYGKAKNEDGFGVKKESVFGEAEADVTRW L199_005469 MPTRPPAQPLPSSSSSSIQRPHYIAPELYQLFANISFHIIPAKL EEDLGRIYGLVDELGGQCVRVEECKFVISAVKGKPRLLRVLGEMYEKVPILTPEYIYD AYTSALEYAAQGDTALRPPKLPDRSRYVISPGPKPIKSITIFPVMMDIDDEEDVKPVS KRRRLSHDVDVKPKLEVDLMDMTLFEEDVRFEDIPSLCVHRGSPLRCINQDIVDAIRP IIEEREFEEAQQKNSNVLSYRRSLSVTVPRRIKSGKEAMKLSGVGDKVAQRIDEYLQT GKIQESTSIVSSSRFNALNLFASVYTIGHHKAKDLYDKYNCRNLEDVKNHFEAIEEDS PEVRLKDKLRRRKRGGMKQVEIVEEWIRLKEELDQKIPRKEVQEIAECVMEHLEAYIP GCEYTICGGYRRGKTESNDVDIVFRPPGVNLDIGLLRDLYLRLSELGIITHVLHVTHR DPNTPIHASSTNFDNLDKAFVIFKLPGPGRLHRRVDLISAPFDRYASAILSWSGSMMF ERDLKRYSENVRGYKFRAGLLHVTTGQEVNLETERDIFNFLGLRYVPPELRNAD L199_005470 MSQSKTDVVIVGCGIYGMSTALWMLQSGKYNVTMLDKCGILPAP DAASTDLNKIVRTADYADASYAELGLDAVENFWRKPEWEGTYHESGVMALATETEKEG LEFVSSAYQNCKNLGINVTLLNNSKDIKSKFDPKIRTGDFGGRQGYLNPIGGWAEADR ALEVGLKRVRQLGGHVRSGAEVTGLIKDGRKVNGVTLKSGEDVRGDLVVIAAGAWTPA LCALPGVSARLPDVVATGQSVAVIQLTPEEAKQYASVPVVFNLDDGWYIFPPNPEGLV KMAIHGAGVLNPQSNGVSIPRTKLTAGAEDGAIPLSSLKGLRDGLRQVYPELAKKDYL TTRLCWYCDTVTGDWLIDYHPDFDNLVIASGDSGHGFKFTPNIGREILKVIEKNPSPQ YAEKWSFGYTAKVIKEEGSKAAVMDVSKEEAEIAKAGADVRAGQRKILKESDLVKPHD LKAKNSKL L199_005471 MKEPERHTEGWSRETPPHLSTPKIVSPAKVQTKSGGSSNAPPIP MRQHAYNTPRFYSPKYQNIRYHQPNHSNQGQVQIPRFIPQAHSNIQSPRPRKLPEFFK ESLLIVDDDPNPPKGITADGSWKSRPVRIQDPSLKSVNRSRPQAIPYEAQHSPSSLPK NPHSNTGLRMLPPRPHYIQPGNSSYNSSSSPPYYSATGPPQAQSPRNIPNFNTPSETR HFISSSNANAGPSMNFNLERPLFSRSYSSQPATSGDTAGSALQTGYNNLDYLQYLQLS RLAIEDQIVFRVHSQSSVSPLLWSGDMKTSGFSATSSVFQRLTPRSYEALFRSYQPDE RKDNEGWLTRPLMREVMIDHILCRPSKKFITVRLDSISDISKMAKESGREGEREDYWI STTRSVDWAIFEIARRLSMYLVSGAREGDKEVRLTVIDKHSNLSYDNGNVVKDKIRER TVDPYFCLRSHENNILSPSKLEASIHARQKTKESYELLYWGRIFGENIQQDLVFNTEY LPFKLPSKFWKPPSAISPSLPGWLGRLRWNPIKDHWHSAVRCLRNKIYPTTKWDKQTV HDLGSTWPPATSPDATRHRSQKDAIGAKSDPMLKVVSDTEADTDQFGSSRSGEISLSG SRNKGSKEAVVDFMA L199_005472 MRSSTLFTLLSLVVSVRGSVIAPRQDSNGSGNDTSISPSDTETG QTTSARSTGTSQSATSTYISSVASLRSSVFLSSTSTASKSGSKTSQNRASSTSAAAAA SSGSTSDSDTSSTSVNVGSGTTDLGWIPTSAIPSPPPLATTNKNVINATKTTSTGGTP TPIAFDDYSDETLEKFWDDYVGPIQEPPFRTTPLPPQPYPLPEDPPTLFPDYLFTCPE KVLTQYQFPEGFLFGWATAAQQWEGAVKDGGKGPTIWDWAARFPGFIADNTTSDVGDL GYYLYKQDIARLAALGGNVYSFSLFWTRIYPFGSKDSPINEEGVQFYRDLINYCWEQG VEPVVTLFHWDTPLALQLKYGGFANEEIIDDYVNYAETVFRQYNGTVHKWITFNEPVV FCSQGQYPINQTFSDGLNTTVYPYTCSYHLTLAHAKTVQRFRELDIQGQIAFKSDNFV GVPWRDGNEDDIRAVERHGAYQIGIFAEPIYNTGDWPELIKDDLPSEILPRFTDEQKA LIKGTADFFAIDGYRVGYVTAPPAGFDACIANISDPLWPACNQVNFYVPTPYEWGFAD FADQRVTWLQNTWQYLRSFLKGLTEQYPTSGGIYLSEFGFAEPVEDEQSYKYRVTTDT KRELYFLSYLGEVLKAIHEDGTDVRGTFAWSLVDK L199_005473 MSRPQSQSGYDVAPPTTTQIPLDTPPSSIRSTNSSTTMERPAIP HSSSVPFPAPSHSITAADIMAVPPSPASKPVPPKPHRSASNPFKHKSKSTPFPSSSVP TSGPATSTHLPPTPESETNDIPQRPRYHSTLSRSQSTGFAGKLQSLRKKIENELSRKR PGSGAPSAQSSGRRSTNRKLQKGTVAGLRPSPALTVPEGMSVADASQLCAAKRADCVL VVDDEEGLSGIFTAKDLAFRVTAEGLDPRLTTVAQIMTKNPMVTRDTTSATEALQLMV SKGFRHLPVCNEDGDVVGLLDITKVFHEALAKVERGSSATSQLHAALAGVQSELGPGL STNPQAAAMMAYVDALKERMALPDLTTVIDARTTPATVTPRTSVREAAKLMKERRTTA VCVMETNPGTSAISGVSGGNNIPKIAGIFTSKDIVLRVIAAGLDASRCSVVRVMTPHP DTAPPTMVVQDALKKMHNGHYLNLPVVETDGRLIGIVDVLKLTYATLEQIESMSEDRS NETGPMWGKFFEALPGAGGDDDSISVVSASDRPDTPSRPSHGRGLSSMTSPISEVMPN DSASAVDDNASEFEKRGAASSVAPNPVPVDDGTYVFKFRTPSGRTHRFQARHDSYELL RDIVAGKLLTDPFFTAEGAKEGQEVHLPDPSNFTLHYTDDEGDLVTMTADGDVADAVR IARGQKSDRVVLLVDGGKVWEEFARDLGGEKAVEELKEVEKDIKAVEESEKKMEAPSA DPTSEPTYGQEGVVHSKSAPAPIPTKVTAPGSGELIAGVLPKELALPAAIGFLGVVIL GVFVMGKSK L199_005474 MSLLPPEDEGDGVEVAWEDQQRINTFSKLNNRLTDIQDLLKQKN EEKEYYDDLSMELELADSDSEPILYKLGDSFFHLSLRDARKQLKSDLKRYESEIEGLE SKKNDCESGMKDLKVQLYAKFGKQINLETGP L199_005475 MQVIRPVIRNLPTREIARKINATRLGPAVGCSYWRYRTFATRPQ HDEQKEEIDDGDLFGDSGDGTSHSVRNVDQEDPNPDLVDFEESITGPSYQVKDTSSSK DQLLHRPKLPTSIKRLNRILSRQRAVEIPEHELDEKFVRGRGPGGQAINKTNSSVSLT HIPTGIRIQAQPTRSREENRKVARKILGERLEVLRTTGQLPGYDIAGVVVDIPQDEGL MRSKKETKKFEEKVLSGAYTKKEVKEEKLRIRKLNKKKKAKRKYGKKGEGEVEGEEES DLGIDGEGGVVDVANDSTRV L199_005476 MADPTAFYEPTEDELLSSLAVPVQSYNPESDADEYRRLQELEQH AYAQQQLMVAEQEQEQLQALEQVPEDVKRFLVLFHQAILENDLPTITNMYESGWNKLT QAHYSQNEWPEAELISPLVGNDQVFLTLYRELYFRHVYAKLQPTIDDRFQSYENICEL FNYLLNSDGPVPLDLPIQWLWDMLDEFVYQFSNFSTWRANPKNKNEEELEALAEAQNI WSSYSVLNVLYSLVQKSQINEQLRAEKQGKTPEEVQELAGEYGSKPLYRNLGYFSLIC LLRVHVLLGDPTLALQTMENVDLSGGAFLTRITACHVTTYYHVGCAYMALGRWPDAIK TFISVLIFFIRMKQYHTRSYQYGSITKQCERMYALLAICTTLSPGPSDESIMSIVKEH YADQLSVLQRGGDEAFETFKDLFLSASPKYLNVNPPPYEDPSALESYLANPPIDATQR HLELFLSDVVAVRGVSNIRNLLKLYTSIDASKLVTFSEGETEQEEILQQLMVLKAASR TYAKGQQQDTLLDGERIVTNNLDFTIDGSMVHVEETTSHRRYAGFFIRNAEHAQRVFN TIKSSPLPIQRKPTTSVTQTTTENKNEPKKAGAWQPKRARVAAQ L199_005477 MHLLGHNLPDHKPLKIALLTFYGLSHPLASRLLARLSIHSEALV SDLTEPQLTSLSAYLSSPSTTAKVRETQSNITLSPPGGKPLPIPGNKSIVATAQNNKG KAKERDDPLDELRLETEARRGMQADIAHLRMVGTYRGKRHAAGYPVRGQRTQTNASTA KKHNRVERRGFATYSIRPSFGITEIPHPPSRILNEIAVSRFV L199_005478 MSLTRAPSQLPSAFRLVRQILSESTAAQGLTTKELVKEALKIYQ SENPNHDATPLQASSSTVAESSTKGQGKGKGKGSNVLSVGKKEKGVNVIPEGHPFVST SYLKSQILARLSSQSLLIKTPSHPSSSSSGSSGKPTFVWRLNNPKQSNLSTPSWDYPS HWDSLISGEKTPGETYYEYKQNQLERREEEKQRALDSGKVLRTERQIWEWDGRKDGLT TNMERLHLNKRRREKRPQKERRNLLAYEGLGGNGKEESSEKQVL L199_005479 MEGLMYRGSSSSRASTSQAGPSSRPSKPSSKRPREENDSVKQED DDKPPLPEMDEHFVTFRTDVVGVQYYRGLVGRGEYVMLRRQPENQYDPNAVQVINASG TQVGHIPRTVAARLAELMDMSAITVEGRMVGQNLDGAKHYKMGMDLSIYAKPSLKEVL QTELSWALHETAVQKPPPTTFTSVSGFKGHGNSGVGLPVGDEPAMRKLLKGLKQVGED QKQADNVMDSLTSDIDVSKLPLHPNPPGIANGQLNTDILPHQSQALKWMIERENPTLP KSPGDKQVQFWVKQKGDHKDYFLNVATKTPQYDEPTLGKGGIIADGMGLGKTLAMLSL VLATKKDKGPTLIVCPLSVLSNWEKQIGDHVAHSQMTHYTYHGASKGVTAKTLDQYDV IITTYNAVAAEAAIEPPKNGSPSKGKKAKTSATGAGPLFKVKWKRIVADEGHVLRNPK AKMTQGFAALQAERRWICTGTPIVNSPSDLGSLLTCLKVCKPLDQPDYFKTLLLRPLK RGDPTAARLLQALVGEVLLRRTKDSRDSNGQKLIELPGIEYFRVGVKLDEDTRKVYDE ILEGSRQRFQEAMETGQGTANVLSMLTRMRQLCLSAQLVPQSFLDDLRRPVPKAPTGP AISISSLTPEKKEELIAKLRQYVEEDTECGVCYDEAEFVKRPCITDCGHAFCYPCIER VLLSAPLCPMDRHPIGLPSILELPPDDTPDYVDPLESSQADKSLLPVKSAKIDELVKY LKVFPGDEKTLVFSQFTSFLDQVALRLKEEGMGWTRFDGRMNASKRQQVISGFQRPIT PKNAKSSPRVMLISLKSGAVGLNLTAASNVFLCDPWWQSAIEAQAIDRVHRMGQKKEV RVFQLIAEDTVESAVLDIQKRKDALVAKAFEKSSKESKMTKKEARFEELKELLGVS L199_005480 MPRSPSPRRSRSRSPPPRHHPKKPKELSFYKKSSSSVGSFSHRR DPLDDEPTARERAERRERGEVPQRFGGTREQGVRNTMGNVSGGVQTSMGSLGRKEDPL DRMGVKGDDRRDRDRDRRDDMDDYRRDDRDRDRDRDRRDRHRDDDRRYRDRDREDRRE RDGDRDGRRDREERRQPPSGPSGGPPARPPAAAPSAPSAASMRFIEVIANDRMGRKVR VKCLPTDTVGDLKKLIAAQTGTTAQKIQLKKWYTNFKDHVSLQDYEINDGMSLEMY L199_005481 MASKQHAHLLSLVRSMIPPLSPKLHKGQAGRIGVLGGSGDYSGA PYFSSMGAMRFGADLAHVICEPGAGAVIKTYSPDLIVHGVLDESKSMDQIKEELKGIL ARLHVLIVGPGLGRSEHMQNCAKVAFEIAKENDQMGVVVDADGLWLVQNEPQVVMDWP GVPRIVLTPNIMEFKRLCEKLQIDPNSSPETLCPKLASALGNVTIIQKGSTDIISNGL KIPPSLKAEGSSAQGKDDILESDTEGGLKRVGGQGDILSGSTGVLMAWGSEWVKGSYK HVGHPPPSDKGIAENIPLLAAYGASTFNRTVSKRGFEKKGRSMVTGDLVDLVGPVYEE LFGKPGEEEGKGKL L199_005482 MFIVRRTRISRQSSSVYLPTYLNVPTASFTSSFSSSPSASSSRQ PYTPRPYHLQSDVKGKGRAIEYDHHASQVTPSGIFSNNLRHSTSHSSTLTSLQAHRRY FHATHRRDAIPLLPASIAILKGTSILTAATAFSRIIISFFPIGTLAAFKMAHAGKWLE KDVVEPNVSEEATEFWKMWCEDEKWISLSKEDAEDFLDSDYDEALGGGMIGKKGQIAY PVPFPYSRLGRGGRHRSSSSSNMTSMSPKHMRECARDERKVINWIRKGNFFIPPLHPA SKVSWEELTPSQQGEVEQLRRYWLGLKVFKHWYRISRWFIGIVFGLPFLVLISVYLAG LERVPLTGRWRLILLTPEEEDTISNSLSGSNWYKSVINLLTTAESPAPPIIPPNDWRW NWVQSTLSKLENAILVDCHTLTEDQKVVLRSQLQLQQANQGDLKPIIAIPPPAYHPIK PRPRVSSRLHSVLPGEKSNSGQEHLEIGPPYNLMLMEKNEENAFSYGFGGKRAGGIVV FTGLLDMILRPKSNTSTQSQIQSQVDLQQPISNTSNRGFFSSLFSSPKAAPSDRTPPQ PTEEQTLQLACVLAHEMGHLLLSHHLETLSQQQVLWPSVLGLSMDLVRAFIWPFTWFL GPTVNDALANVGRTSTEELADKYGEIGFQYIHEYEADLAGLRILALAGYDPHQALSYF STSVADLHEIQHIDKSKKDNSWTGSMFKLWTRATHPTPEKRLEAIRDELTRWEKEAEK MKMEEEQGKKGN L199_005483 MSLHRYFVPPPLALAVHPAPPPKPKPTDKEKDKKTENKKEKDAK DNKEIDKPPEEAKEKVNIDEEQKKKERGGPATPATPRSMVPEPATPAPGDGDGDGGVD TPEADDKAVVKDKGRAEKGKDPQPADAKKDTPDNNKVTSTQIKDLTPEPEPEASEESE KPLEPIRLLPPAPFRPIRTKLDLNPLKLYPPIPSDPRGAYHAYAKAVGNEVIYVDVTK DGWLTEQWKERSEREALKRLTGEWQRDLKRELEKQRESNKVRELPRTAEGILLELWNV LVEAYNHEIAVDEFWSKFDWTKESAKVHLSNIQRSIQEDETKDKNENKVDLEDAEVGS EAKNDQPTTEIKHKSDSSDTSVEKGEREEEVEWTKEGVEEILATIGVQCVYNVEKPSR HWSHPAGGYLLLSHNYFLLRTDMHINFKPEEKAGKFEVLVTSGHDRVFGEMVKAQREK EYRERKEREKKEKLVKEKENAKHKDNDDKDVGKKDTKVDDDKHKSEGKDKKDETPVPG TPLSNVKLIDGEVIVPAAVDAVALGDNNDEKVIIAIPVDGKADPKNVRKDEKHEAEAA IVPGPKLAPEEERKGNEKRPLGMDDIAKAFKALESSASKAVDLKDKEKEKEKVKLIWT WSERCEMWRWRNYEVGLHNVGPGGWEERDWKVFADGREVWDFDDDEAIVEVEEKDVHD WSL L199_005484 MADATKYGNAIEFDVDLHSIYAAYLGLFESSNTDWWDKSWGGYF TTFNDFLGFGWEVMVVVDSGTGKPHIAVRREQIALFAKMIRTRFGESLPKDPPTTLPL DPVPTRNLSLRRLITIRDLTSYRKLAQTLPAAELSYLRTRVRSGEVGVAEQLFYAGGS SGERDTGGVGVRDNGVGYTFACVKTTWWEKGGLPYGLVPGVGRTQCGKSAQSGKGLIL EIGVATLRCANLRAVNVWPPIPDENYRKSHYVVEEWVDKRANVNPPNYPRAYAFGNSR FVAEKDVEKILDANVGALASQEADSHPNTLVLLTLGDPPPLPLPASSTLPSNILHLDV FALELNLLRRAQSQGLPGIPDRHHPLTSLGALLQTLQIPIAPFAPLGNAGNEAYYTLL AFQKLMMGETRLPEMLFRQPEPYMNGMPYPSYSSFPSSGSMQFAPPYSPLPMPIMPAA GRSRRDSSTSGRQSEFAPPSFPSSPTGSYSRRASDQPRPRPASMGDALAGTGMASAPG TPGRPSRRESESGTGSASNTVRAERKSMARSQTVFWDESSYAQSPPPDNRQREGNTSS FKEPIRPNMTGVSSGSEGPRGRSTNQNNSNGQLPPSALRSGITPSGSSRSISWEGTTS GSNTNGNGSRASSVHGLPISNSNGNSRQSGLRVSGSSSTNLAKSGGGAKGGSEGTGSS TKLSTDSSGENKSRQQPPQPTRKGSKPPPGGGLSEDDTSNNHKKAKEKEKGSGGGGNG KSKMKSEKSVKDLAGALARFWVG L199_005485 MKALSHYILTLIGLTSIPTLASTNQSSITREITVKNSCKTTIWP GLHTGNETTIPNQITGWRLQPKQGTTFQVPGNWTAGRIWARTGCTIDESGLFVCLTGS CGNGTAIDAACLTTNDPPATLAEFTLSFDKEDNYDISLVDGYNLPLNIYPSDQNCLSP VCEGNVNKYCPPLLRTGLDKNGINLGCMAPCNAGFGDELYGNRACCTGAYGNDSMLCE SCGMDYYDMFKDNCEFSYAYAYDEKSDTALHTCPATSLAGYTVEFCPNNSNFIGELEP DPKYLSSTASCSNIATSWITTFPIRPSPTQVLTSGTLDVVATVATGVDGAAAIRVDIS SSTGTASRTGSSAATSSGQMVSIPTGTRGVTPGLPIVQHMIPSAEISVEGVNANEVAS TTSSGSVVESSRSSGEPESIGEEDSASVQSTTSASYITSFSMTSTIQTEGSSPSDSII TDTASPLGSSSTIPNINAIETSPATSSLQSTLTRSHLVTITTTLPGGSSTVLSYAPTQ GPGGYTSAFTVIDGNTLYQVMNGGSTGAGSRTCKAPRATATATGPVGQWLRAVPKGHT DQKKVSCCL L199_005486 MEGEPIPTPVPIPINSALTSSTSTTSPILLDTLVLVVLRVIYFF LSRRFLLAAVNPRLRNISQPEVLLPSTSATNESRRESRADGSVRRGSIAESDLDTEDE ALLGSMTPTSSYPGSPIHKDSSLPGGGKDYFPRAEPYINPSGPSSPGPSTSILPSIPR EDNIELQQLGLKLKEAGSGVSKKVLQLTHGSKNTTSISSLQQGTKATKKATRGLHRFS RMLFGVCFAEGCNLLTLVIFHAVGILHSRSRRVNFSISLHVILAIILLVVPLVQCLLL TYRSRESSSASTKPSRSTSLSFTSRLLISLIPFTLYIFLFTRIPPYITAIPITPAPVP PTPVLEVDDPSSTASTIDEAIVQWSTSGPEGWEQGGWLAPSLGRVVVLGVLVLGSLSG FGAVRSAWNFFEHAIGAGSRSLTDNDILQAERSLYRVRHDLVTKREEIARVEATSGTS TPARGGWMGRMFGSQNQEAISLQAELSGLKTMEHQVSKSLKAMKLRKKHQDFGQTFRG QLYNLFGYVFATYCAARLIMCLPSLFFAPLTSSQASSDTPQEGKGNTNGDWISFLLAL AISKLPAGSIDIDVPSWSRSISIILTGVLILSSLAQVMRSLNKVLRLTSKTVGAGFLL LSLGQLFATYVISLLVQLRTSLPPAPLEEANLSTYLDPAQTDHSLLSTLPDFRVFGRL FDVTFLFAALGTALYRYIAMKVNGADDVGEIYRL L199_005487 MRRRFVYLLVHSVLAVATQAQVDQNNSAIPTWSDSNGSSSTSPS SHSPTVSHVADTGITRLDLWHDFRRLTDSCSSEDAATQIDQNTATDTVDPSVKTSTTI ATEVTETAEPFISFEDWKKIKQAEDEEREYEEEADMAVSSVTSESSSTAEAAHPPTDV IPGQNTSHDSSRSSEPPMVSSSKSKGKNDQNTSSSSQSQSQQPSASPPPAPHHNRYNY ASPDCSARIHSSSPQTQHASSLLHKSRDRYMLTPCKAKEHWVVVELCDEIRIEAVEVA IWEFFSGVVREVRVSVGGEDDEEFEDDPADDVTGRSVKWKEVGSFVGKNVRGVQTFTL SQPTSFHRFIRLDFPTFYGTEYYCPVSQLKVYGMNQMEAFKWEQKRLSAASKGKNEAK EKEAEERRAKEREEKEKKERDEKEKQQEREKELDALEKLLHEQAGRVVPDILSETAVL SKLEETSVTKSQSTQNPSTSSAQTVSSGINTTITTNTSDGVTSEAKLPANTSDTPLTT QTASPPPSVSSSSSSPSSTYTRSSPPRSDSSESIYAFIIRRLNDLEGNSTLVARYIEE QAKVMRHMLTRVEKGWDDWKGEWEGEDRGRWEQERMRQEDRLGKVISQLEQQRSAFED ERKTLQSQMRGLAEELGYERRRGLAQLFVMFIIIILGVISRSSTINAVLKPLLAEAKR RRSIYGRKSFSGPLTGLRIDMGAGRPPAVIGEGRPKSQSDINDIQQDVDSPTSPTPTP TSGKIRLLGKNNSISKRPGTPNSSSSAVRHRRFPPGVITSFRSVSATESHQLSSTSPV HSGSGGYSNSSFSNLTSPKPRGSLSSVVKQNGNNHGPSRKLARSSHLHMIDSDRRKVK SPTSSGPGRSRVQSPISMDDEGNFETPKKGRSSQVFHNGIILSSSPPPNEVSPFTVNP SSKMPDQPSVRVSTDDGPSDWGTDVETEGSVSEVEYEIEDTNKIKGNTSSDTVRTTDD EEMVRELSDIWNPPLNHTQKTD L199_005488 MAPRIPFFGRRQNRESEVPPYNQQQQQQQYQQQYQQQREDAPPN PGLLAYMPAVDDDRDSPPSGRNTTFPACHLDLQGLGKMGEVGYHTRMSFASFPNLLLV IKLDSFHSLGSRSNFESC L199_005489 MFFKKRGESSRQYWRPQQQQPPPPTSPERQQYAAAIAAQVGNRD EKWDIYYHSFLLHTCLHSQCYWYRNTWWFPEPGRNIHTLSMFSASRYPPIEDIKYYDM HLVNTPELGWLGDYVGYNLNLVTFDMKPI L199_005490 MTSSKQRRGGRWITTLPFILAPLVAAAPLPNDPSTPAITASTSY PAITDMGTTRYTSAPPSTITATPTAIADSFDDSQPDSSWNSYPTAVAAQVDNYSDEPS VVPVIIQPGDTSNDAYALSPTPTASWALPPMFSDMSPFQVSTYAAGKHNMAILQGSPA AASSNNTDTNPEEPWDLSRNAFQILYPSGSINPGNKPQGGAEFYAAPMDITKATNASL EYSVYFPPDFDFVKGGKLPGLYGGHKGCSGGNAAEDCFSTRLMWRAGGQGELYLYAPK DRQTPSLCQTPPKSICDAAYGLSIGRGAWKFALGDWTTVRQDVWLNTPGQNDGGFNIW VNGQLILSANDVRYRENGDSCIQGEDDGISSAMINTIGFGQGGTNDNSTVSASALDED WQVSEEMVNTSTSTTMISTVTVTATMPPISAYYTPYTPSSTITSPASASTATASRSAH VSSAKSVGQQKRDEPSSPVDAPSMTQHAVVITIPITEAVTTVTAAATPTTAFITIPTT NTITSEVQVTITPSASVDTIFDTVYVTQPTTITVASSSFSSFDSDSSPSPSMDLSNES IVIHPEPVVAQQAYEAQSQAQPLQPVILKSPPGQVMKSPEETKNHIQSQTPCGVGFIG LFFSTFFGGHTSDWASPKDQYTYFRDFKMWINN L199_005491 MVASPVKTTNGVPHSGSSTSELSTISSSDKDQDDKQVGINGKGK KRARDSEVSINQSNKKKARQSEGAVSADGKKERGTYCHICRRKCEPGHSLRCSNTKKK GSTNRPCDLSYCDRDLTVRYGISPERINSIRRTTKHSSSSIDGPSGSAIEEGYEWECP CCRDDCQSSNCRKKKGLEPLGNLTKAAKASGDTSISSFPKANGHSTSTSSPHKATSTL HKSPDSDDESMLSDIEAEAPKKKRGRPSKTNGVSPGKKGGNHSPTKSISASPKKNTNL SKVNGTSKRDSVDTSASTDLTDIDSDNAAEPPKKKGRPSKVHGESQSISGTPKIKKSK STSLVSTPKNKTPKGTKKDSESKSKPGPKPKNTPVVEIQKNKNKKQSKESGKSTGKQD AKGQKSTPKKKVKDEKKVKLKPVKKPEVIPDPPVFEKVATKFGREEAEQRIMLREYLF RFRAVLSFPERALFPIDDFDRPLTEASVRLFAGAMVDMIKDELQGSDNEELVDTLFNI REELRYYADLARFQSMYNMVSEPLDLRLPPPIVDERLEANNSALRAILDLGDDQPPPA WATELTAGPSRRTAASRIPPPAEVVRMLIAFAERTLSTPKIRSDMEYFVPENDMRRKH ASAIKKEMNTMESKKKKLNEARLKCKTAADTKAHREEFNKQMKDHSLRLALINVNLEA QLARRALRHEPLGIDLDGRIYYALAPREIDDDVRPPLGWASGLLVWGIGVEAKTGEET DLPVSVERWSHFGKSQDVKLLVQWVEWRFKKHIDSLKPAKSAKSPAKPKTPAKNPLTT PSKNNVNSTKKTPGSKMKQKTLLEVVIPTSAKRVGSAESSLSSLSTAAQALGDADASS TSSGLTPPPTSNKDELLALVNPEGYQPSVETIEENGNKLVSNLRQVHRWLEVLEWKGF GEIA L199_005492 MAAREGLGLARSLVLTIPRIRIRPLPFPHTSASGPSTSIPLRLF STHIRCDRIPRSQSRYSAFPHCSRPTFTQIRYNSSSSSKIDSSRSSSVEQSIEEDQDQ EKDKAPSPIPIHPLAPIAPPSATADITPTTASGGSSKDPKPDASSILKLLSLAKPQWP LLTVGVACLSVSTAVNLSIPWVIGRIIDFFTPGQENTLLLGLPLEQATGALAVVLLIG AAANSGRSIALRLAGQRTVASIRNQTYGKYLSLPPSHIETAGVGDALSRLGQDTSIVG QSLSENLGEGLKAILGAGAGIGAMYLISPTLTFVMLCIIPPIAVGTFFYGRFIRKLSL KTQEAMGGMSKLAEERLSAHRTVTASNTQLSERALYSSKVDGVYKLQKKETFANGIFQ GANEVAGDIGMIGLLIYGGVLVKRGEITVGDMTSLFIYVNWIEWSLNTLAGFFTGLMK GVGASQRIIGLHALPPPIPLGEGETIAKSRSGSIELRGVDFAYPSRPDAKVLNGLNLR IDKGERIALVGGSGSGKSSIQLLLLRFYDPTSGSVVFDGQDIKSFVPESWRSRIGIVP QDPILFGGTIEQNIAYGHLNATREEVKRAARVAHCDFIENLPQGYDTIINKNSLSGGQ RQRIAIARALVGNPSVLLMDEATSALDSESERAVNAALNDLFANSDITVILIAHRLSS IASADRVVLLDGGSVAEDGTYHDLITRRHGKFRKMVEGQLAKIEIGEPTVIDPAPPSE GEGLPPPQAAAISASPASAKSDVQAPAASASSKERASIKASSSPSQRRQNHTSALQRP FFTAQPAPYSPPFKTVYGAANAPIPALPDLPIPHITSPAAPLSAYRPLTPLNLKRLMT VYSQLSKRNLTILMTLTATTGLALSPLPLSIPLLFNLTIGTLLTSAAANTFNQILEIP IDAQTPRTRVRPLCMRKITPFHAFMFGMTCTVLGGIILWYGCNPTTAALGIGNLILYA GIYTPMKRFSVSNTWIGAIVGAITPLMGWTATGGALWPTPEQPLQFNLPPFLGSGEFK FDGSIPNPLTPLCLFLLLFSWQFPHFNSLSHMIRPFYALSGYPMLSVLSPKLNALVSL RHSVLLIPFTMILTPLSGSVDWSFALTAALPNFIFTRDSWKFYKTPTEAIAKKLFFTS LWYLPVVLGLMLVHKNIAGWLVSVNDEEKEEEKQRI L199_005493 MARRNHKERSQPAHRARLGLLEKHKDYVLRARDYKSKQDRIKKL REKAAFKNKDEFYWGMVKSKTRNGIETKDRGNVALNTDLVKILKSQDLGYVRVQIAKD EKKIRDLKTQLQITAPSGSSTSETSSEWDAIAELAEVEKLAQMGIVLKSSDESTAKRK GKGKAVTSGHVIFADGKDEFENYGESSRSNEDERIEEQNDKPIDLGWNEPSTSQKKKN KQKVIEPVEEVDEELIAEEAKSHRIELLTLLSAYLNRLKLLRQAENKLETTKSLMGKG AARKIRDTQWVDDESQPENKNGERKRLEGKMWKWKLERRR L199_005494 MSSSSSLAPNKIHSLPVRVSYYLPSTSQTFTTLFATPQQVYVHP NTAAASTQNGQEEAWGSIYLKTVVSGVLMASPELHPAYPHTPDLSLYVLDPRETYLRR SRAGSSSFNHEVWTGKGLVSWALDEPGQGKNLITGRLIRSVDFATLTKQQEMNPLEAL MMANMAGTSTHEEWGIEISVGLKSGISGFTSSSHPQVSSVMSIDGRPDAMRRSSTSSS TETHVSVNGSHFQRPQHPLPKRHEQPRRPQLPTSVHAHQPLGPSRVISASRSTPSRSG DLKHPKSSGSVSGSAGKKPRRGKSSTTSANTSRNSLGTIDPNSDPLKRRHQPMSQSSS QIKQSPAPSSDAAEPFPTDIPAGLFAKPESLTREQAQRLLASPAFLSMLEKLTGTPID AAAAAKRAREDEDHSSAESSNKKPRLSHGHSRKGSTDSSGEPSHNAFVCWNCGRTKSA VWRTKVMEDGKSVRVCNACGLYWNKMGSMRPPTLWGDVDDDPKDRPRKDKKGPASSAT RQSSQAPTSDPDIPALRIDKPATRSNNEHGFKRTLSSVVEEDAKRIAAHAQLRKSLPK SNLHHTHTTKPLPMSSPPRGSTSATKSLRNPKWNDQIAASSPIRWDNQNKNQVNKSDN FHTDPTESPATTLRKAYAQSATNTQTLDMPLSDDGPGPSEHKPLENNQNQNQNHQQIN WGTDLSAFFDVEGFSMPPQPQPTHGHEIQRSISDQGKQMRKQVLQPSSSTGTEEDDVL SQLFNRTSSVGLSASASSPFDFSALPPSSPPISSSDSLPHSALLLSSPDNSPLNDCSP MESKHSTTPGKSRLRHSVSAHQIQSQHQPMGESVGGLDFEDIQRMLNNIGNGNGNNNN SPHEQQNDGQGNGYDMLHEIFGKLHDTQQHQQQGTSSSVGQTDEIIGGFHAHGHTNGE DIFAMLEGNAFAST L199_005495 MAPPNPTYTAVPNPGTSSPTEPNFPSPDPNNSYQNPNPRDSSIL SPPTPDPDTANLLGRPGSEYMPAPSIMTRDSTYSSLPGTPPLRGDDRKSWGSGVGLAG AAEGISGVEQPRRPGSVRAPSNLAHSSLGWNNSTNDRLSVSDEDDEQGHITPAVAGIG AAEVQTEKPRWAEVKDFDSTPKKKNKKLLLAGACVGVLAIVALAVGLGVGLTRKSTKD GSSSSSEDGKTGDDGNKSDNSGTKTASTTASTPSATATSGTQGSLVTLDDGSTMTYDN PFGGEWHWDESDPFNSSARANSWSPRLNEAWDYAKNRIYGVNLGGWLNTEPFIVPALY ETYHEVNGQTAIDEYTLSQNIGSNLTAVMTEHYETFITEKDFIEIASAGLNWIRLPIP FWAIETWEGEPFLERVSWTYVLKALKWARKYGIRVNLDLHTVPGSQNGWNHSGRQGTP NWLQGVMGVAHAQRSLDYIRTLAQFIAQPEYSDVVQMFGFINEPNGNALGKDPITSFY IEAHNIIRDITGIGAGNGPQISMHDGFLGIKSWYDFAPGADRVMLDQHNYMVFQDQPT GDLDELKVKPCQWWAASTNTTFQTYGPVNTGEWSAAWNDCGQWVNNVNSGSRYDGTFD GYAGKATGSCDYWNDYTQWNQTTKDALSHFVKGSMDAFQDYFFWTWKIGNSTGDIPQP NPFWNYQLGLQQGWIPKDPRTAIGTCEGDGIASNPFSSFSNAAVTGGAGAGMITGSDS SSNPWPPNSLTNIAAGQMQNIYQYTQTGEPITMPAPTFTSPGSSATIDAGDGWANPSA DNRKAYASFTDCSYPALYSAASITSVANACGAGLTQPTKRSELGSVQQVKKAAYPQPT TPPARR L199_005496 MTRRSPPSKLTFLPSHIPTPPRGAPKYFLPTLPASLPTVTRPSL SVPLPLPQLYHQALDLEVMEHPYGEYKVAQEGTKPPWVRSRGIDLAEKELGRGLEKPR GVVLGR L199_005497 MGKQHDDTHVASYKAQNDGHELPYSQVPTNAQGRDVPPQAQHSG DVAIHQHDLKKAQNALHEDLRPENVPKNRPDTGPRNANT L199_005498 MKPSKTPDLSQTTLSTLLSQSQSQQILFRPHAHLALSTRLDCLT PKSYIPFLEYSSSTGNHLISSSTYEIHPGVYLRHTVVNHILKKPKQTCIPTLPTIEET KEGAWPDQRTPWISASENLFWTIWEMAKRLAVPEGELGWVGGAQMAIVRHPKSYDSHH QLNRNNIISLEDEGEKGDLIDNRSETERIKHEKSTPTPRPREVWLRPTNVLSPPTYPG EMSLSLKESYEVSRKMASQSGEILFFGRIWAENVLSNLEWTCEKTPFPLPAHLFLPTY DPLDLTQRWIDQLLWNPRHEDYLIAHGKVMSNRREQSGKRGEWPKGPL L199_005499 MAPSYPSPANGTRRPTMDRSNSHTGASSPILASAHTTNANRRVS AAVNNEDDRGLTMREKERAEQQGSMGKAGGVSSGVTRPKRRLSSLPTQPHPFFSVNRA MSTNSMNSPPSSPKITHPHSTYQAMQNHKHEHNDHHHQSPAYNRANPPRFDGKIGMVG YATQMAAASRDKEGPPMLWGIELKWISLITLALQNAFLTIIMHYSRISTAPGKTYSAA TAVLLNELLKGSISIIIALKRIDTDMSKSPIQPPAVYSEKSNTRDSDRRFPPSTSSAF SLIHPTRLTALSKAVFSPDCYKLSVPAILYVIQNNLQYVAASNLDVATFQVTYQMKIL TTAFFSVLMLRKRLSKSKWASLVMLAIGVGIVQMQSTSAPATSHSSTPTMTKINHGEH QLRSEIPGALHEEIRMMHPLKGFLAVTLACMTSGLAGVYFEFILKSSSGNAPPPDLWV RNTQLSLFSLIPALVPIIVAPAGPEGMGYIGRVMSAFSNFNGWAIGTVLTQTFGGLIT ALVIRYSDNIMKGFATSLSIVISFLASVALFSYPITLAFVTGSSIVLAATYIYNSPSS SPPTTRTTVAVAPGSPISTNAPILGEPEKPSRTSSVINLLGLSNSLAGSRKTSSTDLK SGFSFTPTAGYSASAPGTPYLGNSGRASPNLSVNTNIHSGSNQYLAGPAQIVGGPGTG FGREKS L199_005500 MKITEKLQKAEKEGRTFWSFEFFPPRTAQGLQNLYDRIERMRNL GPEFIDITWGAGGKNADLTSSLVQVCQETIGIETCMHLCCTEMPKEKVEWALAQAKQH GCQNILALRGDPVAGTSKWEPTPGGFTNAVDLVKHIHEHYPGDFCVAVAGFPQGHPET PEGVEGARQEIQWLKEKVDAGAEFIFTQMFYDTSIFFDWVKRVREAGITVPIVPGIMP IQNWEKFEKWVQRESIVVPQHFYDALRPVKGDDERVRQVGTKLVAGMCKEILANKEAG IKGLHIYTLNLEKGARMLLQELGLEGRREQIAPLPWRPSLTPHRRSESIRPIFWANRV QSYLSRTDEWDEFPNGRWGDSRSPAYGDLDGYPVSININANDAYNLWGHPTTFSEICD LFARFCRGDLAKLPWSSQPPASETSVIDEQLAKMNELGYLTINSQPAVDGVPSEDKVH GWGPTGGYVYQKAYLEFFVSPELLNPLIRRIERDPRITYYAVNKQGDLRTNTHSEGPN AVTWGVFPGKEIVQPTIVEAVSFIAWKDEAFELGLQWANLYPPGSPSRELIESTMNSS YLVNIVANDFRDGMSIFEPFLLDEQSTSKIGKVVDVAQQTANGVLDGLNVVVENVKSA VGANGHPNGVAVNGH L199_005501 MIDDLYNSSNSADVLDDLPLDMTEEEIVGLMESMSMDGSAEEGE GETYGYEVFGNHIDTNTNGSAPFRREELSEPEIIDSFAPSSSSSTIQIKNTPLAPPPL STQHPPLPAPDPPPDHQTRFDWRARASSQLRKIAYRGYWFWNAPRRKEVPWTKLQFGE QYRDELDRYANHFMPLLEAEQAEEERLFNSRIAEWSFDRLKREGYALDEMRGSKGYQP KSMIGLGTVYGFVRGKGDRELPFNRFTIGSNVILSRTDPLVDAVGATADGKNKLVGSV WNSSKGNIRIMFPQDIEDIDNGYWRLDVACSDFAIRRQIEAIKSLNLDPYEQDKGDFP QDQPNSAALASPASPALPSFIPDKKRKDQSILRGTALRDLLLRPFQGLYSPLTRSNPP HHTLPTDHIVQNTNEMKSSDLDAVPEPIPQDTTATSVLVKNQLIQSWTDRYRRPGLPV EIEGDPKVGLNESQMRAIAMMLSERLSLVQGPPGTGKTRVIIETIKLLKKHWQIPHPI LVTAHTNVAVDNLLSGLRAHDIKALRFGAINRIPEEYSEWTLDRMIGQHPSWWSLEMA RKEKDTLLEKKLTLKDGWSPEDDARLTKVGQKIWVVRQAIMREVLLDADVICTTCLSA TSRALQGIDFPLVFLDEASMATEPLSLVPLTKGSCHVAIIGDHKQLPPVILSSEAHAG GLATSLFERLIHEGNIPSIMLDTQYRMHPTLSTFPSKTFYSNLLKNGTSASQRLPPDT EFLVRDSQTGQRRNITFLNHDHPESPISKSLANYGDAEYACDVITDLMYKNPTLKGSD IGIITPYISQLRLLSNHLSDPQRLDAFRDLLGEDRVRQLEDIEIKTVDGFEGREKEVI IFSAVRCNDAGWIGFLGDWRRVNVAMTRGRKALIMIGSKRTLQKARIGKSGEETLPSG GAKVWRELIGWLEEEGCILDVE L199_005502 MDLVESQRNILRLTPRFSKVGIGKNEKVYKVYQDVIITVPSGVP IEYGAERNVLCTVYENNRVHKVYTDYSPIHHTIAVDIKKNLMVFIDYEGYISSMKSNS YGSEKYVDRYIGIPSEDWDRIPPPVTNCEKVRVDLMEDDKMVVDLGIGIWLVYNWKTG NLLHCFPPNNRNWSSCHGALITKEGLIIGLDVPRLAWMNDSQSGNASLAIFSLSSPVT APYLPELLLELPTTYRLLKSCIKTLGDAPAKGKIIISPSTPPRIHEAMNPSFVRISIP FKLFPGSNYELNLVIPIRQLPLIKIENRIKPWIKGRTWRYPTNPFDGVETIKWDNWKS RCHVYIERAQASASTQKSISPSSLSNEECQIGRRSYRVDNNLLAKKGQLDLQITDFDI HEIGQKGNEYGALKGIELDMAPPDGQRPNKPRTSPRYHKISEQAGTANLPRTLNCSGS FFLGYPGEMEDILGDESGTRLVIQQKGNEKIWIIDFGS L199_005503 MSIPAAAVKKSVLISGGSIAGPACAFWLNKYGFKTTIVERWPEL RPGGQSIDVAHYGLEAIKRMNLQSELEARFTGEKGTTITDLHNVPYMSLPVGHGPTNE TEILRGDFADMLYQQTKQTTDWRFGDYVTSIQEEEQGGNGKVKVGFKSGKEEEYDYLI IAEGARSWTRKLVMKEEAEVKYKPIGCYIAYFSIPFTPSTPYQDQWHIINLPHQRAIY FRPDFKNQTQRAGVMFLTPESKGYEKLSATEQKEVIKDIYKDGGENAKRMLEHIDQSD DLYFEYLVQVHADKWSTKSGRIYVVGDSAWCGTPMIGMGCSLSVAGAYILSGELAKHI NEPKTAAEEYERLWRPTVTEAQKLPPGIPRILFQESDWGVKVYLNIMTVAGWVFGSRI VQYIIPYLSSGWTKMFPSEESKMLPEYGEYIVKGDETNKVADR L199_005504 MTSSSSRQSSVLEALKNLGSQSQRYEENTMRAKRPPAMTAIIGN DPERFTDPDHRSSLIIHNTYRPVVNLDKDNLDFLYAKYLQDNVGDDLKDQGTIVQPPN EFCNEFISAARSSIQRKFCSSTVSNNSVPITAARVSFCLTGTIQKMLRQSPKFVNGLQ GVSTDWQKSLDEKEVSTANLEAMYLSERHPKSLMPLYGQGFKSDPDNFDMVHSIPIKT NLSFKAIESMITQITSEADQAGRNADAREREHPELAGTSIMPKPMTSELQAKRQADLA SRQERYWQKTQSALESALSEQSQMSDEDWKENGNLHDVVYIPDQAPGTLTMRPSNGPV MDLHLDIEARFWQSKNPSSTIEDPFMTSVESLNERLEIATSTTD L199_005506 MGRMAEAQRRLLEQMMGPEAMGIQPINVDWWSEKVCRNFLFGTC PHILFGNTKMDLGPCPKIHSDRILKQFQEHALAQPHDPRVAAFRQEHENKLYGFVDDI DRRIRASQRKLEKTPEENRKTIDLMREIGEIELSIQGGTEEIEALGEAGKVEESMEKL SAVDALKQLKADKEKELQHLNENAGASGHQKLRVCETCGAMLSVLDSDKRLADHFGGK LHLGYHELRRLLGIFAEARMTGRPWPVVPPKETPATGPNPDQPMEENGDSSAPAPPPP SENPAIPPSAPSGPRSSALAPPSHLQDDTPHTPVHSKVPPADEIPVVGHGDKVKREAG ELEDDLRERRGSRDDSLRDRERSHRDRDDRDRERSSRYDDRERDRDRHSDRRDRDRER DRYRDRDGESSHRDRDRERKYDRSRSPSKRRVV L199_005507 MSSQKLPIHRLPLPSSTLQHTLPQLTLEPKPSSQRRATTFDTTG EGVWARVNPLWAAWPLRITKEEAFGMGVDVEKGEQIDVEDVLRRWDPIKLEHTGIPHD PEDETNGLNTFSSDHRLKLQPILLGISTSTLKDTLPHLTVGDAPTICNRGASPSPDAT PAALVRNAFIDVLSGRKVLRSEGEGEGEGKGYGPWSTRYCGHQFGSWAGQLGDGRAIS ILETESEGGGRQELQLKGAGRTPFSRSADGLAVLRSGVREFLGCEAIAALQIPTTRSL ALLTTPFPDVPVVREHGPEPTSLLCRVSPSFIRIGHFQALNPSKADQGMRQFFLGGRG WLDDQNDLNPDKEEGNLEGLRKLTEWVKNEIMQMQGSSTKEWLEEVVKRNAETVAKWQ VYGWMHGVLNTDNISLTGATIDYGPYAFMDVYDERHICNHSDPSGLYNYRNQPSRVLF ALDKLVSTLAPIIGYEAIHSSPPIQGYSEELSKETRKEWEEKGLEVMKGFEERFWEIE REEEKRGWARRFGLRTFQQSDSRDIFLDYLSLLSTHKIDFHTSFRKLSFFRPTQTNDA GYLSKFVTELLEESTTNVSDDKLNLAEKDFEGWLKFYAERASTQNEKEAYGNTEGEGW EEIRSKEMKASNPRFVLRQWVLEETIEKMEKALTKPYVEAKEGQAPEEWEIDLGVREA RKVLAKILDMSTRPFEPYGEGEGKGSDFEEDKRLCGLGKKEMLGFQCSCSS L199_005508 MSVQVTPEQLSTLKATLLNTSGSTPLHERFRSLFMLKAVGGDEV VDIIAEGLKDPSPLLKHELAYVLGQLSNPRALPVLNDVLINPTGQHCSMVRHEAAEAL GALSSLESLPVLERYLNDPSREVRETCEIAAEKIRFDNSEEGKKRQLNPDFPTIDPAP SATPSGDVSIPSLRTDLLNTSLPLFERYRAMFALRDFGSSSKEAVEALADGFGDGSAL FRHEIAYIFGQLSSPYSIPSLLSRLRDPKEDDMVRHEAAEALGGIASDGVEGDDQSSL PTDQQLPSGGVLAVLREWAVKQDAPVVVRESCQVAIDMWEYENSTDQFNPIDSLTTNK SNTTGMERSAHAAVSAMAVA L199_005509 MSTPYQLAFTLHGHAADVRNLCAPSPDIPLLLSASRDGSAIVWG PSSKSKEWDVKLRVEGPEKRFVSCVGMTRWNGQAYLLLGSQSGILSSYILPSPNTDPP SIDSPLEEPQHTLVEHRQNLCCLDTSKAGLIATGSWDKSVIVWKDFKRALTIQSHDQA IWAVKFVGEDRLLTASADKKIILHSLDIASGRSTPLQTYTGHSEPVRGLSLKPDGTGF WSCANDGNINIYSFDQPSPKKTLSGHTSFVYSISAFPDGSGAISSGEDGTLRVWSETE LLQTIPHTSNSLWSSAIVFSGLSSPYIASSSSDSTIRFFTKEERLKASAEERAEWDKE VSGRQLDKSQVGDVKQSDLPGIEALGREGKKDGQVIMIKNNGVVEAYQWSQPASTWQQ IGQVVDAIGQGRKQLYEGQEYDYVFDVDVSEGMPPLKLPYNVAENPWIAAQRFLNKHE LPATYCEQVVEFIQKNTAGVTLGQGGGGGNDYVDPFTGGSRYTGAASSNAGPSYGGGD PFTGSGAYSSSPAPPSQPQRSNGILPVKTYLSFKQMNINAAKAKIVQLNDEIKSSNPD LAITDSEEKTLNEIYALLSSPAVSLPSPETRDSKERYDSEVFLGLLSKWPEDKRFPLI DLSRCLAALSPHFGKTTSAPKALIQACGLDAPWSPGKPRETNTLLSLRGLANLFVTAN GRQTISRPDTVESILSVLRGVEWSNWGTRKVVVATIALNYSILAVNNTFPSQLAEKLL DLIIHILSNEKEDLETIYRASVALGNLLVSPLSGSLKVGEITNCKSIITRLASEKGEK RLKDLSKEIEGLGV L199_005510 MSDRESLLSMGFDPARIDWALKATKNSGLQPAMDHLLENSEKPI PEAVEAEEDDEEAVKANIKKIESGAVDDSDLVARSIKCSECGKVFRSTANAELHAEKS GHDQFEESTDEIKPLTEEEKKAKLAELREKLAIKRAAQAKVDAKDNKANEALRRKAGQ DSGRIKEEMQAKELQKQAEQKRREKLEDQRAKAAIKAQIEADKRERAEKAAREKALRA GTGTGTQTPPAPVAVAKPTAGVSSSDNPQTRLQVRLSVGGQPLTKTFPSDNTLIDVAE WVASENLAYNVDTVTFASTFPRKTYTRDDMKKTLKENGLTPSAVLMAS L199_005511 MVLAIGPLREHRYGMTGTINSSSNGTAFRKPPTRPDERSQHHYT SSQTQAQSTTNPPSSKYSHADYESCATCTHQINHNLPRVEHQYVPYKAGHTTDTGTDI GSSWQSPAGGVGWGREDQHTAQDLHIPSNYNRGSDLNTQSTQPLPSYTSNTDIDTDPN LPIPYPEHDFDPLSSSQPYSNSTGWPNHHNTQFGLPDKLRHLTTFSGIPPKGYIMTYS GRGNPLKFQDYFAARVDISVRLNPNHPSIINTTRTSSEKSDYKYSYDLGNPLVQNAYE GYILQNRNSEFEFVWVNYDNQSEWSKDKKSLGPLKLSESLKRQACTRANMIMNNGKGS FRGGGRRIGGYLDLDVHNDYRESLGLRPRPA L199_005512 MSNSDDIITTSNGLGYTSNSAAHPESLVKPPMAPTAGEDTIEIK IEFGGGLHLLFSSQPSHKINIPRNIPNSEDGQPQPVNMRYLVKWMKNNLLSEREEMFG DGDGVRPGILVLINDADWELEGELEYELRDRDEVVFISTLHGG L199_005513 MSSQLHVARSRAVRSLPQRRCYATPPPPPPPGSGLPPNPPPSLK TVPIKPVTVPPTTPTPGSSTLASAQPLSTPPPRKPRHFFRRFIIYTSLGAITFYGLSG VASTHSEGYRDFFVSNLPGGEVVADFADDNGWQSLGFGTITKKAVQSYNTATGKLEET TTQKIERKAGEAKKEVVSAGEKVKAKTVEAKDTLVGKETTTQKIQHKAQDLKDKAITA THNAEDRLKHLAHDAKEKVDQVTKDVPFNFSDGVEGIVREAEKALGSAETKGQKALHQ AEDALKRGEKKVESAAHDAKEAIKPHATEYEKSVSPYQQRTRELNPTGVTPQKPSYEG KKVYNGPELPLGFEPPPGYYIPPPTVKPVLTEEEKVKETLPLLGPKVKEFASEEPIIE QLASTIDSLTTSLSTASGTGPGTAPPSNEATSILSKAQDDLTALNKRLHDVKLAEKKK LEQTVNEKTKEFEALLKGKEADWTKSEQGLKESWAKEREGLVAKWRGELENELESQRQ GIEQRLRDEVVSQGIELQRRWLRSIKSQVETERGGRLAKLDTLTTSLKQLERVTLDNS AQLDDNVRLHKIWSALRAVQNKVDSGDVSFDEELRALKSLSSSDKDESVILTTLGQLE KSGIPTTGVKSFAALSSWFTQSIAPKVHSSSLVPPPEEAGVVSHLASIGLSKLLFRPS PGPVDGQNVGAVLARAEWCLAEKDLDGAAREVNTLKGWPAKLAGDWLNEARRKLEVQQ ALEVVATEATLSSLLLV L199_005514 MTSAGTDSTSLDNNNKRTMSIRSRRTNRTKSPLPMQVSVPKLRQ SRKGNENENDTNKAQSSTSTTTSTSSAVDTITLSNEYETEFEPTFEIIVLGSGGGPLE TDCSGYLVKASKSSWEDGVLALEGGSGLGALASILSNPTTSSSNLFPDLRFPKNYNTP LLQAAHVFSFLACYLITHAHLDHVGSLIMLSGSVPPKSAQHTQPPTDTNNVSPHKSNN QTKAATAAATHPKPHVYGTRKTLEQLSQAYQGGLWPELGSWVPDREGDRPHPPGRKKR KIDDGDKNGLGMVNGPNGEVEGTEENYNSCLLFSPLSTERVHRPLHPTLPISLLTYPV AHGCTSKNTYESSAMFIRYDPSALTQTPPSSSSHSPSSTTEGKGKGKEFLFFGDVESS YRNPGEEDIDIERGKEAKRLNEHIWKEASKSFKDGRLCGIFIECSYDSSRPAHLMFGH LSPPGLYQELRTLAGFVSKSKKRPLEGLKIFIIHIKDALVPHPTGKTAREIIMSELND LENEGGLDVQFIETKRGDRIRESEFVLLMCDKRMG L199_005515 MPHRVGFTPQQLNDLTKAGIQKHIGLYLGPVVLGFAFDSMLLGC IIQQLIWNAIWTPSDRLFNKIILYSAVLLSVATTIFNDCFFFHGFASGFGNWYRLIQL DYIRWFPILDCATVTLVQIFYLERAYQLHNRSKWVPIIVLPFLLASIGGAIGGTVMLS GIPDAYDLVKTKPVFYNWIGGTLVVDLLITSIIFHKLIKSRTGWSDTDLMINKLITIS VETQLPSLVVAIAFMVSYGLKPNAGLNIFFELFHPKVHVVGLLTVLNSRNRLRNQLNG TSNLKENKYIGKKDQNKILSSKFKLKSKSRSGDKDIENDIHDNPSQPTVKLEDGMIVP HLGTRLDPSFELSSDGIATQTRKSQDEDDTPSVDGGLDVKDQGY L199_005516 MFKKPLAHQSNATPLRSSARRQLLNAIYEQYPSLRGESQAQDGI ADKELARMILPEGVRIANFETSVGTEGTFWLTPDGDPLWMTFGRSSKEYIPTLYLLSL PLPSPPLPTIQIHNPLPPPILTGAPLFIPAVRNLSKPWLLPDVQEGQLVSFVSSSSNG IEDVRYVGVGRVVAQGGMKGALERRIDNLQNEGGEKEEGKFADILCIIDDHLWELGSK PSLTPFSLPIPINPLARPPDQPSSPVPSEPAIQQLSITDEDPQPESIPGRSSIPSSSS EPLSSSEISTLLSISLLQALSSLQPASFPIPASLLYSAHVLPNRPSYIPKERREEVVI AKSDWKKLTKWMKELGKEGLLKIKETKGEVIVQSFDSNHPSLQNHSGFTTIAQEEQKA ARKAAREAASNPDGESSNNNSNNPQMSGSSGGVGKGKGKELEVEELWKPSGGAIGFWE AAGVDKSTLHHPSELKSALDAYLTKHSLIHPSDHRFVFLDDELGRAVGIKRPEPGDKM ARDEVMKKLKSGASWSVSLGGVIKKGTLQPITMTVKTRQGRKTVTHVYGLETFNIDPD GFAEEMRKLCAGSASVQPLPGASPKLNLQEVQIQGSQVKLVIEALVGRGIPKKWIKES EDSKKKK L199_005517 MPDLLTPQALATAGPLVLETLFKHFIGPRPAADKGKAREDLMYD EAFVLMKTFLEIATKYPVAALQRFGQVRTPSPPWVGVHRVTIPRSSLNDAAEYMIQGF GGEEMAYKIAGGTKWWQVRAGQGVEGEWIVMKKDWKGVVAEEKREKKQRAEHGVREEE EEGEFRPEMDRLRCMLYIHGGAYYWGSINTHRYTIWRYARKMHGRCFAVNYRKTPQYP FPCAIQDCLAAYLYLTNPPPEAKHRPVDPKSIILAGDSAGGGLCLALLQILRDTEGLE LPAGAVLISPWSDLTHSFPSILQNTATDIVPPYGFIHKPSSLWPPPPPMLTDEVQRKL RSRVREVVSKLHHHPPKVEDPQQLSSDTDNIGEGIPTMQHSTSIPDRLKTSEPSGQLD KSKEQSESDLHPLAEKSENKHPEPQTEPTSNPSRANPADLFPTLVEKREKETRPCTLA QCDTPLKLTVKGEEILIDTQIQLYATNAQLCHPWVSPVLGYLGGLPPLFIMCGDKEVL RDEIIFLAHKAANPDAYPIRDDVRPMLPSLDGIEEKYSATNVHLQVYDGVCHDLPLLS MTRPSRGAFRAIASFARYVTPSAPGSRYISRSYPATPKDSGSNTPGRVTAKRAPKDQH PPQTLQGSQQSAAGGTATPVTTDQKIMEHVLTTTPAVMSPQESPTTTVHKGPKQILTL DLDLGDELSKNDSPADTSLRHEYPRDTLDVTTSHTPNRNVSFASSINSSTVSFERRSE RRESILPPSPNPNTGSGTGFDNDGAKRENSQPSGDDSGPRFEEGRTFLNDWNAKEGEA GWAGIYKGDDPFTDHMIRERVSTTGILRPLEPPDELQAMQMPLDEVGYIKEGPAMRYI NGQALWDKKYHRAAKNVKKRREKNLKIAHKEGGKYSAELIKKAHEDKLKGKDNVKNLA HEHEQQETKDESGVTETAESWNWALNGESPPPSAIISRRDFAEARQLALMADRLDSSH NTSLHGLSIWVGLASFFSNSMERNKATEVIKIAREAKKIQKDSTKQGNSESMDIWTDL DDGGDTPDHDDDEQQGSERVMSRHDDIIRKIKSKEGEDRVKGKRALEGKKEVKKKGVI ASWFESWKKRKDKGNQGIS L199_005518 MVRTAQTHRSKPRKSKPMNNLVPVTGQRKVILGELTSPVEKGDI IFSTTPIFPTLNSGLLSSHCSGCLKTARQISEDSNINIDKVEERMLKCSRCQVHVFCS VKCYASTWCSLNDEYRGLANNPGWIPDTLARLVAKVLTARRFGRELYDPLPNYPDTDF THINEETLKIHDSVIQLLAQPKCEGETLIYTVQLCQYHIDEEDGFEALVGRIFSCLFT LYDSSANPVGIGLSPHLSSVRRSCKPNCQIVFHTGPNVKNGMSLVANKTIYPGEEITI SYVDLALPIHLRQAALAKSGFDHSQLCPHCSMTVVDCRWAAKHQNCTMNGIIPLAPSP GTFKAERSITGSVEERECDRCSENIRIDKLKQRYEEHMSVVDEIWESEQKGVLLPNDE EAINDEIRILSTMIPEFLQTFPDLIYPVPYLRIRYARLLSILPRTLSSTKEIIENYTQ AYSSFLSVNDNLSTPLTCSLAFELVNSHLDILRISKQLIEERSKKRITYKYLGKSDYL KTSEEENVDDGEIESYLKEGQDWIESCKDHLNDLAYTQRNHGHGLETKFRELELERWQ RQYEELDIWFNYIY L199_005519 MSPSTPRPKFSIGDAPHHPRHNTPSTAITQGELEEADIIARPNP RLSPIIARDTILSSASPIQPQFAELLTPLKLRRKRNRPPVNQQPTSIPPVAGPSRLGY YTLEGDLYRGAVRDPTVPDQQPMDEDAVSLGTSSTSSASSTWSENLEKARAVIERFGE ALGVRRGSHSSGSDTSDTTDTESHGGRSTASIKTRNRRKRRGSKLSRIETALGRQTSS SPHPPKRQHLPKRREFTLLLPPRLGEVDIGVRSSVSSSEREQSTLVEGDGSPQSLGQK PYPPDRVVVTPSLPVVVEHIRSLRLSNGVFPIDTTPLPTPGPTPNVPMRKAGSAPGRS RTPKGPPSFPLPPRPLASRTQSRLHALRGDMTADPIRPKSVSDLLGLSRPDSTASLTS LKAEYHPPSRLGTPAVAKDGFDFPKKGKANETWWLDVSCPGWEDLRDLGELLGLHPLT LEDVLQQDPREKLDHFDKLGYYFLVVRALDESYFKYTPGSASASGATLAEAPAIRSAD TAPEIYEMQETDNRESEKKEGRRRGWGMGRATGKNASKSGEKVEIVEDHPGKEGLEGV GVGAVNVYLVVFADGIVSFHFEDISKHTRRVLERALNFPNPEHNSDWIAHGLIDSIVD AFFPLIRYVDGEVDDIDSLTIDPTTDPKRTTAVLESEPIPSPESSWNEFELNEKRLSS NEKPSLLPHMCKQQPSRLRQKSKLNIRRTLRDKIKIPSLQIPLPRPFIYFRLFFLPTS SAIRRKHEQVSEAVFDRSTMLKRITDMRRLVTGLTRLLGAKGAVIARLRKRAREQGAT MEAYIGDVEDHILLLQTSLYHYEYILSHCQPAYLSHLNVSFSFARGGTDQAILALSTV TISILPMQFILSLFSMNVNVPHNGDPDMDAHEHDDGTPSPYGYFAGIVIAIFLIACVM VMIIRYWRWLARKKWSRLRGADVPDFWEGFWGWR L199_005520 MSLLSYTTIRPGAAPKRSWFTLNPALDTVDGKVLPGGRITCSNW ADDTNTLHIASIHTGSYLRTDWEMITRRFKRLQSAEAGFASSPTADVAKMWQAQKFNT SSGQDRPEHLIHNSKEEFERLFGFQLTEGHHAVSTDWADQSTVGEGRSAMTHTLRKSC DIQD L199_005521 MSTQQFTSMFSSRLSSAYNQLRGDLGAPQTATETIDKLVERINT SAAVEDRRTAVLGLKGLSRDWKEDVGIRAMTPLIAVLEHDAPFDVEIAKAALESLMQL CETAEKPAKDDLGLKFTDAFLEQPKPLHSLLSLLSNSPSFYPRFYSLQFLSQLLTSRP AVAQSYIMSAPPPGVDGILSVLDPAPPPGSQPQTAQLGGGATEMLRNEALLLLPAMLA GNADLQKIVAFSGAFERLFQIIDSEGGVEGGIVVQDALTVIGGLLRFNVSNQNYFREL SLIPTIPRIIGFPSPLPADVPTPDEFALQYWPEQKIYNTGLVLGLIRMLVGGPGGGNQ TAMVTGGVTRCLVELSLASNAPNGIKSQALNTLTPILLSSPANQDLLSSLLISPLVAV HADDEHPNGGFVRIPNKPTAVALVTAVIEGDPSAGGRGLRGRAAGVNMFEAYVSGNDD ARIGILSSMIAPPSDNPNANFPDQPQSAGSLILSGLLDLPQSSTEPFDPYRPLFSCLL LAHLIRNSEHAKKLARDIAIPSGDSGDSAIADDEDKVSLVQLVVGNLMMASREQTECV NRAAKEGLTTGLPEEEDWTRVMVGYLVLLCTWLWDSPKTVKEFLSESANLQVLIQPIT QATGIDPLVQGLSAFLLGVCYEFNREPGEITRATLHPILHSRIGPDQFVSRMARLRED PRFRAVQPDAFDTEGSDATAAATAEGEADEVDEGLELWFDWAFVDFWKNHYYTIQRSI AIDPDAVRGSGPVDDGETAAIIMSLRQKLKAQTDEVVQLQSKLEALTKESKQEKDTLV HEVDSLSSQIATLSTQLQETTNARSTLEEELSILKNEYESLKDIASSAESTKSELEKV SAELATLKDSHEKASSELSLAKASSKSRKTKLKDLEAKVKDLEEKAKSAPPPATTDDA SSEEDKKALEVKSKELDELKKSLEESKSALESAQKELKEKKELEERLKSSEEKVSSGE TRSKELEEKTSTLEKKIEELESKLKTAESAAPAQGGGGGGESGKAAKKRAAELDSKVK ELEKSLEEEKTKREEESKEHEDLLVLLDELTAKRNADKKKLKDNGIEVSDDEDEEEE L199_005522 MSGQHQYKPNGQMALERSGSSHSSFLSNIYLDPNYVIPESPTIS ITPTHDLAVEGTHIVESPMTSDSTWEERELSRISSNDSGEYEDLDDPQIRIRTNKCST VGGGTKPLRVKKKKGVTAVKVGSKTKKRISIPHSSSPHYSRSFTTHSTSPRQFGYTGN KPKRNPSCNSGKSNLSRTYANISSMFLNLPSDSPTEKKGTMKGRIGNATEFSDSSLHL GLGKFTTYDPISPGPSPPSSPLPSPNKRTFVSTSTTTTGEYTKKPLPHPPSPLTPSRA PRKAAALLGASVPTGFAQSRKGGGKVHGLNTKHFRPLPHSTLTEIEKFFGDVPNKNQK PSSTNNTKRSKPKSTGGTGGMDERGMGDRNVGSGETVRYKSEDGSMWLDVEEEQEFAW LLSEIFALIPQPLPDLTLAKVKSNETTGSQEEENKWEMENSTSILSLPKPKAHSNGKS KTGKKTKVASENSFLDLGLDTPKLPRTNINPWTSTPSHKRSLSNPTSPSSTIPKLSIS SPMPALIPPPRISSKAGPSSLPNSTTSYMDQVHWSVSAAEARSGSGSGSGSDSEGSTS SSGSGSGLNGSPPRIKNRPPPLTLKRIKPSGKLPILTATTPSNNKNNHQAQEPPAVPA VIRSKPQAIIPNDRDRYNIPSTQSYMAKKYEAPPTPFVRPRVAPRPNPTEGIPPLPIS IRQSQESEQEPMSFFEPATPTEPKSKSNNSNLTSKTNSNVMGSRDGSGVKEKKSWLKR VVKRPLKV L199_005523 MTSLFLTPSEDSPPVEPINGAAYLTKLHKYLQVNSSRLSPSGPS RSTPTILQQSYTLLTLGLDPSSAPLSRSLKVPLTLGFGQPSTSDRRVPPKSPKPLLLR LPPDRLLYLLLRWQSLPQSLNHVGRTDVPIEEGVPVAARGARIDDRNLEGDVKSVRSW VGSMRSVSMGSLMSSQSNRGWFGKKEEINEDQILLQLYSMFTILPALLIHPPFVSDPP ILELIEAGGYTQLGGIDVRVPLDVMRNLQILELESYDPRALLIPPNPGMRSLTVRDVQ DGDDWIEELLAVTSENVASEDTIVKARFPNLQHLSLISTTLLNFPQLPLTSLTHLDLS NNLLDTLPPSLSGLTSLNSLNLSNNVIVSLRSASSIIPQVISLNLSGNRIDCLVGLDQ CDSLRRIDVRRNELMDVGEVGRLSVLPSIKEIWCVGNPFDVTGYEDEWRIELGIAFRE EGKMVVLDDKEFNWSESRRIDMALEKKGKLHQPQHDRSTSLSRSRDHSHSHDQQGPSS STIDTSDDLTPSRYNSINSNYQYQQRLSIQAPAQTPTSMKSPSSSAAAIAKKKSKRRV INLDADADTDGDLGDKGKRTLEDSLRVPGKVIEEDEHEVEDGKQDTGVNDKPLDENGH GMNNGNGDGSLATNKGRNIVEDQEQKQQSIKVVSSKRKNNRKGLKKDTFDPIP L199_005524 MILYSSTLAFALSATVSWASQVCSSEHCLDGKSSSQILAYDSSP SKYLTPGTYSDSSLSPSSSLLNINRSSDTLTVSLPSTPLGFPRTIYDGSPNVWDNGNW SLDDWKSIYLPSNWYGVLEGGKVVWGALPDKGQLPNDMTGLRLVRAASAACDPTCSSH GVCIPSNTSSTGTCQCSTGWAGSTCDQCATGFWGPSCSPGPSNCTIWDDGLSGTGACL GTASSSSLACNCDHGTCTSSNQCICSAGWQTNSTVSSALCNTCAEGFFQDSQGNCLAC PLGCDTCTLQQGTNSTATCTSCSDSLSLTTASPAACSAATGSCADGTYYDAGSASCKS CSPACSTCTGPSTSDCLSCASPRVNLQGSCVYYDASTGICDSALSTLQGVYVVNLDKS ECDACPSGCLECHIPSFANIKGYDTLQCSSCQEGYLLEDRKCVMKCNDGWFLPEGSAA KNGTCQKCDSICSTCVSSSTTCTSCPSPLFASGGNCLSTCPSSTTPLNGTCVPCPVDC TTCSSPTECSTCPSDRPVLSNGRCLDHCSKDEYHDPSRGCQACDWRCSSCSAGDFRSC TSCSDGYIHKKGECVAASCDEGGFASGLGVCLSDLVDKSSRSKLFWLFFLIILLLGAG IGGFWWYVRRERQKTRKATKEFGDKLVERTVQDNLRVLRLERLSGLQRLLTSDEPKQQ AEGYEEKRNKRFRELLLPSKRKRTDVEKDIELKSTNFASDRMTYGYGVPPPPYVPSEL STPTNVKDGSKRDSLDSIPTPILPSLVSPTIPTFDSSSSSLGRRPKMERKESNGNTTI HSMSTPISPEYQTSLMPPPRPGMIRTTTQEREREVNRNRRGDVQIQDGEENDDIEFER ILRDLWPNLKRREQEGWI L199_005525 MSDIRAVKKYATQHDLFNRLHSISADENFVKDVAENWFDGRFEV VPNQRCGNWYCDPSTSSKAYAYFKSTDGHMTQWDFNLRRSNLSLAKYAEDCGGLILVD STRRGKRMPDGLSKTVPIWCCVVNLAIELRRNIPLDEEWDTELYLPPKVVSPSEKSQI EDRLDEWAETLEKSSLPLPILTKPLRPFFIHPSTSTPPAIPLNPPYTPIICLSASRWV NNPDDQIPSVTRLGDTNRTVGFDYVPGAGDDDELWARGLTPTLFHSNKSDLLIAERDD LPSLVDELVLSHSMSKLPAPSASSLDSNSLSSIGESTIGIPDESSRIALRIGSPIIPT STKWKYATSSINPIIVRAVEYEKYPKDLPRLLDFTPEERILAVANSKTEGKAFESTLS ELVDLVKGEGGERGVVLVHGRKEDVELVLKTYQKSQAQPQEGKRQQEEKVKLDEPPEP SVEGRKLILPLALALICSMPASNDEVQQGKEDMVLTKSDIAVKLHSLISLWPDGNPPR ASLKRVNEFLMSGDRGRK L199_005526 MAHLRESHAVVVHCDADYIRAVHGISELFNRPLVTLRASYAIPS TSLSFKPEEVSGDVHMDGEVPPQAPAPETSSRSGWLVGEDLSNAEKEDGFADKYELRW PFRSSKSVDDWEGREYVLIHLYTLLGITITSNSSPLLFIPPPTPTLPLSIQAAYTQIA FETLNTPAFSLIPSPLASIYALGATSGIIVHISSVETSVFIITDSVVRWECSTTVQVG ELDCRGFLESLLLEDELLDKELKAASGKEDLSIEEKEKLVREVSQVVWNECTGDDLEV PFLKTGNRSIVVGNPSGVVGAEKEDDSFDVAKKLVGDSAPAPTTQSHKSKKQQAAAAA AAAKSAQAAADAAAAAAALPQPIDAIVINIPSLPGKEIQLGPVRHRLCEPLLLGKEKG GDTVWEGVGRAIDNASLSLGERLGLWESVGVVGELARIKTFSPALITYLSPYLLSSAD LTSDCQPSKIRLLNIPDYFANFKNSTTELAPFLGGSLIAKVAFLDSQGKHAISKVDYN AKGPAAIYNVSADGQ L199_005527 MSEEHFLTVPPAPSDEIRFEIYYGVSSPWALLGAPEAQRIAEKY GVTIHLKPIVVVEENGGIRLKTRHPARQAYHALDLHRTAKHLNVPMKASPKYYPQPAG TIEIAGQAIIRIQQKFGIGSKEALKFSYEIQRCIWITEQGDHSKVETLQQIARQCGFD EQTIDECVVDKRGQEGDDGVKEWRRNHEEAVKLGIFGTPNYVVNGEIFWGQDRLNFVE MRIKELIEAGAKPIKY L199_005528 MTSQLLQPVPPSRSVLEAFINRHQYLLELERKAEEEQTRLLNSK CSPKLLEERGLSIGGLGVSNISVGLGGKSLIELNRPSAYHVSPLLPPHTFRSGDPVRV EAHISSTSSSTKSKAKKKDNGDEGDNAVEGIVYKVSQEKVVVAVDEKKEVDLPERLRL LKLANTVTFDRMDKTLLHLKRLILPEEGSPTPNAQNFPLINSLLGIQLPSWSENVPPT LGYNEEDNAKEEDVKWYDENLNDSQKDAIRFCLKADDVACIHGPPGTGKTHTLIELIF QYLSRPASSSTTQPPRIIITTPSNLALDNLLLRLHALSQSPPYSSLLPPGSILRLGHP TRVHRDLVRETLDYRAANGEEGELLKDVGNEMQGHLSDLGKKKGERGAVKGKERGKKW EELRELRKEYRQREGKVVTSVLSRAQVVLATCHSAGSRQLNNMIFDIAVVDEATQAIE AVCWVPILKAKKLILAGDPQQLPPTIMSKDDKSLKPDVEGLSEELNGISVKSRTILKP PKTLETTLFERLESIYGEGIKRVLKVQYRMNSLIASFPSDTLYECQLISHESVSKRTL LDLPSIEDKESEESKDHLAPVVVFFDTAGCEFYERTEGDDRDSKKSGIGEGSKSNENE AGVVTKWARKLIELGVNPAEIGIVTPYQAQVSLISSMLHEEYPEMTIGSVDGLQGQER EAIILSLVRSNPTGEVGFLGEYRRLNVAMTRAKRQLCVVGDSSTVGKGSKYLKKWMDW LENEADVRWAGDESV L199_005529 MPPTNATASPGPSKTIAASLSHFVIFNPTIRPDIPRSDNKDDDD DLREAAQILFYTSREAGGVSRDKMLRQVGLAKGLRGFGNMIIESSSKYTSIHGNRSRL IVFSPEPDFYVYMCITLSHLDNEKKDPVNGSQGISDEMLVDGLAKGYEDFRLLYGPFS SHTIPSAPLSTILDKFFTRFAFQFESAYLSSPSLSTWVEVYPPMSIAADLIEEYRSSV EGSLIVVGPKGPLYKDEVVDDPALIRYLHNLVQSTLPPPVISQPIPTSGDRHTMSFGL NLGLGLGRKTQNSRKSSWTTLGGWVPDIRRSSTPSSTPSFPKPDSAIKSEDGVDEARK GKWGFGLGGIGDAMGNVGNVFGLARSSTPTSVQVGSQDKQGPTTTSTSHDRQEPLTYD NNRTHHVEPASVVVEELEAAVEPDEEIEWEGKNVWIRSVQDGVYEKRRACWVIRNDIL VSVILLKDAVPPHTLPDTKATTDLFKKLIGQTSPEPHSASNNPCIALLGQDRIVTRGE LDGISDQSLIHLRSAIQDSDIQEVLAKSTSNRFLVAKKSDKLELYMKVGGDDASLTDA DHAMRTFTRLNGGISV L199_005530 MSSELTFVLITGANTGIGYQTVRHLLSSHIPYHIFLGARSEEKS KTAIENLLEGYPNTQSTLSPAIVDLESDESIEQAVKAVRSVTDKIDVLIHNAGVELDV NGPTQGLTTREIFDKTYTTNITGPHILTTLLVPLLLRSSNPRLVFLTSGTASFELSTN PEFILNKSPAAGWPKPPQRELFSYKSSKVALNMIMRDWYRILKEDKVKVWTVNPGLVV TGLGGDPEILKKLGAGDPAGSGQFVVNVVEGKRDEDVGKTVQRQWLYGEVLPF L199_005531 MRFPRYFAIFLAMFLVFISLATAVPTQTTDVDTFDDDPPIDSSI EDLESAGTPEEASQQIEMLDNLSNAQRMARGLPLRKPGHLFNARLGARAPAPSQLRRD LGF L199_005532 MISKFLLLLTVLALCLMVVQGSPIKVPSTPTTRSNTNDKETRND RLSNAQRIARGLPLKTPERLYDPTRVNPLKARAS L199_005533 MWVRRILLKASLTIFPIFGFAYRKPETDSGALPPVYNKRDAISG QRLSNAERIARGLPLKAPVKKFGPTKTEAVRCRQSQSLQTPAFYIEAVRLNSNPPQAS RVVFEVSGPLPAVGVTIKARNAIDGGVFPIVTRDWTNLDQPMSATGNHTVIFTYDPSS HTGDNGDPVGRYDATRHQSSNWFIPTIPGFVDVIWHNQDESLFFPHFFLDVSGTPGNE GRRVLRAANKAEDLKLGIYDEARYRVLLRTVPV L199_005534 MERGESSGTQHQGNGSATDTRNTDTERSRADSQTAYARNVTPSQ LEQGQGSSAAGTAGTAGTTANTKTSRCCNPLKWGRNIRESIGNIQREIKLERERDWER TRERRIEEATEDLFDEE L199_005535 MAQSVLRQSIRSINPLRRNFRPVLPIIARSFGTSTFEMSEFKQA DHKLLVIPGPIEFSDPVLLANATPGTAHTSPAFIPVFGESLKLLRKVLLSTEESGSQP ILIAGSGTLGWDAVGVNLVERGDEVVVLNTGYFGDSFAECLEIYGAKVNQVKAEVGNI PTDEAIISALSSKPKIITITHVDTSTGVLSPAAHIASLVKKHSPETLIVLDAVCSVAS EEIKFDEWGLDVVISATQKGLGVPPGLSVVLASKRAVEVSENRKTPQQGYYISWKKWI PIMKNYEAGKPSYFATPPVQLIYALNTSLKSIVSKPISERVEAHKAASKYIKDELSAI GLDFVPKSRDIAANGMTAVKFPKGLQAPDVLPKLAERDIVVAAGLHKAIVTEYFRIGH MGVTAVDRQRGDLEKVIKGIKEVLGKN L199_005536 MSTSAGKTIEGLPPVRSYDHAGGISSTTSTHVPPPEPEQGAVPN SSSVPSTPQPPTAYAQPRPQPSHSDHNVSSFPEKHKSSPTSSSKLISTYLNDHPNHSA GKAIYSNFPQPNPLFRLIRRFKVKHSVINGLTDEEMKKWQAQGKDLRKKAGWRFDHEE GEGEEAVVGELFWKMYISLLPTLERDPLSGLVPPDLLGSTTTMPLTIISLIPDIMQHY RDVIIRAEKEVFLATNYWQPSNSVNTISSALRDLSARTIKAGKPKVIVKIMYDRGSWE QLWNAHAPVNPKEWAPLDLPKKEEVQGLDMEVINFHRVLLGTFHAKFLIVDRKVALIN SNNIQDRPNLEMMTHLEGPIVDSFYEVALHSWWNKLSPPLPCMSTPYQPPLDPVTGKP HYLFQDHNPYFDDIEILKAAKAARLLLRRQTRDIDEEKAHAHSLDGPGATERLREAVK RVIDEQKASFNDWKPGEELEARAHTAMKELREFRERWGLGSTSRAPSRGPSRGPSRRA SGEMGLLRSQHKNEDEKATITSTANGSEAPSSPTVTNDVPLKSKSYPLPHDPAVDEHW DSNDFSAPAPDRQNFISQDGTRAPVKNVDGVRGRERDKKHVGFADANDDNGDRSNRVM GDGPITTSPNGSYTSLPQIHEDTPFVSSPITSTINLPQEDDTANGTEHAPVSLGEEIP SAPRDTLGEPLPLTATHSEEAGDLYEKTKKHVELSLPPSSSQRQNGEDGQSEEMQPEG TGSKRMFALSKKFNAGALSDAWATVEDSDELDEFKPHVVHKPHDPFPIAMTCRKPHGF PGHHDIRNPQNAAWLAGFRYAQKKVFVQTPTLNARPIVRAVKQACRRGVDVVLLLDLG FNDKGESIPFQGGTNEEVVDRLYKILRKDKKEQYLKVYWYTGKDQVRPLNAVKKQRNC HIKFAAYDDRVAIFGNGNQDSQSWFHSQEINVMIDSKQVVSEMMETLLSNQNTLKYGL VDSDGIWRDKEGHTLEHYGATAKGAFRGLSGFIAFAKTI L199_005537 MAPRKNKEPASWEVDADKPKPEGETRVRRSYCIKDLVTQPAPGI DTVHDVMLYAAKTHGSKKGFASRNIEKIISEEKEVTKMVGGKETKQKKTWNYFKLTPY EWMTYEEALQRVKEIGAGLRELGVEDKRENKFFNIYAQTSRNWMLVAQSCAFNAVPIS TAYDSLGPEGLKHALNETEVNGMFTNADLLGTLVKIIEHCSTVKLIVYDGKSEDGAID KLKAIRDDIKVIHLDEVIELGKSKPVEALPAKAEDVYCCMYTSGSTGTPKGVLLTHRN VVAAIGSVWTLLYEYLTTKDSYLAFLPLAHILEFVVENSFIFAGLPIGYGRVKTLTDA SVRECKGDIAEFRPSILVGVPAVWELIRKGILSKVDTAGALKKSIFNFAVKAKQTANQ YSLPLVGGLTDKVVFDAVRAQTGGKLKIMFNGGGAVSKSTQAFLCTALVTMIQGYGLT ESTAMACILNPSFMQYGAVGGPVPAAEIKLVDAPEAGYFSTNTLPQGEILLRGPAIFK GYYKRPDLDKEAFTEDGWFRTGDVGQWNKDGTLAIIDRLKNLVKLSGGEYIAIEYLES IYKSCPLVANGAIIANGEHNNPAMVVVGHPTNLPAFAKKNGLGDGEDLEHLCKDERVV EAALKELNNVGKKAGLKGMELLEAIVLVADEWTPESGFLTAAQKLQRKVISDQYADRI KAVYP L199_005538 MNQIALLLSLIPLLAQIKAQDSTQGQNSTQGGNGGPDLSQYPDW ITNDYQCVIGCLSGFNDTITTIPQPDMEGTAYQCAASKCAGDGTGNYYQTLYYIQLFY ATGSIYEWSDSAPDGYKHATFNADQDAHASASAVQATASDPWSADAAEKTGGNNAVDG VSTAPGVTGTGPAASASGSAAAGSATGSGTGTAKTGSAAVAATSSASSANGTKSANGT EEGNASSGALPAQTAGLAGMGLRPLVGLLVSVASIAIGGVFTGL L199_005539 MDSPVPATDTPSYPPTPSSPDLASEIDQLSVNPSPSPAPPSQAK IDVEDAELEKFRNQWREEVKAKKGEPVHGGARTTGIGKGKEKEVEVGPVRWKGKQNDQ PPAAEPDLPSERSGGSEKSLSPVKEKIKLPSPISPKKPLRFVPGEAVDLDKLDSYLTT TTFTPFKPASVHRPTRFTGSIAPEGQERESAIQIYQRAIESEQSGKLNEALMLYRKAF KLDDDIDRTYARSLKNKSNSITQDKKSQETELPPTPSSSDIISSKPPSIEPYSFARHI QTAPDYEKTHLPAPAFTTSPLPALSRPAESVYPISPLTRMFNNLSTPSNELTFHPDEE ELPCPITKLPNELFEPILMNLDVTSIERFGSACWKSRWLTHVSLAWRDIAERIYKPPA MLPPAPQITGDMQERTGGDGENEGMISQKFNEESRVEPIRVKDLVKRHRDEWRTTVVE EERIRMDGCYIAVCHYIRPGAGEEWVTITHMITYHRFLRFYPDGNVISFLTTDHPSEI VPSLRPSIRGKGLHFGRWRLIRSDSDKNSNTNVDSQTTKDGKKFARVIITDLLEPGNE SPKYEFEMELILKSTGRGRWNKLEILEYRSINLMTGEVLALALKHQKPFYFSKVRSYN PPF L199_005540 MGIMDKVKDVMPGSQSGSGGQQSEYSKPGNDDYNNPSYNQGMMG DNSQNERGTSFESSRGSDLGMGSPNDTFTGADGTGAGNTGRFAEQGSGTGMGMTGYGN TRDDESGENMGMGMGGRTGQSGYGDDMDRSGGRMGMGGTGTSSGMGMGMGRDDDNLNT MSGRGDMTGDDFNTSSTGGTQNLRGTGGGGGMGMGQTQGYGQSQSDY L199_005541 MSLSPNTEQKTKVLITGANTGIGYETCLALLRSPIPCTIFLGSR SRINAENAIKKLYEQINVDRSSSGSDSEVIPVVVDLESDESISACYEEVKSRTDKLDV LVNNAGAAFDGTGSKNGMSQREIFNRTFDINVTGTHAITQTFIPLLFNSANPRILFLT SGSASLTRTEDTSFSLNKSPGPGWPKEEEGEGGYLSYKSSKLALNMIMRDWIRLLRND HKFKIWSINPGTVLTGLGGDKEQLKKWNAKSPETSGIFIRDVIEGKRDDQVGKSISPP GAPSGDILPW L199_005542 MSSPTTVLITGANTGIGYQTALQLLLSLNDTYKIFVGARSIEKS QQAIKELRQDATQTKSELEPLVVDLEDDESIKRAYEEVDRKVEKLDVLVNNAGVLLDS QAKIQNLSTRQLFLQTFNTNVFGVQVLTERFIPLLLKSTSPRLLFLGTSMSSLTISEN PNPYFNHPPPAGWPKENPDSWAYKSSKLALMMIMRDWSKTLRNDNVKVWGINPGLVAT NLGGSKEFLKSIGAGDPAGSGRLIRGVIEGERDGDVGKLIAAEDDYFGSVVPW L199_005543 MSAIQQFILNPANQELLAVLKGARNGLVYGVKIRFPHALVMTLL FSHKPWPAKIKGIFTATRTHALNLCKFVTIYKTLLLLQKKLNGGKERDLDTFFAGGLG GWLVFGERTPINEQIVLYVMSRVLLSLLPRLYTNSSVQQPSTPISPLKHPLPSLTSPQ ANPKPIPPSQLPFAIVSALSWAGVMYMFRHRGERIQPGMGNSMRYLYHDSEAWTGLKT LLWHNK L199_005544 MGLQRTPPTRSQPLPSVSPVPPPVQSTEDVDAPIQPQGHDEADK TTISNISDSKAFAPRHPGVMRTPPHSSGSAEHEDQINRFEHVDERPIRPAPFPPREET SLRPMELDVPQIQLEADKTIVIEEDSQAESSIEQQMVIDTEQSPAKQISMPVDRNQIH SGSAPMEIDIPVQQADPERSTTPTYNPQQPSAQAGPSTIHAPPPTTPSSQSTIPKTPR SRRKTMEPLPSPPRIPSVHQEEEYQFGRRYQLTMETLERAVKAGAQRWTTEHLKGCFP QLTKDLGKPMEDICTSASQSMRQNILASAAEHMKHYKVGPALQAIDEVDKEAKDYRRF NPPESDIGKLGRPDAWRPDVTPNALTISSVLPVYDNSYSKLREEYLELHKYCSDKYKT LIEKQNQLNELENGVSDGVIELEKTIEILYNLPMEDMMIWTESAESKLDTRAPEQIQ L199_005545 MFLVFIRRLRKASSDTADIVIARVRTHRRVEPDDSLTPPSGNSR SSSPSGQPQGRIVSGSLKDRIAKFNNPSAPPPVPRSQFPHSQPSVQRGGLIGNRIPSL DPKSAGMINSGGGGHPVGNRRISENRGLIGNRIPSMGSSGQIYLQNQSTGSSNSGSTP SSATVPKPSTATGTGGARSASPSGSVDSSAATLESSNSPITSRSSSPPTSPGAGIPPS LLAASLPTLDDSGPGAATPSSTRAEAGDTVSELSLSVPSTPIATGTPPLPAPEYDLVA PNLKLATANAAGVAVNPMVRGLSQQSSNSKSFAPSVSSSLATASRGSEEETQMMQDVS GVSTPVGTPRAARRELGEGSVVGEGSVVGEGSVLGDNDVEELGNRLENLEVKKGDNDD KDVTPEPPKEKLPVEQSSDLPEGAIVSDEISSAADDASDVSEAGEPIDEESRPEDRTK EENPNLAPDLADLKAGRLSPAPKTSSDNKANERSQSQPDSYHSQHKPDPNQAPDLDDL KAGKLGAAGDENKVESGPEEQPSQVVGMSVPPNAMVGDTYTQNLAEHDIAPDEVKEQG GEAGQRMEDIETADQVIEPGEEESQDISNYTGGINEKEETVFVGPGEMEAKDGGAKKY QPLETDFEIQDDEVHKHEERGASNEQHADPYANQSKPPKNNDPDLINPTYKGDDSKSA KKDPYKPEDKPPTLQEAARPISEVEKNDKAKEVGDQQESEDGGDGAAEEKSTADELPK GDIRESTSEDTPTQDVDPVEVTSSVAEDIGEPTQPTGVEVPPTPVSVRSEESKEDSPK GEDEAKSPSDIVGGSPTTPKQEPSDADAQDLASKTDQPPVTAIGAEVNKEEVEPTSPS DPKQLNFEPAPIAQPASANAEEPFYTAKEDISAEKTPQGEAETSAPAFPDPPTADPDV EDPISTPVELDIPGVSGGTSGSGVTTPIDGNFLKSFPDVPDEDKPRVEVHVSSPVNTP QKQSFHTAPPAAPSGGDGASEQPSRQDSGDSNTSQKEIEVPGQYPTTPIAKIPGKSKS LKNQRLSVDQGSPLPGADNIEKEDGGVGGGGEEGEEDLEATPQAIKPSSSLSKRLSAR RSPKSPLLDDEDPGDFEAGEGWAVVTK L199_005546 MLNTITIALLPILLGPVISASAIPISTRQFSEPDLGPAVKIQPI SYDDLCLTVIGPKLVPGAAVELATCFDSSDSNVNHQQWHGWSNDPNQQFTLSIQDNDN RYCLDKGEKPNGDGDSQEFNGTKLKLTECSNGVPNSQLCGYDQSNNQLRFVDIFAPNT DSKKRCLDVVEDSQSYQPDGLEFSVQKSVQLWECVDGNTNQIWRSTDA L199_005547 MAKASSAQAGPSRPNGGRPLKPIHKTVDLLRSNEGAKRKGKGKE KEVLGDGVMGLVDDVKRLPGMIQVEKFAETRALEIHAFQTAIKVAAAQGSTRAFQSLP RHLRRRAASHNPRRVPKRLRSKAATEIDSGDTIAKKHRKIARLRQKGTLRDHLSRTEQ FALRQKNKTWLPTHMWLAKRYHMTNLWGYRLPLTPTLKSFRPAYRAGRRKVIGFDTSY YGIIEFEGSRQEIISVLSRMSGGSFAGSKYEDGSRVANILLYYFDSLPTNLIGPAEVI WQRPSPGIDLQPRVWLRLHPSIFNETWDALKVATAQLQQSGSSRIGDLQIRDLRGDIN SIDLIGPKSGKVLRRVSRLCRDEKGVKSKFFESLRDLDDPAQLTEGMVVGLKVHDPRL NFPPPRLSPKSTDMIEEEILRSNHLQPSPDLAQSTLWDSNVREDLSKAAYTKYQLDAR RHRLGLPGTKLRPSSTDDRLPILLYQRSISSPSKPSEGFYGFTILLPPGTWAQYFLSS LVYSGVLVGGLRERAVQHREAGVSSFPEHYGQTCKAGREWEMKKGDKEKETFDRKPPG KRPEFRLIGTQDPWIPDWNKVMSNQCSEESSLNGSGSGAASKPWLLPSPFTSHLTPNL NSMNLLRMLNAFREQRSLVPLPSEKGRQLFDSALVHVEVNVLGRGSPGDMAILCTLPK GERVKWIEAYEKGAQVESGQLSDLHKLEEVLPSQDSVIGYTTTGNFSLSRGQGYAIGA ITLKSYIDLLKTAGPEEEYKDGWEQRVLVRVRNRDGRLSRLAELKLILN L199_005548 MVRPPISIRLLHTSLAHRTPTGAGPSTTARDSLASVLSSASADE ATRRLFDSMTSRVRTEADEKRKAFRAGSYAPPHSLTSSSLYPEPRPYAKAPLLGPSKK IATKIDPFHLSQTSPLDYDLNPHFALQFVNPMGKIKSRAETGLTWKNQRKIGKLVRRS RAMGLISRWSNQPVQGGVATSDGRIIGRY L199_005549 MPSSLPRMVLSPILRLLRLSVPVSGSIPNSTTPASFLTPIYLAL IASLQRLDLSRDPRKTLHKLSKHKFTIMNTLPQLFMLICAIHSLWIMHSYILKLLIPL AYITAVILPITGQFVWPATPVFCWLLLFFTARYISSASRPTIHVALLPALESVLYGAN ISDLQTRYTNPILDVIAWLPYGVIHFSIPFVVALILWMFGPRGAVQFWGLAFGWMNLL GVFTQLVFPAAAPWYEIIHGLTPADYSMPGSPGGLLRIDRVFHSSGYTNTFGNAPLVF GAVPSLHSGCAVMEALFLSHFFPRFKPFYWGYVGVLWWATMYLSHHYLIDVTAGACLS ILVFYLTMPLGFKDVDQINWTSNESVQGYEMINQGGPQRVKEELDLDEEIRKLEESID MDEDDIARNDEESKVRGIENDTVFPGKLTEEPAASGSGGGTKDTAGQEKPKIKKKRSV SWGETKVLGESAGGDISDQLGENAKKETG L199_005550 MSSSHLTIQQFTYDPHTDLIRSINGHSELSSVVINDGSPQSESG CSVQSLVTSSENDHHHQDSVQGDDLKDLEIELDTIYQRFSQPHLEKGNNSYRIYSTAR YYPSLKTLERFSITASPTSDGDMSIVNTMSKDLNEGLNKNRDWFRDVVDNHHLETYQQ TERTLFNTIILKHEHKIKNGKRNMVDLHQVTPEEFKKFTGIGLPNKSPGLSPYSSDSE IDIEGSIPEEEQESICDTSQLLNEGHQGQTEPSTTSQRTMKQKHMKRLSKRLKYVWNT KMNCFNSLDVDWEEGEYGMVPTQSELLFN L199_005551 MPPTQIHNDAQYEAMDHPKEDCDAAGAPIRGIQDHALIGNLRTA ALVSLDGSIESMCIPYFDSPSVFARLVDANKGGHFSITPTWPFKPKQAYAPNSNVLVT KFLSEEGVGVMTDLLVPKGANLTGSQSRSFLPWLIRKVESIRGKVPFRMECAPAFNYC RDKHTTELVDDDSVPSETEHTHKGLFTSPSLTLDLRYLTNSTDQCVSDPSIKLQVEEL KNRELLGPAITSNFELEEGQCVYFVLRECGDFSYTNAEHERIANPNPQRAQSVGIPLE TMLSAASKLRPKENPMLTLSLLKALIRDTNSYWQNWINRSKYKGRWREAVHRSALVLK MLVFEETGAIVAAPTFSLPEFLGGQRNWDYRFTWVRDTSFTLYALIRLGFTEEANAYV DFILARLRDRNSDGSLQIVYTIHGGKDLEEIELDHLEGHRGSKPVRIGNGAADHLQLD IYGELMDCIYLAQKYSKPLSWESWVAIRQVVDYVCTQVDVPDLSIWEVRGKTKHFLYS KVMLWVAIDRGLRLAEKRCLPCPNRYKWLEARDKIYEDIQSKGWNEEKGFFSQSYEDK DSLDSAVLIMPLVFFMSAADPRFTKTLENILKTPEKGGLTANNSVYRYNAAISDDGVG GEEGAFSLCTLWCVEALTRAGVYHKPYLEKAVNMFMDFLGYGNHVELYSEEISPGGEG LGNTPQAFSHVTLISAAFNLDRALSGGTMSA L199_005552 MPNDPRRQLSVPVQPTYRPTSPSPKTAPPVTVTNQQRRPSSIDG NTTASSFQPRFLPPVRKRSLPLASRSAHPLQVEIDRLTAVCEEHERVIRSSLSTIKHH VMTNMPDVFERVNKIDDDAEAITASLQQTLSAHIPPFQQHLHKIVSDRSTDVELMKQR TTPWNDVVSSDHTSLSSLSKGINGSGNEHGMMRLQRISELDGEGGDALTRIEMWADEV DMYLSSEIVRVKEKLATKTSKRQFLKRLVICLLAAKGYDHFSNLT L199_005553 MPYMHQYDYIFAIAILFAALDAFNIGANDVANSFATSVSSRSLT LRQACLAAAVMEFLGAVLVGAKVAGTIKNGIISLSAFQSNAGVEMLGFTCALVASATW LMIATRNSWPVSTTYSIVSALAGVGVAIQGKDAVQWGWNGSKGIAAIFAGFIIAPGIS AGFAAVVYLITKYAVLERKNSIRAGMMIAPVYFFTVAAVLTMSIVYKGAPSLNLDKLS QATIALAIVLTGLVVAILSILFWLPYVHAKVVKKDYTIRWYHFFYGPLLWKRPAPTES LEHAHQHVPDYRVLGRDDEKKGFEDGTHPSTLPINSQQPQEEGSPNRVKNVELGGDLE SQSSPTRPHKPVPLEEVEVDPTTQIEGLWILPRNLWVIIRYKIPKILLHGSSVDVHAM QAGNGTKQANRIHEMHERASQYPNETEHMYSFLQVLTACTNSFAHGSNDVANAVGPFA AVYYVWSHGEVTPKDTPTPTWILAFGGAMIVIGLATYGYNIMAVLGNKLTMHSPSRGF SMEFGAAITVLLASQYGIPVSTTMCITGATMGVGILSSGFKGVNWRSLGWIFLGWVLT VPVAGVSAGCLMGIILNAPHF L199_005554 MTTAISFHAAFLEDILNRQTKRRRTIDPVYAFPHQPQLHTNNRP EPSPAGKSAPVDLVSKDNVINYVKEEETIRNDYCDWFARSEENEFPSNWILGARDEEI CEEYPALKKLMNLKSSLVSTHSHPALYLQLPSDSPNAVQSGLGTNKFDVILINPLVSS WEQTSNLPIRQISSDPSFVFLWVGKGDNEGLERGRECFAKWGFRRAEDIVWVKTNKQD SSSKTYTHAADEDRKNANTNQEQDENLGLQSVTKRRKIESGSLFASQKEHCLMGIRGT VRRSTDMRFVHCNVDTDVMIWEGDDDRNSPVFPPYLYTLIENFCLGTRRLELFPTSPN PRRGWVTASTTPVCSITTEEVVPFDHTTYPAMITESDGRPVLPYHTEIDSLRPKSPQR RPRNLPGGNGGGNLTPNSTGNRPSPNPNPTSSGFRSQNRQRQQPQQQPNMGMSMQGYN PFNGQVNNPMMNPMGMMGMGVGMGIMPFANNPYGQMGMGINNGQPGNFAQIPMGMQMP MQMPMGMGMGMGMGMGMGMMPFGGSGGGFDPMNMGGFNPQQGQGNQNQQNQGGMGWQG SWQ L199_005555 MFVKTLFPILPLIASVSATAKPRRFAKRQEGASKIQPAREDNLC ITVMRGGAVVGAPIQISTCFDDSDPYAHLQRFTCSEEDQGGFLSIALECDPSLCIDRG SDDSDDGSALQLQECMGGTTSAQNWKHVDQQIFSYFDGNQKCLDVQEGSEHYQGAGEP YASEKSLQTWPCFEGNTNQMWKLTQA L199_005556 MLAGVLLAILPILGLASASAVPRAVTGTIHPASNSNLCIAASSA TAGASVGIETCGLNPSLEAFNVTSSPTWDRVRIAVKFNPSLCLDGGSRAQGGDVLTLG TCGANTNGQLISKSTDGFLSFQNAYCFHKKSDSELDIQTCWTMDDPLRYTVS L199_005557 MVSPKYERFTLALDDDAIDFFPNDDDNPSSGSSDGFEEIVSATI LQFARVESAIGTISEMWTGCYKYIASSFKSQNVKATNHITS L199_005558 MSFVGLNLTNNYSLYAVPVAWAVAVAPHFYAIALYNSEKAAGSP KWDNTEPKKNIANIKEAKLSPTSQGKYLRAEAAQQNGLQNLPLFAAAILAGNFARLSP SILNTTAAVYILSRVVYSFIYITNTSELSANLRSATFLTGVATWMSLFVRAGNKLF L199_005559 MSYAYLASTPGLKFNYSLFSVPAGWVVGMAPLWYAIGAANSAAP GTYQNANPGESWNNLDSAPISQQLRRRIKRAVAANNNTHVNLPLFAAGLAAANASHVD SASLHLYSAGFLVSRVAYNLAYILIEEESYSWFRSIFYWTGVGSCFALYVKAALKYKS LPW L199_005560 MSYAYLASTPGLQYNYSLYAIPVGWVVAMVPLWWAAPIANRASR GTYDNANPKDSWANIDSKPIPQQLKGRIKRAIAAENNTHTNLPLFAAALVAANAAHVD SSSLHFHASLWVVSRIAYTLAYIFIEDRKKSFIRSSLFGVGIYSCFALIIKAAKKYSA VPW L199_005561 MSLAPRQLPSPAPTPGSSMLPQPSTSDAEPIDQASLRAELEAQL LKLSQDLYELEICAGDVGQGMEDAVPNYLMKVNQGFINLERIAGQLGESVPHQIVEHI DRYKNPHVFTKNTLTRAVGENQYALGRVLGLESFRRQLHNALNEEFPEVPLPDRKHQP IVLSKVIVDENMNNEESKGSQVNGDTDVKVEERNQ L199_005562 MKYALLSLLGLMALAQSKELQDVYTPKDMLSTPRPQPAIASPDG THAVSVVDQWDPEDDKIHRSVYLLSLNTTHTLPPLSLFNTSSAQASQFLWLDHANLAY LNGSSLHSLSIEYNITKPPTTPASSSKEILTFPEGVNPTGLQFEPKSGSLAFSGQVWK ESGDFNQTSRYDKSWDERGDSAWVYDELFVRHWDEWRVPGKVWTLGAIKLSHDSGVWQ ADHHGKGAKFVNLLKDTGLYSQMDPISSFTITSNTIAASIKSPHLNYATHTREDIYLL SLPGHSFSSSPKHLTPHSHGAISALTFSPDGKKLAWLEMKKDGYESDKRVIVVHEEGK GIKRWTEDWDRSPSSLTWSLDSNSLYFTAEHHGRILPYHLSHPDHLPTPLYFNGSTTS VTPLTDRTILLSQQSLTSPSDDFILTLPEPKKKHGKTLGFASDGGDKDGDKLPHDSLR RLTAWSVRFIKDRLEGLEGEEFWFEGAEGKEVMGWAVKPRGWEEGQKRTWPLVSLLPV MRCVKCRVADDAGLSGGPQGAWEDSWSTRWNPAVFASQGYFVIAINPTGSTGYGQDFT DAIQCDWGGKPFKDLLAGYQAALAKYPEIDPDRTAALGASYGGYMINWINGHNDHFGF KALVCHDGVFDTVTTYFSTEEVWFPTQDFAGTPLTHRANYERWSPVNHAIEWTTPELV IQGGKDYRLENSQGLGAFTALQTQGVPSRFVYFPDENHWVLKPHNSLKWHHEVFKWLH EWVGRAHDDSEVSEQDIMRPKFIIQA L199_005563 MVKSQRSSTTLFHQWPNKYHNHNQISQPPTTPSHHHQHQPSGSI SSISTPFHPQTSRSGQQYHPDVNVSVTPSNRAVYAPGLQDNSPTPGSPSQIDPFGSQV TSPAPIQLQSSSRPPSTVLSSGGSPLPGSTHSVVTPKIILASPSTSTIHYLFPSSDTK NGIHLSTSTSTNISQDNSAGLCQADGKPLRRGQSLSRGNSVKYRKNSQNIDQHQQLHR DVPGLKNKRSLPTPNLKHQAEKENSPNPTKVNGPTGGVKKSDISKPYPSLSDEARDYS KGYYDVGPEADTMGTLRRQPGMNDLNVKSRVGLVESHDNIVNGGTGMKRRESVILKEI ENRRERRGWEIGSKPAHGNDPIEPTSPSTKTKTSTNVTHTQIRGANQKKPYIPPITVI QQRRRSQSLTSPRPAPSPPADPQNSPLLPAPDLGDPLSLSVVIPSPSYSHLDYSPAGS SASPMSRSQSKKGGWSKRTSAIFPLSVAMSRGDTRKGLGTYDSAKLKAQQDFEQRTNW TSIGLEGRMASIKRSVSLRKKDSSEESGRRTRPRSQSLTTTKSIRELDEAPPLPSPSL MKGGYIPYGGEYTSEGYTGELSIDLGSRYHPHHLEGCSTTLEMDLASPRSAETSIFPA STPTSLEYDHHLTSRGHTVMIDKVIRIVGRPLHPAFNESEGSLSIMAPSTVGLGFSPV GTPVSLPDSLLEEAIDLTETGRRLSSPMIEAVITPPTPQNQGILPRQALLAPCRSHRP EDQNSHQYSPSLSTGSTGSGTGSQRIPRRSLLLAQNRFYIPSSHAKPSPPMQVPVEVG VGVGGGVGVGRKPSLRSSKSLGPGIMKRAYAPFDLKADVDGNGQPGPGEPSNSTSFGG LIKSASIRSTTKRFSRILENHDQHTHQQQSYGTERISSTSSMKFRSRPLTTFSICSPS DAIEPLPQNNSPSPGMAISRTLRSNRSSPFLSASNSLRILFGKDGFVARSLSQAFDKD HNNHQHHHQPRDSVYSEREKSLSPRSKEELKLRISSPLEASHNAEGVRRRHRALSPEY GEEAREKGRDADKKWRESVLQEALSISISNSSSLHKLANYDKTDGGAVPRMVSSGSKS RLAIPDQLLSAPNIRDSTISNGTDGLRGIGESMLAGNGVNGSLESSLNLRAVMMQDWN KGEKEKENGKSRMLEAVGREESMTSAPSFYSNNASTYFEKPSTSTRRLQGKGLPSSFS IAELTKGKKSISKSKPRSKHEETCDRMNIRQISQPIPISSPLGYNDRIKTFDLNTANS QEKIMEELIDPSFRDNSRKSNSNNGIGGSMKGRNTSATSSIFGLRSNSKSPESSLCHS TNNSKTGFGLSLRSSLKNKSVGKNGICSNDYLTTNNTVASSSKRTSSQQHHRPAVLDT LLARDDTLRSEDIDPTSTGASTSASNDRDKLRKVLEWRDEVGENEHLARLEQKIRGFV IDERERVRDIGRNSIEG L199_005564 MSRKSKATSKRLHLTRHAQAEHNVASDYTIADAPLTALGRKQSR ELNELTKDTFQKTAELLVSSPLRRPMETMLIGYPSLKERLDKEGKPVILLDTLQEVGP YACDTPTYPISKLKSSNNGIFSDLDFSTLSEDYASKQGIYAPENGGERAKKVRHWLRD REESEIVVVAHGDILRYLVDNQQSSRPWDNAEVKVFTFVSEDDENASLVEVDTHAEPR DATDEPTSREMRN L199_005565 MKCILIKDGTGPADNLHMGEEKTPEPGKGEVLVKAFGLNRMDLL QREGKYPLPPQASKTILGVEFSGTVDKLGEGAKVYKEGDEVYGLAYGGAYAEYIVNPE TMLLPKPKEFDWVEAAGIPEVWMTATQALMVETPLKEGQNVLIHAGASGVGIAAIQIA LHVIKAGKVFTTCGSDEKVEFLKQLGHSDRLHVFNYKTQDFAKEIKSHAPGVDLIIDF IGKQYWNQNISILNLDGQMLYLAFMSGAAFPEGANLAPILGKRLTIKGSTLRSRKAEY QHGLLEVFKERVLPGILKGELKVKIYKTYPWTDVIQAHKDMEGNKNSGKIVLEVTE L199_005566 MSLRSVCNIRSTIPRTTLTLTRTYAVKSSSSSSGNPALHVPTDS RSDLLRQVLYPLDSYSPTSSSPTGTYHADHLNRLQAVIPSEEVHETIERAWQLRQRHL RQSRQKMLDAKFKAMVEACNELEAITNPSNGGIESKEGKGGKYHRRIYEIATSTTHQA ERTAEERSQKGKKSVEQRWKETRIPGLFPRESWVPVESKGKGWEYDWVRPGY L199_005567 MGFDLERADKSITYLAINNNEMPNSHSNSFNGTYPAKLEDQTRL KELDDQDQLLEKQKQNGLDVDNDTHIHILAGNTDFSLPNAYVQGTAPPLTARPYQLSK GYSHLVESPDKYREVIIEKVGKLQSTLPQLGSDDLRQARADFVYLKSILDDEGVDIAQ IKAGANWASRE L199_005568 MNGYSPKSASSYGLPSPSTSYRRFSEEQSPSPLPQYSLSSDYTH LVEEPGMKVVIARKVAKLQDSLPNLSSDNLRQAKADMMYLLTILDGQGDAVAQCKAGA VYSAPV L199_005569 MAISKKAGKKGVSGLLGGGGGGATKSQKVQKADWSEGFKKKKAA GVPDMTLLSTITNEAINDNLKQRFNNQEIYTYIAHVLISVNPFRDLGIYTTEILNSYR GKNRLEMTPHVFAIAESAYYRMTTEKENQCVIISGESGAGKTEAAKRIMQYIAAVSGG EGSSGGIENVKEMVLATNPLLESFGCAKTLRNDNSSRHGKYLEIMFNSLGQPVGAQIT NYLLEKNRVVGQIDDERDFHIFYQFTKGASAEQKEAFGLQGPEAYAYTSRSGCLDVKS INDVSDFQETIRAMQIIGLSADEQNSIFRVLATILWLGNVEFVEGDDGNATIADTGVT DFAGYLMEVDPQQLQKVLMTRIMETQRGGRRGSVYEVPQNVAQASSGRDALAKALYNN LFEWIVSRVNVSMKPQQNPDYVIGVLDIYGFEIFQDNSFEQLCINYVNEKLQQIFIEL TLKAEQEEYVREQIKWTPIKFFDNAVVCSLIEDKRPAGIFATLNDATATAHADPSAAD NSFIQRSNMLASNPNFEARGNKFLIKHYAGDVLYNVSGMTDKNKDTLIKDILDLIEGS KDDFLHTLFPEKVDHSNKKRPPTAGDKIKASANALVDNLMKCQPHYIRTIKPNQHRSP TEYDDKAILHQIKYLGLQENIRVRRAGFAYRAEFSKMIQRFYLLSPATSYAGDYIWNG DDRSGCERILMDAKISKDEWQMGVTKAFIKNPETLFYLEGERDRYWHTMASRIQRAWR AYVRRKVEAAIKIQRFWRNQKESLVYARKRDYGHEVLAGRKERRRFSLLGMRKFMGDY LDVGGTSPQGEMLRNAAQIGPAETVHFSSRAELLVSKLGRSSKLSPRFLIITDKAVYF VVSAAKDGRVTTSLERKIPLVTIKTISMTNLRDDFVALNLPPCEEGDPIFTCAFKTEM MCVILTLTGGNMNVSIGPTIDYLKKKDKKAQIVAKKDEAVRGEAVYKSHTIAVGSGEP ASSLSDPMPPRKPKAKKAAKAAPSSRPTNRPTAKTLPGATKPSAPAALASMPSAPTAT KAPAAVKPPIATGAARAPPSIPGRGAPPPPPPPPPAPSAPAKEMYKALYAFAGQPGEM SLVKGEEVEVKEKDDNGWWMVVKNGQEGWAPSNYLKLIESAPPPPPPPPPAARRPPPA APASAMNGSATSTPPTSRPSSTIGNKPALAPAIKPKPAIPAKPSVGAKPAGLGGGKPP VPSAPKVQPSSAGKKPGQVAQPQAQGGQMDLAAVFARRAQQARGE L199_005570 MTTLRPSRGDPGIHEVWADNLETEFAALRAAVDQYPFISMDTEF PGIVARPIGNFKTGSDYHFQTMRCNVDMLKIIQLGITLCDEHGNSPEISTWQFNFAFN LSDDMYAPDSIDLLKNSGIDFKRNEEEGIDVEYFGELLITSGLVLFDNIKWVSFHSGY DFGYLLKILTCEPLPANETDFFNLLFLWFPCIYDIKHVVRSVKTLRGGLQEIAESLGV TRIGPQHQAGSDSLLTAAVFFRIRSNYFDNNLNDDYYKNYLYGFSSGRHGKISPPVAP TGLIGNEKPY L199_005571 MPPVVGSHDHHQHEGKDESPRNGNHQHGRRRAPGQPLRRGDACL MCRAKKLRCSAQKPVCDQCAKRKDRCVYDAVRPASRVEKLEKKLAEMDAEDFREAMRR TAFKNYGRSDFENLAESLASPPSSNTIGQDNGIPNFSGFNLIGSSQSSHSKDPNGQAE TLSPTSFDQMLNHDSELLVDINDMPLSAWPWPQSGTSSILNFNHNGHQTMFQPSQLQQ TRLPDSTLPWHLIGSSNNLSFTNPSDSPPINGLFDFSDPSTANGSAASSRAQSHDSTS SPTPPHFLKSNTSPPVRGLNNLNINLRNGHDSSVAIDGLSTVIPHAMLPAHDHIQSGV LSNHGLGISLPNGFGSNFGTSLPESQEITPASEVIRSVLQVKRAVTAENKSIRAKELS DGARDYLLDLFFSTDPPRPLYGSEFFTEEEFRSRLALQGEDRPHPSLVFSMCTIAASE SYVPSIRKLAEPLFKIATSKLEIAIRQEDRLISAIRASKNLSKWLFAQGRVFEGYQYS CKTISLCIACGLHQIPSSIFTRAPESQMAQDEKARYLLEPPKSQGELAERIHAFWSAW GNDKGGCLTHHWSSTIRDEEIITPLPRPSEDFLSDALLKEPDMTLRDLYDLAYRDNAS PPKSIYGYILAAEHLIYRAMNILSQPPEAMLSSYRSLGLFGQSQVWTPREHYPTAYKE IMETSLWLEEKIPEDWRMKFLITGKWGEPDIPIVALCLKTARMHLHPLESDFDRPIGL CLAFDSAQLIKTLQVYYKNVVTSPDPTSPTQPCTLNQTNTGHSTFSGINNQIPDSKDN SRNRGGISGPYGMSPCYGVVQKLVEGSIILEKIGQMEESRKCMQEVTILLDGFRGLAV HCKIIAAYVERLEKLLPN L199_005572 MTAQKGVDGKEVAKHNSRENGVWIVVHGKVYDVTDFLDEHPGGA EIILRYAGMDATEEYEPIHPPDAIIDNLDPSKHLGPLIPSTLPPPPVSASAPPPTSVS IPQKTNEPLAGGEEEYAKPPLEEILSLHDFEAVARRTMGKRGWNYYSSGADDEITMRE NHNAYHRVWFRPRILRNVGTVDYSSTILGHKTSMPVYITATALGKLGHPEGEVTLTRA AGKHNVIQMIPTLASCSFDEMVDAAIPNQVQFLQLYVNADRSRTKKIIKHAGERGVKA LFITVDAPQLGRREKDMRTKFVGNASSQQTQGGDNFKRDQGAARAISSFIDPSLNWND LAELKEAAGEMKVILKGVQCWEDAVMAAEYGCDGVVLSNHGGRQLDFAPSPLTILPQV VSILKQRGYMTPSRPFEVFIDGGVRRATDVLKAIALGATAVGIGRPMIYAMSTYGEEG VSRAFEILKDEFEMNMRLIGAPTLSDVVPNMVDISSLNSASGGITMYEENYERMLPVG VKARL L199_005573 MAPAGTQSPSSEKPDPLVPGGGKQMTQADQRPEASGQNPGPAPG EQSSGGVSAEALKTAQQSATAVEAESRKDVETA L199_005574 MSSNSTNPPEDLSSSSNVTQTPAKPYESPMNGNSTPIGRSRALR ARPSDPGPSSLPAPTPKTPITTPVNVRAPTPKEFNQISRRLGDENIREKREELLVEKE KQLKRVLDSHDDAIREQFHLERFVTMITGWDPQAAKVDNSPVFLEWKEQRHNLLSLLP PSTSLPTGSASAGPSRPASSVPARTTRRLAHEQSEILSHVVTPAAPPMVRQISPKKFI IKGNGVSSSSAPLSDKAKGKRRASDVPIDDVQVAEGTPTRGKPGRKPRQTVSTAEMPP PPIPTQAKKGKVSRRTTMGASAEEIAAEVEVKEEIQDPGGGGKGKKRARQSLPNLPAA KKTRQHQIPAEEQVASPSPVIETSTPREPPPSPTPAPAPLPSLAHLPFPPPPKRPMER LRGSKTIQYTEPSQKPPSSPKYDRHISPILESYIHLEDSGPTLDLKTLESRAAKDGYF RARVVYLQSHGRLQRLLDEAEDDQVSLTISKNTSKSQSSKLPPRKTDYQDSLMAHMVQ VRNAMLNEAKMKPVVCKRIARMIQVYWERIEGREERERLAEEKEKKRLGKELIKGLRK RWALAVKIVRAKLLEIQKIEQDRLGKEHLQNILQRSTGLLEAQVAGPELDEDGDEDAD SNVSDATEDVSAAEDSDEGEGLAEGEEGVPVESSVPPETPLEDEDEDLENEEDENDEE KEDASEDDDDDGVQPDLRILIGEDEEMDFNGEEEPAANEDETVIEKVSDLANKHDQIS PHSDRYPHIDISTTSNGPQSSPSQIPSSAPDPLDLTTRHTTTQPEVNGHSSPQKPSTP IPRSRRARKIKLPVSLPNEEDPDINDIEFTATNTSDVDDQDAELDVEMEDEDEGQERD SEDEGLLKDADVPIEELLKRYGYPVPGERYEEVDEDDHDQQYGRDIEADQGIEHAIAM NEEGLTTKVNGDQADDEADIGEPVETPAEVHVDTLRNAQSSQKIATDKSLLDDRLPET LTSPQLIVEGKRQRRVRSVWSPDEKTPQHLSSSRRPKIEVVDDQEQESSPEPTSSEEE EESSDEEDENEEEGGKSMEVDEEGPRVRQPFLLRGTLRPYQQAGLEWLASLWENGMNG ILADEMGLGKTIQTISLLGHLACDKGIWGQHLIIVPTSVILNWEMEFKKFLPGFKVLT YYGNQKERKEKRVGWLSETSWQVCITSYQIVLADQHIFRRKNWSYLILDEAHNIKNFR SQRWQTLLGFKASRRLLLTGTPLQNNLMELWSLLYFLMPGGIGADATAVVGFANHKEF MEWFSNPMDKAIETGDVMDEETLATVSKLHTLLRPFILRRLKSEVETQLPGKFEHVVY CKLSKRQRFLYDEFMSRSSTKEALTTGGYLGVMNTLMQLRKVCNHPDLFEVRPVRTSF AMDSVARDFEPQEILVRQRLLAEEDDSKVDIFALGMAITTNELESGWVCRSRQSLDAS DKLPHAVEPVITRRGKPPAGPKKDTRTVEGWLKYQAWAQEQASILRWRSLRDVNRRRC SPQPIYGSTFLDILGHTPNYLLPLTSAPRKDELFAEYRPPASNLVLSLPDRAKTLDPI IDLFAVIPPNVVARDMSRYALPNLTPSSHPLLTEESFDTLHRSTVKLQIAFPDSSLLQ YDCGKLQTLYTMLRDLKAGGHRVLIFTQMTRVLDILEIFLSYNGHRYLRLDGSTKIED RQVTTERFNSDPRYFVFIASSRSGGVGINLTGADTVFFYDSDWNPSMDRQCMDRAHRI GQTREVHIYRFVSSHTVEENMLKKAEQKRLLDKMVIQQGEFNNDWWGRVGWKDMFGDV NEQEVKEGKGGEDGDGDGVVDVDVEGTPTAEEITKLKPRVGQERELARVLAQVEDEED VAAAKIAQNENELDFQEFDEVPSTGDAAKKHQPQKVSFDVEGSNTPITPQTPAVGQDG EEEREGEGEYEDDGIGAIDEYMLKWVEEDWITYFIGFRA L199_005575 MIASPQISTLELPQKASPVAVRAARHAASNQHALINLIRLVKSL EVKVNSDDVDLATEPYTAKKEWETVLYARALLDALKDGNEQSSSTSSNLSLLGKELSL IESAFRIRLSSPLPTPALNPAVIALPMTPNPSNPPPTESIPPASLHTSQKSSPPTVTP IERVPPPDGVRKRRSRVDEYLAQRSKSDLTGSEEGLLPLKPVNLPKQNVNGPGARESL LAGSGPGNGIGSAQLHEELGGQLIDLSHRLKLNAVHFANSLENEKSIVEISQNTLENN LAATKSSKKHLSSVSSKGRSTTCLTVGVVMLVMVMFIWTYMLIRFT L199_005576 MAMTYQELISAPRPQAGIPSPDGSRIINIVDQWDEERQELIRKI FLIPLSLPDKRDMTGPQDVSSCSMPKPTLLFTCTSNEAKSFFWLSEINIAYFSGSTIK YINLPTSEKLSQFDGGSIAHKDLLTFPKAINASFLAFEQQTGLLAFRAQVWETQGDFE GTKEDQNNSQEKGSGVRYDELFVRYKDKWRIPGKVYTIGLVRLIREGEVRSLGEIDGQ KYRNVLKGTGLYSQIPSFSSNTISLNRSHLIIATKPPRLSPAIHTRMDIYAFPLNTDQ NSPCTGLPINLTPGHNHGEIDYVSSSGDGQKIVWTEREIDGDEASKRSVFTWDFTEGM KTKWVEKWDASPSEVQFFKDRNSLYWLSETKGRILPYFIPGPNSEPIALHHQGVTDSL TPLNDHTVLISKSDMFNPIHDYILYLPSDIASEPGRRVGMVQLTDWSSGFLGDKLDDL KLEEYWFKGVDERQVMGWTAKPRGFDEAREAKKVFPMVSPRLLGIFPWTLAKDVAHRV DLSGGPQSACRDIWLIRWNLLLYASYGYFVLSINPTGSIGYGQEFVDRLRYEFGGRDV DDLEEGYKAVLADYPQIDPERTAALGPSSGGQLIHRINGCNDRFAFKALVCHAGIYDE TSMAYETDELWLSCWNDGINPNTDQFQGGKYNPINNVNRWKTPTLIIHGAKDFRVPLS QAIGAFTALQWRGVPSRFLHLPDEGHKFERPNNVKQWYAEIFTFLDEWIGH L199_005577 MGRSGGSFACGTKYLPCITYQTLFGGKPVHYHREPTDGSVEWRV IEECDAPDCRALITNCHCGFVYRLDAIENWIKKEQRTLIQPGDHKLPKRNEGCKDCNN TCSRCHQKKKEDREKLHVYRGIERRLCPAHAKMGDPAKREAEAQDDYNKAVIIFQHLW TNPEQLNLIRGQGGHDAFVIYQKQANKALDLGQPRPDISAFVKDYLDKQRPVAQPKPS QIAKDETHDQGSAKYETPFDDLSSAWDPDMRADLDIFNGLFPQADCSEPPYMSRAGGE IPYSGSANNRATSLPPQQPIHAPTLPRFGSDPAEGFSFYTPSYSASNGDIGPALGPSY SQQSISDPVTRATSASLMGYRDLFRSSDDDEEDVPLPIPFGQAKPQVYNPSFDWVNMD IETERELRRHLGVSPPREMSGDSGIPSTENTNIVTPGAYPGTVASVSPPAIDSLLYEF EVKTGIQRLAGSFMSKYPEWQRNVYKTISTADPDSRMQLPDHLEVSDVEHALERLPKI PYKGFFDTIPTAYMYDVNAACIKLLANHTPALPKKDDPYNTPLSRPGSRRHYFDTVTL HFGQFSIERDGRLIDPERVHLRWVHECMSWVVCEVLEGEGHPTRILNLLEAQVNLLLD LKDSQGSRMVHRARIDCAHQGCPLHCDYTRIFTGVIAIVVITAERACLQAYRSKQWEE LDKRRKVFYRVMKVAAKLMDCNSVNFYRNLEQLDNPYIPPEERENMVIRCKIYDGIIE KIDRLYLTFVDYGVMQKEHDDIEREFLRSDGKYFGWSTRYAQRELDFVKSWNEFNEYI KDPTETMGRHVGKDYLKDDDMPISETYEDEIGTELNVGTKR L199_005578 MRIIQLSSAPPIPPPPPPHTAPLKSALSTSNSTYSPRNTPTSTT KEEDRKSLTYFTIRPTGFEKGPKAVAINLNDIGGTNVTSIGTRDEVSDGLVQVAESAG GNEWLGVDGPSVYVGILQTCSKSDQGPEMNCTSSSQADYHSSFLPLPLRSTLLSVPLS PPTPILLLISAILLFLATAVFVAGLIPWKCSVSFNFLHRKPPLPADSQWSRVRAPYQR ANAHTDSSEDLVQSIRFRDNSKGTMTKRKEPHLAFFTLIFIALGMTAGGLVQLRIVRQ AQDRWDSQEGMEVGMIWELGALTYLLPILPICLILVLLLAASPCIYSYLKDTFHTCPA DHAHDVDCSTPDSKADTRNGETVGEVGKRWDQTPIVNPSPVYIPETYDLPQIRMESKR STMESVGKAL L199_005579 MSFFHIVVVAAVVAALGAVAWFVMPKGKNQTLLRTAVLLTFTCC YLMWAITYLAQLHPLIKPRRSDLRAEY L199_005580 MASMRLRPNRGSTSLLRRSIVTSARLAESQFSSDQSSPYPSSSS SSSSDPQVRNSKTFEFLYGADSNWRKPKVPSKPPTPPKKRPKVDPEAYKNLPPLENAS TRERLIHFSLAEGQSNERRAYFANDIEGELGEDWSNLEIVEGEVGLESGRVVECRRSG HITIGLILASITLSGRPRLLLLRSSGEIWPVSSHDVQFVMPTSLISPSLAAQCWSPEL LESLSQIDTKSGLAEENDSIQPTPEMLSARRKVAMILRKVHRETEKMCGRLMAGSFRP DDGHRNGGQVGGIESVWQNWTTKESGNQRKSITAVQAAEFILNPSDTKSQEEKKKKIE VRPNTLPAYAAHVLLMRRPDLFTADQGDMWQSGTFIVRSRSERTLLDSVQKGIDGSMD IGKSGSTDEALLSFVEKAKAVVEKSRKLREESQGKELTEVKEDGLPEWTDKDKEHISI LLSPLTETRSTQTPPSLPLALSIVRLISPSSDEVVDRGTLARLLSDLGVIVPWDSLGL SKITESENRSMIMCSIPTGIVKGEEKLLKGNELDSLREDFTSHKVFVIDDPIASELDD GISLERIPNSDDVWVHIHIADPTIFIPPNHPLAKQASVRGSSTYLPEANKPLFPLDVI MKELSLGAEVSDNNGAQGTMTFSAKVNRKGEVDETEVRMGWIKKPKVITYQAVDQALG LPTSKSTRPFGGPVKLSDTTKMDIEQSDLEDLKTLYEIAKAHRARRYATAGLEWNLPS ASITLLNKPFPPPTNLFDPLAIPSTPRLFSGSLDIDYRVSSSIIGGTNAAGLVAEYMI MAGRLSAAFCSSRNIPVIYRGSFAPRPLSSEVGTLEDLLSSRQEGTGQIDYFRLMDPA WYRTPGYVDTKPIPHWIMGFDDSSSPIGYIRATSPLRRFDDFLVHWQIKSFLAREANI GKSHLEKGYSKEEVIGLIKRSDEGLKRAKRAGINANKYWQARVIQKYLQSKEKRNGKL RLPQGWEIKDDEKIPEDGIFTAKIAGSTDSVPSLNGEATPIIIEQLGLQAFLNHRVLG GKEGWKIGEEVKCKIRDVQDWPNPMINFDLVD L199_005581 MQNKAEQDRNQEATVYLGNLDEKCTDALIWELMLQAGPVSNVFL PKDRISMSHQGFGFCEFLTEADAEYAVKIMNQIKLYGKPIRVNKASYDKKQLDVGANL FVGSLDPQVDENTLADTFGTFGGLSEPPKIARDPTTGLSKGYAFISYNDFEAADMAIE NMNGQFFGGKQISVQYAFKKDGKGERHGGQAERLLAAQAKKHQLIPGSSTPAYQYQGQ FAGALANAPTTNATPGYPPPPPAGIPVYQPQNQQPVYPTYPPQGYPPAGYPAAAAGGA GAPNGYAPPPPPTGFPVNYGMPPQQQGGAPPPPPPPIRMGFGQ L199_005582 MASFESEAPTLNVDEGSISDTQSFTGEHSEEIWRHRGLDWESDE VLQPICNNLLVNEGALASFANVIKMALFTNEGVPLNLHSPDIYGWNASPTLAQFIRIL HEAWHKMATARLEYSDEPSFGKIHLPVMAEIFESRSIAVSPTALQ L199_005583 MTAMLIALKSHILLHDRAVEADPSACMAPTSTIYSNYGIRMIAD LWELCLGHWDGSKFRVATVWSGDVLKPQCLLEISSLLLDIQKEAQGRQELIGNWLYCL RNCTKAPAGSNPKKKRLSTGTSQSSTSSASSNAPDKPVKPAKPKRITEDGLTEKDPQP GLAPLFGDHAYSEILELTSRHVGLSDTAKLLRVNNKRINQVFTSSFRLQLSYRKSYHA VPSSFNKTDASENKPISELQSVVGEKRATMFESDSGYLLFGERMNKLRINPTDEKAGY QLDSFSIWKLSSTEAEIEEKSRGGTKHQGYYRWRYILGKAGCYSVITMCVEDYVVAVV REFNRQCCATLPLNSDVLSDFRIYFYQLLPPKGTTPPPKGTCEGATRHPEAALGFIEV RLPARYHLHQIKAQLAPGGTVGLMLRPTGDADFGFLGVWDWKRGVSLGKIAPSPQLTL PDDFRFFGNFVMVSVFRTMESPTRLEPKVSTSKSKSHHGKDRQNKSSDVTKKMSRGYK VRGRTGPIPSRHNRCLDNDEDDDHSEEDDPKDSKGHIDIFCCCLEAFKIQNPSNGTKP SGFPHDDYTEIGYRSSEPCTWNYQDIPIGEPIVSFISPPFNLMPAFPLSPMDILLPPE PMISPSSCDLGEVHIDDALLKGERDGVMTFTIFASTRGSGRDSWIQCQGVISLREIIH RITLTLTARHRARGSTRKGQIEEKELATMWSQDLSVQKALNRQLGLDDDAHSKEVEEH GWETESNDEYTSSRVSASRNRGKQIKGKSKSKGRNKKYDDPKNNTSCDDIQPGGYVNG TRPGKEKGNTDMIKYIIPHDKCAKSASFRFSQYAKTPTTFGTKVFLAEPNYDKLKADL DQAIRSGSIPTRLIMRDFNPNIVNNDTYSKLKFKVPLGLGGVSLKNLNLGGGNGDGDG Q L199_005584 MSKRVIRTKSTIEDRKVSTEDMFEDEFIQSYLEFRESGVDFIWD NKRKLLELHFDGDNMIMCMENGATIMAFD L199_005585 MAGESSQVRRYDVDFKKVPGTLSITATHIAWVPKTQGAMDRQNQ SMERAINMLASKAGSKSISLKILFKDDVPLGGLTFVFSNNSTRENDRKEVQDILIPFV AANKNPQPPTTTAASTTTPAGVPSTSTAPTVSSISASSKRKLDDISSPAGTPGTPTTG GSPATLSPAVRAQRKKEYKLRQKVLEKNPTLRMLHRELVIGKQITEEEFWDGREALIQ AEEMLYSQKPGRASRLLDDRFDLDAGRKGKSTGGTGVGIKQADQNGPIVLKLSKELTR EIFEEFPVVQDAYAKYVPGISETEFWSRYFTSQLWERHRASVRKSAVDESARKKDDIF DQYLEEPDWNIQPRQTLPDNVERFLDLAATEEDHGEAITVRDVTMQAGRERSALPLIR RFNDHSKKLLRAAEANRDESALTDSLYGSGIDLYNEIDLEDLHGPAQESTIALDVQEA AGEADKQGQEGEVGVLPGKSDEELLSIATRSTTDLTSFKPDFASVCLPNPGFHPVDPD GTTNMNETNPAYQAFAEQRNAQAAAHQVVKDLHVRSNAESAVNSPFPDQLYEQMKSCH NAATEFLRQYWSAILPSQPGTLNSNIKAKEAKAIKMANYLRSTENKVKAIIETGVILG FDPERVKKAMAPTVGAVRVALEREAK L199_005586 MRFSTVITALPFISIVIASPLSLVKRQDGDAPACAQDCFDSVDQ FDTCSQGDIQCYCTDEIITASIRSCLSASCTTANATEAVSYGEAYCAAHGATLGGGSS SSSSSASASSAAATSTSFVSSSTTSTISTNIQSSSVSSASASSNSSSATASHSSTSSR SISTSTSTATSTNPETTTTSKSSSTTTAGQSTAVVTVTSSSSGTPPTTVSAAAAQTTS ATSAPGLVSTSTSTVRASSSSSANASSAGASSGAERGVVVMTGSMGMVLIGLASMLIL L199_005587 MSRSPLLSNAATPGMSRISQSSLNSTTSMLPSNQYSQSSLGHSS SSGGGGGRFGPTAQIGGGGGGAGSTTAYDSQSGVGGGDGLHQRFTHDELQDQDDEMDD HLHTFTAAEQKNVDHSASLNLTSWRGWANTLTLGFIAMACIGIFALYPIFDFYNKDDN AMGANTAGYNLGGINSTGQYPSVPGLPKLIDDDTPEDAKTWTSLNGEEWQLVFSDEFN KDGRTFFPGDDPFFTAVDLHYWGTNDFEWLDPSAATTKDGHLVITMSQEPIHDLNLKS GQLQSWNQLCFNKNAYVSMSASLPGHSHIGGFWPGFWLMGNLGRPAYGASTDGTWPYT YDSCDIGTLANQTWPNGTAPIATLTTGENDGVLSYLPGQRLSACTCPGEDHPGPDVSV GRAAPEIDIVEAQIRIAERHGEVSQSNQVAPYDDHYQFNNRSGFVEVYDEDLTMFNTY LGGYYQQAVSGLTLLPDRIYSNQVVGGRSGEFAEFGVEWSAFPEEREKGYISWVSDGK RSWTMYADAVDENPRTGVGRRIVSEEPMAIIINLHASNNFQFVDWANMIFPNYFRIDW VRVYQRPDGSGTIGCDPADHPTKDYIERHLEVYTNPNLTTWVDGAGKPWPKNRLIDQC L199_005588 MPNRLPRHVMDRILQLLEPLDILRCAAVCRYWNSIIHKSVYIQL KLRQSLHQSQLYDGERSFPQGERLRRLVTRETNLDLLRPRIKQFNLPVDQVLHTVAGK YVITQPLEQMDKPEPSEDGDGKYTLCTIWLDSRPKKVKVSFKPFTDLFDLDVHQDVII VQEDEGHEHDRKTNLRMRVLHLFNEGEDATPRDMDGFRILEEGWEGDEPHNISLTVDG RVMIWCSGIVWIYDWKSGERLGRLPPSSPTIWESNLGMAWAGKNIALGLDMPHDPSDE EMEHTEAYLAVFEVDTSYPGTSSLPLLLELPFCSDLLDDTVRDILDLPEDATIIARTQ DHLPFLQRNAPFGLILVATEFVINEDAVWRLIIVLPTSELQKFELEKERKIPPPNVAW GNEWDPFAGLDSIPYSKWSGHAYTWAEPARSPNYFHGFYEAQNSLRLYHHDYTLMELH GLLHLTILDFNQKRLRTIACDFRTLGGLGRIGEVETQAHARDRRRPIRYKREIIRPVK NDEDSNLGCAEIVGEFVLGQKAPVNVIVFDGERLFMQQRSVGKCWILDFGVREIVGKA GEEPRTEEGESESSQISLDEEGPSRDGGTDTPGDTENDIIQGGGG L199_005589 MEVESSSNVNGERNRSNGYGHGHRYQHQDDNGGIEVEEDRWSKG SAAVSSTTPPSSTFEFTFPPIHSTTTTPDRRRQAPPTNIPNFPGSHEPRNPPPSVPFS PSPTQSPMASSPFRSPDPPPAGPSARTPGFNLPLSQPYVTPSASGVSSPWHSLAAASG APTPSLEGGSMGRSSPHLGYPFERLNIGGSWSGANLWGEGAQGRRGSADSTGGGPGSS PGKAPMQLSSPPPMVLPPPGPARGLSNETLLRYKAMANSGSSLSPAMAKGPSQGESGS SPTSRASSSDSTTPPSLPPALARRRGSIPKASTSVPSLSLPPSRGGKSPSPLASSSTT SSPGAGGKRLQPITAKSLLPLLSSPSTLVLDVRPPSSFQNSHVPSSYSLPIPSTLLRR PAFNLDKLVQMLPSDTTEAVLRWREKGDIVLIDADSGSVSDGSVLDGLSSKFEREGYT GHLWFVKGGHSALSSQRDVRLVAQDDAAGAEGQGSSTASAADAAVNKGMMAGTLGTLA FRQESTEGRSRRAPPPTGLSIPPTPGFNFKSNPFGISLPGSQTSTATERPLTTGRDLT LSGMPSPKKAKLQPANPFFDNIRQNLELSHGGITERIPLNLPDQVMKRVEDLPEFLRD LAIMPEKDSMDQLAKQFYDLELNEQKRLQAVMEWHSKGSGMMLNDKAGKESWAEKRHH DAEEVQRLTNWNGEEATLQDDYFPFSITAGVERGTKNRYKNIWPYDFSRVRLERPPDE DSDYINASYVQPRGTSRRYIATQGPLDATYHDFWTLVWEQGVRVIVMITKQFEGGLIK CGNYWGDKAYGFLHLHVVSQTGGEDQVQQPTTGFDFGPAAVTPRTTSFPTGNERNIKR VFKLTNHDEPDEPPRTIVQIQCIGWPDFDVPETPETLFNLIKDVDNAVEESETVGRDD RSSQPPVLVHCSAGVGRTGSFIIVDAILDGLRRELRARRGSSVPSSGDPRDTERSASV TSSANPVVSFGNSDSGHKSQDSGKAVSFLSPPVSGTALSDSSRPILTSSPSPLTTTVT APTPPFQPLTAAALAENSSNQAQEEKMDIDAASEPQGPPRDEKFFQHRGSISTHFSSE AGGDTRRPSLASTKHSSDMLPPDAMSQNNGKDLNAPMPIIRSDIQHRTPTPISEMENP VASVLEGMRVQRMSLVQSLRQYLFVHRAIIHNYLHILDEENEALGIQSKSSSGSVSGG MVNMGLTPAKSDSTSGSTSTIPSVGTAGTDDDMHSKRRASPTELEIETMSSKGGLDEH GKILTRTLGEMASTTSSGLSKRPSFKKMRPAVDSMISPTSNTSNSTDSTNTISSTGSG GSSISTSSTSSPLSPTKKSNRLRSKSKLGLHLDMDSGGLPLSFGTTGEQGQGLDKEKN LEK L199_005590 MFLPKTFYLLSLFILYTTVSALPSPDADSDATPNAVADSSIAGV DQECVLACSHWAVTMGYCRGQFGDLSQQRNITYTNSFLSCLCAGTNSTGTLGSDLMAD SASFCLGCETTPKKIKDNLGQFLGLCQVQSSNGTATNATEFMPIGYTTSNDRSKDAVT GSQSRLYQLDVGLLVGLVAVGLWSII L199_005591 MSSPIPQVSVAGHQVGRMGYGLMQLTWTPTPPPEEESFKAIKAA ADAGATCWSTATFYGPDFANIRLIANFFKKYPEYKEKIVLVVKGGADYTTIAPKGEDI EFLRSDLKNTQEILGDKKIDIYSLARLSHHASVEEQFTNLETLRKEGLFTAVGASEMG VESLEKAQKITPIAIIEIEVSLFSFEKAIRDVVQWSSTNKVPIFAYSPLGRGFLTRTY KSPEDIPDGDFKKMIPRFQGEAFYENLKLVDKLDEIAGKKGVNTSQLALAWIVGLSDY TIPIPGSSKPQRAIENTQAANIKLTTEENKTISDILDSFEVVGTRYPGAGMAHLMK L199_005592 MNIFRFLGDLSHLASIFILLHKIQTSRSCRGISFKTQALYLTVF LTRYVDLLTGPYVSLYNTIMKLFFIGSTGYTLFLMKVKFRPTHDASLDTFKLTYLLPP VAILALLFNYAYTPFELTWAFSIWLESVAILPQLFMLQRTGEAETITTHYLAALGLYR GLYIPNWMYRYFTEGAFDPIAVVAGLIQTGIYADFGYIYVTKVLRGQKFELPA L199_005593 MYHTRSSSKPSIISQEIESISLHNPIPLHLRIYGLPILSFYPLL YYTYYHKYDEWVVSEEWTFIYCVLLFAGHALSFLITAWSSGFNARISYTTANSLKDAS KVRVIPNKGRGKGEIVPLDSKLAPGASEPTYSFIYQRDTYVYDASTNTFTPIPYPCDS SPPLSTFQSSKGILTHPSNKSSAPNLEVLKSTYGPNECHIPIPKFTELFAEHAVAPFF VFQIFCVALWCLDEYWYYSLFTAFMLVVFECTVVFQRVRTLTEFRTMSITPYHVQTFR DGKWVDVISSELVPGDLVSILRTKPDSGIPCDLLLLRGTCIVNEAMLSGESTPLLKES VELREGSDRLDMNGADRNSVLFSGTKALQVEKAGEGGLTTPDGGCLAVVLRTGFGTTQ GQLVRTMIFSTERVSANTFEAFLFIGFLLIFAIAASAYVWIKGLERGMAKGKLLLDCV LIITSVVPPELPMELSLAVNASLVALQKYAIFCTEPFRIPFAGRVDVCCFDKTGTITG ENLVVEGIAGIESSDPKKLVPVTETGKNTTLTLAAAHALVLLEDGTIVGDPMEKTTLS ALDWKLSKGDNISPNSKEAPHKHQINIRRRYQFSSALKRMSTISAISDAHGRKWIAAV KGAPETLKGMYTSVPEYYEETYRWYTRRGSRVLALGIKEMNIRPEQINTIQRDEVESK LTFAGFLVFHCPLKPDAVETLKMLNDSSHRCIMITGDNPLTAVHVAKDVEIVDRETMI LDLKEGTTSDELVWKNVDETIVIPVKASEPFDQKLFDQYDICITGAALKQYENLPSWH QLCKHTWVYARVSPSQKEFILSTLRDLGYITLMAGDGTNDVGALKQAHIGVALLDGSP EDLKAIAEHQKMERMKKVYEQQVKISARFNQPPPPPPPALRDAYPELVKTQQEVAEKH QGARKQNPMEKFDMATITAKLSELDEDQDVPQIKLGDASCAAPFTSKLSNVSAITNII RQGRCTLVATIQMYKILALNCLITAYSLSVQYLDGIKFGDYQVTITGMLMSVCFLCIS RAKPVEKLSKERPLGNIFNFYVLLSVLLQFAIHIVALVYITGLSKSLEDRGEVDLEKK FEPTLLNTAIYLLGLSQQVSTFVLNFQGRPFREGIRENPPLYWGLLGVSAVAYSGATD FVPELNRWLQLVEMSSSFKFKLTTSMILDFVGCWAIEKSCKSLFANLEPAELVTRGRE RREKRRVEEERLKAITDAEKKEQ L199_005594 MPFHAEPLRANKEIDIDLEHSVAAQKFPDIRAVLEGNRWWSRKV TEEQPEVWAEQVKGQAPNFLWIGCADSRVPEVTIMARKPGEVFVQRNVANQFQPQDDA SQALLNYAIMNVGVCHVIVVGHTGCGGCIAAYDLPAPKQNEEFCDPSTPLTRFLDPII RLKHSLPEGSDVNDLIRENVKMSVRNVVDSPTIQNAWELARKGEFRKVYVHGWLYDLS TGLLIDLNITQGPEPIVLDSDKPKVNGTA L199_005595 MPRKAKVHSEIDDDGDIEITVKQPTPPSSSPSTIVKGRGKSTSG SGTRTPPPTGLRQRIKSTVDEISKETEELHVRLRKKDDGHYKLLAPGTPFNEIDLSES TFFRHDGHKSKPTWKSWLFGLKFVFPAGVILGICLALWAVDLTTLPADMKDIWTTLPA ALDPRDLLANLTVVEGARRALENRDFTVGESLVEQYGLQKHHPIVLIPGIVSTGLESW GTESVARPFFRKRLWGTSTMIRAVLTNKERWIQALSIDPETGLDPPGFKIRAAQGLDA ASEFIQGYWIWQKIVENLATLGYDTNSMDMAAYDWRLAYYNLETRDAYFTRLKGRIET MRRHNGQKVVLCSHSLKWVESDPKENRFGGGGGAAWVEENIESWINVAGTMLGVSKAM TAFLSGEMRDTVELHPAGSWVLEKFFSRRERAKLFRRWPGSTSMWMKGGNRIWGNDSS APDDPTNATDTHGRFFSFRHPGTSEEESSLNSWTVSPNLTLNEAGPYVLTHTPPSFQR MMESNYSVGFERDEEQLKRNDRDHTKWSNPLEVRLPEAPSMKIYCLYGHGKETERSYW YMQGEYEHDDTRSDAENEDAYCDTTDPSNGCDNSTTLRAPLDFPLSRKHWIDSVVTVK GSNPEVRSGVKFGDGDGTIPVISLGSMCVKGWNGTTRWNPAGIEVITQEYLHSPESMD LRGGAQTADHVDILGATPLNTAILKIAAGRGDLVTQQIGSEIFEYVKKQDWD L199_005596 MRIAIVGTGVSGISALWLLNEFSDHEVNIYEKDERPGGHTNTVE FKREGKEPCQVDTGFIVCNPPTYPNFLRFLQHLKIPLLKTEMTFSVTRDRGAFEWAGE GLGGVFCQLSNLFNPRLYRMLFDIIRFNLFATDLLHQEGDREGISIGDYLDKEGYGEG FRDDYLMPMTGAIWSTPADQAALDFPASTLIRFFHNHHLLQITGKPKWLTVQGGSKKY LDAVLGKLPKENLHLNTEITAIESVDNGVHLVEASGQKHLYDHVILATHSDTTLRLLQ SGGGLTEDEEKALGPWKWSKNEAILHWDERLMPIRRKAYSAWNYLTLTESDGNKPRTS DTEVETVALTYDMNILQHLPESKHGLVLVTLNPPFPVDESKVIRKFTYEHPMMTHESV ASQALLPSIQNKRGISYAGAWTKYGFHEDGFTSSMKLLLEPPFNVYSPFPLRPATRDL PTTTFGILAARILVNVLESLRRRVQPAWYWVRYVVIIGLVWLEQVLGAARWREGQEEV GRLKGYWVGSDGESKKRR L199_005597 MSSSCCGGKGGAGCCKDQPSAQNQNGAAVPLLRGNKKTSQQPNS YAAVAAGNTSDNNNNKSYGAVWTLDNAVPQSNRTQQLQDVLGDHEPLGSKCSAHASGG QCCKELKGDDERHLISPEIVRDVIIGLSDGLTVPFALTAGLSSLGSSSLVVTGGLAEL CAGAISMGLGGYLASQAELDHFHYLRRQTQARVLRSCSGEMEREVHSILGPLGVKEPL SRLIAEDLRIVEDDLYGPQDGTTGNQGIMPASQVEPISPLSTKKWSSIFNWGKKDVQE EEGGESKVDEDMGLTAFLLKFGEGLEEVPKSRLYISALTIGLSYFIGGLIPLIPYMIT DTAEKGLIISAIVTGVILFIFGGFKTYFTGATGGWGGYAYGAISTMIVGGVAAGAAFG LVKILGVQE L199_005598 MALNFPFPSPLNLPIPRRFVVLILSGSILVLFLHTFAPSTLPPA LTPNLPHHEPDASYFSPSKWLPPILNPNTPSRPVEFDEDGQCLFLSPYDALSPNEKKR AEMLVLESVSPGIVKSHKPPSEGNDYDPDFDDEFSALSNETKSQPSGLTHPILGLLRE GEMKWNSMLARQSQSLEQAVKVYKDKWNRNPPKGFDEWWHFAENNNVLLPDEYDAIMD SLLPFYGLPIKTLQERLEETEKIQETFTLIVHDGKVELQWNDDYSRDTWWASRPRADS QINLLEPFIKHIGAFRATFTIHDQPSILLDHARQEELINAAKSGKISNHPNENDRFEQ DWSKACAKDSPLNRGEQELPAADTFINAHGAAMDICQHPSYMENHGMLLEEHNSETHP KPHTKLYPILVPSKTMLNGDIPVTPIGRDGRRDDVGPDPEWSRKSGKLYWRGLATGLN HDKKKGSKWRQSHRERLHFLANDKSDSYTEVLAPVGSTGEAELSRLPLKELGEYYMDV KLAGGHWQCDWDDGTCDEMEKEIEFAGKDNAERSNDFKYVFDTDGNAWSSRFPRLMAS NNVVVKATVFPEWNTKSLPEWYAYVPSKMDYSDLFSIMSFFRGTPSGRGAHDEVARRI ALNGQCWVERTWRREDLQAYMFRLYLEYARLVSPDRDNGKMDFILPGQHSNTHPVVAD KAGEAHVPVAAQVVPPMVDE L199_005599 MPSLRFCGECNNLLYPKSDNNAKILLYQCRNCQYAENAQPDPGM APCVYKNDLLTIAREQAGETKDLETDPTLQRSNIECPKCSHHEAVFYQDQARRITTNM TLFYYCTNCKYSFRDPKVKHR L199_005600 MKELKNHLKVDMESPALGMIVGSSTVNYQDVPVSDFRICDRLRE SISSFFEKDEEPYRSMIQQSKSEWKDACHKRSIGDVETLLNTHIRPDYHFNPLNSSTE TYHEISPSITSEATLSPNKLESMITIASQEAEKQEVDMNTRADTTPSSVHASVLASNR CHWNDGREKYTSNLKSVVSKVVDDQSHLSSEKWSSQGNLLPCVYPMKLNNKGGETYNR STREHFGSMDVPPTVQFWRSKGDSVRDGTENETFLPNGDDLEIRMKLAKINSIASESK RSEWNV L199_005601 MSATTSNATIQSRVQSTLSQFESYGQQSRDLEKYHFTSKGSSAQ ARYRDNDIKRLNDPNHLEKIVEYTWVDALKASVCGMIGTTVRSLTSGGDEMLQQVHLN MDKIPSLISENETEEFANTLKDQLEGRIVQHLATFVSMNYKDHLISEFQIGDSLAATI QDLLTSDEKYEDKMGISKDLWRDNCHQRSISEVEHLLNRSDHSLFTFDPEGKNTHKIV SSISINPSLSPVQLDHFIEQRREEADREKIKAESRAATSTVDYYGSFLEGARTRRESN REEYLSKKRAEVEKVVFAQSHLSDEGWKKDGDLLPMIYPVKMQMQNGELAMTRVDKYK QSRSTFISLNKPPTVHLWESIDESKADGDGSLCPDDKTARERFKAVGIEDEPSESERS AWIV L199_005602 MSSTQTPLETETLLPQDPPSPLSYDDPDNTDYVERDRPVCIGQF KKNVKICKALLAPGIFGSLAMEVTHLCMAVQEHRKMTGEDDFIRQTKSSAMGLDIFGV AITSFLAVLYTLTVFKPITIRTILLSPIAEMSNLFLHRTLCVTSFILLLLLIIIHPLP GIWYTIQTHESSPTLSIHNSTLVYYLRTGFLWASFLAGGSMRRGPKLYFEPPRLGTGF GLNEVKVKSTQKVKGGKGDSGVRIKLNPPPEDEIGVNGHVPLSGITIGSSEDETVGSL EEREGEEQSNVLDYDNSSMLSFIFLGYIGNLAYTSMKVESLVQDDLPLLEEKTRNSGI TENVFSTDDKTKAHLNTHKVTGWDLVKSMWRGKGTAVFITAALEIFRNLISFVQIAAM HEIIQSFKEPKGSDKSYAHLLCWGLLVGQAFEVLLSAYLCVRENYLLHIPIRMNLSSL LLSKILRTTDAKALEAHNVTPGDKVDGNQGRSQVMNLFTIDTGIVASMATHVWNFGNG IITLFIGVGMLYSMLGVSALVGIACIPLSMPLSYLVSKLIYRCDKEWARARDARTGAL KEFLLGIKVIKLNAFEPYFMSKIRRLREDEVSWQRWRYTLGTSFNILAEQLPIIALLV MFGFHTKVLHRSLDPATAFVALNIFYRVKDGLGTFPMIIQVFLQNKVSIDRLSRYLSQ PEIDRSQWENASTRIFCDHATIGWPSAKETVPGDETPRFKLQDVDVEIPEGKLTLLCG PLGSGKTLLLRAFLGEANIESGAVLAPRSLPDSTPVYPRNRRWTTEEWLTDSIAYAPQ QSFIRHGSIRDNVLFGQPMWRERYREALRQAALTPDLELFNEGDLTEVGENGVTLSGG QKARVNLARCLYSPAKTVYLDDILSAVDAHTAQYICNECLNGSLLQNRTVVLVSHHVS LVLPTANYIVTLSKDGQVEQACPASEVSYSNLVDIAPAEPSIDEPSESSGVSKVATRR RQSHHFEERDESSGVSRHLYREEHKSVGRVASNHYLMVFRSAGGFWYWSALALVYGIY RLVAVSRTFWLEKWTSDPEQSHINYYLVVYGAISAGCIALGSFKWVWLYGIRNVGFYS AGSKKIHESLLSKVFQAPLQFFETTPHGRLLNIFGQDVYRLDSQSADGFGRLGLATAA GVVFVKTPVISLVALIWGVPFVWISNQLNKLRADIRRLTATASSPLYSLYNETIDGVV MVRAFGQNKLMMHAMKVINNRERVTWFAAWAVYNWVRAVIRSFASVVVAATAFALIRQ DLSASQAGLILNFALTVSTGIFSWVEQYSNLEETFVSAERINHYITMPDAESREGMIP ERSWPNRGEIKVQKLQVRYAPDLPEVLKGVSFSIKPGMRVGLVGATGSGKSTLALSLF RAIEPHGGTITIDDIDISQVALPELRKRLNMVAQDGMLCSGTLRDALDVTGTRDDYEI YEALRRVHLLSDSLTKDELDNNPFANLETFVAIEGGNLSQGQRQLLCLARALLKRSKI LVMDEATSSVDFEMDAKITATIKECFADTTMLVIAHRLATIMQYDRVLVLDQGQIVES GEPLKLMEDPTTIFHGLCMAQGEEEFNSLLTIARA L199_005603 MSNRDSPIILHSTPPLDPLPCSDPESLYLAAYLQLACPGRWAIK TGWWGDNGGKLPYITHLNHHIRQNHLTSLPLIEDPDEWLSREDRVDAECWKAYIEQNV IDLVNHTYYSLPPNYPQTIAKTQLQNLHFPENQYIPQRIKSIVRSRLQYVGLWGLGGL NGGDAIDEDRKRSDEAFVVGPAGTLAPRAWSGWTAGRDAEERRKKWGEQELEKKIRSV LDPIVRRLGDELYFFGNRPTTLDLLLFAQLTSILTPVLPNPLFPNLIRSHYPTLVSHH DRILSLLFQNQSWSSIPRLTTPTSTTQKTYLDSFKSLFFDSPVPMQSEDKDDEKKKSE QEKKFQRGRWLWFAGASVAMIGYLLGSGIVSFEFASEPEEVEEEQVVFDDDEDEE L199_005604 MSKLQQTWEVEERKLQSVYDDISSHRLTSAQSTLTRYLKKSPKS QPALILKMYIQQKNGVSEDDLLGLYEQIKGLGEMSGRGVWWVGMIFRNMARTDLALQL YNDLSSKHPDSPQLLEQVVLHAAADDNTEVLVKSSRKIFNVTREERWARLSAWSEWVK NAPQPTPSRPFPPPCSDPSSLKIALLLLNTSKSSSTSEGLWLKLQILLSAGQLEEALG FLQDQGQEGGLVRLWWRMEGAREVLKRLEEAGKDTIQYWQNEREWAGGLLKADKDSQR NYSYYRHLLLCIDHLVSNEEHVRSTDDLLKNLEEEIGNKERSPSLARLELQKTLRKNQ SQSDRVWDNEKWLKAVEKYWIHWGSKGSIVSELEGIVDENDEERKGLVEQLMEKQASQ QQSDEQSFREQVNSTIYILRQKPEEWKPTLEEIKKYWDLYLSGTQYGKHLPKTDVRPA DQIGLVTVSLLIELWSVDKVRVEILFKAILCLEKILGDSPACAHGKYLLIRLYRLIGA PSSIGPHLSLLKLSEIQLDNLLHIYTERGACESLLGANEELWNDHMKKSGDMYQRTLV DFPEYIKECLSNETYSKIPSIQYISSSLSKSITNHSRTIEQARLATYLSAPYGPKLLK KLDLAADQDEVVDLRNWELISEIGGNRPLIKDLTRLGEPVEGKWVKVFGSIYRDLASF SNGEEVEQREVLVEGLTTSEASLVKNAQKILDLAGKALRSSDEIPKSKVESIFEESIQ LSLSAESRWEQIQALICLYEWIKVFDVILSKLVEINKPLKGKKKIPVLVQFINDTKDV KEKLQKEGLKDVFGKIDEMSKENTLWEAVNDEWIEDKDFVGNCSGSIDKSRRDALGKM KDLLSGK L199_005605 MPGRASTTTSLYSDDPTEVPPMPTTYGVREGKLIDYDGPDVGSE EEKEMVNPTDKLRELLSQMRKEVEISRPVTSIAYSEDEDEDQRGAFESRNSAGPSSPP QKQYNEESEDEEQSPPTPPPRIGNPYAARRGERWNSPNLPSIRPGRLPSRAAVLRNSV SRSPPSPEPIHPQPETKSPPTRLEAFLASSSSSVHPIAESSTSGRLHTILVRRDSGSS TSSSRKGKDRAIAPILPSPQETQSISFRRNRFRQTPPPGSVDSHRRPQTPRRISVDLA PEDISSFARNAVDLEVRGEVELDLDEGLSALGWEESSQSIIEESVDIAQSERGEYEGD LRQSTSRSPDRRDRSRTVQPHSGSILPIQSRQGVDVHSTKGRSQTRYSPTPPEDRLQN SQSRYSTSSPSVANTLRRSQNRSPNGQTQPVENPPTNSRHTAPRHGESSPSPPLRALP ALPEPDISAQSDNSEVDTYSSRRAALFRSTSRSASGSTSTSTARSGSQTPSQSFATAT GLRSRLSQRSSVERSEEVSEGDILPKRSPNKWNRSSLQAETPEPIHGLSSPVPPTRRS SNRPSPVRKEYTPRRGVEDIRIPIQDPIQVNIALAPASISTPSKPSGTNPNVSTLPTP KPPGAWKSTPKAKVRFSPSPLSQHSPVRPDTPSRDENVEGVSMHRLKVSPRRSAKTKI ISNEQEEDQEVGNGSFLERLKGSLSSPLKKAKTQIPIPPKSTTFTLSTQSLQHAKSST IAAEQNLLITQKQWLEALSAINAAANTSSTVVRRGWGWSTWVLWGLVEFLVLWSVFRV TIDYATSLTHISTLDPFHPLSLPFRQSTTSTSTWSNGPFGSMSLELPIPSALQTLVGG NGGYGNANFFDLMESWGVWSRLVATPVAGGGGRISGRGVGGVPS L199_005606 MTAFPSRPLNKTTSTFLTQIRKHPFVLFGLPFVGIIVASSFALS SFTQTRYDYQQSKVQSIGAEEGLGMRSDRRKVDLKEEYYRLNAPSAQISSLSNPDSAL NADISPSPLTSIPKRPKKSKFSMSPVSQDDYEPVRVPRPEGVPEWGGGKAGEEAPLKG QRTTDRWV L199_005607 MGRIGRREIRPPILNRIPSLLGMVIVAIALLSCIEARSPHPPPL RRLVHPQSSSLEILPRRPSSDSNLNPRYITHLPPHPSSLKHSDTVILKIALDALDISP FEQISLLLRPTENLFHPDAKISYGDNAKTEQLKQEDWRLFTGEVIHPKWIERIKSLES SGHALDLYRGHDSVIGQASIMIHEAHEDSTVFEGTFTIYGVRYNVMTKENYQRIKTNE DVDVESLGSRMVVFRDIDMSHQHNLNSTNNEFGSSCSHDNLPFNNELNNPIFQTPSSS DESFISPYFGFGGLFGKRDDYGGMTVSSNFIDSIGNNNGCPKQQQIVYMGVALDCNYV AAYSSPDLARTQVLNDWNQISALYKSTFNISLGIIELQVMNMTCPSTPPTGEEWNVPC GKNLTLDERLSLFSQWRGDKGDDGAGLWHLMSACPTDSEVGVAWLGTLCQNTATQQSG QTVSGTGISTATKTEWSLVAHEIGHGFGAIHDCTSGCSLSGSCCPLSSSSCDAGGSYI MNPTTSSSEQTFSACTLGNICTNIGNRAISTNCITSPGSRTVISLQQCGNGIVEDGED CDPGSNSTSACCDASTCKFRDGAVCDPTNAACCTSSCQLASAGTVCRSSVDSTCDYEE TCTGSNSTCPDDKTAPDGTKCGDDGLACASGRCTSLNQQCKLAGSSLGLSQACGQKDD KTCTVSCRDPNVTNQCVVLQTSLVDGSPCGYGGHCYNQTCKAGSWQATAAAWYTQNLQ ISIPVTIVVGLLVLGLLWAIIKCTLASCCGSKQRRPLNSRNNGTRGSAYVPAPTGPPP PPPNMSQLPPPPAHTLQRHSDSITSGDPLMRTGVIDGDPSPIPPPQGQGGTYDYGYGG YNNPNPYGDSYGQGYGYQNGGNPNHRNSGSGWVDASSYNGPNYGYREAYGR L199_005608 MSSLQPIKLNSPLPIIRTTQSNGDEAFIQVLPGPLIKVWGQSGP NDATDREWEGTFYVRTVDEIEKFMKKNAKSFRVPLPLESTRVRGWDEIVVPSNAICED RHKIDIIPLDQLANLVRCADNKGGAFWKTWYEITNIITPIAYTGIGVTTEDHEGFKSD EDNDQLMFFSVFDGMGGSIFSDMISKTLHACLALTIARLRQDTSKGEPIDQERLEWAL TDTFIAINQDFLNAPVSALRGLLERQDTSPILPKLGPSNFLFGATEGSGCTACTVIVD TSTSKIYVAHVGDSRAVAGWYNHKEGKWRCDVLTEDHCGQNSKECQRRLSRHPPEEAD RVIYDRGWGNRILGTNDVVRKFGGSYSVRSHAEENEIWNVFQTKQAFNHFPKKTPPYT DTEAAVSLRDLKSNPDEDLKFVILASDGVWDRLTSEEAVLLTAAYKDNPSQGDIPKKA LPERYPMVSPTDPRPYPAQELPGTGGRAEGAWAFDVWQEMRDDMTAV L199_005609 MPRKKSQAKKVGVTDGLVNLLSDPCVINVGSSVGNLTSRTSAIG ALPRTITPNIHKIGRDTRATTNKYTTSKAPLKWTLRRRSEGTETVEAKELESIQLTKR YTPKPASFESLRIMDWSRISQCWDHNSEECWYHKQINCTNYRKTTHFANPIRYPRALG RGLEIGSCKDCKKDGCPCSVSSENDRFYGLSYSLDNPPVIHRIDTSQGKLVEGKVDMR VLLEDSNGDEGKDKKENREEGGRSVTPRVANTTLKHGSKRQRPLDSDSDPEESNRSHA GGSRSGQLNRVRRRIIVSPKDNSEIIIHSGNHHDDQDPSSTLRSSASLISDCALPLHP LRRMIALTCHRRPLQILLPPPGLLPVTSQTARTLHQLMYTPIRHHVPTAAQLQVTRLK NKLRDQGERLDKMMKDNEVIMAKLGQVFMERDEAVRERNELAKEVDRLREILGDTKG L199_005610 MGYLDPRELNDLIALAERGGIGKGVALTDCVAEAPDQLMYISGD ELILLRDLGKVLLASCEGVIGWVERENVKFDSLAGSSTPPNGVKDLPKTVLTVPSPPR PSCTKHSSYQYDEEEEEAEGVEELQSHLVTRSRRELKRISEPFELDSPQPSPALSQDN RQQGFFDQQQQPQQIGERRSIVSNNSSEAFGGIGGFMMGGNENEDDYEDGDGGIKVDS LEELKDDQSPSTTSVTASHQPPTGSASAPFHRRSSSFHSKDESEHGDEQDLEEDEDDV EWDIYDDYARESMYGPAKRMSLAIQQKRLSRAAKAASKVPSPLSVGSASPGLSSPAWS MDNRLSRSPQTESFRLPSAKKILQANQSQHNVDPNGTIQVQEENQEGEQIQITPKLSD QLTPTTPNQSHFHKLDMPSAQSGRSVATELRLRIMREREKEESENDSTNSPFKSRQEY QAIAPSTDAKNDDSEMQSAGNDPHEHVTHGSSSISAHQSSPSDSTTSGQRSASTTHDS EESTNIATPQPHEAEPLNAPSSAGHETVGHDMTKSASGHSLLASPLPIDEIEVKIDVT DNGIISAHSLVPPPPCTHSPSLSPHLHQSAPWTPGSPSSPHSVSATRQAVDVARSTFD GKRPRGLTLVGRMEADLLASKGPVPITFLVNGPGMPVLPTPPPSSHGHEAIGLGLPSS KSRAPSPHDAHRRATSPLASPILANEDTMNQASPFPPHPHPHPVRSATSPVPPTPSTP LEADLAKPSPPSLVGRPRARSFSATVAKTLGVGVKKDFTPPPPALSIQIDQTPPLPDP SIKSPVPQSASSVKRSFFGGRKSSLNPATPPTGTHSPSSSSATVNHLPIPSTPASVHH HGISNGNGSTPSLHIPGSARSSSFSFNSSINSKTPKKSYTTPSSITSRGGAISHKDFI EETVKAEGLDFELIQPKRQNANGVLLSPIEDNSPISPGSFSDVSQRPNLNRQSTITSQ NSINSLRALPETDEWGFLKDRSPVPEIFQSRSQPGDHRVIEQKWLSIISTPLPPNTSP PKKVRKLVLDAGVPSSLRGKVWAWFMANTLSARTPGLYQELIAHDNGLEDERIDKDVA GAYPDHSIFASSNSAGQQDLRLILRAYSNFAPSGYRSEMTLIAGALLIHCVAEDSFWL LSGLVNSVLKDYYTNSNDRTGLRIDSSVFMGLLMKEEPKLGKLFNEIGLHPISFLDKW FSQLFIRCLPWPTTLRVIDAVVSEGPRFLLIASFAILTLSKERLMGLQKNPTTVLKYL QNLPQDSLLLPENFMKTCDQVRFDEKDYKKLRSSVEKEIMG L199_005611 MPRTDLDTPSSLSSTSTMFNNDDSPVGDDYHTTGDKSTSRSPDP SNNHVKSYQNKNELERPPNKTTVLTKPLPTPSSIAPQSPSSILQRILHPLRTRSLSGS EPTLKHTYPGSGTSSDPYLVDFAANDPTNPYNWSKKYRWMLTLLIGITALCPPFSSVS YSSTVIEIIPEYGMSRELSTAGISLFILGFALGPLIWAPISEIWGRNFAFYVSFPLFT MFNLGTALSHNTRTLLVMRFLAGFWGSSTLTNPGGQIGDMWAAHERAMATSIFSLAPF LGPVLGPIVGGYVTERCGYRWVYWIQFIYALIVTVATIVIMPETYAPTILRRKAKQLN TLSQGEVYIAKFDKAKKSKSEIIKIGLLRPFELLFTEVIVGCLAIYGAIVYGILYLFF ACFPLIYQEIRGWSVGQGGLAFLGMGFGLVLGNILNPLGDRWYKKATVPGKPTPPETR LPLACIAAILLPIGLMWFAWTSAPPVHWIWSILSCIPFGLGFLWIFNSMVNYIIDSYT LYAASALAALAVLRCLFGAAFPLFAVTMYRNLGLHIAGTIVAVLAIACAPMPFLFYKY GPYLRRKSRYAPSTPHTIDEESKETPREREEERQDELRKVKTNRDEALEPQWDREAGE DARIGGEGVDGRSRDLEKGI L199_005612 MSFDPFEARMQFLQLLRKLNASQPSIQKVVGYAIKYGSRCSEDL WECIVEQCGKGSLNTRINILYFLDTLLEASLPLGPVDAPYPQLVTQNLQDIVGKVVPD GRDRVLNLRSAKQILESWRLRRVIDHDVVEEALKFLEGRTHSDDPSNKRSHEQAFSKN EILRRMEEDRERHKRLRERIWILPIPPLHPQSSQLPIKLKSSPSTTSPFTPASPSNSK PSNASTPNQGKMAPPPVPVADKEKTQEIEGGGLETALEVEFEQAWEGVSDIDEEELQR MKE L199_005613 MSTPSGDSDPDLRDVFKLLYADDEWAFASDTSDTEEDPGDIQAH PPLNSLIPDIEVDLIDSSLSKEENIDEIKLVKERYTRKRFLEALDSLISSPSSPSKKS RIYTPPPINHLTPSIILCTQPIPQLPSSEEYLPYSPLGLLSRLRTYQIHRYSPLLPTH LSPIKASLHGWKNSARNTLHCGVCGNSLKLDGMDDIRDERVREEVSKRLSKGFELSHK KDCAWRIRRSPDELYDQLRNLLHRLISSNLSPLAQHLHSSIPTLSTIQVKSPLSPTQE ECLIRSMRSHQSPSEQTDGNISKESILSSLFGWYPYHQNSLPSDHIKEEQENTEIVHC RICQRRIGLWAFAAGEQGEKKEFDLVNEHLNWCPLNTQQNQKTWWEDCPLLKEKVPVE SGITKDWVKLSDKLEKKPWRR L199_005614 MAPRKGAQQDWSWTHTVSSPDQITRQHRRRAAGLQDLIPCPFTF SLDTPTKVESEDHEDEVIEVDAKGKAKAKAKPSTNKVPGCSKQRCLKNPMCYNHLGAE QVLHPDAKSDYIDDHAGLIPVDREGPAGLRNLGATCYANAFLQLWFYNVAFRNGVYDC VTTESTPLFHLAMVFGMLQHSNRQMVDPMGLIEALRLEKGNQQDAAEFSKLFMSVLAS EFAKHPNPKIRSFLKDQFEGVMEYSTTCKCGHKSSTTSTFLELELNFKDKSTLEQCIE NLQTPEILEGDNLYNCPSCDRRREAIRRQVPIKYPPVLHMALMRFVFDYQTLSRKKSP ASITYPKNITLGDDEYELRAIITHEGKSAHHGHFICEVWDETEQNWLLCNDEEVTNLS DRPTKKPRKAIKLDKLDEDKYSSKDAYMLVYKRKDQGQVAAKQPPPAIWDRVIAHNVA LQEEQNAIGVKRMQVENEFNQLYNLKKHTDHIIPKDSLMKWLRIQHFVELYEPFDMSP IVCSHGGIDPGKTGESRLISEEAFDQIQLLNDCPQLAICSVCVENGYSREKAQSSLDG QVEAFDEANVGDGDYIISKSWLDQWRNGSLPHGKLPSDDKFSLFCEHGGRSIVPSNKR KATYSTITAEALAILKSIIGEFEVIENDQEECEECSSMTEINSEIRKQRLEGTKVDRQ IRRLVNKDKPPAYGLDYFALSSDFIREWDKYMRGDRDEPDLDMGLCEHGLLDYDPQME KPDILDKKGWKMLTERYGQKNPIVIQFGPHTRSGKKHNVEMTDPGVCEECSTARRSDF DVISIPIITIIAYYCSPSESVTPAPSGSGSDCGPRGNGFPPNNGFNRDFNNKRKSNGR SLTTYGGRSTRSKSKKYHYEGTKETSIKDIKIHIMDKTGGRLTPIQQKISYHGRELGS DETIGSIGFLKGDELELEEMVEAVDIDDDDEIEVVQDGNGDGRKKKRRVNEGFGGTAL LARIACPDCTFENDGAASSCEMCMRPFKMDEIL L199_005615 MLSRIRRRNAGPPPDTKSVNFTSEHLTVSKTIARRKKVLGLIWD KIPLIRAVLAIGGLLWLLAIPYEGLWKGTYVDEHALQPGQVTTYFDWGNVHKADLYLD RLERIVNGTFEERSTYLQDTFSSSGLSTSNTSTSTYAHVKPPRSKGTESVLVSANWIS RDGTPNLRGVATLLAMSDFLKGQNHWAFNFILVVGEGYMEGLEGFMREYPTLFNGVIW TGLNIDYPGHSFSHIGLFYEGVNGRLPNQDILNTISRVAQFTGGVPTRYHNIPDEVPS IGPEWFGKYLLASKHLLSHFGCMITGRASAAHGVLARHRIDSLTLYCTPSEGPHGFHT LGRTLESSLRSLNNLLERLHASYFFYLLPRPWNFIPIGHYLPSAILLSASITIGGFDI PLPLEGLVYVLPGFIASFVFWIASCPPIVWYSFMISPLILKLQRRLRGNGGNQIKASR ELLRSIKGLLRLLYGSLIATLSMINFPQSIFLALISLLYLTDFPKSIKYLILVVSQPG LVLWLLKYSLDVDLDLAKDWLELGNLTYPLGVYGIWGSLWINSVLLLKDE L199_005616 MAEIRRRPITPSISDHSIPGPSAGQRRPQQKLWRRSRLLLFLFF SAGLLWLYNIASSVFKNLPFLASRDNQRFNEGFTKIRPSDLELDIPPGYVIGHADFRD GRSRRCKKEYVQPESEGCNWVNVNLGNGGSEPNLSGGMIPAVAPPFGMTRWTPQTREN YVSMCPYNQTDTKIHGLIGTHQPAIWMGESGPVEIHASLGEVITDFEKRGLTFKREDE YASPNYYRNLLDAGNNKGELEIEMSATSRVGLIRYTFNPSSESIKPHVVLQASRKTWI LHGDQPDNKVAYYPDGHIEVDVEKQEVRGWNDERQDHVLAGDELPAKNFKSYFVARFS QPFSEGGISHAGKIQPEVLRGQGKVLAGYVQFPENTKEVEVRVGVSFISLEQASRNID LEIPSSQSLAQTSQSTRSQWADKLDLLSVEGATPSNLTVLYTSFAHTLVYPYEISETT PQGSMYYSGYIDKIVSGISYTGYSIWDTFRAQSAWLILVAPERVGGMIQSMIQDYKEG GWLPMWKNVVETNIMVGSHADSLIAQAMKVGVKGFDYQEAWQAVRKNAFTPPDRDTEL RFGDREEGTPQEVRAGLTEYRKLGYVADDLHSESGSRTLDYAYDDHAASIAADIMGAR DDAAFLRERSKNYKYLYNNQTGHMEARNSDGSWAGQEKGWTEGDHWAYTLDVMHDVPG LINLMGSKSNFVDFLDRHFEGGHNLHTNEPSHHIPYMYILASAPHKTQEWVRRIGESD YNHTADGLSGNEDCGQMSAWYLFSAMGLYPVDPANATYVLGAPFFDKLTLTLPSTGKR IEIKAKGASSGMKYVKNLQIGGVDREDVVVPHDVLEKGGVWEWEMRGDQQVWGM L199_005617 MSTSTTSGEPPKPTGLVMQIIIRRDLLTVHKWPVGPLLAQSAHA ATAVLHRYRDHPDVRKYLDGEDGRGWQGMRKVVLEVQDGNSLQEVTTKIDNLSNPIPY HLWVEQPENTPTALALIPNKRPKQLKKILDEHCKLFE L199_005618 MTNSNSLRHRRSQAKGLISIPFPTSSSTFMFDDTQQGQEARPRF SGESLTPNDGYSGLFTSGMFPNCVPTPSPTLSSFPSPPKSQPSQGQSSNKYSHLAPPS SLTTNDNNNFNTPQRRRRSSIIHTTASSVSPKKLKSVGVDNGVERALEGVMRNLRVTI TPKKYNYNGNGKLQSRWSSSTEGSSVDTHENNEDEGGLIKPRISNETSRSKLTFKSTK STKSTKSTKSKKEKGRKSEDTDRMDLDIPFPTNDVPEVPPVPSQMLIPTTPGRSRRMM NGLVKRLGLTPKKNKQSIPPTSIPPMPESHDPLPSPLPLPLPPSIEDPEHTIPRKSSF GTIRSALTKKSSNTTLRSMRSAAHPTHPFANIDNCTPPLPLPAGLPRPSHHDDLPDCF PSTPKGGRRTPKSSIGQPKLQPNQNLSPSHFLKELPRRAPETPKRDGSDIPRGQDGEQ DGVIRFEEEHLGDIVTSPPSRDIDNPPELEKEVAVEDSLDFDKSQEIFTPISKPQPNG NGKPTQAQIVIQQTLNSLTKTQSEMLSSPFQPSGISTPTNFALSQIRDTGGKGRPNGL ALNIDLTKNKSHIQLGSPLNLTNVPLNSMGTAKLRSKKSTEGLGLKTGGPLSMKNVNS VGLPAPPAPASSNENKIYSRMSRKDPLGIMKRFKPSIKPSDISDDMPYRGGAGDGWST PIPFPEPRPSGERERKLNLGTVESYFDPNLGTFGTPRYSYQTRREIPDFTVPPPPDSN HSSYTITTDGMEMEMENTNYRFEDADQQQGQSPEYYFQLERPSLDDIDIDDDDRNDDG RYGLGTGYGQDDRIKKSSDHTIHTIHTMTTGEGVEEWELERYLRDLEREEESRASSRR GEVV L199_005619 MSFTRTLSKSLRAPTIAKTPSTVFIRMSSTESTPTFGFKERQPS SEEQALVDDVLQLYQLNPISSAYARYDTKAQFHDPIGLAKGLEAIKAQFNGMPKIFSS SETKGLKFLDNPEVKPPSVQISLSQLYKMKPAGEKLVNSLVTFHVDPSSNLITRHDEE WDAKPNTTGEDGFFGKINELRKKFTASAVQAGVDTTPKDQQ L199_005620 MLTRTILRPSTLPTLQLQLRAMSSSADQLVVPSRSPSNKVSILT LNRPKALNALSTPLFQALNAELEKAEADDSVRAIVITGGEKVFAAGADIKEMKDKEFA EAYTTNFLGSWNKIASIRKPIVGAVAGYALGGGCELAMLCDILIATPKATFGQPEITL GIIPGMGGSQRLTSLVGKTRAMDMVLTGRKIDGLTAERWGLVSRVTEEGQSVVEEAVK VAETIAGFGKVAVQAGKEAVNASLDLPLEQGLRLERRLFQQLFATQDQKEGMAAFAEK RKPTWSDK L199_005621 MPRFYENKYPEVDQLVMVQVQSIEDMGAYVKLLEYDNIEGMILL SELSRRRIRSVQKLIRVGRNEVVVVMRVDPDKGYIDLSKRRVSAEEVVKCEEQYEKGK AVDSILTQVAKKRGVSPESLYEKIAWPLHKQYGHSYEAFKASISEPEAIFGSLSLDPE TLADLRSAIARRLTPKPVKVRADIEVKCFSYNGIDAIKRALSAGEALSTEEIPIKVRL VAPPLYVMSTTSTDKSGAIELMEKAVETIGESITKEKGDMTIKMKPKVVSETEDAELK ALMEQFEAANMDVAGDDDSDEDDE L199_005623 MTVDTPLSNGHTANAKSKSTESDGTNGEEPILTPIIAKQVKVRP KEEPTVEKTPSWNYLTFLLILTVLPVYLSKLHYKLPERLEPYDVNGIPQPSEQLILGH IDSLENIGYRTVGTSEAVKGEEYVLGEVRKIVSRCEEGDVLNCEWWVQQGSGFHSFDI LDHEVLKAYNGITNIILKLSAKNPPSYNETAKRPEKDAVLLGAHMDSTLPSPGAADDG MGVGVMLDLARVLVERDRPFDGSVIFMWNGETLQDGSHLYSTQHPTRHQVRAMINLEA AGSTGGALLFQATSKEMIEAYSHSPHPRGTVIAADVFSSGIIMSDTDFVQFEKYLGVS GLDMATVGHSYFYHTKKDSIKYIEPGSAQHFANNMIAILDYLTSPESPLLQVEEFSPP DMVYFSLFDLVFVHWPVNAANSVYTSLAVIAGALALRQLSKKRWKAFLVALIGTPLGL VGGALSANLLAAVLVLAGKGQLWFRHEHLPLLVYLPAGFIGHFSVQLLLSKLLSPVDR TKLESAHYTCQTLFAITLMMVLQSSKVRSAYLFSFLAALLLVGGLLDEFSRLIGGRNA EGMKIKTTYLIPLIGCTTLAVEAVTTTLDIFTPLAGRMGKDAPAEFIIATISSVSGFI FFPTFIPLFHRAPRSSQRKVVLGVLLFLAGVLTALAGPWYWPYDDMHPKRVGVQYLYN HTSGEHTGHIAFMDRGPTANIVESIHTHFGKNGSEVVNTQLTDYDSDWDTVYPISSFL DTYKFPLEVSREDEHFEWPSIGFYTQEVSWEYATRVLKLRFVFKGLVWPTLAFEASVL RWSFDLPPPIPKMRHHIKVATSVDEHVQDLNLTLRMDVGEKLQIHWTAIDLNQMVPGT ASRLGPEMPASKWLTSIDQWAEKEYEGSLEIMMNGVVCGVIEV L199_005624 MAPLANLSKEELIAKIEYLENAARSKASTIPSQNEVQPINVPIA PTASASASTSSTPTPVLGENGKPLRKHERKVLKKSDKPFSFHSHPTRHIALMISYYGW PYCGLALQPPIGGKPAVDTVESELLKALEKTKLIEEGQGLEGCGYSRCGRTDRGVSGH GQVVNLWVRSLRKRDDGGEQLPYEVGWKDPRDPIEVKVKPQPTEENVDAETDDKDNKK KKKKKEAYDSAKSPSGPILEFPYPKLLNSVLPPSIRVLAWSPLNQEFDSRFSCSYRHY KYAFHLRPTPTTPSLDLALMSEGAGYLIGENDHRNFCKLDGSKQIKNHKRTVLKAYFE SDPQTNGDRIIFNLVGTAFLWHQVRHIIAILFLIGSKLEKPEIVKDLLDVEKYPSKPI YTMGDPLPLTLHECGYEEGILDWRFGGYDGPYKSLTDQRKEELYACAMGGREGFERQL LGASQEANLRSWQINGSLRKLQEVYGTPAKKDKKDQIIYPVGGGEVIIGMNYKKLENR PRGETPDEVNRKWRELKGRAGGRGKKYEGMELDVEEDGGDE L199_005625 MGKYYCDYCDIYLTHDSMNARKAHNTGRNHVANVRDYFAGLNHD KAQNIIDQIISQHESGGGRQQMMMAPSMRLGAGFLNPMTTQQGYPPSSPSQPPFPPST GAPPFRPPFPPNGNMPPFAPSAGSGTPPPFRPPPTGMGAGAPPFPPTGVNGYGGPPPT NGMPFRPPPASNSPNGFASPPGAAAAAAAAPPSFTQASQPPAAAAPGIHPDRLRMMGS R L199_005626 MSTRNKRGNPSSSSASSSSNQPSSTKRVTRSSAKAQQQDYSSSS SSSKKDNQGNTSSFSSDHPKEKKIKMSVHQPIVIDDSDEELENTFFSGAEGEDLEIDD DIQAAIDASLKDQSVTRAKQKGLPSPAPTEEVSFGRAGWKRDYGLCKAKWGVQGEVEG YAGMHGMIKDFRSGESDDSVSYKLEYEDIDGRGFKLNLNLAFQDLKSYPASYKLVLFS PDSLPKRAETSFSRFSEIYDLEIPLLLEKLLASLQGDDEATGGIPGDFDEGELEEDEV MIDGADPWAEDPGRGFETAEGSDGDLGPGLVQLKDHFEQAQKWGYRPGLTRVSDFWVV SYSIPLKNLPIDPNVLSMWDDGLVDAWKKDQRLILMMGTDTYPPHLDKMKYWLGFHPN YKPPLDVLVSTTRGHGMPGFYLSAPILNYLKSFGRCYKLRTAFGLNWSTADRIGMDEE ASRQVFQYNQIPKNAGKEDEDDPVVKGFERNIPLVMFNWVLRRFMEAPKYCLNCGLEV KLPSLRPYVCDKPLCIYGFMSLGLGPSVEYTIMTHPAVVDVLLSFAHCAAASGAQTRM ELPLHLHIEVPPEFGIAHSQLLDELPDLDQRRALAWLIQQLPKVSEIKAHLERGGKLK SIDAPSGSIGVLRWVVGSCRAYLKETKPGEGVQDISSASTTTPTQVTYGSLGGDLKQF TFVVGSPEQESNFKNEIELAKKENKNCKTYPTLLAFHGSAAERWHNILRTGLDFSETI NGRAYGNGVYFASNSGTSMGGYARATVHVRENADFKLSKATALVELVNVPHTFVSSHP FYVVNNVKQIKPFLLLVQGNETTETSEEAEEERVKNAKSAKGALFVHDPMLRTKPTLN TNQPLAVMMPEKLTRTTYIDEEPNDKTDHDIFHPSPTPPKPQNTFKPSPQSRYDRMES LPPPTETSVVASKALGKELKAVVKAQEEGGLPFWVNPDVESLYCWTLELHTFPPDSHL YKDMKKHNIPSIIAELRFPASFPHSPPFMRIVHPRMMPFMHGGGGNITGGGSVCNELM TGTGWNPAFCTEAVVREVMTNMTEATPPARLDPRNWNSPYTMREAIEAYKRVAKQHNW EIPKDFDRLTV L199_005627 MVADTIHVHTHLAGHPTYHVLGHDLVLDVPIPALILSAVGAAFL LRYTLSFFRLILELTILPGKNVKRYQARDGKTWALVTGCTGGIGLEFARQLAKNKFNV VLVGRRKTALDEVAAELESKYGVETKSFVVDVATPGSARDEALTQIELFSKSNDVGVL INNVGASHLMPVPFAETDRTEMTQIIETNINWTIFLTRAVIPSMIARSSPRGSPRSLI MTIGSLSGRIPSPLLATYSGTKAALSTWTKALAKEVEQKGVEVELVQAAFVVSNMSKI RRSSALVPTPRNFVQSVLSSFGQPRGAQGRPYERTPFWTHAFLDYAVGFAGYLSEVSG IKVIDKMHKDIRKRAIRKYQREKEREGKSE L199_005628 MTPKLRVLISSNHSYPPTIPCPVNSPTPTKLETEHFSGDIWVFI KGYNGDLKKGDGEEYFSAKGREGMSYGIVVKGKYKKSFNADTVVFGNVFEKPIRDNLP WGTSIATKFMYFVDPTVEADIYADKPWALSPALATMARLSLGEKNEGPYVEENSLEWL KESVQGADEIPSYTDDKSQISSRRKWLTKASNRQAVKVDQGTEVGMEFCNGLLDFNTL SATLPYPFTLQIPLLKYWDGQPVTYVCQDKPTSYKDQSPVGGENKVYWSVAFEIVDEE ITKELKEKGGKVVRPGGVEEEDKEEERDEENENDDVD L199_005629 MGIKREGEFPSLKKLSKEVLGVNIQRDDNGRGHDPIEDARATMA IFMRVREDYEIDLMSNKDCVAGIPPSYELCFW L199_005630 MTNDRDYNLPDLEDYLAIDCEFVGCKSGQALARVGIVNHEGSIV LDTYVYVNPENRVDYRTSTSGIRPGDLDGAPTYEQWDIHYLTICQR L199_005631 MGITLLIDNYDSFTWNVYADIAVLGGNPVVVRNDKITLEQIEEM YNSGELERIVISPGPGHPRTDSGISRDAISWGIGKLPILGVCMGLECIVDLLGGEIAY AGEIKHGKSSLIQHDSIGIFHDLPPLLSSVRYHSLSAQLLSLPPILQVSSTTQESGVI MGVRHREATVEAVQYHPESCKSEGGKGLMANFLKLKGGKWGAENAWCGVVPTTPGEDE QSSAIPNGSAQPSASGPSRSAPSLPTILNKIHAQRLLDVEETSKVLATTPANITKSLS LHTSPPLISFVDRIKSTPHTAIMAEIKRASPSKGDIAPDASAPSQALKYALAGASVIS VLTEPKWFKGSLLDMLSVRNALDSLPNRPAILRKDFILSKYMIDEARLYGADTVLLIV AMLEPTQLKELYDYSVSIGMEPLVEVNNTKELELALQIGSKVIGVNNRNLHDFNVDMS TTSRVNAALDGRDVILCALSGISSPEDVQKYVKEGVKAVLVGESLMRAKDTGKFLRSL IGLPLEDEKRIEEKPLVKICGIRSVEDAEIAINAGADLLGVILVPNAKRRISLEVARD ISDLVKATRSKSNSSRTNSKQSTSTGNEPWFTFNFNRLSQRKKPLLVGVFQNQPLAEI LDAVDEIGLDIVQLHGDESQQLTKFIPVPVIKVYKISTSPSLTGEVVVSGRGEISRPG LNQFILLDSAGKGGEGISFPWENARKVIEKGENGSEGSVKLPIILAGGLDPTNVRKAI EVAGGSEGVRMVDVSSGVERDGGDGKDRSKVEEFVRAVKGQI L199_005632 MEKYSKWRDPGTGIQPFLPIIPPRSVSPIFVALLGPFSLVHAIA RTILLGVIALLHVILVDGLCLIFTPVPPIYRLVSSGFTALTCRLALGIMGYWWITTDI YSPKRGKNGVSQISKVSPKKGDLIITNWTSYVDVLYLAFRHNPTFLLPIFSTPSSSTA PTAKTGRHTGTGSASINLSSSSAQPNLIGYEPIPLLALLARTGSLPPTYDLSPITKYY KTLREARRKEGRPVVVFPEATTSNGRAILKLAEGVLDGSDFSDGEDGQVWIKYFRHSP PTAFASSATCPIPTPLNHLVFSLLYTPTPIPSRSLHVRTLHPSASPSSPSFLPSEILN NAPGGLDSAAKDPKAVWREAIGVVLTETGRIRRTRGMGWVEKAGFLDYWGNKRR L199_005634 MADQVAATPSPGEGVSKLISTYPHHWREIINGPLEEEFVQLALS TLNELVQPDFVPTVEHVQLLLYLTITPNVHPISPELPLDILYRLVSLHHPMSFSQGIP IHPSSSGKNRENEPIWLQWNYKRSDLHKSIWRCMRACRDEGVWALLWDKAAQVKAKTE RPRRSIEDDEEGDRKAHRNLSTEGWKLLEWLVQFWEKDRSERDLRENSIEYSPLFLKQ LPRPFDRTGQLPRNDASIPISILKAAYTTPSSKGEEGERRRSFAVNLLSLVIDTAIGP KAPFHPSSLSSSLVHSLRALPTNDVLDVTKRLARSRHWRTACHILTLLIEDLGGIRSK KTEQRRAEHVSRGIDMDFEKNIDLDRPTAKYLLGEIVLLTPRDAHDVVKTTMFKVALV SITKSHNYDAIAMEEVTARYKDDVSWWNRVENTWRIEAVEEKKQSVYIGLLKRCIVDM LSNVR L199_005635 MSDDPMADFLAREKAALGDDADLFASGSAPSEPSGMDAFPDFTS PAPPAEAPKPASPPKGIDSFPSLHSPSIGGGEVRVTGVAGTGEDEDRAQFESQFPDLS GEVGYEAPPKPVFNALSPQPYGASPYPATAAPKPRSAQSILPPPTFNNILPSNSEEES EPIKAWREKQAEQIKKRDEEDKKRRDEMANKAEKAIDSFYEDYNKMKERNIRENKETE AAFLEKLNEGIAKGTAWERITELIQLENSQSKTIRPSVPGGSDLARMKEILLALRREG DKAPGAAGF L199_005637 MGGCLSTPSSPKHTNETKQVTAASSSKSPQTNVNPSSPAPATNN NGESTPTSAAGQGLAAALASTEPTAQESKGDRNRSNMIDRQLEDDSKKFKRECKILLL GSGESGKSTIVKQMKIIHQNGYSREELLSFRQIVHKNVLDSAQALIMAMRKIGVDPEE PNNRVYADRILEFRMDTDPLSVLPSDILHNVDSLWHDPVIPSVMDRSSEFYLMDSATY FFANIRKIGAADYIPDEADVLRARTKTTGISETRFNMGQLSIHMFDVGGQRSERKKWI HCFEAVTSIIFCVALSEYDQVLLEESGQNRMQESLVLFESVINSRWFLRTSVILFLNK IDLFKQKLPKIPLVQYFPEYTGGADINKAAKYILWRFTQTNRARLSVYPHLTQATDTS NIRLVFAAVKETILQNALRDSGIL L199_005638 MGLFDFLPCCGPRKDKVRDVESQTQETSTLLPPPAREESIISAD GLVGSYGATEQGLTDEQRMRIEAIGREVGNHMLPIHSLPPGSNLQIQRSSPSLPKPNG GAPSSRDSSRPSSPSPMRPDTTPPDGILRSPDRPEGEGADDGVVRKTLFAGGGNMTGR KVSSRGKGKSRGGKPRK L199_005639 MFRSTKALAISNARHLSTSAILRQEAVGTVGTVPVKRPVGGFRG GILGFFFGFSFASALSIYYLQQDNKIASGLLLASVEELQQGTGKISSHLDRLQTVEKE LAALKSSGAIKDDVTKVRGEMKKVYDGLHLELLDLRAHVWGVEQDLQKVVKTGESVDL T L199_005640 MDIAITSSPTPIHVNGPQPVPGPSYISYGRPPPATARGGRGRGR GSRGGGGQQRQRQVTPPFVYLQRPNGHSQTNGNGIGGGSPLSNESMTLPPNHIHIVQT QPQAQQQQSDMNRTNSTFSIISPSLPPLSNGPSSTEGSFGEMDIDSPYQIHQNHIPQG MVSIPVPIPIPNSKDPEILDER L199_005641 MEYLVFSPIPTAPPITNHAISPIEHGSALQATLNFAEGLSGMKD GTFDEWALFIDEHFDPSARFQLQLISNNEETEAKMRSYDILASSLSRFFISLSENGVP THRLVLSDISESPPSPESGLSLVETDQVEWHCRDRIWKGHLSVEVGIEISLNRLKIHR MELVLLLDKGDNIPENALRDLKMAEQMEVMLEVIELTEDQQMNPNDALRQITEPASSR L199_005643 MTYRRLLTSLASHPPSSTSRLIPPYAQHLPSLSETPSSSLRPPY THQVPADVKVINRSLKSPKKFELLLSNDKNSTTELDQWRNMILSNDQSRSDVLSRWRE VVAGLQRLKDGHNEVPQIAFQQLTSELSSASTTDKIKDTGLVVIRDVVRDSEATEWAR EILLSVGERGGRAVYWHPSLLSARANPSILSANSQISSVLLSSPEVYVTASTIIEGLH PSPNVPSSTPTDLWSTPKSLLSHLTLTPSIPTSSTIVSPTILAAEYAALRPFFRSVKS KISFYSDSSSEYLNLDNWELLDPSSSALTSGPELDLPHLESVQIIHPELRPGDMVFHH SSLPITTSPNSGQVFLPLHPVLKSSAGSAEWIEEQKEAFEKGVPPPGAMVDDKEGLWL LEEKGDRRLIESRAGRDAMGY L199_005644 MISILHSLVLLLSFSLSVLATPTSIDRDTNAYRLSRGLPLKKPL NPFNATRTAAKRQQPSGAPQTGNYVVTYDNPARRKRSGNMYIAPSSAIGILTTTYDQT SAALIKTPGTGAFSDSTIQIYNPTTATWQYIYSPKLSTGPSGYDVSYITSSTAFSTYK QSASGVFGYNWVASDTQYSFTPQMYVGSYAGYTVVVGGYKTSDLTNFGITNIEPVTIT FTTEVETNPCLGRKRC L199_005645 MRKLLSFSLGGALASPVPDETNTNAYRLARGLPLKKPLNPFNAT RTAAKRQQPSGAPQTGNFVVTYNNPTKRKRSGPIYLAPKGANSIFSITGDPAQAILVK TPGTGAFSNSKIQGYDPTAGEWQYMRASKFGDAQGGFDVSLLGSN L199_005646 MMVGTTADLIFAWAAVGPDHIWGGAAVIALFFTVFFVAELKGRS LEEMDELLSKFRWGWQYSSFQTIGVGAQMAQVEMAIVDGINDDTKLNDDSDNKDDMFV AVSRSYL L199_005647 MTLHGEGIGGVVFLILYTINFTILLYGFTTKLITFKSVYSFLLF HVCLRLAAQSVAIVTGTKDRLDAGLLIAFFVLGAEGYFSLVLCAYRFLIHHHEHVYPI SGSWLEGKINRNKDRGGQEDPWYIRLKRALTAKNKDGKKDPWVMTIIHWTLIGANTII IVGGTRATGAGYNEPGFWDRIHDGEILRATGQAIFLAINILLAVFLFLSVNQDRNPNG TLPRGWNHFFRVGSDHGAVDTADRPLIRSISPDLLVLIIAWPPLIVRGIFGLLQAVVA PINYANPEAYTYTTVLAFTKVFIVMENLFSVLPEWTACCLLCTTMFFKPNHHEANRQT KDLESTSPNAGPVDQGEVDGDETHTVEPKDTNRKY L199_005648 MKTLLGKRGEPLQELMNFCVVVPVFLAMGFSLSFGGGVTGYKTF YTLFPKIDTTTTKGAVKSHNSLIQGTAISSLNLGAAIGCLSTMYLGNKLGRRRTVVLG AIVAIIGTILQCSAYYLPQLIISRMVLGAGLGMMSSTVPVWQSETSKVHKRGHHVIID GICIAAGIALSSWLTFGFSKAQTTSSWNWRLPCMTTGILAIVVLIFTFSFPESPRWLA LKGRYEEAREVIAIVDDVDPQSEHTEYVLASITSVNEASAESASFSSLFKYGKEKMLY RLILASATQMFSQMSGSALITYYSEQLFSTVGLSHDLSKILGATDLTFKLICCSIPFF TIERAGRRRLLMIAASGMSTCMFCLAICGSQVTDDNLVPAYVAIVFAFIFVAFYPIGF LGVNFLYSQEVITTRYRAPASGISTAVHWLSAFVVALTTPIGFTSLGWKFYLVWGSVA VSIIPSVYFFYPETTGLSVEEIDQVFIDSPNVFSTVSLAEQRRKEKAQGVDLLEGHVE HFPEEGKKAEERHEQALVDSRV L199_005649 MLKNGLRNTSRCLAQASQCNAHHSLPTIRYFTSLTTSSRWGGNQ TRSYVLTLAGIITVAGLYAGSKSRIYLDDSVDVNEEQRIDPDGGVSGAKGSKKSGESS KIDVREDLRVEGWRTDQANGKEKGYITMEEVARHDLAHDAWVVVEGKVFDVTEFHKYH PGGSQIIVANAGRDIFKPIHPPKTLENNLPPESFKGLVDPKAAANSLKAYEAEQQRVE QARNALPPVETMLGLDELQDAAESFLSPRVINYYGASSLDGYSTAENRSAFRKCRLIP RVMRDVTTVRPQTTIFGVPSALPIYISPASNALLGHPEGELNIVRGASKTGIVQGVSA AASFPLDEILQEKAKMDQETGTKMGMVYQVYLSRDRRKNVEQLKEVVDGGVQALILTV DSNVGDHRQSTEKLKGARGDAQPGVRMGPIANSDQWHDASQNWDDLKFIREYAPGLPI YLKGVSHIEDVRLAKKHGLAGCILSNHGGRQLDGARTGFDSLRSIYAQDPQLIKDLEI YIDGGCRRGHEVLQALAFGAKGVGLGRPFLYAQAAYGEKGVIRAVRIMEKEIATAMQL MGVTELSQIKPDMVECLQEIWK L199_005650 MSTRDSLSTSSPPDQAPSQPSKYPKSLKHAPRAITPSLGGCQTD TLQIEVDDSQGGGSFVAFLHRPVSDPSSTTSSTTRNRHVGAILLSGAGGGVTGPSGIY LSIADKLASLPDGQAIPTLRMDYRYPARNKYCVPDVISAMDWLQYKSGLGLSHFVLGG WSFGGAPVFTVGGKDRRVIGAVTIASQTAETEGIRQLTPSPVLLLHGTGDRTLSHSCS ERLYQQYGSTHGERTMHLFPGDDHALTRNSRKAEEMICEFIVRCAGVTMGDTVKHKLE EDLGPGTKRDKVEIMEKGGDLNGSERIE L199_005651 MTSTTMKQWKLTGRGSYDKLVIEKDQPVPQVGENDILVRVKAVS LNYRDISIVQGSYPFPLKDVVVPISDAAGVVEQVGSRVTRFQKGDKVLPTFHQAHLPG KPSLGGPTDGVAREYLVVSELDAAPMPKSYNFVQGSTLPCAALTAWDSLFGLEGRVLK PGDWVLTQGTGGVSLFALQFAKAAGATVVSTTSSSAKAEKLKALGADHVINYREDKEW GKTAKSLTPDGAGFQHVIEIGGPGTLQHSLEAIGFQGVISVIGFVAGGGDKMPSILDC LSYQCIARGIFVGSREQCEDMVKAIDSNGIEPVVDDEVFGFEDLPKAYEYMEAQKHVG KVVVDFEQ L199_005652 MLSCFRGIVGSSSSSKDGSSNQSPNGSESTLVNEKSEKVSMITS TRTSKSSATLYTDVHLVGSEKEGLSSVLVQDGQVKWIAAADEKKELPENVERIDLNGQ WLGPSMIDWHVHSKLAAQSEHRVHLDSVKSAEGVFARMREALSDPKYDREDLIGVDMR NGDWPDNDEMNRVNLDEKVSSTRPIFLFYNGYHSVVCNSIGLTKYGEKAEGSGILKEH SAWPLTHQLGKVEDEVMDGWIDSWARDAASLGVTEIVDLEIDFNIRDWRRRAQNGFNT LRVNLGIYENHFDDAVKQGYKTGDIVDEDGLRLIKIGPFKMVTDGGLGSQTACCHDPY PNTTDYGMMNDSPEKIKLWTRKATEHGFRLAIHAIGDQANTYCLNTMASNPIPPLAGS TIEHAQLLVLDEIPIFTKLGLIASVQPVHLVDDRETCLKYWPGREHRAWALKSLADAG VRMKFGSDNPIAELNPWEAMAVAISRKRKGGLPLSEEQAVGIHTAWVSSTSNGRASIQ AGDRADFVIIDKDPLKCDADGLRSISIHGTVLGGRWTYRKKI L199_005653 MSTLTSTDSRKRRPKSQFTSRIQPPSTLPLRTSSKPRQSITSSK SASQFADRAIPAEEPSTASRLPLPRVSFLTPREVWSPLATPIPDMNERPNLKMATAPS RVPKYQLKDTGPVPLSSPSSIPRPHIRPRPVPRPSMRPRRQDSIQRPVLQIPKPQVRP LTTTQQISRLPIPTHSRPVSLPAAPVITIPIPKSRPASYQPPSSTSSSSYISQPTLAI PEPRPPLLPVPTIPTSVQPSRQASNQSTASSTCSSASSLARHKAIKQKGQDRSSFARL SAYSPPDHDALIRALAIDSDLEKEDTESTISDHSIRTVATVNPPDREATIQVSEASYS LDPRSKSTSLYSTYTLGNHSSPLPTDCLTSPYLVPSTAPPMTLEWESDESSGEIDEAE KVWRELELKLGRKVRGRSLRRGKWVVKPRNEGERGLNQQQQSSFDDSDNEDNEEAIKM ETTSSGFSVSLYYPSASAHSHSTPPLHIPHPQSSSSSFAYGSSPMMESPSSATALFDM SAPLVPGGSKNGYTPWNTPLMNKESIKRGNRVRKRYASCDVGELDAHHTDRLGSLEEY SRVKFPQMDDHLPGGSTINSIHNESTSSPSISNSTFTPRSISTDGSTTPQPQSDPITV PKPNSIPAQPPKTMYRLDSHVVSALTALQGAFDSPDLDFALQNCSSPDLSPILASPED GPESGGLGLGMKLNLKTVYHLPTLSPRLRPSAVGKRSIEVKISDRHNKGGRGVNKQID NIGSARDGSPLVENDDTAKLDKTILQVEPAQTSSNDHDEEDGVLVIRDLDTGLERQVR VGDGLTLN L199_005654 MFNKSIVSVLAILFDVGVNPVIAGQRYDNAIGTIYYDLNDACEV SGADATGDMSSMKTSNNACGYAVGNLGASRVVAISQSIFSNDLCGSEITVYKNGQPVQ FSEGPLFVGDICPGGECTGNHIDLGAKAADEINGGAGCKNPNGFSFEIGDQKIGPLYS SIAGASLEAWKASGGGTNNQQNTPSNSASAPGSGVSSSSSSAAAPPPSNSSNPVPPSP SSSSQAQANPSTSQNWNPTTRSSVSSVASQPLNSATQNWNSATTQPMNPATSAIVGII STALSGAPTNTATQSLWAGGWNNGNTLFAEKETLQGSNNTCRRRRRRRGLKSH L199_005655 MLNHVLSSTLGLLFLFAIENVNAGVSIDGARGTVYYDLELQCTG DGSDAGGASGLNGALTACGYSAEGLGTSRFVAIDGSIFDKSMCGQEVIITQNGAPFSF SEGPMFVGDLCGGCEGGKILDLSGKIAVEMMGGVCKNPPSFSYQITENIVGATLEGSL PAGDGSSSPVSSASASQPAFSQSQPAVSTPAASQPVSPTTQSIPPVSQPVTPATSQIV PATSQPVDPATSPANPASVIATTSAAQSLPATTFAAAPTNTGGNRWGGGWGRPPALFA ENESATNGTSCKRRKRRRRVRKAH L199_005656 MILPTVAATLALFNLVRAGVSSSGVMGTIYYDGSGSCGKEGDVD GGSGNYSPEIEAHVGACGYSVDQIGDNRLVAFDASLMSGSPAEYCGKEIQVTKADGTP FEFSEGKLFIGDACPGCAGGMRLDLSAKALVEIVGDCKSNAMGISYQVLDTMAGPEYS SISGGSLGDGTNGNTSTSATSAAGVPDAAVSSASSSVPGVSATSVAGVPATAAADTLS SVAGVPTTSVAGVGTSVPGVVSSVPAEPSVPDNPATQTVANAATATVPAGVSSSSSVA AGVTSDTAVLGVPPSPSVPIGVSSSPTLAAGVTPTGVPGVALFAEGDVASNASCKRRK RRLGDRH L199_005657 MSNSNFLDKAIALVQKAIDEDVKQNYAEAYKQYQDALDYFMMAM KYEKNDKLKELIRKKFTEYLDRAEKLKEHIAKSEEKRTKAKVGVNGGGGSTAGGPDVK DGGGEGDDPEIKKLRQGLQGAILSESPNVQWDDVAGLAQAKEALKEAVILPIKFPQLF TGKRTPWRGILLYGPPGTGKSFLAKAVATEAKSTFFSVSSSDLVSKWMGESERLVKQL FQMAREQKPAIIFVDEIDSLTGTRGEGESEASRRIKTEFLVQMNGVGNEETGVLVLGA TNIPWQLDPAIKRRFEKRIYIPLPDVQARRRMFELNIGTTPNGLTPKDFTTLAEQTEG YSGSDIAVIVRDALMQPVRKVLSATHFKEVQSDGKTKLTPCSPGDPAAIEKTWTDVNS EELLEPLLSVKDFEKAISVNRPTVTQADITKHIEFTNEAGGEGA L199_005658 MSIDEAQKALNARVRKLSVVLGISIAFFIVEISIGFKTGALALI ADAFHYLNDIVSYVIALVATLLSRKGSGPIGYTFAYRRAEIVGAFFNASFLIALALSI FLQSIERFINVEEVDHPLWVMIVGCCGLALNVVSILVVHEHSHDHQKSSFPDEPLDLE LSRIKAAAASPSLRGSAAPLNVDHSQHHHAQQNDEEKKGTTRNLGLLGVLVHLLGDAI NNIGVIIAAAVMWKTSSPHRFYADPAVSLIISLLIFLGAIPLAKRSSRVLLEAAPKNI NPELVKEDLMTIPGVISIHELHLWHLTESDVLASFHVHVNVHDIKGWLPIEKELRSCF AAQGVTHVTISPEFDTVVDNTEIGGSRRGDGDLCDPTCSVVPS L199_005659 MASASGYNHHRFARAVLKKSRKWEPSLTVQLHHNHWRFENSPIN FQYDGEMKPFLLALRSQVIPSSLIRSLYNIYPTISFVDGCLVVEIQDFRRSPETRSRV VMRPAAETLAQTIDVISERKGQVLDEGMGLELESRIIAATSPPLYLGTSILATRNATL ALALTSPANPNLSSDGTVRSSSSSSGNGTGNDSKSTLDKMRKLLRAGINDRSTSSGGT PFQANWVVLRAKEQFERLKMQREIEAREAASRPPQGMGNGNDPNGMLQNGGEGIGIGA EGMGNGETTGEKKKVKKKRPPPQAEEQEDEGIKEVKKPKKKKKLNNTNTAADAEATKS EETTAAPTKKKKNANAQKDTNAAQNEAPPPAKKKVQKKKKDDGEKAKNDGHAGESGAA AAAGAGGAGLS L199_005660 MNSHPPPYQSPHTPDTYPPPSRTRQNTQHQRNRKRRRFLPTAKG FLSFLYHTSFYLFILIIAALLVGSAWSIGEQAWRNGGQRKWNIFVMVAAYVALGIISV LHVWSRVLSIKRILRTMPKPYIPTKRVDLPKNVAKHIATEYSRTAVIAHISQATTGQQ EGWGRPGTRWEDKHFRTYILSTIPIMRQSLAPTSTASPLSLQPLLDASSSMNDNGAIR LLVNSYAKIIERARYGRKEPSQADAEAVEKVVEVVLLTLEVKRRREKDKHRTIIS L199_005661 MVHQLTTDDVGNMSNSDWKYLRKTETSESKKSRRAASRRTGEHW YGPKTREIWAAYTQSWRSGKYDPRRIWQTPRDDGRTFDREAPSVAYPPTNWSGPPGTW LNSSGIIQVFPNYEFLWLEKFQPSNRSSNTNINSPTA L199_005662 MDNTPRQIFQTPNNVYILQHPWAFVKELGQGAYGCVSSARNETT HETCAVKKVTNIFQKKILTKRCLRELRLLHHFRGHKNITCLYDMDIVFDPPGSGQFRE VYLYEELMEADLHAIIRSGQPLSDAHFQSFLYQTLCGLKYIHSANVLHRDLKPGNLLV NADCELKICDFGLARGFQPGAVQTDQGQAGFMTEYVATRWYRAPEIMLSFANYTSSID MWSVGCILAELLGGKPIFKGEDYVDQLNKILNLLGTPTEDTLRRVGSPRAQDYIRSLP IKPRVRFDTLYPNAQPLALDLLQKLLTFDPLKRFGCEEALEHPYLAVWHDPADEPSCE VPFDFSFEREDSTSGMRHLILDEVRSFRQLVREQAPPPPPRNQSETLPQAMQAMNEPG AGVGPGYAGQDSEELNKGDDIEEHPSSALERQLNQHRI L199_005663 MPPARAPPKRATAAAAGSSSSSAPAPRTRTAKAGPSGGADVKPR IGTSSAAKGKAPLRPSNTVSTTDKKPEINKGEEEWAELMRQTYGSQKGADWYAKGVKS VEDKWELLPAFLKVKGLVKQHLDSFNYFVNVDIKAILAANSHVISDINPYYYIRYLDI RVGQPARHDTNQVANVLTPMECRLTDSTYSAPIFVDIEYQGEEKKNRQRGVQIGMLPV MLRSDLCHLKNKNEQELARMGECPMDPGGYFVVKGTEKVILVQEQLSKNRIIVMRDPK KDETTAEVTSSTHDRVVKTYVTTKNKRLYLRHNSFKEYIPIVIALKAMGITSDKEILQ LICGSDERYQEAFGVSLEEAAKEKTFTRRQALEWIGARVSPNQAKDDGGSYGQKLTPV DIAQQALAAMVLGHVPVRNMNFRPKCIYLATMSRRVLMAMIDEHMVDDRDYVGNKRLE LAGQLLSLLFEDSFKTFNSELKRRMDKILEKKQRAGPFDAGSLIRQGGDPITSAFVRS ISTGNWSLKRFHVERAGVTHVLSRLSFIAALGMMTRISSQFEKTRKVSGPRALQPSQW GMLCPSDTPEGEACGLVKNLALMTHITTDVPEEPLLKIAFLLGVEDISLLTGNELYRP GVHLVQVNGTLIGVTHLAKKFVRTFRKLRRAGRTSEFVSIYINHHQRTIYIASDGGRI CRPMIIVENGQSRVTSQHMKLLKEGKVTFDHFLRSGLVEYLDVNEENDSFIACYESEI VKETTHLEIEPFTILGAVAGLIPYPHHNQSPRNTYQCAMGKQAIGAIAYNQLNRIDTL LYLMTYPQQPMVKTKTIELVGYNKLPAGQNATVAVMSYSGYDIEDALILNRASVDRGF GRCHVLKKQTIPMRTFANGSHERTAYPDPLPRPDAYAFIDRADGMTAPGATINQFDVM IHRETPIDTRGPSADGGGYKPSPITHKLPEPIQIDKVMITEGEDGSLIKILTRQTRRP ELGDKFSSRHGQKGVCGLIVPQADMPFNDQGIVPDIIMNPHGFPSRMTVGKMIELLSG KAGVLAGKLQYGTAFGGSKVVDMSQILIENGFSYAGKDMLTSGITGQPMEAYVYFGPI YYQKLKHMVMDKMHARPTGPRANLTRQPTEGRSKDGGLRLGEMERDCLIGYGATQLLL ERLMISSDAFETQVCETCGMLGYNNWCPKCKSGKGVIGLTIPYAAKLLIQELMGMNIM PKLCMEDTV L199_005664 MPPSLIYHSLNPTLYPTNNASETLLVVRFNGPTRISSVRIIPEG VGIGNLAGQGVDEKGNVGTTYPPQFTAQLLFNISPSNPVNALARSTIEVVPCEHALDY PIGMPIGVTTRMMMLRSPAQKLSLSVYGYQGDSLNTEEEQTQVTITQAQPVHSARQTK KVDEDFSWLYTWSGPSPCSLFSLLDESVPLQISNRAIECLTLLDQVQAQSQAQPGATS PSVISLLLDEPTALKYIMTIDSLSAVPLKDRIFSDPESALHPNISPYLPKDHPLAVMS KATTTEERHSLAMKNLSTSVSESGLGVGTLIALQDISEHELLNVETGKEKSNLMRLME LANDLISKIDKGIEQDDTIGCLGKILDILNKSFRHEGLNGYLIRNLPRLVVCYNLKMA DGKRELGIPLDYSHEVIGSLGEIRTEIIDGRSTKSICDELAGKYLDKVDDEDPLKKIF TNESSTQTLSQDLETDIEVDADQRRFNRLSQSLLTSSFNSSSLIHSITPSELISLLSP ELYKSLSTSRTPPFGIIPSVQSSEMEQGAKSFAGKVYTHHEFRRDRNDPSSSVGSGYG LGISGANMGGMGGLGVVSSAGGGGRAASRHVDSWTR L199_005665 MSKSILFLSPTIISGDPSIQPYEADVLIKDGIISKISPPGSIQV TNETDIRKIDSKGWVLSPGFIDLHAHSDLYLLTHPEHEAKISQGCTTEVIGQDGISYS PIDNPKQLTAIREQIAGWNGNPSPEDYPNLGLFEWKSVKEYLDCLERNKTATNVAYLV PQGNLRLLACGPWDQVATPEEIKSQEEELRKAMKEGAVGMSSGLTYTPGMYASTSELG SLCRVLAKEFPGAYYAPHHRSYGFKAIESYAEMLGLGRMTGCPIHLTHATLNFTENKG KAPMLISMIDSARSAGVDVTLDTYPYLPGCTTLSALLPSWASSGGPTETLKRLQDEIS REKIRRAVEETGCDGGHGIPTNWDEIQIGSTNHPSIKHYSGRRVSEVAKSVNQLPIQV FFDVLIKDRLATSCLMHIGNEENVREIMCHHTHCSGSDAILHGKGTHPRAYGTFPRFL GHYSRELGLIPLNEMISHLTSRPAKRIGIYPHRGLIAEGSAADLVLFDPKTIKDKATF EEPKQRAEGIRFVLVNGQIAMDEGVLNGGRGGKVLRRRDDGVVC L199_005666 MSTTNTFTHTSLYALPSKSALRAEFVGKKIDQLRTPALVVDRSR FKSNCEEVTAEAKKRGMLFRAHVKTHKTTEGTRLQVQAAGGVKATICSTMIELWKIIE DGLVEEGLVDDILYSMPVGEDKISDLNLAQDKIGSKGVIRLMVDHPEQIRLLTNFNEQ EGRKQKWSVFVKVDGGGRRAGVPPTSHQLKDIIQAVLSAEQVEIFGFYSHFGQSYASD TLKKGSSYFEGEIDCVQSAAKVARELGCKGDWILSVGATPTAHAAVQEVEKAHNGLEG RLELHAGCYCMCDLQQHATSLVPDSHLALTVVSKVVSVYPHRKEAMCDCGALGVSKDT GRYPGYGRLVSPERAVGKWDLGRISQEHGTLVHRPDLPEGEFVEGKDELKVGETVRLV PQHACLVCAGYPWMYVVDEEQGKGEEVVDVWVPWKGW L199_005667 MEPGPSCFRCTYQGCSKTFTRKDHLFRHAANHSTDTFNCPRCTR PFKRLDLLQRHEKRNICGDEPYQPPAHKRKRSEENFTTHNRMSNPRSDGVDEGRSEID EDGDGIDNGEMKTEPPLSALSTTFPHSASTISSMGQTTSDNTGGGINPISSTLNTSST SPYSSLTGTTTNHSGYMNNTGNDLITTNSNQVPEFGFGFGLWAPEQWEALLHESLLPP FNEPIIPNINFDYSNSTNPYNSQQPEQPQPQTTNTFFQSQPLTTPITQQILPPLLTQT KIQLQSSSERDQISFQPHPQIQSTPYGQENGIKEDETVMETLLSRLQMSFPELDISLS VMSQSLDLYWTKTAPTFPFIHRPTFDYSTASSELIVLMIIVGSVHSLGTSDIGQKNDF SRLVSKIRSMLFQECGLEMPISTLQSFTLCHVYDTWYGNSESLFVAQCMWPVMVAHSR KKGIGVLGKPETESQQEEAWTNWAKEEERRRSAYCVLLIDTQLSAFWNQHCSRQLSIF AHNLNLPCPKSQWEAGSASEWVKIRQYTVTNNTPTTKPKHSKSGYLPGLHPEFTVNVV TEGYSSAIMSALSEEHKFLFKVDLDNSLTSQMVLIGLIAIAWDCRTRGGMGIRFREGT KHWRSIVFNAVIQLRAAYESAVMHMSASIESRDMRDTFAICIISVLSDIPMLHVAAGA TTFCGASIGPRQYSDAKRRLKLWAKTDDAWTCVWQSIRYLRQALFSEWGLYSTWAVFN TTLVLWGYTTTISHPSTSSSNTTTTSSTLSSSEHPLNSTYPPATTSATFTFTSSAPSI GNRSNNHDEQERQRRLTQWLENVLSTHGKLESMSDLDEIIRDLLEIVCEKLSGAGGEI DRDNSALLSRLIGQKRR L199_005668 MNQPMDIDLSLDEIIAKKRAERRTSNRHDPYKRPTNSSPAPPPN QTRRSSAAVSLSTSPVKSTLTTLSFTDIKSKSLDPSFPSFAYRSLVPTAANFKIPSRE ISVGIFPRDITPNQIRDMSQSTVGPVQELRLDSKGVAWVRMLRFQGWEVWSFLHGKIL DGTQRLVVRVYPVRHGPASHGRSGHRRK L199_005669 MPKYTLYQLVGDASNPDGRVISPHVWKTKLDLAFFKQEVTNSGK TFPQIRGELSELTKNPAVTVPTIEVDGEFTTDSWKIAEYLEAEHGTPERSLFGGTEAG KNFAKFIEIWSNTTFANELRPLVGVAITSHFDPSSKAYFIKSKFQGDIKKYSSLVDKF QDPEELEKQLALARSRLSVVNDLLKVKKSKNEPLWLLGGSEPSHADFCLFGWYTSSTI HPAVEKGVWRHEEVALVGEWLDGLLDSGLVDKDQLK L199_005670 MSLKAELTTWSKAISSYEAGDYEEAISEFSKISDTSKICWNIGI VLATLGKHQEAVEEFGRAVELDCYFAIGYQQKGVSNFMLGNCQDALKDFEDALLYLRG NQTINYEQLGLDFRLYSCEVLFNCGLSKIYLGQMESGLSDLRNAQSQKMIKEHDVIDD AIRDGGRDYNVFSVPVGVLFKPSQKKLKNLASRDYMGKAILVAATDVNDAYTTFTGIT RLQRGQTPSGAPLDASHPLGRSASDSAIPQDTGTTRMTRSNTVGISPNSSHRSADPTL NRHSRSNTMDNELPLATPALNRSITSATRPAVKITTEFTQRENQPLSAPERQLSNSFD TNLAMLPKSSTAGPGEPPLRLGAGESPYLQTPQLNPRSNIKSSAVTELYDEYYQSIQE SPEEDGIVIPDNLPPIGGAKRIEAWSNRTPLGASPSIALSRKGSATASTTIGQNMMNN QKRLQPQTQLPPISFGSRSPGGGGGSIPLTRTLSAATSNRESNYEVGSENGSFYDMMK IRVKVNYGAHKRGMSILPSHTYEIFINLLKDKFPELADQPLDVKFKDEDGDILSMRDE GDFEAAVDVARILGEGNGRGEGRLEIWVV L199_005671 MSDKSLGESSSGGQGRTSGTPSFVGPIRMGQREVKSCNYCYKRK VKCDKVFPCSRCLSRGLGDLCEQQTVMVNGKITGGGRLPSQKVPTLAQMVEENKALHR KVNAQEKTIQNLMKKFGNEQDQDQDDDDDEVEGIEGRSGSTESQHQRDIGDTSSRYAS LDRERDMGRASAGTMEYDGEPEQEEMVRMDYLKHLSQIYGIESKKYKENENVPQPYSS FKNNGIQSLEALLSTVPIAQSSQLVRYHCQTLHWIHTVFHEPTFLREHDEWIDSFRMG RPIVKSYDYYALYYAIIACSLYFMDESSAMLIGFNQESVAVLPRFWFDTSVNCLQWGN FMLNPTMPNLQTICILPMIAHAFDASKYLGSLMHCALGSARDFDFHLATSATNPERFG GNIQTELVRRIWWCLAITDSLRPEAHHPFHLCLPTARTSPPANVDDYDLDDHKPVISR PLSQTTRVTHLIAMERLAALFRDFNQSFSTKTTINARFKCVQDHDARLQRLLDDIPDL KPREDETYYPINGSQNFDYRPWSRYLWLTALPPHRIMLYRWFLGKSYTDKRWARAREV CLEAARATLSARKKPVPILFQKNWHVSSYTVIAGMILALELTNGGHDANERDHLKMEV LEVINFFRSLTDKNAIVNRGITLLENMVSEAESRSRNAATEVNGFSVTNQDGTIDQQP FDFSLDGFFLQGMMNNLDADLLNIFFQSDMNSS L199_005672 MPIAVDTAPVEQPDSSFKKRFTERTTPPPELPPFFYADRFDKAH FGDWRDELATKGYTVVKAIPTEKALEYRERAFQWMESFPLGFDRNDVKTWKNEHLPVH IKGGMFHGYGFYDISQLWDIRCEDGVIDAFAKVWGTDELITSFDGGSIMLPNRVDVMD SGKWEHMDQSHYRRGFYCCQGLVNLNNNGPDDGGLMVLEGSSKLVEEYFDTHGRNSYK SWGPFDWFGFTEEQQQWFFDRGCKWVKVCAEPGDLILWDSRTMHYNVRPKGDRDRVCT YICMAPAHLLTEEDRQLRVECFEQSKGTTHVPFAAIYARDFEPVKRSDGSPCPHDTGK PKNPPVLNDKIAKLVGIKPY L199_005673 MGIYEGITPRGALVMFFASLGGLLFGFDNGWWGTVLGEQAFLCD YGASATVDGVETCNLTTSQQSAGTGVGSAGIMLGCAIAMYLNNLIGRQKSIVALAVIS IIGIVIEMTSAVGSSARYGQFLAGKVINSIAMGIACNVIPIYLSETSVASARGFVINM YQMVQIVGVIVASGSVYAVATRTDKSAYLIPMGIQMIAPSLILMVVPFIPESPRWLVW VGRNEDAIKATETLFKTETNGFDAADYVHKLDAAFHEAKEEARATGWGDLARQPDLRR VLIAMGIQSLQQAQGSSYMTNYIVSFLIGVGVVNYFPVIMGLYCFYFVAICTGNFLPD MVGRRPILLSTSAFCAATLIIVSILTTAFADPSSSVQKASIALIFLWYGSFGVQSPLI WIVTAEAAPTRNREKVLGLATFCGFGVQLIITFVAPYIQDAGYGNIGSKIGFIWGAFS LINIAFVFFFVPETKGHSLEQLDYLYENRVATRRFKGYHFDDEVLATSANVVDEIVVD DSEEKKQDLA L199_005674 MTKTLEVDIWTPIKVGDCQLAHRVVLAPLTRNRASKSEQYPSTW VPNDLMKEYYVKRATPGGLLITEATPVSLRASGLFGIPGSFTDEQQAGWRSIVSAVHD KGGYIFNQLWHQGRTTHSALIGGQQPESSSDIPMQGIFQWSGFDARPFEVPKPMTQED ISNTQNDFVNAAQKAIEVGFDGIEVHAGNGYLFDQFLHSNINKRTDKYGGSVEKRCQF VLETVGKLCEAIGPSKVAVRLTPFGMLFGQTFGENRLEQWSYLCGELGKFGLAYVHLV EPRFDELKSASEKQDALKDMISNGQEEVSLKPFREALGSSTPLITAGGFGPENANEGI QNGTSDLVAFGRYFVANPDLVERLKNKEALYKWDRSRFYGPFVDNEVGYTVFPSREFA KKGDISKAQLAD L199_005675 MTLDLCRLTATEVVKLTKSGELKVEDYAKVLIARVEERDPVVHA WAYFNPSIILESARRLDAIPAEKRGPLHGVAVGIKDVIYTKDMPTQQYSPIYKDDHPE LDASVVLSLRAMGALIFGKTHTTEFATCQKGPPTCNPHDPTRTAGGSSSGSGAAVGDF QVPLALGTQTGGSTIRPASYNGVYALKPTWNAISREGLKMYSITCDTVGLYSRSIEDL EMLCEIFNLQDDVAPPTAPLSLSGAKFGFVKTHVWPKAEPALITLWEEAKNYLIEAGA EVEEVKLPEIFDNLGTWHRNILHMEGYSSFLGDYLQSPELLDPWVTKHAVNEGKTSRK EQLQAYDEIAKLRPVIDEIASKYTCLVTPSVTGEAPVLEEPLRFTGDASFNLMWTVLH LPVINIPGFKGPNGMPIGLSLVAPRYHEQDLLRTSHAIKDVFAKGGWKL L199_005676 MDASSKSSGSVAGQRRPRPHSYTTQAYDNVCRNCIESKSECIFS RPHEKSKRSRGESRLSSSRANSGEDDSPDLAALSSSQLTETPARAIPITNDNRQNFQV NAEIPLSFSSVDSDKPEISRSQNGTSFVSDSARSTSVQPLQPEIDWGSWLMSLSDQQR AEMQTLQQQSPGLPQSQNSLFPQSQPTHRPDLSQGLSTTQLGQLDSSPRYARDHETYP PSISTVIGNQQPTASQMNAASASFPLPTSSSLSILPVQAVSASNRPPMASRLPTSPAS GIESDEGSLEDAAKGLAMISLEAAAEPHYVGESSGSLWTTVISGGMHPQLQHHQIGEA SRRAVGNFAQRKKLHNSDIPHVGEHLGVLNIRQAIQQPLPSDIAIQVAETVFRHLHPR YPFMDWVKFEKQWEQRDAILVAVGQGLPLDRERSTGAFFILMVLAIAAQLTKERPMAG LLRAEDYYNLASPYLSTIVTLHNLANIQGLLLLAVYSLRDSKGPSVWYLSGVTLRLCV GMGLHRNAAGWAVRTLSKYEIEMRKRVFWSCYILDRMISLLLGRPPGISDDDVDVDLP EIYDPVEKPQLPSMRLSSMASAIHHIKLKCIESRIQRSVYGIKRQNQPQAIDYWELLN DLDEWETTIPVEASSPNYWMAPSNSRDWFLLKGVEARLHLLRPLCAEGQSAGAVFVSH LATHAARGCEIQKRMHQQGQPMSNASAHSAFICGLALLYAIFLQPKVMPLKDIFRAIK ATSNTLFAYTQHSHHSAEVLYDVFEDLSTACIEHVGRSDMMDPANSSTDRRASVDDWQ KASSEATSNLDPATAGEYVNILQTLGISVGQAPSEQIPYTEPLWELAAFSPGNFFTSD NISGSMGI L199_005677 MATQFDDAWMKRDLFGYGPDRPDAKWPKGAKIAVNFVLNHEEGG ERSVEDGDEHAETVLHEFGQVLSAEPGKRDPATESQFDYGSRAAIWRIMRLFKKHDIP ITFYAVGRAFERNPAVAKYADENGHEVAAHCYKWKPYTGMSDAEEEEYIRKAVNAYKT TSPSGKVPVGWFYGRPSERSAPLVAKVYKELGHELLYWADTYADDLPYYTTMPGGKEG ESLVMMPYSLDCNDFKFWQGQMGSDDAFAQHCIDAFTTIREEGLEGKSAYITVALHSR WIGRPGRFQALKRMVEHFSSFDDVWFATREQIARHWVETYPPKA L199_005678 MSFSDKTPDLNTDVEQVAVDINDHEDQNEVFKTHVDGENYRTVS WYSSFALMFKVLFSVGVLSIPGVFAYVGALPGALLVIGWGLFNTYQAFILGAFRLRHP GMHGLQDMAYIVGGAWYRELVGILYVVGYTLVTGSGLIGTATAFNALSEHGACTVWFS FVALIISTAVAAFPKFGQIGIVAWIGVTLLYIAVLVLTIAVGVQDRPFLAPEGVTDYG YQVVASGTTFITGMSASLIIFVSSSGTSAFIPIIAEMKNPKEYKKPITAAMGLLNVTY LVVSLVVYRYCGIYIASPALGSAGTLIKKITYGIGLPGLLVSAILCQHLAAKYFFVRI LRGTEHLQTKTRTHWITWLGSVILIGILSFVIAEAIPFFSTLIGLVGALAYAPLAIVV PMTLWLYDFGHYRKGNLWKKALWAFHVLFIFIGLFMTVGGSYTMIQTIIDAYATGSVD RAFSCADK L199_005679 MAKDVVKEGLTDQTTRLPKSKLLLLFLGLQIALFLSFIDSTSVS TILPIVGADLNATSSITWAGTAFLVANTSFQVITSRLSDCFGRKIVLLGSLFLFALGD LLAGFATNKVWLYCARAVAGIGGGGINSLSMIIMSDVVTLRERGQYQSLLGIGIALGS GVGPFLGAILAERVRWSWAFWIIAPLSALTMVLIQFTVPLKPVSGDFKEKLRSMDWIG SVLSLTMTVTILVPLSGGGSTFAWNSPIVIALFVVGGLAITGFILVETYVAKFPLFPG RLLSNRNVCLLLLQTWLVGIVYYGGIYFVPLYLQNVKGDSPILSAALLLPLVLGQVLT TTISGFAVKWTNRTWPSFVVGFILWTAGQGAQLCFEKETTNGVIIGCLILQGFGIGST IQSTLVLAQASGPSSDRAVVTGVRNFARTSGGAIGLAIGNSILQNIFLTSLPDTLSME VREQLGQNFDTIKLLDETSASQVRDAYMAGIRKVFIFFVPVVALCLLMCIFIKDIPLD VPPAPVLPTKIAGETIPQIVEQIDEPSDSAASSVKSANVIVEEKAAR L199_005680 MALQTMKRYILSHREGIDGLKLQTDVPVPELRSPTDIRINIKYL SLNARDLQIVNNDYPAPHDVPQDCVPVSDGCGVVEAVGSEVTLFKVGDKVAPVFPQGH HYEEDLALRSLKRGLGGAIDGVAAEYFVCDQEEAVHIPSNFDCLQGSTLPVGFTTAWS SLYSHHPTLQAGQTVLCLGTGGVSLCAAQIALSAGARVILTSSSQSKLDKVTKLLRDL VPDSAPNNAIQTIDYSKIDAWDVEARRLNGGRGVDFVIEIAGRATLARSIRSTKQGGL VAISGYMSDYKPIPDHLIQEDIAKVILYSAANVRGVFVCNREDFKTAVSALELGGVKP IIDKVFKFDDLKDAYRYMEEGRHIGKVCIEL L199_005681 MLNSSASQPALGVLTSWQPYIPSAIILGMIAIIFGITRLVKETH RGRIASRHNEKKSDHRIEQSPRRHDAQLDILQPKTTPTAELDQKLYLATFPDLARVAD LASETDRHRLIKDKELYWKLQNLEDHREGVLQEARARLVGLFDQTLAESLNDPSDTIL SLRSFDSSSLQTFLQKSHRSAANRYETYLGRRKAGGPREMFPTREYALEWLRLAGVVK YVDGGWLGGILGVGTGRAACLGEPRDNADGKIRNGNLERVVSKMAWQVISEEFGDGDL QKNHIYLYEKLLNDIKAGSLQANGSTAPGHMEGFDGLRDDQGVLRCWEAAVAQQCIGL LASTREFFPEALGFNMAYESLPYHLLVSARELKELNIDNYYFAIHVTIDNADSGHSAM ARMAVERYLEGIRERDGEEAMENVWRRVQTGYILAEGLPTTPSGPVEFESTVGAGGTT FWQPRKFPDRPPTPAEARLVDVIMRKAGAAEKMHCTSRMKIGNLTVEEWLEPETLTQV KTLSFIRALASKRPFVMPGEPSRSRFMRDLEWGGKMFGAFTGSESHVVKEWIISLGPK IMPERAYEKFAGPSFAKDEDLKSHTTTTAFEQRANDVWYVHDRSPIPQIPSHNLFMPS DLIAQPSYTQSIRIEYALIRPIWYVATSLFECFPLQPSHFATPLGMYALRLIRAQLGF GALHLPEDICAGTDDLVLERQKQQMKGLWELGKNMDSASGMTTSSDIKEIAKRTPAGE TNTFCAKMLDLRARPYANAPAIFGVCLAICQGLHSNPSVIDLLKEEQDRMSMQRIVDE QVTTISEYVRYQNDQSDTEWEEEFVRGFMWAKGELLAISS L199_005682 MVGNYRIGVDVGGTNTDAVILDVSSNSTRPILATHKSPTTPDVT TGIQDAIKQSLLKSDIDKHSIQAISIGTTSFVNSLIERDASKLERVAVIRLSGPFSRL APPFVSFPYGLRHVLEGPCFFAEGGLQVDGSEITSVDPLEIRGICEEVRKRGIRTVAV SACYSPIDREIGQEELVREILYAEIPGVKVCISKEVANIGLLQRENATILNAALLEFA QLTVSGFKESTRALDISCPLFLTSNDGTLMTCEMAAKFPIKTFSSGPTNSMRGANFLA RLGDQQRKKETALVMDVGGTTTEIGVLLPTGFPRQASAYHELCGVPLNFSMPHVYSIG LGGGSRVRKDSNRKTTIGPDSVGYRIHELGRAFGGDILTTTDIVIAAGRTSSIGNPEL VKDIPREEVFAAQEKIRQMIESAVDCMKTSVQDIPVYLVGGGAILMPDELKGVSTVYR PQFYDAANAVGAACAQISGVIDTLEDTSKLSIAEVQKIVEQRAIDKAVAAGADKDHTV IVESEAIPIAYTTGRCRFYVKAAGEWTGAADNSEQGDTAKSLSLGTPRTWDTQSAAKP AKVANADRKIPDKDHYFTAEAIISYKPNVTNGEWTLSELDIEWISTGCYILGTGGGGN PHNVYLALREMFRAGSTCKVIDIQSLDPDKVVMYGGGIGSPEVAAEKLLSSGSGKAAK SLMTFMGYEHSKLGALSAIEIGGGNGMVAMIRGSSEDLNVPIIDGDFMGRAYPTGWQT TVNVYATDDQASMVLPTYMSSGDGTELILTKVKHYKEVDSILRAACMEMGTSAQVVAR PLTKVECERALIRNTVSQSWRIGRAVALANKQANIDNIGSVLVNALGGSDAAKVLFEG KIVQVGRRLYKGHSYGEIEIHALAGDETTEDPNNPKEKFRVPFKNENLLAEHMVDGRT EVVCGVPDLISVLDAQNGTALGTPEYKYGQRVIVIGITAAPQWTDTPRGLELGSLTAF GYDLPYKPLGTYVKPRSVIEEYAT L199_005683 MLKSTLLSLVLVMSMVNGAAIVRQTSDGTSVDLVTVDESEPAVA TGSDADTAEDEPIVASDTTPSGSASSAISSSASASASTSTSSTDSATSASASSSTSAT SSPSGSTSAFASSSISTSIFASASASASSSASASASASSSVTASLPSGWSNSGVCISE VSGRALTGVHYSDSTGLTPYACAAYCSSNEYTLAGLEYGSECWCGSILSNGASLTKTS SSCKSKCKGDSSSICGGSNAISLLVSDSALSTLSSDLTTAPLTLPSGWAEASTACISE GTTGRALSSATYSDDSMTIGSCISFCENKGYRYAGLEYARECYCGNSLSNGASFDRSS SSCTLTCKGDATHTCGGSNAVQLYYNAPALPTGWSSASTSCIAEGTTGRALASASWAD DSMTVDLCLGYCETQGFQYAGVEYGRECYCGDSLINGASLSSPSSSCNKLCGGDSTST CGGPNALQLYTNPTLALNLQVTNGYSYQGCIQEVSGRALTGDSIVSENMSIETCTAFC QSEGFTYAGLEYGEECYCGNSFSNGAALSALSTQCTMKCSGANKENCGGPNAIALWTS S L199_005684 MLASLMLVVLPFLAVVSASPVRRFTSAQIVAGRDGRCLGVQSPA GVGSAVSSVACTASGYLTRWDINPGSGSVILSGTGLALDAGSTPGNNGALKVWTSYPT LYQQTWYLTGDNRIAITGGNQCLDEGVNGIQTYQCTTGNTNQIFNVGGGSSPSSSSSS AAPSCTCPT L199_005685 MFNKHASTILPSVLLFAPLLVNASIFSQSDVKLTFYYDIGDKGG CGKAAGDPVPAGWADSSGINAGTTYCEQQRGMSLNQIGTNQIVAFDQDKVWADPAQWC GREVKVYGPDGSELIMPDGPFYIWDSCQNCAGGGKILDVSGEAFVKSKAVHAEAITLL VSDTIPPSPVIPGFSSTPAALSSPSPSSASAGAAFSPSPEPAPPVQQPPASSSSLLQP TVTAVQSTQAQLTAPTSTSTGSRHRPTGWGGWGGHGNNELAAAPTAGPVAAVAGSNNG TTAVNTCRRKRKRRLAKLH L199_005686 MRPSSYSRLFGLLTLLTSTRAEQNGTGSGTETPYFTIESSAATV YYDLKGGNTCSNIFGENWSVATGMTGPEATAPACEWTRGKSLTELDMVTPIAMNNLLI DNDLLNWCGRIVNVYKADGIPYVMDSGPFFIWDGCENCGKDDIIDMSAEAIIGLQDQI PQSNSGCDNPQGLRIEVTNDYYWKLQYGGNVIENPTEADKGSGTFAGPIPTAVTTSGV GSIDWNNPTATQAQSQQVSSATAAILTSSPGAGVSSPTSGAVGHSQTGVGNVPTGMPA SVPQSISSSVPNSSSGGVPSPIVSVSLSATTEVRSSDGTTAIPSAQPSSVSGFVGGAA TSNGSLPGVNAFASNAGIPSIPTSPQTASVASISSVASGNTVPSASGQLGIELPGSEE ADCDKGTYSCNDLQLRICGDIQSGSSRIGWIPTGQCPTQCEADCGVIMCN L199_005687 MLEHDQEAIQGRKITRIRPFLSCTECIRRKVKCDRAEPCHACKT RKKPGQCIYPPIPEKGESSRQRSLHRSSNSLDSDPAPPRSPSLVDISPSAVRKAKRRK EGHYGRSSLRGTNNHQEEAVEGQSLWHPWISTRTTVDRSDGQYTENTIKDMEDYLTAG AMSAKWTISAEGAEGPRRYTSPLSEGIVERLTYQKCIPSHRELYEKVIGVLPKRKEAV HCLVARYFSNVAWHWHILHRQSFLEEYEAFGVLFDRGEIAQVDPLWLANLFAVLTLAA NSFDEVDGITGMFFDATELVALPDIFCRAAGSALECGDWLGKARIRSIQALSLLGTHL LFNAAPDSVERIGMYCITSMRMCQELGFHVLSDDPTKMPLSDPSFPQQPSLLKREIAL RLFYNCVTLDLLQHRHRPFMDLSDITCGLPGNYNDDHLSFDSEDVPRPAPSLTETAID ILRFESAKMQREWLNLVKRDRPPGDQVLLDIDERILQIHTRYTLDQIDLRENRQMTWS KLISVYNLHVRRMRFHRPFVQSGQQSPTQDALKQAVENAARGLISAALELYRLGAPLV RGCFFLLHLQSAVVVLIQQAWHGDNLPVANADDELISNVIAVFRHYEGSLRTQVARAA RIGATTIQLLVEALNDRRSFAGLHESFVHALKRISNTVRRGETVQDQLVGTLLASDNQ VANQGVTQLDPGITFSDPALEAWMLSFMNISEGGNQIWDNRPAYQEGN L199_005688 MPKPELEFRPTNDFPWERKEGFAQQILAYDAETGDTTKIVSRDP GHEQFGPAQVHADFWEEVYILSGSIYDKALKQWFTAGSYCCRPPGMIHGPYTSCPNEG VKMFVNVRYVRQSELPDNS L199_005689 MAVIAAPHELDEPLYPAYMPTLTDEIFPEHEPFEHDDPALRADP TFPHLIGSKTVIKSVTPLFGSELDNVQLTELDSAGLDELGLLVAQRGFAVFRNQNWKD AGFKKQLDIARHFGPLHKHPVQPRPATETEIAVIYQSAAEVRRINYWGNRVSGVNWHA DQTHERQPPAVTFFGVLENQGWCGGDTILSDSVQAFNHLSPVMQNMLIGLKAIHSSNA LTLKAKKDGDALRREAVNTAHPLITQHPVTGDKVLFINEVFTTSIEGMKVEESDNLLK FLFNYIARGADFQARVKWEEGTVIVWDQRRAQHSATLDTPHDKRRHMIRITPLGGKPI PATRD L199_005690 MRLFNRRNRDDGVVGEDDVHKATRTALVRRLDLFLMTYVCVSYC MKYIDQQAHSNAYVSGMKEELHFKGNEYNWVGTFFSIGYTIGTIPSQFLTARFRPSVI VPIFEISWSILIAINAAAKNVKLIFAMRFLIGLFESIAYPGFVSVLASWYTPAELAKR VAFLQASSAAGSMFSGYLQAAIYRGLNGKHGLSGWRWLFIIDMLISLPIACAGFWLIP DTPKTSRAIWLKPHHLEEAEERMKEIGRAPKGKLTLAKVIAVFKEYPAWIIMFCYPPC IVAGQAISYFNLYLKSNPSGHKFSVYQVNLIPTGGQALQIFATIGSAALSDYFGARLA MIWVVAGIGMLGHILLSIWDIGFNGQFAGYMLIYCAVGSGALLLTWFSELCSADAEVR TLVIGLANGIGYAWISGFPFIMYPASQAPHYKYGYQMGAGFYAIAITGCTIIAVLVRR YGLPYQNVPKSLVETKSESSIEEGHEVEGEKIDTDGDVAFDRMSAHQTR L199_005691 MAPTAVLDHVNEHPADLKAKVVEGEVQTEQQPKLPLADNYMYDF KYNHALPTIESLGQDIAADVDPIETAEALVARLSEVLGAGDADGFTDLFLDYGVWRDK LAFTWDYRSFNFKENIARAAKDLLPTTKARNLKFLSPAPSIQKPYPDLAYLQFVVSLD TDLANAHAVVQAVSTTQGWKLWTLHTVIESLHNFPELPPADGHMTGSISWEKQRAKED DEIVPDVVIVGGGQNGLVLAARLKVLGVKTLIVDHSAEIGEVWTKRYEYLSLHFPHWA DHFPYFPYPDHWPTYTPAQKQGRYMQWYAEAMELAVWNSSKVVKADQDVNGNWTIQVN KGGKDDIRTIKPKHLVMATSLCGVPTIPVIPGIDEWEGGIYRHSTAHDSSRDFVNKKV LVVGTSSSGFDTAYDCARRNIDVTILQRSPTYIMSLTHSVPRAIGGYEPKNGVRPDLD EQDRLAFANPTGPAEELGRRNAEYLENADRELLNALHAKGLRTWRGQRGTGNGTLGQT RNGGFYFDAGACENIINGKIKVEPGFIESFTKDKVILSGGRERHYDLIVFATGFSNTI DSIKSTLGDKIADQCVPIWGIDEEGEFRSAYKESGVPNLWVFVGYLPYTRFHSKRLAL RLKALIEGISPSPYTA L199_005692 MQDIIREDRTPDTVSEKGDIVQAEGKPQAVHYENAPTFEGAISA GHLIDENLLRAENEDKITSYFIFLISIAAVAGFLFGYDTAIIGSALPMVGTDLGHDLS NPEQEIITAGCTIGAIFGALILGGLADKLGRKWAMALADLAFTAGAIIIAASFSVPQI IIGRLVLGVGVGGAAVIGPLYITELAPTAVRGRCIGVNAFFIPFGQVIASALGAAFQD RVPNHIGWRVLFALGVVPSIVQLALMHWLPESPRVLLLRGQTDQAKDTLRTIYKGASE EVIDFKLQVTQQYVAATTVMREFSIWTQLKKYWSHKPYRRAIIAVSAVQAFGQLTGFN TLLYYSGTVFGLLGLKNSAAAGLIPSGGNAMFLFIGMTIVDRVGRRRLLVLAIPGMIL GMVWMIIGFHFLTKETAGDLVAGYQYGNGLVGSVLGAILLFTVCFGITYSHIVWYQSE FLALEIRAVGSAIATTSCWVANLVVSVCYLTQLEHLGATGTYGLYLGFMIVGYIFVWF CYPETKGLSIDETQSVFEDGYGVKKAQAMLKEKRRLAGQLNSSA L199_005693 MSQSKITRIDRADSDSTPDFSLNLIDEDLIRAESDDKLTLYLFS LILAAAMAGFLFGYDTAVVGVALPLIGDDLGHELTYSQQEIVTAGTTIGAIFGSSILG AFADKLGRKWCITIADAFFTVGAVLIASSYSLAQIIVGRIILGVGVGGAAVIAPMYIT ELAPTAVRGRCIGINALFIPVGQVVAAGIGAGVQNMQHGWRLLFALGVVPSVVQLGLM HWLPESPRVLILRNRKEDARQVMRKIYEHASDAQIEFKLRVAEEYVAATTKLQRQMTL SQRLHKIWATKAYRRPIITVSGLQFFGQLCGFNALLYYAGTLFGLLGLSNPALGGLIP SGVNALFVVIGMSLVDRVGRRGLLVWAGPLMIAGLVWNIVAFYYMCQPTGGFLDTEFS YDKTHVGAVIGGIVLFTMGFGMTYSHLAWYQSEFLALEIRASGSAIATTTCWVANLVV SVSFLSELETLTPSGTYGLYLGFVVAGYVFVLFCYPESKGLSIDEVATVFHDGFGVRK SVEMRQAKDALRQKWAKENDGNIHDAAQKARDTAHLEFSARQTRGFRDDIEEQA L199_005694 MKVEGRTFIITGGCGGIGGTVAKSIIAKGGYVVSFDILDDEAGQ AKVKSYHPERAFYFNCNIADLESVVAATEQTLKVIPKGSLFGAVHCAAIAPGRKWNNK LVDSVATFSKVLQVNVYGTFAVNAAIADAINSQYPDEGPFAPRVTEERGCIVNVASVV AQPVPARCLTYGASKTAVLGITQGMADFLGPSGIRVNSVSPAVVASGLMSADRIPYFT SELEAGVIFPRRVTHPDEISDAILFLIENSMMNDFNIRVDGGWRGSSNWAGPVDPRKN ALSLE L199_005695 MSSKEPLQTKSTSSSTSQHPKPRSSAVEEMGSAQPRKKRKQRQQ FSCAECRRLKLKCDRQIPCANCVKRACGHLCPEKERRQGNTESTGLLSRLENLEGVLL SHGIPLPATEQSSSQQRQTTPTVVLPRSNQSPTSSSPSDHPRAAQISTSNAASQINLP ILSPRRQVTEAGLSELADAAPQMNDDSSSTPTFAISHLAPPPEINHVHFDFSDPSLSS FGSAPIQGLSARPMSPETQSYGTLMLSEGGRSKYLGPTAASEWLKDQECTDPTESPSA SRYPSPERQGMPIVAQEGETSALFPFKSQHQSMESLLAKLPSIDEGSMMIDSYYRYFA WHYNVAPRARFQPLFNAAYQSLHGAPHGTATRPIKAQELALVYSAMAMGALHNLELRP HDPCAEVYCGLAKACLAKGDFLVHTTLAGIQALHIMAHYFLETERGRNGDAAWPLWGI AMRLIQAMGIHRDGARWNLPEEVVEERRRVFWECNTADIFQANCFSRPCTMSPDYIDT AFPASTGPLFEKDFFTLKFELTQISVAILSHAMKVRPTPYHTVNELHQRLCDFEKQVP FRLRCRAALLAMPSVYADPAAAIRDSPQALKGNLVLTLQQSTLAINLSETFLFLHRPY YARALHDASQEPTKSSFGPSFLAVVERCQVIINVVTSLYAIHPHVCARHWFLWYHAFN CAVCLGTLIFSSPQNALASFALSQIDATVALYTSGVQGGASKRTVNNLRWLLRLRVQA ARKIEKAIGGQSTAQQTNYDVGSDSEDENIELIGWRTRLIERISKGGQTAKTISQTTP TASAATESPTADVNDTISVALQQLLGTSNTISAQDGQRSSTSMDNESNDFFGNSTNDL LHQFWDPLMLQDMPENSGQPTSGTNWWEWDADLRLDPNGGSA L199_005696 MVKIFTVTDPLLQIGCTLGEGAVWDTRTQKLYFVDIDQRKVYTY EPSSGIYGYQTFENKITSLSGLADGSGLIAAVDVGFAYIPFSSLPFPPNGSKKPIIPI EVDAKCNEKEKRFNEGTVDPAGRFLSGTLGFEHGSKDGRMFSLEATPEGGYKAPLVLD NITCTNGMGWTADGRTMYFTDSWIKEIAVFDYDLDSGNMTNRRTFSVLEDQYGEPDGM CTDSEGGIWSARWAAGKVVRLTPSGELDIVIDFPKAWHMTCVVFGGPSLEDLYVTSAS SDYTGDDLPERDQGGDLFVVRGLGFKGVERYRFKGSASA L199_005697 MSSNIVDQLQFGAATASLGFSSKFTLEDKFNAIQNAGFKYCEVG FGGYVEWVRQKLPELPASTCPEEYGEGGEPDPSDEELWQALYGKAEDLKALAASHGLT ILVLQPLNQFEAWTPGSERHQWCRRKAEKWLPLCSKLGVQHLQVGSNDKPEANATFED IGRDLNWLAELGAKQNPPVKIAYESWCFGERLASWEDTWKLVQLGDHPNLGLCLDTAH WPLSPIYGYDPTTGEGFTDSQFKEMIGRLSQVPGEKIFYVELSDVLKPVVPLGKGSPF DDWRVKANSPRGDRFVWAVCGRPVPFVGRDAGRKVKTEDDLGAARVMQCFNTLLKCGF KGPVMWEVFEALTMERDDEDIPALYANAMAKSKEKLIEGIAI L199_005698 MTSFSNEEAFAELKCTWKAIKEIIESDSSRKGVNLTNYVRSDDS QDWRAGAVGRYFPETDMTADYQAVIDRVANGTYASSGPKVLKVLAHELTNFTMSDFMS SVQ L199_005699 MIRSINDHLSMSVIRPAITSGSIRYYAVQGQASQVVNGSDDTDK SPSARQSRSAPSINPAPPTMEEPSLGESRLFLHTTEETLATPGIRFTDGHGLKGPTGK GRQTRRMNLYQAIRDALGTALATNPRAFVFGEDVQTGVFRCTTGLVEEFGKKRVFNTP LTEQGIAGFGIGLASVGATAIAEIQFGDYIFPAFDQLVNEAAKQRYASGGAYPLEGGS LTIRAPIGSVGHGGLYHSQSPEGFFLGAAGLKVVIPRSPIQAKGLLLAAIRDPNPVLV FEPKILYRAAVEEVPTDDYTLPIGQPEIVRDGTDLTVVAYGTPLHICQRAIDLLKSPP PSLIPFLPESLRPPNPAPSIQLIDLRTISPMPLGALTEAVKKTGRMVIVHEAGKAGGV GNDLAGEIGRRAFEYLEAPIGLVSGWDTPVPLTFEKFYQPDVIRIFDKLVETIAY L199_005700 MSQQPAQAFASHLINQTLSSIALLESLSIINPTDASLIRQKLPS PTGPFPSLNPPSPSPSASFAGLNISQSPTSWTVRHAREDSTHSPPQRQPQIQAAPVQQ PPQPAPAPLLPPRGRPAESRAKALWDFNGTEGDDLQFRSGDIIVIDEEVNEQWYRGRV IPKGHTVPLPRSGLFPSNYIEKLPPAPYHSSPPPPQQPPQQMMVPYQGSAQSYYDQKP PPGQMQMMSPQQHMQAGVVVQEPQKDGKFGKIGGQLGTAFTTGIGFGAGSAIASEAVH ASE L199_005701 MLRLTTSATSSASRIIAGPSVRRYLATAVPTSPSSSSTDNTAAP PRRKRFEKLDDGLTFDDFVSGEELPDANERVVLGNTTQPRLPSFLKHPIPTGASYSNI KKELRGLGLHTVCEEAKCPNIGECWGGGKGNATATIMLMGDQCTRGCRFCSVKTARAP PPLDVHEPENTAEAISRWGLGYIVLTSVDRDDLVDGGAAHIASTISKIKQKAPKILVE ALTPDFANKGVDTIHTVASSGLDVFAHNVETVERCTPFVRDRRAGFNQSLRVLEEAKI GAKKAGKEILTKSSIMLGVGEQEDEIHETLRRLRQSDVDVVTFGQYMRPTKKHMKVDR YVQPEEFAKWKEVAEGMGFLYVASGPLVRSSYKAGEFFIENVLKKRRAAAAEQAAAEL SSQSAGATPNASVASA L199_005702 MYEPHLIDHASEQSRPRRESQSLLEELDKIAPIKSFDAFPKVQS TYTVQSRRGGVLTAVVGFIIFLLVLNDLGEYLYGAPDYSFHVDHELEKDLQLNVDLTV AMPCHYLSIDLRDAVGDRLHLSNSFVKDGTHFNTAGATSMKHVAPTAQSASEIISSSR RRTPNQKRTFTGIQRLLFSPPSRKGVKKSQAAYRPTYDSVPDGPACRIYGSVEVKKVT ANLHITTLGHGYMSFEHTDHQLMNLSHIVHEFSFGPFFPAISQPLDKSYEIAKEPFTI FQYFLRVVPTTYIDAARRRLVTSQYAVTDYSRSFEHGKGVPGIFFKYDLEAMALTVRE RTTSLYQFLIRLVGVIGGVWTVASFGLRVFNRAQKEVSKAVVGKEKEYIPSSLPSGSP AFERAGSGYFSRDDRGMLRTTTWGGSPGGTEWKGR L199_005703 MSLSPTKHRTLSRQPSSPSLAVGLPPALPESNIMQPSSPSKMPK TRSRKRVHRGDVSSSAASSENEQEDATQITPKRKIINPVEAPLPPSTGIKSKKKMTLQ ERLAAAANAKAGASAEGGLKAKVKSSKSIADMSEPATIAESSSSASMLMTPQTRSEIL SSRNAASSSSSSDKVVVCVRIKPTKNPFANIAYDISPTSLSLSDEHPKVKQRGGKAGR EDGYTYTFDKLLQYPSTTPELYGDKVAPLVDKAMHGFNSTIFAYGQTGSGKSFTMTGT SDELGIIPCAVDGVFDAITADTERAFLLRVSYIEIYNETLRDLLNFKKGPLKDDEKPA IHYAKGKVYVDPLVEEIVSTPQDVIDLLEKGNAQRSIGATDWNERSSRSHCVFTIVIE SRPRDGDGDDDIRLSRLNLIDLAGSEKAVSDSERRGEGKHINQSLLALREVINKLTEK TKATHIPYRNSKLTHLLENALGGDSNICVICTMSAEEEHCGETLETLKFAGRCSQVKT NAKKNVLQSSERALIKAKDQEIEELRSRLATLTRSESLPKVEPQIEPTSNLADSVAAM EARKTKLVAQLSKLNGEILTSELPRSGAGLPLSPPKPKRRRISDFSAIVSTGTGRMGI GLGTPKKTVDRRAISGMSRLTEESEEMPGIIGALKQAAGGDVAKNFEQDRALAAARRN LAAKEEELSLANRNLASALSRASQLSDRDAKIASLENELRQALDALASTQETLQRTET DLKDQNSQLESTRTELVSTIEDKAKKIDELENKVIDLRSSREELVIEDQVRLDEVQKI VEQTKQEKDQLKAELDTFKAQIVASEAKKDADVEELNSEIRKLIDQQTEYTAQLLTAS LKANEYEVTISTLQSQINEHSTSQSTLEAEMATLRTEKSLAERQTEEARADLDRSQRE AMSNEAKVLAELREEIGRLRKERDEDKLAWKRMRVEMEASAESSEREREIQEQVNGLS GKLEDIAKANGQLEDQLRRESESKLAIEKERDNLQSRMIAAGGAEQQLQAEIATRTAL QAQLDDLTRQLAQSSVNLDTTHQSLQDLREKFSTAQKDLGIQEKRLEAECAARQEAEK RIIESVQARDQAVAEVKEKDQLIEQERSSRKEVEKRVQQLTDQRAANLQGVKEIRRKL DAEVAVREEVEKQLSQLKEKEVAANFDEDALKKKLADEISAKEDFQQQLNEVTTHLKT LTAKLNEKEDNLSAATSIREDLEKRLLKLTEDLQASTTASQLKEQELNALALTIAQAE ETIAQSSSQDKHLSELQEQLLTETNARKAAELHLTKVSAELVNKHEAAQHVLEAESLS LRQALKTAQKDASDAKVEFEAQRIKLDQLSSELHDLKSRSEVTITTSISSRLRPSAPG PGHGIDKDMSATALDSLRSRSRRGVSSGTGALERDASLAMLKGREEEEIDRLEKIIEV QKEIIDEQREKIERWGKEMEKQREIVRLLTNDNNTPVPSPSPLPIRTGSPRGHGKSHS ISHSPMPSALPNAKGLVSTFTARNLALPTSPSPLPMHPTQFSNSSARTRRRITIEHDI DRLTETSKVNKAKAMFESPEKSTAAPATPPKVPLRATQSVRSVPRQRRP L199_005704 MIERSQDTDDELRDLLPEEAQELRDFLEKRRWFEAKLKFLEDVP PIYPFIHPILISNDRDSTHPQAFIREKESSSDYRLPTANQIREWQKERDRIEAEVMVF DGGDLERMKEKTRAATLLPLTPPSTHLVSITLDLIVLIDRLLTLLRQRASLLELTAVR LRWDQIRWQIYLETEKIRNEVAQVVRDKGRWQPVIEALGSRNHNSQRKQSIQNIDMDQ DSIPQTPSPRVPSLPERSSASEPSSPKVSSPRPESHKSPKLHSTPKRSLHIPLLHSQL INLNIRQQNLQANEVKRSGALLDRMIDIAGPLSNLGGIDGPVDSAEKKDNEAVPDELL DIQDEVEEKVRDMGDRIAWCKQLEDHWKRSEEHHAASADSLHHAERLITILNLLLQQP ASSERHREMCDLLEDAQKRLPIPINKSFPRPSHQAYPENDQHNEEVVAALTEAYNQAQ SSLKTCQIGVERYGRLARSRNVILAQSSTATGIAATLEDATRILSYGDGTAIPPDMDD PLSLSASYGAWAQEVPRWIIRAQRYSEEGITVSQKLSLAVARYQNISQQPCHVNDPIS VVDDLTEYAGRQSEDLMKLSIAVAAIVKSTQERKEILSASHPFIISVTAIQSSIAALQ EKVSSSTRRSAWPTSEAVSADIFKEEVSAISQRLKIEVRVPSQSLFRRFPQAQETFPI LWSYLKEQLSDLDEKERHLHQSIDLLERVTVQAKAIRSAEEDETFLHKKVQAIQQVLA ASSPPMDVEIDNQSRQVDKLEHDFMQWESTLAGRIPFLANTTGTLNPENGHLGADGMT LVEPSHIRMEETHQPIDKSAVDLAVRKHINMSSLRLASEITNCKALLRRLKNECWERR CHEAAAHIDTIVSRWQVLREDVEADIARLDQHMDAGVLKESAPRNAAELEARYSSIFF HHQTASRDALEELKSRIKDNPEPDRDTLDGKQWNSTVGPATSAVQTVLSEIDQMQALL KDKSKIRLSPKTQVPIEQIDDIFGPSSFSNLNISASPVDQPRRLQIELDKLNLESIVN PSSEDLTRTPRLQRLPDTHTAESLDYSLKRISQEAQDVKMDQVNPSSSLHHTLAASIA ERQLLIPRLHRLARLDAVIKKCDSAHNDLLQAIDAEDPDQLEKLLALATSAYKAVIQS SVEINDDKRVVREVKRVSQSWEELQMLMKRSDQPDKVSSRSPSVASYTTYRVNSTTST ASRLPRLVSSVPRATARSTSNPMTDTLPSPFTNPSTALRIRAVSDTPSRYRLSSLKKS AGPPLSKTGSITTPTSSETGTWPRQSSLPRPSRLSMHPGSVTAQGSKVPRDFTKKEYI PNAKSKLDVAIGKVVNRLDVHVPVRPVGLNSADEWKDQSGQYWIGAEGRAKLCFCRIL RSRTVMVRVGGGWVELSKFLLDHFADAVNSWQTPFGEAHLTRQTSLSASSSNSSLFGS NLPIPITSASLSSQYMGRPVPTSYSHSSLASIASSSSERTPSNKPIALPNNEENCFLP EKFPRSTSNQSLPSQPHTPDSARKLSTTSPSGPGSPLIAFQFMRKASESPSIREKEKE RFHGRRSILGKELPAD L199_005705 MSQINSQRPPAAPLHNNTESNNGQPSNNGSSSNVPRNPSQPNQN AQSPLDWANFINFQTPPVANAHSGNMFNNHRMPSQDEQYNNFAHGISMSRPSPSSINF QQPLPRGSNSSTASSRQNPHRQYSQSSNSSQPQLPTYHVPSQTPPQGPSPRSPVEQPM NSKGKSPATKSSETQDNGLSLDPSAFSRDIRFQVPSFLTNQVGGAPTFPPGGEAWSGF SGANLFGSDIGQLTPGTIFSNAFGISNNNNSENQQPYCDNNGNMQNMGGYVNENAGWE QWNHDQNKNDTSNNNNNLGAMFYVNPNPSPSILASRNPPARDSSQRPPRNQMPPSINT NPPPNMDNQGQLQSPRTTYPRSSSSGSMPTSAILPQQPTFSHSPFGSYDNVPSASAPH NSASTINIASSSTAPYAPPSNTQSLLAGPMPPQLTDGPGLYSTTGFDMVGVLARVAAR RDPSTVLGPVDLSCSFLVVDIRRYDSPIVYASPSFSQLTGYELPQILGRNCRFLQAPD GEVVKGSKRKYTDNTAVAHLKRMLNAGKECQASLINYRRGGVPFINLVTVVPIPWDGT DIVYHVGFQVDLVEQPNAILRNMRDGSYQVNYTVSNPPEKPLRPPVREIGLTGLSGEV MEIMGQRVNTLSSGSGEEAGRMEWLKMVLDNTDDFVHALSLKGFFQYVSPSVRRVLEY EPEELLNKNISEFAHPSDIVPLMRELKDSTHAPTDGSSARHVNLVFRIRRKTSGYIWI ESVGRLVVEAGKGRKAVILSGRARAVPALPWDSISKYGGLAETEFWGKISFQGLVLHA THGVEGVLGQPSEDVVGLSFFSLLPGGDNGPPAAALLQSDPSAPVSSLSAAIRRVLNG DTRNGAVSVQHKLVHKSGNQVNVLTVIYAPRRSIPDVPVGRGDDETSSNAESEISRMS SHDMASITGIPPTSLVIQVKLMVSPIPGATIQTITRARPVVHAPISNLFEELETTRGT SWQYELHQLRLLNRRLKEDIAAAKARGAGKGKNKKRKFESSVGDMGPPALPFANLHDQ YSAAPRHQLAPGFGLVTPGMGNGFY L199_005706 MPPRTRSTRVASSALTGIPRTATKAKVKTDIKTPPVKRQKLSAP DPSCLEGTLPGPSEPTQLIPPTLSFSLPDAISHLSKVDPRFGLFFAQLPCRPFVHLEA IDPFRTLVTSIIGQQVSWLAARAINNRFRALYGFDPESKEGFPSPHLVEKEDVAKLKT VGLSTRKAEYVISLAQHFTSGQLSHELLHHGSDEEISKALIAVRGIGQWTVDMFLMFS LRRPDVLAVGDLGVQKGLLRWALAAHGALPRKTSTTPKKGKSKAKVVERAEGELDTVV QEATPVRAIKDTLPPTPTTPTTTHQAVLHTPDETTTTAQIPPTPNFPVPGEVAQIPAG TLPPPAPEEMLKPLNDSPDWNPHTAVPLPEGLTVDVLKARLGGKKVKGGMYLTPKEME DLTQGWRPYRSLGVFYMWPAAEEQ L199_005707 MFQKVSDKFHRKQQSSASNKSNPSSPSASSRSVQTTVSSSSAAP APLAPLVDAKSTHTPMEGIEGGPIPENHPPVSENRTMSVQMADASEPQPSLNRNDSGA DEERIREKAREAQEQAAQAQANLQMATQQARVAAINAAATQAALETVSTTPQDNPAPA TATQPQRKTAGRYALSDFYIERTHPFLVNLWGTFQDVNNLYMVMDFVAGGELFSLLRK SQRFPNSVAKFYAAEVALALDYLHSLDIIYRDLKPENLLLGADGHVKVTDFGFAKHVP DITWTLCGTPDYRYNKSVDWYALGVLIFEMLAGYPPFFTEDGNPMKLYEKVRYPSYFD PLAKELLKNLLVGDLTKRYGNLRAGSSDIFAHGWFAEVDWDKLYRREIPAPYVPKIEG EGDASQFDRYQEADVSAYGKAGTGPYDHFFTDF L199_005708 MSQVQQIPTDGTGVLLSDPWLEPFSPALRKRYAAYKKQLDEIEA HEGGLAHFSEGYKSMGLQVDENGGVRYREWAPNASQARLIGEFNDWSHTANPMTKSPY GVWECYVPPKSPGVCAIPHDSMIKISMTLPSGDSIDRIPTWISRVTQDLSISPIYEGR FWNPPKEQVYQFKHGHSTRSSEGLKIYEAHVGISSPNMRVTTYKEFEEDVLPRIKKLG YNCIQMMAVMEHAYYASFGYQVTNFFAASSRYGTPEELKSLIDKAHEMGLTVLLDVVH SHACKNILDGINEFDGTDHLYFHGGAKGKHELWDSRLFNYGHHEVLRFLLSNLRYWMD VYMFDGFRFDGVTSMMYTHHGIGTGFSDSVDLEAMVYLMLANQMLHEIYPNVITIAED VSGMPTLGRPVYEGGVGFDYRLSMAVPDMWIKMLKELSDDQWDMGNVVHTLTNRRHLE KSVSYAESHDQALVGDKTLAFWLMDKEMYDYMSDLFIVHTLGGEAYLNFEGNEFGHPE WMDFPREGNGNSFAHARRQFNLVDDNLLRYKYLNEFDIAMNWLEDKYKWLSAPQGYVS LKNENDKVIVFERAGLVFIFNFHPSQSFADYRIGVEVPGEYKVILSSDEKKFGGHDRI DLNGRYFTTPMEWNGRKNWIQVYTPSRTALVLGL L199_005709 MPNYYDELEIEDFAWDPVARLFHYPCPCGDRFEISKAQLRDGEE IAICPSCSLIVRVIYDYLDWEDYVTSDEEDDGESVDTPPTETTPDNEEPRPVSGDAEE GVKDEKAAKKEGGGIDAQKQADPDIAEGIACLGLKDRSDGSNDGKKG L199_005710 MSDQESTEPRKSTSTLLDGNHTFPPFYACYLLRSKATPNSNRTY VGSTPNPPRRIRQHNGELKQGAWKTSKHRPWEMQMIVFPSKLTALQFEWAWQKPELSR HLRISGDHTGEDHGPIFSKDAKRNWVERKLAVAHALLSRPPFNRLPLHLRFFVPEVHD LFHNLEQDSSRAGPSRRRKKRVTQDWSPLPLPVTLTSIIDLGGVSGSTGARRHSTQGV QSREGPIDVHDVDFRQSERVWGKWKDIESRVKQEGHIRCEQCDGLVDHTLEWGQIIRA SYARIEGIQREEEEAVKSARKAKRKGRRQNDADASTEDEHSSMTPEIRSMTLETPPKR SLDRTASDTLEEATVTRAKRMPPQPPQSPDDEDESDAEMEWEKFERELKAIR L199_005711 MSSYTYPGSGRSIQSRSRRGSRASGRPAGPSTRASTCVEDMNEY VVALSQSRGSGTEVGIAVHSLSTGYVADTPFFQKTLQHLTLHPPCTVLVPENRNQAGE NIPSGTQLIEEVEDSFELECVTLPREYWNREKGYDDILRLAVRDEVQTSTLMATEEKY YALCAISALFKFLEVRQGLKYPKEALKIRYASSEGTMFMDTDTAKNLELVKNTLTNTT KDTLYGILNKCFTPMGSRLLRGNILQPSNIQHTIEGRLDAVQDGLKALGSTDLDTLIL QASRKRQGSVTVVETEARISILLQLQKYIQATKSIQEEMTLGKCRMLVEIQKVSKGVN SHTADSLGNVRSDALGN L199_005712 MPSRRNSIISWMSLELLSRRISMISSSNVILCIALLSRGRRPSV CSQASFSNTTRDWRKPSKRFFYSVATFDAIAKLDVLACFAELASVRPMFGETIAIKLS RHPILDKTLGRDDCVPNDIYAAQGHASFQLIQGPNMSGKSTFLRQVGLLTVQAMLGCY RLSNDDSLDRNLSTFASEMATSAMILGLATPNSLILIDELGRGTAPLEGLGLSHAIAE SLINRQVSSGRLSMDGL L199_005713 MRGVVRMHLRVQDNRVEDDNNAFSTTFQYKVEEGPAVIEHYGLK LARLASLPADLLNRAKEVAMSLSELEAKGRDSTASHAMIKRRKLLLERRESDNEELAH SLENLQRESLDEFTETFQAVAQ L199_005714 MSTLDPGRVLSVQSHVVSGYVGNRAATFPLQTLGYDVDVVNTVQ FSNHTGYGYTNGHKTTPEQLTAIFEGLATNGLVSHYRVLTGYVPGAEALTVVAEQIKK MKEVNPEILYVLDPVMGDMGTGLYVSEDVVPIYKDMLRIASIITPNQFEVELLSGISI VSMATLHTALRQLHTSHSLPHIAFSSIPLPISLVTKLDLPSPPPSYMCLLPDPIPPWY DAVGVGEPEDEVLVCFASTWEDEKLETWAFALPTIRGYFSGVGDLFSAMVLAHFKNPE SQSDLPPLPHAVSKALLTVQQILLRTHLYSLIQTGTSGTATPRPLHHSSSEHHGSVIP SDAELDAIGPVNPKDPKRKAKRMRLRELRVVQERKLIVDGGQGWPGKRLDWQRILGHG M L199_005715 MYSIARSLRSPSTLSPLRNVARTTSPLLTSKRFNSGKVSGPVIG IDLGTTNSCVSIFEGGAPKVLENAEGARTTPSVVAFTKDGERLVGQPARRQAVVNGEN TIFASKRLIGRKFKDAEVQKDIGNVPFKIVAHTNGDAWVEARGEKYSPSQIGAFVVGK MKDTAAAYLGKPVKHAVITVPAYFNDSQRQATKDAGSIAGLEVLRVINEPTAAALAYG LDKSDSAVIAVYDLGGGTFDISILEMQKGVFEVKSTNGDTHLGGEDFDIALVNHILAE FKKETGIDVSKDRMAIQRIREAAEKAKVELSSAGATDVSLPYITATAEGPQHINLNLT RARFESIVKPLVDRTIEPCKKALSDAGVKASEINEVILVGGMSRMPKVVETVKSVFGR EPSKGVNPDEAVAIGASIQAGVLAGNVTDILLLDVTPLSLGIETLGGVFTRLINRNTT IPTKKSQTFSTAADGQTAIQVKVYQGERELVRDNKLLGDFQLTGLPPAPKGVPQIQIS FDIDADGIVNVSAIDKATNREQSMTIASSSGLADSEIEQMIADSEKYAEADKTRRQII EEANRGESFVTDTEKSMAEFESQLDKEEREKVKKLLGELREISAKGAAGDATVKPEDI KAALDAAQQASLGLFQKVYEKRNAESRGSESSSDSASSSESESSSSEGEKKQ L199_005716 MSRNRRAAGAVRGPSSALTSFLANLGVEPSHRITTWGDTSGLNA NPTDDQPTLAHDGPVINPQDELDPAGAVTARNVVAGPSQAGDGTTELQNENKKRKRGG GSDDDSEYNEPDAKKTRAASVDSDDLDAEDPSPARPRKSQPPKVTDDTAKVTPGPLKP VGSFMDCGECTKRFTVTVYTKEHPSIPSTYLCVNCCYALGIDPFAKVKKAPQKKKVPG KKEDRAKVVHYEQKKGVSALGDLCIQIIGKYIEDVEQLGDIGGINMDKVCKIISKSRR LTAETAQLFYSADREDLSMYDCTRLTPEAFITISKLCPKLQSLNLQLCGQLSTDVVTA WGKSLKQLKRVELFGPFLVRREGWLNLFKSIGKRLEGLLVTQSPRIDHETIEVLVKSC PNLAELRLAEIGQLNDDCLAPLQSLKKLKTLDLSAPGSPLTDEAVIALLSKIGGNLET LDLTDNPDLTDEILPAITAHCPRLRRLSLRNVVELTDEGVSAFFASLKKQNRPGLEWI DLEKGHDLKDSSLHAILAHSGTTVEKLNLLGWRDVTNDSLGGLAQCKHLKELDLGWCR QVTDFTIKDILDGCNEIESIRVWGCNQLTDAIPRKKGVQVIGVESHSI L199_005717 MASHRPAVLTDQPYTDPNPLPSSVPHVDELGVTSAPLKSASFFI GQHCKEVNEDFMLCKQENRDPAHCLSEGRKVTRCAADVIGKIKESCLAEFDAHWQCLE KNNQYFQACRKPEKALNQCVFTKLNLTKKIPGSPEGQPQIHEKSSPIFTRVQK L199_005718 MAQVVTCLDQPSSYRDNRSEIDRETERRLLAQSSTLYVGNLSFY TTEAQMYELFSTCARPDEGGGIKRIIMGLDRHQKVIRCDIDPGYKEGRQFGRGKSGGQ VRDEFRQEYDSGRGGWGHQRLEEEKRRQEQERLRSQIQFDTYAAVGGLGMAGADVPRG EGAGADRQKRGRSEDEDIERREDEKRLRGERDDE L199_005719 MSDNTELSDADKIRLKRLARLGTSTPTQQDGQTSGQASPSPTAT PTTTHTPASAGSRLLSTPQPTPSTSSSPAASSSKPIQIASVSKPAAERNSTKTPTLAL GKRPTSSTPTSESVGPRVVPSKASSHLARVDYKQWETKKVGEVFSVTLSKQKAIEIDW RLCWLKGLEEELIEESECPQNSFTNDEKTTWTAAFEKLKALVISYCGMTLEDPTMFPQ PKDKPVGPAEFLPLLLAIDVPSSTNGDQYTSSAQSSAPPKPGALFGADLLPFLNDLAI GFPSDTMADVITPTLSLFFQEWYKITPTPDIMGADWRRYLGAVALLVQVKSIAALSLL GPLTRLNVFPREFPEIWKTYFSNPTERKKEDIEANKSNLRYTLGGLHGSLFNIYNAIV RASPESREGILDFFALTIKLNEKRAGMRIDKVDPAYYKTSKRVDITEETKIRGTKEEA DEYSGGSMEEIEKELKRAEAQRSSWAGNPAMETQGEAAIKKLKAPLEFRMLPEYLFDN ITEYFDFLARYNPDALDDADKDIVITFVVTFLSPNYVNNPFLKAKLVSILSYGLWPMG YWRKGALFDRLSVHSLSTEYLMPTLIRFFIATGGHTQFWDKFNFRRDIQRIFKSMWEN PLHREAFVKSRHDDFDQFIRFVNMLMSDTTFHLEESLTGMAKIASIQTQQANTEAWAA LPQNEREDQESQLRQAESSAPFHTQMGLENVKLIRDITATTKEPFVTSEIVDRLAASL DENLAVLVGPKMSELKVTNPEKYSFKPKDLLAAIAQIYLNLGNEPEFIRAVANDGRSY SKELFEKFARLLKHRAIMTDAEVAEVVSFTQKVEDMKATIQMEDEREVPDEFLDPLLS TLMKDPVILPVSRVVIDRGTIRTVLLSKEVDPFNNVPLKYEDCIPDTELKAKIDAWLA EGNTQKAADVMDVDQL L199_005720 MDMAMDTIVPESLPWEHTDEGPDDSVSHLKTSLIGNSITLPISK GKLVFGTWQGIYLAEFRHSGAGWGGRGQGRKVIATIL L199_005721 MIDRNGIWTKLQSYRTVILFGFALRVALFLGAPSLCLTVERRPE LSTPLTSIRSLKEGVYIYERGTNPYDGGVFYHSPIYLAFFSYIVPASSYFLTTILWSL ADLASSIALINIWRARSGLSDGTRECLISALFLFNPYTLLSCLARSTTSLDNAVLLGS LHFATDGQALPATLLLALVTHTSLYPLLLLPPLLMILKQNTKYQQESLNLTNVITYIS SFAALVGANFFIADSSWIKQTWGVIINVTDLTPNVGMWWYFFTEMFDHFRTFFLGVFQ LHHLIYVAPICLRLSDDPLFATLVLVGIIGTWKSYPTLGDMALWAGFLGCFPEVAANL RHPLFTLTVHLYTSILLPLLHSLWLLTGTGNANFFYAATMVYGLNASLAVVDVLAAGM RVQVKKKATAMLLQEEMKDHNVDERADIKVIDKIWDGKGWSVVQFTGSLS L199_005722 MSSPPAIKQRPLVAVIGTTGVGKSNLAVALAQSLQPSDTPSTSY AAPATHNPRYPAVVLSADSMQLHKGLDVITNKVTNQEMGGVEHWGLDMVSPGEGGSWE VGKWCNEADNKIATLPEDTLPIICGGTHYFIQHYLFPPPELSFDRPPSSKGKFPMNDL RWTPPGPRPSIPENLDTEQIQLLDSFWTPTPKWPSSVIPDGIETSSDNPSSSRSSRPT VTEDAQLLALHQLLCALDPKEGGRWHWRDGRKVRRGLERWWERGGPIEAPETLNEKLK DGVSSLGRKARFRTLIFWVYEPLEYLRPRLDKRVDKMVENGLLREIVELRDIAKRIYG TTEATDHTEGIFQSIGYKEFASLSLPQSNPTTDPAYAPALERTKLSTHQYAKSQLKWI KKQLLPAVKEARSLGGEVEVYVVNGGEKGVDPALKVLKAFMAEETLPKAEDVGHSDTS SLLGILNDLSGTKVPDTAERQDLNARKDCEVCSSPGRPYSLSLKEWDAHVKSRFHKRN ANPAKRNKEEWIAQQRVLGEAKRAGRDRLKEELSALNQQQQQQQQE L199_005723 MSTSLVRQSLFRAVAKPSMLRASAPGLMLAQRRFVSTENMTPAE SISYLNAQRQHRPNSPHAQIYQPQITWILSIANRVTGVALSGALYAGALAYLLHPVFP VIDSAHLISIVADLPTWVKGGLKFLFAVPFTFHTFNGIRHLSWDIGKGLTIKGVYATG YTVMAATAISSIYLAFFV L199_005724 MGSLIKTARSISSITPAQLHDHLLGSKAFPLHLTGLISSWPALT QWRLSDQLRGMRDAVGEDKSVEIELGKKGRGYLHPDWQRVNMGFGMFLDAFIFDQIPS AIPKSQLPSAYLAQSDLLDGSPKLAEAVPSLPHFYVGNEKSLYRRTVWIGPEKSFTPF HKDPYVGIFSQIVGNKTFHALPPEAAQHLSPSNLARHTNTSQIPVPVSRIFSPTDDIN DLADLPRDILETCQNQLKQAFAMDGACAVELKAGESVLIPEGWWHAAEGGDGPGVGVG AWFR L199_005725 MSSTPTTREKLDVESSQAREARLGSLLSSISSPSSDTPKGTSLP SPSNPIPSRPSAMPESDVLARARAFLPMLQESNQELLAAAAQNPDSVNIEKINGGNAI AMDLGLGVFAAPSDSKSDLGPVIDSEPPAELTAQGQQSAEESEESDVTSSSDSSSESS EDESEEEKSSSSDNPDHSS L199_005726 MAPAKRSRSPSPSRVDRSKKPCKLSFEDEELMRRNAQREKLKQH FSSSSMTSRTQTKLPFPRPLGPRGTITREYSMLESDSEIEDFESLTPPDKRFNVCSLG IHPTRRSVRLPTPSPSFALKQVDEGKNTNVQKDEAERSSDSAKGKGKEEMKQVEESKK HGQEGTTTPHGTRTPSSSFPNHLILTFDTPIKTNNAQTKTKTSTLPDEDDMTVWQLGS VNFHRAIHEDREIDTSFLTLDHKFKVRDYRAAQASGNGGSAAVVEPPQSAENYKPKSR CEKEVRSRAGKDKGDEEVVIEVQISRGEGSEIVIDLSAMEARRVKVKVKSSG L199_005727 MPKRKCAPCDALPTPPIQLGSLDAALDLLACDTFTRLEGLTAKF EACAKVKLEEGVVKARWEEAVKRYWVMKSMDELCCTAKKAAIKEADGEKMEEDGDSQA DGEKNGRPLPSRHHIGAIATHSLNAEEEREWRRWNPKLGDVVLVETAEDGFWPGKVID KKIFFQGRTVPRGNHFFPVRIYNEDMDPIITVKARLVPLSLRPNPPLLASPALLSAYH HAASPATFDMLAAARESLAAHNRTHPGVGDEPDRARIKADKDAWNKTVNWVMNERRIE KLRSVSEERDKQLKMVMKSEVLEGKGEGRDRPCDGDEISNIFGPKKRRTFAAAMEENG SSSGTEGVSSSIFGPISVNGSPSTPQRTASPSLASIIRPTLLTPQRPNSPRRSSREKR RNGIYIGMGESSPRGRGGTYTPPRILPSGDETAVRSSGSPVPTLQRFDFVSPLGPVKQ GKLANGVSGESQAVPSMLGNTGRSGSLEVVREEEGEEDGWTVVEKKGRRRAGSEPAAE KKELKSVDNIVNGSIKEDEMMES L199_005728 MGKKKMSLKPVQRGFATTSTASKKPEPAPEPAQKEEEVKDTSTE GTQNSQLKDEKPDIPAVNKQEADWEDDEAMEKAALQSLVDKLHEKGEKEVARVVKTID TRRGARVISAENGKIADDLSTVVPKTIPHSVLGTDTEKSLLRFYIIYHILKKLGFRDE RIEQCLLEGLYENDSWEEALEWMWTHLTEDECLQKGDYAMKEAPALVQAEEPLLELPT SDHTTPLPEVTKPKAPQQSIQPSNPSSTAPTSLFQSLDHSPASESDEDDDFDSNKINE TWAKLSLERDNLKLAAGGNKGKGKKGKGNGVILETPEMRQLKDKIGKVEKEYLFSRKD ADVIFKTLKSQRDAAALAARLKGTSIKPDEDSKIASEHPSPAPDETSPQTEDIFSGSG DEDEGGLFGGMLDEPAEPQVFEEPSTSNTSITVRSMAIPKSSFAGNIPKNILKSAVTK QSKQAVFTYAKLSGGSRAARAGLEIRWSTGRRKVWRMDDIACNDMAEAENYVSTLALN DLSADGTLSGINWRTMPPDYRELWEELEVKRKEQEDVGKREIWARIKALYEKKAVEPI AVPKTDVAVKTTSTGTSTPTARGEQRSVDRFSQQLQDDFEKRRSSPSYQKMLQQRNTL PIASFREQIVSALEESQIIVLSGETGCGKSTQLPSFILEDQLSKGRPCKIYVTEPRRI SAISLAQRVSQELGDSAGAMGTNSSLVGYSIRLEAKVSPATRLAFVTNGIALRMLESG SSGGSKGTAFDEVTHIIVDEVHERSIESDFLLIVLKNLIQQRKDLKVVLMSATVDAEK ISNFFGGCPFLSVPGRTFPVQVNYLEDAVQVTDWHIEEDSPYAVRGRNFKPAGQMVEW NEEGAKSDSDPSDDEDDDGKSRSSNPAKLSSTKYSSNTVSTLNLLDSRQIPYDLIVRL LEKICYEDQNLVPFSQATLVFMPGLAEIRKLTDLLQSHPAFGSKEFVVYPLHSTISSE GQSAVFDIPTKGVRKIVISTNIAETGVTIPDITCVIDSGKHREMRYDEKRQLSRLVET YIARSNAKQRRGRAGRVQEGLAFHLFTKARHDTQLAEHPIPEMLRLSLQDLALRIKIL KVPLGKTIESVLLQALDPPSPVNIQRAISSLVEVKALTINEDITPMGRLLSKLPMDVH LGKFLLVAAMMRCLDPALTIAATLNSKSPFVTPFGFEALAENAKKSFAVGNSDFLTIA NVFDSFRRASENPAFVRVFCKKNFVSLQNLQQIEELRQQLLAYLVDSSFVDATPEQRR EVSQARFSRGVRTRFVNVPPEFNINGADVNILGGALAAGLYPKLLSLDPSSGGGLKTI INQQPVAIHPSSVNFKVPKSDFGTNYLAYFTIMQSKRLYAWETGPVDDKALALLCGDI ADFRIPASSLHLDRKIRYHVSPKTAIAIKLLREQFAAAMSTRLRGKKLTGSQERWFEL GMKCLRVAVDEEEARVGLK L199_005729 MSTQPRQQPGSARTAAQTEVEAGPSTTPSPSEQPPAGVLKLRGG PLKKQRVVWSDETVDNEGMGKKKSKICCIYHKPKAFDESSDESSSCSSSDEGHSPSSR RSNSHKHANGKGQKQRSRDGGDGDVEVSSDSQSSESDGGAGDGRARPSRKPRKHRHSH DCDHHGTGRVNKYDVQPKALGGASGDQSQDRS L199_005730 MSEFKAPPLDVSSILHGGIHHPTLRSWQSNGRNLTKSMFIYPIF ISDDPDAEEVISSLPGQKRWGLNKLEAFLDPLVKKGLKGVILFGVPMKMEKDARGSAA DDPSTPVIQALHLLSKLFPQLMLTVDVCLCEYTSHGHCGILSSLPNPGHSNQPTIEME PSAQRIAEVAVAYAKAGAHCVAPSDMMDGRIRAIKLGLMHAGLANRCALMSYSAKFAS GLYGPFRDAAGSAPSFGNRKCYQLPPNGRSLARRAIQRDAREGADILMVKPALPYLDI ISDCAQYAPDHPTACYQVSGEYAMVVAGAEKGIYGLKEMAFETTESMVRAGASIILSY FTPQFLDWLDAEQ L199_005731 MLLKFSSILTALALATAISALPAAIPVEEGLVKKDIEERQVITC GYYEVCYCPRNGVRFTCRDPQGCSMTCYPS L199_005732 MELNGGSVVAMIGKDCVAIASDLRLGNQAVGVASNFDKVFPVND KLYYGLPGLATDVYTLKEHLRFRVNMYRMKEEREITPKTFTHLVSSTLYEKRFGPFFI EPVVAGLPTPTELDPHPKPFISTMDTIGCITTPKDFAVAGTAADKLYGVAEGLWEPDL EPEDLFETVSQTLLNAVDRDALSGWGAVVHIITHDKVITRTLRARMD L199_005733 MIDRQRQAGSLSQPISILNIPRALKSALTDAGYKTLGDVQQLSV NDISAEIGIPKHQAEDLRQQIESFQDSSQQPSHSIPLQSQIQASTAADLLSSAYLPHF STSSTSIDHLIARFQDPSRRHLPSKPSRKGKEKADSAAITPGMAIEVSGPPGIGKTAV ALGIVLNARMTGINDVEDDVGDSAGEVLIIDTEGGITAERVRAAAEAITRTCSTLPRD IIHGIHFVRIPTQTQMIAFLHTLDEWLETHPKVNLIVIDTLSFHFRQPGLDMSTRRRM MELVKQKIGQATTLHHCAVIVCNQMATKLMTAENKPANFDTGDRAILMPQLGDAWTTG KTLRLCLFRGHPGDELRYVHASMSGSTRNLPWAAFDVDNDGLPCDIPEKIFERPVTPP PKDLISNTTLLDF L199_005734 MSRQQQNPFDDLLSDNPHPNQQTTQDDPFGDDEPNLFSPSGATP YGQGARSGVGGGSSKPQQGYALDPFFDDDDEYGGGGQSSGYMAPQSSSTVNVNRSFGR SDPSLLESHMPLAQSGAVPAGFAGPPDDKQYHPTSSTSKAYGMGGGDPFDDDIEGPSA YAFSAPGSGPYAAQKKRSRWQRIREDHLTDVDWTFGLNKMLGRRGKFDGVPREISLND AEGNRVKGYEKNSVTTGKYGPITFLPKFLFAEFSRSANLFFLFTAIIQQVPNVSPTGR YTTIVPLAVVLIASAFKEIKEDLKRHASDRSLNNSTAQVLINQAFQPRPWRRIRVGDI VRLEANAFIPADMVLLSSSEPEGLCYIETANLDGETNLKIKQSHPSTATLTNPQAVSL LRGHLLSEAPNSSLYTYDGTFHLSSTYPGAAPTKIPVGPNQMLLRGAQLRNTEWVYGV VVNAGHETKLMRNATDAPVKRTAVERQVNRQILYLFVLLLILSLVSTIGGSIRTAFFN NKSWYLRLAGESPNKAKQFIEDLLTFIILYNNLIPISLIMTMEVVKFQQASLINSDLD MYYAPTDTPALCRTSSLVEELGQIAYIFSDKTGTLTRNEMEFRECSVFGTMYAQTVDD NKREMGQKTFETLRQKCMEDSEEGATLREFLSLLAVCHTVIPEEREGKMVYQASSPDE AALVSGAEMLGYRFHTRKPKSVFIDVNGHSEEYEVLNVCEFNSSRKRMSTVVRGPDGR IKLYTKGADTVIFERLAPDQQLTESTLVHLEDYATEGLRTLCLAYREISEDEYAKWSM MYDNAAAQMHGRAEALDKAAEVIEQNLILLGATAIEDKLQDGVPDAIHTLQQAGIKIW VLTGDRQETAINIGLSCRLISESMNLVIINTETATETSELLNKRLFAIKNQRMGGDVE ELALIIDGKSLTYALEKDCADVFLELAVMCKAVICCRVSPLQKALVVKLVKKSTDAPL LAIGDGANDVSMIQAAHVGVGISGVEGLQAARSADVAISQFRFLRKLLLVHGSWSYQR LTKLILYSFYKNITFALTLFWYSWFNDFSGQISFEGWSMSYYNVIFTILPPLVIGIFD QFVSARMLDRYPQLYQLGQTNHFFTPVRFFYWVGNAFYHSVVLFLFSVLVFYNDLIAS DGKNSGLWVWGTTLYLAVLLTVLGKAALISDVWTKYTLAAIPGSFLFTMIALPLYAII APLLNFSLDYKGIVPRLWADAVFYFCLILFPVVCLLRDYVWKYYSRTYHPTSYHIVQE IQKFNLSDYRPRQEQFQKAIKKVRATQRMRRQRGFAFSQTETNDQDQTRLIRAYDTSV ARPSGY L199_005735 MSDSESDGFIMDDGGSESEGYIQPAKAKKPAAEKKAPAKKAAVP KAPKAPAAKKATTKKTPLASKNLPNDSISEDDFDMENSPVKAKAQAKVVEENDEDLGA GPSAAVPANKKSASEVYQKLSQREHVLKRPDTYIGSVEAISQKMWVFDEESKGMIYRD ITFVPGFLKIFDEILVNAADNKINDPTMDSIKVTIDREKNTISVYNNGKGIPVEMHKK EGVMIPELIFGHLLAGSNFDDDQKKLTGGRNGYGAKLANIYSTEFIVETADKVNGQKY KQVFSNNMDKKGTPKITENKKGEEWTRITFTPDLPRFGMTRIDDDTNALLMKRVYDMA GTVKDIKVFLNDERLKIKGFKQYVEMYVNSASASTSAEGAAMNKPPLVYEAVNKRWEI AFTLSEGEMKQVSFANSIATTKGGTHVDMVSTQLANKLLEQIKKKNKAAPVKPFQVKN HMWIFVNALVENPAFDSQTKENLTLKSSAFGSKCDLSEDFIKKVAKTGIIDNVLSWAR FKQDQIMKKTDGAKRSRVGGIVKLEDANHAGGRNAKNCTLILTEGDSAKALAVSGLAV VGRDEYGVFPLRGKLLNVREAGHDQIIKNVELQHIRQILGLKHKETYTSTDSLRYGHL MIMTDQDHDGSHIKGLLINFLDHFYPSLLRIPNFLLEFITPIVKVWKGKQEHTFYTMP QYEEWKAANNDGRGWESKYYKGLGTSDSKDAQKYFADLDRHRLAFDTLKDEDRGLIDM AFNKKKADDRKEWLRQFKPGTFLDHDIDVVPISDFVNKELILFSMADNLRSIPSVADG LKPGQRKVMFATFKRNLTKEIKVAQLVGYVSEKTAYHHGEQSLASTIVGLAQTFVGSN NINLLSPNGQFGTRLSGGKDAASPRYIYTAIPRMTRAIFHPADEGLLNYLIEEGMSIE PDYYLPTVPLVLINGADGIGTGWSTSIPNFNPIEIVENLRRLMRGEEQEKMNPWFRGF KGSIERIEQDKYKVSGIIEKIDDKTIEITELPIRKWTQDFKEMIEEMTTGTDKVPATI KDYEEHHTESTVHFKLHLNEANMKAAEAEGLDKRFKMTTTLSTGNMVCFDLNGKIRKY TSAEEILSDFYGKRLEYYGLRKQWLADELNKQFERLSNQARFVQMIISKELNVNNKKK AVIVDELRALKFRPFPKKVQAKDAGETEAALEEEDEGMASDYDYLLGMAIWSLTVEKV NKLLAERDAKEGELIELLKLSPQDIWNTDLDKFLEEWNILLENDALALKGLKPKTKGA IKAAQKKKKRAAGEDTDDDEDDFKPKAAAKPRAKPKAAAAKTSPVKTSPSKRDSTNVS EDGVDVKPAAKKVAAAKPAAKPKAKIETKMELDDSDDDMYTIPAPKASTAAASKAKPK ATISVIDDDSDSAPIKPKAKAPPKKKAAAISEDSDADILPAKPAAATKAKKAPAAKAK KVKEESESESEFNDSMLVDDYEASPVAPKKPAARAGRGATKKPAYIEMSDLDDDSD L199_005736 MTTSSSPVPSSPVSITRSTSLSARKTYSRKASIIREKRKAEALG EDTDDSDEEITYGSASTSTKGKAVIKDGSPISKKVKEAISLVDTHSDNSPQSRISRSS GDRKLTSARSLPSSPSGSRTPSPSPPPIKKSRSTNIIPTSTTPSTISKSKAQPKRSAP IVDVHPPSSSPPLPPDARAPSTPPRKLRSLSRTNTPQGSPSAYEDLFSAVSPRKGYFE SPGKFTSPGTLDVSNRPKLGRPGGMRRMLTKSQSMTSVPISPSKGNKEEQGVDEDDKS SFGGSMTHSPAQQTQQAPTTPSKSLLRTQSMPESPSRSSPRGTDQASSATIPAQVASG SGGGSGGRAKRTYGGKRSMLAEVSQVNLDIANVSREELGVEETAPEASYAELRKKYET DNNETQNGSGNLMAELLLARAPQAVSDMRSKGENRRFMDELSCLIEGITDPSMGMSFK RTSAIDILDNMQDESWLAKMNICGQVEKVWESFMEARGEEPDLAMETICMLMLETLQQ SNSGIEHILLNDVEKVLDLLLRNLKTREGPLDGNVKGKANGSTQKIRRIFASMRSASS SVTPSTRLLASTVLGNIYQSSLLKDLSPAVNGAHIFEKVTKSLRSEVKILGVRFDLNE KGLDLLPSDSPPDFDHVYALLQIIAHTLSNADDRPHELQQRHKDLIEDLIIIIIACSS LVLNEEGVSVNTSRCVLQSLQLLLIVTDASPEWAPQIIQVQGGVTSLARMILQRGHFI PGARPSDNDNEEQSQLTEMDVDMETQGVSTSGLLEDSLLTVLAVLIQCIRSGDETIKV IASTKIDSSCTGKYGCLRKCHCLDTLSLTHHLSNLYFEYSHIDRSIFAKAIAGYLALV ITKFISSLDEVQELDIKSLPGGSRREKLEGLRASLRGLKYELHQNLKRLLMSNSATTQ NEEQLNDEDEDEGEMQDIRRALDDLEGMIRELI L199_005737 MSKTTTANVNQASGKASASTSTSASSAWSRGPPTASATSTPVPS NPASGSNTPAQSNSSLPNGVSNQSSASNGPNPVPIAGSGHSRKSSMLVGGGMDIKRGN IAFGTVDHPNPMLSSSPAAPSTTGAHLSDAVKSFGSIDADASNDSAAVKTKRMSSLGA QSSTSPPPTTGVVPPKKNLDLHSLFAGKPHQQAPAPSTSSPQQQPAVPPTHDRRQSMS QSGFQPGPNGLSNSPYTGTPHLRPPVSGLPNQQRSPVLNQAIPPNQFNPSAPTHVQQG FRPPQQGGMPPQQAQVRPNGVGPQGMPRPGMMMGPGGMGAYGMHPGPQGPGYPMMQYP QQNYYQGYNPYEQQQYNQQWAPQQHPQNQQYNSVGYNPAQQQAGPMSPRAAQGQLPPS QSPMPPSAGLPSSGGASPVPTPPTRPPSLMSGHQPTPSNASAASIPVTPQRPMPPTFT PQQAQSPSTPGFAPHLSGSAPTFTPRRTTAAIKISRPDGSALDLKAEAAVKAKTSPAP SSGAGTPELGSEPQQEAPKKKLALPVVVRIESEEQKKLRLAEEAAKEKIRLTEEKEEK ERQERREKKAKEEEERKAKEAAEKAEKEKAEAENKEKAAAEAEKKKLEEAFAAEQAAA EKAAAEKEANAKALREHSEKAEQEKAAAHAAAQEAREKADEQRRALMTPAASTPSSPL ASPALGAGLPAKPVAAINGARRPPPSALDLKPSSPSLADESPSASQSALNTAKPIEDL SSIVYPGSMKSPQPQLNVGAEPGKFRYDRDFLMQFMGVCREKPESLPPLEEIGLEADA SSGFGSRGSRGGRNSMGPSSRSVSGAPTGLGIGGLNRPAFPGQGMGSFGMGQFGSGSG SLRGTTSEQRYQATLRAGSMGRSPSQGGPGMPGMAGLPSMGPSTSRSGASRGSQRGTK RAPQEPRASLSQEPAPPPLQVSGNAWTRTRLGGDDEGSPAFIERKVKSLLNKLTEEKF DGISKQILEWANKSQNETNGMTLKLVIKLIFEKATDEAHWSAMYAKLCRLLMVELDPN VTEVLEGKPTSGGVLFRKYLLGRCQMDFENGWKAREDTAVAAAAKSEEDKERLAKQKK DQEAQEKEGGAEGETEAVMMSDEYYAAQKAKRRGLGLVQLIGELYKLEMVSKGVIRQC LIRLLGNVTDPDEEDIESTCKLLSTIGEAYDEAAPDNMNTVFERLNQVVNSESISSRI KFMVMDIMDLRKAKWKSRNKQAGVMTIAEIHQQAAEEKAAAAAQVSQGSISRGGSRAG RSRDGPQPGEWQSVSANPRAGLGRPADFSNIGRNISSTGMPSAPTFGPSSVFARKGKA GATGTVTPPLSRQPSSANMFSALNDATEPSPAEGRRGSADAGDNAPQRKKLQLAPRTK PLPGEGEGEGEGDEEEEEEEEEETPAEPAAPKELTEAGAKTKIASDMKELWGEKDQGG SRDPEDIAEYFRTLPEIRRHLLAERLLEDVFRIAKEKDAKVVAKGWKAALEANVVTTD VLRTAIEARMPSLDDDSLDFPSAYKAIGFLIRPLSLSSEEISALGDKIDVYGEPKITP KQKFEKALAQVAEEEASD L199_005738 MNQLTSEQAQALWSAGGFLVFDGLSEGSEFGVDGSINIIRRFSG IKFLPPGIHLITWSPSSSSPSSTSPAGPAGIPIRFGLIRYTKPKERFVIRYDPQSEHT EVQLDVVISDDRLKSLDGEMAPYPFDHLERWKSLTSHITEETIIQVIGGNDKVLSGMI EVEGEEEDSIDRRKGTTSKGDEDSTGGNGDKLNFVRFNLKKSWRDGAIGEEVTRYSKD KSWLLGNVVEKRLGGDPIKIISQLQLSFILLLHLSSYSALLVYKRILTLLCQSTDFLS TPSEYLSSSSASQTKIQEAFISLVDTLASQIQTIPDGTFDTELPELDVFYLDQIESMR KNLTGAISISKDSDKWDENARGKIGSSWTKLKEAGKPWGWEIGDLSKDQPAQNSDDDE ESDEEGEYAPVIVEI L199_005739 MTPPSSFFPTLLTFHIFPLHAPITLYVLDAPFGRFASKTSNLNV NGNIAWAVAELVSPLTFATTLLVNPHPTLNYPARVLAGLYFAHYAHRAVISPLLLSPK RSPLHVVVALAMGLFNLFNGYLIALGLAFYPPQAETDWKFWAKVGGWALGYMGNVYHD EVLNDLRRPSSGRLVISHLPEDDDPKSGRYKVPRGGLYKYVSFPNYLCEWLEWTCFAL AATSTSTALLPLPPLSTLRLQTGLRGGIVKLIAKIWWPPYLLHPTWMFVLAEITSMLP RALRGHGWYKEKFDNYPKERKAVIPGIL L199_005740 MATPSSTADTPSLPIAKYYQAFPYDGATFTTTTERLSAQNRFLD RYSNVKIGGRMLSGIVSEVDELTAPGRSRLTLTEAQGRASVSILLTGDTTDTGGNPRP QRLVIQGPALTDAVSGDDRDAIRTIQLLHALSNGTGNTSTSQTLTAESAAALIAGCDR VPQLHPLVRGDITKVKSAIDTAMKIQETSGTFKLSLDETPTLKAQPAFSKIMRNKNSR DRFMGTMKSASRPDHSISSLYVTESFLGRDYGIISEGLQKLERSLIADYVSKDPVPMW DALSEHMTDEGKQFMKYPALDKRYSEMEANITVASAARAEALSKTKIADMMSQDLMVD INSYLPLDRLKYSKSTDRQWPKPTEYLTVEDKDYEAFFGALASMRVEQNVASLTPAQM SQCMSNFPVLRSKIETILQGQGPAVLAKTRAQGDNSATWAEDYAQKSYLDYARDELEV RGKEMEGLYDVDPLEIFPPKVAEEYKWAIGR L199_005741 MFILPPPSDNSNLDSRPTSIELTIPDKRIWSHNEAMTQAIAKGD PFSQALVSSVQAIDNREIPVSKIEIDTLVTSIDSHIQFIQMIESDTETDVPPPVQSQY TADLENIKKLIRSGYRAYPTDNDKGILSISLRSPPELQQGKTLVDPEGASRLLITVER NSGFREYRFNAILSQICLGRSLSHHEQVDLMPPSFLTEMNKYMVHEGFRWTNRDADGR SRQALPKPSEVLYHNDPWSKRYERLSQVKALINGTSYQEYRSLLESIEILPEGEKLLL RNDIKSVSDASRARAIAALGDSTSDPGPTWAEHLRSQDPLEFAIEALKYDYTEEEIDF SDPEVIRPFMPHRALEELSKAQSN L199_005742 MSSTGTAQGQVVPLGQYITQFDTATELVGKEKDVYGHSDPNAAP NLIKNRVDEFLTSHGDTQCGSKTFNELVSDLYDVNGKGRSRTAILPPPTSTDRPTCIQ LTGRSGSIVSHNAAMTKAIWDCDPLAQALIGTIQAIDGYTIPISKAEIDTLCGSVLSH LLEIRLNGQTLHGRYFDPTDLQTLNRTIDDAYKRDGARPEEDTGHLTISLNSLPDFQV GKPVTSPMDTKRFITTLKADLGHSEHQFNSILSNASLGRSLTHHEKSDIMSPSFLRDM NKYMVYEGYSWNPTELASERLPQASEVLYEKDPWVKEYRESMLDKEILLGTTSQAFRS KFRPQELLIEGEKLLIDRGIQSVTDASRARACAACGGSDPGPTVSEYLRSQDPLKYAL SVLKKEHDEDELDTSDPFAIEPFLPHSALPALRERQSTLA L199_005743 MGGVSSCCGPRRKNNYEPLLLENEREAVADLLQYLENRSTTNFF SGSPLAALTTLSFSDNVDLQRSAALAFAEITEKEVREVGRDTLDPVLYLLTSHDHEVQ RAASAALGNLAVNAENKLLIVSLGGLEPLIRQMLSSNVEVQCNAVGCITNLATHDENK TQIAKSGALIPLTRLAKSKDMRVQRNATGALLNMTHSDENRQQLVAAGAIPVLVSLLN SPDTDVQYYCTTALSNIAVDGTNRKRLAASEPKLVQSLVQLMDSQSLKVQCQAALALR NLASDEKYQLEIVKFDGLKPLLRLLHSSYLPLILSAAACVRNVSIHPANESPIIDSGF LQPLIELLSFDENEEVQCHAISTLRNLAASSERNKGAIVEAGAVERIKELVLTVPLAV QSEMTACVAVLALSDDLKPQLLEMGICEVLIPLTNSSSVEVQGNSAAALGNLSSKAAE DYAPFNAVWNKPDGGLHAYLVRFLSSADITFQHIAVWTIVQLLEAEDDQLTNNIRSSP ILMSSIRQLAASPPPSRGGRGINEVSQGSEGEEDYEDDGLDGEGEGEIATLARRILDL TEDGARDINDGSHFSTHHQGENSTSGVAGSLGSEHAALRASVHRALSGGH L199_005744 MYDGPIRGGTRGGQGDFRWSQVANDKHRENYLGHTVNAPVGRWQ KNQDIHWYNREVQDDDQERAAKAKAEEIRRLKQQEEDALNIALGIAPKPRHDDDENGE GTGSNDIPIPKSEKDLEIERLEKEERKREKALRKEQKALRKAEKEVKREEKEHKRSRR RHRDNDSDYERERDRHRSSRHHDDRDRDRDRDREKERGYDRDRDRDRSQRDRRYDDDD RDRHRDRRRDDRRSREKDDSRTPPGKRERHRTRSISPKRERDRSPTPDRYRRRDDDHH WDTKPSRDDLDRRR L199_005745 MSWFSSQSSNSSKDATNFYPVTSYLSGYGELTSADTAWANTSNK GFQTETQIWYTVLEDGTWIMVQIIWSYVGIFLVPATTQMTFKVYNPHTQKSTWRSINA SGAKFDKQNLKTDQFEIKHTGSPTTEEVYHIVADLDKNVHLDVKFTKPAEAPGFKFGQ GAQGGISTFGKDREEAKRDGFVVHRFHPFSFSSGTVTVEDKPLDVKGDGMFVHAIQGM RPNLVATRWNFAFFTTTPGADDPKLGGVRAVQMEFETTDEYGPKGSKSGRTKVNIGAI YTTKHSPLIVTGQTHLSPSSTDVYPHPTDSISSAVHKSPVKDKETGYLVPSSIEFEWS AQKVSGEGKVSAKVVQDVAGAIVGQGGLIEKVDVLAEIPYVIRKGLAAVTGTKPYIYQ YHNPATLNVEIDGESIPVKGYLFNEASFVSE L199_005746 MQFHGFDFYFFFLGFILTTILATAIPLDEPSSSLVSRYAGIFPR DSCICEYDPEHPCKWDDCDCGPIRPRPSKQCCGIIDPPILLSERDDSINTTPVLHARA CPPNQEQVCYVYRGIKYCIPCIEERIICPLEDEQIICLNDADGIIRCPPCRPPRPPIC PLCVSHRNGTIVCPRPCPTSPIVCPLYCIDYPNGTVVCPGCGDRLPRTYTNPVSLPSA TTN L199_005747 MLFTTNMLALALTSASLLLPSSLASSKDPPSLLEYRSWLPENTC ICPYDPLVPEGWLECVGKCASHEKRVHLSTRDLSHLTRQVCVCPEDEQNCPCPLIPPP DCCTPTYKRNELTNVMPALHARSCPPEGEICHVVNGKIECIPCIQERIICPQYKRAEA DDSSAIICLATTEGKISCPSCPRPCPLCPLAESKRDTEAISALWCPPCNPPYPPNCPQ CWYNAFGTVVCPLCPWPPVTSIKPPKPTITFCPLSRKYCLAQPDGTYKCPGCGPIPID VEVTDVAGVEPTVAPAK L199_005748 MHFTTISLLTLLPLSTIFALPTPSHPREVGNDVKIDAIGRAIGA IGITQDPSPTSNTPSSSQVGINAIGRAIAAIPVGSSSSITGGVGINAIGRAIGIVPSS DPSPNPEVGPNAIGRAIAAVPKPSPTVTIEAIGRAIAISPSNLPVSPNAIGRAVAAVT SEDEGGSTEVPTPTVGINAIGRAIGAIGLEPSSVGVEAIGRRGIAAIGAQPSGVTVDA IGRRAVATVGKQPTGVTVDAFGRRAIAAVGGAGQDDGHVGVNAIGK L199_005749 MQLISLLTILAVLVPTFSLPLNEPRIIDDQDGGVRTVRDFPEPT PTSDGQAANIEATPLFSPTYTKPRYYWPLTTLRIPILVDIGRVIPTIPPKPEWPVPDP GPRPEFEIGENGIVIGS L199_005750 MQGRLPQMQPTVVLLREGTDTSQGVGQLLSNISACLAVAQTIAT TLGPRGMDKLIVDDRGLATISNDGATILKLLDVVHPAARTLVDIARAQDAEVGDGTTS VTLLAAEILKEVKPFIEEGVGPHVIIKGLREARSLAIKKINEIAVTIDKSNPEKFREL LLQCASTSMSSKLIHSQTPFFANMVVDAVLSLDQKDLDESLIGVKKVPGGGMQDSQLI KGVAFRKTFSYAGFEQQPKSFKDPKILCLNVELELKAEKDNAEVRVNEVSEYQAIVDA EWSIIYKKLEAIVETGAKVVLSKLPIGDLATQYFADRDIFCAGRVTSDDLKRVTQAVG GSIQSTCSDIEPHHLGQCGSFEEKQIGGERFNLFQDCPQAKTCTLILRGGAEQFIAEV ERSLHDSIMIVKRAIQNNSVVAGGGACEMEISKYLRGHSRTIMGKQQLIVGSVAKALE IIPRQICDNAGLDATDILNKLRMRHAQGDTWAGVDVDSENVQDNMKRFVWEPALVKTN ALSSAVDAACLILSVDETVRNPQSEAPQAGPPMPRGAAQQALRGRGRGMPRR L199_005751 MSELCPPWAPFFGFAGVASAMIFSTVGAAYGTSKAGIGIAGLGT FRPDLIMKSLIPVVMSGIIAVYGLVVSVLIAGNISPTEPYSLFAGFIHLAAGLACGFT GLAAGYAIGIVGDACVRAYLYESKVFVSMVLILIFAEVIGLYGLIVALILNTAVGEAV CGAT L199_005752 MRSSISFLALIPLLTSISASPLKARQEVIGVSSPGAEAGSATAT ESGVTASSSAAAEAGTPAADASATFAAESSSASDTAASASSTNSPAVAAATAGSTADT SNDLTVVKFAALAESLEKTFYETALSKFDAQAFTDAGFVDGQGIFDQLQVIATDEATH LTVLQSVAQSLGSSTSDVDSCTFNFDSALTDVTTLLATARVLEFVGIDAYIGGTTLIG DKSLQVSAAEITTVEARHNTVLNTLNGGSSVPNAFDMVLTPQQVLSVAAPFVSGGCDP VAALGLTPTPALVITNTDVPQPGTLLTFGGAGLDGKDQAGLFCNMIVGGATESINLPI AECKVPDGLDGAVHLFITSSSTPLSSNIVNQDANAIVAGPAIAFIDTIANPQSQLLLG TNINSNAAATNGSSGTGKKKIVITQTIIEEDIV L199_005753 MADAKKKQLVFNIIEFLRTSAQDGTVKEDDKESLEVAVQCIAES FGVDPDSSEDQKSYSIAPASLLSILDVFLKTKAKSAPSTAPSAAGPSETKVPSEPTAE DKKQAEALKTKGNSLMSSKLYDSAIEQYTQAIALYPNPVYYSNRAAAWGGLGKHEKAI QDAEKALDLDPNFSKAYSRLGHAHFSTGDYPSAVKAYEDGLKLDPSNSNMKTALATAK SKLAESSTNSVADREPPAGGAGGAGAGGMPDLSSLASMLGGAGGGNGGGMPDLASMMR NPQLMAMAQQMMANGGLERLMQNPALRNMAENMQNGGGMPDMSQLANDPTMRDLAQQF MGGQGQGQGRGA L199_005755 MSAYGAIAAPESKGPLEIRSWPLPVEGLVLITYPITGSDAPQEV IEYLYRVFEEELEGGRTYPQEGPLSLEGFTSYFFGSTTIVGVVQSSSEEVKKTIGEAL KGKQLEDALAGCYYIKPNYPGRSSHNCNGGFLVPPTQRGRKIGLTLGKSYLLYAPELG YRGSVFNLVYKNNVPSLAIWDQLGFQRVGEIPNAGRLRTGPNGTEEYVDAVIVYKSFV L199_005756 MSDSTSFKPLEYDDGPLVWVDCEMTGLDFLNDRIIEIAVIITNG RLEPVDGGVNYIINTPKEVLDDMNEWCVNQHGKSGLTQACLDSPYSYDQVTDKVLEYI ERWIPERGAGVLAGSTVHADMRFMMIGMPKVMKHLSYRIVDVSSIKEICKRWYPSVRQ QDKARRTEECAHRALDDIKASIAELRFYREKIFIPLEPKPERATTPEEEREGKTAV L199_005757 MSLSSSNPSDHDYGQNQNHHQHQVGENNTHNDISDFFSSDNFTS ALDPSLFALAAQVQAVAHAQAQGIDIDFNIDPTFIDPIFPSGDNVDVGPIDPSLLEIA QVVEDVNKGKIKLDEPTPPTQPQQPLAQAQSQTHTVPEVNTANGTSAEGEGIHLDVEI DPTLREIVNSLTNAQQSSHINGQSLSHAQAAAAIGAHLTDAEERERLQQSLQTTLEDL TQASFNSLFPPNFPQSPSGNFLNLAPDTAILNDPSQQAGPSTHEPTPVPSNGQHEEYG SKRGRGRGRPKGSKNKHKAVPIPKVPKGPKPPSKPKGRPPKERNPEEQAEYELRRHER AMGIKRRKGRPRKFPGYLVREMRLKKNRKEFNELLRNYQLNKPDGEDDSEDEEDDEDD DGGEYQGEGTQIQNLDQMRMMMDVDVENMTNGINQALQVHNDNDNNDHNDNVNLGIHT HHHHQQTQQQQQQQHHHDDHDNVNVFGNWSVDQDGQSLLDVVNGVGGVNDHTMEGVFG LNH L199_005758 MKTQFVLSLVLSLLISTSSAHEHGIRKRHRINDPAKRIYIEKNP IEPQNLYTRTNSSSSSSSNSTSTSLPKAPSSDVTTISKPIPKPLDLSISPSNLSGGCL TYLTSLLSSDDLQDCLPLSLLLTTSSAYSSLLSSSIQSGNYTKINNLISYTSSSTRDK CDEYFTSVQSSLSSNKNCGTDLSNKNQVVKDTQIAIGNYQLIKESSKLTDEESGVYCY IESMAATRPDDAYLWSLPSGIPLPSTSIPTCSSCSKSLLNTYMSYIPDTSTLNSTIVK SAINRVNDACGQGFVNLSAIAVSSSSAGSVSIPPYQAYAVVGVILSILRLMFI L199_005759 MSQPPSSSSSSQPIPISPTTSLHRQPSTSARPTRRPSIQGSSAG GSSIVRRPSRAQHPPMVTPHRTLTHPEALEALRNFLKERSSYDVFPVSFRLIVLDTQL KVKKALDVMLLYGVVSAPLWNTSTAKFAGMFTVQDVIHLIQYYYHTSSWEGATADVEQ FRLHSIRDIEKALVVPPPPLLSVHPLRPLYDACRYLIRTHARRLPLIDRDTQTGGEVV ISVLTQYRVLKFIAVNCRDITQYLTASVQEMGIGSYVSPESNPNKYYPLATATMQTTV FDVVHMFSEQGISAVPIVDSDGKVLNLYETVDVITLVRNGAYQSLDLTISQALKQRAV DFPGVVTCSPKDSLSAIFSLIKIRRVHRLVVVQGRDDPNPGRLVGIISLSDIMRALIG HDIPLGGAGVGAEVVDKALREEEAETAGDDSFALSEGSTSAGQSLEGV L199_005760 MPVTSTQGTRRRFYNDLARDVRKLDTLALPVTIHSPSHSRGGSQ WQPQSSAWGNDDGWGSVPTSDRGGTLSPLKHNYNLVGKSIKLNQRDGEGTEKEREEAR ASIKTPSANRPSRNTRSGEKNGEEPDPTRTPPSNQKVKRWIHDVPPSSTVKASGRGTQ TTTSISEDNPTARNQSDHTHALTRLPCPKFISGDTKKEKKDKMNYLHEDMPSTSYRRY TTGATGTGTGGNYDYPTNPKRNRSPRGENLRLHHTIPFSSGNMSSSRIGENEDTYRMS SNDLNLRLDTTTDLERTLQAKKREFEDVQRRLEESKKERETPRESFPLPPRPPTAFTY HRLAEHDQIIQLRRENTSLHSSLSEARRTINTLKNRIDEFELSPRSNPEIIAKMENDL SKLSQENSSLNDVLRVLEGTLEDEREKNRKLELETQSSKKGQSACAKSLLASEIKVKE LENTLKEVEERERIEREKRDLIEKRYRGLELDYSHLAAELETWKAKTNNAYREKEGAI SKYKGKENDNAKLSDKLNLSRREKEALQARLDEVIEEKAQLSQSQVSEKGLLEDRKKL KEELHRERTTSDRLRSERNTARDQIKKMKLQLAVLHETKSQSAGVNGRDVKLHHPTPN SSEKHKPKFPLSFSGSTSEDIQETIPKKKVVVEVIADEEGDGVRYKPQRVNMEDSDEE DEYQDTREEGQNESTVKSAQSTTSPQNSYAQDIQSILSPQPLTFHSIPLVPQKLDTPI SKEEQLLFANSNQFEKTKPTEGEGINGSDNAVASRKNWLEQYAEMNVSTTTPQIVNDQ KTETDTAVEPDKKESKDPFADLDPLDHSSNSIKPQFQSPRSQPSTSAIRRSSKSLKVS LIDVLTSPTSSL L199_005761 MKGFTKALQRTPHNLTSRIGMSKKSTDVEFNDYERKFAAVEAAC EKMLKDSTVFRDSVSNLLSSGSSFSASLATLFSPMGAEYNLAGKHPQAEVTVKNITVY QGIMEEMRETLSPELELIDSRVVQPCKELHEICKKIRKTIVKRDHKLVDYDRHNNSLN KLREKKEKSLSDEKNLFKVEQDFELASGEYEHYNSLLKTELPHFLGLATRFIDPLFHS FYYMQLNVYYIMQEKLQSFADGKYDLSRKDVENIYLEQRGDTAEQIEAMAIVKRITST AKMLQAHRSASGTSTPTRTGSIASRTTSNSYLDRKSSYGSSAAAGEKSTFSPPSRTVA APPPYTSASSTTSIKKAPPPPPPLKPKPSFNRAVYATAIFDFEAQAEGDLSFRAGDRI EIIEKTENPDEWWTGRLNGATGVFPGTYTQVDA L199_005762 MTNQTPLPTYAKVLSLSQWITYFHPTKGIFRSPSSQGESIEHLD IDLRYVEHDYHQRLITKPAKNNDELLLPSGNQDINLPRVVVLTLRGGEYVQDDDSRME SLAEVLMAINPVEVHWVNAATDPSEQLTFATHLVHPAIIAAGEVWSRNGSLRKLVVQG GFPVPNLTAPTPFSLTPAATPCPSPGLSRSTFGALTMSHSTPASPATSKFPNSGLPAL STTKPKPVDEERLKARAERQRLAEYTLKPRFEYAFGGWTVDELGWRLDGRYTPACQLS IITHIFRAFGTSFPSSSRKVDIDLPSMIIFTTIPRGIINDLVELPSKLQLDEEVKAYL QDVTFVSIDKSHCPAARLFDTGMEVSEDRERQSRRIKSEMILLHSNSHLEFDLVSLEE LREVNKPTLDLPQQGISPAVSDSSILPDDTEGPTEDEGEEAITPEILNPSLSNSLVL L199_005763 MDAQPKTTIASPEVTETPFKGSPPLQDATTEEGQTQTPEKEAEA EAEKEHSKHPLAQLSQSRKNFLLFIFSVATFVDICNVSGVAVAVAQISLDINLGISQV VWIITSYSLCFAAFLLFAGRLSDLFPAQIIFEAGFLGLGVISLITSFVTSSKYGFLIL RGLGGICGAMTIPSAFHLTVHMFPRSEEQQKKLAMMSLAGGLGNVLGLVLAGLCMEAS YKWFFRLIAIICITFTIATIILLPYTGSSYSTKHDPIPRWKRLDVPGVILMMGALICF ILALTQGPIDGWGSASFIAPLVISIPLGVGFFFWESRIPPKTAVLPSSIWKITNVIIL SLAVLIAFPFWATSQLEYSTWWQEVYGWSALHVAAAMLPQGIVCLLAAAFAHAVPQVL TKPRWTIGGMILIVIAEILQVFSDGGPGDKYWRYCFPAFVIGSFGAMITYFASGINIV TYGPPEMAGVMGAWVNVLAQVGGAITMAVQAGLQDTSSTIPQWSKSGSRTWYFMIAWT VVLGIQFIVFYKTPGTPQEEHELARKRIMEKDGDLGV L199_005764 MSGITLNPEQSAQLQSEIQRELERREWAEPEDNVMAEYITVLLA NGSARERVQTEMDDLVGSDFDPAFLDWLFSAAKNITTAPSPSPVPASAPAPSSQPPVT ASRGSGGSGTRLLNTALAPLSSQPEKRKASDIQDGQNKKRVSDVGVNAPSGPRGMGNE GKSLADRISNGNAQRGRGVPIRGMAGGRGGNMGMGNHMGFRLNFQQQNQQQPGFAQPN FGQFMPQGQQEMVAQMMMMQASMAQMNNMVATMMQERQQQQQQLQFQQQQQASATSAK PSRPPSVKVPHGTKLGAHSVSGIPPKSAATPGPIPDKPSSTALCRYSIGCSNSRCPYS HPSPVADEKTGMVLSEEPCENGKECKDAECIKSHVSPAATLGDSAGPSRLLCKYQNCT NPSCPFRHEDENGNSIPPPALTAAKAAPKHKTAPVPVPAASSDNEDNDGDGDVEVVMS HKGLMDGALDDTKKEVSCRYGERCTRPDCKFTHPASRPTPKFGKKPYTSTSTRSTSST FNKSVTSTSGGGIGGGMHMSKKFAGGNEKKLDPNAGEFKHSDKELEVTY L199_005765 MDNSPYSNIIPPDRRGSAASRQNYHHSIGDEAGGGNRYGGRGQG GDGNGNNINNSNNSSGNSNLGEHRDFPQSNQATLPQQYQHFSVFAPPLSSTSTSSSTG FQHHLEPSQSRTLPPAPSFPSSFNTPHFRSSPHGYPEAMQQLSSPITPHRDVYPLHSY QSPLTGGHGGIQPWQYGGLSRRRESMPDMINKDTFNPLFSNRPMISGAESSNGNSTLL SGITGGANDGNNGLQQYVHSLTGLVQTSPVQATPSSLGIRTWQPMSSVPPHPQSSIAS STPGSASRRKRSRASTFGSRTTDDDESLNAASGSASASVPPNTTTSVNSVDDDEFHQP TSCPSAKKIIVDGQPPVTAKDFSGKVANIGTSGEGESSKIRGDMEGEEGQETDEKIDH RKRKRNRTIRSCVPCHNHKRRCDRKRPCGRCTALGLTGTCVYEIDEARDMNDPEVAEA ERLRRRIAELEQVVRELRQKAPTRSQAQANATPAPLPPLAFPAVEDSAGEDKKRRVIV DRFARFKIDEAKDVENSAAAAGTALHDDPAGPSVHHDDADYKLEPYQTYLLPGEEMSS DKTGRKVFLGALTGKTMLRRLRELAQDKGDGQLLSIPEDVAFTGVFPDLRKTYPFTTI WSHENFSAEIIGLLPNSEQAELLWQAWEEEHAVYFHPFHMPTIHVEYTDFFAMSTKDK MNVPLSSLALHLIICALGCVIRATAAELFGHPDASTARQGHAQKATKDPKDLTSSRLQ SELYLSASFQALRLCAYLANPTMRTVQAQLLQMVYLLASERAADAWTTGGTLVKQAIA LGLHKDPLSLDPKISMRQAESRRRLWWSIAGFECMLCIFFGRPSTISYYSTNLPQDRP DANLSELPGSAQQYLPPSNVLSNETTEQTYHAAFYQLTIPSFELLDRIFTVDRRFSRS TIYGWFSPPPKDNDQSAAQLSHYGPGEDPNQHTYQDAVRLAHDIGQWYSHLPPGIKFK ENDTPEYLLGSRNRRQLNQTLILSMKTWTIVMVLHRPYLRLDPAAYPESTEICLQAAH LLLRTYKSMTETKSTLGWSFWTMHYRAFQAGAVCAFLAIRQPGTEIANKCLNDLRGVI RVFEDRLSTWNVTHPVQADLCEGLVQLEKLVTAATQQRNTPQPSTTVSTHSTLSSISP NLFGMSLNSVSDSSFTTPLSQIQAFPPVSLPTPANVPKGSTLSSLPSSGPSNHTHGND TRVDPNVGGSGSGSGGGVAIGQGVDGLMGGGLTADFNGPDPLALPQFWASMFGIKLEK EKENSSSFGTGSNADTNTSTNTSPNANTNVNVNANVNSHW L199_005766 MAIPAEEVDQDLSHIHWSWPEAIAANPARSLANADLALDYFAYS PFWDSKSNNNVLRTQRRVENPTYGHAEEKVELNAFKSGFEYIVSHSQPPDLFVIQKRE VDPSGKRDRVSGMWFILQERIYQSPTVYDVVSARLRNASQLITSTLTSLSESHPSSNP RSTTQWRSLPPEVASNMKAKSTLTTAADPIMEDQADQNGQIGSKEEEEEEEEQQQQQN TFDWHLFHSLQTTRLALSKLDELSTKPIKEADPMDELKSIEAQLSAQFGISSSSSSQQ RQVRPPGSIRSNSVKGLTPNPMGLGVSPGLTVESMGQTPNLGNLNVASPRNLLGMGMS PGGVTVPGSVMGAGVGAASIGNVGSPMNLLQ L199_005767 MASSSTSRPITPLLPAKPNNGILAVNASRTNENVMARLSEDFPD AESLSRHQEIHARLQLEIMDVREEVARLKSELKRDQDPGKMSKIQNQISQLMLQINVI REKAAEAEAIVKSITTDIQRLDTAKTNLTSAIQMLERWGMLKNAHSQLKELLPTKRYK DMSQALSAVTHLLTPLKALSNIPAVSEIFRAAESDRKAIQEKVAVEMDAFFKQDPNRP VDRKTVSEVCYVIDVLGGDFRNHIIERYLQLQLAEYRRIFRSTDEAGQLDNVPRRYAW FRRVLKHHDEEDASLFPSSWEITRLLVSNFAEYTRSDLANVLGKSTPAVNILLDALQA TLDFEAGFARRFDMPFEDITAGGLTSRGASAAPKWTISSIFDNYFNVYVDAQDRAIAD MLSAYRGPRSRSSMEGAMQQESDTPVPTVLPSSTELFYFYGQTLEQCEKYTKGEPMRK LSKVFAKWLKIYSDDVLLAGIKREPGRRSLEGRDNLREVKNACMILNTAEYCQNTSLQ LEERLKDKIAEEFKPEVSFQNERDTFSTVISSCINTILRELEISCEPAFAAILKTPWM HLENVSGRSAYIVDLVGSIKQVAEVVRSRVEGKKYIRNFADKAVGVVITRFTQSVIKS RPLKKIGAEQILLDVQAVKACLLDLPEPHPENSTNIYTKYVTKNTGQLETMLKVILAP DDPPEGFVQNYCLLIGDRSFSNFQKILDLKGTPRTDQQKLLDIFLSVTSTNSELSDTS FLTHIDMDPPASSTTSGPGGNADIQRVTSPVSGSGMFSQHHGSSTGLPGLLRSASASG EGHDRSETPKAFGDFRRLVNFARRETMNPLGH L199_005768 MGAFDPIITYEKGTYIETDTGNKVSRKASITGATNIILGGKSII QTGSILRGDLRRTTAGQHVVITMGRYCLIGEGSIVRPPGKMYKGSFTFYPLRISDFVH IGPNCIVEAASIGSGVEIGKNCIIGKFVIIKDLAVILPDTVLPEGEVVPSMSIWSGNP GKLIDTLPETYQETMEARCKSYYQRFRAA L199_005769 MSLGVSPSSSSPLTTHSRPLPPNRKRTSYTPSPRATPRITPESS FVENTESDMLASPSVKEQDGTMGGLRERSEEELARAGREELEDALRREWEDKENLVQR LEHVQAERKSLEEKHEELVKGVSALQSKNDEAFNEQTRMEADLEERDELLDRLRKRLT EAERLARESQKRYIEQEQTFDIERQALQAQENHLQQRIKTLSTSRSSRSTTPVPEVEN IASLKDELASINLSHSTLLAKLNTLTRELHELKILNEELQEENEGWEFLIRERTMNGK LMEKGGILSNHLDQNQDQANNRNEEGIDSELEEEMSELNSDLENQSPIFDDDHQFFAT NLGYTDTNDFLAPPKQSRRGGRGGKNRTACHSSRNEGNKGMDLASELSMVDDDKSDAG QSGTSANRENAEVIALRSEVKQLRESNKALTLYCSKIIDRIITQEGFEHVLSVDYKTR RAGTRSTSTSSRPALKDVNGGSWGMPSASSPISEELPAILEPQTEAVKMKKARPLSMM VRAMTGPAEKIVVPPVTGATPAVPDETKSEKRARRGFSLDFRSLGFGTSSYTSPTESS KSSLRPLTLSYKSVNTTGSRSSSSTARKLEIHEEDEEDRKERHRMEATLKLMGINKST PSPGSIPEQDEEEGEGEFPMSRASFRASSGRSSTSSQSHSHRSTPLGRLSSVLGPNEV ENEFPIPLESIENPDQAVEVLRAFDEKQNERLKEMSKGQRTSMYTSPPKIHSRRISIE EKDRDQGMRDRTISKSESIKTLWSLGGGGDSRPNSGEIVIEKK L199_005770 MGHESDTNAKPQPPQRLPSSSAAFLHHLHTNPASHHITLSASHN GAGPSSSPRSISVPLEPTVVETNKPTSPPYRSPTKATTFPLSPVPQPTAGPSTFSGLS RNPSAKRARPKTAPGGKEGYTSSPPIPIDPSPVSSTDQSAMGNEQLAEIAGSGDSCLA TFAQEEAGLTEAVDMLSVSGGEEKEGKTFLLGKAGSLASGSSDSAKQQARAPSIPSMD WTTFSHAYAHGLFDPNKIPNPPHSGDSPTDVHSAHSSPGKRYSSTLPSHLGDNTSSSE GYSSKSSGGSTMTSVSSAPSTSAGSVRPGSMAAAMAARKKAFELENLPDRAHDQILRP DKLALPSYSLAAATVRMASSRLRESDFAPLAIPSPERELLDPMASVCSTDSNGTMRDS ASSDPGSSRFPLHRSMSSAVGTNTFGNLRLPTIQASPVSTPNEPHGKGIVKISEPEIS PHKVRLGVVNSRIPPASAPLEKRGGDPETPTDYFGTASPLAAASSSSSSTADDPTPKI TTGSRTPDPPARSTPPRPSVEQIPNIAHPQDIGPLYDRLGWLPAPLPPDETARRRALY RFNILHTAADLNFDRIAHMAKLVFNPKIVLIALIDSETQWHKTQSGLGAEEAARISSF CSHSVLAR L199_005771 MDGHNIGSLCIIDDKPRAEFPPRSRLILKEFAAVTMREMELWRD KLQLRVRDKIQTSMEKFTRECLEMDANSSASNAEAAAKMDQVYSRAAQLVCSTLDLDG SFILDISQIEMVHVDTPAGKKTIYRADPYSTAEQQSPVLERSETFGPVNAFPVLATIP SSMPSRSLSSHEHEKFSEFLRDHRDGKIFEGIAPIWIRYMFPQKFRYGMVVPIYGVDQ QPFALICAYTTNKAKQFLEGYELQFLRAIGVIILSAVLRRRMVLADKTKSILISSVSH ELRTPLHGILAAAELLSDTSLDSNQLTFLKTVQTCGNSLIETVNHVLDFTKLSGSGSG KASSGKLGKVNLAALVEQTVEGCWIGQRARFFMGDADIGSFYAPPTTSGLVPKSQRAQ VGEKLSHVETVIDIDQREKGWMVRCEKGGLRRVLMNLVGNSFKFTKDGYVQITLREMP HPPGSRMIPVEMAVIDTGKGIGKDFLKDQLFHPFSQENPLQTGTGLGLAIVNSIVRSE NVNGKVDVWSAEGMGTEIKVSFEVEVDDDDDDTSSNSSHISSSTTMTLGQGLNVSFLS FHPEHRGHRLSLEVLSMYANAWQFGIVEDPLISDIIVINEDEALFEECRNKGKPVLYA VAVRGMEVNQCCEAIKKNGGFCHLLYKPIGPTAFYNGLAKAIDWLNHASPGQYNHNID IDVDDTQSNHSQDNQSRPSISRGSSGASAESNSTISELSSVRFAQPLRDHRLPLQRRR SEENEQVQQVIRPSLGPRGMTYHAPRRVTSASVASDDTAQSSPQQGSASPTSTISTIS LADGGVMLKAATVPTETPRKGRSARVMVVEDNVINRRVLGAFLKKRGFEYAEAVDGRA GVDLFESTPQNYWDVILMDISMPVMNGHDATRAIRKIEATRRDAPQDIPFVPPPGRPV SIPPVKVVQARAKIFALTGLATQDDKREAFGSGVDGYLVKPVSLASLDTIFKKIGF L199_005772 MTTAQASSSSTSTTIKKKVSPLTRPSRRLLCLGIEGSANKLGCG VISHSPSPSKRTTKVTVLSNVRHTYITPPGEGFLPSDTARHHRDWIVRVIEEAVRKAG VRWSELDCIAFTKGPGMGTPLQVGALVARTLSLLHGIPLIGVNHCVGHIEMGRQITSS HNPIVLYVSGGNTQVIAYSQQRYRIFGETLDIAIGNCLDRFARVIGLRNDPSPGYNIE VEAKKGRKLVSLPYGTKGMDVSLAGILHSVESYTKDKKYISWDQPASEDVITAYDLCY SLQETAFAMLVEITERAMAHVGARDVLIVGGVGCNLRLQEMMGIMASERNGRVFATDQ SFCIDNGIMIAQAGLLAYRMGQITPLEKTGVTQRYRTDAVHVSWRA L199_005773 MFWDPLFVRGSELLGAPPDQLKLIFSLLISYPLGSLYVRLPPSK PYIAHLFSIIVSTFVVVFLLEMKTGMLHLLFSIAGTYIIAATVQGKNMPWMAFVFVMG HLLYNHIDRYMIGTSSSAIEITGSQMVLAMKLTTFAWNVHDGKQESEDLDASQLETRL TKLPDPLAFLGYCLFFPSILPGPSFDYATYDSLVHHTIYRVPPPGSSAEQAKAAKKRL PYGRKRVAYLHLTIGLIFLGIYASYGAKFAYARILTSDWYKWSIVQKLGFVQLAGFLA RTKYYAVWSLSEGACILTGIGFNGYDPKTGRTLWNRVRNINIISIETAPSFKVLFDSW NCRTNVWLRDVVYKRLTKKGKKPGTKQSMATFVTSAFWHGVDPGYYLAFVLGGVLTSL GRQFRRFVRPYFLPTPETAPPTIAKRAYDLIGRIVVQLTLNYTACAFILLGFKDCLVA WNRMWWYTHVLVLLTMAFFHFGGRRSLRKGLERRGKLSIPSSEKSKIKKSPPPTPRFK ISPPSPSITQDGSGLPPQPEDERDPSDLRWVKHALDNPPYQDSGEGMGNGFNSPDGGW VDDLVEGMETPNLEKMNPLSGKRD L199_005775 MPDNEETQPHNPPRADEKTRLHETHCDPCGDATLISNDGVEFRA SSFQLSKISKFFSDAVSLPPPPQSTQLSHQPIHLDYSENILSIFLDLTALPETYHGTK LVRIQDPRVLGKLLDLSELALCDDFSSIICSSLNDISKRYPLATLIIASKRDDFSLIE HVMRHIALNPDREYVAEDWSMLSDMISIQLNHI L199_005776 MPDEHIVIEDHPRPAVQAVEPTPHERHCDPKADVVLVSKDQVHL RAYSHRLKQVSKFFADLFTLPSEPEPINLDFDSSVISIYLDIVIAPTYCYSVIVDQHN DDFEILKPLLRISEYTISEEITKSVWQVIYEMVFVYPQKILILARERQDIDLARQAIG SDSIELPHLCGGNECEGRCCPYEWSEGCSATLNKFQRFLRPLDPAYQVEILQLMLLRS KIKADNPDVEGKKEVMKWNEEDWTWIAEKFDPGRALNEKI L199_005777 MALLVLLVTVSFLANPISARTALYGACDVKNNHLDVDTKAFVTD CDSFGYCSINGTCVPRQCRRDEYLLSSLLNTDTPIPPLCPSGTFCPDDASGCLPLVKV DGECQLNRDDECASPPDGMILVVPSPYDEPEGDGSICLLGKCMWSNVTLGQTCLIENT TYVGYDHSGMSFTNTVMRDNCIQGQGYCDIGSNTCLSLMGTKQECTTDRQCQSYNCER GLCQVPSESSIKVGKWVYALTGVSIGIGMAGVLSILLLMHRRAQKSRRIMLEEYYKEQ LGYRNSIISFHSALSHKVKDTSTSTLKAQEIERTLSNLSEITLVNTRR L199_005778 MDPAFSFTPSYPKTICKGLSIALCFFLLYHLILIITQRWTKIKL TFPDKPRKSSNGENVVELVDRCCKSLKDGFRASWWLPNGHAQTIYSAMADFSKDDQIT YQRQLLRVTDGGTIGLDIYPPLSVTLPANSPVVLINHGLTGGSHESYVRNMVVWLTKP VDQGGLGGRAGVVNFRGCASTPLTSPHLYSTGSTIDLHTSVLYLSTLFVAAPMFGVGF SLGAAVMTRYLGEQGDHCRLKGGVVLCCPLDMKVVTYGLDSPHPLTRLYSLSMSHKML RSISPHLIPSSPLSHPTSHLHIHLPEIISLTKSLRRRITLKASKMLELVACTVGGGNE LFPFETLDGFLSWSCPGNWIGSIRRPTLAISALDDPIVSSACLPYKAIRQSSHCVLAT VSQGGHLGWFEGPLVGENKHKRWHVKPTIEFLKGILDSNSTNVRENKEELLVRREGDW TWIGEVGWMVLDEVDEKGWSGSEGEEGGELSD L199_005779 MSIKLATSSSSSSSTGVKSEPPSWLDSLPPSSATSITAFSDITL GSTGYDSTSSTPRRNASRSSAKRKRNTDYRNDSSVYFVSDIIARSFEKSLGPNRQMEN QYLVRWEGYGPKDDTWEYRSNLMGGASALVKEFESKLEPSPMYATEWHTKNEMELIGG LETEDIDQAISDHREGKLPGSADVPRSPKKQKAVQPTKGRRILEILDRKDWKKPKDGK GHCTRYRVRWKEGRQMKEEWLIYGGILDRFGDEGRQWLKEWNEEMGNGAQYKKPRMTE SSTPLSEYEIERRQNMEANKELMMSLGL L199_005780 MSAEDVFEGAIGIDLGTTYSCVGVWANDRVEIIANDQGNRTTPS YVAFTEGERLIGDAAKNQSAMNPRNTVFDAKRLIGRRFDDADVKKDIKHWPFAVIDKD GSPFVEVDYLNERKSFSPQEISAMVLTKMKEIAEAKLGKTVTKAVVTVPAYFNDSQRL ATKDAGTIAGLEVLRIINEPTAAAIAYGLDEKTKEERNVLIFDLGGGTFDVSLLSITG KVFSVKATAGDTHLGGEDFDNTLLEHFKAEFKRKTKLDISDDPRAIRRLRSACERAKR TLSSVTQTTVEVDSLFQGEDFSANITRARFEEINAAAFKSTIEPVEKVLRDSKIPAAK VDDIVLVGGSTRIPKIQSLVSDLFDGRQLNKSINPDEAVAYGAAVQAAVLTGQTSDKT ADLLLLDVAPLSLGVAMQGDIFGVVLPRNTPIPSNKSRVFTTVEDNQTTVMFPVYEGE RTQCKDNRLLGEFELSGIPPMPRGQAELVCTFEVDANGLLKVSAQDRASGRKAQITIQ NSVGRLSSEEIQAMIKDAEQFKNADKDFSARHEAKSDLEAYLHTCEQSISAPELAAKI KRGARGAVEAEIAKALEVLEQEDATADQLKKAQLGVKRAMQKAMASAR L199_005781 MKSQELAEKAKVELTGRRLLWNIIWYGSHLAIFAYGWYSQQTNA KLAALNGLKFSVWTSRGAGLVLAFDGGLILVPMLRNILKLIRPKLMWLFPADENIWFH RQVAYQMVFWTMVHTTAHYVNFINVERTQVRKQTAWEIHYTQPGGFTGHVMLLIMFLM YTTAHSSIRKQCFEAFWYTHHLAFFFMIGLYTHATGCFVRDSVDPDYIPTFPFYSTEH CLGYQSWRFTIWAGILYFGERVYREIRARRKTDISEVLLHPSGVMEIRFIKPSFKYKA GQWLFLNLPDVSKFQWHPFTISSAPEDPYVSVHIRQVGDFTLALGDRLGATKGIASQK LDYPDEKGDYDYGRRKDFIEINPNSIGKGMPVLRIDGPFGAPAEDVLNSEVAVLIAAG IGVTPFASILKHIWYAQRSGQLGALRRVEFIWSCRDTGTFGWFQTLLEELEASQSNRE SSYAVKSITTSSSPLDSFSADCLRISVYLTQKMDSDTVQNITINDVGAEYDPLTLLQS RTLFGRPNYKQIFHSLLSGIESGSYLPGREATLKSRLGVYFCGPNALASTIKKEAFAV KSKSVDVKFFKEHF L199_005782 MEHGNEHFLLQLPSIDLQSPSKNTQPRRPVSITSSPSSSSSTHP YITSSTPSATSASSSATPSSQHPLAQPPINAATSATPSPAPLPFLSLAPPQVNSLRSA LVRRRSSFPPATTPGSGSASNIFPWVMGGTPGAKGFDWGSALDSFPGFNLELGEGASG GTGLTPGSLAWDTLLNFDTDIKPSPSKLNPSTVDSTKQSISSDSKTATNPPVPRARSD PLSDNHPIPPPADPFMYRTTAPTPSMLPQAAVSPARVIQLGTDLAWRTALASVVEVDG VGQVTVARVLQEVWRRGGGELVTNQCLWPSIVIALSMPSEPGPGVRVPNPSAQSAMAL QQLYNLSIRHWEPAIFTGLLGTYGSANSSPSDPPATDTTNAFAPNQHYGPSTPLKEPD VSQWMNLTPSGWNTDALFGGGNDNQTQRNLSGGFPFPLNADLGSETFAARRTSLAKTE NGEETNIKSIDEILASMEEGNVENERAMMAASLMAEPPMSIPSLPPSESGIPSAGPSR QNSTYQFPTPETDSSNSPSSGKTSNKNPTTTLSPVSMASPHVTAGSITSTLLGPSPTT SNVQSQSHPQTGGKSFSQKPRPTQLSMPPVEFIPPPPMCMFFNPSFENLTDGKAGIWR GDLEVRGRGGGKFPILVIGEKDTEHLWQSHLWPSTLAYPLNQHPVESCTSTMIPVSHL AREGLVPITMGMVLCNEPPERLAPYVNMVHGLHAEGVGFHLPCETRLPIVFLPAKFHS TDPLLRLGIAFMGKTGFSHPNAPLPSSNTTLRGRGIKNTSENEDQPKKKRRRQSAPAA SSESGGRGRKRKDSGIIVMGTGSMTTAVGKIDEEGE L199_005783 MSHSSRSPRLGTSSSPKLSGRRLSSSNLNTPSASASREPSPSRR QSAFDLGLPVATNSNSQNQNSSSGETIGRGFTLLKNSIRMSTKRGNRYVLGAALVLSI FGFWHISQISHGVGSTSSQAGGGSGWNGLGLWPSGAQWGTGSTHSGNVDSSSFVNLDS PNIPETEFVRGVAGFSYFKNLYLANGTFLAITSDPSHIPEVSHIMSAHPTDDNKYPPA GTDRWRVLELGKDDLSALGGVAVRKDGVSMFFNDEKGLKSVSFLKHYFHFIGEVFLGA WRVLTTAGEMELPRRLMYRTSPDDWRDRAALTPWFQQSVMPNTAIEESPIWEDRAKSG MAFIFDKITITDRWAAHQKGIDPWRFNKMTADLLYLDSPFNWMDPLRLSMKRLVQTKG TCSVYRKNKNVPIVLYINRQLTGRRLIAEDAEVLEREMENLDKEGVIEWVNAQMETLS RVDQFCLALKADVIMGVHGNGLSHALWMKPGSAVLEFMFPGGFARDYATVAELMRHDY YAIHNDTVFTKDKWLKEDGWGVGAIQGFHSTSIPLNGKWIASLIRKIAQDKKGMVEP L199_005784 MAAAEGSVRPGRNISGDKLPIYPTPESNPTITLIEKPNPLVPYI AQSREAVTGVLTDVRGYLQSGVGSWIGFERRVEKEVKSILPADESLNPGLIYVLISGL SGSVLTRTRSLPIRFLAPPVFTLAAAPYFLPKTSHNIRKYLSDLEDKNFPEFAARHDK FVNTGIAHTQMTLNRFKDATEDLKEWSEKSLNTLENKSGLQISNVISHNQVQKSLEQL KERKTSYETVGYVVEQKPVAEVVVPVEKEGEKKLV L199_005785 MQELVWPPPPAASSSSQPANTSLPLPHPEAYEGEPREYARALMQ RKDDIEKEIEALKDVLNSHGVTQQTQLIDNEGYPRADIDIYAIRHARSSLVRLQNDRQ TVSDLLATALQDAFSRPTLSSSSNIPNGTSHGPQPQSTSNQVNGNSFASQWPEKPIAR VNTVAPQSPASQAGLQPDDLIHDFAGVTHTSQGGIQAIGVVVSRSEGVSLKLLVVRGD QRVTLHLTPRNGWGGRGSLGCHILPI L199_005786 MSSSNLPFTRLQKETEVAILSVLRACYLTKNVQDTLVNQDTLIK KDKSPVTVADLSAQSLISLHLLSHFPQDPIIGEEDTSELRVNDGLREKVVKLVSEGFE REEGWGKGKTFSEDEVLKAIDAGSAEGGNKGRFWTIVIDGTSGFIRHQQYAVCLALIV DGQVEMGVIGCPNLGPEPAKIGEEIIPNGKGVLMVAVRGEGSFSRPLTSPTYTKLSLP PTPPASNPLTFLESVESGHSAHSIQARIGELLEVKRPSLRMDSQAKYACLGRGEGGVY LRIPTKYAGGKEYAEKIWDHASGSLLIHESGGICTDMHGQPLNFGVGRTLKNNEGIVA AGKEIHGKAVEAVKKAVEEVGVKKD L199_005787 MSADQPGTALAASVTTDKLLSEIEIGTAAELAMLIRYNTFPFGP TFVEPLDQLILEGRSHPGKSTLMRGDLVELVGSSGTGKTTFLTHLIFTTLLPQTLPDF LSTPLGGRGLNVTLIQPITHRSIIPLVRQYLRNHILHISPTTPMRMVDKVIKESLSRL TVYRPKPRWKEYALCLKKILDNATDFPRGISSSSLGGDGDGGGLDLLVVDGMGDPHYP TKWIEEQKGNNRYYDAVHKDKDRILGMEDIGLRQVMECIGRIRKEVGAVVVMGTQGLR VSKESSSLFHAHLPSPYPSPFSPSSLDINPNTKLSDLNPTYWPVNIQITFTGQLKALQ FPMETTLVEVLQSKYQERRKDHDEDQTKVYEGIVKMTQTNTGNVSTISGGKFRFGINN QGVLVQI L199_005788 MEEDRYQSLSKGVFVIPFQPDDNEAGPSRPHVRPLKKLYASALE HYERAYSEYNDERTSEQLDDISDWIERCSAEPLLKMKNPIYRLEVGLLQNTSSSLPSL LHTIGTSTYTLHGRDVNDVNAGLKAIAIGFMGETNAPAKKTGRTGIEEVERWYKARKN KPQLLLHIQEAQLISSSVLGELMYIFSIHPSLPIRLLLSVPSITHFLSTWTPLEPSSI AISMLSSSGVKKRNNGVEAILRASDTAPLKISYELADEIRSEEAKSGGGPMLVLKAIK WLLLHHSVNSPLSQLAFTSDPEQLKRVQALVNAVLRRPNDPSIPGRDLFEITINKDLS SVLNPAPRTSILHALSDCSDYVTPAIVSEERARSSSPSPMKETSKKRKHPTKGDDRSS KRKRAETVEDEIEQGEKGKGEELKELQMLYELWRSAGKSVNLWDWLEGFSGVMSERPQ VQQEKDGAEDDGDGTKEGEEGDGGVGLHDEENEARLHAIFIRFVEEARMIGLIRARGK GRKADEVVKGIGFV L199_005789 MTPHSENTNKAKHFLFVPHGLWGHLRPAINLIPNLLARSPRALV TILIPVAHYQLASKELWKYDLDKHDQVKVIYYGIKEDHEKKDHAKTDLKGMMGFINDI VKVIEDNYEKIVKSEPIYDAYIGKEVPTHPIPPGVVMIEVSTTPFTIPLCEKINEQLG LNVEMAVWTPLSSNYVAWTVCIPSEGRSYRDRVEKIFKAPEEDRTEAYNEQLGENEEV IHVLDNAPVHVFEAQPNQRDNFMEIALGCLPVLPRVTMVHSWPSFLGQEYKKGAAALG IKVPQIGPQLPKPSNHGTELVQGKLKEFLDKTLQERGENSVIYISFGTLLFPANLEQL SILLDVLISLDKRFILALGLSSEEAQLMAKEKIDNSDGKGIWLNWAPQYPILKHKATG WFLSHGGANSTMEAMRTGTPLLFWPADADQVWIANQFPRIHKAGYEFLQIRNGPNIGR TTYTGVKVNGTEQAIRDEFTEVFSKLDEDFGKELREGIRILGERMENDPFTEEDWKAF VEL L199_005790 MQPHVPNVSSPTLATPKPSSLNLKPSVPLSYPQQKENGTSDEEV KGVEDGHDGDLTANDIDGPMTPTADSHSNPSLASSSSSNIASPNPTTISLLSSSTNPP PPLSQSNNGLAEVGLGSIQCEDQLKSALQSKDRMFLLVLSKEIESFIIKLSSGQISQQ QQQQLPTESQIPMIQLNASTTIGVTPTSKFQRMLVYKTAEWYGMKAIPGQDGGMIVGL LGELNEKSTTLKLSELVPPAPSSSSQTQKFRIMQRAPTRTGEASGSSSPAEGSSSSSG TKWKTLEEREAAYAAAREKIYGKSLEADPNEITSEAIETLQNEEPPTPQEDEEIDPVP RQLYQQFDVVYPSLYHPPKAEPTAPPPINNNTTGHQYQSQSTNMYGYQSSYTSYPQQI DANGYPMMPQAYSSAQTIPPQQPQQNFGMIPQTYMDPNQNGYMMPQQNGYPVPVGWQQ VPPQQNTAYPNQMIPNPQPYGMVPQQQNGMNVPQGWQYTQAQIMQNPQQQQQQQNMPM ISQGVPYPTSYGYPPQTAQQQPPIPQYRQGSYPPLVQPTPMRPPMQPHSSASSSISSR SYQDGSRPHSRGSTTSTRSAASSVRLGAMYPASQGPGYRQRGMKGQGMNGLTSLGLGN GNASGVENKRNRGQSPSSTTTTSSRSSRRTSSIQLAPPTSSQHQLPQRPDWAANNVPY HPSPLPDPITPNAAEFPPLLRQDQGTNAEPMQVERAKIKPPVGTGLGGGSVWNGTMVK NIHHHEQQQQPPQARMTIIPPPSSTCICTPGPVHPHQADGAGAGIGGDPDFPRRLPTK TQPVLYDPSLPRQHPNQHSRPSSVNTNKSNTNTNTMSAEEIIEAKLAQISINNGVPIG SAPTTSATSSRGGDGKSYAKVVRRD L199_005791 MPITHLPLDHLLSSTSPEDFTARRQLSDVSTAIAKARRVVVVSG AGISCSSGIPDFRSADGLYSLVKSRYPDSFFSGKELFSSGLFLNPQTTSIFYTFIAEL SAECMKAQPTKTHHFIKKLEQKGKLLRSYTQNIDGLERRLGLESGGRGQGFKKRETRN IELHGDLGRVRCVLCMKDFDRTLEWLEMFREGEAPDCPSCLERSQSRINRSARATSVG TLRPSIVLYDEPHPLGDDIGSVTTYDLSRQPDLLLIMGTSLKVHGLKRLVKEFARSVH AQNSSTTDSKNKKKGIVVFVNATPPAAKEWEGVIDYHIQGETDKWVERVEEEWKKVKP SDWQTQTRLDGEMVVVAKPRAIKGKGKPKAKPLANSDKPNQPIQLPTPRPTASPRSPA KHQHQNQLSSSPIPSKSQSKSTSRSTHLDFGSDSELSDVPPTPPTPFSPSKRRSNAFD SPSKKTKSFDKDIPITGVNATPGKGNLFAFSKNNNTAINADEKEKENEDDWVDEWEVF NDSSNQSKPKSTLGLLASGGQIQGNRSKNKILGELEENIFGSNFAENRPLQTAKARTR STRVTKAVPVGSPRVRRTRKVAIKA L199_005793 MSFPPTPPNRSGVSTPTLDDASGYLLLTIEHAVVKQIYDEETMT LARGEFRLECVSLPIPKEIGHQTANPFSPSPADPPVPTHDFWLVIKVGPTFEMPILPN QPMIPSREKDGLVYTVPSPSIPNASVSFLMHLPNSQADLEDLDSLEVLLKQYGCLDKS LTALNGINPPVQAGGNSEMLAPEELRGRIVLINEDNGQVVGELEQTFDVEEDKKLANE DKNKPVMLDFGHVREGSDILKVKVKTVPEEDLDDWLLRGAHKVSQGILSFGSWSSRQM ISGANVYIRNSTPRPEPMKISPQTKQNIVKVHNGSIKAATVTKTTIGKITGVISTAAG KTYTHGYKPAVEAYRRSSTPNHSAPPLPPRSATAATGSGNIPVPYATRTPTSAPPIPA KPSHLHGQATSPVPLQRTPSPIKPGGAAVGYQIPVPSQTETMLAEEQRFNAPPSYSEK YSSEPNDYSPGSSRPQTPGSTSTPQSQPKKKALLGRILLAGEVVLTSLEATAHDLINN GTIAASSAAGHKYGPDAGEATALVGGSVKNVAVVYIDVAGVGRRAVLKSTAKGFVKAK LADGETVKLQAEGHGDGQGQGLKAGEVEIEDRDPQVGGGEKEIVVGMPEIQSKKESGF GR L199_005794 MIHDINNTSLELEFGVLALNLRNMWYQHGYSGLRYFKQHIADIY KRFELLLDEDKVDPDTVRAAESACNDLLALHAVPNETWLPYVTSIKRRLSQSSGSSLE ISPSSSLTNSPTEEKDSHTYPYDYAESKGNRLSHRRATS L199_005795 MSSYYERNNGIVNDCYEAEGTLRRAWGWGDSKAYERLKRFASWF EDIWLEIDDLNDDGQLTDRAECAALLACEELLTFTHIPCEDYLKYIVRIRCCLRPNEI WYDYPYDVTGLEETSDESSDEGMMFQLEM L199_005796 MPARRKPSARAKGRNTATSTPAVSSRATSRDSSSLSPAKSPGTE VAADEDIDIEGGDDQEEDNTQVENGDDEEEQDEAQLENVDDEEEDEDDEEDEDEDEED GSDEEEDEDEEEQGSDDNDDEEVDEEEENVAEEKDGAESDSDDTESDEENGDKEKAKS QSRPKRASGIDNEEDSVHEEDAGFTKDDTSCPPSLKFYYPLPVERLSDQPELHRSFKV ARFVRCQVPDCDCEGLEPPQDHDIEIGNIPIGEEQNSKRARTNRKQKKGDSDDEDEDG DISMSDDSEEKRRQMWINEKGWWKLCGSCNHGWDEEGHVWPKDLSTSEKRRRTNVVGR IEEILEDEKLLLTFPTPRTEQTEGLFKQLDQFHRPAGKRPTVPGLPEPSDITSPQGSG SGDTPRERGVSELDIDGEDADVERPLKRRRSGSAQSQDEIDIDDEANKPHKHGKKKPG KTAGKGREPRTVVRGMHGIVSMETDADGNQHVAGKPDQEKNEEGEEEDDEEDVPLAKR PELDEQERKRRTEIKEKEKEKEEELMRRLTKGANVDDGRDGIGEAQGIDVEIWEGVEL PKLPLRPAAIEQQNEEIRLPVVSSRNPTPVATILLIGLKNLFQRQLPKMPREYITRLV LDKNHISMAIVKRGWKVVGGICYRPFESRGFAEIVFCAVDSSEQIKGYGSHLMNSLKD HVRAAHPTINHFLTYADNYAVGYFKKQGFTKEISYPRERWVGYIKDYEGGTIMQCTML PKVKYMEVHQMLADQKAAILAKIRTISRSHIVHPGLAIFRDGKPGEEIKLTKEQVPGL AESGWNPDLDDIIRQPKRNPHHVLLQLVLNDLQNEPSSWPFTKPVDGNVVVDYYQVIK EPMDLSTMEHKLENNHYETVEDFVGDAKLMFDNCRQYNGEKSTYTKQANLLEKALDKI LKKRQSVL L199_005797 MVAKTIIQQESLLNYGCELGEGSLWDARRQRLYFVDILGCRIYT YEPSTGIHGYQSFDRNVTALALLEDDTGLLAAIQDGLAFISFDQLPFPPTNSDSTYKR LPVDIGHLKGFNRFNEACVDPSGKRWLVGTMMHEEDFPASAGGGLYAVTQSGDGLAAD LLLDQLTVSNGMGWTKDSKTLYFTDSLRKQIGKYDYDISTGKVSNKIIFSNVDDENLG VPDGMCQDDQYGIWSARWGSGKVIRFTPQGEIDLIVHLPQALNVTSCIFGGSNLDELY ITSAKTGTTDEQIKKHPLSGDLFVVKDLGFKGRERTRFSGDFSK L199_005798 MTDNKIKIALLGTGIYSESDYLPSLVHESQTHIDVEIVWSLDQT VAARFAGHFKSSGRSEPQVAVGDAGIEQILNDSEIDAVVIVLPFAFQPPLMKRFWAAG KHVLSEKPIERDVKAGLELIKEFEDYWKPKNLIWRVAEDYDHEPIHKRAAELLRDPAL GPVLYWDLQNQNYCPDGDKWQATSWRNVPDYQGGFCLDGGVHSVAMLRNILPDPPAAV IASASLHRKHTPPHDTILALVLPDSAATKEPSGSSSKLDIARQHRPNLPQPGKSSPAG TITFTWALPNIESQLPRDLQVLNITCLNGKLTLVNDSGTRTLELAPAAGTQVEAVKET SPKKGVEVELAYFARAVLATKAGRAIDPAEDYGKPIDTLWDVAVIQAMLQSNGNKVDI KQLIDQYR L199_005799 MTVKVFGSHEGRDVLAVDIQSPDGSTTATIITFGAAIRDLSVPT STQPRSVILGFDELSGYVANKQWHHGAVAGRVANRIARGQFTLDFTQYNIETNEPTGH TCHGGNSGLGHRNWTLEKYDKGSATLVYKSPDGDQGFPGNLQVSVTYSIPSTGVFRLD YKAQTDKKTPVSLTNHSFFNVDGARGPAVHNNLQQKLTIDADQYTAVDKDLIPTGELA DVAGTPFDFRESRSIELLDDRSGSPFHYDLNYVLRSPSIPGKLHRGAELISSNGDLTM ECWTDQPGIQFFDGAPMDLKDRGLGGAMNGYRAGLCLETQLWPDYIHHPSFSQSVISP GDTYTHTTEYRFTKA L199_005800 MPVHTTYKLSNGLDIPSIALGTWMSAPGEVEKAVKHAIESGYKH IDCAWGYGNEAEVGEGIKASGVPRDQIWITSKLFELHHKPEHVELAVRDSLKKLGTDY LDMYLLHYPVAWKTVCPDGVLPQKEHTPMVDGRLVIDIPLSEDFLSTWAAMEKLVEKG LVRSIGVSNFNIYKLKKLIAAAKIKPVANQIELSIQNPQFEFVDWLKRNQILPQAFSP LGGLAGQHLRQHPVVLEIGKKYGVHGAVVLISWLLSRGIQPLPKSVFENEIEANIKPV DLTKEEVERLSELARSFPSKRVVNPSSEYEPFYDVYQEDHPEFSDKAQLLLEKGTA L199_005801 MPCEGCTCGLRENGGNEEDILGQTPVGVRSFTAPADESGEPEGV EPAVPLRSKQWWNNPSDGLADMCGAYVERYLNGGLTMNEIANKHKPIIGIAQTGSDLA PCNSGHVQLAKRVRDGIIAAGGTPFEFPCHPIQETTKRPTASLDRNFAYLSLVEVLFG YPMDGVVLLTGCDKTTPALLMAAATVNIPAICMNVGPMLNGESFVVITELNGTGYAGQ RLVGSGTVLWDARAALAAGKIDQLQLMQTVATSAPSLGHCNTMGTASTMNALAEALGM ALPGSASIPAPYRERGACAYQTGRRIVDLVREDVKPSDILTREAFENAIALNTAIGGS TNAPIHLNAIAKHIGVPLSNQDWQDVGYKLPLLVNIQPAGEYLCEEYHRAGGLPAVTA ELIKHNLLPHPDALTVSGKSMGDNCRNDFSTDRRVIRSISNPVKTSAGFLHLSGSLFD SAIMKTSVISKAFHDQYLSNPDDPMAFEGPVAVFDGPEDYHHRIEKGDDIQAGTILIM RGAGPQGYPGAAEVVNMIPPGRLIKKGIELPCIGDGRQSGTSGSPSILNASPEAATGG NLGLLQDGDVVRIDLAKGRADIKVDPEELRVRREKMGLYKGPKSQTPWQELFRENVSE LSEGMVIPKAVKYQRLAQTAGIPRRNH L199_005802 MDNHTLNNNNNDGTSVEMVSRPDSNIGDNKNLDKHIENTSDTVH SEVLETRFATLSKIQVVKVFWKSILFCGILLWSALNDGFQQQVPGNIIALPAFIATMG DTVINGQPANSAKVVSYWQGFAEMSKTLGMFAGGTIMDRFGRKKAMIVSLVVLLAGSV AEIASRNWKDWLGAAVLIRLGVGLAQTILITYVSEIAPFQVRGFMLGSYQVLLTFGQL IVAIAAKLIEVHQPTQWRPLIGIEFMFTGISCLTIWFVPESHIFHARRNRHEQAKQSM LKLYGNAPGYDVEWEYRVVQEGIEEERRIMAEGGKASFLDIFRGTNWRRTLAGCVGIC SQWAAGAPIVFSYSTYFFTVAGLDNPFLVSILTFLLGMIAQGSALFLCEWVGRRPLLV GGCFLMCLFNVAIASTSFSDSTASGKAGLGCLLIWVICYGLSAGPIGFVAAGETSTPH LRAQTTSFNLGCYGLGFVVFQWSISYMISPDAANLGLKAIYIWAGLLVPTTTILFFFY PETYGRSYQELDELYERRIPAWRFKSTKTQIELSGRKNKALVHYGH L199_005803 MSTTVFVSGGNRGIGLGLVQKYAARENYTVVATARDPSRMPDVK VAAGSKVVVIKMDQAKKGGCIEAIEEAKSKGITQFDIVICSAATLLVEGYAPLRNVPL DVFEEHWRVNVLGFLAVFQATVPLIRKGGKFIFISSGSATIDQVPRGYEVTYGISKSG ASYLGHFAHYEEPDLIVFPLDPGWTQTDMGNASAKNAGVDAPPLTIDESTSGMIKVID EATRETHGGKQMRYDGGQNKW L199_005804 MSPPAEPEKRNYPAKRLKANSACRACRARKLACDGERPCSRCKQ DKKGCDDDEGSFRSPLTRRRMTELEDLIELHASIWHAAFPNFPLEQAAARSVSATPKE IAQEAFRSAGLQQPPPPPVVDALMPSLPSEETFSSLPDAPVMSHQSFDPPEPSMLRPE STAPFMPQSHVHPQPVNSAVDRQPPHISPMSQLRSTNNATEKNYPPTRPASPTDPPFL QNVYEFQSAAQSTVNTWSEQHALQTGNTPPSHLDGMGATVLDTTMEMNAGAGYIGMSS GAMLILVLRRLLNRDSLLSPLNGYSLHTLKPTSHAQVPNISNYLPGSGARRVPTSTSP LSTSARMPRYREFRPLVDSYFEYFHAIIPIVHEPTIRAQLTGALPLPTSGGSRVLIFM IFAMGEFDLAQIEDDDNGYRYYEVARQAYQPEMMEEGSIELVQGLAIMAHYLQRNNKP NSGYVCLGTAIRMAVALGIHSSNAHHPKSNPLAEEIRTRLWWGLVALEAGCSTTFGRP HGFGHASYLVARLPINCDDDDLTVTDTVLPEDADHVALYTALLMQTKLAKKMLQLQDR ISRSLPYPTVEQIKWCGQSFLADVRSYPAYMQPGTPGPFRLARAIQNWRARDYASILF RPVLLSAAWNSSGPHNAGADLTEIIDECRSLATETLQELHAFGGPGRDPHRGSQWYLL FYEVQSSLTLLLSAVWEPQHPSAEEWRTAVSQSIQRIREMPSVAKMGLSYAQTMENIL QAQSTLGSNDGFMNMRTQEQTQPYTDPNGIDWNQILLEMLATQNMSQDDFQGDNIFPF SQN L199_005805 MSEQPSDTSKGQVNLPLSINPHDLIHPTRETMKILWDACAFDIS ESARLIKINRLSPVIASREIGNSQRTAHASNFTKDLPLLIGALSLVPAHHEVSRMCFA ALASLVPWSSDRFLMIYEQARDTGLAAMEETIFLTIAEVSIAMCKEVDAFPEALGDLV DTALEIYKWLPFTSYGGMIKWTELLEEAMVRWPYLYKYDPIRSVLHTIRNQKKFWSEE DKDGWAHRALSKYDILIAPTLPIIPRPSADASIRELMENAAGVSLNTSAFNLTGLLAL SLPIGFLPSLVDGKTRLPVGMQIISKNYEEAEIYKAAHAWENDNDWHTCA L199_005806 MFNIPFISLIVILFYVAPSAQALKSWYTPPGGTPAGSVAGDKVR GVNLGGWFILENWMMPSFFEDEVLKDTYINDEWSYCSYLGKEECLNRLEKHWDSYITE DDFKRFANYSLNTVRIPMGYWSWTDPEEYEPYIQGQLPYLERALNWSSWYGLDVLMDL HGLPGGQNGQDNQGYKGPIEFQNNATNMERAMDALANMTKFVTQDKFDGVVKAIQLTN EPYILEYSSSGMDFNVLADFYVQGYNTVRANEHILSGSNEVMVVIHDAFQPVLNWKYF WSQESMGLNWTNYALDSHIYDAFGGSNLKTYQEHLDTICAQAAAISEAQTYFPVIVGE FSLGTNTYCVDYQSCFGLTLDEVISNITSSYEANLFMRQFWEVQSDVYELGAGWIFWS VHHELAGPWSWTQSAAQNWLPEDPTEKIWPFYSNASSYCLDTYNPIQGDQNMPYFPTY ANNYTNIDISTVTRKYYVNSTGTEAIANSTGVTSTDDSTSTSNSSSSTTTNSTSSATS AASALQRALLGVGGWTSLLFVLGSITSIL L199_005807 MSSRTRGKRAAAAPAEITAEASTSRSTRGGGKRAKAQPEEDITL GDEEALALGEAEDDDDDAEDGEEDDYQKATPSKGRKGKGRAKAGAASKGRNRGKIDIE QDQDEEQEQEELKPSRRLRKSVSYKEVPVEAPEEDEEEDAEGEVEDAEEEEEEVKPRK RQPPVRLSSQTSNSTPRKRSRPSIKATPSANAVPAATGEEEDEDEDRTDTPYKFEKIP GGSGRGGFSVKGAAAAAARARWDKVRREKIERGEDPDEPRSSSARKPKRRREPLVPDA DHVEMGSTMTIKGQVYTVGDDELVLDEDEKGNTKIDVEGRLLGGREYKLVTFTSSTRR NPDKLYTMTIDAARALGYTDSLAFLRRYPQILKLSCTADERQLLIDIGRIAGNLKHRQ VTMVSVRNVFKLMGARVIKGGKWVTDDYYETEAIERCKEMGYEPGTLAENEEINSREN QMTRDLGLGDSNTNGPGGGRYSYSLTPFYPIGGPTTTFAGNGSDPFTEAGSGNKRQKL KSAGVNDQNWIYLTSKDTLAVNGQLKEYRNQRLNTLDGTDLEGNWVYTNEKNSDQKEE QVQEEDKKMLAPGLDRKRSGLSHDVTRELTQQLENEVIDGDVTQEDIVMDGSKGIEVS IPILQEQGPEIVIKDPREVDSKYHWGLGSWTRGVVKAAYEPHTHIPHVPQYTQPTTSS PYERISYNPVISSSSTNNHNLVQSTLSGPSSRGISSVEYVIENNHDYDKEREERIRLV REAEEWEKAMRRRRKGVSVGVN L199_005808 MKLSALTRPTKVVVRNGIRTLLTTTTSTDSAPHAYLRPYLQSKD EAQPENEGLEGVMCLVLNRPETKNALSVRMVEEMREGIAKLDSMPISSARILLLHSSQ PNLFCSGADLRERRTMSASQVSSFLDSLRDMLRELEGIKIPSIAVIDGYALGGGAELA LGCDLRVGGENTKIALPETKLGIIPGAGGTQRLTHLVGVSKAKELIYTGKHIDGVEAE RIGLINAYSPQPFQSALSLSRQIISSAPLALASAKTAITSATRLSLEDGLDLERRVYN KLLDTEDRQEGLKAFKEKRKAVFSGR L199_005809 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLKVLANKYNCDKQICRKCYAR LPPRATNCRKRKCGHSSQIRPKKKIKN L199_005810 MSLRLPSQNKFRPTFKPGQKKAPPVRPSANTPASSQQTIAPSFS QPLPSSQKSAPSSSQPSAPSSFASQPSATTPTASTQSTAPPDSSPQPTQSTNLVPKAI PSSQIRAILSNKSSTPNVTIPTQSSPVTPSVTVKLNEASSAPSSSATVVPIPAPPTPQ STTANPSSQTTSSPKTTKTPLGPPPPPSPVSAPRLSLLDAARNHTSDTPSPGQIRRRT PSIASRSERAPSATPQPQQASSVAPSIRGSSVTPQNPREPSATPQPPSSFGAPPSLGV APSPRQPTSRLPPSLGVSYGSGFPPSLGTTSPAPAPAPVASPSRAEAEALAAAAVGTI GRIGTHHTSKRPARQGGRRRVANSASTGSKTNVPVVEIPNSRATKKAPRVVRENTEDR RTSEEEEEEEVEIEDQSDAGTNTGGKRRSSAQLTEDEDGRPIKRQKKKKQKKAPGVAT ISLQDIQPDELVGDQVDEVVITMGDLATTLAAQGRVSSRAIRIDEHRREEDQKKKEAS RLRAEHAWRRDQIKRRKVRHTKNRDRARRREELGKLGMDEGIVSPDEDDSEEEFEPEP ERLTPESTPEPDARREESTRLQGEGEGEWDEDGEEYNDVPVDNNVVPSFMDGEDEGGS QAGEEGEGDDDGGAELTAEDIAAQEEADADMAALRELGINIVDDAGEGVDGEEDYEEP NWEVEDDDYPDIEGYRRDLERDRRRIREQQERGDGEVVEINDETRFINAASFAKYTKP QRWTTTETELFYQVLEETGENYSLMKAYFPGRTIKQLKLKGLKENRLNPDKMTAAILA RRPLDKEYLTKSSGFDSTRPWDKEEALFEEAKNDADRLKRLDSMRPDGEIEEVDEGEG GEGGFDETMLQELDAEEDVGEEQDEEEKDGEDEEQVNYQDNEEEY L199_005811 MFRPALLRTALPVSKRAVNGSPMIVRMASNSPITTSGTPDVAET SYIPNRLTPGEEIDPQLNGYPQLPYVSIQAREPFGWWDRQERKNFGEVMHEEEDAIGM WGPDVHKTSPQSALFQLSVAFSLIGLASYVLIKNRPERPVAQRTYPYNGLEKELGGQS YARPEEVDEE L199_005812 MGVKGLWSLLNPVSRPVQIESMEGKRLAIDSSIWLYQFQATMRD KDGRVLVNAHVLGFLRRINKLLFHGIKPVFVFDGGAPALKRATIAERKKKKAGAAANH AKVAEKLFAAQMRREAVKAAQAIEEQRAARSAASAHQYPDEAGEQISENAVYLDELES GAGPSRPRPQLVERASSSSTSVRPSTPVGVGEVPTDPEKRRKHFKKHDPYRLPETTMP SVSTTERPDARLATEEELKQFIDEVSPDDIDIESAEFRALPTEVQYEIIGDLRIRSRQ QSHRRLADMLRAAPSALDFSKAQIKHLSQRNALTQQLLTVTDMVGKAHLTIPVRIAAE RNREYVLVKRGEDEGGGWALGIREGSKEKPIQVEEDEPKVESESSSGISSDDSDIEEI DSPKPAVVDSDLREHRRREILEAIARRYAPARPARKSLDVAVKPFGASRQAGSKPLFD AAEEEEEAEVVPTANDEALALALQQEELGSDEEEVDVDLARALALSRVEKERRERSVT DEADWEVQEEDEDMEEVDLVPNGATTPVLDQRETATPLEEHDDNDDDDEFEEVATDYA PSRSQSLTDIPSAIPPDQQDDDVPIITARQNAASTRPAGVADHRPTPAEVIEIDGDEE EDDASLFPVTDQATISTKVSESSQRLKEKLIPSTPSQTSPQHIEVDHSGDDFQIIPEL QPHLPSPPPQKVPSLGTGICLEANKASLSTDKPAPTPAPAAPQPSLATVRNPIQRMPS ATVKPSPLRRVTQPASSPISVDTEDEIASAPAPVPAPGLLPVPAQKSPASMAQRPVSP VQTLPDIPLVASPSPSLAPTDRPSTSASPAPAPPLFSRAESTSSEGEEDMNDSRSIEW SPSPPPLPRPALQPTDSATTIPSEVEDQDGDLTTGDMAAEEDDYARFLAQIKGRDLNE VRTEIDDEIRVLNSENRNAMRDSDEITQSMVTQIQTLLRHFGIPYITAPMEAEAQCAK LAELGLVDGIITDDSDVFLFGGIQCFKNIFNDAKYAECFLSSDIERELSLTRDRLISL AYLLGSDYTIGLPGVGPVVALELLANFPGERGIENFKDWWIRVQRGIDNSKELDTKWK VSFKKRYRDSIYLTGDWPNPLVREAYKYPTTDESEEPFHWGFPKLSALRTFLHEELSW SISKVDDELTPIVQRIARRGKIGALNKQSTLLPFFDVSVVTGNYAPRRRTTANVSKRL MSVIKSFREAEAKIKGEDVGEMGWGEMMVGLDEEDPKGKGTGKRRKTTMDSENGSQDG DGQVKKRRMSSASVASRGGGRKRAGTNGSAVSVTGSEGSTESGVSSAGRGRGGTRGRG RGRGKGKGKVSEVQDV L199_005813 MSSSRPSHPNLPPSLQILYQKQQEYAGLQALREASAEMVTRVEK LAEMSNIMADGGEAVGGVLRNWPHVFSILNLFAQQQSERSDTSIQEDEQEEQEPLPVL VRLPYGGETTTTSTSTSNKNGTDQQDTTR L199_005814 MATRKVKDTQLYDVLGVKPEATDIELKKAYRKLAIKWHPDKNSS EEAEIKFKEIGEAYQILSDPDTRAFYDKVGKDGMNRPEAENMDPTEIFGKIFGGEAFF DYIGEIALVKDFTTTMDVVMTPEERAEMEAAKEAGETDETVPGDAELAAAAAAGATTT AASEGTTVNPTAAAAAAEESNTSLAHHSSFSASASASGSASGSVSVGGGSAGKDKKGK PKLTPEQKAQLEALEKKQEEERKARVEALVQKLTQRIRPFVDAKSPGDKDDPETKVFE SRIRIEAEDLKLESFGVEMLHTISQVYITKAGNFLKSKKFFGGGFFGRLKEKGGMVKE GWGLLGSAIGVQSAMEELERLEAKGDATPEEMEALAQEVSSKMLLTTWRATRWEVINV LNAVVDKVLYEPGIHKDVALKRAKAILTIGGIFKDVKADESDDERRELERLVMNAGKK KEKTKEKKSSGGGWFGGKSSSPKPDATVTGEKPEVPSEKKEDEKATTA L199_005815 MEDPTPLAGPSRPRRPVDNADIANKIFALQRKNASSGDSHGASR PGSRRTESSERERERERHRLPQIGVLPAVGPSRSYPNPPPSPNRSATPRHPSSPRRTH PSLRPSPDIDEYSRGPPPNTSSSRALFDPSRPAPPQVQKIDRSPRPVVEEERVRRRLE SRPSSSSSKKLFDPTIHDPMHFSPSPRLPLPDSSDIGSSSSSKLLLRRPPTGVARTPE EEADRERERRKRREGSERGSTNTNPKKKDSSDTRSKGSRSSEGSESFKDRERGKNNSD TGVKPILKKFHDEIKELENELIEIHRIMSNDPEYGILGLLDGGNRNTHSRNRNNIMGD QESAAWIDLIAKHKRLAELHDHFLITLFDPLVPSSYHQLSVKYNIPSRLWQTGFHLLL ERLRYAWMSGKGHSTATALDFLTDVVYDAYRFYSELLENQALSNFRTAWIEALGDLAR YRMTIASHVQDPPTSTSSRDKLGRIDVPDDEGEEGQRPEPSGASIGAEVAQSWDVEDK ETWRTTARDWYNMGINEKPGEGRLHHHLALLCRDVKGQEGRALHHFVKSLTVTHEYPT SRESILPLFDSALQNQRSLPEATAMDLFIRLHGMLFTRISLDDFDAVMSRYMERLEED ARLDGVSRKVVIGQTDWMIMASVSLGSIMQYGTSSGIVRKALSQEGAERRRAQALADN EDGEMEDGDNQPNNEGLTVDGITPLRTISPLPINGNGNGSVDADEVQPITFTYALKLA FAIFEFVLSHPNRIQGFHQVLNPFITIFLTFLATVFRQPHVGSALLLSVPWERLCQFT NSTNLEINEEKRLVSGLPLPEDWLLRGNEWVGRRVYERGFWKSKSTSATNGNGRGSTG SGSGGMVQPRKGGNFESEMDVLLANFDPPVGRSLEDGVVDDLEGDTDSSSAINSRRYK RVVWAMGVMVKFVDGLELDGDGKVVVDGTLKERLMELERQKGREREEERRRDKKRRDR EREEELEFEMDELDVDVEVDLSGEEDEELVALRNRRRELQGLLSTSSPLPTSTARSKK SKRSNLHVVPGYTMLLFDTNVLVDSLGLFSKVVEGGQWSVIVPLPVVTELDGLSKEPG SLGTNSIKTIKYLESTIRTHSLTLKIQTSKGNYLSDLLIRTESLPTTDGDEKDSGRTM DDRIIQIASFAESNFMDRSSLLGMPSISLQEKETTSKVLVISNDRNLRLMAMSKGLRA VDERELKSVLERV L199_005816 MKFSMTISTLLLSLGAVSAQLTVTEPRADHWWVAQSLNTLAWEG SSPDQFSVFLSNSDTNVLTSILALTSVTYAYDRSKTINPGGVTPSGGYTILLTNPLNS SDVYAKSETFEIKAVGSTYPPQDSAGSGSSASASGSGTASGSATSGVASASASSNGSS SVGTKLDVGKGLMSIISLVGGVMALF L199_005817 MGIFSHSAEDDVLVSASFPETNPFGLVVNGEQNGLLLHLVNQGT KNYTLVSASASYHDVNNHWATVKNASTLKYNVPIVAGSNLSAPYQVYSEFRPQELGLT VWVNLQDTSDASKGVHQLTAMNQTVSVVEPTSSWFDPSLLFLYLILSTALLGGAYAVY QTFFSTPTSKKGGQKKKVKAVVPAEQKSVYPNVKPYEEDWIPESHLKNRQSKLKKKST TAGGASSGDELLSGGEVTSGNEASGTEGKVRSSKKKGKKN L199_005818 MGEEHDDNDEEQSQTMTQSGLSLPTRSASVKVFQNGEAGDPDSN SGLVRRDSLEDTKSFYGVRPTSPPSLSIHRPNSSLSKVSKRIRSSSLISTTSSIAGVG SPPRISAPLPTPKGFLSPKKPNLAIRLEKKERSSTSSTNLRTNYHTHEDGRVNGHNGI DQYSNSNVELISSRSISPLQEEEDSEQPAIKGNRYNTFVESGLALSDIGSKSGSGKAI QQKSSSISLTFENHNNIHTQNKLNTTTVNLNSNSNWDKSIGRSITEMSTPPRTANSEL SSDELVNSRDRDSDLEKGTVPDKSNTSKSKSKSTSKSRTSLAKNGNRSGNEKPKRKYE SFENPLTTFFWNGKLMTGGDNWWSILMITVFLLGLSGVWLGTTGAWLWVNGREYGLVR GGGVAITIIFVYLFGITTSSLIASAFRDPGIIPRKLDIDPPMSRNDDYWEAWPRDIDV NGTKVTVKYCETCQSYRPPRSSHCRLCGNCVDGIDHHCSYLHSCVGKRNYFSFLVLLI SATIADIYIIVFSALHFSLLCHHDHISFSKALSESPGAAVSFLLGVILILPIMFLLWY HLRLLLYNITTVEQIRANTSNNLFVSSKRPDNPFGSNSLFDNIILASIGRPQFPSWID ANGIEEVDKREVNPALKDLRWVREREGL L199_005819 MSSPLRIGYIGLGAMGLPISINLTRYIKANNLPPMTVWNRSPAK YDLLKPHAPDTHFVESVAEVVEKSDMVFSMLIDDKAAEDVFTKAFNHLEKKERKGVVF VDQSSLKAITSGKLAEQASSVGATYLSCPVFGRPPMAEASKLLIVFSGPEEVREKVKG ILIPAVGDRVVDVGEDVKKATALKSMGNMVLLGWIQLLSESYALGDGIGIDPEIFNGF LQQFIPAPPLLAYSNLISKGIFKSGSGFSIDGGLKDARNMLTLGEDLGHPVNLPTIDL AMKNMERSKELGGKDQDWSALAAAVRESNGLEPFREGTNGGKGN L199_005820 MFSSPLRSPRPQLPVDNQDISPLLAAELAIEFNSLKAPNGCPEG IYITPSQEGLMRWNGVFFVHRGPYAGSILRFTILFPPTYPQNGPTLRFDSDVFHPMVD PKTKIWHARGRLSQWRPRIDHISHLLHSLKKSFKSKELDSITEDEAVNKQVWSLYHHS HQTFLSLTSQRSLHSSARSTLFPDEYPAPPSPTRARKISGQSMTEEVGKAAIRFREIS GEEEKRLWEGLKRSLEG L199_005821 MSIPEITIHPPSSTHPSLSEGTFDRSWFMGKWGVAWSTLPMWKG KKAGAENHTKFEDLVEYRKESAAEGSKPSTVKGVDTLTTGANAATFDWKGSSYLFFVH SHWEVLGFGKDEINGLEWAVTFFSKTLFTPAGIDIYLRSSSSYPSQDSQDTAIRSDLL KKIVSAVSEISEGGVSELARSGFEIPGIV L199_005822 MTHNLPQLGDDILSWKLMDIERLAVEKRKGPSKPGPYEAVVAPE WNGLCGSDMHIYLTALLGEEKMKEPFVLGHEASGIVVQVGEEVRGLKVGDRVALEPGE GCRRCGDCKKGAYNHCNSMRFAAADGLDGTLQGLYKLPADLCYKLPDNSSLEEGALME PLAVAVNAIINIANLPHAANVVVFGAGPVGLLTISVAKALGARRIIAIDVQQQRLDFA KSYVASDIYVASRMEKGESKEVYSQRHAEDIRRSLGITDRGIESIDVVIDCSAAEVCM QTGMWLLRRKGTYVQVGCGPLYVNLPMRIIADRELTVKGCFRYGPGVYPMAIDLVSRG LIDLKPLITHKYGWEDAVKAFETAKAGKGEDGKMAIKVMIGPPPKL L199_005823 MIRPSLTLLNKFPYTPGPSKYGIYPLLPPSFAMTSYPPVRPVPN SIPRPEYVPSNFFTADWGEHDNVEMDEAEVEQIELGGEGEMRVREVAGLAREVLREVG KLIRPGTTTNELDKAVHDLIVSKGAYPSPLGYSSYPRSCTTSINNVIAHGIPDDRPLH PEDIINIDLTLFYKGYHGDTSATFLLPEVDKQGTDLVEATKEALEVGIKACNPGRRYK DIGKEIEDFAKSHGFSVNGQFSGHGIGNVFHRPPWIFHCRNDEPGEMVPGDCFTIEPC LVQGRNSRGKLWDDGWTMVTESGARSAQFEHQVLVTEDGVDVLTRI L199_005824 MSFGNSAEAGPSRIPLGDTSDAQQAMIEIPSTGIKFFEYRRRLF LAGFPLPTPPPGGIIPPTYLVPAPPDPLPAMNPSDVTSSAVQRLENVLKEEGSEELQE TWENGVGKIARSLHDGKRLARGLRLGLVIKILKASWIQDGLWPKNELGRPVKPPNSPI IEGVELFPENSISRPEIIAEVEAERKN L199_005825 MPPLSKEELWSSGRDETVEVNQRALIDKILARYSGEHTIFRELL QNADDAGAEHVQVKFYTASGLEALDKGEGPSKLPDVKKDMMHRYVVTNDGIPFRQQDW QRLKKIAEGNPDEEKIGAFGVGFYSLWSVCDDPFVESGDKWMGFYWKDGKDQLLARSG DLPPSASSPTAAEQSLTGNSWTSFTMGLREPSLLEGPLDLARFFITSITFMRTVRKID MLVDGVKVLEVEKSVKGKSKVTKKGMKTSSTNGMMTVMGVDATGMTITAKVMQWLSAT GFTPPPIPQAIAQLAKPAKGFASMLASSFFGRNSPAPTPVALPPPPPPPEDPFEVTTL HRDIQIYQADIKVAVSPAFGRELERATKKPPPTRMPASVVYSRGEADEEAKTEDGKPA AKDIGGVFGGLCPPLDSEKSAKVFIGQATSQTTGIGGHLAARFIPTVERESIDLVDRH VSYWNKELLWVGGYLSRMIYELELQELRQSWLQTSVEDKEKREQLLKRGLHALRFFTF KTTTPSNVVGQEMESAFYGCASDNRTFPIVSIAGILPISEVRMPNADLQKFLPDLPVV TPSAIEEAPKSIARLRERSLLKDVTFDDVVKQLNDRPLTEKEMINCLTWWQGVANSDA INPAIRRKLVDAAILIQENGKVLPLSTVQTFVKPHNAIIPPDMPLPAHTLPYTITKDL KANSIYNIFGWTELSVLQYITFLVNPPMSNDTQATPETDIRVSADFSEKVLGMLGRAW ANLAANQQTAIALELKDIPCIPTKAGFKKAGEAYFEKNLLFDDLPTIALPKGTAIKGG MEKMLLAIGVRKTVDLQLVFSRLVGGGSWTCQDLMKYLVSVKDSLSDEEMKRLKMTAA FPLETEAQEDGSQKTVVRQKPHQLYEPTEAMRNLGLPLLDWGEGKWRSNSEEAKMLFT LGLRRFPPIDVLLGIAAGRPPANDKALQYLLANSHNHYPMFKSEAFSGVAFIPAVTYI GDHILAKPGQVFTNPQCSILGFSVAQPIVAAPENATKLGIQSDPPMSQLVEALKANVT RDTDKARKIFDYMSTRLGHSLSSSIDSLRSFAFIPVKSANGDIIFYKPTQVYFVAKEG GEDLYKSAFTFVDFGDRANIFLRYCGVRSEPSVRDIAQLLMNEPGRMLEQAGSAERYL EQLRLLAANWTIFDTPTRTAMKTAPFLLASQRVPSRKSTKKLLNSWTGGNEDSEYERE WVLCKAADVSVVDSVTMLQYFGQYILAAPEEHILEDFYASLGARQLSSFVRRQYISDT PSTIPSDHAQALRRHVLERLTIFLAEARRKQSEYSSDQLSKEGNFTVQEVRELKVKYT YKNGRNEHHHYETLYATASRGRGKAIILTISTTAQMDDYDIASALCDILLKSPKADDA LLLYSILSTPLMALKKRGFNVDRILNQQKEEKLRIQAERKRDKEKAASKAATQTALTT TKRSSMGDLDDTSSITSSTTAIDSEGSTNPNSNTRKHSMGGLLDKFKNRSSMIKSPSS TPTAPGQGIGENIMNQLQGWGNTARSGGPGTSSSHSTNGMPGAFNAIGSGSGAMKGPA PSWSTKQPTDLNNIRNTVQKALDASRPEKGTQINDSRQAVRDVSESQDTYCDTTAQAD IVLVPGAGVRGGLNIWVPRDVPDQAGFLIGDKMGACYRFSIQILLPICQVFNLAPPVM NVFWDHAGPLIAFNRGGTIFCNARYYQAWHDDQVIRGQRHEALISWYFSIAHELAHNL ESAHNSAHEFYFSSIAEEYLIKFIELLARSQRDLAQQHLLD L199_005826 MSSLARLSLRMGSSAGPSSRVSTTAVNRLSSISRHLSSSATASD KATSSKMSSPHDDLVLFESHHNARLYKLNRSSKLNSLNQDMIDVLSKKIRNWRELESC KVIIGTGDQRAFCAGGDVKQLVLDLKEGKDTALPFFKSEFELNWTLGRLGKPYVAVID GVTMGGGAGLSLPANIRIATPRTIFAMPETKIGYAPDVGANYYLAQLDGAIGAWLAVT GQELYGRAVYELGIATHYVTPNLLPTIINEITSLDSPTPQQISSIVSSYTSSPSASSS EGESSKSNPDGYSTIKGEIREFLDKTFSLKSIPEINKALTKAQNDEGLSEDTKKWAKA QQDILSQRSPTSTAVALTGYRKAKEARRLDRTLLNDISMATAFCGPHRSTGDFIKGVS SVLIDRSKTAPEWIPGDLSDPKLSLGEITKNFYPGKPSEGQPELELVPSSASKLDSGR DSSWNQFRKFGLPSETDIRSSVDGYSPGSGAFALTEQELVSQFIENHGSPQGQRRKEI EDRVRDVVQRRCKKDKQGYLEWK L199_005827 MASTFEMLISTSPAPSFIYLHHPHHSSTSLPLPSFSTSSSSSTS SSTNTIIKIDTVEFNTPRLFFSGILNKLDPAGEGQGEIQTFDGFTQRLKSRNDNPTSA STSKGKGKGKAKASAGTKVNGTNGHLEEQDEDSKTIVLVITRAERLRLVFGNGWSLMT RLAELTGVPLSVVFCSSSPWDHTRPIRGDAPEPVHVYLPAPTREEILSTLISSSSHPL WPRFLDLLLSTILSLCSPSIEEIQYLSQSLWPIYTSTLPPHYSMIHIGLPYPDPANPP AELEITIKLLTDLKHQLSLSLTSAIENLLPRQIGSHEFTQALLPKMQNGIPLTRTLPK PPAMDLSTVEKFLLVAGYTGSYNPPKSDIRLFGRTAGVEGKRKKGGGMRRAGYGRVRV GKVPQRLLGPKPFPLDRLLALFSSLYAEHAERPEDLQASFGGEFSSSGEEGDDWLPSV AEQSAKVERKRKRDNEREKRWDESVEELSMSVKLWGLIPQLESQGLLKRISPIDRLDN IMIRCEINYDTAKYLAKDLKFNLDEYLYEAVM L199_005828 MPHYPPRPPPGIRRLIWNQRIWIESTFATSMMQPWEKALILTVL SFVTLLIWFSLYTYFPSHVAYLSRRWSYYVYGDETVEVLAPIKAYILAQIGRVLGRVK SAVGGQKGRLEL L199_005829 MTSNQSTITEEWVLGPENTPFYTKRWAPKDEEPKACILFVHGFA EHIARYDRFFTLLSSPPHSLHITAFDQRGHGRTSHTPLTADSAQVQQWKKEGKTVKLE KNGKRRTGGWAKALPDIEWFVKREYEVAKGLGKKLFLHGFSMGGAEVLAFATRPHPPP SPETVKLLSGIISGGPLIRQTKPASSIQVKAGSFAAGLGLGNMLIPTPMDYSHLSHND EINELCKFDPFCEQTGSLRGVADMLNGGISLDTPAAWNSWPEDLPLLVYHGGEDNICD PGTAKRFGEKVRAKDKKVEIIEGMYHEVHNELSPTPENLAKTIADWVHARAGPSQLSV VAEAQDHGGVVSAVEGAQSAAVGQSKL L199_005830 MQSLNALGNRQIASLNADLNRMENGEGGPGVQGQITTTLGALSR LIDDYDSMARKEMVTAAREKANTRVAKLKNEHKELKARFERAKNVGQQKARNDLLGTS STSATSSSSGIAQRRSSAYPSSNSPIHESPFGSSSSSSHQPNLWQPNHPPTARDEFAL REHSFLQESENHIDQYIAQGRAVLENLVEQRGILKGTKRKLLDAANTLGLSRETIGWV ERRTKQDAWIFGAGATFTLFSFWVIWHYLG L199_005831 MPVKEKGSPSKHRKAPEAWTAEEESIFLEVIDKVLKINLYREIK ADGRLQRESPAVRAHLIALMNKLKKGQ L199_005832 MKSNKVEKESWNDNHNLALLRASISLLLNHRPDIYATPALRGVS ENGGNRINQKLQQMLKKLCAAYPGAEGLVEEEIQYLKVKDEE L199_005833 MNTSVNAIAGPSRPLPRQSARPFVSADNRPSEPDGIFRVVLITS GSVASIKAPDIAAALSQSGDIALQIVATKSSTHFCNQRTVDAAIRKSFGISEDENVPD DLGVRVWTDEDEWSDWKKVGEPILHIELRRWADLVVIAPCSANTLAKIAGGLCDNLAT SLLRALSPSTPVIVCPAMNTHMYQHKFTAKHLRILQEQLEYLILGPQGSGILACGDDG PGKMTDWREIVFTIENFASIHKQRIDQRRMSAVPPHRHLPTTEIPSADVISNRNGDGQ NQNQSDRPKTPPTPGIPTSSVLPNRLNNMTVDETNRSSVSDVPLVETQGNPIETSLAH WKKMQNESYWQNKWWAFP L199_005834 MDNFTDKSAQVLKAAFDKAEEMANSQVYPVHLISVLWEEPSEGS SSQPDQPTLLKAALEHIGGNPTIFNRALMRKLNNLPVVDPAPAPPLPATQPFHSVLRE AQKLQKEQNDQFVAIDHLLLALLKVDNSDLKELLKGTGAEPKALEAEVRRKRGGRKVD SKSAEGQFDALNKYCTDLTALAEQGKLDPVIGRDNEIRRVIRILSRRTKGNPVLIGEP GVGKTAIAEGLAQRIVDRDVPASLLSRLLALDMGALMAGAKYKGEYEERVKAVLSEVE KSGDEGQQIILFIDEIHLIMAGKDSSGGMDAANLLKPMLARGKLKVIGATTLNEYREY IEKDSAFERRFAQVIVDEPSVPDTVAIMRGIREKYETHHGVRIMDSALVLAAQLAKQY LTARRMPDSAIDLLDEAASAVKVARETRPEAIDELERKKLGLEVEVHALEREKDEASK ERLEAAKKAIAEVEDKLGPLKRQYENEKHLGDEIHDLRRRIDELRAKADEAERRYDLA TAADIRYHSIPQREQKLKELEEREAERGTGQQVTPEMIAEVVARWTGVPVSRLVETEK TKLLRLEKLISKKVIGQPEAVKAVANAIRLNRSGLSNQNRPIASFLLVGPSGTGKTLL AKTLAGVMFNSEEAMVRIDASEYSEKHSISRLIGAGPGYIGHESGGQLTEAVRRKPYS LILIDEIEKAAREFHQLFLQVLDDGRLTDGKGRVVDFRNTIIMMTSNVGSVYLNENPS EGAVAPEVRAKVQGAISQTFPPEFINRIDDIILYRSLSRSDIRKVVDVRLKEIQQRLK DNNKKIKLAVDEPACEWLAQAGYSPSYGARPMARLIQTEILNPLSRLLLQARIREGET AHVTADVRKNRLVVIPNHEPDVSAPDDSEDDDDDSMDIEVEEMD L199_005835 MSSLPTLERQVTDSSEWTTDTDAEKGAVKHIDHAAVNVPQAIAE EDEGPNVGLAAYEQSKALGEITPEQNKRIRVRIDLLLLPLFLITQTLQYLDKTALNYA KVFGMEKAMGMHGNQYSLGAAIFYIGYMVAQPGWQYALGRLHAGKVLGVSAFVWGLTV LVMVWSKNFTHVMVTRFFLGVFEAAVTPGLSLMTGWWYKRDEIPLRQTIWYSAVGWGG MIGSLMAAGISKMDDHPTPRWKLIFYILGAVTMALGICLYFFLADGPSTAKWIKKEDR PAAVNRVAQSGVGLKTTNFNWTHGFQALKDPKTWFLSLAMFGSSVPNGVLTNFSGTII KGLGYSTFNAALLDCAGRSLQVISLLIAGLVATRWANTRLLMMTVGNLICVLGTAMMS FLPFTHKYTWARLIGFWLVNTQSIGFTIGLVMVSSNIGAYSKRVVTSSCIFVAYCVGN IVGPLTALESEAPRYQTAAYSMMAGYILKTICHGLLWVYMWRDNKSRDKKYGPADPVL AADNGMKGMTENENIHFR L199_005836 MLARSALIAIAASTSYAAAGCTTQLASLALGDLGSCLQLTSLLP VLSGSSNDSITSTINTYLGSLCSSSTPTCDNSTLTSAQSSVNSACSSDYSAGGTDAVE IQGLVGLLGNYNEIYAAGCSKNSTTDTYCVTDALTTVQNATGQSITVNYLTSILSGDS STLSGLESVLSSGSLCTGCVSGIYYEAKQANSSIGDTSFGQALTQQCGSTFGTTAPNT TSTSTTSSSSAASSGSSSAGEMSIPYATFGVVGTMLGAVVVGAAAVL L199_005837 MAFKLEPIEIVAICLASFAVLLITFYALYKLTKSCRTSSSASTT PIHESRNQYGYGGGNGYGYDHGGNAHNPFMNYPYSAGSSSKGMGGRNTPTPIPTTIQP SPSTGHTTLVTPSTASGGKNGHKLKKGGIGLIHSPWQSSNKDKEKVDMEEKTNDELVV VTYEDGLRKLGIQPIREIPQGPQGGVVNQYRNVPPINTNVSFVNPNPNPNTNLYTPAS TITPSAMASSTRPEMVERESSRRTYHSVAGRGHGAGRRGHTNTMGTMGSNLLPSYYIE TNAGDD L199_005838 MSFRSSPLSIGSIAGPSRPSFAIRKYATQPNGPQNQNISDMINR KAISSAWRALSPNQKLIFGGLVALGAYFEYSLLDRYVLGPIKLRKEEERRIKAEQNMG IGKAEGHKEVFMESS L199_005839 MSKAQFLREYKLVVVGGGGVGKSALTIQFIQSHFVDEYDPTIED SYRKQCIIDEEVALLDVLDTAGQEEYGAMREQYMRTGEGFLLVYSITSRSSFEEVSTF HQQILRVKDKDYFPVVVVANKCDLEYERQVQPHEGRDLAKRFNAQCIETSAKQRVNVD EAFIAVVRAIRRYQKESGPPQATSAPGKSAAGGVGGRADQKDDQVDKGCCGGCVVL L199_005840 MSGGFNVLLLGAGPINFGTTEGPWNHSKRLEQKLGSRLNVVGLV DLNKDRANSVLAIKRADANVKHGYENTKVFGSIKEAGEGLKGDDTPHLAILGFQANSR GSTQPNHDNELELLRYFPKVGLFIEKPISDVEDLKEVEAVGKKLEENGNVTSVGYMLR YLKGMSRKLIDENNLTVMHTQASYLFAYDFAAKDFYGYWSKSREPGPIVTQATHICDL TRYFTPPVLLDSVHTNTVEHTDPAGKLSILRFDEENLVKPEDRIPRITSSTWRYENGA TGSLLHAVVLHEGDYDCELMILADGWKFRLVDPYGTSPRLYVRRPGTTEEVRTIFTDD DCYLTEIEAIIDVIDGKSDKSVILSPYEDAIKTYEFTWAIRLAGEKSFNARGGLTGKK L199_005841 MSTSEKGEQLPLVLPSSPQSPARPKSKVGTALKHIVPLSLVIIY YSFIAPLSLSSIRPYSQVEVAAKESARCPVQPPIINIGNDWDVVNDHEYASLATKRLS RAVQINTESYDNLPTNASDPIFDKHYEFSHYLSYEFSKLFKEPIKYENVNVHGHLFTW EGKNKNLKPILLMAHTDTVPVLPATLDQWSYPPFEGKVTVNATKETPGTWIWGRGVSD CKNTLLAIYGSLERLVTEGYEPERTIIVANGFDEEIGGSRGSGHISEVLLKRYGPDGI AFLVDEGFTGISKDYGATVASFGMAEKGSVNVNVKVETLGGHSSVPPPHTAIGIISLL LAGLEAHPFKPTLSPQSPFLKSLNCLADYAPEFPKSIKHELKDPRQWDQLAQQLAKKD RVLNSFLATTQAIDLINGGVKVNALPEVVDATVNYRISFTSSVNETLSHVAQVLRPLA KKLGYTISAFDEPHSAHHENSTSHITLSVPGGLSLEPAPITSDRTKSFALLGGTAKAV FGNDTIASPSGMFANTDTRWFWNLTSDLYRFTPTLITENLNQHTVNERISLEGHLNAT RYFYKLLRNLEGWDAE L199_005842 MSSLITSSEEFIDLDTDEAQVRYSIILVRHGIVECVYYEAPGGI QSYRAELLANKDYLACYILVLGHPSRPSPYDLSSSMHSGPSTGQSSQLYRSLVAKLHK CAQKLKEARFRFTRKVDLIHTIRRLLKDIMEDIGGEYALCTP L199_005843 MKLNEKDAAQLGLDAQLPRPTTSTVDKQSSKRITTQVIRLSALF GIILYLSIYNSKFFGAQNGQNEALITQDDSQLNSYWHGIATKGMKFSLPRPDKHHDHH DHKHKHPHHPHGHISPKEAEKIFLSVPSNDSAAAASERYTSYPHPAGSGYDLYSALAL KNEWERELGLRVSGANELVYEAGSAESQRRIRNGADKLSVWVDTYYPILNTPVHASVT LLTDPPFKAKLREDIVEGDPDSQLRDEVPVFHGLSASGDIKAKYVYAGYGRKKDFDLL QEKGVDFNGKIVLTKYGGSFRGLKVKAAQEAGAAGVIVFTDPGDDGEITEANGYEAYP DGPARQPSSVQRGSVQFLSKYPGDPSTPGEPAYKNATRVESGSQPSIPSLPLSYEDVI PLLKALEGKGIHASELGSDFTGGLGYHGVDYYIGPSDVDLHLVNEMNDRVLPIWNTMA VIPGHITDEVVILGNHRDAWVLGASDPNSGTASQYEVVRGLGALLKKGWKPLRTIILT SWDAEEYGLVGSVEWAEDFGDWLVENAVAYLNIDGSASGTNFHASASPSIALALKAAA EEINSSSDPKRSVYDTRNDGGDWDDFNAVTSGEEQFETLTVAGGSGIGALGSGSDYTP FLQRYGIASSDLGYKGGPKDPVYHYHSIYDSHTWQAKYGDVGFHRHTDAAKVIGLLTL RLADTLILPLNTTQYARDLEYYLEKVQGIANSSSLLLDGSINFEALELSIESVRKAST KLDKEKKELVERLRKLLPKPKFEHNKPSFVSRIFGKGCDHHQKDVDVEGIRLPIPHLP IPKLPSSHKLKEIKEVLKEIRVVNKKLQHFEYGFISEEGLKDREWYKHKITAPGLWLG YGATTFPSITEAITIDHSSSLAQKEVDDVAQLLNSVAQKLNA L199_005844 MNGSSSSSSSSSSSLDMYSLHDVILNYIETSAYSSTARILSRTK LRYGDGDCNGNKEEQNSDGNIDNDGEDSMDIDRDEDDELEVGLEVPDKKAGKSPVKRK GVEFDESDLEGIEKRRAILDHILNGSISKSVDLLNTHFPSVLNESLSPITNGSGNAFH FSRYTSLSPNPGPSNHSIPVLPRSTDPAHIKLNLQIQEFIEHFRPLNPSSLGGSSPSS SAGSLTGSTSSINLTNALSAAQGLHTEAKRLSPEIRAIFLKEINDAGALFAYSNPENS ILSGFLDQKRRIRLSEMVNAAILKSQNKPTQSALENYARRTTLLYKLMNDHGIDPRPI STTDDLGLSSHGTDQKGREHLEEYFKQSNGKPFNLHEFVNSTW L199_005845 MSNHQHQHQHQRNLSFTAQGMNQSMKSDKSLVSSGWITTNTSSW ASTRGLSGTIGPDRPPLAWAGVRYQLREDNELVEVDDRGSGGAGHCSQAVLITCLHLS HEMGLRDVGQYAWTTPSVKDPMGSMMKIMKQYSQQIRGLSKHSTLDCRTAPDPSKLVI QHHLQRARQQAGQNNYVAVIYNGHGIQEPPTEQGELWCYDRGFDECLQNGGGPTEYIP IMLFDVLAWAGSSTCYVWDVSFSGRFIKAALTEAEEIDNQFKAAAAQNPQIAEIHPPV YSRRQIHFASCGPNQTVPRINGMPDDLFTACLTNPLRIALLYHNLQTFPLTKGDGSSS SSSYVPRNSEYMILLWENMSSNLKDRLSYELLSIIHTIAWQTLDGNDYQKLFGKSGDL VNNLSSGFILSQRVFSSYRVNPESTPTIPSSTGHTLWTTWDLILDNLFEQLPKYFDEG IIDNSWEKDLKLVSFMQDQLESITTATTLSSEPSGSTGYSGSGSGVTKNGIGMTPSLS RLPIICAAAMTKQFRLQACQALDSCLRILDIRGLAHAVQGGALDVAAKLLALEDPQIK NQLISIWSSLVRYDLAVLALAKEGLTSEKLTDVPSVKFFLGALEDNLVKDVNVNGKGI EENMLEEDERIGLIIQTAAVLSTIANFVSGRKAPRFVIKTLSMSGIMLKSDRELMKQW GALLIAEVLGSLDQPEDGQSDLGHDKGLIDGLKMNLMDMIGSNTVETRATAIYALSRW IPKSEGAMGAEGLQLEMKPSLEIVKVLLNHSEDEGSPLVRREVARMYMRILKVADGFA ELAIWISILQSAVEFIPHTRKEVEETIVNTGRGLGITKDQLEIMKTLQKVFQVAKRFE NDPDNHVNKIVNNPLDAILNKLRKHEDEQQKHWRPISTLVLNGDRADDGMKWTEELLK IVIESKYHLVANWHRIESDKEGKVEDHDEDKVKKGKDERKRLNNELFERTKAVLQSYL AAGRRPDLIPDKVMTSQSGDSRERTWTLRHRVLEDSMVVAEQQVGLPWKWAIKNISAP DPWTNMTFHSFHSTVMSCNRSHDLLLWDWSTSRKTGHVHLDLPSTEAISSARFVNELH EQTVILAEITNGDIHILAGPQDPSKIKPIANFRALDLSSPKIGADVEYQRRLISTWYR SSGLLCVGGSSDKVNVWDCPAERCVRALNTESTAPITTLITEPVSGNLIFAGEADGQI RLFDLRQSRKTALISWRGDSCSDMDRGRMTKEAVRRTAINKIGVVLGESKNISSACAN GMINTYDLRTLSSPIESILSHPNGISYASFQAHSGLLSTISNLHCTSHDDPSADFALH RTTQGHLSPVTAESISFGNQPSETLSGYFKPYTVMHPLRPFLGIGFGHTYYLRGCGVG KGDDTDSGSFSFIKAQATNII L199_005846 MNTLSSSAPSQPHLLKRLQHLSLSSPTSTSLFYSRLWYALLPPT ESDHDSLHTLALCFLQSDEPYSALHLVRDSAGVDHPDESPIPTFDPSKRKQIPCYACA TIVAKCCQNLGRYSEGQAVLNRALKRCIPTNIPTPSPASTSASAHLLLASLSHKGKAP EIAIENYQKALQEDPWLWEAFTGLCDIGSPPPIEAIFPDPPAPSRASSTRTSRPPTMS PNPMPRSSASEMPGFLPARKATIPANGGVGSGGFFTPDVGNGAGGSRLGMMGNPSNWD TPSVMGDTTFQLPDQPSHPAAPKRPLPNLLSNFIPSTSNLLPASLRSNSATPTNQAEP SKPPAMKRARGKDAAKRTPEPSGCLNGMNLPLARELRPNGNLKSVELNGNGILDNDGP VRRSSRLKSTGNSNGTGSKPPPTSKVTTRSRTTRSRSITSTTSSQTNDISSPPNSSSL DIQLQLTADEFLRDIIRKCAKAYRYLSLYQCQEAIVELDGLPDNIKSSPWSLDIVARC FYEMADYVQARRVFAKLLEHEPYRIQSMDHYSTLLWHISDPPSLSHLSQRLISINKES PQSWISTGNCFSLQKDHEESMKCFRRATQVDPSNSYAWTLCGYEAIEMEEYDRALGYF RNAIRSEGRFYNAWYGMGLVYMKTGKIKYAEHHFRRAVDINPTNAVLLCCVGMVLEQS DDVVQAIHFYERAVHYAPTSPMVQFKRIRALVALQRFDEAITLLEPLSNHAPDEANIF FLLGKCYLRKDRRSEATIAFTTARELQPKLENAIKATLEANGEEEEDEDD L199_005847 MNNGPSYPAVNPYPAPGVAPPPGHGQSPAPEGANHGPIGGPGSG FPPRSGLAGMQPNSYSSAPIPNLTPGSGIRGGLPEEKNVAQMVKENTNVYARKFQALL DRSTPHVMERWLVTLGLFLLFALNVILRQGWYIVCYALAIYLLNLFLAFLQPRFDPSI AEDLAADDVEEGAPGLPGAHENKPTTPGGLKGLMSGFSNGGEDEEFRPFIRRLPEFKF WYSATKATGLALLSTITRATDVPVYWPILVIYFLTLFGLTMRRQIQHMIKYKYVPFDL GKKTRYGKK L199_005848 MSEYAFRKINIDALEEDVLLPSDLYDPDPRGPDGVLSDAQRKAG EVRNLVSRGDIPGALNTILSEPPYGDGVDEAKDLTTNSLLLILNSTRASEIPTILKSL GQEQQDHLMAYIYKGMAAIGQGSDVSGSVLLNWHAQLTEVAGVGCIVRVMADRRTL L199_005849 MAKKSSNPADAFRKAQRAKELKKNKEERKKVREAQTVKKDTREL EADIRYLKTQTDASSKTRLSELESELAYIKKTKEAYVAEHPEARDRVFGVRKSKENEG GLTTREESQKHLYDENGRLRDPKKSVYYDPVYNPFGVPPPGLPYRERTPDQVEEEEES DEEEDEDDEDIVMPEGPPPQGAEEEEEEDSDDSDDSDDIPLPEGPPPPKPTAVPAPPP MRMGFGSSIPPFPPPNTGFRPPLPRPVAVPLHAHSHSQPYGQAGPSTFRPRHVQHNRP PPMVQDPLSDAPTQTYQGHRIAQHALPARPPSSSATDTPAPTIAGSAIASTSEVTKPA GAGEISAAPVLRDLRKEATVFVPRGVKKRKTGPGGGIINAAPGAGEIDEEGDERKRTV QNSGSGGLMSKLKGVLGDQPKVDGTSGTGEGEDDEYKRFLEGLGDLS L199_005850 MTSFVFVTGNANKLKEVQAILASGDSEVSVTSQAVDVPEIQGTT QEVAIAKVKIAAEKLGTACVTEDTALCFDALNGLPGPYIKDFLGNLGHEGLNNLLKGF NTTRAHALCTFAYSPGPGQEPILFEGRTEGNIVPARGPTHFGWDPIFQPIELGGQRTY AEMDGEEKNKISHRYRALEKLRVYLQDKAKEGN L199_005851 MSTPETSTSPIQQTPPTTVQSPQEDIDAILSSLSARSKRRLSLR TILSSYRSQNNLKGKTKASVDDDQAIAEDEEEGEEEQEHVFKVDTSENETYEKSKGDP IQINKGQKKDVNVEEECHVEFEKEYVWDVLFENQRGIYIMGKGYFSSRGLLPADPSAF TRPSNHIPSASSLSMRTSMGKKRSNQQLDPGTSAPSLSSSSQRPDGRQTGNLTRSNKT SYTLESYQPPLPDWQYLTPWMINMRTGTDELGWRYNAWFRPKGWSSHSGPLGWGGWVR RREWIRLRAVGVPETRLVDMQGRERIEKKADKLKDVLGSEEVDQNVQGILVVMGKMGL DRQRLTLWKKWLEKEKKESEYWKRLEILCGDEQVLNILRKQFTYPTVSFPLFLDILKS HSIKIPDSFYNISNSNSEFTNSPSIPSTPTSIHEDKSPTQLQQKAQISSTSPTSKQ L199_005852 MIEVGTQNLKPTSLNPTYEVTPGEGVGIFQLGDNLWHVLELIRT HRTEYPKVEVSWDEDNPHKSAITVHLPYLTLYFPPSPIYQLLSLINITLPLHSSSSSN NLELSYETQILSSAHMPLTRARVGRLMGPTFISKAGDKLDFPGISFTLRSDNLGDAGF GPREDVVQRIVVRPRDDEEWEPKLISCVIQPNKGITLALDEDHIIPITIGETTSQDLL LDLGAPLRKFWKEDDRLSKIWGGGGDHQDGKGACFWNYFQYGLDFLISKDGVVQKILC HSNIPETPLFQRYARCPWILPTPSGQLDLTSKVSAFRSHLSKSEKAEEYVEQIRLSVP TPPPSGNGGGGGGKKKKRNGSPNSAALSENESSKSPSTSKEEEKEEEGDAMILDRLVE GGLDGISNLGQSKLIGFDGLIVEQDKKSGGICSVMIYRDDTKTQTD L199_005853 MSKVSFKGGDTLISPDTPIHTVPVTTATTAYTEDPIPTDSESEG ERETETEPDSTFPASAPFTAEWNIPRSKTKYKKEHKESDSATDISTSETGLSLGESDS ERDSTDGGTTSGLQGSSTSTATDESEIDSPSPSENQGRLKDQNEKSSRRDLKGKSLRE GTGKNTKIRTEAVIGGKEGRIRSTSKHSGSQSVEEEDGEEDYSDSESGEEDPSTADEE EQTKPPIQARQRSQRTWKHEMIRLISLYLVPVLLAIPTSLLLSLNIQLLTPLYNSMPL SLHTTPLDIGYTILSALIYWFITLSSSAREIISARVCLGLAALSGDMVAVYGRRIGMW LGLLLGPEYGAVASRAVMGMGIVGGASGFALLCFDHISPILPATKPTDRPRNLGSALY RTGFYAFHIFTFERIWTSYLNSNVSILNKDPEKTILFLSLLLTTFSLFLRSSTSSTPF PTRVNIVLAKTLKRSKSTSSKMTKILPRQAFPLLLLFRIPLLILALRQQVFLRPSLNE PYLTANGTLRLISSERSLTGQVVVAENLKDGYRFMRCDHSILGGRWIREVADRQAKDG KRIEQGDSIFATFNLQEIAVLAHRSDPSESLIRTLQLTTDLEVSLEGEVEEEKLPERA LIIGLGVGIAASALSRRGLYVDIVEIDPAVYLAAQNHFQLYTANVASTNIMDGSKFVS QLADMKKAQSRQVDEVDGDSLVVVPMWDYVVQDCFTGGRVPGEMFTKEFWQDLGELVK SDGIVAMNFAGVLGSKASRAVLVTLTSVFPQCRAFGDGFEVNQGPDDLVNMVVFCTKT YSPLLTFRPPTPFDGLRSPLRAHVYSTFHPHEIQLDSIISEEDVENPELHLSRGQAGK ELNKWQVGSSLATWRAMKTILTPEMWLAY L199_005854 MPTKREYSSDSEEKPKRSVSGSSKKSRISQGKKPFTSEEETAFL EIIDEIVKSNLWNAAKNRFELADRKQASIQGHWEAIFKKMKKP L199_005855 MPPKVEKEAWNEEHNTILLRGIIRQSLVHRSDIYQLPGLEGVSE NGGDRINKKLQSILKKVSEKYPGMVDEELKSLGRSRSKNGDGNGIATPTSSPKKDKGG NGNTTPKKRKVKEEDEEE L199_005856 MPPKRERMSSSSERDLKPFVEEQNGNGKKKKVASSPSKTKTSWT SSEEAKFRQGINAIVKKHLWNELKSNPEMVKRGANGVAQHWIAMYKKM L199_005857 MSYQTYPYQQQQPGVGGGGGYYNQPHAPPHDPFRAYYADRLRQL TFNSRPIIQDLSMMAGAQRDQNNWEGMNAIVQEIEEATLRALPTQKLPLLYLIDSISK NIGPPYTTHLLPPIIPRLYLRTYREVDGVTKAKMEEMINLWRTGGPNRTELYGAGVRE QIERDIFGTSYNHTNGMNMNGLPSLPSLPTVQQVKNAVQGALDKKQREAASKAWDMAT GQQVNALTGILNLLNSTNVPPQELAQIMDQVKSINGQSQPQAQPPQQPPPQQVFTPMN QPVTPNWGVPPPQQAIPPFPPHSSRIPPPPAAGRPPFVPRPTPTPPQASLNTPLHMAG STTPIPMPNPVVPLPVQLPTMANLPIDVSKILNSLNQSGVVGQSKTPEPQAQPSTPTL SQAVQQQQQKQQKSSLEEYEDMIIGLGVSLRSIDLNAPHILSLDHLPQRCKQCGMRFA SDDNAKFQSHMDWHFRRNRKERESAGRGSHRRWLPRAEEWINDTYSSPSAEAGPSTNP ILNSPGKSASTTMTISAERLQQLREKWIKIPSSTAKANSVCPVCKESFVKEWSQDEEE WIWKNALNINGTIYHATCRAEQLSAMRRLKGSDPNKRSTSGSPRLTPQPSEQVKVEAT NTTTSSPQMNVKRKAEEEEEMGGQKGDGEKTDDGTGSENKRIKVEQKQELEQGKELEQ INGSVDHTDPLGKDDTPVTRDTPKAQIEEVEVGVKAEQGEEKEKMDDQSASNLENDAI V L199_005858 MSRPLTPFPHTHTLTHGSNASYFHHIQFEAQPHAYGYTAQPIHF HLKKPITTPTPPSLIRNGSSSRRIMTTPTSPQPELIQPTPRRTLSSASSSSSASSPLM PATPILESPVAPPSTPTPLSLPTSMTNVEMEVEERLMASEYNDKFNYDPYSISLSTGE TIASPSSTPGLAKRPKLKRRDTPIPHPHLSQLSTLHMSRKESNGEGSRKVLRSIIDGG NWIIVD L199_005859 MSFRALRPVLRASTNLAARRAVAPVTRPLAVRAFSLTARRMGSG ETDSTLASALAAEHKFELENAAQLPEVPAFIETFKTQGVWEIQDIPGEDDVILTRKFG NETLKLTFQISDLDSFEPPLIENESGEEIEPSGPTSIACSLVITKSATPGSLMVDLET CDEGFEITNIAVYEKALAEKEGAEGDWERRSKYMGPQFDHLDQAVQEAFGAYLAERGV DEALADFVLSYCEHKEQKDYVSWLDQVRGFVEQ L199_005860 MSTNLQDWVELLNAEQHVQVDKLREHARHGVAARVRGEVWLYLL EVLSEDKTAEITSLLSLNTTYQALPHEIPSELTASLMRIALGHHTKRFRNETYAGLIS SLTAEKGRNGDTRNNNNKNGISPLLSSIEKNPPPPINLNSNLNSGTKDVDGEEGMNGE DGEEDDNLNTLRSQLSRILPPPPNSPPSRHTYISIIEEVLGKYYNSENLLGKNNDENT KNSYYGDECEDKNNWIYLVTPFICVLSRPVGVFLGFQKLMKRMKTFPSIPSRLASFLT LFRISLPELHSYCEDEQVPYIQVAMSWMTTLLAKEMWLSDVLRLWDAYLASDDMFALH CYVCVAILSTCKETLEELDGSEAKLFLLDLPPLDVDRLLQDAANLRVSFPLPRPVDDE V L199_005861 MRFSSLFALTALPLTLAKSPQSQQPLQVYLYPTPSTQNQDPSLS VPTLSADQAKAVLSHHLGVPLNDFDEIPNDEGLWSHLMSLWDGPAATGSKARVVVIDG GVDSQDVLPTTLSQQPSFYLKDDLTTSNLLSPYMEQAKTLLDNILNELPDVVKGFKDV FEMAGTKAASILGHELSCLTALADSIPWINKNHGSYPWEAITISGLKDVRKGDEVWEN GRLGVKAGLESMTQPTSPPLLLIIRPSSSSTHLTSRSIPTTLQSRAKNTTDLAEACYT SNENCSESTSCNGRGICALKGKSDKGECWGCKCRDGYAGVECQKDDYSTPFIILIFST VLLVVVAVGSIGLLYTVGETKLPSTLTLAVGGGAMKRD L199_005862 MSSAQDEARLQNARETIDSLHDLSQLLQTGLDKNTLSICVGMIE QGANPDTLAAVIKELRRENELLKAQKSDQSVVKD L199_005863 MLRLPQLILLSPLLAIANSQELSCSSANPNPDPCSVPSPGGLFI FRQRFEPDTGGDYGSWGIDGLEVLDCTTQKPQDTSSYAPTYTHEEIASYCLKSPLFGG ERGYNDAEKEWAQSEVGEGVEEVWERTWNTAGRFISTFDAKCQKKKPNTGSGVAEFFI TLGKLQKTFTTAQLLSDADITPSDDTTYSLRELTEALSTGDYKPIVQCDNSTLSSVLW PLNVRGKFDSGAFEAASSFERKSNCPSEGIVYPPRTTLSTPKKSDDEEWEHLRRPPPR PITLSHDESRMYYRKETAKEDPVGKLGLRKEHDEEREEWKEERAGKQYWRDEL L199_005864 MAKPPSSTSGALILEEPLIRTPYELLRRSHRSAQRQVEKDFNAV SVALQSIVKSLNAASSTEEGRQSIVNKLDQVGERAKGLKRKLDDIQPNTREPTPLRSR LEYLNTLTPAPSKIEKKENGDAKPANGDGDGDVKMENDGVINGNEEAENTEPEPKQQP LLTFDATLDRYIVDYLLRTGRLKTAEALARKQNIEALVDIKLFTELSKIENALMEKHS CAEALAWCGENRGTLKKTKNNLEFTLRLQEFIELCRKRDIVSAIAYSRKNLAPWAATH MAEIQQGMTLISFGERTGVEIYKKLYDPSRWKTVRASFRSTFLTLYAQPCQPILSLAL SAGLSSLRLPSCVHHISPSSKVDIKYPKSPQSHIPLLPAAPPLHNLESLLVSEHLTAP LGGDHCDSPKEDLHEHPDKPVGNIDCPTCDENLKVLSKELPMSHHVNSTIVCRISGKV MDSQNEPMAFPNGYVYSSKALREMADANFGVVTCPRTRDSCSFERLRKVYIS L199_005865 MTVRLDYTVPQNKNNSIPNPPGYLPPASAKQLSSRNTQPDSDAI IKQNRKSTELKLRRAWDMALAPAKSLPMQAIMLYFSGSGVQIFSLGMIFMLLTGPITA VFNIFRAFESLRPTPTPAATPSSRKSNDSLSTSSEPSYGPLVLPMIAYVACQGLVLAL GLWKCSTMGILPTGSGDWLHFETRLDPPEWSSIRSLALGQSIPHL L199_005866 MLLEMFGWFGAGESSKALLGKQERIQMGEKKVKRRRRKIEQNGN GGDHMFKASNDHFPGMVNLSGTLCYMNSVLQAFASITSLVNHLEKIVELAVESDTPTP VTDALLEVIQDLNTPHSRSPPALRPHNLLQALHPLPQIRRLLSTREQQDAHELFVVLA EAISDEALKVAGEIGRLRGLGDILSLQRYTNSKNENTISGRADIEGANKRRKIRGIAQ PWEGLMARRRVCQKCGWETEVRMDLVGGMELSIPLHGDVTLDSCIAEYLSPEYLSDVT CEACSLRSTLSYYQFEVDRLSSAPNSTKPSSSINGKANNSPSKSGSGSFAALEGLSTL SSTKEERMTNSRKKRCREAKRVENRLKEMLDSDVISNFNEPFLEPLHPQLDGEGSGSI PIKWQISRTQSIRQSILTRPPRSLRMLFIRSEFTPYGSVLKKTARVNFPIILDLTKFI SNGIWEENKTSGSAILSNGIADPNIDRQRVLYRLESVILHYGYTHSSGHYICIRRKPT PTQTDNGDASSGSRSYTPSRAYKSCPDSCRCESCVYFGKVREGLEEKVPGKGWLRISD ADVEEVGEEALYESRGAVFMLFYERVGEYRGDKIDAKKDRVKEEEEEQKDLNEASQVI L199_005867 MVLVLVIGDLHIPTLTHDLPAKFKKLLVPGKIGQIICTGNVCDK ETYDYLRTIAPEVHVVRGEFDENTHFPLSLTIPHQSLRIGVVHGQQIVPAGDADMLAA LARQMDVDVLISGGTHRFEAFEFEGRFFVNPGSATGAWSGLWNGDATPSFALMDIQGP VIVTYVYQLVEGEVKVDKVEYRRPEPPKDNIAAGAVAGGAGRAEVPAGW L199_005868 MSSSSSAAIPAYRPSSSTPPSGKRKVTKPAGSRSSQDPFYGHEE TAVMSARFITSLFQCPNIPAATAPGAPTPTLAHFVAYALHRTRLPSVVTFAALMLLSR LKQRYPAARGSSGHRLFISAFMIASKVICDDTYSNQSWSIVAQKMFALKEINQMEREM CGYLEWNLNVAGDEIASFEAKIRSEHGPKAIARASSASSSSEGSVIVPSPVVSASRSY PTPETTPDPQPSSRPIRAVPSPYKSRSTYQPQIPTAASHQAAFPSPPISPVTHHSSPH PPHFTSSASSSLQSSPASDDCKTPSPVTLTGHHHPHHKSSSSNRHPTKGFDMTRAFES RSRSHAVNVHLSGEPIHVGGW L199_005869 MSSAPSGENTTQKENFFPTLGDVADRTDALKGEEGEDEDKQMQE IESLCMRCHENGTTRLLLTTIPYFKEIVVSSFRCDHCGHRDTEIQSAGEIQPKGVVYT VHLLTRDDLNRQLVKSNFATLSIPDLQLTIPPGRGQINTVEGIIRDTVRDLNISQPVR RVMDPETGKKIDEMLSVLRDLIDLEEDEEDDGGVGIDDEEVKKPTQHSEEEGEHAEKP FKPFSMVLDDPSGNSFFAFNESPSDAQWNMRAYNRTFDQNVTLGLVAQPDENGNANPV QTENGEVPLDEKHNLTSMEEFEQRRKQDGEVLPEEVFSFPSTCSSCGHSLETRMQQVN IPYFKDIIIMAANCFACGYRDNEVKSGGSIADKGKRITLKVEDEEDLSRDLLKSDTAG LEIPEIDLNLQPGTLGGRFTTLEGLLNEIYTELSTKVFRTGDSLTSGIGQINNEGQKG ERKFETFLKGLKDCISASRPFTLIIDDPVSNSYLQNLYAPDPDPNMTIEEYERTFDQN EDLGLNDMVLEGYNKEAEGTA L199_005870 MASLFALLAVLGSASASVVPSSSTLNTLLVSSTSPSSQLTQCLK SSYYGTYGGQASTQEHIYLPSEDCLLGESALDGLSAGSLIPLNFDISDEAGRIVWVGQ AGVDPESLPSDSSVDAWSIISSTSREFALLSSPDSNQQVLSSKKSQVSSEPIRLLHQS PTSLLLHVPRSYLPMIDTFLPSHLVPVALPSKPLPALANGWDPVPSQFARHLANVTKH LRFSPEIDKIVSEGIELNQIRRDVRWLTGEAPSGIVSRHSFTPGAVKAAHWIKDKVEA TGANCTLHTFIEGYSPNVICHYPSSLNSTEHVILSAHYDSRGSFGSTRAPGGDDDGSG TGHLLGVAHAIGTQGVKFKKQVVLAFFAGEEQGLLGSHAYAEHLHEKNTTILLQVQAD MLAYHAPGEPLQIGLPESIHLPEASHLIGNLSQIYSPELVVGKTAACCSDHQSFISYG FPATQVFERNGWIADPYYHNSGDLSQRDNYDFEQVVSIAKVTLAGLLTIAGYNLEEGV L199_005872 MVPIEKQLDSNGESGRLEPETQSSTDTTSNPHSYPTSATAMSHS QAVDDSQEAPSDIEKAPTANGPPAVPDGGLRAWLCVLGAWLTMFATFGYANSFGVFQS YYIIKYPSVSPSDISWVGSVQLFFQFAFGAITGPLYDKGYFYHLMYSGSVLYIICIFM TSLCKEFWETFLSQGLGLGMGIGIILLPSFSIMSQYFNKKRALAMGIAVTGSSAGAIV LPIMLNRLIISHGFEHAVQYTGYLLMGCLIFANLCLKPRLPPKSHPTVKPSPKVIFAD LPYCLVVAGFFFVTWGQFFPIYYLQVYGEDHGLPENLTQYTLAILNAASVFGRIIPNH LADRFGSLNMITICSFLTGGMVFTIFGAGSVGGLIAVALLYGFFSGAYVSLMVPALLA FAKSPSEIGSRTGLGMVIFSLTALTGTPIHGALLDKYGFYAPTIWAGVVCLTGSALMG VGTKLQRKRKGTWKV L199_005873 MYMPSHDRTTVRTREGEDEEDRRKIKRPRAKLTCLNCKRRKTKC DKCYPCSSCTTRGESDSCHYEEGYEPSSHNLSNHEYKAIQDRLERIENALLSSPRPLT SPSITSRRRMGGEGGAATQERAHSVLVASRSKTNYAPSPTFQEDMNPIYPAVRSDTFP NIILTTTQARSARWHREMQLILDTLPNERQMEFMTNHYFSKMQFLGLHIVEGVFRNEM TQFISLTSLNLHFSVDPAWLAQLVCILWVTCHYLTKNEQLLRSEAMINLGLTKSGLIN LAIGLYDALEMAFNCSGWLFKPQLRILQTLLVPIYLNMQGCYHTGPLYGPPSDWSCCL WFDIAVGICKGLDLHLDPKIEDIAKLQDPALPPSRPIYSIQMIRRVFHDLLLFDTYSI INSTDVSRKLLPYAFPDESILTPSPSNYTDQAILSEGQPCPRSEKTPFIWTLHQNLIS QEWRKIVVLLENLDETPYSAVMERNQMLRDAFTDFLALKADGDLNQHESDAFALTYSS YQQRFLRLHRPFFLRGYRDTQFEYSRITVVNGARQIAKTHRRLLNDSSDRSIVKSAVF MFQHHITALPILLLHALHDRSSSAQMRIELVESSEAFMRSLNSGFQLHTRIAAKGVCI ANEMIKVIDNAPENLENIEDLLQSINVQSKAAEMKLLETNMNVNPNTSAITSTNINSY LDTQQPQVDAQADSNMMTGDQSNMMTLLPNAEQNWWDTDWTSFLRDM L199_005874 MSSSSSKWVPLEASPDVFNAWSTPLGLPSSLTFQDLFSLDSEFL QFIPHPHRAVLLLFPSRGKLHDEREREEKDGEGVWKGEGVWWIKQTIPNACGSIGLLH ALLNLPPKGPDALNPDSQLMKFKSASLPLSALDRAKLLDESDFFTQAHTTASQSGQSS IPDDLDVDTHFITFIEAVNEKGEKRIVELDGGRNGPFDRGHSESFLEDVAKVVQEKYF DRAEGDTSFNMIVLAGQPED L199_005875 MSQRNQNGATPPPPSTSSTFPLSSPSFWTEAAAVVLPSPPLFKR VREDLSSPQSDYTVFPEIDQEPQPEVKRSRYDSPSTSTTLSYLPKRSDFLDLDLDDPH SYLANPEYAPNKFGDIGDYMRKKEIKVQTQNRDIALASAIEGLPQIFSGLSFYINGNT TPPMEELRKMILQRGGEVRPVLRNKGMVKFIIAPMLTQSKFKQFQNYKVVREGWITES CKMGKLLDWRNWKLQIMGGWEQSSRKGLEGFLKGQQTPTQLAKQEEDEEEIEEVELDR LKGTIPKAASSKASEDTCKLGQIPKEEELKVKVPGPIRLPTSTQSLLASHPYRTSAIP ISPQRAPRGPTSPVKQGSPSLAPIPPKIQKPEGTWEYYYSKESNEHAAQALKNDQWRI KNTAERGNEGGFIDGYYQNSRLHHLATWKSELKVLVADAQTRSDELSLSAPSSNTISP FSLANSALPSTRNIDPAGSGERVIFHVDFDCFFVSCGLATRPHLKGKPTVVCHSQAGK NVVSTSEIASCSYEARAKGVKNGMSLGRARTLVGEDLQTIPYEFDTYKKYSLAFYTVL MGYADELQAVSVDEALIDVTSAVNARAMAPEEALANDHVDSFEENTANTSKARDPAME VAEKIRVEVRKLTDGCEVSIGISHNILLAKLATRSAKPAGVAHLLHPDIPKFLAPLDV EDFPSIGHSIKSKIEEKFGTTNVEGLLGVNKLSFQRVLGPKTGEMLYGYLRGIDERKL EPDKIRKSISAEMNYGIRFQNHDQAEICINDLALEVAKRMKNVGVKGRQITLKLMKRH PDAPIEPPKFLGHGWCETFNRSSSISGPRNNPTDDPEVLARESVKLLKALNLDPVELR GVGIQVTKLDTEDKDKAKEREVGQGVLSFRPKRPQTVIQAQEILPPPVESNEPPEPEI PDSAQANERQSHSQSPSRSPEVEVSIDRSPTPQPEAGPSRLPATEAEEGIDPSFLAAL PPSLQEEVKCDYAQAKAQNRSSRADSERPTSGVHVEETATRATTVSPVKKQHSAAHIT RQLRPKLKTQLKANQLANRPLFSAWNKAQAKDDGYVYEQEIVDLTSSDPLPVELQDEE IGGYKLSELMELGIDKEVFEALPEDMRKEVIDEERRKAGRRKILTRPGNGRLTSKSMS VSPSKAATGAGVGSRSTSIPPNGKSKGSDPPAISISKPPKPALFKSTEIEDILGVIEK WIESRNGSSPAQRDIDKVKNWLIKTMSTSGVIGGVERCIEVIRYMRIVLREKYPLQED MIVKHKDIILEEEQQEEGEGDEDENRVGRCWWIVWKDYTDELQERAKKLFGAELKI L199_005876 MTKLSQPIFPYPPKKVDGYPTYLPDQLQPVGSLLDPNVYKQNQD PPKLFEPLTIRGVEFPNRAWVAPMCQYSSDEGKATDHHFVHLGSMAMRGWGSIMVEAT AVVPEGRITPEDMGIWEDSQIEPLKRVVDYVHGLRGIIGIQLAHAGRKASNPAPWTLR QAIEDEGYDGGDVVGEENGGWPNNVQAPSAISFNPGKYANPVEITTEYINNLKKAYAD ATERCKKIGFDFIEIHGAHGYLLHEFVDPISNKRTDSYGGSLDNRIRLPLELAQLLRE KWEKPLFYRVSATDWLDEELGPEKGKNGDWAWWGIDQTTYLTQKLADLGVDLIDVSSG GNDLKGKVKVGPSYQLPFAEHIKQNVSNILVGAVGIITEPAQANDIIEEGKADVVFLA REVLRDIDFPLKAALELGAAVSPAVQYERAWTRMLVKRDHVKKAAHHHGVDEVQGEEG KKEKPKRNAPPEVHTSVP L199_005877 MSAVALAAKTDSQLYREVMGIPVCTKEGDDYRDLDPIDPALATV DSELLTLIEQFKTHCDYKIYVDRSYPRATGSVQKPKYGCTWYNPEGKTIKIALQGHMV TDLGAAYLRREDLPETWSAHVFYMAVVIIHGLAHALRFFTGRNLPTPPKISGGVTTQI PHPNSSLPYLHGEGGAYLERFLFGGKMNAIMMPEDDEDLAFSGQYAGLIASPANDSTE SWWLSMDMIMQIVEGQSGWDRCIPLRRDLFHQPTIWGPMQNKARANSSEQATLQPETE AEHGSVDYWEGRKRLDLSCGGAKIIAKPGFPDSLVLPRNRKFRPGIDHYSVCADKQNW NHANGGEGGVCTHWPGPATLYWWINRKINWDDWVITN L199_005878 MDANAIQIAVRCRPWHPTKELPFIVQPTNQPFFYGDGNLGTSST RPAAPGALRNVVEVIDSRELDFDKPQDPSENRRGAFMAGRRYKNRKYIFDQVFSMEAS QEEVFERTAKPLLPGVLDGYNATVFAYGATGCGKTHTISGTEEEPGIIVRTMRELFDL VEDTKLQYDTYFQMSMVEIYNETIRDLLSDEYPACPPGGLKLLENEKERVKVANVTNK TPTSVEEVMALVMLGNERRSTSFTARNSTSSRSHLVLQINVVRNERGSDVDVIKSTVT QCSTSATLSIIDLAGSEKASVNRGQRMKEGANINKSLLALSGCISALCQPPVRGVRQH VPYRDSKLTRLLKFSLGGNCRTVMINCISPSSKDIEETNNTLLWADKAKKVSTKVSRN TAGVELRTAQWIQKIVTLEATIKSLEGQLAAGHNASSGMQQRRLNKARTDASEELGRV EKELDELLPVILEGTEMDALWNGSVLQVEALETRLADIEVEIQSGRSLEDAQREKNHI RSLIQQQDQSYRFNSEIQSGIQNKSLKHITLSNLLKKAEERNFDKDLSEAKYEHQIAI MEHQAHVTRSMAAAKERGLRDYIAQQADLATKSASLLSRFSILLHNEVSSLSDGSADP DTIVKRLHSLLDTVDTSVSTLFGESPAPLSVAPTRLPVSLAAPSPRRPSVRASTSPKR FLKKISPKKNMPLRPSLFGTSSGNSSSAPSEKKGLRWRDEAGEGKIDDRSIAPDCPVF PSPSTNAEESIRNDSSTSSDWEDVKPVQPKSSSPLIPASLRPLGNPPAPPLAPTSAIP PETGGENTAEIPAWKQMRMMRNMGAQQSIEPIAEESPTKSPIGLGRPSGPGLMGPPAR PARPGPLGELHSAPVPLSSRPLSSLSHSTSMSNLLRPTAASAAKSNLPTSNSSVFANV KIPLSSFASNPNSSSSNSSMSMGNTSSSLPSRRVSMIGPARHDRPKQRLSMLPPSSSF HPHSASASGSGDLSLSLKGLPVPSSSSGGNTSILASGARRIGGPRSSMLPTSTSGLPR GPRPSIAATAGEGSKPQWR L199_005879 MIPSTSTSTPLTGQLHLHPQAETQPHSRPAFISIPTSNSTAPPT DPTPISIPDSLPPSQPYESRRTILNRAYPLLFLVIIDTFYTYKHFSSLSFSTRFISFI RIFTLVYVGFTKRWRFKKSWVMLVCGLTLIYSIWEGCKRFMTRGGNDSNKEEGGDGKY LILTSILSIIEYLSYLLLLRLFPPSPSSTTANLSYKLPLAQTPSSMRYRSTSTRNTPG SVRFHPQSQHRRHVSRGTLRSVRVRSRGNTINGGADDSAISQSVGSDQQGMRYEADQG DVFTSSTEVGMSSGYGLGRRSLDRSSLHPHEDEYEEGQLYVEEEEQDDEQDGYDTDED RLSYGSPTKNIINLDNLDHLEDQEVYDIDGNDEDDDDQSVSSISSSSIIDLPPPLMTL PSRSTSLNINMNMTGLENSPIVGPLIRRSRSARFVPSSWGSLSPSSPGRWFNNRNEQN RTQGEEELGDYGTFER L199_005880 MPKPTFLQAVLNKPTRSYADPYHSSPWSDQGQPQNSYYTSSSSS TYDDHYNQYSHAGPSKPRSRGPSSTVSSSAFSDGQPRNTISMGSRFPKPARRVVSNIT LRDGQIIHDNPPLPKSPSSAVGTTRKKSNKTPSTARGEEDGRPVSRAGTVKKKKKPKT TIDENDSVSVLSSPTSTTISLPMPPPIHSRNSESSSSAPSSPVPPLSPITSTHSETIR QKPVRPNYMKPLILTPPSSETSTPNSSTQQMSTMKSPSASVPIEQTKQIQAPQVVIGN AYSDEEEEEDIFYTPRTSLEDLQLPVPISTESEGLTTPKPSNPSVAPPSFNFLPPTPA PVPDPVQSPFHSEPSSSSSSLHPDRPFVSRQPSDTSSSPIQTSNLVQMLKDGDEDDDV QSASGQAGSDEDEELNRQNALDRPKSGIWSKPPSAAGRCTPPSLDGYAFRAPSRQSTS RHFSRHSSDEFPGIRRPSVPNSEASYTSAPNRPEGSIRGSGSISGFGKGGWAAAHSAR SRPSSPIMFMPTSGGDGWAEFQPPPPRQSKFTPLPSASLSPTFDKITDGSRLPVYGTS SRGSQNDYPQGLRPPSRGDSSPSEYSQLSDGLEMPSRSYVKKDYNSQASQSTAPSDGG REMIGPEPGSLAFPIARIGSLSISRSTTSPSLGNPRPPSRIGSETYSSRPMSPSMDYS RPTSPMMNHRPSSVLSHTQSTSPMTFNPPSFLNPDLLTILPEMTNEDSNRLYRSAASE SGAGGKGRRSSVQDWGSYNPSRRSSIFRAKSEIGHDDDERDIPQMPTRRSKSVAGFRN HDHSKDKKWEGSSYGDGVLMESNGRAPESIGGYTNLVLPSGAYRPINPAKSANSNGLD SRILGMPHATMASIVLTTTFSRHSSTPAHLRDQLPPLVDFSSHLKPPTKVGDSQLLVQ VYAVAIDQIDVRALDEKARWEVGKYVPGRSFIGRALTVGADEKEVVRGDLVIGILDIR KSGALSEYIVVDRRRVSRAPFPTNLSLEQLSLLPLQGVAAARAVRTHLIKHSRALILN AHEGVAALVCQEMSRNGVNITAVIPGGDDSHEHHKACMENGAKGVLMGSPAAVMLSLE EGAWDYVFDTVGGSRVSDAARRLLKDGGKLISTIKPDSSSSSSPTTPTFTSRPSGLKS LKAAFGGSNSRRKDSKYISVEYLPPTGSGEPEVDSSGMDYRDIMEEPCMAIFRPVIAE HIPRKHTSMVLGGEGEGRVKAVVNFEKGHEIFRRDWEGVRIVKVIN L199_005881 MLTPPYHFSIVACPSSLTSNGPEILYRGSIPAPRNKSFIRRLNI RTIVVLRKKALKEDDPLVVWTKKRRIQMKWIKAEEMGEEKLGMGKNEVLEVLKIILDI KSYPLYIADIDGISHTTLIVACLRKLQGWNMECIINEICRFEPEYLDLPLLPFIQSFL SLNTSDSSLILPQPPYPGWLWPGPTTQPGQGNSSGSNMGKSRDRSGSTLSSSSTQSTT NTTTLPFPHPLSIRKHPTMKLTFPILPPPPPASGGGMGQGVTPPMGSSPMIGLSRVNS RREKIPTNEDGSIIGQAVNNISNSNNIQQPQNEESTKAQGEFGNDNKLGRTVSFHSMS ERQSQLQSQSLPKQAQTQAQVSEPKSKSTITPTQSNQSSPLKLSREQTHTTSTTSSDL ESTEGEEDMVGDGRLPRSEYQDDDDEYYEDEDEDEYEEEEEEEDEDDDDDEGNEPTSQ YISALDLAGFG L199_005882 MAANPQFLKYVDDHQDDYIKRLSDAVAIASVSGNLSYVKDVEAM GEYLVQQLTALGATVEKRGIGKHTLEGKEVDLPPVIIGQVGNDPKKKTLLVYGHYDVQ PALKEDGWLYPPFELTPDPKGSGKLYGRGATDDKGPVLGWINVLEAHKNLGIELPVNL KMCFEGMEENGSVNLDKFIESEKDKFFSEVDCMCISDNYWLDTKTPCLTYGLRGINYY EIKINGPDRDLHSGVFGGTVHEPMTDLIALMSKLVTPTGEILIPGVKEMIAPLTDDEK AKFEAIHFSMSDIHGAVGGDVTISNDTVKTLMGRMRNPSLSLHGIEGAFSAPGSKTVI PCQVKGKFSIRLVPNLTVAGVTEHVVKYVKEEFAKLGSKNTCDVYLTHGGEPWIADPN HYSYRAAHKATEAVYGQTPDYTREGGSIPVTLDFANILNLNVLLLPVGRGDDGAHSTN EKIDTSNYINGTKLLGSYMYELAAISKD L199_005883 MSIPTPSSPPRMLGNQVIIFSPPKDPNVEAIILKRPSIPPDAGP SSPTQKERAAKASVSVKKQPPIKKKPSMTIVMGPHSYQQLDLFSSDESSNEHTHSSSR SFGRGLHLRSKTVSPTPTPRRPPPISSASDRAFTPSPEPIDWMDFSDPQMAYEEYGSV VEDFGSWSGESSSDEYDSPSPVIITPEDSQAAPRMVLNPHDLTVLFGQPALFLPPTPP STPFLSRKRIASKSPDLSIIPSVSTPSTPFNASSTLPIPQSPSSLSAPGRLFNLGSSL GINLNLTERVITEISRDEQVIMSEPDGGKDKELQCSACGKYVEYKKANRMIPCGHITC SSCFSSTISAVSPDRAHSQCVACTTNLTTFERIKNLSYIDSSGHLDPSDDRFSKYKIP VAENVENSVVMRIDNIAWDMTPEIVEGFLPSNTLSDQVPQAIHIPLNRYDGKTKDYLY IEVASLDAAKHILQTRQNTHMPGGPLTGGKKRPVTITIVSHVELVTELRPHAPQELHS LLNLCHMALCPPTPASRFVKSRHGPFYALMSIMSKLSGKGSPAYWDLFHIASGAISIL AQTITRKTYHQPYFVCDTHSNPTPADEDDQLVLNKLLGMFERCFGMSPNFS L199_005884 MLRALGLLGQCEYEYRRYHLDLLIELLLHQSSQPQLESESDEEG SSVTNSIPTSSSSSSRVSPISTYGDIEESKAIHNKSPYFSKADNMITRSQRHPPTGSQ RILTPPSTPIISTRSNKRKGKGKVTDTIGRKDNEDGVRPPTPDSIPSKKRKRAQKEVR VEIPSRVEVELKTSKRKKKNKKEKDETDLKGTAKLDNVKNTAEEVVVESERGVVEHIG KIHLIQEKLRYNPWKMLIATSLLNKTSGRAVKPILEELLGRHPTPQGLAEASIPDLAQ LLYPLGLYNQRASSLVRFSRQYLDLGWPLFPTTSTPIYTEDIPPLNKSNTKIGRDTKL IDNSDLDLDVKVFHGSGIYASDSFRIFSQFHCGQGAPSQESKWLGKVERAKDRMRNEG GWNGSVDMLSDYLSDEDDDENEPEEEEWRKVIPLDKELRRYLIWRWGIEGIVYDIHSG PRIVKQRDKRRLEYLLKENDLEDS L199_005885 MGCTQSTEDAAAKVRSAEIDEQLKRDRANLRNEIKMLLLGAGES GKSTVLKQMRLIYNKPYDVEERDSYREIVYSNTVQSMRVLLEGVALMEIPVNPSNQSR WDVIMAAPAQIEGDIFPPKLADAVAGLWRDQGVQQAFERRNELQLNDSAPYYFDAISR ISQQDYMPTDQDILRARVKTTGITETHFKIGELTYKLFDVGGQRSERRKWLNIFDSVT ALVFLIAISEYDQKLYEDETVNRMQEAMTLFESVANSRWFTKTSIILFLNKIDLFRAK LSVSPLQNTFPEYRGGANYDAACAFLLEKFVGLNKNPSKSIYAHYTDATDTKALSFVI SAINDVIIQVNLRDCGLL L199_005886 MSPEPPSGFIFKPYPAPILKESSPLNPNPNSTSNSGTSVEAFFK TTFDSLRPPIISLDTFPPTMIQAFPVPNISIIPPSPPAVPPDPSSALPSNVPSPFMRR WLYTQQSDYDYDDEIPPPPPASQDQSGGENDSLVRHLQRVRPVDHPENLLSDDFATER THGVSGSRRGENMDRTSSLDVYERIGLPSQRSISAQPRMGTHFLKTGSVPREHHDISS NSRDQSQAASPSTARSTPSITTGSSTPEWRPTRQEEVIEGILAEREYLKSVLDQSLSE NQKLKRRWDKLNEYADYMTCSIDYHELSLSLLSLCACHPDPSPMGIFGPFDGPAEFKQ AIFDLASSVGSPYPKMDSLGSDIVFKEIFSRALQLLLFDYNQQPHEPSSEDDLQWYTP PDVLWLFLHTAHDMLLSHLREIIRAMKYSCKNSISVRSIVLELMLDRIGEDELEKRKR EEGIWSMWSVRQRVNFEDNGRKLMNHESTCIDRKGKGKGRDENEGGEDMWDDVTRVSW EEIHRTIMVLKNEGEGDEDDEVF L199_005887 MMIPLDPPKAFYDLFEPFPSIPSPIPSTPSKLGTIPRGLFSPTT SQLLFHIGPPITPTNPLPKRPVTSKRAARKPVEECQRSHTPSSSVLVDSSSNRPTEQK DLKKNLREDPWAPRKMAEVEKEVVDIEDTIREVLDISLTDRSGSTLRVQATSHNLQIT RSLSKLCTFAPSPLFHTALREIALDVGMKDHYPWYNYTISLNDVFTKALDMLLKSYDD GHHVSRNYHRNMNDQELMVKYTPPDILWVLVQTADQPILDSLYRTIKKGLDRASNTER GIVLILLLKKVQETRWRKFKGWKSFAESLGLMYAQNGCVIDDGMGIPKVSADDVRLVI GVGGYRKRRREDDDLEMDEERSRNKRKKLGSQWNAVSFGI L199_005888 MSYRSGERLQQPQSPISHQTRYDSEFQSERSSISLRSHFDLVEP AIRLPLTSKALQEISFTACPSSRSSEKSCSESDVTEKPHTQSIKSLGIRKIDSWKPPD SHTNSKDNISSVGSQFEIFKPSEAPGEDNLRCTTQSQEKYAKGREVFFPADKQDYISQ WKSSFPSQIPPKFHHDRQCHSSDDTLIGRGNDLQSEIVDLKDQIGYMKRFISLLVSSP SYTSIVRCPSRLCGLFSPSPQFFSALAELSFVIGTRKSFEWVHDPSLLDEAISRCLTI LLRIYDDTYSLLEGEGLEGRGRRMELIRPPDVLWILVHTCDHHILDSLERVLEIIKIS TKRMTQRWIILTILLYTIRSDDQIDENHYHSRRRRARDGEGFKGWAKRQALLFARNFW TLDDCPVHSKITRNRTGENLGWYQHGIVEYDDHEDRKDMKRISADEIRRIINEARLGD DNGRYSDRKTNKRKLITYEDLYAVESDIFEKSEDGDNHTNKRVRR L199_005889 MAPAASIPQAGSSDPPQPVILQSSDLIASLPAPFLRLLVLFARP ISFFRSLLEVLLWRSGRRVQSWMVVGAWWALCLGSSHAFRYLLPVLLFIPFIPLSSLR LKSPSKQGVKNTSVEPSTSETLLTTLSDINAIYALLPPSPLPQATAAYDRFRQLGPIR LFRGLLVIWITWIILGQIIGFSTLLGIIGSIFLLLPSPPLSHLINLLSKSLFVRRSLA LAFLFTFGSPPESSYRFSLSHFSPLGWFKSKWTTSRRPSLAFSFRPKMSTSKIPPGSA IDDSPEIEGDDKEKKVEQPIYFRFELHENQRWWMGLDWTSALLPQERPSWCDNHLQPV SPPQSFTLPPPSSIVIPNPTEGDPKGKVLRTSSWKWLDDDWTIVKQGPGISSTLNSAP SPTIPQHDDHGFSVPSQGQNQDGKSSSGSRPTSFVSTSPPKPGLEETLSPGARAQSIA EQAFTKGLERLKARTASVALSSGTTAALAQSTSANPSSPRKTSDTSNLNFRQRTMSQT SDDSHHTDIDPHGNVNLQMSLPGEVIVEKDDATDNDGWVYGDNKWENMGPKGGLGKFT RRRRWTRRAILIETVIKLKSTDEDEPTIIVPPSGTNTPMAGEPTGTATVTTTPMKSKP APAVAVIPAKSNEAGNSVGMNRVGSKDSKKSDKNTTGTQEVPAAVTATGITSGTASRD DVLRSRLKKAMGSVGA L199_005890 MTATKKTTSKRLNGDHEATGLILDQNEVLKAMMAAQKGKAKEVV SDEDDEDEDEDEGSDADSEEDFEDEVEADNSEDEGSEASSSNTDINSKRGLKRSRDLE DDGDEGGSDEDLPIQPSNTASTSRINLSSRTSAAINGHKAPQKSTVDPSAAFTANPKP SSDTTFASLGLSQPLITALGSINIRKPTEIQAACVGPILSGRDCIGGAKTGSGKTMAF ALPIVERIARDPFGVWAVVLTPTRELAYQLSEQFLVIGKPLGLTTATIVGGMDMMTQA QQLEARPHIIVATPGRLCDLLRSDGMSQGKLSRVRTLVLDEADRLLTPTFAPELAYLF SQIPPKRQTCLFTATVSEAIMELANKPPPPGKEKPFVYRVESDTLTVSRLKQKYLFIP SQIRDPYLLYLLQHPPEDIDIALRVDPKKKDTQDSDQKRKKGKKSKHVKIMDDEDENE NIPSTIIFTQRCATAHLLHLLLNQLEIPSVALHSHLTQPQRLLSLARFRAQEVKVLVT TDVGSRGLDIPEVAMVVNWDCPRRSDDYVHRVGRTARAGRGGVAITVITERDVELVKM IEDDVQVKLQELSLPEDKVLESMNKVALARRMATMEMHDSGFGERQAINKAKAVKRMK RDAASNAN L199_005891 MAPSQTPYAVTPAQSHLYSDQTVLSNAIAQLIDEMNEDLTRRAR IYDLGILSIGIAIGLILYSLIHDLIIYTREPDSTAQITDSKDQDEKSEVQCIYRARRS RKERQEADEKV L199_005892 MSFGQLEANEKVLVGCIGGSGLYHLDNLTVVKKLDITTPWGKPS SPITISSLPSGDLIAFISRHGAHHTITPSEVPARANIAALKHIGCEAIIAFSAVGSLR EEIAPGHFIIPDQIIDRTKGIREDTYFRGEGVVVHSMFGEPFSKKLSEFVAPKVQKIL SEQEGDVKVHTGKTVVCMEGPAFSTRAESLMYRQWGGDIINMSVIPEAKLARECELDY TLICTSTDFDAWRVGEAPVTVEEVIKTLHTNAGNSRAVAAGLLQDVHDVVAEGKVLNE IKGSMKYACITRVESQPEAARKKFAYILPYFSD L199_005893 MSLSSATTLISPTSVKTTAPSTSAAGGGSSHATNRRPSVHAKRR PSTHAAHSHGHGHGHHAHTGNRRSSEGEHGRRALAAGLAMHALDTTDALVKKKKEKRP LPKNSRSDTHLPRLSRTTSITSTTSHDSHGTTTSKSTRPKARRGSTRRSEESVQVLRE NGEDGDEVDEAEEGWESGEDQLEISPEKSKKGKSRNKETQAQTASSNMRRTVSDTSAE PQQQQQRQTVSHGKGVLNGDLRESPSEAPHPPALTQRTTGFAGAIQPPDPQVAAELPV QDPHPIVTPHPIRRNTSAKSLVGPISMEPTTSSDSRRYPSSDREGGRGASKDRSKSAR PEVSSRLRSTEGSGLPQANDAEAISTSPSYPFPQMPSSNAQGPDHNEAQQDQPESQRQ RNASQPQSQPQGSTRSRQASQQHPQLRHRYSNSSLRSIQSLRAPPHPLNSPTGYRTHA TTATSRPGSMFGSPTKDDKRQRVPSMHQPPVPQPQISYEMAKGRGWDGPIPEESTPRS GKIQNQSQSQSHSRKDSISSQKSIRSIFAPLATPATATLSSPARSTSGTGGRRKTALE AASLASRMPSTTDPTLYHNSLGHANIPETVYLISRFLPNTKKATRPRWEMNMRDPDIQ QDLNEGKLKVGLTNGDYRESHESLVKTLKELGKDGDSSQQNRNVTGGGGIGRPGTMNR SYSSSAFPLGVGPGGLGGDGVDGLSMRLKDGLTIKKGGFAGKTPFELSVQRCLAQRPG GTAGIGF L199_005894 MEQLMRMMQSGRAGMGGPTPQGETIVADNGETVHISALALLKML KHGRAGVPMEVMGLMLGEFVDDYTISCVDVFAMPQSGTTVTVESVDHVFQTKMLDMLK QTGRPEMVVGWYHSHPGFGCWLSSVDINTQQSFEQLHPRAVAVVIDPIQSVRGKVVID AFRSINPASMATGQESRQTTSNIGHLNKPSIQALIHGLNRHYYSLAIDYKKTEAEQGM LLNLHKRGWTEGLKLRDFEEMKQGNKQAVDEMLKLATSYTKSVQEESTMTPEQLKTRH VGKLDPKRHLAEAAEKAMGEQVTQSLAMGVLAEL L199_005895 MSLIHALVARGTIVLAEHANGTAELKPAAQTTILSKIPPNNSKL TYVWQDRLIHYVSSNGVIYLVMADDSVGRRMPFAFLAEVERRFTASYSSDEIVSASSH SLGDFENELAKLMHQYTTSPPADPLRQAQSDLNNVKDIMVQNIDSILQRGERLDLLVD KTDTLAGQAYAFRRGARNVRRQQWWKNVRITALTGFVFVLILYVFIAQFCGASLTHCR S L199_005896 MDTPNTRSRRKRELENSSQEDGLRVAWVHDSQLRKAVLQATEDD VITPPTPTQRPRPISSLLKSSSSSSTSEPSKSNKPPRKRPCRGHRSLPTSIQKPTSSS TDTGESSESGSNSLPNMSILSTSTSTNSEKTRLDYLLDRFSLLSSPMDEDKTNNSSKK SYMDVETPSRNLRPRNTTSMKDRPMMITSTPLKNRNGSTFTSNSTSYVGSHIKANPKS KSNPNIEPVPIPLIHQSKTVDIPHPNVPQDKGKGIFTSKPPSTTTLTTHRNPLSPVKG TAPRIGLGSQHKPKSTNPSTTTTITSNRTGGWTRTNSGKAFRTPFLDPTSTGGVRSSP RKQVSNGVGPVRGPSVIPTTFTKSNHTLPRPNISVSPNKRPRPVNPRSNTSTSESSSL KSSLPPTPPVLPVEVGFKNYDVGENDPEDTSFDSFDGIFAGGGEEIERLLRSVDGSA L199_005897 MDSDDESVIVGTDWEAVGPFPSGMREHPFTGSPLSAFLDPSIDL DVDIASRPYKDDESWPSELGNGGRVGWKKFQTGDDGWLDISYPEINWNQLRSDHGWSS LQYQSILRTSLHVPKIHDQSKTPIKIDVTQGVEYAFVPVGHAEHTGPVEWYSGDIYAF SETPTGQREATSKTSNFARSLSLGPGRYTLLVKAIYEIRMFGDPGSSVPPTIRLKVVA ELDRVKEMEMIDGLGEMPDMLDGWFMGDWISVGIRVPDGNEDIEVIGIESPFGSAVSL SLPGVARVTSGQIRPVSIKLEQRKALPSYIQSIKIILRVKVGSEEKDYIWHPRFKHFH LKDNDQIPPFRITFSSSSSTTFSTSVIPASISHAMIVPPPDSNLFHKSTATNQLRPVL LILHGAGVDITEPMMPQAVPSIPAYWVVLPTGRNEWGEDWHGGSMQDVWSAREAFGRI IQKIGIEVSNETILMGHSNGGQGAWHLAARYPDRIVGMVAASGWLTIQDYVPYTEQTS RHYADPALMGVLTSSLSPYNNDLYLSNLVNIPIMVIHGSDDDNVPPRHSRSYLSILSS WAGKQDGGVMKYLEVEKKGHWWDDVIKSTDVVVFIQNIPKKKSWDEQRKEGFTLTTVN PQESGGRAGIRIVELDIPGRIGRLDVNARQWRDSNPAKPLDLRGMNIKKIELISQNTG EKETLTKSSQNGEWAQSIIIGPLTPPRAYGPMIRILSTAGPMVVICPDVPRLTNVAKR IVHDLYVYHRLDSEIIDDREGLLRVAKGQIGESNLVVLGRPDEDLFVNWMIKQGKIPV KFPTKGVMLVEDKVVYDRGAGIITLHPHPTSVKALSMLIAGNDDLGLELAARLFPIRT GVMLPDWAIVGPQARWKGAGGFIGAGYWNDEWGWSSAMSWMDR L199_005898 MAHTKGHDILPPPNWPEGITYLTKPRLSPTFPPQLIPLVSPNAN TNSKTFNIRPIKHPSNVHIKCIDQDGHPAKGQCGLYAKSKIKGGELIIPYIGMIHSTI IPDDLDQVLPEPEDEDEHSSSDYDLSLLRLSSSDIRNPFPGMHVSIGIDAAKMGNAAR FVNDYRGLPGITGPNAEFRLGSGESGELRMEIWSLKCGVGKGEEIRVSYGKGWWGARK G L199_005899 MSTRPSPIPIPISSLLPPCSSSSSSSHPASQAYLPSPSYILGPL PPTSPIHLALDHLKLADERPYEPLQQPPNDQDPKSKGKEKIKDDEVQPIDLRSKERVL IITGSKWNYIDSIQEEDEDTFRSVSGKWEVLKRLKRIDIRSCPTPSHLQLLLTLLTES DSRLPLSSTSNKTAQPQYLESTPSVVILWDIAGMFMCEQNLDENEPPPKDEGMPGGED EHVLIQQENGRKFRSEVCVPDYMSLLAAVRAAVDHLNTLHPSDPPVQLIVLEPALDAL SSLPILPPLSSENEDPKMPKFARERKVLLVDGARWIFGKDSIGTVHQISGDQYTTSYF CFTLDKDRKKDQDKSYQIRKKRCPKADYSDPEWQGEEKMMGWKWEWIGS L199_005900 MPASNRIIYPGESPSESSSLANPIPGRALRVNQIDSEDLDSALV GMLSDKLSSSLKNFKSSFSDGFKPELELVIRLVIFKYGIWSSIRASPGAKLQNLQMIS DRTSNPTKRLLLLYLLLHPPIFPCYLINRLKNYGLSNQWSDLPNHDYRKKFWKVLGRL ESISKIWELIGWLGFLWDGKYPSLLMRLLRLRLVPSQSHLTRLVSYEFMNRHLVWSTF TEFLMFSIPLLPPLPSFLNPSTQLSHLKSIFSPPQTIDYTTIPITSSSSNGPIEPKGI YGNLPKSTCAICYSRNSTQPVPLSSSSTGSNLNLPPIEGADGSGFGHEDDKEDNRIFI ASQTDCVGGCRWCYYCIGGELYNHYERVKANGRKSKKREDEVKQKGEEKEIATNQEEQ DNEDKWDCIRCGGKVSRAWRVGAEEGVDS L199_005901 MIPSTSLAYLALSYLALSSPGVQAIWPFKEKRFRDEAFIDAGSL GLEDVRGRVIAAGDWDGDQKLDLFTLSQDGKTITVQLWDKDKFTYIPSHTLTLSSTIS NIVPGDYDHDGHLDLLVMYVQEDDGHWWENKQDKLGMEVFLGGGEHGGFQKTPWKLDY SIPAQPIIFDADNSLRPSLIGLAAVEEVEGGVLRTWLNNGTGFALGSPPLSSPDQACT LANPHSSAFIDIDGDCLPDLVLHCSEPKSTHHSIQIWLNRGSSGYVLSRSYDLPRGSG PLSFADMNRDGSIDIIFPICKQHSKSTGLGTECNINIAYNKQVPVCSTEGSQMTKEGK LKCRGWGDLCLADEEFQFSFDSSDPDFSSIPLSTLLSHEEDGNSPSGIMLHPPNQPDI PLPLRPGDYNVDGFPDLLLTVHTSIKGGNGILGNKEVNQVKILVNTPCGKNIPGCSVK GTTRGFKVGSGKSWAALDGIYDAEGASWIDIDDDGSLDILVQRSGAQSGQKVTFIQNN FYHDAFFLKAQVLNGACEGKCQPTDGGKSYGPLGVSYSGAGYKFTVLDTTGHRVAQQV AQLPQTGYHSLNTPYAYFGLGRTNNYVEKLFIGTSLPTGEHFTYLESLIPNSQIIINP PYPVSSEGDTDRSLISRSERALFNVSKRQQTSIAPVKNRSKEWKSSLYLKPGDWVPWV GVSVFGTTVLLGLVVLYLNEREKKEDENERRRKLHSINFQAL L199_005902 MRVSQLCFALVASVISVNAHIALWDEGMYGWDPNDPNQSEPVLP LMHLPFNEWWFHGYIDKPPADGKIMNLPSGGTYHGQVACNKALTSYGQNADQQTGIYA CDGDGATGGIGAMHTSDQWASSDPKDVKGCGIAIAYESDVKKIQPEDFTVISVNYTCP WFKHVDFQIPSDLPPCPEGGCHCMWGWIHAADAGSEQNYFLGYRCNITDATGITPLPS PKTANKCNYPTDTSNCTVGAKQPHYWYQAERNNNPQGEYDPPFYNNEYGFINGAQTDL FAAVGGNESATTSTSASASSVSASASSASSVAASGAFGDSQPSTTVATSSESTTSTSV SQEGTIQTTVTVQTTMTRSASIAAQVAIATSDPSPATSSSAATSSATSSATATAGSGK TCSVQKKKRHLERLINSPDLIKKHLERRERRKERLRKRRIESGVKIAKMV L199_005903 MTTPTPTTSSLPLPNSNSNLGRVSPIDSLAQQSQSPSFNPNSFT GQPSSNYPRPPPPHTSSPANGNSVRPPPHQQPIAGPSRPPPHQQPSNQFQQQYAALSG LPGQQNTGSTTLDPSIHARLLLAAQQQAQASAAVNGTNGGGNQRPPGMPDLAAMASNM PGNREAIMKQLQALQTSQAQRARHALAQPQPGSQPPPVTGTPAPPSVPSPATATAPSP ANPTSQQSQSQFNQQSNSQSAQNAQQTFSQIDQSFQQFPANAPDQQPQQTQQQTFVNG TGFHVPSGPQGMPQQQRDFLLAQGEKMRAAQQLQIQRNQQQQQQQLQQQQQPPPTPAA NESQNFQPQPTPASHPGNLPMPPNPTAPGTTNPIRPPGQQQSGVRPPGNAVAQRQGFI GSLAGFYKSANQPLPQAIFNGERDGSFQLGGAWVDVLDLTMIIMRLGGIMNVMQQGAD QPFWRGFLQQRNIPNPLSEPAVIPQPLNADPNAPPQTTTDPVQYIVSAYIAWLQPFEQ HMSRQRQQFAQRQQAMAMGRPPNAQPGMLPTPTMNGIPGMGPGQQMFAAPSPAGSVPT PTSMIPPSPANSISYMPSMASPITHAPPSETKSSGRGRGRGRGRGRGRGRGQSHDVSS RNVPPPIHTGHNDFTAPPTSDVVGTPESGHKRKREKKDKGSHSAENSTPSTPASAQVS LPAVSAPIERPPTPKRARYKVEYNPIHKPQSNLGGWERNAVAATFAKNSLHQGARSIH DLAIVDMEGILMSLRSRLPHELSYAITVLSMLSMSHPEENITGLPLVHLQEVYQEILD LMKEAAFGGDGYEDWEKQYQHDESSPTSSSDDLNQMTFLELEQLGRDFDFSFDDDENL ETPKPPKDSTGGQTDIILATMNLLRNFSMYHDNQEVMASNPDTFELLALLTDARLCRL PGQPQRYNRPFSIIELARVRRDAISVLTNIGTHINLKSYPIRSTISIFRLLSSFLNSG FDSFSIQDPLFGPSTLISLNDARAPVNHSLNRALEAFSKVMLSDHNREVIGTKIPQKE MIRLFENLVKLFPITKRQLEALHTIEDYLGLYEQLSLCLYSLVFSATIQTRNQMRNQP GLLDILLRLIIDTSLQHKDFKANPFGILSRRLCETLGILNGNIQPNGMAESQISFSAG GIDSKNGYKFDSKKITNGYLANKQLEILEMLWRVRNVDGAAFRELEGMCWGGEGGD L199_005904 MSIPTQTIKHATIASMQVPAMAIGTWSWGDKTWGYKPEQLPAIK EAWKTSVKAGLTFYDTAEAYGNGESEKIIGQLIQESSEEEKQKLFIATKFLPFPLPHS LYLFNPPVVERLQASLKRMGLSSVELYQIHSSSGLGSFESLANGLAQCVKMGLCKAVG VSNFSKDELAKFSDLLAKHDIKLASNQIEFNLLRQGPEKNGLLEEMKKRDIACLAYSP LAMGRLTGKYNASNPIPSGRRFSNQYTWEQLAPLLEYMKTLSEKYDVSISAIALNWVI AKGAIPLGGARNAKQAEQNSKALTFELTPEEVRGLSEKGFDGKTNWFWQRG L199_005905 MSALTLRSSTTLARSTLLSKPLLCGCYSAYHPIASGSRSFSISA RRGIRSDEPKKSSLALRPEIKQREERAEGKIVGGEGKGVEGPHYQDQSTVANDILTNQ STTGAWTLMNPIYTESELDTVKVVGREPVTLADKSVHKLVKFLRRTFDFLTAYKDYKV PESILQQNPIPVAELRAKGLLLSHHKWLFRIILLESIAGVPGMVGGTLRHLRSMRLLR RDGGWIHTLLEEAENERMHLLTFMTIAQPTIFTRALVLAAQGVFYNAFFLTYLFSPKT AHRFVGALEEEAVRTYSHCIDDIEKGLVPEWNDVAAPRIAVDYWRLPSDAKLLDVIRA VRADEATHRFVNHSLANLDQKKDFNPFALVEADAATRGGKWGFTREESAEFAREQQKK LMEVSHQKRIEH L199_005906 MTIDNYDIPPTILTSRIFPGIIPSTSHHNVSWNDDGQCLFVTKK GVNILTPHLTTTFAPPPTLVDPSLSLENPSSAINNEKRRAASAAFVVDDNLSDEDGLD NYDETPLPSKDGGKGKGKETKVRRPRKGEIKFWNTGVEVDTSRKRDDVYGWDDVGDEI TSVISEKEVTTRQSIWSPSGLSDLGGSLLVVVNSAMQVSVYAPRNDPYTKQWDEIADL TSIMRGSLPPEALSDGLSVEGMLEMRTICAQWSSHLPLPSMIGVDGSLLALSNRAGRI SFWSYGLEKRFHQIQFVQICEQGGWVTDMAWSKWRILDDQTYEAHLALALTNGSVEVL IVQRRAQIDQANPKKWALEIQAPIVMIDRGDKRHITSIRWIDDALIWTKSGTIHIFAP EGNQTVHWSGTLSLRLDRVGNWAGANGLGPCIGINRINRDTLIIILSSLTTHLLTDFT TSPTLAHPHDSLRTALALRDMFEDHLSIDPLIRVRFRTVQLQPEGWTANTAGWTSLGW GSVGSWVTEPTSFHNLDNATEGKRSVTFVLGSMGKAGPTPDETVIEALRRALADPPNL LHTTSGKVLIPYLLHILSMKDSETLMEDLLSLTSSMLTAETQARQVDQAGLIDGFWGQ KPLDQLRLAYVLSSWCESHFSSEATDFQRVAATVLRSITTRLIDVLLKWATTALQHQS LGNLDRQFICQLLKTANQLSEEQTDSNLLKLIKDISSKLDQAQTQDNSEERCPACMTA VGADGTCAKGHMWTRCSITHLLITHPHYRVCSTCPAISLLPKRHLSIPIPVDEQGKDH DFQRFIPSPSTAVEEDSLIQIALEAAIACLNCGGRWQRAV L199_005907 MSFPAHDPSKPISIPKTEENVLKYWTDIDAFKTSQKLSEGKPEY SFYDGPPFATGKPHYGHLLAGTIKDIVTRHAHSTGHHVERRFGWDTHGLPVEHEIDKT LNIKGKEDVMAMGIDKYNAACREIVMRYSGEWKSTVERMGRWIDFDTGYKTLDPTFME SVWWVFGQLWQKGQVYRGLRVMPYSTGCTTPLSNFEAGEDYRMTSDPAVTVTFPLVDD PSTSLLAWTTTPYTLPSNLGLCVHPDFTYIKIYDYERDQNFILLESLLGTVYKELAGG KKDAKKDKDAKPKFKKVGQFVGKDMVGWRYIPMFDHFTEQYEDRAFRVLSDTYVTDTS GTGIVHQAPAFGEDDHRIAVAHNVVRDDEIPPCPIDESGKFTAEVPEYQGKHVKEADP IIIKELQKKGRLIVRSDIMHSYPFCWRSGTPLIYRAIPSWFVRVANISDKLVSNNEKT RWVPANVGEGRFGGWIRNARDWNISRNRYWGTPIPLWVSEDYEEIVAVSSIAELEKLS GVTGIKDLHRESIDNIEIPSQQGKGKLRRIEEVFDCWFESGSMPYAQSHYPFENQDRF QKSYPADFVSEGIDQTRGWFYTLLVLGTHLYDTAPWKNLIVTGLVLAADGKKMSKKLK NYPDPMEVVNKYGADCVRLFLVNSPVVRADNLRFREEGVREILANVILKWINSLNFYL GQAELFERTTGEKFVYDHDAPKSSNVMDRWILATCQTLIQHVDTEMGAYRLYTVIPRL LDFIADLTNWYIRFNRTRLKGIGGVEDTRAALNTLYEALYTLCLTMSSFTPFTSETVY QALRPTSPAPKDTSQDVRSIHFLPFPSVRQEYFDLVIERQVKRMRAVIDLGRLIRDRK TLKVKIPLKELVIFHHDQEYLDDVKSLESYIAAELNVVNIVYTTDESKTGIKYRATAD WPTLGKKLRKDLGKVRSHLPKMTTEECKQYVNEGKVSVNGVELVEGDLIVTRFAEVNS EDNKFDTASDNDVIIVLDIQRHPELETLALLRSLTSRVNKLRKEAGLKPSDKVEIYYT VDENEQDYLKPAIAQQEEYLLKTLGNVPVELSQKSNDKEIIETEKRSKDSEDLAENER FVLSLALV L199_005908 MSRTTSAGPSRGTTPIPSTYAPSSFTPLPRSLLAGTGIRFSPTP PPEAGPSRSTPPRANEIEPGEIKENVVGADIFIDEIPQISPSLPGTSNAQEDPALSSS DHFQPPGLFSTSMMPSLSTSKKGVNAELQKPNDVNSKSKGKEKAKVEDELAESSLLLP SHVLVDSTSPSKMQTDQGDDDRVDQGDNEDMMEGLYFVDDDISKGSKRYFDAEDDGPK EVEATFLATADQSKICQNCKRPGHRSKDCKHIICTTCGAEDAHERRDCPVGLVCFGCG GRGHRKQDCPDPVSRSSRRTGCDRCGSRDHTENTCHTLWRVYSYLSTDARADIIRDKM SAEGWEKEAIGGRASEEWCYNCAREGHLGDDCSKRRGSLARLTVPSAFSHEMSSRGPF FTTSSRRNADLPPPTHSRFDDDGDQDDYDNLPFISGGYKNFGGSSAGRKTREKEKARQ MQIDRERHFGGGGGSDDEPSWFDQSRNFRGGGGLNIRSRGGAYSTPNNRDRGRRPWDS EYRERERDPRHSSHGQRNRSRSPPPRPPSNRKPNDTSNSNNNRNGTMPFSHGHLMDQN GNGSSVPSSAPAKVISFGKLSEPGTRNNNGAKELINRALGGGGGFQSTPTGKNRKGNG SGNGSSLIKTPVVEIPTPGSSSRSGRKRKAKGGGSGSEKDWESEWRSNGNGGGKVDNW GKELDQVRKAENGGIKIKGKSGEMNAALGGRHNVSLPARPATPNTGGKGKGKSKSKNK GKGDEGGKGQGARAGGTGQRYHGGYD L199_005909 MSYYRPSSLAATTHAHPEASSSRRQAQPALPTTINEEEIATATA AAPIGAQGDKGKGKEKKVRKMTMEDVERLAGQICNVRPLGACQRPQSSGSLYCTNHSC QAINADGDRCGNWVSNPRETRFCANGWHMENSRHTHLSDLLALRKALNQRQSTEREKQ NAAQLYYIEQRFPSSSSSSSSSTSSSDTPSSTSLSLLSTPTTPPSARSTRNLSEQRGW GSHGVDAWWRGNWGLNSEQISETGGCTRDS L199_005910 MLGLHPRSLLRRRPVTFHRPTPSANFPRTYRANSTFIPFSEFKP PPIRQRLKPLIPFFIYWSIITSLAVHLLRIRISSKEELDKQKAKITVLSDLVEQLRNG KIIGEDDIQRELEMVGLRERTVLSQKVEVEDTKDVRWTEVLFGKKREMKDEVEEDEKS LEELVQAVNEASQPTPSPITLIPTTSNISIPERSGQVKRAPSSSVYM L199_005911 MSMSGSSTSRIRLVNSSSTDSMTPPTTASTTHGQGQGQGPEQAS LLQSARLKLSTMDQEAMKKMRSTMMDYPESTSSKSSPSSARFPPAEYQDLIENASGSG SGTRKLRTRRSTLSKQIPLDETQEEGEEKDMDKTAKPNLSGSSTSSPVNADYAIAVLG HEKVGKTTVIARALRTWGMSHPLKTHSAGGHTIISCYSQIQPGGKLKQPWKVEFLEMN MHALNLAPASKQDQVWPEGVPDVAGVIFCYDAGRSDTLSGISEALERLSPSGIPIVIL ACKSDPDTQLEVDAHHGNSIGEPFNVGLIEVTTQTSEGKSKMRNALRWLVYKLEQRQR RQQRRLNASTLTIPQHPPPSPALASATLEALSSPIDSDASSSENTKLMWHQRGGFNMT PADRDQDGMSDHRSSGSSLGWVTKGPPPPPIRSSLDIEQENKDKTEKKVIKSEPSSNG EGKKGGVQERVITQSGVQLDAPIWLTAEDLLNRLFAAIVSSQDEPFVRSFAMTFRRFM EPKDVMQEFLVRLKEVEGYEVSRDVKNWTMMKITGALVDWTTRYPGDLQDLSTQSIFK EILAFILHHTFMAHLTGELIMVEHSLSEVVDLDQSWSVQNTNANAKSSASILSDSTNT ELVIDTEVLYEFTDSSLEKGILLEDEPPDTPFSKDTHGVPNHHSTSTRSVSTSSLPME NSKSNTNPDGGSNQKDSRVNSHAHATDEMGFHKWAQAFNVVVNMDPRSFAVELTKMQW ALFTAIRPRDVLRHDLGKETDGPVGKAIMFFNHISRWVSTIILSHPKPKHRARTIERF IMIAHQLRRLNNYDSLYAVISGMRETSVHRLSITQSLVTISPALEKDYQSHLKLMDPR GGYVHYRRALQADISNGRASIPLLTNILGLVNRLQNVRKEDKRMVEVVKQYGTKTHTR IDKDKVEIQWDKFYKMGEILNVILECQIRGPIIRGEVVDSFRKIIEDTMIITNEDGLW ERSQLLEPSGGGTVGGKVLKRLANLGFS L199_005912 MADYRAQLRPIIPSSTSLPAPLGESVTNLYSYDPKDMILDINSM DPPPLSPKVAHTDRTNAGKIKSMEEYEDSKEDQDSSSPLSEINEEDLAHLPPPQIFHP ITSNIVPLPCVADINEPQKPSSRPQRQEDTENQPYQSSFTSQQIKSGGVQPNPIFPHV QNSLVAGGSSSTLTPNKVTFASSVQAGLNGKKIFVRERSRTSLGVVVKGEKSCLDWPW KDDEEDEVVDDGQGKTGENDTSLFSTYLTSAQNSQEVGEAASSENVKGAIDGAQPSQP PAEGGDQNAATMAEIDKEGTEGVSRNVLHITTGTTGTLTLPAPPMRTAVLHRLAMPRS NSVPTTDLTRHHITNDNPSTAPAHHVSLPPTNMIIHPKPVELPSTHVQASSSSDPSTR STSVAWAHPIEISATTEASSSTQQQPLPQKGYQFPIPPPAAAQKNEGDREPQVIILRR LLQAKEIIESLQDRVREVEMERDWCWASLFWTNNVADKLVPQHLFWHISNIFAKLCGR YIDGPPIMTTGNLQPLSPSIYTLLHELANAVDSPPPSFHALATCPILESIFYRSLRVL MRGYDPLTPVRFDNQDLNEEQEVTIPVDKPIEFFQPPDALWTFVHIGEPNVYIPLLNA IKISLWACPDTRRGISLLLLLGRLQGGKMSLGVRNRPWARNLGLYLEQNGCSLPSSPS LDSATTIGTRSFRPVFGKVDEEEIKGAMKFVRQTRIQEYEKRKNEQRMVYQVGEIGNK RKSEVDAIQTKGKGRGNGKGTGKAKQEEEDEDEEYSGVGYGSKSKSKKRRL L199_005913 MESVLSPNTSAFLNYLDTFSADNSSTAGKDALANPTSLPPSAFF NNMPVPGRDTPEDTPPSAKEPSASPGKHDRALSLSDESDEEDSPERELISNRRVSGSG ANKRKVGQTTRNRNNSIEDDDEEDSDSEVSGHEDKRMHNNDKPTKSSGKKGGRKSNGG EGKEPNKAARRKEQNRAAQKAFRERREARVKDLEAKVAELEAKSYGASVENENLRGIL KRLQEENISLKQSAFTFSMPVNGNSSTANNSNSNSTSPFAIPRPQTAKPPTPPLSSVD EGLKSINDVTAPQPNNNGRYVDSPESLVSMNSNNGNTTDSSSQQQQPQPDLLQSNAFN AFLFGNNTNTNTSTNNNINRPNANPVQSRSDSLSSSQLQSFGTSSSSSVAPSSPSNQS DINALWASLYPQGVEALLQDQSQGNKNDNNQQVSSALYGNNVNLTPFTMLNSQPALMS FADAGESMWDPLGLLGANPVNSLPQATQAPLQQQQQQQLPQAQVQPVQSVQPVQSQPQ VNDFNRFAFRDPTSEVAAVTDPMNNWNEITDNSVNEFLASLTGSGNNNNNLDTDMNQD DAFNAQLQQIFGGNSPSQLFNLTNSAQPSSTGGNNPFSPTNYLNMSPSPLQSQSQSQP ESNAQSPQSSVSVNDRSSYDSPQSVSSSSASSHPSTSSASAAPVKAQASHFASCMMGG NRSLSPGISTFGPPKSASEIVHVVDTDGKIVKPSDLWLRFGMKHDHVVDHLMIDDLCD QMRSKATCNDSTGRMEITVKDAEQMFKWEGGNDHQARIERMKNRVTND L199_005914 MRSICLLLGVASVLPTVLSWGAAGHEMVATIAQIHLHPSTRQKL CDILPAEANCHLAPIAAWADQVRNRYRNTAPMHYINGIDDFPPERCTFGEHGWANEDV NVVTAIQNFTRTIMDGKAGRDSDIPLRFLVHFLGDMHQPLHLTGRDKGGNGAQFRFEG RQRNLHSVWDGGIITKNIRELSNYTSPLPSKQIESNLLGAIFDPYVRWIVWEGIREWW RPNLESWLECPLEGDPFPHSSHTDIPPSTPALIKEYFRTASSIVLSLLPGSLSALTEV RYPIPTRETEGFEDQAIALHPINLKLKGVNQTTFPACPYTWSKDIHSLNCEIAWPKEY KNNDHPLIELDTDKYLGEIGRQKVVERLLAMAGLRLAKILNEALAEEGQLVGNGLYFD Y L199_005915 MSSPLNDTSMLPTGAQGSQPGIQNTSTSSTGRREDPFATSANMS GHSGAAMESGYDRSHGHGHRREHDHEPGQPHNPFKHPHDSCEAEPGLIESTDLAPLNH EVVAADHPINKGQQQSQTSRMSKNM L199_005916 MSSALNDTSVHPTGAQGVAPGIQNVSTSSTAQSDDVFATGGQLS GHSGVPNESGYEHTISKDAKDVVEGKPGVIESTELAPLGDEKVAADPGLISQATSLAK DAYNAVVGEK L199_005917 MATAVTETIPPSLPSTTNTISLDTYDEEQVRLMEERCILVDEND KAYGEDSKKTCHLMSNINTGLLHRAFSVFLFRPSDGRLLLQKRADEKITFPSMWTNTC CSHPLSIKAELVEENQSGVKSAAIRKLPQELGIPSSQLKSEDFIYLTKIHYLAPSDGL WGEHEIDYILFSTIDVDLNLNPNEVSDAKYVSKDELEAMFSDSDNSFTPWFKLIARDL LYPWWDEMLSKSKDQGLSGVDAKVLANGPKVSELIKML L199_005918 MSIHSDPDATDSQLYSGLPAPLHLPPSELLEHYEPNVLAPLVRC SKLPFRHLTSLYETHITHTPMILAEEFSRAQIARVSDFSTSSEERGVYWMTPSSGSSS KGKGKEAVRVNHPDDDHLSPSTDELITYYQPPSTTKDRLPPSPSPPNRDAVLVRGNLI AQFASPNAKSLADAAELISPYVDGIDLNCGCPQRWAYNEGIGCALLRKPELVRDMVRG VRQRMGWGWSVSIKIRIDPDQKQTETLIRNALSAGISYLSIHGRTRHQQSTDPVNLEG IKFAVETVKGEVPCTGNGDIWSYEDVVTMREKTGVKGVMAARGLLANPALFCNYPSTP SHAVSQFISLSTSYGLIFPLFHRHLAYMLESDFSRNEKVWFNCLSSSTAAIDWLENRG LDWRKDRSTIWDARRGRGVLSTV L199_005919 MASATVIGLSLLGAGLAGRVGYQMFRASASGADKFLKGGFKAKM DKAEALQVLGLRDPITSNRLKDAHRRLMLANHPDRGGSPYLAGKVNEAKALLE L199_005920 MAPVKKSKSAKASESINTRLQLVVKSGKYTLGYKQALKQLRSGK SKLILISKNCPPIRKSELEYYAMLSKTNVHHYDGSNVDLGTAAGKLYRVGVMSIQDAG DSDLLQVETA L199_005921 MTLTTLEILRRQYLSLYPLYLISFPAPSLLADPQSQEYLIDKLL GESHQPENEYRRKFWRRVVESMEIGLKELESQLEFSQEDRDESEIDERFYDLLTNLMV TNDANANGEAGPSSSGPKTTYRTLIYDKPRSPNYPLKDTNTSTNDHDFEHRIDGDSDI DEDKIVLLEEQIAIQAGTTGLRTWTAALHLAHQILRHPHLIFYGSDSFYRGILELGAG TGFLSILFAQLGADVISTDLGIESDAKSADEIDIEQHHEENGESRTPLGRLKCNVDLN SISERVSVKALDWTDASLLPEERPSIWSKLVEERRTIVAADVIYDPDLVPPLVNTIRV LLEDDGQNQAIISATVRNQETFDKFLITCGQHRLQVSFIEVPAMNGNGDDVRACPTFW DSALDKGTEVKIMRITKRRRL L199_005922 MTDQAEPSFDAIFSSQQPPRRSSTSSSFNAYAFHNPYPSADQHQ MNPPPLGHTGGQFVDEPQQLNRKSSNASISSRPGNRDGASSSTSGSDMMMKGFNLDAN GNGRRQSSLRKESLPFNPEADSTIIATAPRQGNNISPNHQNLTSPPIGDIMFGGSGQR LDNQHQQQPLQQQVQQQYQQHQQAPWTGDDLVPAGGILQQQQQQASIQYSYVQNNQQQ HSPFPSPIPTPSSAGLEYMTPGSANSLNNSTIYDGYSPYTDPNPALTPNNQANMGGWG QDFISQPESSNGGYSTGYGVGTGNDMQGSGMDDMMGMNDQEALNNELQRIISNTSHHS SAQQSREPSPYPQTAHTPDPNYNQKYQNQPEAMSAGPSRQGSFPTSRSPSPFAPPQQH QHQFDMTDLTSPPSTGQFPVTASSSQGNSPFLNKPQSPPALIIPNQHSPSPVLPPIVT TAVGGPGGNARGGVHAPRQGDLGGSVTGGLLPPANPALEHLTGMAGISPIAPSADGPM IYIQPSTPISGLKDGRGLFDAALRRAGQMAQQNQNGQPGQGEQYQPQQGFNVPPPQSH PLARPGSSDQIGQSDQSGNAGNNGMDFAAAMAFQASQGWGQDNLGGLGTGIQTRPRAK SDSFMPSPTADSFDRQALFNFLIGTNPDNVSGMQDQQQQQQQQQQGVSDQWKSTVNAW RTGVNDNDQNISSNSNTTLDPRLLPGQDNSITTQEAFERFLLQQQQQQQQQNQNIDPA SQQHQLNQLHAQRNRLPPLNTNTQGEGQGNGVFKYAPGEFSPTSMAFYQSIGLYPHSA SELPGTTSAPFYTTTFGNISGGAEGNLPNTVGPSQASFLPAPLNLQQHGRRRSFGGEG SNHPAAGAGTPGYGVEFTQNTQNHSSPFGQLRPGAVRGVSGGGHRRAAKSEDLGRAGT GWGVGAGGSTADFLQSITANDGSLLPPMNRGRSMSHSRNSSASSIRSASPALSLSSQG SNWSNMERMELPDGVQLPATGDQVQPVQQVQPQGKGRRRIAKMKVTSVATEVASTSRR TNSGVFKCPVPGCGSTFTRHFNLKGHLRSHNDERPYKCLYDGCPKSIVGFARQHDCKR HMLLHEGLRPFECEGCGKKFARLDALTRHHKSEQGQECAITHPLPVNPDGTPMSESQY KMYKGVKAPQPKTISSGDTPNLPSGGGGGGGRRRSSGVKSEFLSGDERDDRSGGESDL GGGLSGMEEYDRY L199_005923 MNTAGSVAYGWGALIVAAGVSFYYAKKEIDARRKDATLRGNRPL EKLSWEEKIAQQQTSTADKHLSPIQNSIPKESFKPPEEKK L199_005924 MFLLPSIRARLSLLLVLVSLISLVLYQRISQPNVEYAFPKVVLA DYTSPSTHCFWPERVANQESKGSLVDWLKWKSSPSPSLSTTPNGDGAGSSDNNEFQWE GKLPDIIPSSGIEKYMLSHIEDLQSGWDPKHDFEEYGLKLGNISLEGYTKELISTYKQ YLLPSSGGGGVGGFMAPVLSRLNLRPPIQELPPRPKQVMTTDKSDELPYQFERWKEIM PDYQIKYFNDRSLKAWVKGVFGGTKAEKIWEKLPRVVLKTDIFRYMAMLVEGGIYTDS VIAAPIIHADSWGIPYHSETAPLLTHLSRLLSLSTSQHLPSSHPLSSFASEHSTDSVE GMIDLPVGKSKIYDGPLVDDGNELGEPGLVVSVESDAIDFGWTNWREVGLSRAVQITQ WTFMARPGHPVFLDALGRTLRKSEEMEKKAKEAEEKGEKFIPETALEWTGPGVFSDCV YRYLLARYGFKPEDLIHQKDPIRVGDVLILPAGSYSSVSPFTDEEQRPWAASYHGFLG RWRESDPAVQEFERLKKIKAEAEEAEQKAKEEAEEAEKKVKEAEDRAKQAEEDERKAQ EEADRLKLQEQAEAEAEKGDDGIPRGTIGDVPLSPIG L199_005925 MSSKSPLTQPPRPPPRKGPNPYLLLGMVVVSSASFFILAEKRHN DQQASGTTRRKEMANPLLPSRQAETVELPPRRKVE L199_005926 MTTEHKHHHHRPNDRPSIPNDTFLSSSGGITPATQRNSKTTPTY DSTSDSDNDKNAVDQPCSPTYTLEGGTETPLVTSPDASVRAIHGSVMTSNQFPFPIPP GVRGRSGKYGKNTMSMDDWAKIAGNIPSRKGSVTVSTQGQGQSTIEEEGRGTESRKND GEAAHKEKEEKKKKTRTTKNERIVAIDFDDVCSENMATIVKQHNLRYGTDLTLDDLQT YVFWQNRGFGTPAEVARKVQTLNNLLPLTTPIPGFVAGLKTLHELGHPIHIVTSRPAK DEQGLKDWLSAQGITIGHEPKDVIVQAHFTGSYGDVNKPIEPRGDDDGFERELNERLK ELWKDGVGKGKGGLAKLKILRNINASLFIDDHHGNLEPIITADPPIPCLLFGEYGWNR SRSGITSPVEMMDYNERMSSGLPLPFQEIQFGREQNIHRVKDWEELVQWVREWDEEEE DEES L199_005927 MDINGVSSAASSSRQTLDSNGGYAEEYLLPTNHLGGRGMNGIGS FNISGADGLGPMGGGNNEWKYEMRREAQQIIPGLYLGPFQSSTNLTKMKLMGITHVLC IRDKKESSLIYPRFPTEFRYMTLDISDNTDQNLITIFPKCRDFIEEAMTMGGTVLAHC NGGIALSPAIVVGYLMWKFNLLADNALAYVQSKRYCVSTMSFQNQFKEYEPIQMAQKM VQNAGFGAGMGNVNKRQALDEEDDEEDEEDRRRKQPMRSELISDISMD L199_005928 MHSLSLLLQTLAVVLLAPLALAAPAPDSIFLLQSSTFTPLGCSS TFHPTSILRQVPSPFACFSRCSDKQVAAYSQLQSGVLCACGEEDMLDDIENSKVRCRD NTWFLFKNNHEHVSTHSTETSEESTSTTAGETETAALTIQNQKNKKRDRLMPFMMMKK KSLQKVKRTAPMDYEIA L199_005929 MNGPYPSFKVRVKPLSPPTSPQRYFTSKKQHQEMTEEPEEISQE DKTDSSPLEKMIYQHQSHSAWNVRHTQNPSPNSSDDDDHPLMPTRYHTPPPIQDDDDG DLLPPLLPRSITRSSSFESTSRNTTPIPWAQRSGSGSNSPGRRTPPKTPSRQNTSPLR KASDDGNQTPKTWRHVDPSPPRSGSVKEKETSPLITPRTPETPTRTISRIKGLGSKKH NTKKVGVVGGDTPLTPSSPTSYGNFWEDVDGRKESERTQQSPTKRFWKALRLASPGRK HNEKNEVDTDTVVHGEGQVSPGKRSGWF L199_005930 MTVSLSLSLKRPKSSRGNSRSRPRSSTLSPPSSSSSSSCVEPFD LSVIVNEKEFDNLDSVQQVIDTQAKRPGKIKFQLNDLPASEEKMSSKLIKGRKRFVTE EGRNIPEALDLSGSRSGSNDNQLLPSPLIRPSFPKSPYPFPTPNSSQPSTQRSATLLP PNSNPRDNKYSHRRSRSFSELITSSSSIT L199_005931 MFTKSTILLTLGALATSALGADLTISTPASLIQCQPALLSWTGG TAPYYLAVIPGGEPSAAALQDLGEQQGNSLTWTVNIASGTSITLKVTDSTGVVNYNQA VTIQAGSSDSCLTAAATSSAASASTPTAVSTASAAAAAAVTSGSASAATTSAAASSAA MSSAAASSAAASKASSAAGSASAAASSAKSGAASAASAAASASTSAKSGAMSTKSIVN GAVVAVAAGILGLALA L199_005932 MPAPTSAPRTLYDKVFDDHVVYSGEGDTLLYIDRHLVHEVTSPQ AFEGLRNAGRQVRRPDCTLVTVDHNIPTASRKNFKDVNSFIVEADSRNQVSALEDNVK EFGLTYFGMSDKRQGIVHIIGPEQGFTLPGTTVCCGDSHTSTHGAFGALAFGIGTSEV EHILATQTLPQAKSKNMRVTVEGKVAEGVTSKDIVLHIIGVIGTAGGTGCVIEFAGSA IRELSMESRMSICNMSIEGGARAGMIAPDEITFKYLKGRPLSPREGEEWDKAEAYWRS LKSDPGAKYDIEVEIRAEDIIPTLTWGTSPQDVVPINGVVPSPEDFPEAQRKNVIRSL EYMGLTPGTPMEEVKIDKAFFGSCTNGRIEDMRSAARVILAAEKNGGPSKVAEGVYAM IVPGSGLVKQQAEAEGLDVIFKKAGFDWREAGCSMCLGMNPDQLKPGERCASTSNRNF EGRQGAGGRTHLMSPAMVAAAALTGKFTDVRKFMGTHLSEDAGLKITDYSDYLTPVEA PARPAEPTEQTPQGQTPVKAAAAASAGLPKFNVVKGIAAPMWEANIDTDKIIPKQFLK TLLRTGLGAALFWTIRYDVRTNEPLPDFVLNKDPWNKSSLLVCTGPNFGCGSSREHAP WALNDFGIRCIMAPSFGDIFKTNCFKNGMLPLELPQSDLEALYEDASAGLEIAVDLEN QQVIRPNGKPPIPFTVDAFRRHCLINGLDDIGLTLEHRDEIENFEEKRTQVWPWLDGV GYAKKGQKIVALPIKKSAPKTDW L199_005933 MTELDARLGRLLEGRKDKNRFRSLKEYDTSPDSNLVDFSSNDYL SLTSSSSLRRSYLSRLSSTTSIFGSTGSRLLSGCTPSHSSLEKRFQEFFNSPSALLFN SGWDANVSFFSTVPQSTDWVIYDELVHASVHSGLRASRVPLDRRIPFDHNDPQSLEDV LKRITSQGSSTDKSTVFVAIESLYSMDGDFSPLPSLLDTLERFVPRERQCVVVDEAHS TGVYGAKGRGIVHALGEEASENRRGKGRVDVRLMTFGKAVGCSGAVLLCSPTVRSFLI NFARPLIFSTALPHSTIIALECVWDVLQSEEGDQRRLSLMSLSSYIHSLLDQLLSRIP PSVLHLPPDPIIPFPQNSSVLPSKPGSPILGLLTPTPHALSAFLLDRGFIVRPVVPPT VPPGGERVRICLRAGMEKTVIKRLVDTLGQWAEMKLDDEKQVRAKL L199_005934 MVNLTYGALLTSSDGSISFEPLGTDILATLQGNTSAFDPGDIAW VLTSAALIVFMLPGLGYLYSGLARRKNALSMLFLSLVSLGIVSFQWFFIGYSLVFSES GGSFFGDGRNVGFRQVLERPVPETNGKLPEIVFATYQLMFACLVPAVLLGAAAERSRI LPAMIFIFCWTTLVYDMLAHWIWSSNGWAYKWGILEGEINSHKARCDIADAGGVPVEI ASGTGGLAYSYFIGKRRGYGTERVAFKPSNVGHVVLGTVFLWVGWLGFNGGSCFAASL KAAMAVFVTNLAGAVGGIVWLIMDFRLERKWSMVGFCTGAIAGLVAITPAAGFVGAPA GALIGLVSAAVSNLSTRLKVTMRVDDPMDIFAVHALAGVVGVLMTGLFAQSSVAANDG FSEIDGGWMDHNWVQFAKQIVWALVGLGWTFVVTYAIMFVINLIPGCHFRSTEEAEIV GMDEVELGEFVADYAFHHRDLEGLFDPNELSRFNSVTRFHLTSMGPKSYTRPSQAHLP PTMPRGGAAVADAYGQGAQEVEKPRSRSRGRERSRSRSQFRASTPSGMDRLEEVHSSD ELELKKLSRNGVGEVGVEQGSMGSSDLSQK L199_005935 MEISPDHLASKIVEAYRQLPENERLLVAIAGPPGSGKSTLAYPL TDALNALLLHHPPANPAHIEEPQSLELAEADAQQGQNDEVAICVGLDGWHYSREELDG FEDPKEAHWRRGAPFTFNLPSYTSFLQTIRQPISNSEQISFPTFDHSLKDPAISPVRI SAQHRIIIIEGLYCLLDKEGWRDSAAYFDLKIWVEVDKKEARERVLKRNYEAGIVEDY KACEERVDAVDMANGEEVRTHLVNPDYIITSVQDHPFSREAFSGL L199_005936 MSRSRVTSSLPDGLSPEYWSTWTPIRKGASVSESVDRNVGEEWI GGWDGIGLYEGNSKVPSYQTLYVHLTNQRLILIPEYTAASSSSSSPSTMVPSLQCHLS HVRQTEFYAGFMRSSAKITLTLGPAQPQQSQSQLPTSSSSSTPASASTSTEPNQSSRS TGWTCNICGYVNLLHANRTIPFPESKCALCGIPYSTSKLSVSGPPSRSTTPVPSTSAS TTTSTATDPPPADIVSTKDPNGTEEEDLVSCPACTFLNSPLLPHCEICSTPLPKKKHS AQNTQSNKPGNGSLEGRSKAETNLVRLSFRRGGEKEVYKRLKAVLSDKAWERGLNGST SIVKPEDGDANGIEGLTRSGAGIDGILQQIDLNSKSHSNHISEVFADLEALMLRAGEM VRLAQSLNSKLTTQLNSSTTNQPKPTEEETTMIRSSLVQLGLTSPAVTKEMIRDEQRY HQSLAKELGELLAGRNREGLMVGRDGRGVIALDEVWGLWMRARGVSLLPPSTLISILP FLPHHTSPSISSLVLPSSLQVLHTPTYSGPAILSRTLDRLNPSTSDEEGIEKSFTLLE FASIESLPIGLSREFIEILEEQHSGGLVRDDQGSMSEGGVRWYRDIISGWPVENM L199_005937 MIRLLGLARLPLQRPIYTFSRQLTTLGLRREDPKRIWERRTALT PDAIKGLISEQKGKEELQVEVESCGRRCFSDQLYQQAGAKIVPSLSSDVDVVLGIKEP PVEDVHRLIDAKDGKEMKWMMFSHTHKGQEYNTPLLEAFLSPTKGQTLIDHELLTAPS TGKDGKPSRTRVAAFGWYAGAVGAGEALSMTGIALLKRGIASPLLHLPRPYASGTLAE FKASLRKCGQIIRSSPVAETKGQGPIVIGVTGGGNVSTGAKEMLDELNVEWVRAEELA GLKDAPTNRVYACMIPTSSYIIGTDGEPYDRTAYYKTPAQYKSIFAEKIAPYLTTIIN GVGWSSTFPRTISNSDLSSLLGASSGQGQKQKLVAVQDITCDKEGGLEFVNQFTTIDE PYFEGPGGILISSIDILPTELAADASAHFSSKILPYVRKALFPEAQGDKTGENDTVQR AMIVDQGELLEPHRWLLPKVEAWRSSSSSSNATETTPNSAPLGQSRQGGKKKVLLLGS GLVAGPAVEVFAARSDVRLAIASNNLSEAKTHIKDRANVEAIALDVGDEVALGEAVAA SDVVVSLLPAPMHPQVAKHCINFSKHLVTASYVSPEMKSLDQAAKEKDVILLGECGLD PGIDSMAAMRILDRVKREGKRVTSFVSWCGGLPEPSASNVPLRYKFSWSPKAVLTAAQ NEAHYKMNNEIVEIPGDQLLSKHFPEVNLWPDLQLEGLANRDSIPYAEKYGLGEVEGL KDLFRGTLRYQGFSSLLESFRKLGLLSNDPLKIVPENWQEFLSLSIARQVGYEKSLKA DDVPRVISDLMVDPKIREETLEALSWFSLLPFSKSTSISSIPLPNLRAPVPVDLFAHL LSHKLAYNPGERDTCLLHHSFTLEGARKEEKVTASLLHYGDEQSSAMSVTVGKTLAFA ALRVLDGQVTQRGVTGPYEREVWEGTLDSLESVGVFVKEEWS L199_005939 MSEYQARKARMLELQREKEEELKRELAAKAAREKQLAKEAEELR KRQEAAAKEARRIELMRANEALNKKAEAGQKKELEYDPFAEDAKPVSIVVKPLAKPTA HAHAHAKAGPSSSKQSVPGPSSKLHTTTTNTISRNHAKQKAQSHSPPPLGRKERAAKA FAQSAKKSAGDSLFSIRSLVESRDQPHSPSGGSGGIPINRTYSAGNLGSGSGSSSSYK GSSSIAVHGIGMSNGLKRDPNQSRQIPGKLPKSTREQLNSQAKIDGLRKLCPDRATRD RRTIEEIQRDIKAKKGGSGSVSPLPPLPSSAGKGKERSPIKINPTSSTSLPKSHISNS NKPPAKEISRPPKRRPSPSSSDSDSDSSSDSSPPRKKFDPYSRRSRSPPVRLNEHSSH LDIRDEIQKLFRRPGASNRPTYRDEYSDSGSDMEAGLSDVEEEERRTARIARREDELA EREEREHRLAKLKRKKEIEKKGKGK L199_005940 MSSHPIVSIIPPPRSLPKDHHGPFQSSKQKSKSKTKSPIEEDDE DECHNPTYHKPKYFKNPWPSYRTASLHDAYLAYQLGAAVALPPHQAIGSSKLSIVDQE PYDEDGNDDPRGEGGSLRAETESMIPKRLYIRPDFSEVKEGDEFDDWREPPVKTVEPT WLSSTRAEETGEGGNHRREKVTWLGHAGVLIRVPFKDRSGYAGVIFDPIFSYRCSPTQ YVGPARYQDPPCKVSELPDIHICCISHDHYDHLDYYTIMDLWKYHQTTIHFLVPLGLK QWFISSGIPSTRITELDWWHETIISFPPLSHTDESFESYKDDEPPIPSSSYPPSEPTA RVSSETTLDEPSSLNLKFAFTPAQHRSGRGLLDHMTTLWGSWCFGVVEEEDRPKVNER GMRDWQGFKVFFGGDTGYRYATALEGDDDAICPAFDEIASHYSPFSLSLLPLSTGSSL PFLRTVLSLSLDQYTLTSSLHCSPLDSLEIHRIIRSERSLGIHWGTFCDLDEARGTRV DFGRCRRKLGVSRDWDDEEVEEGKGRFVVGDIGETFILPQRN L199_005941 MPPIHPPPHPLDPPEEEMARRRAAISRRIQFHREMARLHPPAEP PINLDDFKDFMRGLGVFIAAPIVIPMRLIHHAVTSPLTISVVLKLLLLGFLLVASSIF SVLAVGAFFWSWKIGGNIEVEGWLFYGSKTHRPPHTTVYFPLENIEQDLRYDVQVELE LVRPTKGTTDEMGNFMLSLELRALDQPERALITAAQPSLPPPPISSTFLSIPTLPTSY IPCILPFPFRSFCPSRLLGYSVPTRKIRERRAKGGFSSRERGKDVVPLKKDLMEGVIL KPGKNTEMVIGSGFVSIGREDLFDERQDGDEKCKPPSREVKTTGWVLIRLIPRPTGIR WMLSSHPLPPLLLLPPLSLSLTFSSSILAFTIITFLRHGSSNNNGKKDKHNKTLTDGS GDAEGPFDAKDKDERREGERRKKEWEEMQSSLGGGMERKKSPSSGRGTTVGGSETTFA SSSSSSFGPTLMTRTISTASTSPSTSDSAETVTPTRLRDRGQGVMGSGGSSGSSGSEK NLRRRGSGSRRGSTTGTGITLSAGGSETERAGNGNQAEVEDEGEETEMEMGGESRNRR GDEDWREFGREFGLDT L199_005942 MLGLGRVGHWMFDLIAISTIIAGVKKNTGYGFHLGMIQDTAIRS FLDQYFQLGETVFGIISGYVVNSRYFKRQVE L199_005943 MSNLAAQVILHPKLSQSLAVLATTIGRDKAYRLIQYLSRLIAWS LLRRGNVEAAARWEGLKNGLASGRKVMRLFRPAEFLQSAINLAQKPITNLRGPAQIAQ LAQIGRQVGYAGFFTNDMIVWLGQVRFLKLDKLQLERFQRLTFKFWLSGIIFSLISSS ASIVKLRGDSRRFALNAEISRRESSEKGPDERMREESERRERGRALLAQRQTLLSQLI SDSCDIWIPATGLGYTNVNDGVLGALGTITSYMSLQTQWRKHAAAGLRKSI L199_005944 MLSRSLRTLIHQPGPSSLRPICAECRRPLLPARRALPLLNIPLN VSRTARYASSSIPGSRQSINNNNGSKSNTPKLPLSHKPPKRRLEAASQPLRNAASITR GPVLQCIAHTTAERYDLMTLSGVLRSMGIKWDEVPEGDRDRAFVISPWKGKSGLEQMI RQSTTPLSPIDLNKSPEVEWVENEDSHHDEYLSRDSTNGKRGEMGFGYGEKGEIWIFN NGSFVTWGLTEEEGRSFLREIIRKKGWKVEVGKYHANEYEVEEVDFVVDPTAKTHILG NLILLGRPPSLSTFSPSPSLASLLARYTLSLSLSRSSALSVLEDRLDNHIAAVSILPR ALQKTGRQPLDRKEVIRKMGELMTLRMNVNTSGGGLDDTPEFYWSEPELESYFDSIAS EFEIKERIDAFNKKLDYAQEVQSTLRALLTESSAHRMEIIIILLITVEVVIALIREGP ELVHKFRDFIDEHTNSRVRNYTEEIGKLEETLKEVREKIPPVGLLASTSTSTSPSSSS SLSREDGSEVQEMRLV L199_005945 MLTKSLLLIVLTFFGIVACLPVNDDSTDVAGPDRKRCLGQCYPG KRDEPEPAIAQTSVASETGPDGKRCLGQCYPGKREETSSEATGEIGPDGKRCLGQCQP TKREEKRCIGQQCSPMKREEEEKRCVGQCAPPKRDDKLALEKKCIG L199_005946 MIVRHEEEMIWEPEHASNIPVHYLAVDTNVFISHLNLIRTIHTL LLGLRPSPIIFLLPSVVIHELDTLKASRAPSEPDSPITLGRLVQAANTWLLEVHRNRR MTGIGALRCQSLKEQWDTKIKDHGQNDDQILDCCLHFANHGAKVTLWTNDKNLSVKAE SNDIPTLGAQNMTLTKFFKSIDEIFPESLWKEVNRLRIYDGPSHDHNNGNGVRVSDDD MDMDMDMDHDLHEPITEHQSIGTISQDDEEEERRYPYLLPQPTSPLPPSSYHPTSSSL PVTPVWSRRNPNSYLPSPTPTQSPSPSPFQQNRQPTSPNSIPMDRHSSSSKSSSKSIS MKSRSSVSPTKSSTSRPSIPSRQSSDSTSTSTTTSTTTSIPVNRTPTGPSRIIRTSLQ LSLRPFVISLLRQHPNPPHPPDQPLETQQILSTLADTLSTLDTTLQQLGEPPSSEIRI SLIRSISAVKTINNYIDNHTGVDLRRSLEKGNRRIRSGEIVECLENLRKTFKELGLGV DTDHEGLDDVIEDIKKLD L199_005947 MFTRQSRFLRTLPTSGPSASRLVASPAVSQRRYKSTDRSSRIHT SSTQNAPREAAPRDKGISLEAKDRVRAHIRTLQSSAITGASPAVRPAPAQHFQTPSPA QTIPKNTPTYESETGTQIKNGLDYSFVGLSGGQIFHEMMLRHDVKQVFGYPGGAILPV FDAIYNSPHFEFVLPRHEQGAGHMAEGYARVSGKPGVVLVTSGPGATNVITPMQDALS DGVPMVVFCGQVATNLIGSDSFQEADMVGISRSCTKWNVMVKDIAELPRRINEAFKIA TTGRPGPVLVDLPKDVTAAILRTPVPAKSAQPGSSPYLPSSPLNPTNSTAPIPGEPSL IAAAAKMINKAKRPIVYAGNGVLASPEGPKLLKQLSDLGSIPVTTTLQGLGAYDERDE KSLHMLGMHGSAYANFAMQEADVIIALGARFDDRVTGKVDTFAPAAKAAAQEGTGGII HFEIQPKNINKIVEAQIPVLGDVVASLGQLLPQIEAVDRSAWIARCRANKERYPFTFT PSAEGQKLKPQEVVQELNKQAEIIGKEKVIVTTGVGQHQMWACQYYRWTEPRSWVSSG GLGTMGFGLPSAIGAKVAAPEKVVVDIDGDASFSMTAMELATASQYNIGVKVLLFNNE FQGMVEQWQDLFYENRYSHTRMTNPNFVKLSESMGAKALRCTNLKDLPAMMKEFLEYD GTRPIVMECIVSSEHVYPMVPAGKALHEQILHPLLRTKSN L199_005948 MSSHAVLPQGEPHPADFKPKDNPAFILYDKLKTGYEEQPIPELG PDEVLIEIKKTGICGSDVHFYNTGAMGLVALEEPMCLGHESAGIIVQLGSNNVVGKGA LQLGDKVALEPGVTCRMCTDCRGKRSICEHMVFAAYPPSRGGTLQRYYKLPADLVYRL PSSVALEYGAMMEPLSVAVHAVANKGGMKTGYNVLIFGAGPVGLLAMAVAKGMGANRI VAVDINKERLDFAKGYAATDVYIPTKQNENETRPQYSVRAAADLLLSLGIPARGPGSI DLVVDATGAEVCIQMGLNAVKPGGTYVQTGFGPPDIQIPMFRVTTNEIVIKGGWRYGN GDYPLAIDLVNRGLVNLQPLLTHTFKFKDALEAFEITKAGKDKDGKFVIKCVIDGPE L199_005949 MGSKRASAILSPPMSPSPSSIPRPSSSIGSTRPRLSSDASTVLG DGPETRNVKVVLRLRPSDPNDSPVPPRFRNVLVHPTSSSEVRLDVDPATLAGQAIGTS HAGGNRKHPLFNFDHVLGEQATQTELYDVTAKETVDEFMKGHNVTFLAYGQTSSGKSY SMGTTGEEVDYSGTEFTPRTGLIPRTVHTIFEKAEEIKQQSAPGASWECRLSFLELYN EEIIDLLSGSGVAIQIREERDGRIVWAGVKEVKVKSLEEVMQLLQEGSERRKTGETNM NASSSRSHAIFSLTLVQKKRSGLTMTPPNSSGIPRSETPTRGLRRPSSTIGLPGPRSP TPSGGRGGPPSSFGRATPTTRPLSMQPPPSPGTNEFVITTSKFNMVDLAGSERLKRTA AQGDRMKEGISINSGLLALGNVISTLCDPVKARGHIPYRDSKLTRMLQDSIGGNSLTT MIACISPIEANIGETINTIKYASRARNIRNQAKINQVEAGWDDVEHLQSTVTKLRKQL AMLSEDGKIPTANGAGASEESIKQSEKLIQRLAELQREHTELYDRYLQKCSENMRLSS ELRSREPSDSDASSKFNDMVEPVILEYEKVVSALNQQLDELRGELSAMSGLQDDQNRQ LQEARDRQAQGETYVSELRTRLAKLTERNTSSEAYIHDLEAKLKSLADKEESHADMVA ELKKDIAKLREDNVTLNQHTTELEGRLSKSEANSSNLTAQVEKQEREAERREAAYRDL EAHIALLDTSKDNKLLLEELDQRDQKIAELARELEEKAAFEDKERSQLLDAVQAERSI QSELRSRLASMQASASSAATTTGPASPPPEREDESTETVVMPRTPLRTTKQLTPPESP QEVPTRPEHDGTKDEVEELKRALRDLSERYSNAESRVADLTTQLSEARLVNAEIEDTI PPSPSPATEDEEETLSEAETTLQTPRDSMQSTSPSRRRGSMPILAATKAQGGPGPGFR GGRGYGDSARMRPQSLSQELSSAQSLGTSPRTSWTPTQSHSRSNSLLLSSPSPTQSLH TLKPSRSSQSLEAELKFVHRIVEERDEELKDHEAYIKQLEENIRTQNKTHNIPSLASS APIIETHNHGNMPSTPMKTHKIKIPDTPPRNIPLPDSPNTPRTPIVKLPETEPVLENG ERSKRDGLAVPPNDEMEMGGLSPKSVKRFSQLKDTLSQLENGGSEKTEAQVKIDELMK EMVDKEESQKKIIEQQFIQIADLQKMNNKLKEELTEKELETPSTAEYHELRRERDQLI SEKAQTFPHVPSYSPKTPTVDLVVVERLKAEHAEDLRSLIQGHKDTITVLQTEHVAAL EKLKSTVESNESAYRAEIQSLQSKHDVIIDDLKSRHNETIEAIQNENNMIAEEMERSL SQNEEERRQLKMKADQALFELSRIKDEHALQRNTDAKSISELTKSRNTLERLKVDLEN TISELKNANGELTRKVSDLEVKISRKSVMPPPQGPPPTTPLPPLPKKHSMNNMRSELG SPTSVSGFNRDGGDYFGGAGRHSISSNGHSSSNGHQSIEPVSTVDKEQISKLINERDI IAGEKEELIGEKEDLKKEMKDVKRKVQDVENKLMEEKVKVTNLTFDLRESQKQNTKLR QHLDESKNQVKQLTDTCNLHITELNARREEANRYSEEGHKNRDSLQAAQAQVELLKRQ LDKAVEKKVNKKLLGCF L199_005950 MTSTPSPLPSSDQSQSNNDTPRRRDRTNSGNPSNDYHSPSSYLE QVYGQSTPNTPHGHEVSYEIPLTDVSVTHDQQQHQQQPPPHSIPHLDDTYAFSYSDYQ ANDDNEPFLPIQEQATPPAPSREEDDKTPIVTDIHAQPINLPCPPNISSNDQTIRASS HKKPPLLSPFKTFHDPQQPHQPQPQAQQSLAQPQSPVYPLPIEHQQYLDNAAMYYGQQ QPGFIAYPQQISPSAPMSFGQFPPQYAGMQPQMNGMYPAEIYQPQPQYAFAGPPRSRN GSPTSSIASSGVSLARTASTSSDFRTTRPKVKLTYEDKRNIVNLHRQNSSLRQEDIAR MYGVDRSTISKIILSSHRWTQPQEPQAPPAPKVPKAVGGRFPAVEAKLDEWMDAQLAA GQDVRDNIAREKAKSFAREIGFPMDRFKASAKWLDKFKDRRKAAGKAVVSPSQPEYGY YSYAANPYPVMVSPMEGGVNLSRSQSTVTLSSSDSSGQEQYPASVYLQTDSGHSRMGS TRSESDLLNSHDSTPNSRSRSQSSPHVLIEPGMQSPSSGKVLKHRPTPLALQRQNSFQ GSTTSPSPRRPGGLIRTNSTQGNARRTGRPLSLAASAFGFTNVDPTTSAQQSPIHSPT ISGGHSRQRSDVSVSNGFSGMTLSPNVSDNGRSSNESGMMPIPLSMGNIPPLTPITPA QGSTGSVSTNGVFPNMEYGDIIPNDMGNIPMIPVHHPHHQQQIHYAMMPNKHYISHHP HPHAQQMYTQHGAMVYPSAPSDFGPPTTAQGYIIPHQQHTQQWQ L199_005951 MRSIITFTFLVLTSLLSTVLGNYVDMVIDSEKELAGTLDKFVED HPDTYDFIAKSHDGRIVIQVRFEDDTVGIEGFFTETPELLDDLDVAQKSILASTVSAG LDEQSGTTLESLQAYRYGAPDEGDYTRDNLKRASFCGQFCSTRLSCVVPGCRRCYYTG GACLWQKSCQRVSLNFD L199_005952 MPSFIHLTFLFFATLNYVMGGYIHMVIDSERDQASALDKFVEEH QDTYDFIARSVDGRIIIQIRHEDDTVEIEGYFTETQALLNDLDIAQQSILAASLAVHE GAGGTTLQSLKDYRYGDPQSEAENEKRQLSCWMGIDDKRKRDGYLISDLEERRSSCGQ FCSTRFDCWVIGCQRCYHTGGACRWQKSCQRSPFSDVADIISHDIL L199_005953 MFSLLTFTLLMFSSLLTLSSAKYVDLVSPSEQDLAAQLDQYALT HHQEVYHFIAKTYDDRIVIQIRFENDTVGFEGYFTETPQLLEDLELVQKNIYDATVQA GLDDFSEQAVSIDTLKAYRYGTPPEGHQESKRVLCRPCRECLRNVHGLLQKKASRCGQ FCSVGANCITQGCMRCYYTGGECRWQKSCQI L199_005954 MSSQSPNSKTPLEDQVLLSERPIALSIVEYHSSHPETYIFIART TSQKVIIQVRHDDPVDTLGIEGYFTETPELIRHIEQAQEAVWKATVDAGLDKYPGVKT LEQMREWRYDGSNYPKRDEKPPWGDGPAPWENDDEGDVRDD L199_005955 MLTTPLLSLFLLLPTLAMGDFGHNNRMDIRSHARQRNHARAAAL QENESHMLRREFNDAVEHKMARKLSRGGLEFAKRKADGTQCRPKNGTVPTTSWKNASP SSTATSTSVVASSAAASSDVATTTTSTDQAQATTSSTTEQQQQQATSSSSADSSASTG VTLVSTPASYAGHTPNGNKAGVSAGDSLSWLSGKLGWWYDWSATPSGSCGNAVSVPMI WGGGTADSDDAARLEAFKSLNYVPEYIIGFEEPDCAAGSGSAGMDVSTAISIWNQYVV PKGEAGSILIGPSMCKQAAESGWLGPFMEGVTRKPDIMNIHVNKNSAAGIYADIDHYY NTYGLPIWVTEFACVDDSTSFIPCTDQSEINSFINTAVDIFESDSRIAGYAYSNGYGL GDVWPMVSDGQLTASGQTYLAALSKYH L199_005956 MSTYDSPAPTPSSHQNTFDTRLAYIQGSSSSHHQHDVSTSSSST PLNRVPTTPSHPGCVLCSLVASTSDLLQNQSSNSPSAQTALLPPGERSSSPSPLLARR RSGASVNTNGKEVVHQDSQVTVYKAEGKERLCTDGKHLVVVLNKHVENVYEFGPSDVP LLSHIIDLSHQLLSSTAGQSSSTPNEAERGKGKGKENDVRVGFVGSVMKDPQIPHSHL HAHAMVGPIDTSLPGATFWRRNVVFGPMNWWSIEDLRAEIREESSNNRVKSGYQHRDR APIDRVPDAGSIAGLPNALDPSDYTDHSPPVAANKAHPMSRTLSVHSTRDVGARSPTS PGQVLVTSAKGKEADRSGGSESSRHSEVGEGEGEEGYVAVDLDDLSTGDVGGNASASR VERGGRI L199_005957 MDFSSSNANVSRETWAPRSPSPPSRASYPSGEYAERAPPSGPRG GDAPREPSNGGGRDDYDRDGRKEGNGYVSCSPRLHCQERTIRLCLQAKRKEALNLD L199_005958 MTYSYPRRSPSPYFEPYPRALDPRMDYRDRYDRPPPRRSGPPQL QDAEPNNVLGVFGLSIRTRERDLEDEFMRYGDVEKVVIVYDQRTDRSRGFGFITMRTI EDATRAIEKLNGLSLHGRNIRVDFSATQKPHAPTPGQYMGVKRRTYEDRYNDRRGYDS RSRGYGDRYDRGGYDGRSRGYDDRGGYDSRSRGYDSRPPREDRDRYADRDDRGRRDYD ERPKRDDYDDRRRSPSPRRRYSASPDRGSRAARDYESSGAAPAGGAAEAPRY L199_005959 MSRPEEISPPEIFYGDVEATKYTSNTRVKSIQAQMTRRALELLA LPEGETAFVLDIGCGSGLSGELLDEAGHVWVGCDIAPSMLEVALEREVEGDLFLQDVG QGFGFRPGTFDGAISISVIQWLLNADSTSHSPAQRLTRFFTTLHSALKNPSRAIFQFY PSSDDQVQMIVNAAQRAGFGGGLVVDYPNSKKARKMYLCLMVGQQEIPKALNGDEMEV DEGASLGIKKRREEILNEKRRRKDSAGGKKEKKSKKDMDAKEWIMKKKELYRSRGKEG VPNDSKYTARKRKVYF L199_005960 MTTLFQLAPIPLTGERSSPFASSSKLPEIKPRFVLPPLDDHISG TERLLGSLRGTSRYELQALSINSTPTKPKQPLVQLPTEIEEVHVNPVEDEAVDDIWQK AVEEPEAGPSRRKIFEPLKTWDDIASSPTTNLSNPPFLSEKSTFTFDALLTSLEPPIT LPKLGKLSGTSSAAVHDSKTLLELLMRSTLGTTTTESLKWNGRKARFVWADDGGRPIG FERVTANSIIERFLEIGTSIRRMELIIDSQSTLPLTSTHHALLHGLSTYLTFIKERLT TAIEECTKESHAGWNKWLGATKDVREVGEMLCEVMCWPLSTSEAISLPTRSSALLSHI YTHLLASFATSSPSSSSSPSTLALAFLFRQTIGPFLTLLRAWIGLSDSSTQDEDTDPN SQPWVDLGITRKLRSSGYWEYTFSSRKMPSFIPNSDRRTFFEAGKNLRLLREASVNTH PLCSSNWGVDVTFDWGDGSVDLTSDMKVHSKRVKKEIDHWRRSTKGLSKSLLGSTSSA KLRSSHGRSSHKERKTLTTELFAPPSPLDDSSPQPTQEMDQGVGTFETGVRELDDLWN LFNQSPGSHLNSQIQQKIDKTSQIWTSTPLDSLHHFLSRHSSGSVLPSDSPTLPIFIS THFLSPLLNHSMMISSSLVSLYLDDLNFLDHLDILYAYFLGGDVNFLGRVSDSLFGKD NAGAGEAMGLGRRARTRVRLGLDPILNNNHHSLGDGERGDWGIGLGVGLSERSKWPPG GSELAYALRTTLMDERDTRVKGGGEGGPWDEVQDRVSFAIKQLDEEDKGRRAKWLDPQ AIDALDFLYLSYSPPLSITPLLPTSLLSKYQSIHNLILRVSRCQIVLRTMYWHVLHQS ETKDEPTKTGVDSGFNRPSSRNSLKKSREWEVRTLFPPRSNIERKVQVLRFRMSHLVN SFGEYLDSVIGYRWAMMRRRLERLKRKEIINKGRPSSPTRSEMQDQDDSDGEYLYAAE YGDGDVEDDEDEDDEDGIIEINQLKSPHSIMVYHQITLNRILDSCLLNHENSQGQQLT FKLLMSLLKMILDLGKVLVEVSRGDLGWMDGKEMVERLEDEWEEKERVFLHALERLSV RTRTHTNRDRREDSEEEEEGEKTEDDLQILLSGNQDVVNTGRRVRGEGDDLEELLLRL RLGYGEGQYR L199_005961 MATSSAELGEDIRKRLEDLSTCEISDALVKLGSVTGGFIPNLNI YSPSSREEEDVKVVGRVLTVRLVEQEGPDQEHWNGPKVEQHFVDAAPSESVILISPDF VSGAACWGGLMSTAARAKGIKGVVVLGGCRDLKEHREIGFPVFAQFHSTLGQKTFLRP SEYNMSLEIPISPPPHHIQVPHNEPIRKTRVDPGDILVGDVDGVVAIPFHQVEEVIRV AQKGREVDENVRKDLEVGKGVKESMKKWRGS L199_005962 MSQEEDFVLLESSDGYTFVVSRKIAEASGTLKSMLDEEANFEEA KNKTCKIQQRGVILLKVIEYLAYKVQYSEFSAEDIKEDFSDRIDPYIALELLTAADFL EA L199_005963 MIRKQRPTFTSSELEMQLQQINLDPTSSTTENLEALAPLIKSIQ DTDSEQLYLRSLDKFVEEKEREIEKICQDNYEDFVSSVSTLLTIRQGSGHLRRRIGEL DGQMGDVGRALGEKKRALLEQKKVARNMDDAIETLQTCLRLLDLVHRVGEMIREGKYW GALRSIEDLLHLTPPSISQTPFYAHILSSLPSLRLSIKDAVTASTKSWLFDVRELGAK VGKLALEQMSQRIKKWRAKREKEGGVRLARVGGAMELVHNERVEFDALDNEDIKVDFK PLYQCIHIYEALESKPELQRSYQVDRKTQATLILTSRLSTTPETLLSTLPLLMQELVG FFIIESHLLRTMPDFRTQRDVDELWDEMCKRIVEVVGQGLKGCSEPEVFLESKTNVLL FVQTLEGYDYNITELNGLLITLFERYSELLLGKFSTDFDQIVSEDDNQPMMVNDQEEF DQVAGVCWLAPGEMESLAMQGFPQAMPFSQTYPMCCINIRNFVDQFYQFTDGVAQQHL DIDEVLRKSLDALLSDHVSKQIAKRLQTMSNLSQIAQVVINLEHFSTACDELEGVLMN LRASQRGGPVHLSSCKQFSATLEQAEKRIDSVINSKLESFFELAEYNWLPTRPQSTAA EPSTYVFEMITFLTAYVDSVLIGLNEGVKTRAYLNALGRINKWLMDMLCGKEVIRFNE MALASVLADVTFIEAEIKRLDKPDLDRVFDEVKHTINIILSDAVSAYMEPSIRSMSYS SVKPIRLAVILAKLGKAHALGGVQSSMMKAERRRREADEVAKLAGR L199_005964 MITRRLASTVSVPPTDKSHRKIVLVGAGFLGQYIAKALIADPRN RVLLVSRHPEKLHSKLSHLGSQILPPHPADITSKDHDSLRKAFKDASAVVSMVGLLVG SEKKMDLFQRQGTENVSRLAKELGVGRVVGISAIGADEGGVTAYWRTKAQGERAILND HPTATIIRPSIIFGPGDSFFNRFATLAKWLPFLPVFGGGLVRFQPVYAGDIARAVEIC CRDDSEIVRQVGGKIIEAGGPDVYTYREIMQLVLRYSGYQGRRLIISLSFWVGKIQGF FLEKLPENLFTVTRDQVEQLRSDNIVSPSPPLNSLSFKDLLKSFPSSLPSSAPPGDPG LTPVEKILPTYLGPQDTQQKGKRTHGRNFDTGLEEVRKMSGKK L199_005965 MTRETGEENVTDVDDCRFIRGFINWAKSPAARQYFFSTHFWGPV ANWGLPLAALSDIVNRDEESISGVMSPTLAAYSMIFMRFAWRVQPRNYLLFACHATNA TAQLVQEGRYLNYWHFGGREKKHPIGAAVDDVKEKSKEVVDKVKA L199_005966 MDETYRAKLSGSPNPHPRVHVGDDHTPPHQDNHGDTIHIQPNHP ANNTSHPIPIQLPNIFVHPYPPRERTPPPPPPPQPAPPPPAPAPVMPPVTVNVTAPPK ETVPKGRKIGPFRITKPHPLLWVSLILSVVALVLEVPKGSLPTLTGRHKALRTQEKLV SEKLALLTKLSTFLPPPLSSLVAPLDPTLPLQTSLLALNDHRQLDLLRLAPNLRFWNT AIGAPYGVGVGEDGKGWWSIEDLGQGASVVRSKDGEREKEVWVLKVAEGGEENTNSLA LSTALTHSLLLRDRLQSEVSQLKATPCPACPKSEHGHGSGHGLYHLDTSHGHIHAVRD DDDEEAYQSARREEWERLEERKRRDKERHREIEEREREVARREKWVVEEMRKMSDKIH GQATELTLEDRITERLKSYQRQLSQLKEHKHDEL L199_005967 MVSAPVYDKSDPRSDKVFPYHLPLTPEWSEYKDPYGQTAMTAAG AGMFVKQPIIVWGAFILAVISLVNSQPLRQSKDSTSPLLTLGMGFAGVLANIMPKMML APQGQTDVPTPSP L199_005968 MSSSERKQIKVGILGATGTVGQRFIQLLSTHPYFVIHALGASSR SAGQRYGKVTKWKLNTPIPKEIGNMVVQECKPEAEGFRDCGVVFSGLDADVAGDIEEA FRSANLIVFSNAKNYRRDPLCPLIVPLVNPSHLSIIPHQKKTLGLEKGYIVTNANCST TGLVVPLAALEKAFGPLETVMVTTLQAISGAGYPGVSSLDILDNVVPHIGGEEEKIEW ETNKILGGLNSDNTQFDLHTTESVKAINVSATTTRVPVIDGHTGVVSVKFSKSPAPSM EEIDKAFREFRCEAQELNVPSAPPQAIVVHDAPDRPQPRLDRDLHNGACVSVGRVRPC PVLDVKFVCLIDNVRLGAATSSIMNAEIAVEKGLIV L199_005969 MHNRAPKFPGRPGPRKSNTSTPAPGEEGPYVPTLADRLSYADSP RPFKSPTFTSKLPSRTATTSTSIVRKNAKQILILERERLSGGDGFLSAAHTAAKARGE VIDITKKKKSTGAVGGKKGNIANLLKGRLKRELSTQQSENGSALGLGIDSQMDSGTST PLNDEDEEEMEVDTPTNEVVKVEEGGENNGPRKEIITYHTPTAPPSLLPPKKYCDITG LHANYTDPRTKLRYKGLDVWHVVRGLGPGGDQAYLSLRGAQTSLK L199_005970 MSLYGGIKFASGSASASAPASSEEGKPSTSQPSSSTNPTPTQPT SATPQKTGEWSAALKFAPRVNKPKPPTTRPVGFSNTTSTIASSSNNSNGNSPVPGVVD AQTQTKSDIIRSAGPVITTTIGVGVGLSTGGQKSEDEVQFGPDGLPLAKAPAMTLAPK VVPGKGKREREGDDKKRKKKKKKRNNFGQPYISTFDPEEQYDPNRPNDLGEYQAYRKR MREERRLKLIEERRRKAEGLSSGESSYYTDSEDEAPRRDAPKMFAPPKIYNETTSTST PNYPPPPSATSGEDAYARRAAMASSGDDAYARRAALSQTPSAGDDAYARRVAMSQQPA SGDDAYARRVAMSQNPPPPSSTISQPPSFAPPAQTVPPPPSSDIPGFASSASVPTSTA APAASDKEKEDFAKMLEDRKKAAEAIAAKFKAFAGGAAAGPSLSIPPVPAPAPAEDSG SGTFAEKMMRKWGHQEGSTLGIRNEGLIHALSAEHVINQPQPKPGETLSKRQIAKQKT AMANLKNKKWVQAPNSRGRIVNANEDSRAMEAREKKGEESRIICLVGVIGSEEEIDED LADEIGEECSKYGIVERVVLHMVEPPPPEPSDCLRIFVVFSGMAGAWRATKELDGRFF GGKKIRVTYFDEERFDRGDRDGEILG L199_005971 MYKQNIQSMGVSQLRFDLENLFNEITHVTRLLLSRPSSVSPEFE LPPSPQPSPHRFSRLVSLLPSRSRSLRRRGRYTPIEEKPLLGDHTGDRRNSDDSDITL VPPKTPPLLNAENILKELAQPISNLQRYSNRIHHLNFTNREYKKDDTEELIDMFKTIC TRLIDLLESLKISSRDKSIFDNDHVSDQKDEDNGEMEMRHLMIQALIKKINIELTRFS SPTPSFSLNSSMTKNPFIFHSSSLSSNLTAPSSICTSETGYDGEILISPVVSQRRESL STPRPIKMTHRYHLDSEQSNWAQNIIRLGSYQASWLFSNTPIAVRKLSGDSDERRKLI L199_005972 MSSRVPSGSGSTIPFTPKPRKRTSSSRFSLNDRELNQFVGTLAS VRRVKPNAILEELEQSGEGSGLSARADGPSSRERPSSSAAQKPSSTRTSDRIPSSSSS AQPPRPSSSSPSKHRDVSRPSSSRTVHAPLPTSSRPTPESDSRKRNGKLPERVAELSL ADGPNGVEEERKLEDVPIEIQEAWICEDLLFVLQGVEGSLIRYDEDYDPLDEEQASKG ARWKVDPSLDPSLLSLVERLLPLATYFTAVEASMESRNSPEYGMVTHALSSGIRAMLK EYRVLTAQLESLLLSSPTFTLQTLYFHLHPTLHTMSLLAGLCSSLESEESGQDISDAS DDDDGLGGMAEELGLGGAGLKGLMQNLKAQEGLVGGGGPVLGGEVLGIICEREATMSG DPTASTLHSTLLLHASQPYCKMLVRWISTGYLSDPFDEFMVKESGHITKGVLESDYTD EYWERRYTLRDGSSLTSTKVASGKGTPSLGAGVPPPRTGTTRLPGGACIPGFLQPWKH KILLAGKYLNVIRECGIEVKKPNEVGEEEGDGMVVINESKFYKRIEDAYIYANKTLLK LMVEEQELIPHLRSMKHFFFLDQSDFLTNFLDLAGSELRKPAKVTSLVKLQSLLDLAV RNPASSSSNDPYKDDLKVVMQSQGLYEWLLKIVSKTGGLTEDGELDFAVGDGHDDEGG RRSEKERTLLAIDALAFDYSVKFPLSLVISRKTITRYQLIFRFLLHLHHLESALSNMW LEQKTPSWRNHCGDEDMEKWKSRIFSLRSRMLSFVRQVLAFATGEVLEPNWRALEAKL TKVQTVDQLLKDHVDFLDTCLKQCMLTTSKLLSIYAKLMTTISVFVSYQSSLNTALNK FLADPISESEPTKANSRWTALNKFEINFNHHTKLHLDAVTYNAGSENVALLALVTRLH QTTLRI L199_005973 MRIPIALAAQLQSSLASSSSGSVTGESLSISGPSILRSCIPSTF HWTPTSGPYTLSLIDHTTSEDIEDMVLVTETKATWMVDISPGSNITLLITDSKGNSAE SLNWVVEEGTTGCLGDLN L199_005974 MDVEDESVSIPSKRSSPDLFFPVSDSEEEEDVITASGALAGPSS SAINPPSTATSSRANGINGNQVESKQSQEVFGSQNSDDVIAIDDVKPSNGAGPSRIKR ALSSTPPTPSFFIPTGFEQGYLGEFVCEGWSLSKGKGYCSPGSKVIFERPKPKAQVEE SKSSIRDTKGPARLVNGKVVGAKGKSIDGKQVTLGSMMSKRNTPAPPKKSNAKPVVDS IIRFRNDRGFVGRLSVQEAGFLTHLLDTGVIELSGHVIDCPQSLSTGSTILLNVKVFL ARKAFENVEKKDERKEEGTFWQEQKETTEEEAMRKRKDALGALFSRIGVKPLRSNALL LAQKKNGAAVINETSLQHFSDSPKPKRSPSPSKGSNGTASEKGKGKASAATSDNDEEE DEDSGDEAEKLDEKQMNEIDAIYAKAQQGDNRLEETNPPDTFLYTLRPYQKQALTWMS AREKGDESIRDNQSLHPLWEEYAFKKEHVEGQPIEIEDEDDFVDPSRRFYWNPYSGEL SLTFPTSNTKAKGGILADAMGMGKTCMMASLIHTNIDADIASTPPPNATEDEEPVSKR PKFKQVTLSNQWRAIPTVPKATNVNLPRGTLVVCPVSLASQWHDELGKMSEKGTITSF MWYGNDRIDIDRLLSQEGKKKVDVIITSYGTLASEYQKWKKNKDKPTYEGGNLYDHEF LRIVLDEAHNIKNRTAQVSKACYELKGQRRWALTGTPIVNRLDDLYSLLHFLRLEPWG HYSFFRSFVTIPFLNQDPKALNVVQYILESCLLRREKTMRDKDGKLIVDLPPKTVDIQ VLDFSRPERQIYKHLEDRAKRRFIQLDAEGRAMSNYTSILAMLMKLRQCVDHPLLVLG KSTEDDESTEKLLDADTGDEKNNLKDLIALYAGGAKSKVNNGGKEEEVDHAFAEKVLK ELGEQETTPICDICSNEMFDEALLPCYHRCCQDCIVNWIGTCEDQNKPALCPSCSKGP IKLSDLRSIQRKRKRVNPLTGTHEAGEPGVTIGKVNLVQSTKLRALVRKLDLMRQEDP EVKTLVFSQFTSFLDLIETTLSKEGIKWLRFDGSMSQAQRAATIEEFGKKTKEPLVLL ISLKAGGVGLNLTMANHVFMMDTWWNEAIEQQAIDRVHRLGQNKHVYVTRYIIKGTVE KRIMKIQRSKTALVNASLSGGASKDKGASLADIKKIFGLDEDDSEDEVY L199_005975 MHEQLAFLRQSPRTSSLPLACSFEGESGEVELNYWPAKRGCESP PEHLTLFILGNPGLLGYYPPFLTHLHSLLPPSHAILATSHIGHSTIIPGPKEPFDLPQ QLQSKIELVQSLRRYLDDWSSENGKIGENPKLSLMGHSVGAWLSCEVMKSLNTSSNPV EWPVQSGYLLFPTLGWISNTWNGWTLWPIFHRPFKPLLPLLSPLVRPILPYTSLPPTS IALVNSPEIIRHVLHLSRSEMDLIRDLDIEWYRSQNVEDQRRGLFGIWAKGNLDGWVG KDGPLVQDALGGEEGDRVRVLDGVPHAFCLTQENSELVAEVVANWINPSSPHGPLDSP TTHPKEPLGSDIMPM L199_005976 MTHAPFALFNSNNQNNNAMPSSSSFKVKRKRMSWGMDEEEASKH MRVRSPTMQNSYQLPELMTDHSNSASDDDQMMDTDMDMDNDNDCHMAMGHSGINAHSH QEQSHANSTDHYVYSSGGSGGFLFGPGAEEDELEYEMEMMDDMGASQMKAYPSLTPHP NPHHFSNASLSSHHQHLPSNTNFRPTSPLAAQAFSQSLPAPRNGLLQPSYNGPLPTNA SEIEKARNIHGPHCKSIPKLVMSEYPDAATGRRSMWSVCGDCGACEMAQ L199_005977 MSDSPESDPKQSLASLIASLSTVETSLESLLDDQNQAGPSMWSD KLEKLSILDRAKMDVLVSYTVNDLIWIYLKLKGVDPDKHEVTAELDRIKTYYTKIKSI EEPETRRNRIDSDAAHRFVKSSIPRSQHLPPTSAAQLAHQQAQSAIAEQEEEESLRRL GKASRFRFIEKEGKETIIPGQDAEEDNDNEDEDEDENMEEESESIEEQGGLDAEEFLK GVEEEMKGQ L199_005978 MSSNTIQTGNHQVSNTGIKLNTELFFSLGDIEYFSSTHQPLYHL PKSSLILNKEVFQPLCVIWIPIPDDGQITKSILEDTINSYLDEDDVLTKDWLKTILLI PSLQDSGQIIELDIQDEGLSYLLEDVGSTHLVLDQSIRYHSDPLIISSISTSELEGKR AAGPYFVNQQTDVFNFYPVYRLYPDTSHAFVNGIYPLDDQGTYKTLNKTDDKGRVLVP VPSRLYAWASVGKLKGYRLSVKDVFDIKGIPTEAGSKVYLEMCGEVKDTASCIRRLLE EGAVIVGKVKTNQFAVTGNSIEQSPDVLYPYSPRGDGYQSVSGTSAGSASSMASYDWL DMSVGSDTGGSIRHPASIVGLYGNKPTTGVLPLDGVLPLSEWSDTVGVLARDPVMMKW VLETWYSNSKFIKSHKTLPKIVQIPIDDFEVIPSNIRKIIHNFVSSLQNVLGMKVEWI DIKKTSERDGGFMSIPEFAKVGEELQYQKWSKAFIDTYKSQNQGRFPPVAYHIQENWK STEHYSFGDLGTMREKWDHSARIFRNLIGSDDQSVTRTILIEPINIDRLPLYRESKMN PHREPLSTRKNPIGPTHPASIAGCPHYVVPIGQVPFKSLVSDQEEMQPLSMSIVGYPG SDFVLLEIIDELNKAGVLKTVKTGRTAF L199_005979 MPPPSTRASVLRLLNLTSNQGCRGCGRTHSALDHHSHGHGHKGV RGMATPVEKATGGPPPGNTDYAFEMAASTLRFGPHATREVGMDLSNMIKQLPAMDRSN AKIGIFTDPNVVKLPVMEVVEESLMREGLNWVVWDNCSVEPTDKSWQEAIDFSRSSHI THFLAVGGGSSMDTAKAANLFTNYPESDLYEFINAPIGKGKPIEKKLSPLIAIPTTAG TGSETTGTAILDIPSRQFKTGIASRALKPTLGIVDLNNTATCPKEVAIAAGLDVLFHS LESWTAVPYNERTPRPANPINRPAYQGSNPVSDIFSRWALETTIKYLPRIAKDPYGDE EARAQMLLAASTAGIGFGNAGVHMCHAFSYPISSLNKGRPTNLQYYHPSYSPKIPLIP HGVAVSLTAPAVFEFTAPSSPKRHREALEVFLGKERSHEAGNVKDEDLGKALSEEIKK FLDLVEVPRGLEKVGYGSGDITKLVDGCLPQRRVLDLAPLLAKDDLVLEKEQLAGIVE GSLRW L199_005980 MPPLNIFLTTWNTGLQGSKAQSQDLTSWLLPVLQRTANDPELPQ GSIPDLYVISVQELLPVHLALAGLSRPVLTILTERIQSLLSAHATSLIPEKQTEKEEY TLVSRVSHVGVALWVFARSKTMDGKLGKSLASSLGLYYGGMGNKSAVGVRLPVKRSEN GGWEVLTFVNTHLEAHNHNIPRRNTQYQNILSSLVFQPSDPLAEPYQIFDTSHLFIMG DLNYRLSKRPSAESLRESGEVDDIQLEKDRNEMILLDTLKREQNAGRVFVGLREGELN RFAPTYKRVVGQVEGYSKKRIPGWTDRILFASHTDDPSSSDTTKIAHYSSTPELTISD HKPVHAVLSLPGPAPSSSIAATAPHLAPVLTTSFPRTPATSKEIIWAWKLMGMFLDRL IGWPWCVVVALGGGNERTGLGVGAFIAMVWGVWWSGVWSG L199_005981 MSGHYNLKALIKAIRSCKTLADERAVIQKESAAVRTSFKEEDSF TRYNNIAKLLYIHMLGYPAHFGQIECLKLVASPRFADKRLGYLGIMLLLDENQEVLTL VTNSLKNDMNHSNMYAVGLALCTFANISSEEMSRDLANEIEKLLGSSNTYIRKKAALC ALRIIRRVPDLMDHFITKAKMLIQDRNHGVLLAGITLITEMCEIDEKVCDEFRKATGY LVKHLKNLVTTGYSAEHDVLGITDPFLQVKILRLLRLLGRGDPASSEIMNDILAQVAT NTDSTKNVGNSILYETVLTVLEIEADSGLRVMAINILGKFLSNRDNNIRYVALNTLNK VVSIDTNAVQRHRNTILDCLRDGDISIRRRALELSYALVNEGNVRVMIRELLSFLEVA DNEFKLGMTTQICLAAERFAPNKRWQIDTVLRVLKLAGNYVREEILAAFIRLTCHTPE LQFYTAQRLYAALSNDLSQESLTLAAVWIIGEFGDVLLQGGTIDDGEEVKQVTDTDLI DLLNLVLSSPYTNTLIRQFVLTALSKLSVRFKELSTPQQSHNQDRIAEILAGFSSNLE LEIQQRAVEFSNLFGLNDVKLGVLERMPPPEIRATIMGTVSERKPVGSTRTDKDTVVD LIGDDLTSPTNGNLPSASSGPSTQDLLADIFGGGSSDLGGGGVTSPTIQQNGKSTSAA ADIMSLFDSTPTPAAQSTSTSRSPAISPGLSSGGGTGSLFDLVTPSNSTPQTVAQQPQ PQAQPPKSNLQSYRAYEKNGLKITLTPKVAPNQPGLIQILARFQNTTGEIIGGVNFQV AVPKTQQLQMQAMSSSDISAGSTETQQMRIHAPAGAAIRLRMRISYNQSGQNVTDQQD FSGFPADLTGSK L199_005982 MSTPTPEASGVRQRANKLEKEDETKNPASLSSNPAKKVPRNKPN VKPPFIDMSMNRFLTYLVLSLFVVLAFYIWRFVAWAHQVGGYWAVITGNHKTPVSDAA ASAASAASSLSSASSKATTSVDPKSIAPKPTQAGQGEDDIQSQIYHLANSLGIKPAEL SAAIRPLVDPSVPDPAEKAKQEIELLRAQVEAKATEHQAEAQQHEGGVLDMLGEALLD L199_005983 MSSTVPPTAASSASCSSVKKITSASVHLGLVHALDVLFMRNRRK RSHAPANLNVNALQANASADRALTPTRTRIRLADAVKPVHAHLVNVPAPTVLPRPRRK NRKVAHVATRAAVLQVNVPAITVLPALK L199_005984 MPDDLSFLDQLEEWLDNQVPNNLHELPYKMLETMEKVTNELFET LNIHGPPSISIPFPPFGGKDSLPPPPPPTPPSIIPTTGFKAAYCKSERLIKEHPYLFS AAISVGLGLTGLTIYKYSNNLRNLKNDLKMRRKFGVKGRVEDGMLKEAIVILAPSPMP PLLIPLAASLLRAGYIVLVAVPKSRDADQLERRLSGLEEKSALRVLIYDPDDTTTFPP FHRSLLATLTLRFPVSGKYPSGDPYNPSPEQLPHIHSFLSLYPLNPSPPSQPGSLPAL PTLLSPNSDGSTPTLINFYPSGSVITTPDTFASQVLTLNHHLLGRNLAASSGARIVSV YVGDVDLPTLPAILSGGKHLTRRQAAREQLLNNHSASGKMSVIRDYLVGSISNLYGNI LGIVGLGNKVKSYDKFEKRLLKIIRRPSYLNPNVSVLRGTVLRNNESWFIGSKSFFPF FVYHLNLPPILLSKLVGLTSTYVLPTSSTGPVNPVTTSNLLSPTLKHKEEKGLPRTPT TASANSSDHEGNDDLISSIHTTSNSSGNGDEDTSASGSGLEGSWVGLDSAN L199_005985 MLHRRPGAAPPPPSSSSSSPSSSRPTNVNPTTNKAYTPLRRQSA NLYPTNNSANRNVPRYSIPQASPLNLGGINSYSPGGGLNSPGLDGGYTQSYGGGDWTD GHYIGNRIRGMIDHLRMGLRDAVRLERSWELVWSDRELRTLVLKSTLINILSLISLSL GSLFFSPFLVHPVSELMESKTKKMGMWYNLLLSWPVFVVCFWINASWGPSISKRAQAI LHPSHRFQPSPVSTPTTSAPPSSTQTSTSAPFAKVFQAITRILLISDFTLISRLIGLI PFFGRWMSFTYMCVIDAYYCFEWTFTSKHWPLDHRISYMQDRTAYMIGFGFPATFLTS FGPPLVTMAIFALIYPFFVLQAIQAKPPSPSSSSSSTLLPSTPSPHASLPPSPISGEM SLNDPFFANQGGLTSTSSLRSGKRRVSGFELRLPIFWLASHALEGVRWLEVAVGRDRS GERMINGNGAGYGYGLGLKGVQSLNGMGLTERKGKRLQ L199_005986 MSLDQPAPAATSFSPSNYSHLHGPDPKLTLSSVVIPTADNEEES ISQFRGHLPNEILSFIISFADQSTLGNLMGVRKTTYRLAAPTLYSHITITRQNADKLF VGLPRSTGCQRTRVPPMADGPTSSHVASRPIKLRWPDVRMESEDEEEIDQSHTPTNKY AYPTVRSEKRKLALFKFTRIITLTTRMPTQLCQDLRGWARRECRKHKRRLFPKFETLI ITGKCLKDCADWQDRHLIPLEDIADDQFFGVLPMLGRIKNLCITSPTYDEDDYDDYIL RRSRPDVELLSNSSFKSMCTRRFKRIVNVVLPDLIVHFNERLTLSKKSPNITFHNIIS HKRPPCEMDHTLFLAPYTPADRPTALHERCPRVNKMTRASQMRDLDDTIYWEYDFDKM IIGVPDAEMEDIDWDKMFEKANGSDAGHPVDVQKSSQLSPCPCCFTKEGIQ L199_005987 MWLGYIIFSSVFMTTWFAVATPIVDHDQLFLHDTYQSITGHVPT SEQGLKVSTEKVLFYDLDDIQYFTPYHQPSCTLRTATTLPIGETFTPIVVVSVSKPDE GIFTSQHLRPLIESYLRQDDVLTQSFFNTVLLHPEDKSTKFNIDISALVYLMREMDTK ILILDGNLQINLSSPGTGLPSLSIHTVPSGSLPDRTAGPYLARSQIFGNEIDLFPVYR LYADYYRTFVSGVYPLYDELGTYKALGKVDESGNQMIPVPSRLYPIGSEKPLAGERVG VKDIYDIKGLPTTAGSRTYTSWRGTVNSTASSIVKLQNEGAVIVGKAKTVAYASSGMV IEKTYDHLYPFSPRGDLYQSCGSSSSGPACAMAAYDWLDFTVGSDTAGSVRGPAAVAG LYGNKPTQGIINLDGVVQLLKWTDTPGIFTGSPAKFKKILDVWYSDSQANRRHNHLPK TLLVPSDDFPGMPENIRSIVVKTLRDIEKTLGMKVKMINQTATHPHKHPDDGGFMTVD MFKKTMFAWQSKYLGQTILKWYKSQNEGRFPPVGTKFHDRWKAMEDDPWTDEDFDKMK ERQELTAEWFNGMIGRDEETCSKTIYVDPFPLDKLPVYREEKLNNISESFIPKRKNPL FAYAPASISGAPEYVVPIGHVPFRSLVSGVEEMHPLSMSFIAYPGCDSMLLDFISKLG QAGVFKDTKTGRTAF L199_005988 MGEPKPLTAYQQTYHWRNKNCAPFAQDWVKKSLPGLKVEHGPAS AEIVEVTSVSGDCDLGQRKGKLLTIYDLEVEAKWTGKAGDGSDVEGTLKIPEVSHEAI DGLSDYVFEWRVTSSSSGPSSELLSHIKSSFPPVLTSKLNTFRADLLAAYGNPSADDS PAPSGTSTPQPGSSSYSPAPPAKPVEAEKKVEETKKDVGKTVTVEQKADLQASAEDLW GLLTDENKVPMWSRSAAKINLTPDAPYELFGGNVRGKIISVEAPKRLVQTWQVRSPSW PSDHYGTMTLTLDQGSSSTSATFTLDGVPAGNEAEVEKALDAFYIRGLKQMGLVLSSS SRSYSTPLSRISSTARSRPKKLRKKTSSSSNFPKWSSSTLIGSGVVVALSAVLVGIVY TSLPSSSSRV L199_005989 MLNFGQNGGGVQMEMANLKAAPVLNPNYGMVIKYLDCLNRLADY LLSRGATGLAPWLMEVQWLLTSLQKRTYHRMPLTPIERTSIISFASYWRRRTEPPYLM GRPEAQLVLIALTEFAMH L199_005990 MQQTKAFALPRGSSASRMFENRDIISRIASFADTPTQATLMRVS SLFNDTTPLFLYREITFTRRSSSKFLQGCAEDFDILERKLAYCQFTKIIVFADLPSPR FYLYARDLYDVFKSMGSPSLLFPQVDKIIFSACSITELGVYKDKHEISHPFLEILPKL TQPSHMCATCPIFTAADCSDYIRAFVPRPPQEDPMFGVRCFAFLGDRVIPHEVSELCF LFQGSVHSLILHQVGTKLRLPSYFPVTKVFFRSCACEGEWCEDNPIECQSHTGQAGRK NQLLALTYGMTKDRSITATRGLENMTQTITLVNPVLWRDSRKAREELGDWLTASVKGW KEEYISMEGWDDSKVCTCCQSREGS L199_005991 MASEITTHNPGTNCIRRTVEVLITSSNRSNLRDSNDELLDIQPS AQVYYGPQRVWKGIYGRTIDDNATDKPSIGIFVPEASSLCQISVDDPASTGTQNEEVP CTAGYIDMDRDARTALFVSAAKAQNSSLKNFTSSVDLSEASLGNLPQKYYAHDRIFRF EIGHAVTQPQEGQSTGFRSCKSTKEVGGFPHNRMREGHPYSTEVEMVIP L199_005992 MSFVTARPGSNCYGQLSMVQAVPHDLNVAHSEPVLDTQGYSVVD ENGEEVVNKQWRGDLYIYVGQFSLMENINDTTTWEDTPRQQDDLRFSAYAETQGDRWH RMWKGLYGETIDDDLRGCHTRIFVPAESYKAALNITTAITSYHSPLSNTQWETTMAGD LQLDQATHADLIAQGDQSRNFKYTLNTSTADLNFRPGQITDPDYDFKHRYLRNENQPR EFQFQSGHAMIQPTNGSSIGFKSTSNNYQGGFPPRRIVTGRSWVGEVETSHLKP L199_005993 MAKRGADNQKTREGGDSDEEQVEDPGVRDAPLAPVEGRVIRGMP KRKGLGSTAPSPAPPAPTPNPAPTASSSSPFSGFSFGSSAPAPSNPFVFGGSATPAPP TAPASTPAPASSNPFSGFSFAKPAATPSAPATTPSTDAARPATPAFGFGAKPAQPPTA STTSDAAPPKPFANFSFGQPAAPAPASKPTESATPAPSAPKPFSFGATSTPSASSPAA AASASTPAAASKTSTPGSTSTPTNSTTTNGPSPSQPTPPPEQEVSYYTSLRGLNNSIL SFLTSTLDKDQFADLSVILPSLLKQYETHLDEAAKKAGWRPEGTKTTSSVNGESSKSV NSTTPSTFKMPAAPPAGGFSLPKAPTANPSSSTPSFGGFTPTATPGAPSSSFTFGGGK APAAPTPSTADTPKKPSAEVTKLVEDVISGKADEEKKEAPKPFTFGATSSTSTPDSKK TTSLFSFAPSGPLHPPTPESKTFSPSGSLENATPAKLGKFGPGGSQPQLAFGGAKSSP GVAGGTPGTPAANKPSSFGFGFGTSSSTTAPPPASGGATFSFGSSSSTPAAAPTSGST APTFSFGSNSSITSKPATTAPAFSFGNSTSTPAGTGTPSFSFGAKPAATSTTTPSSGF SFAPYTPKPAGDAEGETAEGNADLPPENAEPSKNLAETTGAGEEDEDTIVEQRGKLNK LESGEFKLQGLGQFKLKRSKDGKKRRLLMRTDGSGNVILNMSVNSSFNPTVDGPHVKF LGFDNDGKPVPFALRVKNAEAAKKIQEELQKEVDAIKSE L199_005994 MTADKSPHHTSSTGPKSPRSPTRSHSLNTQQTYKSHSLTDPSSP SSSAHKSHARPAGPRSPEHHRAPKSDRRDGPGSYRTKYRTVPIPAQSEYTPIIQEDLF QLHAPSQPIPSSPPALASAEDAPHAPYRPPEAVIEPPEEHPPGYTPGIVAEHIGSDDE MGPPPESPSILLQDSQTTLGGEKDMKNDIDSWKSDVKSYPMDIDPSSNTASDEPTRPQ IGRGILPRRLLQIIHEHELVQPNITELPQPSKKPHGQGQGQTSSSAGIAWNGTNKNLT SSRPNSIASSSGLAAPPSPSPSTASMRSLPDSERISTLDDVWDALPGQKEGHEEWYFC TTCWGWLRVTAGQGDPPIIQNMEEWEISIRSDAMFENKDDYDKAYDDRLAEYKKYNDL MTSRLLAEENHHHLHGFLTLTEDSKEEKRIERVEVDEKMNVFPHITFGLQDEENPNLT SFDKPQSPSRLFLSCSSELWVKVDKGLVPGQIPLGLVQTFTNEKMSNPAIGYEGRGAN GVSEAWSLLTTLLMNPLFKGQRGWVKLDNPKFQKQIGASMTSSHLLYQIGFACQQEED GLRVGPFKVSDEPSEEDRRKQRQMDQYMIRVWVEITLYLIAYQQRNTVDATVLPFIQS VPLENSLKGIIELDKYPKGTTPYGDTVKSALHTLGVTKQDRAQTIEIAYGLQISCDAE SAPSYLSALEKIAEAPIYGRESLQMRVALERSLDKYSSDDLERAYHAIGYTPEYAESI FVPREEAPESHILELHKQAVQSASSAQEKQNLSRALVIIGRHRKSELMKNMGENGETL MTVQEAYSALNCPEDAIDDGLLMQYEMAVNEYPGKADHYRKCLSIIADAPGQERPGVK TFLQTGSREPEAPARKDIPVGLHNIGYLNSILQYLYSIKPLRDAVLSFEQHQTQPATA KKADVERSKRFVRQLRLLFLQLYKSESPSVRPDEELAYLAITRPEVDAIVEPPQPEQA TTSKFSLDDIPDIPDVPSPSSTQVATPISSPELKPAQADSSTSTVTAREDRESSVLGK RASEDRDDSSSPSGGGVTRLKSDETNTHATSPMEIDDFELVPRPDNPESEVQPVQPDS PSATMDLGHLDLKSPIPETKEEFFRQPGPQEQEEVKYDPPSVPPPLPPRPNLAKKDTL SSGLRFGLQQDSAEVLINVLSQLELALDEPSDQDGQKGSNLIQSLFSCKYKQQIIYET ASPSRSPSGPGGRRESITYEAQKPVESVFVHPIIGVEEEGKDLSDCLAELYLKGADIE YEGKKGYMMDLMDVFPDMLYIQMRRSQYDPSTGRERKTNTHIPFSQTLSMGRFLVGAD PRKREEAIEVTREMVRIRTRLHQLRNHKPLSIPDTFKHVTSSLRHLIENQNQSELYMP ELSDDLTPDLLKGLEIELQSVDSEIVNLQESLPKLKSRMDEIWNDNETSGEDEWEYEL VSVFMHRGKNSGSGHYWTYQSWLPDHSDTFFKYNDETVTQVPSSEVLQDRTGDDANPA LLCYVRKGKRLIDTLHREILEIQQQEQAESEASEKMEIEADIQSNQKHNQSADVEDKK EEVNLIDL L199_005995 METIAHPVDKRFIPIPTQYQIYDSYTIDPQWQIKFTIIWTSVLA FSTLVSIPYIIHMWKIGRLYSGLSINESLDEPTSFPETEKQVSQTKITKESKGSSFLS RGYIIFGSISQSITLWTLPMPRLKWWKGQIGDCCRRAYLTLSVSQIILVLGYMVAVVC CFVIGAELTQNSNRPGFIALSQLPVIILLSLKSPLPLPIFLPSLSYEHYNFLHRWAGR TMFISATVHGGMWINQFIRNDEMDQISSDKSKRGILSWTLMCMIVITSLKPVRRKCYQ LFWIAHVMFFVGFFAAISYHTPYSRPWIYPCAAIYGYDLFVRMLRYRIKDATLVPVDD TLTMIHIADCDSGWLPTQHIFVKVLKGSGVFESHPFTITNATSTTDRGIVLYAKISGD WTRRIHDLARDTGTFEVDMDVDDDQIMEETQRFLEKEQQIREGNDNDMGSGFDHPGRK VQVMIDGPYGGLKIDLGQYENVLLIGGGSGITLILGSIEECIRLRQSRNDNGRRPRKV DVIWVVRDMSTIQAISPTLTYLHDQSNNLGIELQYNLYLSNPPNPLPDVPSTLPGTTK LSPYRPEISQLLRESLPIPTSTSDSIQLESHMAQDESMRGDIGGGLAVIACGPEGIVT ESKNAIASLSVKDKVRSGGIGFHGECYSL L199_005996 MEILNVITKASDHPFWNGVVSFCGVAYFIAWSYSFYPQLILNYR RKRTTGLSPDFIYLNPLGFLALTLWSWGAYFSPIARRQYEERHGEGHFPEVSKSDLAF SLHAFLISTITLGQVWWYSRKVNKSSIYGREEDPLLPHQHHNGNDDNRTKGDSLVSVE PTKPSVPCQIALAALFAAAGISAVLVWLGKYQLLDWLYLVSSIKLIISTVKYIPQVVL NWKLRSVEGFAIGQIICDLIGSILSFAQLVISSILVSHDPQGIIANPAKLGLSFLSLT FDLIFIVQKYWLFRHAGKEEEGEGGPGDEEED L199_005997 MYPRPHKRSHPSRSHHSHSARTNPSASTSTSSASTSTTVQIPPI AYVQAYEAQLIYSQDDRAREVTRRDSRSGLGLIRYAGEVEDEAEEGETEIWADRHDIL HLLPSVVIPSTTLPTPSAPLSSSSSWDSLPSDIEETFYLSDPEEIEAYEQQKKRKWIE ALRQERLKEREKEDEEYEVKDGKIQWIENEEPPGPILALMQHTAKAIFSSPNPSVLEL RILTNHANDERFEFLKGRYKLTWTKIKDDLRKGKQKEQREKEKEKGIGLGGLGGYDSS EDSDGEEEQAEVVDDLQPPPPPPEDEDVVETPPPPPEENIPLPPTSDELMPPPMDGDE KNGLDAASDGSEEEKRRLRRMRMEEWKRKRAAEKGL L199_005998 MIRAGLSTFSRPIASSSSRSTSAVARRYASHGPSYNPPSGYLFG ERPPKDGKRVKETWENIYYVGMFGGMALAAVIIAYKPDTSIQTWALKEARARLDAKGE EWEYKPKSA L199_005999 MPSLDSAGSITTMSSTGTSTYTGSSTTTQSATSSAYVYSYGTSK IVRTAVAAGVIVLALTALVLFIKISSWIRFHQRLRRHSQLTLSLQSEQRATAYEIAAW ADEPNTAPVRNSDYNKKSEKKSRKERREEIKQIRRGGGNAWMVKEWEGVAS L199_006000 MPRSPSPDYRRERDRDRDRDRRDRDKERDRYKDRDDDRKASSSR RDERDRDGDRSRRDDRDRDRERRKNRYDDDRDRRDKKRDRSRSRSRDRSHKKDKKRKD SRSPSPSAKSKRKEAKAAAKAAARREAELEQSRALAELSMYSATDNPFHDANLGEQFK WGKKIDKEKKMGMTTEEIARKDAIRRQEAKEELERLNKKRAEREVEMQLREEEENRLK RLAEDEQMKEWIEKEDDFQLEQSRRRAGIRLREQRAKAIDFLAINLRFADPKSVGMNM SSAIGALTNPKKGEVEREQEEENWGWADAGFEFEIDEPWKIFDNLNLEDCVELEHDIK MYLSLEKSPINIEFWEAMQVVCTYHLENLRDPDHAEGGRLFDKEVDESASNIVSGLSL QRLVELENRTNAMLRSGQPVDGEFWDLVLRKIHVEKAIAKLNSIHEVVLKNRLEQFKR RQREDAAKVQAELGGVIVNKNEQFGGDIHADIGDQDEGEDGEDIDVDDDEDDYVEPYE REMSPLPADPKTMVLDERRLPIVDEEDEMRALFAARRSITSSGFVPKYARPSATTIES VSRPSAADLEAERIYRAEAEKEMRDYGSDEDDEEFGDLDNGLDVPGTYDWSDRYRPRK PRFFNRVHTGYEWSKYNQTHYDSDNPPPKVVQGYKFNIFYPDLIDKSKAPTYYLKPIP DDPETTMIVFTAGPPYEDIAFRIVRRPWEYSHRKGFRSTFDRGVLQLYFNFGRTFYRK L199_006001 MSPTQPIRTTCLQCSRMSSSVSKTSPLRLSGLTYRQFTSSSSSP SGPSSRSSWLRSHPRLALTLLGLTLPTSYFFFPSSPSLTPHTYSEQPISSTQTLSAQH KLITVPIPESSTSREFFERPYRTDGAIANTEGGEVVVQHMMIKSPDIQIERPYTPIND CLDDGEIRLVVKRVRGGEVGRVVHNLKDGDKVGIRGPIPTFSIYPNQYDKIIMISTGT AISPFLQLLSKLPSPSSSSSSTSSSEVIPKLHLIHSNPLEGREDWSNTIIDKSFLPHL QQKFGNNLQITRIDPGLIPKQVIVNALQHESKSIRDQRVLVLICLPPMLMRPLCGSMT PNLSQGPITGILGELGLTNNQVWKLE L199_006002 MINDIPYFTTQPVLSDLYQSKDLLDFSGPSTPPRASTSSPSASP SAPSLFSSATSIASAATRRAAAAGLTTTPSGPSSSSIAKEIRCVEGYGQNLYIGNSDG TVEWWICEAASAANGMNGWGMKHRHTLFPRRPVNRMYILPKISKTLIISDGTLHALSL PSLEPLPSSHVPPLRGVVSVILDDEELEWGGPGSEDLNAQMTVVVVRRRGLGVYKLGN RMNSVKEIPLPSSPTHHALFSSYLCAAITSGQDDTTQTLYSIIDLSDASLTEVLPVSQ IDPAVADFQPNPNIVVIPGENEFLVTSYTGSSTMGVFLNGQGDPVRGTMEWPSHPLAI AVESEYIIALLRDQTVTIHSLADLEKPTQVISLDPTIDAFGLTYSPYGVSVRDIYRDD RLTPYKLKLLDGKLAPVKPIEEPKVTLPQVEDLSNDAAEGNTEEPLLSPDVISPINED PPSGSGLTPPSSPKPAYRHPTTPQNNSGSSTGLSIGPFSTAVSETLIISGHSIVSLLP TSTIMKVERLCSERQFDEATILVDEERRRGRRGEIDVDKATHHNTMKYLHLYLALHLF EEALFDKSLDYFTRSKVDPRIVVRTFSDLRGKLIGSEEVVDVFEGIKEVLERMGGVDD IISTSLKRNYSPHVQPNTATAPETSVLRQAMMEEAKDMLTEFLRKTRASRRKGGGARG LDSRKIDIVIDTTLAKLLADKGTTNELLALLAAPNDCVLPELEPFLVQRPYVLATVMR TQGRVDRVLELLKEIADSDIADPICEDPVEELAQQLESVKDPQMLLEYALWLVKKNPS RGLSILMAQNQKNGVKLDDLSLVQKLGQIDRETANRYLEFAVVSKKSPNRALHEQLLG VLLDEVEELCRDEGVKYHLEELDAEYRLEPSPRPFIIFLADVAPDTPIKRNRLKLMLF LQGSPFFDSEKAAKRLESVIELKFELAVVYGRLLKHRLALSLLAITIGDSISAQTYCQ TKGEIIPPKISKLVAKQVKGLDTWAGLIELGRRKVQVKDEEMRGLVKELLAVYMSDSK GTSKQAAALLNAQSVHLDVLEVLGQMPNDWPLDEVSSFLKRSFRRGLHDRSTWGVLKA ISAGQNMEVSEQYLDKIRRIPPITRASSNDVSPDGDGLTPPDEGSIPETEWGTFEEKG LVESAIEQEKRGTSAGEKDGIVQRNEGVEVGSKEGYVLNSDNGEEGLV L199_006003 MPSPPPQPVPAPKQRTSNPHGGLNAPQSIRMGASPMSYGAMTGI SFTNGGGFSFENKPRSVKWGNTTGSGPMGSYGARFYGDAGGDSPTIPFSTSITMEPSS FAASAHAFNLPSGSMSSSYHRRSYAAVASSIPRGGDYNLSNSFASMSFQPMSLGTSYS KSQVTNMMRNKPRSDAELTQAYECCGKTHAGLHALLEHVEDVHPFSDPNIPPDNTNNN NNNPGGFSPVTNAMDLDLDDVEEELPSKNKASTTSARSSISPNLTTAVPVPSYPLPTS GSKATTPTEQASIGKSPLKLSDVLKSPPELESSLTANANASLLGNKASNLTLTRTGSS GSSPPEGSLATPTTSTQPSPVFAQPKINPARQSFLGSTGVGSRPAAQQMRFDRAFNEV VAGPKTTTATTAGDANSTVPTAVAPGVLFASAVSSLGIPTIPPNQQKQNGQNTTNATT NGVTTPQEEIPEGATTGSGNTTPNKNANGISMNDIQLPQPSLFSSSRPWRCPNPGCNK SYKQSNGLKYHQMKGQCDFAIHDAVDLGLSLEEAEERNRPYVCAVGAGCNKRYRQMNG LKYHYLNSGEHGQYGLRMLQNGTHPLPPNMPPPSNTSTPNATPQKPSAAGRPVSSTTS TARAGAPYAIPSHANANSTTQNRNGVGAGGHGLPRMGTWPAQRTVNGNATAQRPPQQQ QQQQGIPIKSQPKPQPPPITKGRDAVLFAAVGGGEDPMDVIGRMDQ L199_006004 MSSKHRTSRFHSQSSFPPNYNSLPPGSRALKQTSHTFISPCNLR SIDDDDDNSQVPGKARIHIDSLTPEIRPELTVAGSTGLDKDTIKAIEGSEHWVWYGIQ VILPDPPSSRSNGDSCIIGYLKMDEQTHSELLKASKANERFSCEIDVTRLNLGEQPKG TKYSAPERETVMREFTFEPGHALVQPIHGMVNGFRSTLPGFSGMGFPDDDKQGKDGWF GEIEMLTKINC L199_006005 MPSPPPPSEASSSSAAPSAEGSSGNVTVAGPSRTIHSFFGGSSL TAATGSSAPSNGNNSTTRKRRKKDENNQAKLSLNGSENGVGWVMGKPAEEKQAEGIKS NGNKKNGGGEEEEMKDMAGKTKGKGSTRGKRKSDVHEITNGGDNELIDPSLFPSTSQE QTPPKKQGRSRKSQVPTTTITSSTGSALNAANSSSFDYPSAPSSSSSSNFPVLTGHRH SVDFEVTGQSYPIKGKNVNDVIEIDQYSSPDRPVLVSSSTSPIKIGVGPKKIAFAADK KPQHSFFSRLNINPVPNLGENGTTDGNRSRASSFNSVNTALSGEEPKDKGKSKEKDKK KIVHGFFNNVSMASEGVLKNGWGKGIKEGEELIAPLPRGEWPNHFSHINLDTQYTSFV QNQEAGPSRRRRPLPKEVSDDGFWYSILENSTSVFSASRQDLEDQEMFSIPPFILQHP AFTSIPSKSAIKSISNRDSWTDRYRPLCAAEVLSNEVEATYLKDWLSDLSVGQSHRKV VRKVKRALKSNLVDGWIVDDLALFGDHPADEEEEGEEIELEELDDPPISPDPDLRPDT YPNLNGRLTNTILLTGPHGSGKSAAVYAAAHELGWDVFEVFAGMGKRTSANLMSWVGD VGKNHMVSQERKVTPKKDKAGSAPGGLKSFFGKAKAKQETKLEIAGESQGSAHEPIDI DQDDDETPDDVDEVQILGNSNGTGNDGKVRQSLILIDEADILFDEESTFWPAVISIIS ESRRPVIITCNDHNLIPKSQLPLQAILQFRPPPSYIALPYLQAIAQHEAKESHRILPD VQKIYEGSIHQERDIIDRPLPPNGNERIPYFDLRKAIGQLQLDRGATSPSNGIHQLNQ DQGEDHLAQLVKKLEMISYVDAQISQKGWMQLEVSEVDRHYPTTDDQLGIHALIKPEI DESYPVLAGYDYCSTISDTLISMRAGKVKNTGNLALDRMRYIRSTLPILDPLIPLSSP LLPHSSLFLYTLPTILNIVETDDILQAAEEDAVRKGEERINRKTGRPVRGGQGYTRWL ADLDEGAEEVARGLIGGLKWR L199_006006 MASINNSSLTETDESYSTADTASGISTGRPSGKFNFPEDDGSTT QVRYKRTNAGGYSYFTKNGLGSSSHAPVSIDRLYRGNKIEYSIYRSIMGDKAKPPPEE ATFTYTSMKKDGTVEERKVRLTADESDGRVTYGISESAGSEVPTPTSVA L199_006007 MSSEIRTTVPSAGNHSRLGYSSSSDGGLGSKKDSARVQRGRDGY DTSNVNSSHGGAQNTSAASADSGTIRNTARVTKGRSGYEMTDPAPPPSDGGTQNRING QAPNSVDHGFTFASGSRSHCRVMADPKPDNDSNRKDLY L199_006008 MSGAPPGPPPGPPPINSGNVVRPPGPPPGAPPGQAFRPPLGFPA GPPMGLPPMMPNFPPPLPPGWSEHRAPDGVTPYFYNTQTRESTYIRPTLFPPFPPPGP GSASPILPVPVQAEKEKKKKKEKPKVKVPVPGTTWTRVTTTEGNVFYFEKESKKSEWT VPEEIKEQVEALEAEEKASREQKEREEREQAEKERLERLKEIERIRLEVEEEKKQKQL EVERKRKQREEEGEDRDSKIPKVNDEGVQDVEQNGDENGDEDEEHFGPQDEEDEAEWM KAVAAEFAEADQQLEAEKQKTAEEIRKEEEEAAKKVFAVPEKVQVSPEEGRALFKALL IEKDINPFSPWDQSLPLFINDPRYVLLSSQKDRQEVYDEYCRDVGRARRLAKASGASG SGDKKKSDPEKEYKALLREEVTSTRTRWDDFRKKWKKDRKFYAFGRDDRDREKVFKTH LRELGERKRADAQRAEKDFYELLKESDHPITSSSVWSDVKKHIYRDPRYDAVGSSSLR EELFNNHIKNLASSSSTNGSEKKLSKAEEAAKRRAKAEASLRERENKIKAEKEKIEFE NHKSRVGAGKQDAERLFGSLLVDQVRDHTISWNEASQFLSSDSRFNNPSLHPRDKQRL FTEHINRISSKRSNELHNLFEKFTPGLDTPYDAVYPNIVDDPLVRRLGLQGDNLESQW KAWMRSREVEGRKEFDEMLGENSFIEFWGKMRKKTLDEAALGVKRDDQFEEAEGIDVV DDDQEGGKKDITDMAKQIDLNEIKSVLRRDKRYRQFDHVPEKREQWLRDYLENLEAAS GSRTIHNVGFGK L199_006009 MSDKGKGRATNPISFDSDSDDDFFVSRRKPIIRETTAPRSPTPP IRTHSDSEDDDDASPDRQRKKKPRKAPVKKPTLDLPAWTRQGSNGDKKNGSRSRKGSS QIRGSTEERADTIVIDDSDEEIGIVGGSSSKPTKKVIRKRVQLTPPPELSEKSKADIV KLVREHMSEKYGDQAPLDDSASSPEKDKNDVEKVHVTIRMQAPPEKKQTAAPAAIKEY QKARTLILSRTGPMSTGISILSERIQKRPEDVILVYDDKRVYPRSTPAQLGILDKAEM IGYEKDYWMKLEADKRSALEEDLMYSNYSNNQEEEEDDVIPLNPNGISSSSSSKPSYT SQSQSLPQSQTQIQTHTQTQQDIIHFKISSSFGEERMKGPKTLKLQSVIRFYLKKLGR PVEEAEKWYIMFDGEKLDKSLRIEETEVEDGDMLEAGM L199_006010 MAPRIRLPIPILTLFTGGKECSLCEVAKQDLERLRRSTPFELNL WNIRDPPKGTDEREAKKWRRLYQYDIPVLHLDDRRIAKHRKRAGAGGGGEESIKAGAE DDGGGGRTRFKAYKSVLSCFDTSQDADDLLANEDESGNEVESEIPPWRRYIGRVFLQF PSPIGEYTPEDERDIYSRILSRTFSGFSDIPIGSDVEVIDLSKSTTNEARSIDEMSFE IDLNPSRGLVELDEEQGGDVLYDEPIREAVEVNQGRSNNQECWNCLSTGHAYLSCPHP KNHMMIRHSRDVFLYKRDYAMPEYVQPALDMYFSMKVTEEERLRRLELLDQFGLPTGT LSEVLQGAICFIPPDDDIYGDVQGEEGYLIREKMEVKRRRKRWDWYDGMMRWGYPPGW IATKDPIQETTRRIESLEIHEKAFEYNVEEDDDDQLEIYGGDLGTSPPNPSLDDEEAS SENTSESSSSGSTRKDNDEDDMDDMDVDDHEYNSDSVPIRLVNVKKLSIDGVGPPSPV SHPIKQPSSSPRPPTPPYPPSPTDDRPPTPPFPPPPLPDIPLPPPPDDIPSPPPAPPA PPSPSSHPNPYLRQHYALQLQRKDFASPPTAPRNHNSHTYTPNQSRRLDDHSPHVGTQ YQTPISQPRSGTDAVSHIPRTPISNSSLPSMPKAMRSIPPPKRWVKYHTDLFDSERLI PYFEGRPFPIGRY L199_006011 MDKLPWRTSSGGKKGPFTVDSGGGKHHHQQQHQHVSPRNQRQPE RPPSASSSRHSNPPTPAMSPAVPINRKNGSFAYPSQPYPSFPQVSTSAGHHSREGSYH QSSIATTPTPLTPIDSITALSASAGGNAFSFGAQASSLGPSSVGGPSQSSYGPSSYNS QYARSAPNKRNQPALSTTPLDPPPSPWTNQNNSGMLQNQPAFDWSNLPNPSSWLTPES TQPAPVEENAVDPGIFDTLAELVQQSQEKATNGAAFDFSANFPAPGSSSSSIPSMPST SQNSTSLLSRRLQNQQNSNTSPPSNGNNNMYQTSVPPPSGSFSDSISSLTGYGTPGSY GNMNFSQFAPPQQQQQQQQQQQQQFQQPQRKNSTAPSTPWPLPDRLGGYVETPVTTPG GSDFGGFNSPVELSAGSHSNRYPPIAPRRREAPQPPAPGYPSLPSNSGSLPSSQMPSR AGSEAPRGESSTSTPQGVSLDTLPPLPAGLSIEHLAQYGTAGLEMAIRMGMGIAMGLN AQQPNQDPNAGSSIWPSMPADAPTPGYFQNVSSPEGSGSQKGRNVSIVDDILNDDFLH GRMPTTPLATPPISNIGSYPVTRRPSQSDVTSPVLPEVGSPEQMARKDPLATQVWKAY ARAREVLPNGQRMENLTWRMMHLTLKKKEEEAAAKEKAEREEQERLAKEYIAEVEESR DAMPTTTPNVEAERGRSKGKSRIVGFAGAASNPSPDGMDIDWRAASRSRSRIPMDIDW RGSSRSRSRSALPFRQNPFSEHHAHQLLASGGTPTAEMGLHMPSQNEWANSTRKAPDL PGPRSAGSSFSRSHPNKSLPPVQEGPLDIQPSVKQPHPLDMLAASAPPNGAITLDEIQ AALAAGLSPTSRDRQPHLPGINGPGLYSETEENFHPQYGYLPRRVRKTSFDHTVQAHE EGDGDVGVSPTSQFNPRKRQAEASPRDGKTIPLPEGDSGFPTSNFTFSFPQSYDNFFD IAAASGTTPAGNEGLNMSEITAEDIAEWASSQPATADTSAFGSPSAFGLIDPTIAGAG LSLPNIGSPTQSSQPGGDNPFDFQQLMHLYLNANSAASPFTHINPSQVLGALQNQLLS NGNANTDASPNAISPSSLNGAPTPGTTTSQSGNTIKPLPKSVGGKAVDKSSMPPPSLP FSGSTNGSGPVRSNSSPNLQTLRIPSQGQGSSLTKGHNKNTSLSGSLGKSKSKSKNRD NNNHNNNNKNDRIDLGGGGEGEENEEDDNDDDGVPEPESGPGSIINTGESPTMCTNCQ TTNTPLWRRDPEGQPLCNACGLFYKLHGVVRPLSLKTDVIKKRNRAGPGPKGEGGSRK NSVSGPSTSSTSTTNTKGSNTNNTGGSGNSKKSGGNTTTATTNGTSGGKKARRSSVDV SLLNSDGDQTASLSASASASVSGSLPNDLSPLGAGNGNSMTSLLSISGGK L199_006012 MSDTATDPTTDMSATETATEDQSISTKSTKSAKRPSPKQKFSYK TEDGSTSEITFWPSSSQRDSNKHRKWYISETTAGTDGEGESSGSKPTLMSLSELKSSD NKAYNKVYQKIATSGKGKGRGGTRLRKVDKFTFDDDGKTNTITILSTGTKGDEKDTKY YVHSVDGEGNNTKSEGLTWDEITSEDQSEGLRSKAAERMRERGKEKYMYKDSTTADRK SSKVSRSRRNPKGRSARSGKGK L199_006013 MRNIVLRSQDHGTGLVLEESILEWISQSTQFDLVIIDKVINIAQ RSSSFSSKISKLDWPFPEISGSYLYRIENADSKTGLYPVYRLYPDTSKAFVLGCYPVH DGSGGYSMLNKVDGVGNLMIPVPSRMHSMNCRGSLQGLRLGVKDIFDVKDLPTKAGSR VFGHLNGRFLKQETAPSLKILEEAGAVIVGKVKTSHPFCPRADGYQSCGSSSSGSASA IASYEWLDFSVGSDTDGSIRHPAAIAGLYGVRPTHGLVSTESVLPALPRVDTVGILAR SIDTVKRVMQVWSSEQREKHARLTTLLVPSDDMGNLRQDIKNLS L199_006014 MNLKKVNISEIVATEVDILGEDEIPKAIGAWQWKDFGKGTSIHE RYQSRHEGRLPPLGHPVREAFKVAQQEEWDEENFRRVKDKFRKAGKWFLDLLEGNDVD EGSSLYVELTALEWLPTYREQKLNDISIPLGRRTKPLGPYVPASVGGCPHLVIPIRQV PFHSLLSQRMEMQTICASLVGPPGTDLSIIGVMLRLKEEGVIKAVQTGGEAFKHQGAA LLDENAAT L199_006015 MFNWWEEFLLTTVADFENEQMPHSYPHAEGSNYPQQDRSNQRGR PPPINLPQNPYGHPSHPNPHDHHAHHQHNQHSDQVQQVQGYYPPPPPPNIFYAAENQP PGPPVNMTLNQPYDATFQPKLEHDGPSVLIPQHQLLPNYLDHQQQQQQHQQMQHSIIV SPYEMPLGSGSSHNPNMAEYFPPLHTPTGVPMDSGSSHNTAMLDYFPPPLPPPSHDVM SNVPIDHHQAWQSTVPAPLPTAQSTSSKNNASAGPSGKPEKPGSKTSRQQFTACGACR HRRVKCDLKDKQEAAEKATMEDDGVGPSRSKGASKQKKVSCTNCIERGLNCIDEFAPL KAAKQLRRGKRISEIEMLFGKTAANAAVAHQTGEPTADLTLSPIKSTDGGGIIPDLTK DFFDSAFFRRFQVQRPVLDPQNFIGRYLSNPIPSASAMGPEGAILCHVLYAWAVSYGV DEYGKLDVPEGGGAPLEDISLLGPGDAEMKRENDRQKRKEKMKFVIEIILKEIDEYGL MRRPTWDGVRVLLMVLPLTDGIASPVERLSMYEAAISQVFTLCSFVAMGYDGQPSGTA GVNGGSDDLDGQDLVRVRVRIYWYAFVHEGITTGLKGGRLHLDDEDLETMQDSIDHRS LVRDSAAFRLSSRFATAPINLALACRKINKALTGPAAKRRTAVNGDLVKQAWEALERC WEDFDQLKYEASSSGPSFAQGDEVIRFADGWKIFLFEAQNVIRTNLEDRISKLAQAQT TAFITESNPSTPEAMHNDLLNAQHLLDISKSKCDVQTRQIMEIVRRHVGTRFFEWDAS LVRDGTYYTAMLLARAGGSDEDIQICIRALNELRWAHAKAWERSIDLRKEWQERPSPA SSQAQSDGTWEAVLSDLAKLTNDSQNTTQPSLPSDAQSRSTTSSHSAHHHHHQQQQQQ QHYQQQQHQHIHHQHHHHNHNHSHHNRISSHNSEPTLSTTSPSYTSPPIVSPTFDTSG MSLMRGYIPASDDQRNAYSSSGAGGLMEPVDESVTYDNWINSNQSDGVQSTSTNSRIY NPQPQQQKYQMFTTPTTDNNSNMMPNHLGPPPLNSNHMNLQDGNYPIPIPNEQGQGQG QYLMREDGTHVFVPFKFM L199_006016 MKSSILLSLTLALAGVKVDAFNLADVKMTTSGSIVPGRYIVEFD SNAHLTSSGMKREANSPHEAIYGQLKERNTAYTVHQEYSNDLFFGASITLDTDSDLAN LLSVEGIIDFRQVHLLNLPAQPVTAQSYQWSVATGLRNSSAQAYSSSTSTSAKVTTTT SCTGKGRNQKCTTSTVTSTPSATSSSSSTSNKGFSVLSQIGAESVHASGNKGKGVKVA IIDSGVDYTREPLGGCYGSGCKIVGGYDFVGDSFDGSNDPVPDNDPFDNCYSHGTITA GLIGANANQYGVTGVAPEASLYQYRVFGCNGATTDDIVLQAMQKAYDDGVDVINLSVG ETSGWTESMLSVFASRLSAAGVVLAISAGNQGQVGAFYSYSPGAGLGVINVGSSDNAF YPSQLATVSTGYGPIPYYNYKTFTAGTYPLYAFTTDPSVADDGCTIPDGTPNLNGYVT LVRRGGCSLEQKAKNIYYQGGRQMFLINTANTVPLYQNFPLDFGMISLEDGNYLLSQI TNHTSTNTTVTFSFNPYQSPNTFTGNITSYFSEIGPTNDLFLAPSIVAPGTNMVVILP ATMSNWSIVDGTSYSAPLVAGSSALHIASKGNNNVSPDKVKKALQSTADSLKTSVSDS TIANVAVQGAGKLNIAQAISPNVVVSPSEILLNDTNYFAGTQYLTLTNPSNKIVKYKL SNVPAGTALAYRSGMNQSNDQPVPQVSSQATVKFSQSSVTLLPKTTWVVIMQFTAPTG LDAKQFPIYSGFIQVSSDNSDNNAQVPYLGVAAKMRDMPVLDPTADYLGINSPTILDE SGSVQSSTGHSYTFQNDSYPTVLYRLVGGTPLLLIDLVGANSSLGFTPNYNSKRSNSP LQPEIYKRLVEERKINSISSSLQSEIANWKSTKTQSLISLYCQLTNFQGKGCSSYQSG KSNTFAKVPILGNLFENDYIPRSTDNVDGQGNDYSTFALEQAKFANGTSIPNGTYKFL MRALHITGDRTKESDYEAWLSAPFTIAQ L199_006017 MSQEGDGPSATPFDLLINAIAGSTDYVHPTDIPAAREQSQDSNV DQTLTGTLASASAVQTTNKFARRTRRNDSPTPRQKEISKVLSEQIIHQKGNNTTTIEI WHPTAGQKSYGKERRILAPPPKLCISGTILPTITSVTLSTTSASASISSSQTHLIAPP LFEPLLSLAGTPDVADVHTEGQNESTTKTRNKKGEKSSHQRKLIYAARNAGFGATLPR SRTNVEGKDRELLLKDGLNFPGLWIGEEVGKNKEFHLELKVDIEPEPSTIPTIQEVQP PGAGLGAEDNATEEVRQQGPREQQEQRQQEEEGSGPFHDIQIDHSTSLSEVLEPSSME VLQPLAEAVRDANNETAEQTLAEHLPTSQNNTSINSPEMQTVLEHSNQISSGRDPSNG AARHIFRPVTTPYLTFLSTSLRLVSKPSQKTAKARSMTSCFSIKSSFALWTRIHAQTV RTKYMKLECAEGEGEGKLTSRTGKWTPFRFEIIKRALPPAVEKKSKIRNHPGPRIEVE ADVGNEDILTYGSIVRLIDLQSGIKSDPVRIVKVESGEHKLNGESDGHPISELQRIGL IRLNTDGSDHLNEDGQRCYLSAPGARLGGGELLDGRSGRARPILNKDKKRPLPVSMSS TQDDSSFIPDTLGGLEPQLSPEREVDVRGEPPKKKKKTKRNALAAAVLAEDEDGGSHT VLSWVQAETSQIPSILEEEARMRVEKVEDWMSWIIGGVACSSQSMTTVASTEDLKTIN PLPEILVSPTFDPQYNTVDLTLSQFHFLSSAAPSGVDEPLEVYLGPIGPLYVTCWRST SHKNTPTAAIPYQPTEESIDDGEKVVSSFPADKKHVIVRVYLPEIEEIRRVVGNLLAR IKEEDEDESRKDQEGVEGIRPTVQDGLTTVEDDQDQVHSQTQVQDGRPEDESKDTDLP DSGFFTNESKLDTTTWFNSEDQSHPPDKLDDLSIATALEMTSTLNDLGPFVSSSSTLS DSHHVQKTNEGNGAIIDPSLSEGTREHTNTQSKSYGALQQQKQRTISNGHEDLGKDTT IKLHFAPQSQSDGADIDKSGSNISLPFVLIRQSDGIGFGIEKSVIIDELSDEIRVI L199_006018 MSDPRFARLKTDPRFRRPKQKNLKVEIDERFKDVLESEEFGGKG KGKASGRVDKRGRPLASSHHQDQLKRFYRLRSPEQGEEEQDKQIGFVDYARGEGALES SGSEDENEGSEDDIEEEELEVGGKRKSKLPGYISQSESHSDSGSESASEDEDHLNVDL SEDEEPTSAFPPEDDQDQDEEEEEEEYVPPTKRIAAVNLDWDNLQARDLFSVFNSFLK NAGANGTGSSSKDDDLGKLLSVKIYPSEFGKQRMEKEEQEGPGGGIFVSKHKDSKKKR KGPKEAIVPKSRAEESEEESEGEEDDEDESEFEFDEGEDEEPSEAEGEKDDDDEDAQP ERSNDRPREEIDGLEIISDVESDAGSEDIDMDQLRQYQLERLRYFYAIATFSTVAAAE HVMNECNGTEFERTANIMDLSYVPEEMDFADDEIRDEANKEAKGYKGNDFVTDALRHS KVKLTWDQDDPNRVKMTRRTLTREEIEEQDFNNLVAGSGSEASLSSEDEDEGDQEDEG AKATKKGKGKGKDKKSKKERTEKLRNLLLAGNDEDGDIWGKAGTSFADMIEEPKNKGK KKESEMEITFKPALSTGLGGQEVDEENMTTLERYQMRMKEKKNRKKEKMELKRATKAL NNDEDDDSEKGEGKEQGQDDFFGSDSGDEDEEDDQDVGVEFEKPKSNSIGKCKLNGKD KTQHKSKSTNDDEDEEDVSALVGTSSKPDTNFSMKDIIKSEKDSKKRRRRRGTKKAEQ AGEEEKELGPEGWKINVSDPRFKAIHEDAEFAIDPSNPHYTKTKAMQDLLSHRSKIRQ NKKYSENDEGRSSASGVKRTLLGEGNEDNGGNEKDLSQLVKSVKRQMEREGNNKKRRR HRK L199_006019 MSDQEDSVEPTSNQSQPTTITELFGNEGDTPDGGDVFAQLGSTR QDPFDQIADSLTQQAEPLQEEETQLAEATQSAEIIPPQQDEHPSPPPADELPAPPTHE TPALPQPEEASLQPPVDEQDFSDLLAEFEAENELDPQVPLSTGDETSEVAQEPPSNVA PVHAGAPNENGDGENENQSGGQSGVLQVPIAASALFTDDPTDSFADLIPQDPADDAEP TFSGDIETTSRPASPSPVPSLSIEHSQADVRPTGLGIDAAGDTSFQSMFSDASNWLGD TTFDDSIQVLDDNAKEIDGSHSQQSVKKEEDGHELLDFEVPQGWYDESGEWHWYTDEE KEQVRKTMLGQGSWGEEADTTVDKQTSGQNQTPIAPYSPALQAGVSENAARRTPQPET PSKLPSYSFDPYAPSTAQQSATSTAYPMTSTSQYTSSSSGYEPYSGISSAAPYSSSSS YTPYNPQATTQTPYNAYAPAHQQQPNPYAPTAQAPSNPYAPAANTSSHSYTPAPAILA NAPQAPSVTSKSVSSKPPVQRMTSNAYDPPFLKPQKSFVRAPSAAASPSFGMPHSMAM SPPQASSTPPPLPSGPPKRTKPEGRPPSRGLAFAAPPIPIERAGISTTAQQSHMSAYD APPRPPSVSYSRRSVDLNDDQSPKKPLHRPPPSAFDPPLPEPSFRAPSRTTSAASHHN YASSLQPASHPPKFAPPPKASESTYGPPRPLSGGPPSRQASPMFAARPPSRPDVTQRM RSPPSSNQSLGGEQLLSPPKVQAQITDYRSRSSLDEQRRSPFESMGHQSHYGGYQPHH VHSTQSPPSQSERHLSPPVLSRRFDEDGEDGDVVEDLYSPIRAQATPGLHHEQYRMPT SPQPPHADIHVKALPPARKTTSEDPYAPSSLGLQTQYSPEKAQSSTSPYASYAPSQPS PQKRAHASASHYASYEPTPGVIDLNDDYSNPPQRNGNYSNDPYAPSASDISPTKSQGF GHASQPSRASTTYEPSIYSPPTATKPLGIPQATNPYAPPPARTASPAYSADYGISPPT NNYFQPMHAPSHSMDETYIPQQVLEQKPVSEDPLGRTTLAARNAPIAVFGFGGTLITA FPGAAESGTSHKGHSRIPSYGYASGRGQLWIRNISEVAAPAALKSDQTSFPGPLVFDT STPKGAAGDKKKREAVLEYLNARAEEIEKGLPYLKSSANKARREEEGRLVLIKALKAL VIGEGKLAGTPEVEEALREALANPTSPIISNAPVGTVSAGKFSSSLYPPASTSSAAPA AATAAQLTRISSLLAQGNKRDAALYAADQGLWSHALVISSNVDVELWRDIVTRFTTSE VGERPQGTAGIKASYLLSGGVNSTIVDELINAATITDDPSNDQWREVIGSVLFNAKPT ELACLDELGSRFLSMGLVNAAHACSLLSPVSPFFDLTPAAFERPITLIHNVRDEEAII FAEIAEYARSLVPTPKGQEAHFAALPQLLPYKLARAWRLAELGEAELAQKYCAAIEAG SRINKNLPSLLSPAYAASVEDLLERLTGAPSIDPPNALGSGRKAAKPGFDKLGSWIEG RLTKFIAGEEGDGSAPKPTAQSGKLAGPFSQFSTISTGTSGAPSRNTSIADLSNNGNG YLGVSSVSRTTSPALQMTPQWGQQPNVPSYGGSSSSSSYGGDNYTQHDNAEGYSPWTG NNDGQGGVETPHAYGDDSEFINPMAQLNLGPSPAPAPPASNYQPPKNKSAYEEDDEDD LGFGNSALSRDRTPKPPAAGEENNDKKGKGKTPDVKDSKPEPKKNDPPPAKPEHKSSW LGRIWGGKKEGEQTGPVKANLGEESSMIFDPELKRWVVKGAKPESAGPSAPSPPPRAQ TASPSRSARPDNGANNRAMSATPPPGHRPPVSGPPIGKPMGAGFAEGPDGSIKRMKSS LNESLTASDLPSAPPPPPSSGPPSFAGAGGPPKPSSSAGSSVPPSRTNSGTTPSIDDL LSRPPSKRPASAAAKKGARNRYVDVFQQPEGQ L199_006020 MATWTGSSITVEDAFNQVWKSTVEIQPSFYFPISQNPSLPTPPI GSPVSSTEDDLATAEILARIKFGSSSPSLETRKRSLTSSNGEEEEEKHVMKRKKESSV ESAVSGSTNGTAGGAAERWPPRKEFVYLTCVVAIPPVGRQKLPLFGKPCGRNEIIAKV VTMATGEGCSRKLISSHAQVLKGRKELSKQLRDLLTTEEGKNNDDEASPTVYTLGAEW NFPKCLNRLIGLPENLDLRTACTPPLIAQHFADVVKPPKSSTKKERATLANKNRLTIK TRPSKSLSPSVFSAGTTSPSIISSSDRTDEADVHLPTPRSSYLPSPYLLGTPTPFSYD DRKPSLPSPSDLFSPSYKMSTPNRIDISDYSAPPITIPRRPATANAISSSTTRQLPPP ESPISSRFMVDSPFRTPLSSARRTSESHLTASLGRSSYNTFERHCLKILGDPNHRISG VSIEDMKRKERNQQLC L199_006021 MFSPKITFFGVLSLASMVLGAPVASPDGGSAYTGVGGQASGGSV QRISEGGLLNLDILNIGSNNAGSGGSANSGSALGGAGGAGCTLGDLLGGGNGGSAYTG AGGQANGGNVVEQSYGGLINLHALNIGSGNAGNGGSANSGSAAGGDSGCLL L199_006022 MATEFDDVLTNQPVVIDNGSGTIKAGFAGEEQPSCYIPSFVGRP KHPRVMAGAIQDNLFIGRRAQELRGLLKIKYPMEHGVVTDWDDMERIWGWVYGEGLKA LSEEHPVLLTEAPLNPRQNRDVAAQIFFETFNVPAFFTSVQAVLSLYSSGRTTGIVLD SGDGVTHAVPVFEGFSMPHAIRRIDIAGRDVTDHLQLLLRKSGYYLHTSAEKEVVRTI KEKTCYLAINPAKEEKDQSGAWEEFRLPDGKVIQLGVERFLAPEILFNPELIGQEYPG VHQVIVDSINRTDLDLRKSLFSNIVLSGGSTLCTGFGDRLLNEVKKLALKDVKLKIYA PPERKYSTWIGGSILAGLSTFKKMWVSADEYKEDPDIIHKKAF L199_006023 MGKFSEFLSSSSSSNNPPPPPPTYNESKSPSSVPVHGPPPTTYP NEPPHPFPHLYGCLHLGRSDRVRLIGLPQNAVPAVEEAIRRVWMAGIQKSEAYYKGWE WKLSGNPWYGQGAEAIYSRRLMCHILHALSAIGWDVHMSCDLTKKSFDKDTLILHSVQ PRQKYYFSISFNESDKIRIIDPPDGRVRDAFLRAVQTWPLGIQAQIEKEPGATQVKLR GNPWWTSSGDQVVEARLLVCTLLSAMESVGFELVSSVDMSSGSGDNNYDLDTWFFATK M L199_006024 MAGSETERGWMKIGYGDSTAYKQQLDRWESNQRILTQHHVNYGL PSTLEELDEDQLDILKDIILHSSSASSFTQVEITNKKPVSPIFQEVLYIDLFTKECPI TTKNFRHLLLGDKGLSKISSKPLHYKNVRIHRLIKDFIIQGGDITRNDGSGGESIYGL KFNDEKPGLKKTFQYGTVAMASGSSKNSNSSQFFICLIPNIQDGDSKEEKERKKKQFG KLDGKYVVFGQVSEESLDLLKKLNALKVNGGGDELEGCWIDDCGIV L199_006025 MSDPAFTQQVAEKASTIALSPEKEAEYERITRSLQEYTGGDIIR KVLAEGETVRAYWGTATTGRPHIAYCVPLVKIADFLTAGVHVKILLAEPDAQLHAFLD ASKSTLQTVQYRVKYYSILLKTVFTVLGVPIDKLEFVTGTSYQLKADYTLDVYKFHAL TSTREAEHAGADVVKESESPLMSSLLYPGLQALDEQYLDVHMQFGGVDQRKIFMYAAH FLPRLGYAKRAHLMNAMVPGLSGGKMSASDPKSKIDFLDTPADIKSKIKAALCPPGEV ENNGVLAFIKAVLIPVQALRNEQASNEGEKAPVGEGSFVSAGAPEGTLFSITRPEKFG GDVHFSSYEELEKAYVAEQVHPGDLKGAVTDALINLLAPIRKAFEEDKEWQEVEKLAY PDTSAAPAAADKKVKKKDVRKSAPTEEERAALRAAKEKEKAEKAAAKATAEGNPLKPA ELQKSSQAAAEASPSAAIASGSGSSSTSCVTSTNLPKLKLLAKGKVRDIYALPAAEDQ DKLLFVATDRMSAFDVIMNNGIPSKGITLTTLSLFWFDKLKHIIPNHVLTPSPASCLA SPADAWSEFPRSLDEYRDQLEGRSMIVTKCEVVKIEAIVRGYITGSAWSEYKKSQTVH GISMPAGLVESQKLPKPLFTPSTKADQGEHDENIHPDKVKDICGRELAEQIEEVAIQL YTEASEYALERGLILADTKFEFGLLPDPSSPNKTQLILIDEVLTPDSSRYWSSSEYVQ GKPQASFDKQYLRDWLIKEGLKAKEDVTLPQHVVAETKRKYEEARDRVMGLGEFGKHG KIGVRAGDEDLGLQTDQVEDAIQSEAKDRLIGGKHGKIGVKAGDEDLGLQTDQVEDAI QSEARKL L199_006026 MNRAFLKKWAPAETLPIFGIVGLAVGGASYYLYRLSQGPEVVWD RHGDWRPWDKITHDTNQKLITVNPEFWEKRRQFVKEQKANTERAVDQI L199_006027 MSAPPGFGNLPPPPGFAQQQPNGEGEDSRMDGDFFGQLSQDEIE KKARKWRQSQKRRFDPKRRQGGGGGIDFGKADLPPEHIRKIIKDHGDMSNRKFRNDKR VHLGALKYVPHAVMKLLENIPMPWEQVREVPVLYHISGAITFVNEVPQVIEPVYHAQW ASMWLAMRREKRDRRHFKRMRFPPFDDEEPPMDYGDNVLDVEPLEAIQLELDEEDDEA ILDWFYDPKPLLDTPHVNGSSYKYFQLSLPQLANLYRIGRQLLSDYSDNNAFYLFDKK SFFTAKALNIALPGGPKFEPLYRDTEAFDEDWNEFNDINKVIIRGVIRSEYKVAFPHL YNSVPRSVHIGPYHEPKNVYIKTDDPDLPAFYFDPLINPISQRVVQEAHTPLVSHEDA VFGFGNEEDEEFELPDELEPFLDTKDLSNDNTADAIALYWAPYPYNLRSGKTKRAQDV PLIKNFYLEHCPADQPVKIRVSYQKLLKVYVLNALHHKRPKAMAKRNLFRSLKNTKFF QTTNLDWVEAGLQVCRQGYNMLNLLIHRKNLNYLHLDYNMNLKPIKTLTTKERKKSRF GNAFHLCREILRLTKLIVDAHVQFRLGNVDAFQLADGLQYMFAHVGQLTGMYRYKYKL MKQIRMCKDLKHLIYSRFNTGPVGKGPGVGFWAPGWRVWLFFMRGIVPLLERWLGNLL ARQFEGRNSKGTAKTVTKQRVESHFDLELRAAVMHDILDMMPESIKANKAKTILQHLS EAWRCWKANIPWKVPGMPAAIENIILRYIKSKADWWSSVAHYNRERIRRGATVDKAVV RKNLGRLTRLYLKAEQERQNGYLKDGPYISSEEAVAIYTSTVHWLESRKFAPIPFPPL SYKHDTKLLVLALEKLKEAYSVHGRLNQSQREELALVEQAYDNPHECLSRIKRLLLTQ RAFKEAGIEFFDTYDKLIPCYDIEPVEKITDAYLDQFLWYEADKRGLFPNWIKPSDNE PPPLLVYKWCQGINNLTDIWDTSDGECVVMMETVLSRVYEKVDFTLLNRLLRLILDHN LADYITAKNNITLTFKDMSHVNAYGMIRGLQFSSFVFQFYGLVLDLLILGLQRASELA GPPGAPNGFLQFRDSETETRHPIRFYTRYVDRIHILFRFTSDEARDLIQRYLSANPDP NNENMHNYNNKRCWPKDCRMRLNKHDVNLGRAVWWNVKSSLPRSLTTIEWEDSFCSVY SKDNPQLLFSMCGFEVRILPRIRTQHGEQYSLKDGVWNLTQESTKERTAQAFLRVSDQ GVNDFNNRIRQVLMSSGSATFSKVINKQVYFYSWNTALIGLMTYYREAVVHTNELLDS LVKAENKVQTRVKVGLNSKMPSRFPPCVFYSPKELGGLGMLSMGFVLIPQSDLRWSKQ TDSGGITHFRSGMTHEEDQLIPNLYRYLQPWEAEFLDSARVWSEYAMKRKEATASNRR LTLEDLEDSWDRGIPRVNTLFQKDRHTLAYDKGWRVRAYFSQYFRLRNQPFIWTNNRH DGKLWNLNSYRVDVIAALGGVEGILEHSLFKGTAFPTWEGLFWEKASGFEESMKYKKL TNAQRSGLSQIPNRRFTMWWSPTINRANVYVGFQVQLDLTGVFMHGKLPTLKISFIQI FRAHLWQKIHESVTMDLCQVFDQELEALQIETVQKETIHPRKSYKMNSSASDILLFSS YKWQISRPSLLTDNRDTFDGTTSNKYWLDIQLRWGDFDSHDIERYARAKFLDYSSDSQ SIYPSPTGVLIAIDLAYNLYSAYGNYFPGMKPLLQQAMAKIMKANPALYVLRERIRKG LQLYSSEPTEPYLNSSNYSELFSNQIIWFVDDTNVYRVTVHKTFEGNLTTKPINGAIF IFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQVIVTRK GMLDPLEVHLLDFPNIVIKGSELQLPFQATLKMEKFGDLILRATQPQMVLFNLYDDWL KSISSYTAFSRLILILRALHVNNEKAKIILRPDRSTITESYHIFPSLSDDQWMSVEVA LKDLILADFGKRNSVNVASLTASEIRDIILGMEIAAPSVQRQQMAEIEKTTEAQSQVT ALQTKTTNIHGDEIVVTTTTNYEQQTFASKSDWRVRAISATNLPLRVNHIFVGNDDVK DDAGSFTYVIPKNVLKTFIVNGDLRTQVVAYLYGASPPDNPQVKEIKAVAWVPQRGSN NGVELPATLPKHDFLLKDLEPLGWIKTQSQELNHLSPADVTTQAKIMANHSEWGPQSI CVTCAFTPGSVSLNAWELTVAGFEWGRKNQDVTGQNPGFNPSMANRVQLLLSDRILGM TLVPEGGVWNYGVGLTQSWSDKLPYSMTLDKPESFWAPCHRPNAFLNFASMEGDDAAD VENSLE L199_006028 MPRLQTYTERPVVLLTNDDGPPCASSPNIFSFCKLLQSRLGWDV RVVIPDCQKSWVGKAYAISDVISASYFYPLEPEGLTGDITTTPRPLKEGETMEWILLS GTPATCTNIALHNLYPGEIDLVISGPNHGRNSSTAFALSSGTLGAALAGALSIPLPGP STGEPSLHTDHIPCIAVSYGVVTRPVTSRVLELATDAAVDVCEKLFDDWGWDEDREKG RHLVQVYSVNIPLVEGDLEVGRRKTAWTNMWRNSYGRLFKATTLTQANYDPGDNSNQN RSSPSSSNNQPQNNNIPPNSSTTSTAGPGALPTPVPSSPDFKRHDGQLRFHFAPNMKP LLFPPVESLPVGSDAWAFAKGYIGVTPMRAQFAGPIEGGYGFGREENDGKKAGSLWN L199_006029 MKAFQVKEHAHPSKISVSDIPIPKPRTEKGEVLLDVHAAGLNFF DILQAQGKYQTQPPLPFVLGAEVAGTISHTAPIPDDCPYQPGDRVAGYAQGAYAEHVV VSWRNLLPIPDELSFEEAATIPLTPTTSYAALVGRAKAKAGEWVLIHAGAGGVGLAAC QIAKVLGCKVIATASSPSKRRVCQEYGKADEVVDYTRKDWQKEVMRITGGKGVNVVFD PVGMIISSLKCVAWNARLVVVGFAAGSIEKIPANLLLLKQASVMGVYWGGTAVKDPSS VFRVSQEVLSLLSEGKIKAVIYDKSYIGLDKVSEGLRDIESRKTWGKAVVTINKVLTA KL L199_006030 MFGLLQPSGQSSSSGNLPFHSPPVHKVPSPKSQTSQHVSNHRKR SSAASTVQHQHSSPINLPKYSPMTRPIRDERRLAPLPPLSHTSQPGLSLTCLADAALA DARGPTSLPSPHPKAPFNLPPISSLIAPLPTPPVAKPDSSWSTTATTPYLTSSGSSSL VAMHTPPISRSHSCNANPAGGTDQPVSGRSTTAGDPSSAHITGQGPFPPPPLSQRPLK REKQRKQSQSQARATSSAVQLEYNVRPYNRMTASTSSAAAPSRQPSTVHAPTRTQPSR SSSSKLPHPLHGLTLDKTYPERKVEDWHNDAQNLLRPPLPPPNPIPTTSLNRHSVAQS LNPPPPPHSLTPTTTKKIHPPVESWENYTLPQMPGGQPCLPQTQPTPLPIYPHRSARR QQSSSSFSGTSWSNTDNTNAHTLNPDPLQAKSYVFVSENPEVFTRSRHRAPPISTDIR PAPYAMQRSQSAYTTQYSHSKRSFPKTISEPNSPKGKNEINGHGQIGSSLPTPITASM DTWWYTSQLEVPPSSAYQPEVLSLPQVQTLTPPLPGAIPAQRTTPIVPMEIDRQSTSP SLPPTRDITPIDQEMRNNTLSPPPNTRAESSCGSFRPPESNGQPELEAGESSYSESDS EPVSEDNQDQSGGKGHDRDGQIQVRSHGDDGDEGEGEDESYSNFGRSSIPNGTSAPSS NSSIRARSSSHSTHTSEMIKYEHSSSGSSSPISTSGSTSCFSPGKTVSKKSRTKTKKV KKSDTTAKGKGKGKGRSKNNGSTSTSVSASTTVNGQRRNGERRREQNAVAQKKFRWKK KQMAAKMEADLESATALASSLQKQVAEKDQLVDKLKGEVGSLKRKLKTLEA L199_006031 MATATATMDDLVASLGGSMHVSPDLKALQEYLAQNIVRPSSSIP LSSPGISFRPIPPSRSTSSTRKPTSLPSSYSYPDPNMGMIPQAYPSPISQHNSFFGSF QDDSIMNVNTPTIHTQRPGGPLRRSSSYGFGLAPPSPQTAYSSFEADAFAPLWQQQQQ QTQVQVQDPWAKIRSAQGSNVNAFSQYQQHQHQQAGPSQQPASGFGSFRPPQGFGLVH QHQYHPIGVGMGGTPPTPPAEDDEEMMDEDSIDAEMDNYDDEEDTVERVMGLTSTSVA IQGQGRGDVDSWARGRRKF L199_006032 MFIYIFTILIIATTTLATPLQAKFSSCLSSYSPIAAGQNRMNVS DVYAQIVPADEARELGLTGDGHKVLRVDLIGVVGAEVSGYDNDTNKLATLFTNTKAAT WHVYDSTSWLCNSLFPSELPTPYSPYNTTYCPLPAGNFAINLSIPLYSSYALTTLQTQ VRIVDTSAEAANLACLDIQVSPYDRKGWYYRLFLWLPVATAIGFWIVSWGARFVTGWI VGSGVAEYDQKESSALKIAGMGGPNKREVRLRKWGTMIISGLSGERLSVSGGLLRFCT PSLKDILFHIQFCTMLGMIAVNWPEFAYPIFARGAWADLVWNTTLIQGSDAENKRVSA YPANYTVPTAFATQMNDAQYPLYLDTTTFNPLFDSHNSSHGMESFATAVGLRPQDLFG TCLAIFLLLTAAVVLISLFIWFFHGLFEYLSIGTTKQHGSPGPKRASLGSSPRGSLGG KEAYDPRNPSLWSDGPNSLPTRASLAQQAKVNSASPFRRIWLRFRLKGEAGAFHAAAL YGNLIRLILIFHMPITAFSIYQLTLGSRASIVSRVFAALAFAFISVIIPAFILYRISR TASGKLYDATRTLLSLGPMYNIYVENKQMYRIVPLTASLIVGIIVGAGQKSGIAQAII VIIVELAMLIIPGVWYPWGEGASMGASNAFLGLLRLCTMVLVMLLSPPIALNTPTNDW ITYAIFILQAIIFIFFLLMLITKIIEGSIRIFGGVHFDESTHPLDGGIFAAIMDLDCL NGVRGGKAAARKRRKRGSRQLQRNVSAAGSLTTQMMLDRHSQGVSRHPVVSSEVSTPF LTPGYPAMPMQQREDQSYFPGYAPPLGPPPPEQERLSSESRSAEGHGDAIMDAWRPSP ISTSSKGGYAPPGTYVPSATSPTNGMYGQPHQGSTPQRSFSVVRGGRADAENPYEVLA GPGPRRDSSVSVGSGGYAPQIRVSQVSHRPMSPPHNRQQSSSAIIETAPPLASPSLTS RFSPNATAGPSNQMQQQGIRPNNVGLKPPALAIPKRRSLNDLKHDPSPDSNYSNSTVK KHKKNKSSGWFNRSHDKGGISDESDEESDDEPGPSKRKTKKNNRRKSNLPGTEGFREP LPFEDVPLSPEMQSASPTGGGGGFGFFGFGKKKRSQLDEEIASQARDENKARKAVLAA ESGALFAGVESPSPNAKKAFVVKRSGGSPMNSPTPTSTSSNYAKASSTFKVKRINQPL PTPTPQTHQVPSGNVVSSVHPSPNLGTITTNSSSANVTPRSVDQSLPSSTNSNNGNGN GSKGFRVIRPPKFGTTAGNEPSTPQPQTPVMPSTSTRTANTPQSTGYPPSAFVPISSS PAQHPNRLSGQSFTSDGNGGLAPSRPVRDPRRLSGEINR L199_006033 MSSEKITLYEFVGSCWANAPKIALSETGFKKDKDVEWVSINLAE GKNFEPDYLKINPAGTVPTLITGKGEKFQDSISAVRQIIKLAPHPPKVDAHTSTSIIE EIHASAHDPNATLLFAINDEDRKEKSNGLPKGFLQGRQKALDKLAENPPDEFKDFLLK KKKDNQQLLDFYIAEPDESTKQAHYSQGQNLWKSVGIAIRGVITQALKKNDGPFAGGE EPSEVDYHLITWLARTITNTGVEPGSPASVAIPKLQEYTGGHNIDPVVGRYWDAWLAR DSFKDNSIH L199_006034 MSHLLPPNLLRLFAPRPQPPFLKPLTKDERVRGPNKLAGVAPLF HRLKEEADEEEIRQGMAEAQAEKEEQPEAQQEQLKGETSTSTNGAIKEEDGEVKESSS KVKKGKKKDLIAEKGVIGPEAVKMRQEIRKKRQEEYKKNAEKNYKPQDDPNAVGDPYK TLFISRLSKKATEVDLRREFEMYGAIEKIRIIKDRKGKSKSYAFIVYERERDMKAAYK DAEGIPIHHKKILVDVERGRTVKGWKPRRLGGGLGGRPKPVEVKEVIQPVVPAFGGGG GFRGGFGGGRGGGGFRGGPPRGGFGGGGGGGFGGGRGGFGGGGGGFQGGRGGFGGGGG GRGGFGGGGGGFRGGFQGGGGGGGFQGGGGGGYGGPPGGGGGFQNGYQQGPPGGGGGG GFKRDYDSGGGGGGGGYGGGGGYGGGGGGGYDDRDPKRMRY L199_006035 MTSIRPIVIVGAGPSGLLLAKYLEQNHVPVVVYESDPHADYRPQ GGTLDLHEDTGLLALKETGLLGEARGMMRSEGEAMKVVDKTGKIWLDENVQEDREERA TDELKEIGEQGVIRGRPEIDRTDLRNLLIRSLSPSTVEWDHKIDSIIPLSQSSYQLSF VDKPSITTPYLVGADGAFSLVRPLLHDIQPQYSGISMYELDIPQSNLTSHLEGYIGKG ALMMLDEGKAMMPQMNSGRRCKVYLALKVPIDWQKLHPLPEDSKREWLCGLFEGWTEE VKEIIMASEEGSIKQRKIYQFDPELSWKTDKTGVTVMGDAAHVMSPFAGEGVNQALAD ALLGQTLVPLFATSASNTSHGQVAPTSQELNEALRTFESQMMDRAKVEMQGSKDNMDM FFGEEPARHLAEMLSSFGHEGPASGVMGN L199_006036 MPSKPNKHTTSTTDISGNPPPKYDQPTITYQGEQPKPTPWGQAS VYISSPRPGGGQGGGSGSGSGSGK L199_006037 MSVFRDSWLGGDNGSGREYVPMAMTGREGRLQSYYRFVAARFLP SLLILVLGILIGINHPLYLSIYSRRPLDSFSLLPSSLPPSPPAISTTLLGELPQPLED IPNLVHYVYGLAPLAEGEEGEEFPYFAYLAIRSAMVNLKPDFIWFHCIHEPRGYWWDK IKYYEGHVDPITSERKGTVWVKKARDVEWIGKDKRPVTHFAHKADIIRLEVLRDYGGI YLDIDTFVLRSFSAYNLLRQDVVLGMEAHGLTFLRGPGGDDEMQPKGLCNAVIIARQG AEFLNRWLSSYEGFRGDRWTEHSVEMPWTLAKMYPTLLTILSERAFFWPLWTDDHIHA VYETIEYDFEQSGQLAYHAWESKARPFLSVLDPTTIHQIDTSFTRMARRFVENDEERR WKAHQENEDHKVDKDKGIVEWDDSIGVRRGSKNSWSQS L199_006038 MLSSFKQILRHGKHAQTSQSNATQPESRTTQSGGHRSSKAQHQQ QTAQPQQVQQAYYNNDMPGQGLTATSAQSAQPQSSQSGSKAPMVSPNYREEAERIVAD ERAQSEKMPVYEGLEAYTLIEKMGDGAFSNVYKAVEKKTGRKVAVKVVRKYELNHSQH GNKHLNANFKKRPRVTERANILKEVQIMRGIDHPGIVKLLAFFESEEHYFLVLELMEG GELFHQIVKLTYFSEALSRHVILQVAEGIRYLHEERGVVHRDIKPENLLFERIPIIPS RTPIHRPYDEEKEDEGEFRPGIGGGGIGRVKIADFGLSKIVWDEQTMTPCGTVGYTAP EIVKDERYSKSVDMWALGCVLYTLLCGFPPFYDESINVLTEKVARGYYTFLSPWWDDI STSAKDLITHLLCVDPAQRYTIDEFLAHPWIKDAPSAQPPAATPGGLRPPTAENAPLD SPLLASIRAGNREGRSPGVGALKEAFDVTYAVHRMEEEGARRRAYNGPGGAGTRGFLQ GLNEEDEDEDEAVQLEEARRKHGEAVARQIQQHRGRDAANAAAGVKEQPSQHYAGRGG ANRREAEAVLYDGRAGQRDRARGGNGGNSKPSGTGFELDINNATLLGRRGKKTAPSPL GLNQPAQSPQTAGSPMQF L199_006039 MTSQRPNVTDPKLSPDSSPDPSPDPSPSPSPPFPYYCSPPSTPP PETDNVNDSFALPPPLIKLDLLVEKREKVVVAKEKVSKSDSASKKEKEKEEE L199_006040 MPPRKSRNLSSSGDEVSEDKPDVSSKASSSKRAQDQDGTEGAEP KSKKSKSFNSKESSGGEVEIEENDDGDSFFKLSEYRRLTVRTFKGKVLIDIRETYKDK STGQIKPGAKGISLTKEQWDVLKSNIDNVDDMIVKVHDK L199_006041 MVNIRNIELVNNPAKFDDPYHFRIKFEAIAPLEEDLDWRLIYVG SAKSEEFDQELDNCSVGPIPAGINAFDFQAPAPQHHLLPSTETEEILGVTVIIITASY KEKEFVRVGYYVNTYYEEEEWKENPPPTVQWDKLFRNVLIEKPKVTRFQNPWDTATQA SPFDSQSFSNGNGTTAQQQLPPSGGNGNFETFSAPLPPPVQKAAAVGSSGGGEDIEMS L199_006042 MSTSTSNPNPGSPSEIPYTIPLPEGIPKGHTTHTESTTTIFLPK AGAFLNPVQHYNRDMSVAVIRAWNELRKEELEAKFRKKLAKNGGVSKKQAKKLKQDGV TVDEQSNLPDGAEEVQVKDEQPVAGPSTERKFRAPSINILEALAATGLRSIRYAKEIP NVKYVLANDLSPSACEAMRRNVTFNGVGEDSLPPRKPWQPPVKEDGTSTPVENGEGEV KEESTTTDVKVESEETAEAEDGLEPKDKVGRRPGCRGRVKINEGDACAFMYSHRSPVG PTSRVDVVDLDPYGTAAPFIDAAIGCISDGGLLAITCTDLAVLAGQQYPEKCYSNYGG VNVHAEYTHEAGLRLVLHSLATCAARYGRYITPLLSFSIDFYVRLFVRINTGPEQVKR LSSNTGVVYTCNFCETSVIQPFGRIVERETAKGVVRESFKTHAGPTAKNGSNCEECGG TMHLGGPLWLGPIQDPEFAKRVMKDISTQEKEYKTFPRMLGMLSLAAQELPDPFFFTA NRVAKCLHMSSMPLNKILSALLNGGYKISRSHAQAGAVKTDAPRSFIFDIMREEAKTS PIRIDKIAEGSPARKLISKPMTHTIDFTPHPDASLERTGKETFYQVNPLPNWGPAPRA KSIQVAVPPTTEKRKVDEVDDVKVESKRSKIEDNEFVGDVILPQTQEDVFALKVEKEM DEEEMMNLQ L199_006043 MSDSIETPVSRRLSSVKNIIIVLSGKGGVGKSSSSVQLALSLLS QNPSNRVGLLDLDITGPSLPRMVGLDIPEATVHQSSAGWVPVYVDNGKRLGVMSIGFL LKDRGDSVVWRGPKKDGMIRQFLSEVRWGELDYLVIDTPPGTSDEHISLLTHLHPLFT PTLSKPTTPSSILISTPQTTALNDTIKSLSFTRKLQLPVMGLVENMSGYVCPCCNEIS YTFGNNTNTEKIFKEQNGVDILGKVPIDTVLVGLLDSVSKGELNVDVQQQQQQQQQQQ QNGNHEQGENGDNGQQQSHFPLLNEYNKTASSTIWRSITDKLVKKIESRKEQIRERLA PSTIN L199_006044 MSHIGTVKHIPKEVRYNFLQMAAPASYVAGLGRGASGFTTRSDI GPAREGPSAETIAEAQAKRGEEVPDPEALQDPDDERNLFAGTVYEADDEEADRIWESV DERMDARRRARREAAEAEQAAKERALNPKLQTQFADLKRNLSSLNDADWDAIPEAGNL TGKRRKHNMRLEENQNGRSYAVSDTVVADLANRNMLLGELDKAQQENGGFDTPAADGT MTDFIAIGNARDKVLSLQLDRASKDAANGSSTSVDPRGYMTALNSQIVQTDAQIGDIK QARQLLQNLIQTNPKHAPGWIAAAALEVHAKKMVAARKIIAEGCEKCPKSEDVWLNAA ELNTPENGKVILGRAVQHVPQSVKVWLKAASLESDVMAKKRVLRKALEFIPNSVRLWK ETVNLEDDPEDARVLLTRAVEVIPTSVELWLTLARLETPENAKQVLNSARKRIPTSHE IWIAAGRLAEQSPSAIGDVKLEDEAEKTRKLAAQVDKLMVGAVNSLKKNQVILSREQW LQEAERCEQDGSPLTAQAIVKATIHQDVEEEDRKNVWVEDAERAAKGGFFEVARACYV VVLETFPTSPSVWRKAAEFEKAHGSPQAVQDILAKGVQHCPQAEVLWLMAAKEKWIGG DVPGAQAILSEAFKQNEDSESIFLAAAKLAAETGEMDAATQILEKARVQADTERIWMK SAVLQRQLGHLDAALELLEQGIKKYPSFDKLHMIRGQIHESRNAVANARAAYTQGCRS CPKSIPLWILAARLEEKAGITIKARSLLESARMKNPKNDELWAESVKIEERSGTQQQA KAVLARAMQECPTSPILWSMAIFMEQPQQRKGRSVDALKKAGEHPAVILAVARLFWGE RKIEKTRQWMQNAITADADWGDAWGWWFKFEKQHGEPERQELVIEKCIAAAPHHGPVW QAVSKDLANIGKTTREIIEFVAEKLE L199_006045 MLQYTTLLSIISLLSIASVTNAANADQWKGKSIYQLFTDRFAPP SATAPARTSPLPETCDPIQQTWCGGTWLSIIDKLDYIQGMGFDAVWISPVSQNIDVYT PYNYAYHGYWVNDPLVLNPRFGSSDDLKALSNALHARGMYLMVDVVVNNIPSLNAYDA VNSTALKLDGSLWTDPSEFHPQCWIDYSNQTSVENCWLGDDKVALMDVNTENPTVVST LNSWIGNLTSTYGIDGLRIDAAKHVPGTFWTKFCAASGVFCIGEVYGSDINFAASFQT EKWMDSVLGYPLYYGIVNGFGTPSGNMSSFVEIAQQTLATFPQPGLLGNFIENHDLPR WRNTTSDSQLAYNAMVAQFIFDGLPIVYYGQEQDFSHGAGDPYNRAALWPSNYANTTT YQHIRRLNDIRHAVITNGTQFNGKNFLDSQTTIVASTKTDVAFRKGPLLAVLTNRGSP SVAQAFGVPTSGWSAQSAVIDLLSCKQYAVGSGGAISVSYAASGYGGMPYIFVSQTDA AALKICGDVGVATYVSANSTTQASSASTTVPIQASKELLSVLAAGLVGLAVTLSLV L199_006046 MHTFIGSTILLLHVLMVYSIFYNVLKLSEKHEDVVYGRSFRPAN QPNPNLSSNASSGGNSSGSNNNTGSSGGDDKSGSSNSTAGNSSNQEAKK L199_006047 MIMADELDRPLAKRSRSSNSTHSQVPSGLLCLPDEILEQVYLFL EFNDRLRLRSVCKALRDVFGSSALLQYKFTLETTGYLDVPYNSSSLSLTSPYENPDNT PLSSAPEDAPSNSSSSVITLKSPHTDRPRQTIPSVSLAPWPKEPSTLTPHDAVISPAD RNKLLKEREKRWETLDWGEKRTFKVQGREGVYELQEGIFLMCDDFNDMDDDKPSSIRL VPLPSMQDPDLEDPPIQTTSHKVDFPISDLTMDPTQDLIVVSEYRPESSDAQRSAPTH RYHLLTLSTLKPHPLANSPTLDFPPFSQAIMDTRQLLQVMGDTLVVQVSRFAPAWVLA GLGFGIGALGGLGHEEEMVAWNWKTGKVLSRISLPENGWFSSFALLTPTTFLVTSTSN ISPVLPSETRSVGSIFPPVIQVYSFLPDPNNPIDPVQPLDTDPMDDTTPRPVLLAQLQ LPPFADNVSIHAFDVRPDPAFPPNSGSDPTLGKTKPFTQNPSKGVMVFELKVVGNTED DNIPIRDRKRSYEIFILRETLVKYATEGEERLKEAYENGGDFDGLGIRGVETNVPWSE WGEDNTRFMDAVMKRRSWVCSCSGYRFVSLIPAIRPSGHGLWPSSEDPNLDDLEDETH EIIPPPKRSDLMIFDFSPVNIRRTQSIEEQPMNFMDYAKKDWSIKIRDAPSMLPKGNI WKNDVKSALPFREIRRDHGGLANGVMCDDQRIIVIHTQARRNGDWSTISQEMSVLCM L199_006048 MQNDTAVNLPSGSNKKNTVYVAGLAPEVNADQLLAAFVTFGDII DIKIPHEIHDQSKHRGFAFITFSHPSDAQDAIDNFDLNELPGYQGRGKFLKCSLANPD RFASEGGGSGRFDKAIWATDQWQQAHGEKPRDEVEVEGQ L199_006049 MAAPMQVDEAVPGDSIDEGLYSRQLYVLGHEAMKKMAASNVLIV GMKGLGVEIAKNVALAGVKTVTIYDPAPTEIADLGTQFFLREEDIGKPRAEVTRPRLA ELNSYVPIKLLEGSGEITPEMVAPYQVVVLTNATIAKQVEINEFCREKGIYFIAADVR GLFGSVFNDFGKDFACVDPTGENPQTGMIVEVEEDEEGIVTCLDETRHGLEDGDFVTF SEVKGMEGLNGCEPRKVTVKGPYTFAIGDTRGLGQYKTGGIFTQVKMPKILQFKSLKE SLTNPELFITDFAKFDRPETLHIGFQALSAFYEKSGHLPRPRNAQDAAAVVSLAKEIA GTSAELNEKVLAELSNQATGDLSPMVAVIGGFVAQEVLKACSAKFHPMQQSMYFDSLE SLPKQLPTEEDAQPVGSRYDGQIAVFGKTFQEKIFNNRQFLVGSGAIGCEMLKNWSMM GLATGQNGIIHVTDLDTIEKSNLNRQFLFRAKDVGKFKAESAAAAVADMNPNLKGKII AHQDRVGPETEQEYGDDFFAAIDGVTNALDNVAARQYMDRRCVFYQKPLLESGTLGTK ANTQVVVPFLTESYSSSQDPPEKSIPSCTVKNFPNAIEHTIQWAREAFDSLFVNPPTT VNLYLSQPNFVETTLKSSGQHHDQLKQIEKYLKKDRPMSFEDCIKWARLQYENDYVNE IKQLLFNLPKDQVNSNGTPFWSGPKRAPDALKFNIDNPLDLDYLIAAANLHAFNYGLK GERDPALFRKVLESFEIPEFTPKSGVKIQVNENEPAPQQDDSDEDIDALVASLPPPSS LAGFRLQPVDFEKDDDTNHHIDFITAASNLRATNYGITPADRHKTKLIAGKIIPAIAT TTALAVGLVCLELYKMIDGKNELEDYKNGFVNLALPFFGFSEPIAAAKQKYGETEWTL WDRFEIDGNPTLQEFLDWFKNNHKLEVQMVSQGVSMLWSSFVPAKKAADRMSMKMSEL VEHVSKRPIPPWTKNLLVEVMVNDEDDEDVEVPYVLVKI L199_006050 MVSSRSSILLLIASQLLALLPSTSYVLASSSKWTDVQPLEREIL GSATSPSPKLGKRASGYNNPADNGGYMLTIVNGTYPAGLGEPLNVILSADSDKEVLVK STDEGGFLNYMLVAGLGEECLGQHLGSIQEANLGDNQGNVSEVEELRYNYGNPYIGTC QETFNGGLHLRYWIQNTTNAYFMAVSVEMDLNSGHDIVSNGYNIGRDQLIGNLTGTTI ETKNLTNTSTFSGTGTYMNYTYQTDVQYVSGLLKNSSDDINHYITVEEDGLPAIDGLV AVLTVKITDRPPSSGAWSTISGVPFIALLLPLLFTSLVTLF L199_006051 MNTHTRHLLRSIPTSTKPRLPNGNIVARCISTSQIVGQVPPSSS SNASTSHGKTTHFGFKEVPEEQKETLVGSVFSSVASSYDVMNDAMSLGIHRLWKDSFV SSLLPRLPPSLHQNQVPGSSTEAREPFRCLDVAGGTGDIALRILDRAKEKFNSRDIQV EIVDLNQGMLDEGRKRVAKTLYYNTPQITFTHGNAQSLPSHIADNSIDLYTIAFGIRN CTSLPAVLSEAHRVLKPGGKIGVLEFGKVSNPLFKEIYRQYSFQFIPIMGKILAGDSE SYQYLVESIERFPSQPEFAQLMRNAGFQTGQLREGKGGAWTDYTNGIATAWTGVKA L199_006052 MSEKLTEFAEIPQQFIKEGTQFVNRCTKPSKEEYIQLCRAVAVG FVVMGFIGYFVKLIHIPINNILVGGA L199_006053 MRASSSRSPSIVSIPDTDGSDYTPIADSPAGRTSVKRKRPSVTT SSTSTVKRAKGKGTTKSEVVDIEDTAGPSVPRDHGSEYHTVDEIVKFQKDLLGWFERC RETRGMPWRKRYDSELSMEEKGQRAYEIWVSEVMLQQTQVATVIAYWKRWIEKWPTIA DLAKADVEEVNAMWRGLGYYRRARSLLAGAKTVMSKSKYQGRLPDDPAVMEKEIDGVG RYTAGAICSMAYGVRTPIVDGNIHRLLTRLLAVHTPQTSPSTIKFLWTSAETLVNKLT EEKGIAGDWNQALMELGSQVCKPVSPDCGSCPLREGCKAFSELSKPPPTPQEPICTLC APIPLSPNTDKIPSVTIFPMRKEKKVSRSEEESVLVLEWKGESDEDRRWLFVKRPEKG LLAGLFEPPTSPVPTSSSPSKCLDASLTFLQDYLGFSAGMGTHRYVSSIPHIFSHINM TYHIHHSIISSPTPPPILASAPRSTIWLTRDEVDHANVGTGVKKVWAEVYGSWGSFDL DNVPKAKKLKKGNSSAMKKKPLEEVVKNGKIVKKVMMPAMPTRKVKEVI L199_006054 MKSISTDKIWNYKGKQKAAFVDQEQDHSQSRTETISTANPNSVT DTNTNSTGGIHPFIRIHEPSPPSSPSSSSSRRLAKMQTVHESQHQSRDLKTKVKNYFG YTDTTPETISVFSWAKSATPDARSGVKNYLLSLFPFLQWAPRYNLTWLFGDLVAGITV GMVLVPQSLSYAKIALLDPQYGLYSSFIGVLTYAFFATSKDVSIGPVAVMSLETGNII SKVQSEYGDLYSAPVIATALAFICGFIVLAIGLLRLGWLVEFIPQPAVSGFMTGSALN IAAGQVPALMGLAKRFNTRDATYKVIINTLKNLRFSSLDAAFGVTSLALLYFIKWSLA WVGQKKPRYARAAFFASCVRHAFVIIIYTIISWRINIHHKTARIALVGSVPSGLQHVG RPEITGELIAAIGPHIPVATIILLLEHISISKSFGRLNGYKINPNQELIAIGVNNTLG TLFSAYPSTGSFSRSALKSKAGVRTPAAGLATGVVVIVALYAVAPAFYWIPNATLSAL IIHAVADLVASPKHSYAFWRVSPLEYIIFVGAVLWSVFYTIESGIYWSLATSIVLLLV RLARPKGHFLGRVRIKPESAEGGHQTRDVYLPLCSEAHQDIPVEAPPPGVVIYRFEES FLYPNASLINDRIVNYVKQHTRRGKDFTGISAGDRPWNDPGPKAKDAAILAENDLTKP KLRAVILDFTAVANLDTTGVQNLIDTRVEVEKWADAKVEFHFCGILSPWIRRALIAGG FGQGNTRKGTALEVAPAVIENLENVASPHPVPERDEDGFPINNRPVDIETGQYDQNQQ GGSGSGTSSSRASYVDEKDVHGVSLNKDGSYARRRTSENSQKTVPLVDRSTPFFHFDL HDAINSLHLTEDQ L199_006055 MSYLFPPDKSLLYPQFETYKLQSLDSDNDLLEYPLPEPGSTQSR VGYNTSSYLSFKEVRNRIGWDHLSVNADGVGVYVDKDWGVVGFIVGDDLQPTFSKLAS LPLPISSGEQQSEFPSVSPLTPTTWAVSSGSGSLYVLETTSPDEAGSLQGQFIAKYDL PSSNLNLDSNEPSPFLLRASHTISTEQVSLLITRSIKANEGKKTIKSSQLTTFELIEI ALDPSAHNAVDEQEEVEKLRVNWKLSSDDLPIHCHYSTEHSGWIVLSPEEYKLPSKED SGEEESKEDKEKREREAKIAKLGLGASIPPSLVTSEIEEKMEVENHEEEKVYPYQWTQ DSESLNIIIPLPKSVTRQDIKLDLTSTSLSFSLSLTSDISPQLDQFVKKQTRALWTNI DPESSTWSYDPSKSQVEIDLSKVDHNVRWPTVFSYDDEEEEEVPETLSEATLAAVRES FNSIKTRSEGEGDPPSSHPAMPALLREEMDFDLDDDEDPLTENQGSFDETGKIGKEVF IGFIQQQDHTEESNKIKLDWSKTTTSVLSTPLSNEEYGIIIKSAVDGLLYSPQSQSQT PEDMTRKPWKHLSTNPALAFVLSSKRDLRLIKHFTTTTISSQEVHPHEHAEPTSPSSS KRLKTSSASGGGSRTTVLAFDSGSSVGQGNLYVYYPPAVGDRNTAKQGVIPVSGGEKG ALLGVGHVKVRGKNLVVVLTEKSLVIVKNVI L199_006056 MRSKRSRRSYSLPSDSSPPETQFISQEDDADNLWEVSEILDERG PPKTGDYLVQWKGKDPDTGKPWTPSWTKKKDCTTDLVREWKAKRANREAQESKMKEEK SGNKKRKMDHKKEGEPSVTFELNSRSSRSRTRSTPARSPLKSTNAQINRINSDTSALT ALSSELTSLPTTPSRSTGNVNRSIAVDIPPKEPADDHAVVSHRRTRSANSQTTVENHT SSDEGTAGPGPSTAASRSRRTLRGSSSRRRTRIQVSTAPHDNRSTALQPSQAPASDGG LGSINQSQLDPIQQFSSPRSSTRTFNERERSGASIHADDTEPEPDGVRVVLRSHKTIR RDGEEGVRLDADSSDDVTASAKDGSPEPSIHDEDLDDLDSNAGEGVGGDRPEKTDVDK SQLIDNDQSAPIDNPPDTAPPAEPFIHPDTLALEEAQRFIVKLRAELEQTSKAQSHPD TLALIEARSRIAELELQLQSHLSQPSHPQHPTQPIPDPRVAELEVEVERLNQSKQALM EDTQFLRKQYAEASNRAVTECQLSAKYQAQIKTLKSQLSVGLIQQKLHFETMQNTREK EIDRLRSQLKMLLSQSRRTDDDVRQRAAAYTATKREYEELSRQFVARGREIDSLTKKV KTMSERNEELVDQLEVIRAMKMGVIPDADGNGDEDEDDQETYSSDEYDDPRPKAETGS KSRRIDASPDQRDVFQASPSARESTTAPTSGYACAWRDGDRVCRVVSETVEQLNDHGV AHHVEQIRDR L199_006057 MPPKFDPKSPENASLISLFQSLGLAEKSATELVRQPKSGVAFKS LIDEFQLTDKRYDEKTASALVKLSASGGKLGPAEKGFIVKKIESGDIKSTDQVAAAVK YTEGNPPGTPINEDEFNKACGVGIEITAAQLPELLKSYVSSLPSPPENWASLGAVLGG IRSGTSDLKWANAGEVKSSLETIFTDLFGTKGSAQAAAKAQAAASAKSKPAPKPKAVE TPASAEASSSTTPVIPTNIFKEGFLSDFHKPGENPQINPKLKEQHLEFTKGMVYTRFP PEPNGYLHIGHVKAIMIDFGYAKFHGGRTYLRFDDTNPEAEEGRFFQSILETVRWLGF EPWKITYSSDNFDQLYKWAVELTRRGKAYVCTCSAEKMKEDRGMGKGHPVPCEHRERP VEESLREFERMKNGEYPEQGAALRMKMDLTSGNPYMWDMVAYRVKLAPHHRTGDKWKI YPTYDFTHCLCDSIENISHSLCTVEFIPARESYEWLCDALEVYKARQYEFARLNLQGT FLSKRKIAKLVTKKLVKDWDDPRLYTIIALRRRGIPPGALLSFVSELGVTTSESVTEI KRFESSIRSYLEESAPRLMMVLNPVKLIIENVPDDYRVPVQVPLHPKVPSMGTVETSF TKEVYIDAEDFREVDSPDYFRLAPGKSVGLFKAPYPVTCTSYTKDPVTGQVTEIRCRL EDGGNVKKAKAYIQWVNVPESIKVEEVRYFKPLFKSDPPPADFESDVDPDSLEVYKNA VIEPAFYELAKKAILDARKESEERTKKAQADSAPTNPNEHKPLEGSEAAKHMEDEPVA TAEQLVGMENIRFQGMRLAYFAVDRESKIGCLDEEASIKPGKKEGDKIVLNRIVSLKE DSGKKA L199_006058 MGKERVAIIGSGNWGSAIAKLAGNNVKKHSDVFDDSRVPMWVFE EDYEGRKLTEIINTDHENKKYLPDVKFSENIVAVPDLLEAVKGATALVFVMPHQFLHK CLDQLEGKVEKNAKAISLIKGVGVEGSDIHVFADVIQDRLGISTSALSGANIANEVAI DRFSETTVGYRTEGEGQMWQKLFQTPHFKVQLIDDVAGVSLCGALKNIVAVAAGFIDG LEYGNNSKAAIMRIGLLEMKHFCQEFFNDVKEESFLQESAGVADVITSCLGGRNRKCA EAFVRQKKPFDELERDMLNGQKLQGIHTAKDVHIFLKARDRLGAYPLFDKVYNISWEG LPVEKLTEGL L199_006059 MSVAALRPTHAAGWQPAPCTVSTSPLSHTSFGPNPASGYNQPYV RSHGVTYGHPDDDCITNTNRNNRVEGDGYEKQYGPGASISTKINVFNIERYLRNVTKN NPDAWKDPNIRNQVSQAISIDLNSKEPILETPSFKIDLPSVESKVYHDTRSEIEHMIK SCIRDYQKSQDTKYIMRADQFSRKEISSKKSLVESWLKGEKVFDKPVKTQLNLMNMDK FLNRHKDLSSDKSYREKIQGFLLGQEASVELSYEDSERLSDGLHYENKVVSGISKDQG KDGVREWQREKMRRIDKLTRDWYKSSGISDEQWQTEMESMEKDLRAKGKLGGSSSGTT DQSSPRSGDGEGDRTKTSLSILNLDTFLENYSELAKDARIQEVVKRTLKGEQIPISFG SESIATELNEATRQSSEVLSIFKESEDKDGMKSWQKERMGKIGKMLAKWEEKSGKSIK EWGESGSGSQSGDSSSESSRGGSSSSSVQASIDKSDLSETELTRYNLPELLSCYTDPN RSGSSSEVQSFLYKCLPPNHPKVKKMYEVISQTDETQKLNLPSKLSKTLGSVQDKTTK ELSSLNEDENSIKTWKHFQDLRSKAALHNWKYSHKSDDRTPLGKSTTSVPGIHRRPIS DATRTAESFDKSRIDQIDRFVSDDSTSAGQVDDKQWWIMDQSLRSRYGYGKHGMKVDK EDQLRSKRSWDGVESSGSYLDLLNRTYRTGSSISSSGGSDPSTTGTPLVSASA L199_006060 MATSQQISKKRKFVADGVFQAELNEFFTRELAEEGYSGCEVRVT HARTEIIIRATHTQDVLGEKGRRIRELKALVEKRFKFPENSLELYAEKVQFRGLSAVA QAESLRYKLLGGLAMRRACYGVLRFVMESGAKGCEVVVSGKLRAARAKSMKFTDGFMV HSGQPAADYIDYAVRHVLLRQGVLGIKVKIMKPFDPEGRQGPSKNLPDVINMVEPKPE GAIEIRSEHKEPQVQAIPPPAQQQQPQEAQPAAEGQY L199_006061 MKLTFRWPGGSNPVFSSFLGLLDIKLGAEIVLLFGLVNKVAGLY GLITILVGGSFVQLLFYAYSTATLFAFLWGLKVVKSESASPTLLLSHLYTFDHIILTI FHYVFYLNYWYVIPHDGRRTANSQAQQDIIELALSRGEISQPSTDQENEGLDELRAAL AGEIWEREKVFAGWTLVVGWLIKIYFIMLLYSYAAHLKSSTYHTLPLTFRGKATTIAH PTTQEDEIELARAEEAARVSSEETTQNGTGNKGKGKAKGKNNNEEDDFSWD L199_006062 MKLVNKHIEKDGSGYVTLRPEDDEDMWHVYNLISEGDHVRALAV RRVQTLSSTGSSDSFRVKTNLTLEVTKTAFSPAASSSQSNGTGEKKEPTASLQISGKV VEENEFVKLGAYHTLDLEANRDFRLTKTSGWDSIALERIQESTQEGRGAELGAIVCGE GTAAICLLSEHMTTVRQRIEVSIPRKRKGGTSGHEKAMENFLSTVYQAILRLIPYQDL KAVVIASPGFTKDTLYDYIFQQANLTSNKPLLSSRSKWIKVHSNTSHVHGLVEALRAP EVAKMLQGAKFAREGVGLDKFHKMLATDELRAWYGPEHVALAVDRGAVGTLLISDDLF RSSDPATRNHYVAMVEAVRSKGGEALIFSSMHESGQQLNLLTGIAAILTYPLDIEVVE MEEREEKERSDKEKNGGGNEEE L199_006063 MSSYIDELFGLKGKTALLTGATRGIGARLALALSKAGADIILVQ RNDTNTATKDDIIKQGGKADIVVCDLASSTDVAKLIPRVTKNLGRTLDIVVNCGGIQR RHPVENFPDDDWQEVLQVNLNTVFTITRDAGRHMLESRGGVAGEAVPEGGVDGNPRGR GKIINISSLVAYQGGLNVVAYAAAKHGVQGIIKSFSNGWASKGVCVNGIAPGYIATDM NEALIADPVRSRQILERIPAGRWGTPEDFEGAVVFLASKASDYVSGETLVVDGGWMGR L199_006064 MPARDPATSPHLPTNLPQPSHSPILPTHQQQQPQQPPPLSPGPS AAASASSFSLRSAESPTPDQQGGASYFSQILRSVSIGHSQQQRPMENDLNSNAGISNV GMHSGRRISNDFHQSGNGNNSHNEDRIGLGNPPHNHSSNTPQSIRDSTARLASLSFSS RPRATSSAGDSSFSHFQPSVSPLASRQPPSSSASIPKQQQPAVVGKVNKPVNPSHLNP AIAIGQKTSPSSEESNGNGTGVSPYAGQRSGLSMMLERAKEAESASTSTSEGDMSRKP PSAAASDNEAEEERTPVPSRKPLIEVDSEVVSISSSNSRPSSKHASASSLRRYLADEV AREDVERANADADENTPLLANGNGSEGKKQRSWASQSLADVKTWKNSLSKVSPKDVAK GIIVEPIKTIPSVVLGLLLNVLDGVSYGMILFPANAVFVDFGSLGVSMFFVSCIVSQL VFSLGGSIFPGGNGSMMIEAVPFFHILVNTFEAVIGDDDKAIIATTMVAFAFSSILTG LVFFALGAFKLGGLIGYFPRHILVGCIGGVGIFLIETGLEVSRGLKEEGFEYNLATLK LFFQSGHVIALWTIPLALAILLRIITHFFHHQLIFPAYFFVVPLIFYGVVLIGRWDIE YLRQTGWVFDVGKNTQSWWKFYTYFDFRKTHWGAFWAAMPTQLALVFFGILHVPLNVP ALGVSLAEDNVKLDRELVAHGFSNLAAGLVGTVPNYLTYVNTVLFYRVGGGSRLSGLM LAAATAAIMMVGPSVIAALPVMVVGALIFVLGIDLVIEAVWDTRNRVNKWEYITIWVI AIGMTIFDFVIGLLLGIILACIFFVVQSSRRRAIRTVFNGATARSTVRRPKSQREFIQ QVGSQTYVMKLQGFLFFGTITYVESEIRHLLDLAKWQHNPIRFLIIDLHLVHGMDFSS AEAFVRVQRLLAAKDVLLILCGAAPNGLVGTALQAVDLWADREGTRVEVFVGLNDALE WTENAYLTAFYENQRLIDQEASAAQVIDFPKIAKPPFSLAESFQNSPRRSHLVKAGGD TLPRSTFPSPPKPEPSSLPDLSTIQPLQILYQTFEPYLDHTPSSSSGDEVGTKDSEFL HELVPYFNKEQIVSGDTLWTQNDLADGLYLIESGCLRATYAYDDHTSLVQETMVAGTI AGDLSTLSELKRNCTVVAERDCVLWKMDKDSLGKLENENTEVARKFIKIVLKAVAEEH EVLTSHLIAVLS L199_006065 MNEGHYQPQGNGYDGRGAEGRDRREQYQQQTYQQPPPQSPPTSA SPFAFAPPPLSSIPASGTSRPVLSTYHSEPAGINQQYPYYQQTHKIAGPTYPAYATSA PMAYRTYSGETERQSPIQPPLTRTPSLSVVPTSSVPSQSSPITASSYSPYAHTRQIPT TQYGTPASQAQSSPRAGVYPSSYYTTPYSQPSSADMPRSLSYPSNYSAPYPTYLSSVS APPTLQQPPLLRHNTMAAPTMGMDMRQNPNLGYSFANRLPLVDRPFKCDECVQSFNRN HDLKRHKRIHLDVKPFGCDKCGKTFSRKDALRRHWLVKGCRGEEGATAPITPMYPINS QPPALSPPTPEHPTISPTDHVTSVVTLPATSSFSHPSAPPNLSSLPPRDSSESSQIIV TPDEIGQQQQQQMSRVVSDMGVPQSLAEPLVIDTSLGRTNSSMGETSDGYFEGVVGLK QDGTAMLDNSSGNSSPFTRFPSSPSNSTHHHPYRRPSAMPSPGQRTNQSPTVAIPVGG RTFAPGSLGADGKPVFAMSFTPSGQSYSLQQTDGLLAPPLETGAKMEKTLSADAMPES WQRWHRPSFPFPAPPGVGYTYDPSSPIDVGAQGFSQ L199_006066 MPDSQPSTGEEGTTTTVITATTHLTLPAHPIPLTYDELNPRSKP EEFFGPIGTFGITLLAPLFTYIFFYTCNDAVGCTPATVSGWKYAWSLVGNFPSSAGYL WEWKAFAVYLGWYAYCIVCWKVLPGDVIKGNLLRDGTRKNYKMNGLYTLILTLGLSVG ILLNPGGIELFTWLYDHWVPLVSSSLAMATFQALWVYGYSYYNGELLALGGNSGNFIY DFFMGRPLNPHPPLFPSFDIKTFNEVRPGMILWLLLNISCGCEQYLRLGTITDSMWVV LIFEGWYTVDCLIQEHTILNQMDITTDGFGFMLSMGDLTWVPFTYGLQARFLAFNPVH MGPIYTSIVVAITLVGNYIFRQANNEKGNFRAGKNPKNLEYMTTERGTKLLTSGWWGR SRHPNYFGDWLMALGWCLPTGFSTPLTYYYIVYFVILLVHRQIRDDEACRHKYGKDWD KYCEKVPYKIIPYIYASHSICDDRSIWVRASEVWMIGGKGMMRSENLSVHRS L199_006067 MSLEPTIKTYHSTLPSPIPNSPSFVFHLTRLVDTLMIWVGTGTP SDSNTKGDGGVIVSEKNLANDWAVAMPSRGNIPVTSTSVYRATANDHALGMSQRLARK FPNNQIHLSLSLPSTMTSQSGPNLDPYASKLLLVMEKKLGSWLSEVLEAEKTSGI L199_006068 MAELTPPSSHNSTPVRPPADELPPPSPTAGLGTRSHHPLMKSTS MDVDDHLSDTDSSGSTGEIVQGEDGEDELEAREEGDEIMGSSSGEDELEPGQVPLSEE GGKGKGKETREGGMRRGKLRNKRSRKQQPRSTAQGSLMTSSKLSRGGGGGKKDGDLWE SEIVDRWNIEIGDVCSDDIVATSSNPIVSSQSQLIPA L199_006069 MSLSDLAEPSSSSSSSSASQTSVQPTPTRISLTVPTSLLILPPT AALIGLSIGLVRGGNRSRLRFLAENAHRQPKTVQGWYFYTKTRNYRVFFGAAKTGSKY ALGLGGATATYVLLDESIGYIRESIFGPKGVQDPTPGGRGLLEDREKKERASWRKGGV GWEDGGIAGGLMGLGVGVGYRLPRPLFIRSIIMGTLLGALTSTMQVAQAHIGKMREEE ELKVARTQPETVAITTEEVIPTSNKTIGDKSMVIDPQREAMPVDQIPIDDDEGKSWFG KIRSKVGI L199_006070 MSSRKRPSSSLSPPPSTAKKSKKSTSRNKAKPQTRHEPTDEFQQ VSLPSFVDNWKVEGMGYGGDVYYQPEFINLEDAQKWYDDLLELDTYQPTLKLYGRTFP QSRQIAAYSTTPNSTLSYSGSSITMHHPFPPILEKIRDRLERDLGARFNHCMLNRYDD GNVYIGKHSDNLNNLVIASISLGAERKFIMTPRLPSKNSKSSMTKEVEEGLQNRKKIS WILGNGSLVIMQGTTQEFWKHEIPKEPSVRRGRISLTFRQLV L199_006071 MSVALEHRRKEGSAIGSGLVQKEQGKDVSIWSKEYNIINGMTAP PPPIPARSRFRTVPSDTKALADYSESVPTQFEIPSPVSGTSTDMKIITHRQSSLSLFK LPVDPPPPPPITPISPTFSSSIKESPSNDSTFGFSKRKSSNAVERDPLKIRDGLQARE STSGVYTPPTFPGAQGPRPSHFPTHVYTPTNFSLYPQGDSPASPSGSSSSSQSDSTAP PKTPLSPTGRLSKAVSSNMRRLSSGIVSLKDRTYYGTTGSTMHQRSVTTPMLECGEYN YQGGISNAYNSHETNLGGTGTGRSRESSSSWASWADMIGNSKPRLSSTLYHTDTSYFP PVSSPISQNAGLGMGMDSNDTGHKIETVVIESSSDPVNFVDGPGPRELGPGSGSLAGK GKRKPVPRLGGGEERQVHAM L199_006072 MSSSNPLDPTNLLKLIPQLLPRSTSSPLPNPTDSVAILVHAVQI ALRFRLVQSSTATTNSSTSTSGPSTSASAGEEAVSGEDVDDGASETTTAVDAEETDTP PQEGLLQEGWNARGEDSYSFQYRHEQSSLVFRIRIGRMGNRVQVDAMAEDGQPHNLSI VLSDLVDTSRFPIPSSATSSFSIDSTSGDELAKRWGYKSITSIKDFVERYESDVIARL VPGLQVPGYEESRSTGSDPRNPPPSGQSHQPPSARPQPPTNPLIDPLRDPHPTNPASV GRSDLDPLASFQPPGSFNPNRDGGGMLVDFNHPLFDRRRQGLDPDFSGPGGFIQPPGS RWDPVGPSSSGGNGRFPGPGGNPLGGVGVGDDRWGDELPPPGEFGPDLGRFGGQGDRR GGPGGLGGLGGLGGGRGGGGGFGGGGGFGGGGGMFM L199_006073 MYFSNSLKAITLLLATSALAQDDSNNDGESDRDSGNTSVVSSTG STTSTSASTSTSSATTSEVASTNTSAISSSSISTASSTTSSTGASETSSTVSLKLAKK YVGEDFMNDFDYFTDDDPTSGYVNYVSKSDAQKSGLIDVQANNVFYMAADSTNVPTGR GRDSIRISSKSKYADGVYILDLNHMPVGCGTWPAWWTVTKSGWPKGGEIDILEGANGL PLSGSAAYNATTGLSTNPDPMIYNAAALHTSDTCTLNGQTYMDGQIGEWQCSAYFSGN TGCGVKMTGVTNGSYGGPVNGVGGGWYAMWRDLENSGGIYVWFWPRNSQTVPDDVKNS NTATTNVANWGIPNANMTVPCRDNFNNHVIVFDLTFCGDYAGATYTSSGCPGTCATFV RSNPAAYSEAYWSLNSLRVYTASGKAASGAGLSGGAIAGIVVGAVAALVIAVLVYLRF KKTRKNRQLVEEATLTEEPIPPTAKGPYAFLASRKPRVGPTKLAPGRTAQHFLHGETP TAVYGRTPVGSDSDIKLAEATYRPHGGNSSWIG L199_006074 MSQSFAVPLANTRNVTIVAHVDHGKTSFADSLLSSNNIISSRMA GKLRFLDSREDEQERGITMESSAVSLRFDMNRIGPDGTASTSKHLCNVIDTPGHVDFA SEVSTASRLCDGALVLVDCWEGVCTQTIAVLRQAWVDRLRPLLVINKIDRLITELQMS PSEAYHHLSQLIEQVNAVMGSFYASERMEDDLRWREEREKRLAARKSAQEEQNDINSE ENDDLLDKEFEEKEDEDIYFAPDRGNVLFASAIDGWAFRLGKFARLYAEKLKIRESNL RRVLWGDWYLDPKTKRVVGRKKLAGRNLKPLFVQFVLENIWRVYDTVLNQHDPEATQK IVTALGVRVTPRDIRSKDTRNLLNIIMQQWLPLSTATFQAVIDVIPSPSDAQAIRLPY MLHPEKAAASNTALTASNELEKGLYGCDQSENANVVAYVSKMFAVERSELPEFKPKEM TAEEMRQRGKEEREKRAALLASRQEIASTANGIPLPENGEDLIKPLDAISLIDKGTET PLIPIDESSEVLLGFSRIFSGVLRRNTPLIVTLPKYENDLGPTHPRNAKHVFKVVAKD LYMMMGRELVSVEEVPAGHVCAIGGLERVVPRSATLWAPNAQGVEDLKEDTELVNLAG VNLLSAPIVRVALEPENPSDMPKLIRGLQILNQADPCAEYLVQETGEHVIITAGEIHL QRCIKDLQERFAKCPIQQSAVIVPFRESAVKAPDMLPPKTAGAPRGTINGSILNGLVT FTIRAIPLPPAIIEFLLSHTSTIGSMLVQKRDQSNEDEDNQEERDAGEGGAEQSRILT PEQFWSELEGLMNKAGADWAGAADRVWSFGPKRMGANVLLDPAGKSQLRLRGKEKLFA DARAEGQSADAALQTADNALAQDQLASIASANEADDSARAELRLLRDFENSIEAGFQM ATFQGPLCSEPVVGMAWVVENVELNKEEFESEQGKGRTAAVTGALISSVRDACRAGML DWSPRIKLAMYTCDIQASTDVLGKVYAVVARRRGRIVSEEMKEGTSFFTIRAMLPVVE SFGFADEIRKRTSGAASPQLIFSGFETLDQDPFWVPTTQEELEDLGEKADRANVAKGY VDGVRKRKGMFVEKKIVEFAEKQRTLKK L199_006075 MTYSSSALPPPAVFLHQETLHAIPLPPLASWLISLRKPEHLVRL NDLLQRYKTPQSYHRIDVRLIESSELPPGSPDSQVEELEEDRKVRRGSREIVELDKGN TLEEYWSSKHGQGQSTETADHIQKANGDGDESRMGKIDDVESGSRQNISSQSHTDISI EQEQSDTKVDTTTSSLTQTEVALKALHLPPPPSEPPQSPIPTYPLPPIGPTRKVRELR LDLRTLDAAALFALETWRREELGLEKLSMEFPDSVWYKDPTPTPSPPPKSIYTSTGRK KGRPRKHRTPIIVDPEDEMTDGLGLQVGVVNEEVRNSMMNEDQVKGYENLVNTLETNQ EQDGNIGPKEEPQIAIKVPDISETFGELAQKDEEVEKEDVLPADMDDGAVQPRKGDRF DDEPVPVDSVDHPQPPVEAEAGPSRGTPLSPSPDILLNDIFNEKEDDDPDFVPPPSPP ARRPRPRRNRKSQVDEHEQEGDKGTVKFDMGVFEIPQPERRTKSPQVILDKTSKSRSK SRSRSKSVSVEVEMIPEIPTEILHQEKVQACRSPSIELLPNQPELPKSTKRPRRSNTM LVSIPHRSPKKARISNDPPAMIHKTSSIARARSRARFVVEIPSKKAVPPDSEDEEEEW GFLRSFG L199_006076 MPHLTQSPLSSPSLRAIAHPQLRTSYFPPVPSAPHAYHGRVPPT PHSSLNVVHHSPQPNLGSGLVGCLKHSQHISSKHLPVREAEYIDMGPYHRGEAIKPAM KSINGNEQRRSSLKISQIPIGHKHIHAHTISGPGHRGLHTSSTQQARIVEDIPEGNNQ KRRPSFKIELPPRPITQQHPPQTQGLHRQLAPLYPKPHSATNVNADLHPIPSNGYSSP PIFRDPFTAQNNATTYDYPAGGYMILRAPTKVPHRFMDDFPSPTSAHPPVPTTGHEEF IYGVEGTGLGYSLNKRVATPWLRSKGDEEEWLRADDLEGLEGGREVEKRMRGLAIA L199_006077 MSINQEQHSSLATLLYPPGADARGQEDAESHLLDYLEHDYPHTE TGEVVTHHVRTDQPPLAPLPGEKQPAHPAPSGISSPAQHRTPQPSFTAYQPGEIPRSR IERSTSPLNPTIPIPNTLARLASQTISNLSLPDPTLLKGQEEIEQAALLADEYDRGEM GGKRRKLPHERAGWAEMDQQQQQAQANPNAAKRRRTRKSDHNTTQAGTEGQYPNHVET LPPSTSSTNNQSNLRNDKPPSAGTGNGVDPSNASKYENDFRQLTELSHTILNQDIDKT FDSLASSSANNKDQAQPGSVSASAIDPALSASPHDQGEGASRDVGAQAAQESPDPKLS RAEQNKRAQQAFRRRREEHMKKLERDSAQLELVKRQMEQKDVLLRDLVLALESSKIET AALRSSIQFLIPHSSVFPLTEQGTLNLGEEVNINNDTPVSEEDVLNAFELLEKQAREV AKRNHARRE L199_006078 MEPPLTLSINRISPPSLIPSYLPSATSISPTSPVPLPHPPREFH FSPTPNYPTPYGNVSLGSTLDLNVSLENTIAGKADVLGVRMMLECQGPGGRFRLGEVI HSNDNDKSKDGEQPSSATSENNPSAQEGQEHEELSILRYGEKVNLKIDNEIKDLGVNV LIVSVAWETLEGRKTFQRFLKFNVIPPLSIKTRIQTPSNPNTLLSPTKREQVYLEILI QNVSGESMILSNVFLEPVKGLISELTPKEEEEKEKIILLPEDIRQFLFILSPSNAEDA NTNADTVSKSSFPPVYQPGTILPLGRLDVTWLSGIYHLKGRLQTSTLNRRVPVPPTPA QQQQQQRGVLPARTLSSQSAISTHGSPLSTPQKDRLGANSTNANANTLLAPSPIKGTL NPRDVGEEDQWEFDLTLIDDQREFEVEKKFSMGCRLGVRSTKYIHESASRKPSSISVP GPKEKKEEENDNDDDVPLSRISSRVDQELPPPPAPRIAIQYLTPIPSAPITQPSVGGP YISVLSPSRTSNPLSPAPSSNATLVDRRPFTPLSNTGAGAGPSRPMTPSSISSQLRQA QSQGLGLASNQNSPRVGNRFGDGTPLSSNTNTAANTDQIVLDDERVDGQDVFPPPPYI TNGTGTQKTKGIINLGNSLKLVEVKPLGKVIQNIGQLAYIVDNDQDQHEIKGKHNWEV EYKFDLEFMAFDQGLVDLGGLRIMILDDSGEGEKIDGKVVKEYNSLGDIYIVG L199_006079 MSFIASRNALRAQLKPSFARTFASTPSAFAPSLKERLTELIPKE IENVKAVRAAHGNKSFGEVTVDQAYGGMRGIKGLIWEGSVLDAEEGIRFRGLTIPEVQ QKLPTAPGGTEPLPEGLFWLLVTGEVPTEEQVKGLSQEWAARAELPKFVEELIDRCPN TLHPMTQFSIAVNALNHDSAFAKAYSNGVHKREYWKTTFDDSMDLIAKLPNIAGRIFR NVYGDGKLPAIDQNLDYSANLSNLLGFGENKDFVDLMRLYITIHSDHEGGNVSAHTGH LVGSALSDPFLSFAASLNGLAGPLHGLANQEVLRWVQKMQAAIGKEPTDEQVAEYVWS TLKSGQVVPGYGHAVLRKTDPRYTAQREFALKHLPNDPGFKLVGQIYKIVPNILLEAG KAKNPWPNVDAHSGVLLTYYGLHQQDFYTVLFGVSRAFGVVSQLIWDRALGMPLERPK SYSTEAIKKMFEGK L199_006080 MGCEMTRVVVRMLVFDTTYYDLLEVSVDATDAEIKKAYKKKAMQ HHPNPDDPQAHETFQKIGQAYETLSNPNDRATYDSHGPDGPPRGGGMPSDMGMDMDDL FAQMFGGGGFGMGGGSFEFDMGGGPSRRRKPAKGRDTVVPYDISLEEAYKGKKVVMNL ERDRICGGCKGSGARPGVEPKECGNCEGKGTIFTDRHIAPGLLGKVKSPCPSCHGEGK KIRDKEKCKKCKGLKVTKEKKRIEFMIDPGTEDGERIALRGEGDEEPDVPAGDIIFLI RHLPHPSFKPQPHSPGGLSILISIRLSESLLGFSRILFIHLDGRGIHVDSKRGDRIIK PGSVYTIKGEGMPIRGTSRKGDMYVRFDVEFPTTDWAKGLSLEDGREGTKVELPGKKP DLKIEGEVVRRELSTKPVN L199_006081 MVDSERNEDKGSSSKGRAQSAKRPSKPIEINPDEPYKMRITSGG SISSFVDFAINFLNVNPHTPLVLHTLPPPQPNQKNTEAGPSTTNKYSTTLLTCTTNIS RLISVVEIIKRSYIEQLRSSSNDSKTKGKGKMRMSKGIWQYTESALYHPPVPDPGTES EEAMGGEMNGLERVLKGKLRPKMTHHPYLTITLSTIPLGLEQKRNVTVQHTMVKAKNS KKKKKASKTSHDDEREEEEGTLIEVDKKDDDNEDKKVTAEDKIQNEKDKKGVKRSSDT EDQNKIGKKRKITDSSKDTVSVKI L199_006082 MSVGQIDPGQAVYAQDENGRPFIIVREQGKKVRTHGLEAIRSHI LAARAVTNIIKSSLGPRGLDKILISPDGDITVTNDGATILGQMEVEHQIAKLLVEVSK SQDDEIGDGTTGVVVLAGALLSSALDLLDRGIHPIRIADGYEKACEVAVQELDRVADK IEFSKEDTTNLLKTAKTSLGSKIVSIAHDKFANIAVDAVLSVADLARRDVDFELIKVD GKVGGSLEDTSLVKGVVVDKDMSHPQMPSTVKDAKIAILTCPFEPPRPKTKHKLDIES VEEFKKLREYEKEKFQDMIKMVKDTGANLVICQWGFDDEANHLLMQNELPAVRWVGGP EIELIAIATNGRIVPRFEDLSADKLGRAGLVRELTFGTTRDKMLVIEECANTRAVTVF VRGSNKMIIDEAKRALHDAICVVRNLVKDNRVVYGGGAAEICASIAVSKKADEIPSIE QYAMRAFSKALDAIPLALAENSGLSPIDTLADVKSRQVTEGNPRLGIDCLGKGENDMK TQHVYDPLISKRQQFLLATQVVRMILRVDDVIDASAFKDE L199_006083 MAAPQTTLGADLEPPTTDLITLTRHILSRQRALGEEASGDLTML LIAIQVTSKYIASNVRKARLINLVGLAGASNVQGEDQKKLDVLSNDIMVNALSASGKC SVMVSEEVDEAIIVGGSKGTYAVVFDPLDGSSNIDAGVNVGTIFGVYKVSDPSKASVA DVLKPGRDLVAAGYTMYGSSCNLVLSTGQGVDGFTLDEALGEFILTHPDIKIPSRGKI YSFNEGNSLHFYEPTNKYLESIKYPSNGKPYSARYIGSMVADVHRTLLYGGIFGYPDD KKSKDGKLRMLYEAFPMSFLTEQAGGVATTGTQRILDVVPKNIHGRCPVFLGSKDDVE DLKKFYAAWDGEKRW L199_006084 MAKNPTAKLQEPAKDEKKGEEETAAQVEKPVVEEPVLTVEEEIL NNITLIGRAVSTIEPRFTIRVLRTLTTLRKKLNKSVLKNVLDQAFPKGSKTGQSLIAN PIFSSLPSSSSEESMEVDPTDSTTTAGDTAATTQKKKFTPPLDNSGNDLIPEGIIYLR LLLILLNLDAGKIVEAGEFALETTEIISSLNRRTMDQIAAKVWFYLARSYELQGRLAE LQPSFLAIRQTASLRKDETLEVTVINLLLRSYLASQQYDQADKLIAKSTFLGAANQAQ TVRWLFYAGRLRAIQLNYAQARNYLQTAIRRAPKDEVAPGFVQLIHKYFIIVVLLTGV IPDRAMFRKPVLKQALLPYFQIVQAVRIGDITGFEKAFQTHEQVFLNDSTHFLILRLR HFVIKTALRSITLSYSRISLADICIKLKLDSEEDTEYIVAKAIKDGVIDAFIDHEKGF IVSKQIKNVYETDEPTIQFNKRVNFCTQVYNESVRAMRYPPNAHRKELDTAADARERD REIAQLIQENENDADDMDDMGDL L199_006085 MKFAIPLSLLAFAALASASNVIDLDTKNFEEYVGGDRPALVEFY APWCGHCKNLAPVYEQLADAFPSDKVVIAKTDADGVGRELGSKYDVKGFPTLKWFPKG SLTPEDYSSGRDLEALAGFVSEKSGVKSKIKPPPPPVAVQLDASNFDDIALDDDKNVL VAFTAPWCGHCKNMKPAYEKVAKAFLSESDCVVAQMDADAAPNKPVAAKYDVRSFPTI KFFPKGSKEPIAYSTGRSEQQFIDFLNEHCGTHRSITGLLSETAGKVLTLDTLASNFF TASLPERPDVLGKAREYLATLTGADKKTNTSAEYYVKAMERVIEKGEGWLTKEQARIA GLLASPSLAPTKLDELKIKANILSSFAAQKASEAADAAEDIYEQVVDAAKQVPQQAKD GVDQFADAVQDKAKKIKEEL L199_006086 MGAPDRTVGLPYHLAYPILSSVLLAIAAFSSADSVWYVSVSTQS QVIRFGSQNYCGYNVSNLVITGKLGCIFRGFQYQIPSGYFGFPVPNDINLNLSKVAVT SVVAFALVLITGAHHAYTIRYSFRKIPIPNEDKLYSLAMIHFISVSVCFVFTWVSFIA QAAMVGHAVSQSNNIVTASDSDDLGNGVAIYWGQSVWLVLASAVIHFGWGYEAVRWRA ALIK L199_006087 MPRQSSPLSPLATTFYNYCFGSDDMTPTPTSPQAEHSPQLITPQ VKLVKPKSKSPPRSKIIKEEATSPKTRLPPISMVSADTSTRLQTKLFNQGGHISPSAI SPKAKPPSPDRERKTMPVRRQSRFDPMIASDNEEMMEDEGYGDLGLKHPLRRVKEEEG TKDNKITPPESITLPPIKSLFGVASDHTQSPASSSLFQSPSLPSLIPNSPSGSPSSAR TSRYSSLASSAVPENSAGWWAPEFEKGSSPFQPTPYRSNSFPTIPYVSDETGQKRRRS DGPPALRDAEESARLRWQAQSRNASFPMATSPAPPSAGPSNSSSGLRGLLHPPQASSA GVSASMSRSSLSGSTTGGRLSPTLEDEPLPFSRRPSQASRNPSLVGGQLSSHFAGLSA SDRDKPVSSEMPPPLQTAPPERRGSAMLPPLAAMDDSNNRLFPPPSSLSRSASPASDI MRKQSLTRPPSPEMTGRPELRRSSLTEIIMQKSGDMNMGLTANSNNRFGPSHPHANEL GLATSVSMDKSSFSEPVPPLHHTIQSAPPWATRRESTDSVKSSHEFESGPGPSLSLRG RKRSVVETRQNQLDEDAEMSTAGGGDPGMRGMEVLLAAAAVEEERKVRKSSEEDEDEP LMKLNNGNGSATGGANGGPKYTCAFCAKTFSRPSSLRIHTYSHTGERPYVCKEPTCRR RFSVQSNLKRHAKVHQLGAAAGLQQSNGHGHGHPHPHGPPGLPMHPHGQGHPIHPGMP RAPHSHPGTVTQGPGPGPMYHPGPPPPGYYPPPPPGYVQPHPHHAPHFGGPPGPPGAP GYGPPPPHIAMGMQQSQAPGPGPGPGWRSISSSRRTSRDEDVSEGEEEVEGEEDEEID ELEEDD L199_006088 MNDTFEKVSLLNELGVHVPPWYTALPLAARIAIGFVGSIALIIG LNVFRQVALPRNKSLPPLVFHYIPWFGSAAYYGEDPYKFFFECRDKYGDVFSFVMMGR TLTVALGPKGNNLSLGGKTSQVRAEDAYTHLTTPVFGKGVVYDCPNDMLMQQKKFIKS GLTTEALQSYPPLITSECEEFFSHELKLSKSNPTVKVDLLKTMSELIICTAARTLQGK EVRQGINGKFAQYYEDLDGGFTPLNFLFPNLPLPSYRRRDKAQKAMSDFYMKIIEERK KGESDHEHDMISSLQGSVYKDGVPLTDRDISHIMIALLMAGQHTSSATSSWTLLHLAD RPDILEALYQEQVKHFGKPDGTFSPMTYEAVKDLPLMDSVIRETLRMHAPIHSIYRKV ISDIPVPPSLAAPAENSSYVIPKGHFILAAPGVSQMDPLIWQNAKTWTPERWLEEKGV GQTAVDTYTKSEQVDYGFGAVSKGTESPYQPFGAGRHRCVGEQFAYTQLSTIIAYIVR HFTMKLEVPSFPETNYRTMIVLPKNPQVSFTLRKQEV L199_006089 MFKPTILNRSIRLSLSSRNQVRTYADKKMTDKAAETFKEAGQAF KSDGSIGSNFNADGAIGSKAQQVGGPFSADGAIGKQFTDEGAIGGTGQKVAEQVEEAG KEGEKKV L199_006090 MNRSGGEAFARLAQQLNRARMQASGGGGRGAGGGGQFPGGPKGF LAGGGAIGVLLAGGIALNYSIFNVDGGHRAIKYSRVQGVRPDIYPEGTHLVLPWFESP IIYDVRAKPRNIASLTGTKDLQMVNITCRVLSRPSVNDLPTIYRELGTDYDERVLPSI VNEVLKSVVAQFNASQLITQREMVSRLVRENLTRRARRFNLILDDVSITHVAFSPEFT HAVEAKQVAQQIAQRAAFLVDQAIQEKQSIIVRAQGEARSAELIGEAVRTNKGFLQLR RLEAAREIAGTLAQSGNKVMLDAKSLLLNVADEDVINSTTKK L199_006091 MRRHIPRLLSSFRPRPSTFPSTRPILTFNATRSSSTSTSPSTSA SDLSSSQIPSLFTPSGDPSSSKPFYVTTPIFYVNASPHIGHLHSLLLTDVLARFSRLR HPDRKVIFATGTDEHGMKIQQAAKARGVGEQEFCDDVSERFRDLAKLANASNTDFIRT TEERHQKAVEYFWQKLVDRGDIYKGTHSGWYSISDESFYAASQVTKRESDGVMVALES GNEVIWEEETNWKFRLSAYKGFLNEWLSKPESVHPPSVRQHLLSQINNLEDLSVSRPK SRVKWGIPVPNDPDQSIYVWVDALINYLTVTGYPTQNDGWPADVHVVGKDITKFHAIH WPALLASASLSPPRRVIAHAHWTMGKFKMSKSKGNVVDPIQAMRDWSVDGVRWYLMRV GGSLTDDADYAPDQVEVHYRILADQFGNLLSRISGSKMLKKATRDLDLVTPMSQDRDE DLDRLLGGLRDGFETKMEMYGVSGACQSVMDVIAATNKLFTDLKPWSAEDGTKAIIHA YHSLRIASILLQPIIPIKSIEALDRLGVPSNKRTWNDAVWPQIEQISTKEVVERLKQG SEEWKGKGHLFPLPDRGKHNLSE L199_006092 MTLSLETPQEVLTSPGPFEGPEKLLEVWFAPSVDQLPEPQPSSS SSSSNTKTYRTRSKSNGELKGLRKVPKEVWEEMLDIVKCKVLSVVEGDEIDAYLLSES SLFVSPHLIILKTCGTTLNLLGLYRIIEIAKEWCGFTNVWRCFYSRKSFFFPERQQGP HKDWGDEVRFLDTVFGTAGAAYTVGPMNRDHWLLYLTSPNTVPNLPSSSSSSPSSSLT LPAPTSTALQSRSDGPSFQPTKYQDTTLEILMTHLAPTARSQFFNDDSNGTLKSGLEL GKDISAKLGIDQLFSQEETELDSFGFDPCGYSANAVIGSGMPDDSTNPGGYFTIHVTP EDGWSYASFECNVPLPIAGTSTGTTSQEEAIKRPELKELIRKVVNIFQPSRLSITLFV STPATTPTNQGEEEEGEQQTETEQRAWKSFGTDLLGKGFVRKDRIGYEFDGYDLVFAC FEKRGWQEPKSGNMTIGDHNGDA L199_006093 MARTVSDPTGGGGRPSNASISAGGGGRQSIGEPRRMKNTARKST GGKAPRRSGPFNPAPGRDAPGYPRSSLAESEGGTQRAKRRYRPGTRALKEIRQYQKST DLLIAKLPFSRVVREVALDLSSNEAGDLRWQSSAIMALQEAAEAFLVHLFEDANLCAI HAKRVTIMQKDIQLARRIRGQWHGLG L199_006094 MFRNIPPNPQQQQQQQSYPPQQYAQQQQQQQPQFNQQQSQQQQQ QQQQQAASTVDGGSGSNGGSGQQEMNLASVLHYLQSEWRRWERDRNEWEIERAEMRAR IALLEGQRRSAENLKVDLLRRVKMLEFALRQERTKSVSSASGKVSSIPPSKLALLQDE DRMSTGSKDENKEGSGSEGSQEDLAERSSGSIPPPSKMNGIHPVSLGKSTQPFRPSTT DSNSSSSAWKNIGSAPRDPKSRARSREYLKQCLQEISYLTSPGALNPLPPRPPVDPSY PLTDPTNPENLVEPLDRPRKSLPEQNIPSMFASSTTAATEQTQPNENNNDNRHHEQSR SLPNGESKEDPPVQSGDMSLDPTAKPPSSPAPRTVNLPPPSDTQTEHQLQPKSQIDTQ LPSEQGDNQILTAIYRPDSKAAWREELRNANEMAEKAKEDRKPPTSSSSDEDQLSSLT LNVEEDDPKPTDDSSINGPEDKVWTTRRSLKSHLDIVRAIAFAHGPGVVLATGGDDCT VKVWGVDLGSVMSQKPSAQEIEPLITYRGHTAPITSIVISSSLSIIFSASLDSTIRLW KLPSVHHDPYSNYDSSLAIQTLEGHTDAIWDLKLLPFREVNGKKLNGKLISASSDGSI KIWIKSTSISGDQGGQGGQGGWQLKSSFSDIFDRSKDEIPTCLEICNSDYGNIYIGTN RGRVLLFDTDSDDGRVLQVFGEESRDSNSQINAVLSHPTLPAIVTAGEDGYIRFYDIK SNSSTPTHSILAHPSPITSLSISPLSPTCILTSSTDCLVRLWDLGKKTCIQDLSGHRE RSGEGVNMVASHPELPIVGTAGADGVVRLWGAG L199_006095 MSAQQFYQGGYNQGGGYPQQQQQYQPPQTQGQGQYGMKPSQPYA PPQPPPQQPGYGDNSYHPESGGPPAYVDTAPFSQANEKTGQRLNPRKRLNDPIFLVLF LAALAGFAVVSGIAIKSFVEVGGLGGGFGNGSQGGTGSSVTLDYHTVYLLLIVCALGL ALAAVYLAMVRAFTRIIIEVTLALTVILNIGICIYYFIIKYWSGAIIFLVIALLSVFF YWSMRKRIPLAKLLLQVTIDITKHHPSVYVVVLLGLIVQSALSVWYTFTCIAVYVKWT PGSATCTGTSCSSGKVAGLIFYSTFAYLWTSQVVGNVILCTLAGGIFGGWYYYGPRVP TGGLPKRATLSAFIRASTLSLGSIAFGSLIVTVLELIRLILQAIQQYEAGQGDTIGAI VACCAACCVGCIEGMIAWFNKYAYIEISLYGKSYIPAAKDTWALLKDRGIDALVNDSL VGTALMWGAYINGFLCAVFGYLYLRFTNPAYNADGQYSAPIILFSFLIGISEGQVINS AIDAGVSTIFVGLGEDPMVLAERSPALFELIRQTYPRVVEGVPRR L199_006096 MVSQEERSEPSQVTGQLYAAAGVAQQAVASVIPSALGGDSILES GKNLEQSGKLQVDDAKSKKALEATIDSGVGKAKSALGYLTSDQTRQSEGNKESEKAQW DYKQAASDSIAAVPIPSKEGLEGKLESVQGMVMGDQEKQKEGNVKAEKAAWKDGV L199_006097 MSSTTNRPALSKDYPSSTAEPSKLFKWPGGDWESTKAVREVLED NNRGYDIYESARFAHNHFPHSALTRYALGAPSQLIRDTWTHDRPHLVSLDPSDESRKK EEVKDVPDKIDSANWGDERYLGMKGAYSRYLTFFHREIARLGPLETLNKYVFAPTANW EKWKDTDGKEQEPPMMIDRLVGGLFHPFIHVGFGLEFNDRVVLAEGLAEAAIHSDELN LPLITPGYAHEINHPSHPTPDHLRRPPREIPDSEDCLSPNCAREPRLGRSLLEIYSIV LHSPDLAPVPYDKNSSINDRLKYATEGGKAEKVRKLAEDWSLTDEELSDGKNGWKRKF EEVAILVTLLACGTGRKGKELKIDFFLMHTLTSSIFIPTYMPILSIPNRRLLLKAYLL VLLNTAIARGRPAIDPELTMSYDPFPVAPGSEEQVEPQKGAVIGSPDKKESRNPWMGI VESSLAYPDSHVPKAIRSLVYFAELYGSTRPGCFIGSFLSGGQTHETIPGLAKVDGTV FVRGAGAIMNQMGWTREGQKEGDWEFSPVGYDEVWR L199_006098 MASYSYSLSSIPRSQSLTHPPSPLQLASTPVRSQSVSTGNSGSV KSERRLSRKLPPAWTESLENLARTSPPTSTRSIDNFSISISPMSKTSQLPIPELEDSL TTQIGGNGDSSKSQPQARPYVLDVDTPQLPMIGRSTSSFSSGTPSAMANNHDSSTNTK TINPTSISMANQHTHSSSSSSQSSNMGFLKSSSSSRSDILLTPQYLTSKLRDRGSIDS TDTTSSYATGSGSSRRRRRDGCGSASCETWSLFDEIKFEDSLSTKSKSTSKKSEKKPK ETSKEKKERINQEKLLFDEDRLPTQKMLFEASLMEVVDDRGKKVRFGDLVRNRKTIVI FIRHWYCPLCAQYMNSILAEVSLDALEEANTDLIIIGNGSDKMLDGYRNKSFRCPFKM YTDPTLALYRALGLTRQTGDGGNADSKGDYLVQSAMESTVQTVKRATKMPLRNPGHFT QLGGEFIFDGTLNCLFTHRMTTTRSHKPIRDICEEAGVRLEFIHYEPGLPPPPVHRHS FLGINQQQHQQHVGLEGDDWQMERDQTINRIKALKAARRANPVINLQDTRSRVVDHVK IVGQDMDEYEDEVVLGFSALGIAT L199_006099 MASTILPLELVDRCIGSPIWVLMKNEREFTGTLMGFDDYVNMVL KDVKEYEVTPEGITETELGQTLLNGNNIAMLIPGGQGPKA L199_006100 MTNNRPVFGGMFDMMRRNAPSQQQAPGEGNVGQGPGQGQPQQAG VGRGVGQGPNQARGGPGQPAPPILTRPRAPALLPLKRDGFEDNVGGAPSGQDSITLGQ LKAHTAAMERKQKTQLFDYRYDDTDTLMNELQEFYPYVEMAHIAKQPEKFKGSFDGDW TEAPLKKRKEYIELQLEYLESPVHDTRRAAQGRLLYLLQGTFAETTSPEMQLHWVIEN AKAIRAVDGVSTIVVGLADAARKYTASADDKPQSSSAPPGTVPAQVDPYDDRSAELMD LLGMLYFIVEVFRTDEAFGDELMAMSPPLPLVLFQMVASLKDRLPKGYPVKKVLLLLW KTLLACLGGMKEVSKARALSRELAGLPVENKNFTKATPVDISTWRRDTAVKYPTFAPP PSVVAGVSNDKLAEGIKPIPARPNYHSTEIPISQPRQQFNCAPATSAPLPGTPAPSPP PSPKPKKQQYQTDPTRPFVFPYSRASAIAPSSLVPFAISEADKLYHRHEYISLGLYQL WQTREECMREERGLGKSGLIGFTTSKWDEDEDEESEEAMRREWKYEEEEMDAINQGNK ERAKLAREKTAAARRLHRVEIIYKNTLPIQQSCVIVLLKLLLATVTSPGATGVNLATG MPQGVTSPTQEVPPAEENVPPPTKEEIDIARHREITSKAVSAILLLLLKWFKASHILK FHYFAQLLFDSNCALLVLKMFGLTDLMNIVQTKNEVEDSNFFRYCHLNCSKSPPSPED DLLLRQPTKQSPPLASNADTLPSNVPGAAEAEVELISDYSWRNFFSTINFLKILQKIT KHRSHRTYMLTTYKSSQILKRMLKVNHPMLQLQILKLIKSQMPWCGRKWRQTNMKVIT SIYLNCRPELRDDWLAGTDQDNELEDALPQEYALRSLIQFYNKRHYSAHLASLPSPEP SHKRSNSTSAVTLEDPALHHAHGHVPHGAPHPRTASTGESDVFPPRKSLTNTGAALPY NPDGMIEFWLHEYEDVLGEVFGGDIMEHSNEVWDEFGLSHPTNLNLRSESNPNDNDDG VIIGPHAADRDDRAWNRLTEIMRSGAGTGDRQDEEISDSESAVSVGELGEDARLGGAT FDIDIGKNPDQDQDQDEDQKQQEAEDEERERDKERNLSIGGPGGRVRRKSGAGENTWE HMSPTLALLPRSPAERRRSSSGGGGSPLRPVIPGKPHEVILGLGEDVFDDEDEIDTRG PMPIRSNTRDLEEREGGAVDEVEYTYGE L199_006101 MSNSSNSHLMKTTKRGRPFVKDTHDLFCTLVVSLEFETHRNFFK SYPNSFTTDDACSNLSSLKFSQSQRAADPKDPTRIITTTTTTTFSMSREMAKAICQHF MDSHLIENATDLDTVSFKERGIYMLTAKGLHILERFVTKNGISADHLIKIFATQPICM KLLHLERRSVDDEIIITRGVIEVLFRRFAGKEPNLTKMSDDEIQAHRNSRFYMKSPPL PPGETFERSDGMIVRKISNMNLKNASGSNADDYQFPAQMAVDWILDYTSATGTDEAAE ILGQFVRYGFITLVSDKGKSSKENSLVVTVRQGGAGGGAGAAMQEAEFRATEKAIYKF TKEGIQVSKWFDSGKPHSNPNASSSKPNLHAQESTSSLNHSNSNTKGRSSSETPAPSQ IQRRNSLSDRLRADFDISGVPGGDNHVKDSHTARLKQILEEPALRSLFREFLRANFCE ENLSFWLDVQDFKRRFQTTSSAIATPGSNKNSKTTGHAAMEKHQQDLIAMAFVIYNTY LAPASPCELNIDHTLRAELIGYMNQITADKDAGVKGRIEPGIGNTLHASQLQTMVKLY ERIQVYIFRLMATDSVPKFCKTERFLNLMSTFFEWTEKGEPTNTNPNGSNQNSTGLEG KAGGLSIDSKRAMALTVVDHQPSPTRAYLTISQAANEKQAAMLKAQQQGH L199_006102 MHLHLGNTAQAFDLMRRISQSGKGVREGCSFLCEDVGLWAVLAV AAYRNDPEDAKISLSLNKIISSFEHCKKADAYDELLYGRVGYLYALQFLRSHIPPSKL PPEISTLAKETVKLILQSGISTSSSSFTQSHGDPSLLYKWHGKTYLGAAHGISGILTI LMREHRIFHHGHLLIEGNLSMIERTVDWLICQRDNEGNFPSSLESSGGKELVQFCHGN PGLILVLGEGCKLFGKRKNDWMEVAVRAGEVVWEKGLLRKGVGLCHGISGNAFALGAL YDLTGDDKWLQRTQAFSSIALNIVKGDSDQRTSTPDHPYSLYEGMAGLAWLIDDLVKD QIGGFPAFTDTEYT L199_006103 MPYIFDTPNGREAYDRRSFEAEQPDYADIELLKLLNGGGYHKQA EVPMQSENYKSYGYQQRADEEEEIEVSRQQVCPKVVSPSTDLLTMPSLHSTLPSITLS TPNHSFVTPLPDPPFIVDLTHLRHVEISDHQKQDDFTMNRRYPRMSFNGRPYPPVPPE ASTLARPFDEWSNKDMLPSRHHDMQGSMQQGYSQGGRLFGESAPVYPETNTNMFAFEP ETCLNPSQGIGYYHQQQQQHSVWTPPHAHAWSNAFPTDFHAPVLHPRLIQLPEQPITP HDTYRYPTSHVDHIYVAPSVPEVPTETINAAFAVWYANQVISLLATPGSFRPGVGGAS DVIWGIGGREKEAWLRVGRSPPDYSKPWGRMGMTTTPIPAPRRTRQRRPDLEPRDPWN VAWAHSMKPSSTFVNFILDMIQRMTISPTALVSAVWFLHGLGFHEGDGVKGAELRDFL REYRSYELESIERRVATLGLMLAGKWLDDNSFLTKSWCEVTTIPVKQLDRMERIALAD LHFSLHVPVSSWVDHVNKLYTALITKVLPDEVDLVITPIIDDMVTEARNVELEDDCQI ASPPTHYERRLSSDELPAAADQAISRDWGSFARTYGISQQDMRDLEVDVEMERAERDV NALVNDEYLGDEMEEDEEEDEEFLDYDGAKKWLPSMSELKRSGSNSSDTSLSSYDSHR RGFNQPTQPFHVPLDLLETPPRQRSYSDWTQGSSRSDHSIDWESNDFLYPIVPSEKKH CFDCQDGVKSARPLQHSAYVEPGVELVQPTRYLQESQKGNVNMNYGSTSKRWGKPVGA VQW L199_006104 MYPEAGPSRPSSSSTSYPSNASNKLPPTPSGSGSGLPSRVSRWD GEYDRDRDRDERIGGGYPPSSSSSSSRQYAIPPWQNRAPRPGGSNVYRPHSSSGPGPG SRPRSLTPSPPRRMPYDRERERDRDRERDRDRDRDRFDVRDRLSLDSPGAPYRSSNGP DGLPPRRVSGYAPPPRDREPSWSSRWREDRYPPRERERDDPRSSVPSRYPPPPPRSPP SAPRGPRSGSVSASTAQTPPSYSSRLPSTSGPSRSNNYTPNRDSFTSRQFDRSVSVGG TGPGPGGPSTSRPVSPSLSASGAQTRLRGSSSPVESKRPRDTDGPSSVPTSQIPLPDV KPSAEEHDLEEGEVISPVQATTVPSWSYDERSRRNFTPPRDRERDRWDRDRARERDRR PLSPPLPSSTFGTRRNLDDTWTHSNRNDRNRKRSSSNPPARWNLEDGHGQELSSSVSM PSQRSPRRSVGQPEEGEVATVRATIRDDQMQKEDDDEEKKVDRPPTPPVPPPATRNYT ANSTLDVVDIPSRPDTPSLPPPPADQPSSSSLALPESVSVSDGQPARTKVDVEAIPDI STSDDTKNIPAIDIHGEPIRSDEETKMTVRISENDASSDDVFRNDNSAMKAGQQDVQK DRPHVEAEKQDIERSQDTADTPMEVDEPVVPEFTPSMEDRIKEGEAKTPASSLQALPN GHVEAVFNTPLDNETVPGMKEDTGNDIAQTKPTSPVLALPTRSSPSKAAIDSVSLIQE TSSVLETVQTEAKLGHASTIAVSHDEVESAVVQVQSPTTITSDKVAIPETPASPLARR VTIAERRAVQLPSTKGPLSSPFGDSEPRKDPMPTAYSTSTDAETEAGPKTADIDEADT PILEDAPEEIKQANLIAAIKARQTKQTITFDPVSIIAWNVSAAPAHSSRTIATDDNDR SVMLKKTTWPLSRQQRIVAKLVASTLSREKEDLAAKSERLKNEYLELDQEWQEHCNFL DSLMEKRGEVPADLYAVPGALPVVTPGPVAPTTPLPEDLYNARGNRRRGAGDAVHTEA EFEAILAGLADTAAKDPTYRANKTSAVVPDMLLDEERKLRYDDDNDLVEDPLAFYDFK GNAEPIWTTEERAIFVRRYMAYPKQFGRIADGIPNKSASDCVLYYYRTKKEVDYKGML ASKRGGGKKKTMPIKKGGKSSALLADMDRQKPTVSNEVNTPGRGGREREESVIPGTSA RKGKTVGTPAEGNGPGRRRKTTAPSIASTTNDDDEKLDSNVTSRAGSEAPSVVTSKAK MRVTMKTAKRPRVSSISEINAARPSVPSTPSALTVVDPMTSNPTVPVTATTSTPGPAP VTVIAGATPTNESLDPTTAQSELLPPVKRAGKRRKVLAETSEGTADPNATPIANSIST PGATPMGTGAAEKPTRRSATNSYWSVEEKRRVKELVLVHGADVKAIAAELKGKSERQV GNFLEGHRNELVDGTGAPLPPLNLGVIKAEEDKRSLTRRVSPVASLSGSGTFRSAQPT RTIYDAYPSFMSQDRYEPRLGMFPPSPPHAPATATPTMQSGGIPDSSPLKPVSRTGGM RISALLNDDAPASSSIVDRRPSTAHSNPDTIDAASDGTVDERDIDGMTRPSPRSVAPV PTSAATYGRYDQRPDLDRYRSSSSLPAASPYPSSTTSWSNGTSRNDIYSHRAATTTPV PIPSMTHRPSWSDSNAHHSPHAVPPVPVPVVHRSNSYAHAPNGNYDSSHHNHNHHHHH HHHQHHGVSPYPPDRSYARDYPHHHHQHQHQNSLPPLKSTVGPPPLMGPGNHTHTSNH GNSGASTHRPYE L199_006105 MSDVETILASGMTTPSKRGWKGTIAVDMDDVLCQTNATIVHMHN ELFDTQPPLTLADFKNYLYWMNRGWGTPEETVGMVAKLYQGGLYMRAPPVQGAKEGLR RLKELGYNLIIITARSENQRTGTEDWIAEYLPDIFDEIHFTGAFQHLEPTREEKEGHV ARKAVVSHHKRSKAEIIHTTSSLFLIDDSSENAYDVSTSTYPHEGPTKVLLFGDYPWN AIVHDPSEKSPIEGMTFHEKQEKGLLDEYERLREQRIKDGWLPPGVERVGDWEKVVEW VERFDKARQE L199_006106 MAFALATHTLAHHFSNKQSHLPSTSSSSSPSSSSKMISNHPDSD IIPSVIYDALSTEQTRGRSTERKLIHSSSPSPPASRRASDAGPTSPSGPSHVNAEVVH SHHTLPPTTDEISTDPILYLPPLLSPLPEHHIHDQQQNEKEKDSTTQVLVKVVEALKG FETRLPDIDPASLALHQALHHFKPWDEDYASTPYDEAFNWSALSLPKAVEREWYCVVF RSRRKPESSNLSLYRADREAHEEAVKNGGLVMYWYGVPDHTGLNLATCIWQSRRHAIK AISGPKHMKAMKETEGAYETYQLERWILSKKAGKRHLQLKKWVSGDVGW L199_006107 MFSIKTIPPSSTQSFSDDIPSTHRRHPAELEAMDLDEDEFGAGP SRRNIVTPGEMITSSKEYMRGHGTYVEETNVISSVTGTIERVNKLISVKPVRSKYVPE VGDLVIGRIVEVGPQRWRVDANGRQDAVLMLSSVNLPGGVQRRKIESDALKMREFLAE GDLLVAEVQAFFGDGAMSLHTRSLKYGKLRNGQLLTVPPQLIRRLKSHFHHIPPPCGP TGVDVILGLNGYVWVSNGTSQEKREGGEGFDSEGVYSDKNDDIPPECRQAISTVSNLI KLLADQGIPLTDTLIEESFNWLNQNQLPPGPLAQPDKERMLAEVVGIEVSA L199_006108 MTTQPKDLLLLVWVHGFKGNDVTFESFPERISHLLATTHPSLKV ESRVFPAYQTRGELTAATLAFVDWLTELVVNLENDHGAGGGAGKAKVVLMGHSMGGLL IADAARDIASNTREGDMMWPRIVGILAFDTPYLGLHPKHHLSQAASYVDQARNIASTV GMLSPMALGLGFGKFGKKTETAPEAGPSNSRTASSGKGKEKETETAVLPNASSATAET TSTSSFWSKIPSMAAPSTKTMYGLGAAALGAVALGTAYYRREDFITGWKWGYDHMTFV KNLWDDEGMKNRLVAIHELIVGRKIVFHNYYTHLPPSPPTQLVSRTFAILPPMTHPLY KHFDTASNTIAKDEVSAHMGMFNPKTNDGFYDLGLEVVRVIGEKIEEEGVGRSDVKGL DEVLADLDHTESQAKEKEDGEWGVEKDESGHEVWVEK L199_006109 MTDQIGSLGRSALLYAGESASPPPYSIVYLTKSQVDLADSCPAP RHRLATDTLFIVEDHHRRCIDTAFLGVERLSQSIRDPENQQLSQEAAAVCRRYDKYRC SLLGFREFHPDRMILNENGHSSIHFKACTRSDSAPTLRELEQRWRCAEKDLVYCKIQK WDQEFRRALKGSIQSTRLATASLRGSMDTAHINEEEKGEEVDSKAIPNNDSIANLDEA GDRLFDDEFRSLLDVDLQKFIEGASTTGQIGQKDTHQAVTTRDHPSVSASNRLDEVYS SLEKAETSYRRLESFLWESEQNLFDPGLLRRYNKILNQDIALWDEWISMREDVSMIRE CHSDRSSLSHIT L199_006110 MSHLQNLEDKIQHTFTSGQPGTTGREPFEEDRGEGNPFHREHTH GNHGIKGASGTGHHEIGTGSDLIGKSSTGTGNERDINSGEGLRSHEQNPSRVGETGFG SGTGSGLTGTGSHHHHTGAGAGAAGAAGAGIAGAEYEANKHPGSHGSHHTGTGTGSGL TGTSGVGTYENRGVDYPTSGSGLGSGGTAGGAGISAGTHALGQGQGQGHHHGTGSDLT THQHGSGLTGSHTGGATDRVGNVGQDSALYERTGAGGPVTSGAAGTDRFDSDRHHGSG VTGSDVPTGSEGTGEHGAGNLSRTSGAGGVLGTSDKDFVGRDRKAGAGGVLGAYADDN GPFKGNTSGPGGNTALTGREGTNPSEDNPVARAKSAGQEIGSKPEGTSSHPGVAHGVT NQLEGKEGQEGTSVSQEHGEKKGLLQMIKDAI L199_006111 MPVTLDYNGKIVFITGGGRGIGLAITTAFARAGATIIITYTSKD PQEVVKKLTEEYKVPIHVYHCPGEDATRVNEVIDLASKEVGEVDIVVPNAGVSLWRDC VDMSDSELDWIMKTNLYAPITLCRGFVRHWLGMSTSVQSSEDPGKPPAGERVNLNKRI LCVSSISGIVNMNPQRQVAYNVSKAGLTMACKSLAGEWAKYGITINSISPGYVATDMI KDPPPGEGREWADKWRKDTPVDRFADASEIGQMVVLMCSTESSSFMTGHDLVIDGGFT TY L199_006112 MSSIFAYLYIPASIYIARWNPSLGLLTVGKEVGYLLLQSLLILV LGILSALSTKEEICTSIKAEPGHFGYSTKPFRCQEKLALAILSFLHLLLVLCWLALLF ISVHRYRGHEKRQTDPDGFEIPVHQFLSSRCQLEVDEQHDLTPIESLTNTTKTPFSPF SPGTTKEQDGDEIPLHDSSPTRSNKHTSEPWRISSLPLPDLKFHFDSVRNSAKSNTST SATAGGGGGFGFSFSSPVGGSTKKTSTLLPTLESARNSVKSWKKDDDLESMRRDHKRI ESWRISPIPLPDLNLGFDTKSTKTQNHHDRDKDRFSVKSGLSGLTLEDARNSIQSLKS NSTIGARDSLKSQVSTTIKPVEKANTKSENHHHLEVPSLRETGGYRSTFQFRPELETH RDSIETLRRDPRKIESWRISPIPLPNIRMSFDHQKKEAKIVQHTTIGTNTDGDEDDIV VIPGLSDNNERVQLRDSTDVDGDLSLAGGLGRLSVGGSGGGIHNKRLSNVRNSAQSGL RLQHARQSVRSQIGEVLETHADNASMNSKDRRVSWGDSYSSLGFGKSTLSVNFDGTGG SGSKRNSGSGEGK L199_006113 MARPYNPKYDSVLGPMREPSPPPASTETDQHAHAFPHDASFLAQ LDPSRVGVHDTEAALTHLFGDVVGQPQEDEKPQGNAHGEHAHAHGHDHGEYTPTLASH EVPNVVETLPVPTPPAGTNDNDKSLDIDPSIKTQDDSTVTGAGTSAPVKRKATSRANM LARGGACEYCKKRKLKCSAEVPSCSNCKKIDKECVYSQKKQRSKIRVLEDRLQELEKR LDHPTPVLSSNTHAVIATVEGEGGMSIDTFPTLSTFEIDEPDLMTLADAAAGDTTVEF DAWEGLSPEIIVSEIVKAITSGRSHDAGNGEGKSIGGKIVSHLIHLYVTPPSIPDIHA ALSPTALLRRLSDKGDRPIHPSLLLALIPYLLPLSPSKTLQLPTLPILIQTHGKNLIN LAITNSDPRVIDLATACALRTFWYYTESKYFEGWMESSLAIGWIRSAGLDKLGYVGER YTSHQQMTPKREERLEREKKFRFAFSKPVSIPPPKDGAELGERINLFWFAYMVDRVGS FAGRRWASSFSDEEVTTPWPKAEYDTDESLLDNRTILDFMNLSIPQDASKDSLEAASV KALALVFHAQRLFDTPPSISTPDRVSLLISITKGYISTYGSVEQTVSPVSCTNGGSKN QVWITLYATLAFLHAREEIDAIKGTEKEYFLKSIEAIGNVLDVVKIMQNAGNAELEGL GLLSALLFFHLCRLTVKYIERFSPSQRLEYGLTNEENERMISDLKGMRAGLENALRTL VKKTRFAIVGSQLLENIALGSDFKLGEYERPDNIV L199_006114 MVSSSLLLLSFLSTTFAANDKSQWEQGTITIPSSTVISIGLGFL AFSLFILLFLLSFRVNRIRKLARRQNKSFKRCWKDEGGLWSFFTSFGEGDGHAASSAL IGATGRGLDYNRQLYRIYRELEGDSDNTKAKEIPKMWDCKWNEKVDEDQDLGDDKIQP LSVTPSSSPPSDTSKTYPPLPTLDMCVLITFPSESTNQEDLDLPQLIIGTTTLLPTIT IPDKVEPQSDFGLSNSIVRSSEKEALQAGQITKKLVIEHIEYSRMRAEWKKDDSEGVW YIDGLRSE L199_006115 MWPFTSSPSRPPAAPTPIDPSPSSSAPSADKCPVDHSTRSAWLA AQGSSDTPHPFHPSSSGSSSSSQGLSQDRVISSIPRAPPPSASSSSSQGGGPGPSGHN VPELQKDSEGKWVYPSEQQFFNAMLRKNHNPHARDMKTVVPIHNAVNERAWEQILLWE SRVEGSEKCGGPRLISFVGKPKERSPKAWMKTALGYTPPFDRHDWLIDRCGTQVRYVI DFYTGKSGSGQDASKMSFYLDVRPAVDNWEGIKTRAAGWWS L199_006116 MAFAQNISRLIVPIAIGATVVQSSLYDVPGGYRAVLFDRFKGVR PEASGEGTHFLIPWLQKAILYDVRIKPRNISTTTGSKDMQMVSLTLRVMSRPDINHLP KIYQNLGLDYDERVLPSIGNEVLKATVAQFDASELITNREIVSARIRDDLLNRAKEFN IQLEDVSITHMTFGKEFTTAVEQKQIAQQDAERAKFVVEKAEQERQAAVIRAEGEAEA ANTISKAINKAGDAFVQFRKIEASREIAATLSQSKNVSYVPAAEGNLLLQVPPQQ L199_006117 MAEPTEPVGAEEHDPQFEPVIKLTEQVEAKTHEEDEEVLFKMRA KLFRFAKESLEWKERGTGDVRLLKHKETKKIRLVMRRDKTLKVCANHIISSDMKLSPN VGSDRSWVWNVAADYAEGEASPETLAIRFGNSENANLFKTAFEDAQTANAGSSAPAPA AEETKEEEEETAAAPAASEEKKEVEPVNETTAAAEKEEPKEEKAAEDTTEAPSTHQPT SETVPAATSESKEVDELASELKKQAIAEKSETSAPEPAAEEEKKEEAAATPAPTA L199_006118 MLPRLTNFLHLFLLVLPFTFTLAHRIEIDPGEKECYFESLQSKD RMTITYEVGGSTGGGHLDIDFYVTDPQGKTIYTHHKKPQGSFSMSADRSGKFTYCFSN EMSSYARKVLSFNVHGQLYIGDQEQIAPVEQEVRDLSAGLQLVKDEQAYLVVRERVHR NTCESTNSRVKWWAIVQTVILFSLCAWNVHYLKSWFEVKRVL L199_006119 MSEMILAGKPTIRMALGLMGLTLFADQPVSDDVAFEVIKTSLDA GATHLNSAMFYGSPSDKLSNLKLLGRFFKAYPQYKDKAILGVKGGMTGAFAGCTGDID HLRKELLTAKELLGEKEIDIFACARVPDDRPFEETIKNLVQLQSEGLFRTIGLSETSA SSMRLAHQIAGKLISSNEIEVSLQTLLDPLIVESIKTAEELGIIIIGYSPFGHGLLSG QTPSGEGDWRNHLPRFQKENLDRNLALVRDLEDLAKKSGRKLTEVILGSMIAYSPNIL PLPGSKSPKRVAENNAAAQVKLSDEEMKEIFEILNKNPVIGTRYPEFGMGALVSVFLL IVLTGCHMLTCLWFTM L199_006120 MSWQWLEPKMDVSALASQSVDSALVGTLGYKYERVSQDDVDRMD ALSQLKISSAFLRSCNPWQECLEQVQALTISGEEAMSEEQMKTLLGVTELSQRYTRAL TKAQSAWTRLNDIQDGGDSEEGGKIAFSDMIQIDPSTSFIRKQLKDVVHNNAKAWMTS AEKTMGRSLEELECSRKIMTVLSQPVERSELRTNLWIRVMKSGGLFNQSSRVYQLAFG SLRNQETIGILPENTFESLKQRAQEEYEGWKAVSRQNREVYIQWGTELISGAVEDAKA LVDRSKFNPHFRSSIQRTKWDKESTAHLENFNASYNTFLEHRDILGALFVIAGDEESE MRYKAKCKEWHDTLPPALIAVVQSENRARASNDRRIEIDVGRYRSVA L199_006121 MKGCNSVSARAATALADNIQSSDQLETAFEVETELKKASTILDI RLDSLQQTIPSLSSYPRQLRLYLYSDSRGRESLSQDQLITVHYSKDLTADCEGYLQEA NNAWTVLGKPIVKGNILDWYSSTLQNSKDELRKTVSDRSSQWIPKAIGTMMTSIRDIR HATSELSGQTLAFDSKTIRKAADQRDKVVNSRHDFQGGCQVLDLCMPHTAVEEARITE LISNFGSATDIKKDSDLGSTNAENIDRQKEFINLSKICSDETAKANSDNQHLLSLVKD HLINEMSTVSSDWDQKIREVTAYYQSTAQEIFRKATDSGRQFLQTSQQVSQSSSEALD DGLSRQFAHDRDAWQANFSRVQKHKPEIGAISRLSSEFKSITSLLADWTGVNNQAEGA ESIFERMNRK L199_006122 MSLQLEKKLSELTLEPSDSDIGIQVSLPDFSSSEKPCQRSSHVE NEKAKDMDEIPPTCDPITGTSNTFGDNDISSRFARGMTLEQTRSTSNCGSGVNKPIFS GTRSRSGIFEPSSHKKYRASSRLSNGFRPRYFTESQDLSFLVEGNDHVGDVFKPAERA KEAMMDL L199_006123 MSNPSPTPDGAPTPPRRVSFPINPTDTSITPDPSTGPLTSSPPG SPTLPPRESNATPNGARRRTTTAPNRQITLDSTPMSQRRQTTESNRSSNRRNPSFDPN ASLVRRVTTVLFTPPKKVGKAPTYLGSIKAAIMSTWLNVLLVFIPIGWALYLAKHSGG KDSISDTAVFITTFIAIIPLAGLLGFATEEAALRLGQTLGGLLNATLGNAVELIVAIL ALIKCELQVVQSSLVGSILSNILLVLGMCFFAGGVKFAEQAIKSTAAQLNASLLLIAV IAVLIPSAFHFSISSSTSNTDADQLAQGEGADLLAMSHGVAILLLLLYLGYLVFQMYT HAAYYIDDEVTGSTAYPEAITNVSEKLRFRNFHRHKKTDEEEAITTASDSTVHSGNVT NAGGAVPATHGPSTVVPENSTVQRQHEQEEEEDDEETPQMNVVCTIGLMVLITVLVGV TAEFLVDSINGLVESHPSLSAEWVGLILLPIVGNAAEHFTAVSVSVKDKLDLSISVAV GSSIQIALFVIPVIQLLAWTIGKPMTLLFDPYESIVLFLSVLIVNQTLADGRSNWMEG LVLMMLYLIIAVSFWYYPGSSTATLLGCAESSSVVG L199_006124 MATTQNAKIPQAKRMFDGATHKLDVWTIFTPANVPPDAINLGQG FMNWSPPDWITKAHHDVLDENVMAHHYSHPRGRPRLLNAISKFYSPQFTNLGGRELKH EEILVSAGANGGMFAALTAHCEPGEEVICIEPYFDQYYASIHFQGAKPVFVPLHPPTG EGVKDGSEWTLNIDEFRAAFTPKTKAVIINTPHNPVGKVFTQKELEDIAQVCIEKNVL VIADEVYDCMVYDGKKHVRIATLPGMWERTLTVGSGGKSFACTGWRIGWLIGPAQLTS ACLAAHSRIVFSTNSPMQEAVAIGLEQAKERHFFEDQLKAYEERRDILTSYFDQVGLP YTMPEGSYFLLVDISKVKVPEDYPIPETCQGRGKDFKFCWWIAQELKVVGIPPSEFYC DEHVEIGERFARFAFCKDPELLHAAGKRLLRLKEFI L199_006125 MSLPGHSSTNPFLTTQTQTESNHFSLLQSYSLTSETSSQSQTTS SSSQTQFSYYRPKKRKIDVWSINDSVTVQEYHPSSRTARNSITKRGSLELPFDLTQDD DKNSPAHATPQQGEDHVKSKSRGSKRRRRRITISPSPPPPSSYTTFGSSITGPHIQLW SDHYSPSLHYSHPASVSLQALEVTYPHTQDDEDVNGYHGEDPDDILLIDMEEEQSQHQ DELVMTPDTSTTHRYPFSITTALHSPVRAPLDEGALEFERLMARYEGKRKYEILAEGG GAKDNQSVGNSQISTTSSKSQREVEDLIDEEDERRTKTLGFLGSIVTNFLSQLHTSQI LMAARKEARLKKSPRKKKRYLQEQESQEDEEELLIMEDDPDEEGLEDGSQSWIQTEPG VTMRLKNRKTGQHQVISFPDHPLSSMGGQTSMEKINCILRVVTVLYEAVCSRTVVTLR DIYYRDKALFRRQDVVDKLVDDIVATAGLKRRDFFVCASAKGLIAATSLKIIRRTGEE LGLSSTIATLIDPIERIARLDSARPVEWVLVVEKDAVFQTLCSAKLLEDDRLGAGVMI TGKGFPDLATRQILQLVSETYPHSKIYALVDADPHGISILSTYTYGSGNTKYSNDHLE LPLGDRIEWLGLKATDFKKLGIGYDDLLPLEKSDISLAMRMIKDQTLPGEWQRELSHM LHISRKAEIEIILESNTDQGYADGRDLLDDLFDCQQQHYGIKDNDNIEIGNRKEKRKC KFVEYIVERIISLRT L199_006126 MPNHFTPLPPESTSSTIPTSLQRDREGEEELVPEDDDEPPTPEQ NPISMLEPEPEPEPQIELRPKKKAKRLKNSSSSPSSKTPTATTLITTSHIDLANTVSS SPLDDDLIHPKRPKIKSNNAFWRKAPPPPSSSSSGGSHGEGSMKTKHTEFPFADYELG LRPLTWPSLDDTAVKTLGIPASLPSFQHTLDQDKEYNEPFLYTRSDLIRYRLGKSPNY TTQVKAQPQVGRSGSGSGSGSGSRELMDMTIAEGAWKKWEEIGGIPKGLAGVIPYSFD GHGNVYKPDLTHVQAIRLVIAASPRGKMTLSQIYQAFEERWPWHKTAGMTWKNSIRHN LSLNDCFINVEKATAQEGGKGGYWIVDNSQSGRTARKLKRSAPNTNSNSTSEEYSSSP TKSTFKERDLLTKDRLSISGESSPATRNPNVDVFSPVLSNPLDKYDLNKSSDGGMTKG KNKATSITIAKPAVPFPYTLPQRDKSWIPKEVVRKSREIGIQRPPHDTPLDRPQQLSS HTPIDGRTGQDHGEGEVEGCRSLKAFSNDISPLESQYFTTRAGIPELPMVEPRSRSIF STASQRQGEGNGNWNGNGDRDDKLPNLVQAVYEPGGLEDNVRLPPVRVKEDQLEDGDD EGEEEGD L199_006127 MTPPPPLIITTDLDNNNNDSNPNTNTPPALAPPIDVDQDPTTPP RGRSGSAPISDPSHLSPSRSHLHPNQNTHSPTPSWSSGTIPPSPTLTNSSVHFSEEAV TPTSPVPRTSLALRDNDPTADSGMETLKVIGENDPQRHNRGWSIGTWSSEAGTEQDHS NFAPGKAPSKDKDALSRITTGTTAHTKSAEKSKDKKEKKKKSKKSKKDEDGEGEEEEE NKPQAAHIDPDKDTTDPTPFREKPSRLAMLVDPKSLDDLEKIGGVEGLLSGLGVDGKT GLRVGTNEGATETGAPRTSSEMPGGNEPQWHTSMDDRRRIYGRNELPERKSKSLLLLM WIAFKDKVLILLSIAAVVSLALGLYQDLGTPPEIIFNDECPAPVGCEEPQVDWVEGVA IVIAIIIVVMVGSVNDWQKERQFKKLNAQREDRTVKCIRGGNEMVVNTKDLVVGDICL LEPGEILPVDGVFLRGHNVRCDESGATGESDAIKKFSYQECIEERNAAQPGDKLKKDC FLISGAKVLEGVGEYVVISVGTNSFNGRIMMSMRGDSENTPLQLKLNKLAELIAKLGS AAGLLLFTALMIRFFVQLKTNPDRSANDKAQSFIQILIIAVTLIVVAVPEGLPLAVTL ALAFATKRMTKQNLLVRVLGSCETMANATVVCTDKTGTLTQNEMTVVAGSLGVHGKFV KNLSDNASRSNANDVEGEQVREDFAFEMDEINNVASSELTTLLNEAICINSTAFEDRD EDGNLSFVGSKTETALLKFAKSAGWADWRKTREAYQVIQMIPFSSELKAMGVVVKIGD KYRLYIKGASEVLTKKCVKHVVVSQDQSTDIGLQTVEFNEDTMNNIMKTIIFYANQSL RTIALCYKDFESWPPKGATQVNATDEVPYEFIAKDMTLIAVTGIEDPLRPGVREAVEK CQRAGVAVKMCTGDNVLTARSIANQCGIFTPGGVIMEGPLFRKLSDAERLEVVPRLQI LARSSPEDKRLLVHTLKGMGEVVGVTGDGTNDGPALKLANVGFAMGIAGTEVAKEASD IILMDDSFKNIVLAIMWGRCVNDSVKKFLQFQISVNITAVVITFVSAVASSEEQSVLT AVQLLWVNLIMDTFAALALATDPATETSLDRKPDRKNAPLITVEMFKMICVQALYQII VCLILHFLGLRILGLPHTDQNNTELGALVFNCFVFCQIFNQLNCRRLDRRLNVLEGFF RNYYFIVIFLIMVGGQILIIEVGGAAFQVTRLGGRDWAISLIIGAISLPIGAVVRLLP TGPFERMLIKLRIYNDPNKLPVVAPEVEDEKYEYNPAINKVKDNLSTYANIRGGRLRA SSIVAKSRSAQLKEADIQLPSLLTMVPTLIAGTVGAGAHWVHQTGTASLSNPAGADPS RSTAELFQGKVQLHPQTDRNDPLYTKFGITPPSPAEAASIAASAPSTMGRSRGRSQQR DLEKGGHGQNDQEVLRE L199_006128 MSSSERPIVAITGLNGFIAIHVALRFLKEGYDVRGSVRSISSAE KMKDHPVWKEWIDQGRVGVVVVPDLTGDLTELLDGVESVMHLAAPVTLNLKSYEEFKG PTIQGTLSVLNQSTKFKTIKAISLMSSMAAHFNPVPNDQQIGAVYTEDSYFPYDEETV KNFDPSNPFANVIWYCAAKKYSELAVKDWLKENKPSFSVAFLAPPMTYGPLLHLSSVA EFKNGVSGSQPGWLSLIKGKDAEVMQPESTTYADVRDVAEAFYQAAIKRKDGIYLVAS DIYTYQMFANEFRRQRPDLDAYFPLGNPSEPTPRELNFWTIDTSRSIKELGLKYHTLE DTVRVTLEHYEKIGVFNEKPGSWAERA L199_006129 MNISSDLMSVESLKSVAPTEVETFLKGVDLMEKNVEELDKCFQR LGETLQMSMEGKLGNEEKGILARVSSTDTSYNAAKISCGQAWHKLGDSNCVEIQRASD IHSRKESITNQLHDTILPYASDWLSAAFDEIIQQTNTISETHRDFRQDPENWKTTRGI QLLGNVHKALRSYNQNIRAALTCSQALTSKGSDELQESKAATLDLSHRMEQVYRPWND DTALYKSESIQWATLVFTELKSGCEDFLRLFGSKPVFGVGDEQSNWERELAASRDRLT TLYASAAPYKEDFKTLFCIVEPDHEYRTSCEAWGMISGEVKTAFRTLNSRGVQGSSLV LDSLTSVLEDL L199_006130 MDDPTVQQIEVVLAGEETLQEYRDSCQPALNEILANPIVTVTCT GSSEELENLKMQMFEDESQWSIKTNRYKESWAANITRFLWTHIDTIHSYIDRYTELKE NGSPTNANDKTLQDLQTDMEKSEHAFAFKKGMIQEFSEITKFLRYMRTTPHQQLNLKR ALEELEWARSELSRLENESGGMEVLAENMDKASMT L199_006131 MKDISSVETLTVPEGVTVALKARNITVEGPRGKITKNVGHIQMD IQLVKKAKSQQVVFTIWHGARKHVACLRTIKSLVENMITGVTKGFLYKMRLVYAHFPI NAIPGDGGKSIQIRNFLGEKFVRECPMLEGVTVAMSDVKDELLISGNDIEKVSQSAAS ITDKCRVKEKDIRKFLDGIYISERTTVVQEEV L199_006132 MEGDSWTRFQYDLTRDLVLSSTNDFLSNVQTLHNRLFDNLEIDW PDEERSKEEYDKVERGLKWVIDHGLSTDNDKIDLRRLLSQCEDEWTKLDEMRSKHWTI MDLYERLSKANDLTQQTFSTQLKMMYPNAYPSPRYGESSFQSQYEITLEDMFRAQSAV LSRRHDYRNIIPQLTEEHEMVTSYREWEDMKTEVKRRGLVDRLPEEYMRPIVNHFTTE L199_006133 MTTYPPYSSLPIDKSGPPLNAWGLYGQDGGGEDEKGRLNLITPQ SIKRGKDTITEGIAVNLNLPLSFFPVHASRTSMQHEIKCSGHSNDDILHFNTQCSTQW DGFRHYAYQNWPEEGRFTFYGGMDIKEASDSSIKKYGIHNYAEKPITSRAHLLDIPLY LNKHNLPSIQPFSNSDPIPLSTLKACAEEYGVQLQPGDILLVRTGYTEAILSQTQQER EALRKREKNESCGVKADEELYKWHWDNGIAAVASDCPSYETWPTAPGELSCHQVFLAG WGLPIGELFDLRELSKQCQKLGRWTFFFTSMGLNVEGGIATPPNAQAIL L199_006134 MAYLGRPSGGDSPFAIGDRAMRDTSSPQPPSQRNPTPRQQQNMP PPGGFMATPSPYGSASDEARRRYEERYAKKRAEEAAKAQAEANPPPPPPPQQQQQQYH QPPPPQQQQQQYHQPPPQNHRPPSSGGYNQQQGGYNGPPPPRQQYTSPPPPPQQQGGF DPRQQQQFHQQQGGPPGQGQGGYGQRPNSMGRPPQGYQQQQQQQGPPPQQQQRWSQNQ YNQPPPPQQQQQQQWQQSQSPPPPPPQQQQQQGADDAELQRMFSQFDSSRTGDLSAYD LQRLLAKDTMMDAREDAVKMLMNIFDTDKSGTINFMEFEGLYRYIQDWHGIFRRFDRD NSGLIDRKELHNALLGFGFSLPKEMVILLEKRFAPPPTSINSQQNKGISFDRFLMACV TVKHYTEGFRRLDTNGQGHITIDYNQFMELVLDAPS L199_006135 MSAPTANDPLSGHPGHLSESQQATLTKFREELTAEGSIPANGDE VVAKLGYDRFDDQTLLRFLRARKFDIPKAKLMWNNNEKWRKEFGADDIAANGYDYPEQ REVNKYYPQFYHKTDKDGRPIYIEQLGKLDISKLYALTTQDRQLHHLVHEYEKFLKSR LPACSKEAGQLVETSCTILDLYNAGISSFYKVKDYVGAASSIGQNNCECPETMGHMFI INAPYLFSTVWSLIKPWLDEATVRKIHILGKNYKTELLQYIPEENLPTDLGGKCNCPG GCSLSDAGPWNPTPSAPTA L199_006136 MSFVPKPPASYHHSASYTYGTSESQSQFASGVSQARSTASPTQP SGLNPNQLYNWPPKNPSPVPPLSLQSFVLPPLLSILRSLNTEASIFSSRNSISSPSAH VHIGQQSNNMQQSHYSPTQTLPQVQPHYGASTTTNPSSVPNMMLPPLRMPTPNDPRVN PPYASSAPPPLNSNHYGYSYSTMNQYSPYQRPSIYYNGGHVTQNSTSSSAGSELWTPL SATPSGGYPIFNSSNNQSNSNSGTPTFGAQPPPHQPNTPSTIQPSAFPSWQPTSDLRS RIDGNGSNGQGTISPLGILGGGENGSSLTDRRSSTPGGQSSTTAGGGNGERWDRPSLG LSPDNSDRLSTSDEEKPKITKKGKGSTGSGTDEPGGDGTTSYTTDAEVKQTPELKRMC FNCTNKSPPSWRKSLLHPGKILCNKCGIFERTHHKPRPPQNDDQKLRKQTPVPGPTTG SYRREIPPQLQVMRDDSDGSPAPLSPYSNVPSQATPVSATFQFPSSYNSPSSTLLPSS GPSSTGPRKFMGSGMWNQTSPSSHSHLLNTPVSSSSATTLDGGDYSSSSPIRGVGVGV GYHGHGSSPYAHAYANRRTYSHPVRVSPIMPSSAGPISQFNQFNNNGNYDNHNNGYCS PGNWNSTRRHSEIQLLPSLSSSSSANSLSQSNNQELQVGGSADGQSQSQVSNNDMNNT DSGNGNGNVVKSE L199_006137 MLVLESQGPSNVMDFTTFLDHNYDRFHSVIQNTKSRGPRTEDLE LEILHSGEKSLQSYVHIRNSILDFNFNSSPPIPTPDQSTFNTFQKLDVDMNTLIEKWV DMTKPYKTEWSRWAVKTLRRAITAIDTFHISREAVNKADTNSEDYEYDAEINLIQSLG DLQAHESEITKVLELSGEDNPKQSLLASEYTEFQIALKEYRDNGRFDMDDMGEALDDI WMDL L199_006138 MQSNDNCDQLITHHSSDLASLHQQVNKYSTIADEVDTAVRNGSD PSVQLPEATALSSLGEVSRQNTSEGHQVSELDRSYAQAVAKLRDARIMKETITKYHTK IIRACESMKAYLEASQRYIASEENIPSTRRDIEGFEYPDDLSEKWREMYEAASDCDYN QNASYVTSVISLDHPLRADMINWDEIQEEYFKAQKSSHKLYKMQAIMGSKYSRA L199_006139 MMIRIPILIVTTSTIGHHHPQSETYEFQPQDHELITEIESWTQS RLNVQNAGFPSELTDLLPKKYKSLLTSGLASSKGDIPVYKVFKA L199_006140 MSALNLPKPVNTYAGEDELRQIPNGSATNGNGTPAAEEDEGQGG MEEDRPLRASDKLKVGIIYPPREIRNIVDKTANHISKSPTPLLLEEKIREHQKSDPKF AFLNDADPYHQYYRYMLVKVKEDADDVAAGISTSTPVPEEKKDKKEDVINAYEPKPWE FLVDLPGVTAMDLDILRLTALFHARRGRSFLSSLSVKEGRNYQFDFLRPTHSLYGYYN RMVESYQKVMQPPPGLIENLVKEANDPEIKWKTLEEARNRAEWEKGRRKREDIKAKEE EEEAKAFAAIDWQDFVTVETIEFTQNDEQLELPPPTSIDKLRTMSMAEKRMAAMVMEE TGAGPTAETTFQNGQNADDEMEIEEEEEDEEEEEVRLQRIKAEQEQARAREVQRAAME QRGLKIKKDYVPKGIQRGGNVATAKCPNCGQSIPENELSEHMRIELLDPKWKEQKKNL EMRRAQAQQLQHGADVVASLKNLAHARTDLFGDDLDEAQRKQREEEERQKRREREKII WDGHTASAAKTTETFQSSFNVEDQIKKLHSRIGLTEAPANAAGPQIGPGTPGAPQAIP PPLAAGLQTPGGGTAYSGATISAAPTGPSTKEYISAPYDPSFAPSPQPPSAPSIHPSR MAAINAASASSGGSSPLAGQVRPYEDDGSAEKPVFKRPKIEKLPYGQLYTETDWTNLH PDPINISVQLPNMPEKPEYKLNGSIITITDLPITTLFSTIREKIKRIVDADLPISRMR LDYGTKVMNNASTLASVNLDDGDMLNLVLKKK L199_006141 MSSVHTQDRPQVRRPSGSRPPPILTPGMPVRADQARQLMQQFPQ PQRPSNPSPHPTSSSPAAGHTSHHRSSMPNPHQKPTPVGPHQVQKSNHASISGRPLPR TSHAQRTDSTDSSLRETHRANIKPVGAGSMGPPRYPSANLAWGHQNHKLPSPKEHQAQ SQHQTSVSRGSMDSNMGMGARESRSDVEMQFYHLLDSLQVPATVRQKFSTVSSDVKSS ILLSTLNSNPAILSSLGLPTPTPTTPKVKKRMSTPLLRKTKSSAEVSSPSGSPQVGKT YDVGGEGFVIVASPNLNNEGNNRGMMSPPLGYSSNSRGQSMDLPRASTSSGSGSGSNR PLSGLFSPSNSNSSSLGKSSGKGLNISMGEQPDSFISWLNAHKGTDLNMDVGKAKKLR MLLRHENTTWVGRFIEMKGYDLILDRLKDLLDIEWREEQHDDQMLYELLRCVKALSTS EIGKKALRSHFPQPFPSLSNLLFSEKKPGDLASRQIIIELWLFLFDLFPPSSSSRNGP KTSTIRFDEKTEMDIVQEVKGLLVPDLPDPTKEQHEFVTKAHRPRVFKAWVGELSDIC RDYFWIMCHASNTLWDISEVDENLVEKPVAPGGATGGVEFEAMNYVTIHFKLLNALCK FQAIESKDDALKLHEDLMSSGMDRILVTLRKASTTYYPTLHLELARYVSLLKDICPNG KLPYLIGKMVGPPPEEVRSFGASGSARDWLGSGVAR L199_006142 MPRLPRAIFPLLPRPPLPLSGPKPKFALNSTLRFNPLSSTEPFH SSFSSTSSSQYQSSLSYLFGDHPTSSPSRTMISNHYSPLSSLTAQPYRARSALGSLRF IAMGTFYQPSQRKRKNKHGFLSRLRGGRNNRKIIFRRLLKGRKNMSH L199_006143 MSAIASSSSSAPQPNPGGIITQPPPPSTLRESRIATHSHIKGLG LADDGTAMDFSQGFIGQNLAREALGLHLSLLKLGRHSGRPLLLVGPPGTGKTALALAL SQELGSKVPFCAMVGSEVYSGEVKKTEVLGSCFRRAIGLRIKETKEVYEGEVTELTPS EAENPLSGYGKTISHVIVGLKTVKGTKQLRLDPSVYEAIQKERVVIGDVIYIEANTGA VKRVGRSDAYASEYDLEAEEYVPLPKGDVHKRKELVQDVTLNDLDMANAKPQGGQDIM SVMGQLVKGGRTEVTDKLRKEINKVVDKYIEQGVAELVPGVLFIDEVHMLDMECFTYL NRALESPLSPYVVLASNRGICTIRGTEYDGILGSSSEGIRSPHGIPIDLLDRCMIVKT SLYKKEEIKRILEMRCKIENIQITGEALDKLAEQGEKTSLRFVLQLLTPSNILNKTKT GATGGGVSMEDIEELNDLFLDAKRSTGILKDLEDLENKY L199_006144 MPTRIPKFTHLTSVKSVQARIPIRTPAILASQPTSSTSLYPISQ RNSFRPVQLRQIHTTLPSTYSSKDTPPRSNPQNPKSNNSHAPIEGATDLSHAHFISSA SPSSDFNPSQTPTAGQDPTSSTTEDVNLNLSSTSSPPAPTNGQELKEWQGKLSPTSSH LFKLLIPLPTTSGPRQTAFLIHPSQPLSHLSRLIIGSLPGKYVNSEITYLSVTGEAKD LDSHLRNAASEEQRERQDGGPYLDQRQSDGDKFQEVSWSQSTDLSDFIKQSCLNEKFK IVISPATSHSFNESNNPVERESKEEGQGQGELVLEVIIPSFSSRTHYIRRRLLSLTKE LDRMTKQKKDIDYKAHKGAQRLAVAALGGGVVYWAAVIRWTFFTDAGWDLMEPVTWAT GFAALLGSAAFLIYHNREVSYSSLLDLSITARQRKLYDQAGLDIDKWTEMVSEAKTLR REITRIASDYDIEWKGELESENVNKTEKATKTIRDKREKASNDSPTSTTTSTENEQTE EEEEKQEKIDIDATIQEASELAEQSEEKRSKEQSAQRKGKVIDTPENKKDRGTNARKG GEGKSDSEIVGEKKAKQVIDG L199_006145 MPVDSATDLRRADTLSDPYPAGPSRQSALHNSTSRQPNNSNMHK SPKTRFKPSGFSQFWQSVKTHLTPPSHPSTTSESAIGGSSFRNTTDNYYYNESVHGGG SSHLPLELLNPKAGMEGKHKRRMGNSSASRGGGGLRFRARSSQAASTSRYGDDDDLSS SKPNEPVSHIVVDANFEHFTPAMAKSDSGFSNKTPGTNTNNGGLDSKNEGDGENGGDT TPNGGQMGYDRSDIASTTRRGSRAENWVKRNTAVVWMTERFWPNVKHFLDSEFPEPSK ERSFQKETWFTQKQGAMASSVFFLINWILTVGLLPTPMSTYNYVAYISIAGLLTLPIP VLVIFDFPRRHPRIWQPWIFGACWVFAYILIIEMRLCGFFSDNNTCGTRNFLNLLGFA FGQPTLGLLTLREHRITAICGASIWLILVGSLVMSETNSPKLFFRNIVFFALFHAFLI CASFLKERGERQMFALRQQLKIQYRATQSAQVMERRAADSKKRFVSYIFHEVRVPLNT ALLAVQNLEGENVFKGLAEDQSEMVHGLMGSLTMMEKVLNDVLSFNRMESGKFAQARK PFEFHKSIQLVALSHRMQAQMAGIELEVELDKDIDKIGGVFVGDEMRLRQVASNLVSN SIKFTDKGSVRIVTKLLYPRMEPTPATEIDDPLRQAAMNLQKQQEIEHHERNERLILA SSGGMIDIEKGSVPLEIRRQSRDFEKEKEEEKKKVQKVVVRVEIHDTGVGLRKQDVID NRLFSPYVQTEIGRRQGGKGSGLGLALVRQIVKLSNGRLGVESEFGKGSMFWFELPYS LPPPPKGKTNTLSPPMVGSGPHGGFGPSAHVPTASRQQSYHRPTPSPLGHPLGSIEMT KDASTGTERPAIGTTESTLPLLPEAHGKNQTPDKSELPTEEIIMHTYPPVDPPTPEDE GMPESDTTFTDPFTSAQSASSGERRSSEWSDGTRQELQAPPIINAPPQVSIQPPPEPS PELPLCVLVVDDDKLTRMLMSRMLTRLGHQVSTAENGKIAFDMIKDSFEQKPNAPRFD VIFLDNQMPLMSGVEVAREVRDIGCPVFIVGCTGNALREDQEEYISAGADSIIPKPIH QKAIVEMIKEARKRVAGETKPKEKESDLGTGMGHDESYGSPGGPGVMV L199_006146 MAEKDLDRKASFDHVEEVDQDLKELEAQKTKQHGDAALDIIGDE RVIVTQEDNDRIRRKTDKQILTILIWVYLLQILDKLVMGYGNVFGLSEDNHLVDNQYS VAVTMNNIAQLAWQPFSTYLIVRVPARILMPCFIFGWGTAQACMAASSSFGGLAATRF LLGLFEAGCLPLFSIMTAQWYRRSEQPIRVAAWYSTNGIAQCVAALMSFGLGKINSDK IASWQLIFIVVGSITVLTAPIVYWRIDSDIAHARFLSPEDKTKAIERLRANQTGTGTN EFKWAHIWELAYDPKTYLFGGLALCLNFGATVTTSFGPTLIKNMGYDKFITALLNMPF GALQFLTIMAASYATQKFKLKGPVLAAFMAPVLVGLAMLYHANRQSVVNQKVSLAGYY LMAFLFGGNPIIVSWMVANTAGQTKKSAILAAYNAFNATGSIIGPLLFNSRDKPRYLP GLRATLGVFAAMLGLIGLCMGLIFLLNKQRERQRVAVGKPAKIKDTSMLTKYEAYGNE GGVGENALKDMTDFKNNEFVYLY L199_006147 MSPSSGVPPTPDSSSMMIRHSPRASSSRTTGNPPVSPAGSSKPS HDTPLSPGRKRRKVTRSKLGCLTCRKRRKLCDMMKPTCGACTRLQLDCNWPPEEPARP ARRRSQQSSVPSPSPSIVNPPPTIAAATTLPFAQPPESRLPFMVPPNDALSSIPATNT MDDFVGIFGTIDGNQNTATSGNVNSVNGTNILSNTIGNHQLSNNDHMESGDTSLLDWL SGGGNLDEATLQLWAADCLAVPTTQTFNAFDSLNSVLLQQTPPSHPDPVIDPTLISSN GNNAEAGPSAPRPAQSRTASRRPSHSPSYRGSDTPPINSQTDLLTYFHESLSRLVSCT GESAPSAFESFTKLANMTAGRGPAGQSLHLSILAWAARHMVNRGLAKYEAVSEKFSLQ ATTHLDNRMNQLFDKRGKEKAQNSSADGAEHHDKDTEKMTLLAAALMIMQFKICRGDV WGFNALVQHLTRLVPYVFTSEDLDSQPDSMLTSFFENLLYHDVLGSFIFTQAPMIPKS IAQKYSRAGLDTLHTLTGVSLPLFSRMHRLAELIRLRRSRRHKGWSDEHLLDVVKPAL QIEAELNEEKIRLDALVISKPHIQAHRYLHEAFRTACLLQLRCFVLCEPPSSLHIRLL VRQCLSLLEAMLDERLPGLCSAHWVIFQAALCAIPGGQEAEEMDDRDRSDRIYDDIYA EFGFRNVERSRKIVHELWKKNADGKVFVDWLDVLEENDWEIYVV L199_006148 MAARASSRVSIAWSGAEPIEDTDTLVLTIDGYSLDLRVFNSGPD EGKIDWSTVAHVGEVEGSTKENPILKWDHIIDSRPPTDMPDQGKFETLPNGDVIEIGI MHNPKTNLYEDYVETWRRMKLPAAAPYIVLASGDGGTQAYLGRVGEHALGLAKSTDGE YYAWRDTMEDGKWKRIYQFGEDIKSLLPDLPTHIPEDWSKDDNVTLEGDSKWVVKTIG KL L199_006149 MVSTTSASAPTADLSFDFGPPPPTFADKYAEREYVKERLALAYR VIAREGMCEGASGHLTSRDPVDRECFWVNPYGLHFSRMTSSDLLLVDHHGKIVAGGKP HQQRYNAAAFIIHSAIHAARPEVDSVVHTHSPYGKAFSTLGRNLPFYSQDSAVFYNDV ELYDNHNGVVLSKNESQMIIDKIGGKKALIMQNHGLLTVGGCIESAVAWFMLLENECR TILTAEAAAAMAGSKPISISNEVAEFTQREIGTEEAGRFEALPFFDLVEEECGGAHRK L199_006150 MSYETKEKDLQDYSSEPQSAVVDEVNRQGPEVDLALADDPHRGL RMRHVQLIAISGSIGSALFVSIGNPLTSAGPIGLLLGVAIWCAVVWAASNCLIEMTCL LPVDGGFIHYAGRFVDKSFGMALGWNYVITQLALICFELVSLNVIVEYWTLTLHPAII ISVGLVAFFIIQIWSVRWFGEIEFYISITKVFLMIGLTLYTFITMVGGNPLGDKYGFR YWKTPGPFAGEVNFRKVLTGIFDSLSWATFAVVGPDYISLIGGEVKNPRRILPKAFNS TIYRILCFYLTGALCVGIVSSSTDENLLGAISAGAPGAAKSPYVISMNRLGIPVLPSL VNALILISIFSTGNSFVFVASRSLFSLAHKNQAPAILKRVNRHGVPWVAVCVTLAFGC LSYLSVSSGTVKVLNWWINLVGAAQLVSWTCIGFTYLRFRSGLKAQNLLNTNYLPVKG YLQPFSGWYVVVWSPIVFFFSGYYLFYPGAFAGPDFVFAYGSIFIFLAIYLGSKIYQT VYQKDKHFVVPANEIDFVSDIDHIDALTQASEAKRASKPQTKAQKISDFFF L199_006151 MPDIEMLKDRSAEGSVSTERLPIVDISPYLDPSSSEEARKVASQ TLDKACREFGFFYVTGHGLAPEYMQELLKLGHKFFEQPQEKKDSIHIFKSMDRVRGYQ KIGENVTYAKRDQQEALDIYPEPENPSTAQLEGSQLWPSEDDLPGFKDTLLDYTEKMK KIGHAFMRAMCDALGHKEMFDQLQDDNYWVLRVIGYPPLPEEYDLDKGISCGAHTDYG CLTFLLADDTPGALQVESKDGSWIPADPVPGAYVVNIGDIIDTLTGHQYKSTYHRVIH RGSNYRVSMPFFFEPKRDQVIQTLPGMIPTGEKAVEPFTYFDHLKRMIYNHFVSNDEA LPEKPNANALTQRG L199_006152 MHTSLATFCGLITALTVSAHGTHGKVDPWNDEYANTPDLSFSGV TSFAHLPHVKCLDKPEQAFDVALLGVPFDSAVSFRPGARFGPYALRSGSRRQRPERGY TSRLKVNPYTNGLYVLDCGDVPVTPFDPETAIKQVKAGYKSVLHHPIVNEEEMKRLHM QRGLDGEYHPRIIALGGDHTIVLPILDAVSEIYGPVSVIHFDAHIDTWNPNRYLGSVS LQADVNHGTFFWHAYESGFIKANSSIHAGIRTRFSGPQDLDDDVTAGFDLIHTFDIDD HGVEWIAEKIKARIGTGPVVISLDVDVMDPSIVPATGTPESGGWTSRELRRIIHSLVG LNIVAFDVVELSPAYDTQAEISAIAAADMVYDFLSILALGVDDKSTEKPDARTVDEL L199_006153 MQDYFSLPIEPENPETEKTLLDAIMTKLDFPAEEKQEESVQWGS RWESAATAFLETMRTQSMITSSQVLTQVNKIYEQAIQGSNSNVSDHDTISENYLQEVE DARRMAEEFGSLIQASVYQASEDSPLGKAFQSYSKLKPQVDELTSQPGSNIEAEVAGS SNDVEMDDLAEILAIKFRAA L199_006154 MDLGPPISHSPANGQTTAESAPTTSVPATGPATVAHPPVQKDLP AVPPASSGPVNAHPASAPNTAGQTASGPTVDSSNTDHPAAQQILHEKPHEAAVPSVPS TETHPSDPSRGTTGPAAHAGQAQAPTASIDPNKPSSESAPTALPTNSATHPDEQKKAI QNEHPAVVHREVEKTKAEARELKGEKPKGTVVAGIEDDRLWAMLRRFDVQITHVLHPA HHLPPKEPDLRPSTLPNLPSHSDVLRSNLERVFAAVGPSSVMGLREVQRMMSWAPEER WRTGSFCAAYFICWIFGYAVAGMVSFFIAIVCFPSCRRWFFPPVAPAPFTPPSATDPT NQKGDESILGNVDGKTVHRSKAEQAEEQAFEATSILQAYTTRLLFDGRKKGKEAGNSS VGEKKRKSDTDSESDSEPDDDVPPGQPGVSREKHGKDQSQGLESGAVVVGGETVTPDK PLSEKEKKKLAQREAKRKRDEMVSKMTKATEEGLGAFADMMERMTNALSPPAPYPDSY ARFKIAGAFLVPIMFLFTFVPPWVFARSATFFFGVGFWGQPLLIRAGKEMIKYLPPNW EELIDIRNSILSGVPTDAQLTLHLLRVAEALNAPLPRPPPPPLEGTPKEAIKDTTPAT VTAEDDAEVMEAEEEGGLTEATTKAKHKTKSHILGAFKAAGKKMAAFHGDVAVDGSKK QARSEAIERGETDLTTGEKIGSKVDQLFFKGHIKDDGTPHSYPCKLDKTSGHIILENR NDIVKEPRITFVPISGKSEHFVWPVDDIVEIKKSHVSMPRMALGWASGAEIEGLGLTI RFKPRTQQIAEHVGSEKDEGTTIHLTRVGRREELFVRLVSMGVQRWEVL L199_006155 MSGNNNDNRSIDEIERALPQIQTQNWDASPNDNEKKQDAENVEQ VHAQTSDHTRFGQEPEIPKNNLWIVMPSIGLIGFIAALDQSIISTALPTIAAEFNTTP SEYSWIGTSYLLSQVMMNPVNGRLTDIVGRKPALYMAVIILLVFSALCGSAKNATWLI VSRAFAGLGGGSVVSLSLIVVSDVVPLEKRGAYQGYMGATWGVAGTLGPILGGVLTTK ASWRWCFYINIPICAVALVLLYFFLNLKRTQRSDISELRKSFDFVGLILIMAAAAMVV VGFSNAADEGFGSKTAFPIIIAGVATTALAVIHFLTTKKNAIIPARMLKTRTPLFFTF GSFFQSLMFMPANFLLPQFFQGVGGASSLKSGVDFIPFCVALTIFSIIAGEISTRFHI VRPVIWAGFVLSATGYGIWYAVLKYDVSYAAQEAVQVVVAAGLGLAISTPMLVIQASM PGKDMAASTAAWTLSRSIAACIGVAIFTAVFNTGLRSRFSKIVGYGTSFTAPTSTAGY HALHDLPEGPMKNAVMEAFANSMRVCWIIGCALSCAALGITLCTKSYSLRRSYATASS TQDITSPTDEKGQAQGEDEVGGEMIDQATEDRQNRELLRIEDGLRDTGGSLPPTRMNS RI L199_006156 MIPTPYLILSGILSTISCVTSAPASAVTDSDQLVLGTKQPRPLV IWHGLGDTALSSGISSFIEDIKSMHPGIFVHSVQIPQGGSLDDERRAGFWGNAEDQGW TGCEQIASIPELKGGFDAMGFSQGGLFMRFYAQYCNDPPVRNLITFGTPHFGISALIP CPTPPTLSCLLAARAARAGIYTPYAQSHIIQAAYFRDTERLDEFWDVNTFIRDLNGEK GLGGEDGDEDDEKGRNGSGLGVEGLDNFVAVIFDQDRTVSPAQSSHFATYSPLNKTVI IPMTDQPMYIGDWIGLKSLDEKGGLTLEHCPGEHMDLGGEGGCGERMVRDWVGWKK L199_006157 MASPAAAQTTSKFQAFMNHPAGPKTIFFWAPMAKWGLVAAGLKD LSRPADKLSVSQNVALAATGFIWVRYSFVITPVNYSLAAVNFFVGSTGVAQLYRIWDF NRNKPLTASVTPSA L199_006158 METCDITPFSTHQTTYNQSTRRGKSLINKITSELISESSLDLSA LGPSDDATVTNTLARSSDTYHRKINGSNQVGSEKQAKGRKRNNEPLFGVSSYHNQVSR NTLTTQQRVEQMTRYDQSAVGQGSTSVAVPQSQLQAQPQSQVYNPFGHAGSLDTPQSQ GVIPLSEYDSNFSTMSYMNIRPMRDYTETYKSCDTATQAWLEHLSLKMSKFHYPTLVA FENDEKVFRQGLHSIFSERSTIIQDLYGDTGVDAYSNGKVLMSQILSRAKEFRAYEDQ QKSCYQQQQQGYGGFVYTGEERSNDDTTTTIHAGEVYDPVRD L199_006159 MDVITLSSGYEATYDASEPGGRNKLSRYVSTLQKVLDNSLDNIN TLYTTGYYEGASAAKILHENNDDFHYHFKNVDSSAVVTLTRQLVEGAFLRLKAQSHKK SKSQASAGARAQGESSTLTAQGTAGHFLEPSVPYTSEPFDFRPGQAQAWPQGSLDPRH HTPQQGYYGSQPSGTGLPPQPPTGYPPTQPGSNNYSYGEGSLLPILPPDYNQMPPPPS GQPGGYPYQHQQQDYSGYYHDYGHGHGSGYDPSRSRQ L199_006160 MPTPNSSSRVNMAPRSTPPPPYRASSPSEPLHSTHTDPSSSSSM RRTSSSSSSAHPSSAYPTPDLSTAPTTPSQSTPAIGTRSWRPTANVPSHVHRRHRRVG SDDEEANRWLSEDEGVGSSSSTRRRRRSMGPRNEDRDSGGGSDGGGAGPSTLMNRKIT NTEEALDAINRSKRSLANRPQPLRMASFDSHPTRSAPSPSDARQSQSAAAVGSDPPQI AQLIPPPISSETGVGLGIRSNDAGPIPIIPTSQLPLDPNGGIIPLISSAGAVPDFASG RGGDGIGGLNLPVATGVAEDIESAASRLEKRRDMVDKLSRILGCALCPSVDGHNPCLH HPITLPCGHTLSSNHIFIPSPPPLHFTNEPPHEIFAAQQRQHQQRLAIWANVMCPIPT CKRFSPTASASSVMTNMELPGSANAEQDCQGGSDAQRNVMSASGVHYYPPAPTPSTMP APPPAYSSEAPAAATASPLLDVTVDKILAIVQKEKVRHENQAVRIVGEEDTDVESSDS SDNEAESSFLPPNTSHSSLTDDFSHLNHSSSSISLNRTGSKRRRGDRSERTTRRLLPR SSLGQNNQSQNQDNFEKELLATLECDVCAMLLYNPVTTPCQHSFCSKCLSRSLDHSSR CPVCRQDLPSFAFFQDHAVNKVILTIIKTSFPEEYVERQQAIERDERDARLNTPIFVC TLAFPGMPTILHVFEPRYRLMIRRCIESTSPRFGMVLPARGTGSPQLQGLMEYGTMLE IQSVQMLPDGRSMVETVGTHRFKLSEKGNLDGYTVGRIERIDDVSPEEEIAMEREAVL ARANATMSRTKPAGPSGSTSSPVIASNTTPADPSSGPGSVSASTTGIQSGVPATASAL PTPAVFGGIPTFGAGPGSVDFAALAASSAQSQTQTATSVDDTPETTEELMAICQAFID QLRSGSAPWLLQRLNNTYGSMPTDPSEFSYWMALVMPIDEYEKARLLPIRSPRLRLKL IVHWVESLRSSWW L199_006161 MFRHLPKAARSTAVGSVSVRATARGRYFTSSAASSRQRTSLVVV AAAAAGIAITYKTFFIPSIKADEGTEKYPGTKQPSGQKLISFEEVQRHTKHDDCWVII DGNVYDVTDFLEQHPGGAEIILANAGKDATKIFKPLHPPDALDTLEPSQHLGPVDPLS MPEPTEEEPTEEELRIEEARKKLPPVDSMLLVNDFEDWAEQVLSGTAWNYYKSAADRE FTAAENQDAFQRYYFRPRILRDATNGSINTEFVGMKTALPVFISPAAMAKLGHPLGEV NLTKGAGKCGIVQGISINASCSLDEIMSAREQNQQVMFQIYLNKDRKASEALLKKVTE LGASAIIFTVDTPWRSKRTRDVRAKTAVAPPPSSDGQQKSKAPLGVSQAISGYQDTNL TWKDIEFIRKNTNLPIIVKGIQSMEDAELCVQHGVQGIILSNHGGRQCDFAPAPIDLL YEMRINKPELFDKIDVMMDGGVRSGADVVKALALGAKAVGLGRSFLYANGTHGEDGVV RLCEILAEEITNTMRNIGANNISDLKPESVGPAGPWVYGNAPPWGGKNKA L199_006162 MRSLISISAILLACSAVYAESATYKIHHRFLSHPSPSSPTPFQH FGNLLVQDTLTTLEDGPAGSDQQTDDGKGWYQVGIQLDQYDTQEEWLIGSTKACYLST SSPKIQIHLTTSSIPSSISIIPHPSSISYCSSTSNSTISVKLPAGLNEVTFDLIKDIH KTYSPSLAPPPTVDPTTGSPAPPEVEKTFFQKYWMYIVGIALFFAIQMGPDEPRGGAA AK L199_006163 MSAPPKLKSALKKTSSSSNPAQASSSKAKAGPSTTTSNSKGKSK GSVTLATKPTRFKGEDLESESEGNVSGFEDEDVEMDTDEEIERSKEGKEGKKSTKRKR STTTATEFGSTLTTLLADPLTQKSKSKKAKTISDTAGETKEKQREIKTVQQQQPILAL SAHKPPAKSSVSLEAKARRQLKAEKEEKQDKARVKDIVEGWSTGDGVMGGMEFEKSLR KTAQRGVIKLFNAILLASKNSEAAMTSLSAQAKLKPEVGKKKEKDNILGRGGNKEDVL TKESFLDMVRKGSTR L199_006164 MIAPLGRPLAFILANLALYPEWQDELYNELKDVCGDEEPNYRNM NQLPLCLAFALESLRQHDVARLIFKYATEDVLVPYSTWDKDGSNVIQRQHLMKKGSLV GLDLPACQKNPYHWIETDKFIPRRHLSGKEGGGEDVPYLGFSLGTRACIGKRFAEVEM VSFMARIIPRYRIKIKKETEGEEESWEQSRKRMIDTAYEDLTLTPGKFDLILENRG L199_006165 MADLPSTQDLMPVLADDLMRDRARQFVEFLDDDTQANYNYRESI KRMLDLEQVRLIVNLDDLRDYERTYADGLLLQPTEYLPALDAALMQLVQSLHDPTKHK ITGNEYYVGLRGSFGQQHCNPRTLRSHQIGKMVSLEGIVTRCSLVRPKMLKSIHFCPP TGKFHSRSYHDATIIAPSSTMTGSTTVIPQDDGAGHPLLMEYGLSTFRDHQTISIQEM PERAPAGQLPRSVEVVLADDLVDCCKPGDRIQLVGVYKSSGGGAGARGFQTSIIANNI ILLSSKQGGGIAQTPLTDTDIRNINKLSKRKNIFELLSQSLAPSIYGSDYIKSAVLLL LLGGEEKNLKNGAHIRGDINILMVGDPSTAKSQMLRFVLNTAPLAIATTGRGSSGVGL TAAVTTDKDTGERRLEAGAMVLADRGVVCIDEFDKMSDVDRVAIHEVMEQQTVTIAKA GIHTSLNARCSVVAAANPIYGQYDVHKDPHRNIALPDSLLSRFDLLFVVTDDTDEQRD RMISEHVLRMHRYLQPGVEEGAPAIENISQNLDVGGDEAESRITETPVFEKFNPLLHG GVTTSSGRGANKKKEVLSIAFVKKYIQYSKSRCHPTLTKGAADWIVSVYSALRNDDLA GNQKRTSPLTARTLETLIRLSTAHAKARLSSTVDERDAMAAEEILRFALFKEVLKPER RKRRKLNAGVSVDDSDEEDEQEDAEGEEEAATGGVTESQRERAREKNRRMEGGPPLRG ASTPTPRTPRATRAAAAAAGGAAGQGEDEDDVEMGEAEASLGLAPPDAEGEGGIEETD AEINPRRLDLFRNRLSTIFEDQLDADGMIDFTELVPKINEGLSTEEMFGNREARTAVQ KMNDGNEIMFADGTVYKV L199_006166 MSDANAIKAEANKAFSAKDYVTAAKLYSDAIEIDGGNHVLYSNR SASKAGQRDYKGALEDAEKCIQLSSSFSKGYARKGAALHGLRQFPEAVMAYEEGLQVD PNSDILKKGLADVKRAMDSDAQSPFGPGGDMGLGKIFNDPSMVSKLENHPKTKDFMKD PSFRSSVARLQASGGKDMGALMGDPRMLTVLGVMMGIDIDAMERPEGSNDTPPGMQPF PSSQQPEASSSKPTPPPAAEKKPEPAPAPAPAKEEPMEVEEENPDAAAQKEAAELKTK GNASYKARKFDEAIELYSKAWEVYPKDVTFLTNLSAVYFEKGEYEKCIETCEKAVEEG RDLRADYKVFAKAYGRIGSAYSKLGDLANAIKSYSKSLTEHRTPDVLTKLREAEKAKA EADKQAYINPELADAARAEGNEAFKAGDYAGAVKHYTEAVKRLPTDPRAYNNRASCYQ KLMALPEALKDAEQAIKIDGTFIKAYIRKALVQEAMKELNGALETLQQATEADTEKKH TRELETNLTRILQQIQSQRSTETDEETYERAMRDPAVQEIMSDPIMRQILSDAQQNPK ALQDHMKNPMIAQKIQKLINAGIIRTR L199_006167 MSAPTYEALKLPSFVETVLVTGAGGFVGQKLVLLLLKLYPNLRI IATDIVEPPNHGITDTKRLRNVKADLGKPEELKGVFDGEKVGGVFALHGIMSGHPRPI YVFASSLAVYGAVTPQSVVDPKTKPVVPESSYGVQKHCIEMIVYDYGRKGYLDTRTVR LVTVTVRPGAPSSAASSYISGMIREPLQGQESLCPIADSMDDPALDYYLTWVGRTKTV IRNILWAAVVEEKQLKEVSQERIVNLPGIQITPRQIIETLIKYGGKDKLNLIRFKKDP AVIRICDTWAGAFDNSDALKLGFEVDDSKTGYEGAVQDFIEEELGGKSYFEKRSDHPQ PTSTSVNRCSLYEALKLPSFVETVLVTGAGGFVGQKLVLLLLKLYPNLRIIATDIVEP PNHGITDTKRLRNVKADLGKPEELKGVFDGEKVGGVFALHGIMSGGSEANFPLGYAVN VDSHRALLDATHKHAEANFPQGHPRPIYVFASSLAVYGAVTPQSVVDPKTKPVVPESS YGVQKHCIEMIVYDYGRKGYLDTRTVRLVTVTVRPGAPSSAASSYISGMIREPLQGQE SLCPIADSMDDPALDYYLTWVGRTKTVIRNILWAAVVEEKQLKEVSQERIVNLPGIQI TPRQIIETLIKYGGKDKLNLIRFKKDPAVIRICDTWAGAFDNSDALKLGFEVDDSKTG YEGAVQDFIEEELGGKVA L199_006168 MSPVAAPSLTPLPFSPLSDQYTALAKAGSNVEAKAAADAIALSL KKAPRSLDALQDGKVVDVVLLWISSKSGYERESAPVLVERICKSLGTGIEGVFLPLIP SLLNLAMDKGQPVRTAVNSAMNALIKASAPEGSRMILDVLCKALEDSKGWRSKVAALK AIENLIKPGADDYIAQELGHVIPFVEHAMHDTKSEVSAAAQKTATTLCGILPNPDVLK HINLLVSAMASPAAVPGTIKGLSSTTFVAEVNAPTLAVMVPLLSRALKERSTDVQRMT CIVIGNLVKLVRDPTVAARYLGPLFGGVEQLAKGAAFPEIRAFAQTALDILIGAGASA NATPLPPRDIILAVTEALTIMAPHLDVQGLPAHPSLPLSSSMPSSPVLAHAIEYQANV VADLVDMRRWDASTWETKGLGSFMKLLLGAEQGAEATQAIRKAFMDIDKAKYAPAEED DGSEGQLLCDIQFSLAYGGLLLLNHTNLKLRRGRRYGICAANGAGKSTLMKAIRDGKV EGFPPQDELRTIMVEHALQGEDTSIAILDFISADPKLAKKTKDEISAMLLSVGFSDEK QRDPVASLSGGWKMKLELARAMLIGADILLLDEPTNHLDVQTVAWLEDYVCNLPDITC MIVSHDSGFLDNVCTDIIHYEEKKLVYYPGNLSKFVERVPSAKSYYTLAATSIKFSFP PPGSLMGVRSNTRAIMKLANCTFTYPGAARPSLKNVSCSLSLSSRVGIVGPNGAGKST LIKLLTGETVPQEGSVHKHPALRVGYVAQHAFHHINQHLDKTAVQYIQWRYQDGHDRE MLEKATRVFTPEDLEMMERPIEGKDGSLRKIEMILGRSKLKKTFQYEVKFKGMTHKYN AWLPRDLLIEKGFQKLVGQFDDMESSREGAGQRDTAASAVREVLEAVGLDGDIAQYNE MSGLSGGQKVKVVIAASMFNRPQCLFLDEPTNFLDREALGGLAVAIKEWGGAVCIISH SQEFVSALCPEIWHVDNGELTHKGKVAIVEDAFDNPSNPTSRATSKAGTPRVAGSATP TTGANTPAGSAAPSGTDEIVDGVAKIMMKKKKKKMTRNELKAQEERRRLRKLNWLTYG GEREPDTDDE L199_006169 MSTPAQSTTPPGQLAAESSSASSSSWYSGNLLPVSPFDLPETRD LVSQKIASGEITRKDVEIMEKGYRWMTYTPPATAVSFSYLIWQLMKKQYPRPHIATRL VWGGLAGVAGGLIGFGAAGLAASMEVNDKIEDGDRKVQVFNLITEHARQIQEAKHNPV LVPAPVQAPASTTAMKQARAQSNLPRDFEFPPQRQAAESLEYSKGSIRLAQEGQSIWG KMKGWIPGFGGGKE L199_006170 MSHYQQQAQTSSYSDDESKDISPQPNNHDLEYQPEQHVFRDITK VEDVDFSAYNFTREEEKKVVRKLDLHILPFIWAGYLFNSLDRNNLSNAKSDGMTTDLH FPNEGYGIMISINNIPWVLCVVPMVILSRKIGPRWTIPGYMIAWGTMVLINAAVKNFA GTLVTRFLLGVFEAGFAPTLIYYLTGWYTRGELAKRVAVFYSCNALSGAFSGLIAYGV FQMDSKLHGWQILFLIEGAFTVAFAILAGIMLPWSIDGASFLGEREKEVAKLRILKDS SGTTESKFDRKTFFAPLKDWRLYVFATIATLYSTAASVAGNFLTQIVGRFGYSVVKTN LYTVAPFIFGTFMMLATAVSSDHFRERGFHLVSAYTLVFIGCVILAATPVSNHAVGYF AVFLITGGAQTPSVIFHSWHQSNDASEDGRAFKVAFLSTFANSGGFVSANIFLDKWSP GYRIPLIIVACINATGILVATSFRLYMAYENRRRNKAQGVNWTSKDVPTEALKAGPKN PLFRYFL L199_006171 MFKNLPTFKSLPQAIGLPFLSTQEDAQLKFKSSPGGIKRLYSDE VIPSSDVLYWSQYYSLFNSSADVYSLISVQDVRQTLTNQPTNLSTLILTLSHHLFTLL PSPDFPHSPSPQQDLSKEALNCLRVLGRLLVVIYEYEADLKEKVDHGIIPQNEVDEQS FAKKWLYSRSLIEKRQDGEEDGPIKQNEEENDQFKIEDSDSEAEEDQDDEDEGVRAFK ATVGHPAPAPSISTTKKEEGITDDPLTQSQTDEAQKEAEEEKEYMPCLIERLFSCTID LLFCAGFTVPDSVRGPNVTEKINYVIWEKGVGSTVNVGSTAELDRNKTEVLRFLLILL STTIYTPPHALFTTPNLPLEILTHSLERRLVLSLLCSFLNTSLTPLKASFGISGQIPY NHLISKAAEERRTLVRASLMVLLVALDHRVENQTSTGSVMGEGKEENAFRYFISKLHR KEDFSFLLDGIIGILQEHNAVTNGYLPGSKRPIPYILETYILLWRLVDLNKRFRQYLL DSGKALDVVCYILVTSLDLKDDPAHHGLLRLLSYLLQTLSADKAFAVSLNQTIRMAIP SKWAVAGTAADFLIVSIYSIATTPGLNPLFPALTISISNIAPYLVNIGVQASTRLLQL FKAFSAPNFLLADEGHPRLVYYLLETFNSILYFQLNENPNLVYAILRSHQDFQTLATF TLVSGLRDIQRRKALRAAAAERNANARGGLNRTPSETDMLAEKAALLGRDPEGDHDNE NGERDLSSPSSQRRVLSPPPTIPEEIPSLTQPLTTPGPNEVSDPLAQPPSPPVSDLPS PPPSTQNGLSEKQRGKLRSVDNDQSPTDGSNGRAQPEIPDEELMRVAQAGVGPNGYVP TQEWVSSWQKGLPLDPVLVAISELLPKIQENQQLTGAPSSKVFNILKGVSLSEVLPPS PPIVPRRFQWSSASCVWLTSLLWGDIYVAGLTSDGVWRDTTVRLFGVKQAPVKGRSAQ VGRFLKTIGVV L199_006172 MSDTDEQQLWDIPQPLPTVTIDDEEQQDSFWTGGGPDDSFVQGS SEQQSTFEIDSPTPVRTVPRMLPTGRTSPYFQQIGHSSGNINRTEIIPTNPSPVATQS QHRPSMSHHHEAAITATSMNEPAPQYTPPPIESIDDDEPVATAEVIKQREANQNTGIE EVPVSRLINDQHRQCFKFYFFNKVQSAVFDDAYKESENLVVSAPTGSGKTTIFELAFL QTQTVITTKDSTPLSIYIAPTKALCSERQKDWQARMHNLLNIECTQITGDTGDFDTAC RLIRKSDLVVFTPEKLDSITRHERWAKKDFYNRLKLIMIDEVHILREDRGATLEVIIA RIRQNTAEVRIVALSATIPNIDDIARWIGQREPDNPYELSSGVYRGEEDEEHFLEKKV IRMPKAKVYQFGEEYRPVQLMRKVYGIECSSEWILDTKLDAALFPILARHAQGKPVLV FCATRKACQKTAELIFNLYQESQAKSLVLPWQPPHLTDPEKIVLQDSKLSEYASCGIA VHHAGLSYPDRRTIEDAFIKGKLLMIVSTSTLAVGVNLPAHTVIIRGTTAWHGAVTGF KEYSDIDIQQMMGRAGRPQFDKSGTVIVICEQSKVKKYESMLYSSTLLESTLHLNLKE HLNSEIALGTIDSLATAQAWLKKSFLYIRLQQNPSYYSAILENEMAKSDDDTWDEYAT HYLQEAISKLVHAGFVEQTAKADPGEFKLQASPSGQIMRRSMIYHQLITNASQMLEIM SIEEDATLRDLLEIVARAQEFRDLRIRPGDAKFLNQLRVHSDTRFQIHRNVKDYSDKV FLLMQAQFGNIALDLEKKTEATSPLQTQMLIFTHAQRIAAAIIQIAFQRGYGGPLRAA IELYRTIAAKAWEDTAVVFRQIDQIGPISIRILEHEGIRTFEQFLAADQSWLQAKLNK LYKPVREMKEKVKSMPRFHLTIEFGEIQSGKPPTLMLKVDIQPLTENMAEGFQRKHGR KSNSGNWNFSMLFLRDDDSHVMYRKRSLKQLIASKNKSVEVGVPLDRRCEKVICYYGV DEVAGCSGTVEYITNLTDDDYPKSVIDPPIKVSEDVELLKEQSIGTLLPKTEDAPEQE EVKLDGVSIKPKSSRGQDTKPFGATESPIPKVEKPDPHRHREDLPDEAYEMITEDVSS DNEDTKPADIKHFPPPSDPTLDWDVNDMFADVNFVSDSSSAPQSVYSKEDVGDGNGMD MEYKFVDHSPNLGTTDYDQNYPVTRTNFDYRLGDYDTMDQTEQNGHKRVMEDYPTEDT FDPTDPLPPLAKRPKTVTFSGTDDYRLYRPADPAGQEYAADAGDYQGEDIWPESQFEE GADEEYDELM L199_006173 MDFSQFNGAEQAHMTKVIEKKQMQDFMKLYSGLVERCFNACAQD FTSKALTTNESTCVQNCTDKFLKHSERVGARFAEHNAEQMQGK L199_006174 MSLPHQINPRISPPGADEDPTELSLMLSGQPYHSWNPYIDRIRD AQARKVWEINQVVDMMERMEKMREFLYMGKEVWIVQGFFCEYGFNITIGDEVFIGANC TLLDVCPITIGSRTMLGPNVQILTPSHPISPEERSGLKGREWAKPVKVGNDCWIGAGV TICPGVTVGDGCTIGAASVVTRDVPPRSVVVGNPGRVIKRILGDGTVEDVRM L199_006175 MSQNKVQDWDFEKFPIDLKKYKPFPLDPTKDKKLTQEQKDGLIA NISLLRDVVVFFTATGAARGLAGHTGGAFDTIPEVVILLSFLLGDTDKSKYVDILFDE AGHRVATQYLLSVLDGQLPVEQLLHYREAYSHLPGHPELGFTPGVKFSSGRLGHIWPL VNGVALAEKDKTVFMLGSDGSQQEGDDAEAARLAVAQNLNVKLFIDDNDVTIAGHPSE YLKGYTVAKTLEGHGLKVVEANGEDLDSLYPAIVEILNHKGPAAVVVHRPMAPKIKGI EGSSHAHDAIKVEPAIEYLDPRHPKCANILRAIQPSTYSDILSGSTKEKGACRVEFGE AVSRVLDKTSKDENKSKVLVIDSDLEGSTGLNVIHKKHPEVFLSSGIMERGNFSAAAG WGAFKADRYGVFSTFSAFSEMIISELTMARLNFANVLTHFSHSGVDEMADNTCHFGIN NFFLDNGLEDAYETRLYFPADCSQMDAIIDKVFYEKGLRFVFSTRSKVPWILKEDGSR FFEDSSYKFVPGKDEIIRKGTKGYVVSYGDILHRALDAVDRLRKEGLDIGLINKSTLN VVDEDVIKEIGKTEFVLVAESLNQKTGLGSKFGTWLLERDLRPRYGYMGTNKEGCGGL GEQIGHQGLDSASIALKIKQLIK L199_006176 MPPRPKQRVVSTSSTGSAGSNGSSRPDPSTPISQIELLYNTAVQ SFVRRDHVKTQAVLSRLLSLLDDQQKQNNARGKGKSTAWYDLDLDGAVDGEEMEQVDV DEWMIKTLKLSISSLTSLYTDPPTKTTTLPKQITNLLPPTTPDKLLDHLLQLCKEHLS VEILPPQIISTLLLASLKLRPSPPSLDFAHRLSEDWLKALPDQFIAAISPHIGDRAKD AKKRKKVESAREGYMKVTELFVGEVLSREGEFEMARGFLDGENILGSKRKEALYKHLR TVQTTPHNQIPTPSPSSSLVLPSSSSSLRSRSGSSSTTSSSSSERTARPNQVQQLGLQ SQNNPFLSRDKGKGKIAAKELDNVTGMNEDKVATSSASPSASGSGSKSSILNNNPTSE SSTSRSKLSRERDISVNSRIHQLILSIFPSSISQRLDSLLGNNLSYFISIPIPPIIIL TLIIRLRRRNQRNRNPSLLPTTQNGLEDVRTRLRLARIRQRGWWGWVMYYLNWWINKF GGVWKLGTTITYL L199_006177 MPPRTPTGSSGSITSQTTNSTPAGPTLKNLLKSAEVLLKPPTPL SSNPTELIQRLKACSKSLPKEWRKSTPEWCSEDEQERSTDFDQTDEDLKKRRRDELVF VVGKRCFAVIKSIQSILEKEFWPKELRDAEGSGGLNERDFLLGTADLRLIRLMLSHTT FSYLLPLASHYADSLPTILPKTAESLAQALESLLRLLKTSVLPTPDAGPSSRAPTPPT TITQTLLSSHLIPIFLSTLIIAYTPSIPSETYANLRSEFIKALMSLSPGHAISTLVNV LKLLVQGSKEGVKPNGWVRIWPKYPKEIINGLLTAQVRRPGGVRGLMENVLGETAKTD DVTSIEGQRLDHIFNVLIRTPRQVTPEIYYPWLLSELFAMIPLTSHSHLPVAYVNTAC YCIQRLWASNRPLIGDWLKNKLHSPWYPKLPAIKDKQVVTTWEAIQRSVQNMRLLLLH NPTSPEFADFLVGFILPPLFSLHTFLQRERNEHQHIGEKSSESSLGEGVQSLLISWGK SVSEEAGVKGIWAIAENGSGWGRESIDGDGVRLQWETEGEGVKLVASQATADESEIML PAINSAQMTKEDDILTQFLSRQHTYPNSQLLCQLIESLDRPDIASEVILKSLDSWRIK LATETEPSIDALSNLQLTIQMMEKLGSQLFAKPSQVLGFVARVLNDQVQSLDDEHVET GSEKPLIVEVKGEEIVDDDVAPDGKRGLIEVACQLLASLEGEGQLKDDLAILHPILSH LDVLSLRSSSVSIRNAAREAQLLLSHRQSSPQSQAVDPKQASLEKYNQATTLINDVTL PVKAHGLTMLKDLVFSPSFDNSLSPNILDIYVKHLDDKDSFIYLTAIKGLSGMVDALG REVFTALIGKYTAELENLKVAGKKDQKDLMERALRLAEAIDQVVERTGDALGQYANDI VPPLMTIYPTTSLPTVIRSSALSILSTCARVSPLTILPWASELAEGTLDLVQIESVAS SPFRPQAQQPDRDFDLPKLQWGKPKLIQLVDDEPNPEPEESIPTEPVKARIVDEEPTK KEDGKHPGLRRAALSVFNWSIRVILFVRFMNSAEKNDQISGSVGIDIKVSTYPPIDLR LSSDREKEGGEEDGFSNAFIERAINIIGYVQKFDDDEVVRQHAESAVKDLWLLKNGGI DIDSTQNEGGIKGLEGLKLLSIK L199_006178 MAEPKSSGAQTPSELTKEDAFLDTVNAVPEGGLSTIEEQQRQRT LFSYSEGQKILRKVDLRLMPFLLLTYILRGMDGGAISYVKTMNKGSPTNILNQLHMSS NQYSYASTTFTLFYALGELPSNLLFKRTTPRWHYMRIVALWSIAAICHAAAFNAAGLL TARAFLGLFEAGMAPGAYLHLTYYYRPDEIGPRIAAISAMFNFCNIFVALETYGLSYI DGHGKLGGWQWTYIINGLLGLVLLAILYFWMPDFPEDCKWLTPQEQQWIVGRLPAGSS RSNDKNFDFKELKDAFKDPINLTFATMIVIYNTGNLGMTFWLPTIISNLGFSSTASAQ LLNIPPAALYWIGGMGGNMLADRLTMIPRPLYLLTTLSIYTAGMFCLAYVRSIGALYA IICVMQVFASASYQSFIPWRCQSLKGTTDAAFAMAWLTGAGQVAGLWSAQIFRSQYGP RYSIPFIVCAALTIGAMGMVLVNWFMTYTSEKETRRIMALRRKVGKERDEVLQDDVDL GAEVAQRNNRPAGVSA L199_006179 MTNPFLFDLVIKNGIVVTAAEQVACDIGVKDGVVVCLGKDLPYT SDCEVIDAEGGFVTPGGVDSHVHIGQSASGAKSADNWTTGTRGAISGGTTTVIAFAVQ AKGKQVMPAIEAYYELSKDKTYTDYSFHAIISDPTEDVVKTEIPKMIDFGVTSVKIYM TYPLLKLNDRQVLDILCAARRTGVTTMVHAENADIIDWMTENLIERGMVEPWHHGTSR PPLVETEATNRAICLSEVVDAPMLIVHVSSREATAHIRKAQTRGLPIYSETCPHYALL TAEKMQAPGFEGAKCVCAPPLRSDPKEREVIWEGLANGTFTVFSSDHAPTNYYDAQGK QLGLKDPVKNPRGHFKHIPNGLPGVCTRTPLLWSEGVLKGRISPQKFVELNSTNAAKL YGLYPKKGTIAPGSDADFVIWRSESARKPVTIKQTDLHHGADYIPYEGMEILDWPKTV ILRGKVSYDGETNTVLNQVGDGQYLKRGFSALPGPRGHSAAWINGFNPHE L199_006180 MPDVEPIVIIGAGVIGLTTAVRLIESPLYATSKHPIHILANHLP NDPLDPYYASTIAGAHHLSFADDNDTRQRRYDTRTFQVMYDEWKKEGEKTGLMLLKQT EYYVGTDSHLKVYEGHPDFTILDKSTYPTSIDHSISFTSLTMTPSVYLNRLLKRLEGK IQIHRYHLPSLSHLKHPSCTALIRSTSPLAVFVCTGIGALTLGGVEDTDVYPTRGQVI KLRAPWMRSGWTRQVGSLDGGEGGERTYIIPRYNGEVIIGGTREQNDWYPYPREETTE DILKRAQEICPDLVPPYTKGKEDHDESPLKELVEGVLVGFRPSREGGIRVDIGDDLYL GNDKVKVVYNYGHGGAGWQSCWGTAEDAVEQLIKSL L199_006181 MPDNYLNNPIDPSLPAHAHVSPTNFALHTLLNSSNTQIPIAGPS YSNPISHPPRPAAPIDEGGGRPARSRKNRPCDACRRRKTRCLITAIGPPCSLCADAKR ECTFNFAPPARRPRQSIQPASSENETGEAEMEIDGDAGPSTPSVINGKRTRTPDSVDR IGGNWRRRRETSPPDTTSVQTSRRNSNLTQLADTASSFDHLAGSGFEPHEAEKPTDRP HVKQISSDPKRPIFVVMQPRHENFRSGGAGINGLTNLRTLISHQPTSFSEPSLISAYT THVHPARPILPKGKISRFPPNLLAAILASSFAHAKDTRPLAGLAANLLQSASEGTGES NLVTVITNIMMIGVRPGATNQGSYLLLAHTIALAQLLGLHLDPSSWSIPAWEQDLRIR LWWMLRIHDAWMSFLNSRPSHVQADNSTAPLPQLASLLESSCAFSSASSDSAKSFIAS CRLARLVSRLQSEVCTLGAVADRSETERREEVEDILHAADGLLKDWKTSLVSSTTRPP GVNILEPFTEFVIFITSLNEGDLDGYFLSFRFVLGSQTEPNVTALNLIARLIRSLHHY QTNYAWDIAGPALRRAATMGDRLRKLEEHVELSEALRGSSSSTPGDLNLDASLPPPVA PIASGDVAVEPQLLWDWTGAEIDFDQLLGLSSTAP L199_006182 MFTKHESLSILVVNPNSSSSITHAIERSLRPHVPSNTIVEFFNP STGPAGISDEATARSSCEACMTELPPILHKYDGVLVACFSEHPLITELRIYASEKGIL LSVLGIYHAGVATAMLQTTGKFGIIATGTGIKTNLIEATAKFLGSTDSNRFAGPFTTG LSVVELQEGDQAKVERNMKATTKGLVKAGAEVIVLGCGGMCGMEPWIREAAYGEGKEI KVVDGARMGLQMIVALIRGQ L199_006183 MGRPLKVAAAQVGAVHRTTSRAEVLDRLVKLLEDASTQDVKLIV FPETTFTTFFPRYYITDDEELASYYEYETAETGPVTQTKNVKAFFHRAKELGIDVQIG YGEDTGKGRYNTAVYVSGQTGDVLNKYRKVHLPGSTEPFDLDPNTTNQLEKRYFLPGN YGFQAFRASSLNETCGGSSPIVGQLICNDRRWAEGWRCYGLQGVEILCCGYNTTAYAP QLWGGDQNISREKAYEEAMFHHKLVVQAHAYTNSMFCITAARAGNDDDRHPLIPGSMI VDPEGHIVAESKTEEDELVVATIDLDDCKQGKERTFNLGKHRRPEMYGRLAEEGGVKP PPDV L199_006184 MAPIALSDVPPAVDPFHQTTSDLSKNPLERTWRGNKEGTLRIQG YPEFMYAEDEEGLLKKRQWVKEHLAAAFRFWGKLGYGEGISGHITVRDPILRDHYWMN PFGVHFSAMSVSKLVLVTPEGYVHTTLGAQRPINMAGFHIHSAIHKARPEVEAAGHCH SLHGKAWSAFGRPVDITTQDSCLFYDNQAVYHNFGGIVLAAQEGENIARALGPRNKCA ILQNHGLLTLGNTVDECAYLFSALDKQCKVQLMLEAAEGSGIKKTLIDPEDAVFTANT IQYHENTYYNFNPEFELIVEREPDVLL L199_006186 MANENSGRPYESSQAEPSAASSVTVLTPTSKCGQPERPATRKGI FEEAGTIPLADAGYHYLGVCYENLTVIGAGKLTREVESTDKSLFQMWNFPSFVMKLFN IKTGSTRELISGFYGVVPAGQTMLVLGRPGSGCSTFLRALANETSPFVRVEGDVKYST IDSHEARKFYGGEIIFNNEEDIHEPTLSVGQTLEVAQKLKHPKKMYDPKDPATYAKDQ TDRLLRMFGMPHVLDTMVGNDYIRGVSGGERKRVSLSEVFATNAAVLCWDNCIRGLDS AIAVHFLRCLKEISRATGMTNVSHSAAMSASRDMKPDVQKFLTDRIDRKRPIFSGIYT GLINFVSQYQASEEVYHTCFDRVTVIYSGRMVFAGLVEDAEPFFIRQGWEKKPRQTTP DFLTACTSVNERRIRHDYDGPGVPQTPEEMDAYFKASPEYQKLLNDMRDYRAEHSSNK HADEFRAAVKHSKHRGTGKKNSYKVNFARQSVILIKRQANLVRSTPKDFIIKLGSNLL QAVVVGSIFYKPAANASGSFAVAGGLFFTILYFCVFSLSEASIPPTVMGRALLIKHRK LGFYNPAAKIIAEMLIDCGVYALQTLVFASVFYFLLGLNSEAETFFTFWFIIYTTYLN LSVMYRMIGSWCPDLSVAIRFGGLSLMLVLTSGGFIVPPTLQHRWAGWISRISPVAYA FEALMSNEFRTRTLTCSATDLVPHGPSYTDITYQGCTIPGATEGDADVSGSTFIGLKY GFTSGHIWRNIGILWAMYAIYAIMVVLGNTIMVRDSGSASSKLYKRGAVIQPLKTTHS EADSSTADEAKEQVQKRSVFTFKNVCYTVQVGGKERRLLDNVTGIVEPGRLTALMGAS GAGKTTLLNTIAGRQTTGKVGGHMLLDGKPLGPTFSRCAGFAQQGDVHEPYSTVRECL QFSALLRQAGQYTRQEKLAYAEEVLDLLELGPIADALIGSPDTGGLNVEERKRVTIGV ELAARPDSLLFLDEPTSGLDSQAAYEICRFLRKIAARSGLAICCVIHQPSGDLFEMFD SIILLAAGGKTCYAGPTGPGSSTVSQYFGRYGSPLDPGANPAEHLIATIAPVGGTEVN WPERWQKSNEAAAILQRVNDLEKSSEAVIIPMGSLSKEDTAPFASSFAVQFKELLIRN MRAQYRDGSYWTTKLVLCVFIGLFIGFYCYQMQRSVAGIQVMSLSILVAAQAAAPVAF DSACNYQAKFGIYLARERLGVYSWQALVAALLVVELPINLIAFTLLFLSYYWTVGLES TAMVGGLHWLTWAVYSIYTCTFGVMIGALSPSTFAVGFVLSFIWNVVNALSWALVPWP NMPQPYHTIFSWLSPLRWFYGSTMESTLSSKAISCAANELTTFAAPSGTTCGEYAAEF LATAAGYLINPEATSSCAYCQLSYGSDYVQSLGYEYDNMWRDWGVLIVHCVSNIAVAY LFTWLIRIRPLYKK L199_006187 MSGELPSATSSGWSRIATGPSNTVQLGGDQSSSMDQDDQVANRA ITQTHALVANMSTNVISQMNLVSSHFLDRCLSAYFNRFLAALPITHRATFNIRTTNAP LLLSMIAVGSLCLQSDDARRLGDSIWRLANTAVSSSWQELIKIKGDFDSCQGLALVTT VLLVQIYATASTDPELKNSAVVAQPLGFRCARLAGMFDTQTLTQAETVSSEFKALEGQ ALTDRWYKWSARETQQRTLLGLYLMDAQVCSLFDAAPSVRHTLSQLSPVCTDAAFYAP TAEAWQKVMVDEDSLYGSPYRGPLSTLYSCNPSSGPLRRSITSGFTVAALLDGIYCLV VEQRDLPLSLRNEEMSSLIDRLDRFRNDFLLSDKPEIDIPALAMKWHTTSISLLELWL PTGIALKENAESINKWFSTPQGRRAVLHANAIRQVVDTMPFSAISTPRIQTPVCTYHA GYIMMLWLKSHMCAKGAGNWDLDHEVDWQRLGSIGQVISQRDLVTAVEGGGPETFVTE GGTTTLRGRKFDATGISALISALTTFGGTWPAARTMTNQLIAMSYA L199_006188 MQSGLTQDLDSNDEGRYARMFIYDISGSPTLKHSYVVKLPVTNG KGKTFAQSDVLYLSENTLMLLSRDGKGNGNDDSESKHKDFMLFNFDGATDLVNTEYTD GVTPVSPKGVLDSSIVAAEPIEFIDMIDEVQLKRFGLHNAGDFDVSLINGKWESAAIG SVQDPEYPNDYFLFSFSDNDFITTNGFEAGEKYVDGNGSTLDNQALVWRITLP L199_006189 MRSYNFAAALPLLQAVFASPITHLPISRSKVVARHIVDGRQIAH GAGLESAHTLASSTIYLEDSEGLALVSSQNFTTVIVENTSGSKTSTLIAAWGAQPIYS LGSSAGYILGVPEYIYAPFAFPDVDASKLPLQVCTFVYAEPSSFSTNFTISERDSFVV GSFASQLNLGDAITEFCIRVGQARSSTSATPDSLQPSNAPASGPGSGEESSTTSMPTD TSVEPSGSPAPVTSQRKIGGNTGGNTGGHEAGSVVSPLSSQSTDGSMASPSQAPATST PVVAPVPTSSSSSAVEISSGSAPPAPSIQNTVSAGTSSTSDSASTSPSSWTSSSTTAS SFSANNIVPSITVASVSPSTSLIAVMSSASGISSISTSTSTASATGIISSSSASVTSS TSTIPALSSISASVLVNPSTSASSVAPSVSASATSAGPNPAYQTTVSFNGKTYINKGL VGFGAIEGDAVDSYGETIGSLGSAIHLQSFQRDADGFYAGVMITQPDRGHNTDTTTDY ISRRHLLSFKLNPYYGNTSLEYQAAKSSFTLKYESTIRYFEADETPTTGLDPTTFRNG SIPQPIASQSYNHISSDPEGLVILADGSSWVSDEYGPYIWKYSAEGFLLDTIVPPKAV LPYKNGTL L199_006190 MPSSVIQPDSAVTLNSGERIPQLGFGVYQSPPEVTSKTVQSALD AGYRHIDSAQWYFNEEQVGSAIESSQYARDQVFITTKLGHADRITELLEESVSKIDPR DGGYVDLFLIHSPSAGPEKRKEQWKALEDLVNRGKAKNIGVSNYGVKHLEQMEQYAKI PPAVNQIELHPWCQQPSIVSYCQTHNIALEAYSPLVQSTKASDSTLLKIAEETGKSWA QILIRWSLQRGFIPLPKSDTKERIIANRDVFDFELTDAQMQLLNSLDKDEHVCLNHTE LP L199_006191 MGRRTPTASQNFLSSVILPCLSILLIPITASAVVVCIAYNKLLI GSAESRIGDHVKVQKGFVVISGGRMSKGLTLARAFKRAGWKVIGVEEEGWGELCPMRY SAAIDKFYILPSASQSCERYSKKLLSIVELHSATLFIPVSGAGSSVEDARAADEMFSA TNGRCRTFIQDPETMEDLHDKDKFMSLVERLELRIPSGKMVNSVEEALAFLKADDQFL EPKYILKCMGLDENRGDMTLYPLKADDKELTETRKSLDSLNLKITRECPYVFQEFIPG QEWCTHASVINGQITSFVTCPSNDMLMTYENATSQDIGKKAEQWTKTLLEKLQKDPTP TGRQRNLTGHFSFDFIVSTKNGEMYPLECNARVHTAVIMLPLSKIAECYEDKNLVNRM ILRPPADTAPRSWLYNDLIMRYLPLIVSSHETIAMIHPSLPACALNPRKKHTVRPSEK PLKWRKDPTLVSDDWIPFVVLWHVYWPYLLLSRWWKGKKWTRLNVSTGRIFEA L199_006192 MSDLTAKVSKDSQGSIVVDGYEALKYNFHYTSPVFDVNHAKLAD IYKRWGRVLIVMDTIVHPIYKEQIEKYFAHYNIAITWKIVNGGELHKTPDTMLEIVDA MDSFGTVRTEPTLVIGGGLVTDVAGYACASYRRTSNFIRVPTTLIGLIDASVSIKVGI NHKKLKNRLGAYHAPLHTFLDFSFLKTLPIGQVRNGFAELVKIASVGDKAVWELLVKH GKELVETSFGYKEGSDGVRAPGTEICHRGIETMLELESPNLHELGLDRVIAFGHTWSP TLELTPRIPLRHGHAICIDMAYSITLAHSRGILNDAQRDEWFTLVSSVGLSMDHDLFD DELIAVATDAIKKTRDGKQRFAIPDKEFGKCLFLNDVPIEELQSVLKVHKEFVKSRYG SGVGKEAYVDAGDLGAEPETYAKSSNTQKPVPADDCCTAHSLKRKGVNGDHTKAAAVS AGGVPVDGTGIIRNGVNGTLVHAN L199_006193 MVTATKSKADAQEAPAKYHEPVQGTVFHGDGREEALLKYLESLP SLSSQETSSLSLQERSQRVLDAIHEFGKGENRYLMSVGDTKGRQVEALVKEKKPKLIL ELGTYVGYSGISFARHLLELHPNSIYPENWSSNTEEDRAGYISLEKSEVYATTARGGF RLAGLDRVIKVVVGSSTPNLKSLRETLNMPKPLKFDMVFLDHLKPLYTIDIKVLEEEG LVGPGTVLVADNVVKPGNPAYLSWVRATPEQKRKSLQAPRLTTQPPVTPNPPAEEWET AFHSGPIDETRWAPDEAEGITAEGDPGLIYTSEMLDGWDPYTGEKDACEVSVCTGRQD L199_006194 MTLSYLVSTAGHAQHQNELYPHDTKSILQLIQDGAAEFGSERVV GFTSKDDDRDGWRCDRYSFPQLLDLSCRLGYQLAQRGVPINKDDTKIISLLCPTGLEF LISWIALMRLGYGVVLIAPQCSPSAITHLYNSSSSTQLIYHSKYAELAHSAQQSDPST AIQSIELPSATSLHSPPSTFHIPYSVKDPKSVSHIFHTSGTSGTPKPIPNFHQKSVSV LPRRSLPAYLQSITENDNGSGRGNGTSSESAAFTTTPLFHGGISDLLRAWMARSMIYF YPTSDVAITSNNVVESIKACQIPPPALNGIELSSEQNEERNQRFKVTSFLSVPYILST LAEDPNGPGMEMLRSMEYVSTGGAPLDTTIGDTMVDQGVRLVSRLGSSECGFLLSSHR DYSTEKDWEWLRNDSPYSDALVFEPVDESREKYEMIVTNRWTSKTKSNRADGSYATGD LYQPHPSKKNVWKYVGRGDDVIVLSNGEKASPGIIESALRQSAHLSDVLVVGSDRSQL GILLFAESLPAPSDLLEKLSPLIDQANRDSPSFAQISKEMCLVVCEPTKRLPKSSKGT IQRGVAYEVFREEINQLYDHQNGQGDYLPKRSVEEIREEVKKIIENIAASKLRVDDVN ENTDLFNWGVNSLMAIRIRTNLQKKLNTGGVILPNNVVFERPSIKRLSQYIFDLQENQ AMDNNDVEESYELMNDLVQKYGQFDSTPGGLPGFRDADDKKGITVLLTGGTGSLGSFL IDELKQLAGQTVKKIICLVRAPNDVVAYSRVKKVLEIRNVAMGSKVEVLGADLSRHHL GLEIEAYNKLIEEVNMIIHAAWPVHFTSSLISFEDSIKGTRNLLDLAAATKQGKMYYC SSLASVLNRPSSTISEQPSTDPSTASPIGYSQSKWVTEQICRIASESSILKDRVHVMR VGQLCGDTKTGHWNEKEGWPLMFRTAQTTGTLPILEEKPSWLPVDLAARAITDIIIDN AAENHLIYHIAHPKNIEFNIILDGLEAAGLSFQRVSPAEWLNKVEASTDDPEMNPSKQ MLHMWKAAYGNPTVSQKEVLVDTTNARRSSRTMSDLPDIDEEHIVKMVQAWKKSGFLN T L199_006195 MPVKKRRLSPSASSASSTPAPSPIPPESSTSALPSSSLAQEGLA GPGPSTLSNQYQSRRERNDAAERREYARLGVQQPGSGDGHEFGEECFLWADIPVSKGF RYIPCAISPAPSPHPRYPFYRTIPYPPPLPPVHISWLDRSSYLRISPTALTMSNDRGF RSARANVAVREGRWYYEVKIERGNGSLGGGKGHLMSSNPHVRIGWGRREANLDSPVGS DAHSYSIRDVNGEKVHISRPKSYAGKSFQTGDIIGCLITLPKRPPPPSGKNDPAKIKR FRRQFVYKNQSYFESNEYIPSKEMDSLIDREGKLSAEKKQGLNGDAAHDGQGDGQING EINENRTIPKKGGGKKGATTKNTKKNKNEPNASLSEGVTNISRPLKRLDGSKIEFYLN GEHLGVAFQDLYDFIPLPPINTALSSGHGKKSHDTEIVHDDGTLGYYPMISCFGKGKA RFNPGPDFTYPPANLSQQDDNGDGDERIRPICERWEEFRLEEQKHDEQDEIEGKEVLQ KLLEDEKKAALQSSNSMKRKKGQSGKKSKIGDITDRIRGRGDTMTPSLDIESEGRDRS VSIAPSLINSVPPTRGSSPVSVTMENKIYKEIAEQEVVEDTPKSPAPLDNEVGDGVVE QTSEEERMEEVGKEEEHENGVKEEEDGEEGVKW L199_006196 MSNIEVKSDNPSFVLHGIEDVKFENRSVPEIKDDECLVAVTKTG ICGSDVHYLLHGRIGDFVLEQPMCLGHESSGVVVKLGPGVKNDGRIKVGERVAMEPGV SCRTCTECKSGMYELCPHMAFAATPPTQFGTLCRYYVLPADMLHPIPETVSFEDGAMM EPLSVGVHSVHSLGQLQSDQVVIVFGAGPVGLLCMAVAKALGARRVIAVDIQQERLDF ARSYAASDIFLPGARDPNESMEDYCARVTEEIKTTLNIPSRDHGAVDLAIEASGAPTC IQMGINILKPAGTYVQVGMGRNMNVPLPLFHVINKQLKVLGSFRYGPGDYPLAISLVE RGLVDLKPLVTHRFEFEDAKQAFEVTKLGRDEGGKGVIKAIISGPK L199_006197 MTRMISSTSPTSSIHYPNTSPISSSTRPLLSDQPDLELEDETES AVEHRREERVSMENQVDEGMIQVKGEDRITRFVWILVFTAALSGLLFGYDTAAISGVL VIIKDDLGGPLTDWQKEAITSSTTFGALLGGLLAGGLSDYTGRRLVIVLANVVFISGS LIQAACHSVTLMITGRFIVGLGVGLASCIVPLYIGELAPTKTRGRLVTMNAVVVTLGQ VVAYAIGASFQNINNGWRWIVGLGALPAMIQLASIGFLPESPRILLLRSDVHSAHQIL SKIYPLATTHQIDQKVEIMRRAVQQSKEITDRTTFRQRLESLLRIGGNRRALIIGCGL QISQQFCGFNTLMYYSATLFAVLGFKNATAVGMIVAFVNFLFTLVALRIIDPIGRRVT MLLTLPVMMVALILVAFFLHILTSETGGVLVVGAGYPISTSLLVLFSMLVYVAGYATG LGNIPWQQGELFRLEVRGIGTSISTATCWTGNLIIAGTFLSLMNAITPSGAFGLYAFF CTLSWLFCYFLYPETSGLSLEEVSFVFEDDFGVLKSQRIRREKLEKAKMAEVDRLIGN EVGV L199_006198 MSSTSTSVKTPKLGVLQLKTNFPRPAGDVGNAASWGDIPVVIRV VEEATGDLVVGNKWGQELVDAFVREGKKLIEEEGVVAFVTTCGFLATMHPFLVNRLPY IGTSALLQVTWLQQTFFPGEDSKDSVGVITFKKSALTVKHLTSVGAHPDTPVYGLPED PDPTKGVFKAVLESRIPYDFEGMEKEVLAAAHELTSNHPKVKAIVLECTNIPPFSHSI RKATGLRVYDVLTLGKWLYNGATPTDFKALQAKGL L199_006199 MTSTGDKIAIVGAGVFGLSTALHLSKRGYKDVTVYDYRPYHENA YNPSEGCDSASADVNKVYRCSYGNETEYQDLAFSGRDIWFDWNKQIANSAPEDLPKGL TPQDQLLVSNGFLRIAGSGELSDFDKVSLNALEKAGLRHHQHVLLDEADMQRLWDKDA KEPSHWKEKVHALDKAGGGGLNGFIDTSAGFTYADKACAWARHLAEKEGVKFVLGPEI GKFDELLVEEGKVEKKIKGLRTADGKEHPADVVIVAGGGWTPSIVPEVESLLETTAGS VVTITLPQDRQDLWDKFSPEKFPVWAYGWMGKSSPEFGGFYGFPRTPEGKIKIGYRGR KWTNFQTHPKTGKRLSIPITKYTEEKASNLPKKAIDNIKIVIGELFPELKEIGITDTR MCWYTDSIDNSFVIDYVPGYNKGLFVASGGSGHGFKFLPVLGKHVVNALEGKKDQFTE MWKWRAAVPGQPANGIEEGEKSGRNLADLIIAEEKDWLWTKEHILNEGKQDDGDVDKL ASEVQKVLVSA L199_006200 MSLHRRHLDVEPDSEPFSSLDVYDEHPQNLRYETPQTLVGTPAE DSKVEDKYDFSAYAYTEEESRAVVRKLDWHILPFIFFGYLFNALDRNNISNAKSGGMT EDLRFPQDGYRTLLCIFFIPFTIGVVPMVMLTRRVGPRYTIPGYMLGWGIMAVLGAAC TNFGGAVAVRFVQGIFQAGFSPSLIYYLTTFYSRGELAKRIGVFYSTNALSGAFGGLI AYGVFQLDSKLKGWQILLLIEGALTVGWAVLTAFMLPWSSSTAAFLNPREKEVARLRV LKDGSGTVDAKFDVNAFFAPLRDWKLYTYAIIGLLYGIATSVADSFMTQIVSRFGYSV VKTNLFTVAPFAFGTLMMITTAWSSDYFRERGFHLASAISLAFIGCIILVSVPVSNHA VGYFAVFLITGGAQTPSVIFHSWHPSNEANEDARAFKVGVLTFVANSGGFVSANIFLD KWSPAYSIPLIIVAATQGLAISIIVAFRMWMQYDNGKRNTAQSVNWTSKDIPTEALKA GPRNPSFRHFV L199_006201 MTQVTVGHQANGHSDKLEKKAATTDKVASPGDEQLVLNTIRCLA ADLCQQYKGGHPGTVMGAAAIGIALWRYEMRYNPANPEWFNRDRFILSAGHACLFQYI FLHLSGYEAWSLDQVKKYHSPATKGSMAAGHPEIEYPGIEVTTGPLGQGISNAVGMAI ASKQLAATYNKDDLKPIDNKIWCFTGDGCLQEGVGQESISLAGHLGLDNLILVYDNNS VTVDGRIDNCFTEDTSAKLVAQGWHVIDVYDGSNDLAAILEGFDKAKQLKGKPVFLNI RTVIGYSSRKANTGPAHGQALGDEEVAYVKTQLGFRPEEKFVIPQRVYEYFSECRTKG GEAEADWNVLFKQYQEKYPSDYKELSRRIKGEWASEGWEALLPSKADLPQAAQPTRKS SGIVVQALVPRFNSFVAGSADLLESTFVSFKDQVEFQKPESGLGDYTGRQIRFGIREF AMVGLGNGIAAYQKGMFIPIMSTFFMFWIYAAPAARMAALQGLRFIGIATHDSIGIGE DGPTHQPVALASFYRALPNINLIRPADAEECMGMWQLALDDKSKDTPSIFALSRQPVP LLDGSDRSKVQLGAYVVHGLDVEEPELTIIATGAEVARAIETAKLLQTIKRVRVVSMP SQRHFDQQSPEYRESVLKQTSSLVVAIEAWASYGWAKYAHASLSMHTFGHSAPQQQLY EYFGFEPDNMATKIDSWAANWKSKGRLPGLGEFEELLLGSVQH L199_006202 MAINGLNGINGAAPAEDKLISAQNGATTVDGAVLGGLKDQSPNL ASIPTTQLFSLADQGVIVTGGARGLGLCIATSLLESSAAHVYCVDILPSPSEEEWAVA EHTAKRFGGKIEYRRLDITDEDAVSRIFSDIFDTCQYPITGFFGAAGIQQMIPALDYP INDFRRLMEVNVTGTFITVQAAAREMKKRGVRGSIVITASMSASIANKGLTCLAYNTS KSALLQMCRSAAAEWGTYGIRVNTLSPGYIRTAMTDGLLAQKEGLEAEWLSGSMLHRL STPDEYRGPVLFLLSTASSFITGADLLVDGGHTAY L199_006203 MVGNTSLLRGRAVQWAITAACGSAFLLFGYDQGVMSGLLTGDAF TKQFPSIDTTDGGTGSSSLQGTVVAIYEIGCLFGSIFTFFMGEKFGRRRTIMLGCTIL IIGATLQTAAFGIPQLIVGRIVTGLGNGINTSTVPVWHSETTHAHDRGKALAIELAIN IFGVMSAYWIDYGLSFVNSPAQFRAPLAIQMAFAIVTILVILICPESPRWLLKHGRED EARAVLDQLSTYKGADRLEHLDADFGSIKLALIEEEAAAVKDKNGNPISPVRACFTFG KERYFHRVMLGVGSQFMQQLCGINLITYYAPVIFEQSVGLSHDISLLLSGFNGIAYFL SSLIPIWVIDRVGRRKLMLFAAAGQCACMAILAGTTSVNHQGTGIVAAVMLFLFNFFF AVGLLAIPWLLPAEYAPLPIRASAAALASASNWIFTFLVVEITPVSINSIGYKTYIYF CVFNACFIPLIYFLYPETAKLSLEQIDLLFTGEHVLLRLPNHLRDAHPGGNLAGAELG ASVPEKASETALNGEKAHVETSDRA L199_006204 MQVVEDDRSKKLHPLDPSVSSNSYQPSQSIKATTTLNPVTLSLS GDHPNVLPLGVPGPSTYIPTNQQQLNSLVQPDIITPTSETSLSQSDATRKHIDDAAFI DPSADLEFINNLMSWHGTDPMREDIEDGSQANRDWNLNELLGWDPAISLTRRGSNDFG VAPSSIEGRLPAVQPNRVKSPRSRFRVPYFRFFGPTAIAPGYKQVQYDVSAPPSPKLP ANSLSALSALDNTQELPIWDQDKQYPHTKALQHLIPIFNLHYTYFFPFLRLSTNDIPN LIANKPPYLLNIICAISARFSPLYGSRPLSAEAIDRSTSTHNSASHMWSSKAKEQISK NISFSTVEMVETLILISWYEFGQDRDAGLWMYSGMGLRMGQDLGLDSLSKQTASDQLP QAPDELYARQLHCSLVMMDAIMTIGTGRSGMYLTQPPALPTLPPVLASDGETQADPFL YLVRILVLSDSVARTLRDHLRSPQSQLLEIAQSELNEFHTTLPTELRFETSAFQRYAS IGHGSTFVLLHFWFHTLIILVYQPDLLRTGEVHSNSTLPDVAGQQIAASSAKTILDIA SFAELIDVKANTQPWINYPLYIAGCMFLSQCVSPTNAAHSAGQVHLAKIARADFQRII GLLDTLQSYWAGVRYIRGALLQKAEGATQITLLDNPNGVASPEVPPALAAMWSGQSDT SHLVGLSFTGTMNSPTDNFFSLLYPNTSAACQ L199_006205 MNKVGAVFAVTDVHASPVQRAQCSADNQNYVDNVTCPSINNLNS FLTYQGDNVGTNAFDVLGEVNKLLVSDDPVSTIIKDVTGGIVTGVTDGVVYNLKNLAS TIQQLDPDCKCNLVACNNNLNDAKVQCQLSSQNPMIQEGCGDAIAACAPFYSRDEINS FTGCCSQYQYSPAK L199_006206 MDTQDEKDFADIIRVSPALEAGSAEPRERDRRLLRKIDLHVMPL LMITYGIQYSDKISLSSGAIFDLRQDTHLVGNQYAWLTTIFYLAYLIGEFPVAYLMQR FRIDRILAYCVLVWGVCVLCLAACNNFRDWGILGFAESTVSPGFLIIVTAWYKREEQT IRVMLFFMMNSVFSLWVLMCCYGIVFLGALSFMWGFIMVRQLGTPAHVKWLTEERELL RVRLLSNKDGEHESGVKVKWNQVWECFRDPQIYFQFVFMFLLCTASGGITSFSAIIVN SFGFPAAESLALQLPWYFLQTSFIVGIIFIFRKYSHKNWSLLISITAMIPAIIGIFLE GLLPKSAKWGRLIGFWITGPYVISQFLMMTTFSQNIAGRTKKSVAQALTFVSYCLGFL VGPQFFWASSAPDYKPGLYTTAVCFVLIEVILICWFFWARSANKARDRLVREKGMSEE AAEAEGRMLGVQDLTDRQNLHFRYRY L199_006207 MDASSSVMRTADEITRSSGRHKNHAPAACKACRQRRVRCNYDKE AKVCQNCLRRGDLCEIKSEEDSRRRISYTVAQQLRARIHELETENARLSAERDAGTEE KADGSQVEFTGVGDQRDKATFECLDRLKVFGAVGEICHYGPTSPFSYLPDLQMMQSNI VHVDTATGSAGDMTRWKAFLPSNLNISWFEHQQLLDAFTRYFACWLYAIDSSKFQQDM HRLGGITRSDYYSPILHNALLAMACRFVSNPDRPSNAAQILIQHALSFFGEELENPVI ATVNGLMLLASLHTEQRQTNSGAAYFATAVQTAVTLGLNVNPAYLVRYGMISPERQQQ RNNTFWSVFCQDRLWGLALGRQPILGSFNVPLPDSQEIIKQHALISGNEDQYLVSLCF AETCKLSRLAGLIMEQIYGFTGPLELSKTCNNLYHQINAWRRNLPKSLLPLRQHSVPP QVHTLNITADLLSILSLRPLYHNILDMIAEDEAIRSCDRHAAQINDSMKYWQETYGLK NCPLLLGHAAFGAATVHLLASVTLREPSDQVIYDPRARCDESISILKAMGIPRASQMG DILQSLSREWWIGDHTLQSERELDDLLSALYTVNPVTVTL L199_006208 MAIAAKPEIPPWVPPSETKENLDWASLRTLDLSKLDSDDPKDVE KLLEDTKYAIKNDGFLYLTNYGISLEKLHRQFAIAQYLLDGNVITEEEKKQLEIQQHT GSYAGYKPMKGWGAGNGSYDGIENFTFYSPQMENPDLRPRALEPFMDEVKDFWTYAHD NVNRRLLKLLSRVLELPDDYLWENVQSHEGEVCAEGYFRFVCYRPMEEGQEGASMRIH GSDYDPARITPADDHIDIGTTTLLFSVPITALQVWNSKAEKWQWVKYKPGALVINLGE SLEILTGGHFLATKHRVVAPPKDQQHLPRLSCAMFCSARGDLKVSPLQDSPLIQREGL LKKGVYGEFAKLSDAGLDVPDHDTWRKARRAYAQQGPAKQVTIEGVRYSEEVFNDIKV YVPV L199_006209 MPVAVPQQTDTAQTAPQKRGLTSGQHEPDESLVQSYDAFPQEIT GPTVWEREKLLKDDSLWKKSWSAEQVKELEDSYEQFVKTGLGLPEITRETFPLSSSVT SFLQEIREHIVNGIGFVLIQGLPVTEWPIHKSASIYLAIGTILGVTVSQNRKGHILGH VKDLGNDPTQIHKVRIYSTAARQFFHTDSADIVGLLCLAKAKEGGESDVVSAHHLWNT LQKERPDVAELLTRPNWYFDRKGETSEGQKEWVQKAVFYWHDGRLISHYDPYYVKSIT RHVEAGHIPGHSPAQLEAIQVLEDTAQRLALHMVLAVGDIQFVADTHVFHARTAYVDY PPPHPRRHLLRLWLSTPTAEGGWQRPYPDSDYYKRGGIQVNNQSETCPLDAE L199_006210 MTVVSASNSIKLPSGHSYPLTGLGLWKVPQHACAELVYTALKLG YRLLDGAADYDNEVQAGEGLIRAVKEGIVKREEVAIVSKLWNTYHAAEHVEAACRRSL EDWKVDYFDVYYVHMPISLKYVDPKVRYPPGWSYDGTPTGPLELEDSPFHKTWEAMEK LVTLGLVRNIAISNMSGGMVMDLMRYAKIKPAVLQVELHPYHAQHNLVRLAQAFNIHI TAYSSFGPTSWVGISDNVVAKCESLFDHSVIAGIAQKHNVTAAQVVLRWATQQGITVI PKSSDEKRLKENLENELLSLTDGEIKAIDKLDAGLRFADPGAIDPRLAMYA L199_006211 MAESYKSGSVDTLDGYPSLQTNKAGPSTPRTSRACSSCSQQKLK CSGAKPLRGRMRGKRKVPDPTSITELSLGILSKGDSYGMLPPEKPTMDYVRWKRNTTI SGTVPTNSTIWKKHTPAGPHKLRNLIGKRLRSEMEDHPEMEPLVAEKRINAPDPQAPA GVVDRLTSLPLPGDRNPLAVLAEASAAVSAGKGDIEPRIVSSAVSSGERDGYYAPLHR TLKDEAPHIMTFISATEAEKLFELYFSYLHPHLPLLDATHSSPSIVARRNNFLFNAIC CASAKARDPFLWTRLSEFARYEMERLPKEKNIDVVQGHLIYTMWNLHRPKHFELDMTW LRVGMAVRTAMDINLHRVALSSQAREGLPVWVLRAIVRTWLSVYIVDRTMSAQFGKSS TMYDEHSIQAYITLLRPSPQAESSSSSREDLWIAALAEWTQPFAQIVEKHKSEVIENT SDSSNNGSSSTTQSAQGAILTSATNHKAVQQFYEWRQQAEVSIRSCDQTNYGFMNSNK RSSKTRPASLFLPFTMANIRLYQQYADLVVHSFSLERLAGSSRGDLTVTVIELQSAAI QLIQTYHASFDATAHTRHGCPDALHNFVIYAAVSLLRILRPRFLPSSSDSINSHRVFV HQLLAAKRATFSSENDTGEAQAHTFGPLPMQEHQNNTEDTFSASVYRTTKVGHSGNKV DDVQNHSIWPPLPDNALNPLDAYLETLFPSFDDVSDFMFSSQRGSNEQDWVLPSWSAM L199_006212 MSDIKELAPDLEEPAFVSWRQVQIEANREEEWQHKLSIWEGLKI YRTAVFWSVVASFCIVQEAYDTLLLGSLFALPAFKEHFGHDAGGTAGYQISASWQAGL QQGANMGSLIGVFLGAFLVDRFGYKYSIIGNLILLAPIIALVTFAPNLGALLAGEILC GIPWGVFSTLAEAYASEICPQGLRGYLTTFVNLCWVLGHFIGAGVLRAANNITGKWSY RMPFAVQWVWIPILVPLLVFAPESPYWFVRKGRLENAEKTVRRIAPAAEQDRVQEIVS SLVRTNHFEREVKAGTSFTDCFKGVDRRRTEISCVVWTTQILCGLQFANYSTYFFQQA GLSTIYSFDMTIGLYGAAFIGTCLSWVLLTYFGRRQIWLVGLSALVVGQILIGVLSVV ADKGHVGARWAQAGLMIGWLFTYDMTVGPVAYAIVGETSSTRLRNKTVGLARASYNVF SICFGVLMPYMLNPTKWNWAGKTGFFWAGIGLICLIWAYFRLPELKDRSFLEADIMFT RGVPARKFKTYVIEANADEHVQNDLK L199_006213 MAPIAIAPIEALPASPLLDLKQNNNAMTDPDQVARNTLARPLKY TGLLEKYSHFEVTPSIGREFGPDLQLSQILNAANCNDLIRDLAVLISRRGVCFFRAQD LNQDEMMQLQKKISTLAGQPRESGMCIHPVSENVGEMGAKTQLISAEMQRKGGGIMRL HDDVSRWATRAFHSDVSFEKVPSDYSMLKINVLPPVGGDTQWVNCYDILDKMSPSMLE YLKTLKAEHNANFFHQEAANHGRTVSKTMIRGNPLNVGDDLSARHPLIRTNPVTGWNA LYYSYGFGGRIQGVTYDEHVMLREYLTQLCMNNFDCSVRFRWEAASVAIWDNRSTLHS ATFDYTEERSGDRASSIGELPYLSNEGISRTDGLRAEGVSW L199_006214 MFVLKSLLGKMWGNPANPELMQIPAGQLYLVRPNSIKGSRECIF QDAVATIRRTGVEYQYQLVVTRAYEEGEEQLLDEDAETDDERVFLIDQALEFRFGTLD GDATCAWRDLSGDEGDLWEFVSSKKYLRSHEEATDAELEALKFTEKLPALPISSTPSH PSEDELSSSSVPVAEDPFKDVPILHRAQAELYLFDIDTDVFVIQEKEVHADLASNGDY DTWIIVRHNSTPFISAPIDAEMNPRFDMANHAFMFTFRETEGLPGMTWCLRFNEDVFG EWKDKFTIYMWEGKNRMSYAKAKADEQRYIQEAYEDVEMAEPEDEEARPESDDEEEET SSTGEREDYQSDSEESDADAFERGSKNQQLAVGYKNDMSFVARGDMIGVFAHQDDKLR FRTAIDRVKNMEGKTFSPRKMMLHNQDGDMLLLDPSNQNSVYRMDLEYGKVVDEWKVS ESVEVDNIIPDSKYAQMNPQQTFIGHSHNGLFRIDPRVSGNKLVESQFKQYATKNDFS AATTTESGKLAVASNKGDIRLFDQIGKNAKTALPALGDPIIGVDVSADGRWLVATCKT YILLIDTLIGDGRYKGSLGFDRSFPADSKPIPRRLQLKPEHVAYMEDPVSFTPARFNT GVNEAEKTIVTSTGKYVIKQYDSKVVADNFKFGADKNIIVALEHNVVMANKKELAKPT RSSLAPRASLSTPIRKIRQSHSDIVNSPY L199_006215 MGQAPSSGAPGGGKRDGKDNKDKKSKWEPPIPTRVGKKKKRGPD ASSRLPAVYPTTRCKLKMLKMERIKDYLLMEEEFVANQASQSGEDRTAADRTRVDELR GSPMGVGSLEEIIDDDHAIVSVGNGPEYYVGIMSFVDKDLLEPGCSVLLHHKTHAVVG VLADDTDPMVSVMKLDKAPTESYADIGGLETQIQEIKESVELPLTHPELYEEMGIRPP KGVILYGVPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGDGPKLVRELFRVAEEN APSIVFIDEIDAVGTKRYDSTSGGEREIQRTMLELLNQLDGFDTRGDVKVIMATNRIE SLDPALIRPGRIDRKIEFPLPDTKTKRHIFKLHTSRMSLADDVDLEELVMTKDDLSGA DIKAVCKRRMRVTKADFTTAREKPAGLYL L199_006216 MFGNHNARAQTSTATRRLMKEYKDLTADPLQDTITAGPISEDNM LEWEALIQGPEGTPYLVFPSDYPLNPFTMTFDPPLLHPNIYPNGVVCISILHPPGDDP LHYESASERWSPVQGVRSVLLSVLSMLAEPNIESGADVECCKLYRDNKPEFERRVREQ VKNLLGI L199_006217 MSLYVLICTHKHPHPHTLYTLISPSTSTFTLALPPPNTTSAMFG RHHADYDDYPSVRAAASGANHQPTRPVDKDKTESELSVNIKKATSPEETAPKQKHVRI GDGGKGYGGLIKAYTSFLLSKLRFHRHHPEFNGLFEYEEYISLKNIDDPNEGSESNEF HCNADLPGTDAMDALLPLRERYNAQHYNLRRFYYECSNLKYLTGLINEPPNLIDNGTA PELPERPPAEKVQAREETPRPSSPVATQGEIDEQRRMLEEYERKQAALVAQRESEQRR QEEDKRRQEAEYAEQQRLQQERERQAQEQLLRDQMSQQYNQQQQGQYSQLQQEMLAMR GQYERDQMLLEQYDRRVKSLESELGLIGANVGAQMSAKDELIAQLQKQIEVWKNKYEA LAKLYSQLRGEHLDLLNKSKGFQLKANSAQEAIDKMERMERDVKTKNLELADMIRERD RARYDLDRIKSSHREELDRLKRDLSFANERAEDASRHKSSEVSGVMAKYNRQLTELED SLRAKQMQIDELLHKIDAKDGEISRVVEEKEQELMIMQEGMDSTLKELSDLRLKVDDA IYELESPMQTGNTTATPEYTLSMIEKAMTNATEFASTFNLYLGRKSGGGHVDVIKAAN ELAQALSETLVSSKGITRFAETDDASDKLVKIAKEAGDSGYRFFLNLQSFRLLAGGKS EEAALRNNAETRGALSKLSDTVEKFIPKAKASTLSKANGDIGDIVSQEMQNAAKAIEQ ATERLQALMTRPKGSKYTSLDVQVHDAILEATLAITNAIGRLIQAATESQEEIVREGK GSSTTQQFYKRNNRWTEGLISAAKAVAYATGLLIESADGVISGTHSLEQLIVASNEVS AATAQLVAASRVKASLMSKTQQRLELASKAVTDACKALVKQVKLISNKQADDEAVDYK SMPSHEFKVREMEQQVEILKLEKDLGAARRRLGEMRRAGYHQETD L199_006218 MAPFDLDACIDRLRDKQLLGEALLREICEKTKEVLMRESNVVHV ASPITVVGDIHGQFHDLIEIFRIGGSAPHTNYLFLGDYVDRGLHSVETISLLTCLKLR YPERIHLIRGNHESRAVTQTYGFYLECTRKYGSPAVWQYFTDMFDFLTLSVVIDDAIF CVHGGLSPSIHHIDQIKIIDRFREIPHEGPMADLVWSDPDPEKEDFAISPRRYFNVFS AAPENERDGPNQQQQVKVSSTRDKHYANVQAIEYFL L199_006219 MPGKSQLIIIDTSRTLALEESFFDSFSLYFQMIPVRGSDWEAKI FICKRWNVTDEWALPDIKDVMNGGKGVLRGRSFGLIDELFGSLEWDIT L199_006220 MSDPNFPPNLDIKPLLLSSVADQQLEQHQQKDAISTYGIAGRVW EATRPLLEYFTPSSKFEPPCSLFETQRPHRIIELGSGQSVASLHLAGHLQKEDLVITT DLPEVVPLCEQSIRAWNPPSDTHAKVIAQPLAWGQDPSHLFQFGPFTHIIMCDLVSYK DMDTL L199_006221 MARSKDIAPHVGRYSRSQVAAKRGLYKGKKTGAAPAKTESPAHT EKTVGGKGNGEKRLVPTNKASKYYPAEDVKKPKVSRKTVGKTALRASITPGTVLILLA GRFAGKRVVFLKQLDSGLLLVSGPFKINGVPLRRVSQAYVIATSTKVDISGVSVPETV NDAYFTKSKAAKGSKEGEFFGEGKEKKVFPEEKKSEQKAVDAALIASIKKVDNLAKYL KASWGLSKGDRFHELKF L199_006222 MVDALGVTGVEGVFRKAAEITMGILRNNSDSLMSVLEAFVHDPL IEWIKIGRSKSERDIKASADRNLKPIKSKLRGIMEEGTVLSVPSQVEALIKEATSLTN LSAMYIGWAPWL L199_006223 MLAEDIKRNIELLNITMQFIGMTRKNFLETTLVHTIPALVLSRN GDALKEVAGVVQQKLGVMLMDNISHILAQAFLRPHQTDSALRFLVSLLKDMTSSNPRA QPNISISSLMTACIVDLVVMLIVELGDQNRSVRADAKAALIKASLQQTGSEDIGAFLK PLMLGVISQLNDMLHDVQGKKTVDYKRKIIKSFGSLIRLVGDSMAGTLGIPELREETL KAWALFISTLRFSDVGPFVGRTTGALIANWYTFGPHERDIAAKIINDIADNVKDLSQY VDEIVGLDDIPELQSAATRLTARRRKAKVQDHISKVLDRTDSKNVAIATASTRELKSL LLNRQAEIETLVRGDTFDGVMSRLMTNLLSTATRDGDCQELRALSYECMGIIGALDPD RLGLSSDTGTMTIAANFTEPEESKDFALHLIRDLLVDAFRATNDTKHQTHLAYAIQEL LKFCGFSPKILHSSEKVSTRTRDRWESIPKDQLETLTPLLESRFSISDGPIKTYSHPI YSSAPTYREWLQNWTTDLIGKVMSMAGDGPSTRDSKTIFGAFRGVLKNQDVTVAHHLL PHLVLNVLLSGLRNYRLEISSEINAVLQEQVNPTGPADKRTLSAQVIFDLMDHLSKWL RLYRMTKTDRNPQTKIIEEVLSEIETELMANAALQSKAYARALRSFEERTVELRNNKR DNSELQTYFERLHQIYSELDEPDGMEGVSAFVISPSLEHQIREHESTGRWTSAQSCWE VRLQQSPDNVSYHVGLLKCLRNLGHYAELSSFEAEAAWIIGDWTTVKQIGSTGPAIGQ TLLALHEHRDLQPVLLSARERLGTHITAKEYGRAYESLLQLHLVREIEMIQTAKQRIE QTPSGPNRHVLIQKITQDLIKSLESRFNFTSPTFRIREALLSIRRTAYSLFNTPLFES EIGDAWILSSKIARKAGYDQTAYSAVLQAKEVDASFAFIQQAKLNRTGGGIYKALTDV DNALKPFLNTDVVIDLTGNRDFSRERKLAKQSGLMKPIVSNAITSSEDIRRRSLERKN DAKNAYTLARSWREQRVETFLTAFPQIISRIVHPSKKVAGILKKIMAAVIVRYPQQAL WPTVGAMQSKRAERRQACLEVTNIASTKSQTVAGLIKDATNFSGILLRFTDDKVDEKK RQMSMSNDFDYVTKTKTRMILPLQDALTCALPTTSETVKSHNPFPFTPITIADARLMD LNSMINKLLKSASESRRRQLCEYQTFEQKLTSDIRTYAVMPLNEECGLLEWVANTNAL KSILEKGYQRHNKKIYIWNKDKENFEPLIQAFKDQILPKYTPTVFNEWFLITWPEPSA WLASRMAYGRTLAVMSMIGYVLGLGDRHGENILFDGLSGDTVHVDLNCLFDKVSSPVK GYD L199_006224 MTPDDMGDLGSATGQELLEKLIKEGLTPSGQDADPSKYRKLVQV LFQNCILKPIARNTPPNVQQASYTLTILQRQTKIHPGLLYTTTEQDSTPFYYWLLPKL VHAAAQLGQDALYDDLLTSMVAALVAIGRNLSVDDVSWAKGSRRLGVVIGHMNNFCQV LFGHSDLPQTPITLIFVLSFVLRSRLPFSDHHLSTASSLLSQTSRLVNTPSLQIRYTD AVTAACALPRPFALTKGCLFISSLPNQPDHAWRERMRLFYETLCVSDPALRLELWWSL YPHHNELDAQDDVDFAKICFLVSQVTPSITTGMMRHLIQPETLNRWKEAAQKDNMRLE KLDRNPRLTSPPTSKKRKRSEGEEERSAQLVRQIITDFAPQDDLLEWLLARE L199_006225 MSKKSDLLVRVRYLNPVPNPPFPPKLLDVSTDINRLGEPSYLNH LAASTQLPMLVDSEMGMPLDLNAYDGIWDGQDQTLNPALDAGRVHHPIDVALLAPFNP PPEANGDVKVPVATEVSWMRNNNYLTRKNNARRKEAAEAKAEEVVDASEAAQIMAIEK SFHDLYEQDPKDIKHPDKKKRGLTSYDILPDVESWENSYALVRFPERPSAATAINPAA TASSPRLAKAILRPIREDEDQQLIEFYLPKEEDLTNLEEAYERAIPSDEVDNVYAVTQ EDPNDPTLPDIFPHVHYDRIRMYEVLSAAPPTKEILVSFQEDQNEREEEDGSDEDDER PKKRRKGVYYHEINFRTLLRKTRAKRREEVALTADLWDKAVVGYRQPAGKDEKEREMS KAQVSDPTWANEELRKLRGGDNMAELQGEAIQDEDVELDEEAQKIEAAVHEEEDADED L199_006226 MIAVQSPLPPPSPLPLGPTSTVLPSSVPDNAPEHCPGVESSQAG KADACEGCPNQAVCAEGPKGPDPDLPLIRERMKSVKRKVLVLSGKGGVGKSTFSAGLS WALAADEECQTGIMDIDICGPSIPLLMGLSSSTIHTSSSGWSPAYALDNLAVMSIGFL LPSNSDAVIWRGPKKNGLIKQFLKDVEWGDLDYMVVDTPPGTSDEHLSIVQYLKETGI DGAVLVTTPQEVALQDVRKEIDFCRKVGIPILGLVENMSGFVCPKCKNENQIFAPTTG GAEAMGKELGIELLGKVPLDPRIGMTCDQGMSFLDEYPDSPATIAYLDIVQRIREILG DE L199_006227 MATTGLASSATGKFDSVTPPKASSLPEDLVKQLLVNLDGDIKQL KDDKSFDLEGLLAYQRTANYLSAAQIFLRDNGLLTRDLKTEDVKKRLLGHWGTCPGLN FAYAHTNNLITHHETDEGAPYFMFLTGPGHGAPALLSTLFMEGAITRFYPEYPMSREG LESFIRAFSLPGGFPSHVNAETPGAIHEGGELGYCLAVAYGSVMDKPDHITVAVIGDG ESETGPTATAWHAHKYLDPAESGAVLPILHLNGFKIGERTIAGTMDDLEVKYEGATSD HEHDTAINYDMAASMEWAYQEIKKIQTAARSGKPITKPRWPLIVMRTPKGWTGPRKSA GNPIEGSWRAHQVPLPKAAADDKEFGLLEQWLKSYGPHELFHTEASGIIDDVALRIIP KDQQRRMGMVDATYRGFQPLQAPDWKDFTHKLDEPVSNMKAVGSYVAEIIKKNPTSFR IFSPDEITSNKLDEALEVSHRNFQWDPETANNGGRVIEMLSEHTLQGWMQGYTLTGRH ALFPSYESFLGIVQTMIEQYAKFVKMALDTKWRGDVAGLTYIETSTLWRQEHNGYSHQ NPGLIGSFIGLPRHLARIYLPADANCSVNINLVIGSKNPTRGWLTADEAERHCIAGAS VWTNYSSDGGRDPDIVLVGCGVEVTFEVIAAAAILRNNGVKVRVVNINDLLILGPIGA HPHALTQDAFDSLFTPDKPVIINFHGYPKDMASLLFNRNARVGRSRFDILGYIEEGTT TTPWSMLRLNNTSRYTLADIAVQRVFRDQPNHPVGVRAHELSSFWQHQLVLHDRYTKE HGEDPAWCGEVPDLEDNAA L199_006228 MSVATSSYIPLAERNLWNDVKPIAQDDGPNPVVPIMYSEEYRDA MDYFRAIAATEERSERALELTETIIRMNPAHYTVWQYRMATLLELKKDLEEELQLMNE FAIQNLKSYQVWHHRLLLLTHISPQDPSFEIEYIHQSLLPDPKNYHTWAYLHWLYSHF YTLGRISEKQWQDELRWCEEMLRVDGRNNSAWGWRWYLRVAKPGAETAKDGLKDELNY VLKSIHLIPHNVSAWNYLRGLLRHFKLPLTPLLPAILPYTARPSSTVPEIPQDFDFAT HSVPLPNDTPLPVPLALEYLADALIEQGSNAEAGEVFSELSTQYDKMRAGYWEYRRRE CVEE L199_006229 MPSDRLDPYLGQPYILFYHWEVDSKTPFHLACMSQWFATSFIDP DHSHLTFPTAEHYMMYRKALLFDPEVADEIAKAPTPEEAKERGRKIRNFDRAKWNEIN DGVVERGNYLKFSQNVELKKVILKTKGRTLVESSPTDRIWGIGFGVDDAESKEHEWGA NRMGLALTRARDRLVKEHLEK L199_006230 MRQASADLPVDIQALVNQTIDSLRDLVPNTPTLVSDEIQDAVFD AIDAVDKDIRALNLAIHDNPELGFKEFKAHEHLVKALEKLGFKLSNPSSLPTAFVATY THGEGGRVFGLNSEFDALPEVGHACGHNLIAVVGVAAAVGLKAALKACNISGTVKLIG TPAEEGGGGKVILLNEGVYDDLDACGMAHPGGGYGPTPFTGSCPVDGPATLARSGFEI EFHGRGAHAGAAPWMGINALDAAVQGYTAVSMLRQQLEPTMRVHGIILGSETWAQNII PKYAKVSYSTRALDVKACLELRRKTIACFKSAAEATGCKFNISAPDQEVYADVQNNLP LSLSYQDFMEKTFGDKLEMTGMTTASTDYGNVTYKCPAFAPNFMIPSPTGSGNHTAGF AESAGKPEAHRLAMKVAKGLAVIGAKFLSDDAFAKEVKKEFKKFKRGVGEVEDILSCK EFAAI L199_006231 MFRTSIRATHTRAQTFFSPTPEYVSSHLAKTTDPSIFLLSTSLQ HLPQHLPVIQNHLPNSIGSFSITPPGHESTLSIATFFDDARIFRSDLTGRPPAEVGRF QRPSSQRSQREEDLKGTGQGQAEALLAGEGWAGMWKGEASQQRIAELEGVTAESFLLL TDGRPGPVLKALDAMYPAASKVGILTAATPFITNRPYTLLYNGNIYPSGSLGLAIKSR PKVDLSFGLTPMTEPAVISGAQGNMLLSIEGTNSNPTQVLIAAIQKRGGSGITKEEDF YLGILENGEVQQVVKILSGDPSRGAMSLDMEDSLLAGQTIQPKSDTIKFSALGRSDEE GEVLVGSPRVVDGFLGLSEGGFIFSNPISSICTAPGAVITASW L199_006232 MTYTPRFILNFLICLILPLLASAGDPEVTVTRIENLPNRLFYFD DTPVVLFHDPVRLAVMRSPDEGKTWSPISGPQEGEAVRLIDHPHNNKMAFIIGRDTTH WVTYNQGDSWQSFETPREASLGASMLSFHAEQDGWILFQGRACEDTGLGKWGGGKSCW DETYYTQDAFRSPAKLLLAQTSQCLFARSSPAFVNAPESLIFCVAFDSSNKPGGGGMH SYKESRLYSSEDWYENKKFVDLGIGKRARGVVGLGVVSKFMVAALKVSEGEGAKRAGG DPIEGTYFVEALPDTNRNDYGIVDFEQLVGLEGVGIANVVSNREEVVGWGEAKKIKSK ITYDDVTLMFDKANFQESCSLHVHSVTTPHNIGRVFSSTAPGYVMAVGSVGDSLLPYE DCDTFLSTDAGLTWKMVQEGAHKYEFGDQGSVLVIVDDEEPTDNVKYSYDGGATWSQL DLGVTVRGLVLTTIPDSTSQKFLLIGTLPRRDSGKGGRHALIFLDFAPVQTRQCTNSD FERWYARSEEGKECLMGHKQWYQRRKLDAQCYVGHKFEDPIGHEENCACTDDDYECDF NYVRQDGECVPVGPEPVPAGTCNKADDRYLGSSGYRKIPGNTCENRSDKAKDSPIMKD CSAARPKEGKVSHVTHEFGSVITQHQYFPGSQSILLQLSDGTVWQSSNEGFSWKQLYE KEAFLGVTMHSFANERAYLLTDSKRIYHTTDYGRSWNVITAPEVPNNLGIPILDFHPT KSDWLIYTGAINCADTLSTNCRAVAYYSTDHGRRWKKIEEYVRNCAWARDARLKIDER EIICESYKNKKGSQLGGDYNPLELIAGANYYSKKIKLFDAVVGFASFSEYLLVAQLNE MAGTLSLQVSLDGYHFSEGQFPPSMKIENRAYTILESNTDSVFLHVTMNSDTSKEWGS IFKSNSNGTYYNLAVEYVNRNTAGYVDFEKMLGLDGIAVINIVANPKEADISGKKKLQ TRITHNDGGTWKPMNPPPKDSLGQEYDCTSTSCSLQIHGYTERRDPKATYSSPSAVGL MLAVGNVGEELAPYTDSDVFLTRDGGFTWEEVHKDAHIWEFGDSGSILVLVNDEEATD HILYTTDEGLTWQEYAFGQTLRIKTIQTVPDDTSRRFLLIGNVPGQGEKSILVHLDFS AITNIKCELSIEDPNHDDFQLWSPSEGREENCLFGRQTMYHRRIRDRNCYIGQRVDQP KTIVRNCTCTPADFECEFNYRRDASGNCVLVEGASPLSIDTEYEQCDGTTDYWYERTE YRKIPYSSCEGGERPDRGKRHECPGLILRGGLGGLFWGSIAIIPFAFAGLAGWYYWTK GSRPGAIRLGEHRAFGDDSPAAGVLSIIASVPIFLIALGQEGWAWVTRKVPFLDDLFA SRTPYRSVPIDDDAEILGNYEDD L199_006233 MGAPSSSQGFSTESYFQTQRPPTGLKEKSDKMHDFVEKWKAVKG KKVVLVTSGGTTVPLESNTVRFLDNFSAGTRGATSAEYFLSQGYAVIFLHRLHSLRPF SRHYSHSLNPFLDLLSIVPSTSDSSSSIVVSPEHTKSLLPILQAYHEAQSSGSLLSVE FQTVNDYLWLLKAVTASMASLGRRGMFYLAAAVSDFFLPEEKVAEHKIQSNKGTLSLE MDQVPKVLKPLVQEWTPEGYIVSFKLETEPQLLIPKSRAALSRYGHQLVIGNELHRRK YEVVFVERNSHSSSARPEKGSGDDRIKGTETPPIVESAESKTLDVNGLVQKEEYKETW LRLDDLKDGAAEAGKGRDGEVEIEELIIKELLDRHQRWIDAKE L199_006234 MGMLLLLGQSACMFIASFVVGSIPLWIKSATTGRRLKMISVLGM GLLVGAALTIIIPEGVSTLFDALPTERQGHDESAIHATGISLLLGFALMLLIETLTPH PSPSPPPSPPSSRSHSPASSIDSAHRPLPQHMNSHTPLQSKPKKLHVHDYDDSDGLSG SVHGLNATLGMVIHGAADGIALGASSLSGNGSLSLIIFLAVLVHKGPTALGLTTTLLS LNLAHTAIRKRLIIFSFAAPFGAILTYLLIRAFGSANLGQGHRGDVDAIGWWTGIALL FSGGSFLYVATVIQPLSSHPHDHHSHVESGDPNGQQSQEPQLGKYERTLLLVLGMGLP AMLSWLVGDAH L199_006235 MSHNDPFHDHNQQRNRFTPSPQSSQYPPSSQSQVYPPVPYSYDD GGDMGYGGRMGGGVEINGQHMPWVAGEEDDELKPLTSDPNASTASFLPHSPYQRPLNH MPSTNSVGTDFMRRQTLPRRGVTVKKIKLTKGNFIADYAVPGPVSSSVEDKWIAGTKS NEFSHMRYTAATCDPDDFTPENGWRLKTSSYNRETELLIAITSYNEDKILYARTLHNV MLNIRDICNTKASKFWRRTAEEGRPGWQRIVVALVADGLGPMDKQVLDVLQTIGVFQD GILKKEVDGKPTVAHIFEYTTQLSIDATPQLVQPHPGDANNLVPVQMIFVLKAENSKK INSHRWLFNALGRQLNPEICVLLDAGTKPGHKAIYHLWEAFYNNPNLGGACGEIHAMI KGGKKLLNPLVAAQNFEYKMSNILDKPLESSFGYVSVLPGAFSAYRFRAIQGRPLEQY FHGDHTMAARLGKKGIYGMNIFTKNMFLAEDRILCFELVAKAKDRWVLQYVKPSKAET DVPEQAAELISQRRRWLNGSFAASVYALIHFFRLYKSGHGPIRMLFFHVQGLYNFFNL IFSWFALANLWLTFSIIINLVPAGSNINLFVTAEVTYWVNLVLMWIYMAFLMLQFVLA LGNRPKGEKGLYLLTLWVFAVLAAYLIVCSVILSVVAFKGALSDPGNIGQKLANLFNA TNGVLVAAVMSTIGIYLIASFLYRDPWHMFSSFPQYMLLAPSFTNVLNVYAFCNLHDV SWGTKGSDKAEALPAVSSSKEKGGDAAVVEEPQRNQDELDESFKSVVQRAVAPYKNEE KEEKPSMDDENRTFRTRLVGFWLLSNAGLAISIQTLNGLDTTKKLVEKCVPEDYDPFS GNVTVPMNGTCIENALKFNTVELQNKQQFYFQALLWATFGLSMVRFLGCLYYWTARQL GRCCRRN L199_006236 MAIFGSKFKSGRTTPQIFNHPFTEGADPPTPVTASRDKPGPRHP TPSSTPRQTSRSPAKPLNESPRTIKSGGTSSPSTRPRKDFTATTPSSAGQTNSSPTKW CKSASSTPIAALPPSLPPPVYALPPTPEASPDRRASTIHNSTQGVGHPSKPSLGLNTK TLPAPPSSYTSPNTHTRTGSAPLSTTQSSQFRARSGSASISSRPNTVIKGPCPSLVST SSTLDVGSKSRSFDTFGHGSHEPKHRPVALKLRTSNLDPQLASSSRIQIKMNHSVRAI KEFDEKSHKSGIEIDAVKSGGLFSFHLHRPDRHSDQSLQVEYAPTPIQSSRPSTVRHQ SEETIRPSSHPHEQEQTRTERPRSFSTNDVMNITEMDKLRSIDETPRKQRSQPTFKPL RSPPSPTLGHRNCVTPGLSSAMSDLSATPGTTYTSLPTTTSRSGVKPFDDLPIPWCTK PSSELLAEIGEELYNNLIEHSLSDQMVSSPRLLHPVILENSKSEEIRLRAELSRLKEK HFLLVNQRESLSQKIEQGIFKLDQIKLHKMVQALGQASRRVDRVSRQIYICNDQIRQI EIEAKEHSVGVVKISLKKRDKELKSLKEELDSIMQNKQNQHEVETHARDSVNEDSSYI STPRDHGSATTATTTVRFLEPPTPSPRSPVKISLNRSSRPLSTATVINIHSLSFPFPP DRKRDDPSSSGNPSELDETKGMSKYTTHGGLTVKICENEEGEGETEQDLDTDKESTDS HTTAISITGPTNEILIYPPGHHRSLSAPIMGLEVPLSAYRSEDGHQLSKVAMNMGIMD HGDYHLKYTSNHERSISESDIMTPPVSSTLRFTAPLKIKSPMRHINQGRSRRQVNSLT VRGNENREEMVRARMGRESLLETPESILLSLATAPIWTRPDCEGR L199_006237 MPNAAPFWLCHECGAQMRPVTVNGVSHCASCNGEFIEILDPEVN PDPFHELPPAPPTRPGAEPSSPSRSNPPPPPFQHNPGQGGQSFLSSLFGNILGAAADH QHGEGSRSPSGGSGTHDGSGSSETSPSRSTGNTGGSGGGARTFSFNFPGGGRGQVVFG FGSGSGGGMGMGPFGPTGQGATTGGMDFESLFPQGFGPPPRAGPGGPRPLGPGDPMDG AELLRALMAVMGEEGQGGPGMFFGPAGRANLGDYATSEQGFNEILERLMQAAGPQGPL PASDIVIEGLPRFKFDDEKKLAQSTYKDCPVCKDDFVVGDEVMRIPCAHIFHPDCLVP WLKQNGSCPVCRFSLVPEEEDRTRRSQEQGQGQGSGTAQNNQEGGQTTMTSILNRLFG QAGGTTSNPTSPTDNETNHFTFGSAGQAAQSSTPGATTSSNSAPASNSVTGADQPLTA ATGNSAQSQDPPSPTLPTSGQPVTQDSQQTSDQDRPSLSSAIPEDYRARHRERERQRQ HEEDNQG L199_006238 MASQPRIAIIGGGISGVCLAIALQKRNIDVTLYEQAPKFGEIGA GVAFNPAATRAMKLCSTDIYDAFEKVATTNMSSEKQKTWFDWLDGEADEPIGQEPYLF SVTNEFGANAVHRAHFLDEIVDLLKEGTTKFGKHLDTIIDEGDTKPLKMRFHDGTEEE TDAIIGCDGIKSSVRTWMLGKDYPGSSPSYTHKYAYRGLIPMKKAVEALGEDLAQNAK MHMGHNAHVLTFPVDHGETMNVVAFRTNSESWPSSEKLVLPSEKSHVKKDFEHFGPTV QKIIDILEPKLDCWAIFDLGDHPMESYHKRRVCVLGDAAHATSPHHGAGAGMCIEDVA MMAELLNDHKVRQQGLKGVEIAVKTFSQTRTERTQWLVRSSRRSGDLYEWRVEGIGKD IEKIQKECKESNEKIWNAQITEMVKEAKSVLDRKF L199_006239 MAPPEAIWNDPQALADYYIDFAIPLRPQPGVLVASVIVSYLGSY ATLLVLGRRTSSRGWRNHGLLLLAAVVFAACAIWGMHFVSMISIRLRASPYVTWYIQF DKGMTALSLFVPILATFISFWLIGSEIDFHIWRVLFAGAFQGLTIGLMHYSASFRLPT LQVTYTAVTVVFSLILAAVAAIVALFLFFRLRAQWEESWWKRALCALLLATAVCGMHY LGLGGTSYRYKQGVDPMILANAGGQATRLTIAISVMCGVIVLLCFVIAFLDAMTKRQI RNKARNIVIASAAFDKTGKLLVKNDGTIPMQVIQTDADLQRVLGELDPRQSTFQWLYQ LSFNWALVTPFVPRILKSVIDRQKGKSQQPAALDRGLNSSWETLLFRSRFVEASVLLA QQLDLSVESLGAMFDRVLTTGTRLPEERSEKSAEEGKAGVKGDDESSIHGITLRMHNS EGVMLFLVREIGEGNPSAWDNPNADKNQLRSNDTVDSYTSRGYRMAETRFFSKAMADH FGVSKPEMDVFLSACKTYAKRGTRPVVQSGGAYLGLFGVRPTGTQMQGLDVLVYNFAR HQIPAYRLPDVGYPLNATMKAWVRELANANMGEVLRRCNEAVARAENSDDGSASLHSQ IDESLYEFQAAIAVAIEALTTALRCWPTLLDIARLSPEILEIPASDHDDKAPAQMVVL EVVLPAPDARLTPVQSRASGVQAPALASGRHESDKPPAPFVYTPFSLFTKSQAMLLRA KAFQEFSKNTVIDLNKVYPLMPTDVAAELDAFDSGEKGIDASGNPFAIGQLQGRWNTR GKSASKGLIVDTASANTHHARYTSDASSLNLKSPISTDGSEAAYEKGFGNISQIHSLA VATQGRRGSRPNTGASEHSVQSHSLVASDPVETVKKGLTSLVNKATGNTTQPEEDERN VVDHEADVGPPVYTSVRNRTDGWFMRSMRDLERSDRTGALEHVGWQEN L199_006240 MPKANKSSALAGTRKKNARKAAKVTGEDPDEAQAKSGMKPQRGQ KKLSKAQKKALPKIKQYIPPPKPPAPPIPDPLDGLGLARTLPAELVVVLRRLGKKDDV TRRKGLEELKEGWINELIATKDLTEDQEMGLERELKETAILSAIPVWLHNIASLLQSP FHRSSAIQLHSDLLSIPSLRTAILESLSLSLLPGAQSRDILGSWMVAALEEGRRAGGA GLRSWDNSVTWSTPTQEEDGEETSSTSNDSEKIVLSQHLGDIVDYLTLSILDPATLHD NIHPAPVSSAPSTSSPAPNKKGQNIKGGGKGKSTPNPQPKQQISALTSTAAEDGEVME ERLARYRVGGLVGLNYLLQQLSKADIKDLPADLVNLIKNPGLWLALSSETIDNSDSDS PNGLGTAQPPIRRAAYSLISTLVENNPEHLEEKDTLRMLSDAVLSNCWLETEGIVWET AAMAIAKFIRKWPECWTITSELQSRRGSAQEKTSANGDQEDEDDDDDDDESGSDEEER PANNNQADVSQSAPITTSPYYANFLEFISTICPTIPHLTYPLLLVVISTLPADLLPLV PSPTSQLQNLFSHIWAPVDSRLLSTHSLPGQQSAFQVFLQSMLDCTGYLVSKAWSTDD GRETASWLVNTQLGDKVWKEGVLEFGGRGGGRRAQKGASQEVEANAFGRSLGRLSTVD AGLARELSEIVKQSILEGCFPENPSSSILSRSLSILSALKTSTDSEIVLQTTEQSITS LLQRCVEALPKSIAGDGVSIVTIVETLVEGYKQYTQLVHDETTNTLVQVVQDNPVVLS SALPSDLLVSLLSAIHSKSSTNQERIQQITLSLLQSSEIDTVKRFSFAQSLLSAPTNG LLQPGSLDNLATESTQSALASNSPEPTRVTISCLKASQYLSRDALDEVLALVSTAIHD STEKLITGHDFSEDTLPISAFKIFATYASEHLEAVIDSAIWIQSLISVHHVIFLLPRV PGHIASSFGKDEALAQLWVKVGQLGVEQKQSVLQKIHQALKDGIQRVEVEVDPIIFID VALATTLGDLRAPSVIELSKSLLPPIAELIDEITTHTNRPSHPSLPIIDPLVPHSSEA TPDISLESDFDLIGRSRAARWAEAVVALLRADRQLVASQPALLQVALAAYQLTHDTLA VPGSSRGLYAQSASIVHLTDLVREVEGALSYALSFVDEAPISWHNDTIQMLKGGSLPE NADLLQKLLSALKNDIIDTGGDVSARSFKDVLSRHFRQSGAGEAEAEVWLNFGMSLID KAPQLALAIIYAIKPLLLDHKSFALAQNRLANALTSVKPTPSAVRKRGIPYIRLLIAS APPADAASIFLPQQRAIFVLRHVNSWLTADDDEGEMPEDVEYRIAELETALAPIVQDL SGGHWDSIFDLVESGLEDASLEDITTSCLTYQSLLLLQQTRDLCQTNKSLRASWTAKD DHMKAVLNLFLQCRTADSTPLLMIQNIVLDLLGDIPEHVMENASLGQLADLIHLSTSS AVQSASYRILSKVIKHNTIALVLEVEASIAEDEEGHQHRTIELPKELVDIVGEGLKID WMGEIEVYTVLGQLLAWLAILDHFEDASRTLRWTYLDQLNSSKLLTEGLLPMLFAMLG VSEMGAWNFPASQYAVDEFYPDLLDPEELADLTPLASYLFYRALVTIPSALRSYYESI KSRQLSMSMLTFVARHYSPVIIAHEFEALREPSALKQLTEEGLNIRIAQGGGATLAAS AGSGSSEAIASYVVDEQPMEIGIRLPAEFPLKGVDVRDLRRVGVPENKWRGWLMSTQQ TITSRNGLILEALTVFKKNVSLHFEGVVECAICYSIISLTDRTLPTKPCRTCKNRFHA SCLFKWFNSSHSSSCPLCRSLF L199_006241 MADSTIPSSSSSHPLPHLAPVGDGPHESVDIDPGPSSRPFTDAQ VEEFREQDRWLPIANVARIMKTSLPASAKVSKEAKECVQECVSEFISFITSEAAEKCL KEKRKTLNGEDILTSMRSLGFDNYEGVLRVYLAKYRDSHHSTNKRGGEDDDGDVISLN GEPKKKRGRGRQSTTGTAGGSAANSVDGKGKKRKTDDEQVDTR L199_006242 MSSSRPKVNLRSTAVKRIMQEASELANADIEEDGFVAAPLEDDI FEWHCTMRGVSGSEYDGGLYHLRILLPPSYPMSAPDIMLMTPNGRFELGKKICIDGLT SFHAGSWQPAWGVRTAVVGLRSFWMQTGEALSAIGALDYSKEERRRLANLSKDWRCPN CGVTNQEIIPPSSASPSSSSATQTERQALSHVHDQASQENGDSLSEVVSVSNDRGEKD QSDISIDPNPQEMPSILPPFNTSTIGPSSTGLQALPIDIIPPSIEEPPSFTSTRGQSK EPGHSTSVQGFSTTDERGIPSPIPTHSISPPTTSPAIDTSSNRETNINANTNANANTN TDANSNAATAPVLRPNQQRSPAFYLLDSLILAGISILIMLIAKRMA L199_006243 MASSLQHLGRGALRVAKNYTKGYTDTQTKVRDATSNDPWGPSGQ QMNEIAQMTYNQSDFVEIMEMMDKRLNDKGKNWRHVFKALTLLDYCLHAGSENVVIYF KDNIYVVKTLKEFVYVDDTGKDVGANVRQKAKDITNLLQDDARLREERRSRGAMRDRM LGNIENSGLRGHDDYGEPRSPARETPRPKPNRNRNEDDDLQKAIEESKRMSEDEAKRR SQLTKEEDDLRRALRLSEEDEEKRKKELDASNQNALFDDSLNIQSNNAYQQQPDLFAQ QTQQMPMMPTGGWPLVDIGYGQQQQLQPQYTSYNPFHAQMMQQQQQEEYMRQQMALQE QQRQHEEYMRQQQFLQQQHTSLFPQPTGYGSNNPFAPGGGMQSQQSYSPAPQQQQQSS FLPVPVVSQQQQQSPQTTQQPLEPQPTAKPSPWTAPAPKRDDGEHSGLAALLAKGRED GLDTFGNVGNLRIPVGSQFHNSNRMAVQQTGAQGFGANNPFGQLQSQQTNQQQQQQPQ QRNDQPFFSI L199_006244 MPPIYVKPENALKRSEELLALGTPQSQQQAFENLVEVFMSKKFK HTPVSILEPIVFKFLDLCVEMNRKAHARQALLQFKNAVQTTSVASIEKVLNHFIAQAE ARLASATEQAKAEVAALPENPVVDDELPLQPTSLLLDTFVDNAGDRERIERRLIAPAQ KFCWDAYDISLDIAKSNDRLEVIYQSVAHRAFNFCKVHQRKSDFRRLCEQRLRKDLTN AAKYGHQQHAINLSDPETLGRFLDTRFLQLETAVELELWQEAFRSVEDVHGLVAAGKK VAKPAMMANYYEKLTQIFKAEGGKQTAVFHAAAWARYFQYAERAGISNDKAPGSVLLS ALAVPLGEVETKQRLIALLNLPKMPTRESLVKDAAGKHLKRVPADIRQIYHILEVDFQ PTTACKTLAPLISSLAPEYQPYLPALREVVLSRLVQELAQVYDTVTLSHILNLVKPFE NTPWATDMPSLEKFLMSACRRGDISASVDHVAQTITFVTSTAQPNRLSDLAIVLYNTI QYLNPTPVTSRADAFAAALAQAEEERKQVAHRRQIVQKRRELMEEANLRKQREESTAL AERLKAKALEDERRAKEAAKQAEVDRLKKQMEDARRAEAEQLAKQLADKGALKVDIKS IEDLDSSKLVALQVEQLAKEKREHAERLRIVGKRVDHLERAMRKEERPLLAEDYEKQK VQDKIEHERLNKESKELAIQQQKASVELKNRLGRMLPDFIVARDNVESQREQEFKVAR EKAREKVEEEKKKLKERLIERKKAEKAKREEERREAEERERQAAEEAEARAAEEAARA EEEAKAAAAIEAAKAEAAERAAKARAERDAQRAKDEELLKMRLAREEEAERRRAERSS GAGAYRRPGAPAPAAAETPAAAPALAPTTVKAGGWRERAAAKAAAEANGTASPTATPS SPARQASAPAPAEGQAQGDALAPERGAKWTRGMGGRGRGGATPPTSGRAPSSRW L199_006245 MSTSYAPPSGPPPLTTTNTAVPNDDDPFDPPPAYTPSTAHSAQP ETTLEAGPSRMDFSGPPPMPDRLEQNITGVGVGFGRRPQHELGSQYTGNSVQHQNQQT GPPQLPPRNPSTSSAYGGGFSSPSGPPPGKEPIHQNDHGAGPSRPSATSADLSPTEVP TPGRPLLWRGQLLVYPKGFWCHKCNNTGYKANDPSNPHETDWKKYGKPYTSALSTSYL QLTSPESNPSTSSSANFQRPLPTFPQQPQQNQYGHLPPPPGAWGTYPGHNAHHAPRPP PPPPPPQHMMAHQQQMPGQQIFVQRGPGYVPPGALVVGPGDPRIGGRVCYKCGGTGRE NDFLFGFDVGRCYYCQGLGRVF L199_006246 MPQRANNHSSLQRTYEEDRRALTGLVKQLEKIKVRPRKSRKSKK CRHGTRFANTSASTRLGHRQIIAAPSYAATSQVYHDPFRIEEAQEEAGPFLGVVRILI HELCKTLGIISEFT L199_006247 MPNHDSTRGYSTDAAATTVSDPTNTCICSNDDASTGRKSTSTSS SSDDDMCPFYFCPAFILAFLDVVHLVIRSVEDVEMQHFFNGMSIVFLSMAFALLLFHQ PQPSNHAPICPYYRTKGWRNGESGYRAFLSIVLMITLAVLFWVMFGDSRPIEQSPCVL SNSIVQELKEAVKEGSNTQ L199_006248 MAAQIGHSQKAKPLSPVSVTFLGTSSGGGPILSRNCSSLAVDFG NEIWLFDAADGTLMRLHQSSLRIANISRIFITHMHADHTLGLVAIMMTIMSGVGVKPG ENEELAKLGKKKKATFHIYGPAGIRKLIRTTLSVTSINLAGVFAIHEILEQGEEPSVD CEEENLHSNEAVGIDFIANDDGVWENILQQGNGKSGKGWSVKAGPIHHRVPSLGYVLE EPIPRVQLDTSSLIPLLKSNAEALASLDPPIKHPLSLLSHLTSLPSPPPYTLPSGDVI HPPPPSGISPRKLVIFGDCSGGTENSTFQKMCENPSLLVHECTNGHIPHDVQRGDKGM KIRKKDLEPSLEAKRDRLFFHKPTDGKKGHPHENGNGHHSFEDILAKDNEKRLEVQKK ALSRGHSTPEEVGNFAKTIKARRVIVNHFSAMFPSPRYPSTEPFPSILSPISPFPYPS PTNTPSNAHGYDPHVEPHPLTKNELHTRLIMQSLADQITEIWSPIEEDKVVRMAIPSR DFMSVRISSHELSDIEQDDIRRYREELNRIMGSWKECGGVWVDLPKEVSRIWLGVEPA PLIGGKTAPQEGNHIRFEE L199_006249 MSGSLTHILFEGASGYALFTVSMQEEIAAKSKQLQESINDITIF SRMVQLASFLPFTSAAQALENANDVSEGVLNDHLKNLLNLIMPNAAGKTNKKQSGVVL GVAERGLAGAIQGELGIPCDTSERALELIRGVRLHQEKILIKGGMQKGDVAIAQLGLG HSYSRGKVKFNVNRSDNMIIQAISLSDQLDKDLNTFSMRVREWYGWHFPELYKLVPDA HQYATLAVLIGDRTKITEDFLEEMQAILDDDETRARNVLDAARASMGSDINEIDLINI SNFAERVVKLAEYRKSLRRYLVEKMNVVAPNLSALIGETIAARLISHAGSLTNLAKYP ASTVQILGAEKALFRALKTKGNTPKYGLIYHSTFIGRAGTKHKGRISRFLANKCSIAC RIDCFSDVPTNKFGEALRAQVEERLNFFETGAPVSKNSEAIQKALTAIAADLGDDDDE DDDEEGDVKEDDIADAVKQVEKDQKESKKSKSKQALDPELAAIAGGLPVPVASSTPSK EKKDKKDKKDKKEKKEKRKSEAMDIDVDVEEKKEKKDKKEKKEKKDKEEKKDKKKKRK SEA L199_006250 MPATSSLLVREGQTDFAPFSPPDLSLAHTQWLLVLQSRMDALNS ARDHSLDFDLGGTSVDSDLVAPLEEAVDEARRMVCALGGEMRRRSEGNLPPWVEQKGH RIDNAMIRNTSAGQ L199_006251 MIISIILAVPFHLTPVFALNDNLTGPGLAWPNRLWVPMGGFTAP GTVISSYYTWGPDPIIPPTNSSDIWDIPFPFIPMLWGCTPTYVEPFQRALWSDFPNAT LTPQRDILGFNEPDHPQQALCTAQEAATVWREVLEPLRYQGYRLGSPAVTSGETGRQW MKDWYDACQGACNPDFLALHWYDLVPQNFIEHIQYYHNTYNLPVWVTEYAPQNFSVFN PATNEYDGQATYVEVQTFMDITTAYMKSVDWVERWFWFGAMYEMQGVNELDCLFDQSG KPNRTGALNELGVQYANSNGSVSVEHRLSPSSAIRPTDRIMSLYGIIIVTLILLLTS L199_006252 MVMWIYKRDGRKEPVAFDKVTARINKLSYGLDPNFVEPAEITQK VIVGIHAGITTVELDNLAAETAAYLTTKHPDYAILAARIAISNLHKETKKHFSSVIQD LYEWVNPKTGKHAPMIADDVYKIVMDNKETLDSAIIYDRDFAYNYFGFKTLERSYLLR VNGKIVERPQHMIMRVAVGIHGANIDKVIETYNLMSERYFTHASPTLFNSGTPHAQMS SCFLVAMRDDSIDGIYDTLKTCAQISKTAGGIGLHIHNIRAKGAYIAGTNGYSNGIVP MLRAYDATARYVDQGGNKRPGAFAIYLEPWHADVFDFLDLRKNHGKEEVRARDLFYAL WIPDLFMKRVEQDGDWTLICPSECPGLADVHSEEFEKLYEGYEKAGKGRKTIKAQKLW FSILEAQTETGGPFILYKDAANSKSNQQHLGTIKSSNLCTEIIEYSAPDEVAVCNLAS LALPAFVDLEKRTYDFKKLHEITKVVTKNLDQVITRNYYPVPEARNSNMRHRPVGLGV QGLADAFMALRMPFDSPAARELNIQIFETIYHAALTASCEMAQELGKYPSYEGSPISQ GKLQPDFWGRTPTDLWDWTELRSNIAKHGVRNSLLVAPMPTASTSQILGWNECFEPYT SMLYARRVLSGDFQVVCPWLLRDLINLGLWDDNMKNLIIAAGGSIQNIPQIPAELKAI YKTVWEISQKAVIDLAADRGAFIDQSQSLNIHLANPSFSQLTSMHFYGWKRGLKTGAY YLRTKPSANAIQFTIDAATLKQAKSLAADGKKPAAAGSPSASAESLVAPMRQVKIATT ASSAPVPQPPAPESRSDSPKPSEEEEITYEEAKRRAEERAEAALQCSIENKDACLMCS G L199_006253 MPPYLFPLPTTALLTFSSLLTDPSGSYTTVLSEATAARTRLQLA LKSVHDNEPGSSALAVLDAVQNYLPYLKGIIACLDADELLFKGEPNFPWTSPLTQYKL SPPLLSLPSIHSEHLFVLLTYTLALSNYAHYILSSLPIYEKESVSRNLSSEDEKRITA GLSRAVDLLCQASGLADWIAENVSLQVEPIKNALGGRLAGKGGNRWPVESNRETFKGL SMMFLADAHLTAIRKLLLPVLPHVLFSPAGPPLPSNHPSASLLAKLYLHVTSLYTSSR ALLKVQQQSSSSEGKKLFSKDFDIESSEGEIIVTLKRYLKKESLLSSALANKWLGIDL GEGAKSTTPKIGEALSYLKESLSRLEDLEDSKTRERLKGLSIGIGKNTERKKEERKNR KSRVEYEVEDTKAWVKSYTKMNDTVTFQPIPPVSSLIAPSGRPIFGPKAFVPPPSKFE PARKLPSPGDDDDETGAKDKSGEGEGEYAGKGSYF L199_006254 MSPFLTFGNTRRGNIYSSPTALPPTPPLPPPPSYQSIQEQDQKP CFHRYFIQQTLTTHEFIHLFSLIIIVDLTIIILIQLQGQLWLPFCLSSIMWYIVGDGQ SYSHIPVDRYPFPTTFREMFLRKDIHLKSTLRMLAWVNTGLFFACLCKVVLYSLNPPC VPGISSTAVQLHLYNSIPLE L199_006255 MPTLGPSLLFAAGLTLGVGAGIFYPRNPTSPIQNVQLPPPPPEG GKQDSKALVPTAMGSAVLSHGFPGPTPDILKRTAYTAAYDRRLKHPAWTAEHLTATSL AKTPPPSAPNTLPVPLDQARKADTLPTANGEKVVIKGDRSKSVFMEDEGVPEMFRAKL QDYFKSGYDRGHMVPAADAKISQKAMDETFYLSNIAPQVGDGFNRHYWAYVEDFCRRL TSNFEDVYVFTVPLYLPAKHPDGKWRVTYEVIGNPPSVSVPTHFAKVILASRPDFSYP QKPSSNSNPAYPSTSPNTIKELALGAFVLPNKEIPDEADLRSFIVPVEHVERAAGLNL FNEQVKMKSKQLCTVTQCQVIVRRFDDARKSIGKK L199_006256 MSTEYLMGDIGNVLEALLGTADEHRQEAENHLRNLVVDAPAEIL LLLAQIGAQGVGGFQLDYRLLALILLRRLAFKPVSGLFLNSTSQHATAPFDVIRETTR GRIETVLCAGLKDEMDTRMRKGLGKCAAGWVQESSARHRPLLPLPPVLLELTASPHPF HRFTPFQLLDMTPTLLVDSVSDPLPAPQLAQILLAGVNDPSVDVRVEAIKAVRSVLME GVTGKEREEVGADLLHQAFRSLPRLPSESLSHALVPLVDLASVHPNLYLPSLNDILPY LLSLISPPSTSISHQFSPYPPSSLPMEQWEEIANPSTEILLSLCELRPTQLEGWETGK VPRELVGLLIGRQVGSFDEDCQDWLDTTNLDEEDEDYPVFAEEALDRLANAFGGTTIL PALSNQVESLLTQQDWRCRYCALVAIAAVAEGCLEELQPRIRDVLTIISPTAKDPHPR VRYAFLQCIGQLCSDCEGTMQKEYADDVLQVCLALLEDPITRVRTHSAACLTNFFQDV ELESFASYLDPLVRSLLNQFRAGPLFLQEQILATMSNVALGALESFAPYYRDVMDINI HTLASATSESQQKLQGRAMECASLLGSAVGKATFALDAVKLAQLMITIQNNLQPDDKR SSYLMDAWTSLCQTLGDDFEPFLPQVIPPLLNAASYKPPKMDVLVSSFEESTPDSEDT LVASNTSEMDEKVQAFENLALYAFTMRGKFQPWLMESMELSLEGLVDKYSEGVREAAA FLVPGLLQVAKDSRVWNDSPNNLIEVFQRVINAITKESEPSFLALLYKSFTDSLHVIS LSLPFNLSQQLIKSTEAHLRDLESRRVDRELQADYMDEADREIYMEEQENEDSAMDQV ENALFMILQFNGDEQVKNRVEELRTLKGQVKKRGLEGGEVEG L199_006257 MSSTTQSNITRTSTIGRDTSDTFTTEPASDATPSTVFVCLTRDL RNAIITDITASHGPTLRDNQKEPFKQYKEECREASLSLAKDKSMSTIFRTSSIPNIIQ TFQSRLNTITPNPPGSRVIVVDGQDISESWNKFANRVKLDRVCGHLVLSVKDPKAVSG ETLSKRWFGSSKDAEITGCKIRNGRPSGPQREEAYRKLHDFGSRYLDEKNSNVWPGES VATELSNRPEHFGCGVVTVSEAMFSLPGMFEDVVYTRGNGYSDQGKRSDDHAPASEDL IDFEHETPANADKTATTGLPYNKGEWNEESWETW L199_006258 MSIEEASSRNALFSDVAAHAEFQSFLQKVWDVTKSMYGKNPDRR MLANLSQDSIDADLKTRLAILQMYDERSQKIQEGLWASVQALDRSEYEEVSSLFKHMA KHSGVDEKNNNIEEKAKTFTGYFCPIRQEVPVGSTSFLGKFTTTMKTLITDYAICQNT GFRPTDDNLQFAQSFAEHVRLRFPLGLSSPSVAQLRDLADRMGLREHVGIHPFSKSTA EKCCFLYRPKEDTQSQETSLSEVETAVTDMSLLDVDHTDIDFPSLAPSRQELQGNADH SSV L199_006259 MKSDTKKDPPIRSYFCPIQQQREVLASYTSSGTTTYKNIDVVTD YAICQNVYIREPSTDENFSDAEDFSEIIQEQYPLDGRSKVPCIWDIEQLAERWGFKEK VGISPVWQSTANNIDFLYKPKSKANTSSLLDTAIDIEQRVDDWSLI L199_006260 MASPSFEPTETLTLPSQITYKPYNDTSPDRPTIYCSVYPDNTHR AMLTPVTLYSSFVHPTTHPLAYSECAAVLQSISRELSITYGNQSNIRPFVNMSSK L199_006261 MATNITFHPGSVTQDERDQLLNQKGATIWLTGLSASGKSTIATA LEQHLLHKKLHAYRLDGDNIRFGLNKDLGFDQASRVENIRRIGEVSLLFSLSSTIAIT AFISPYISDRELARTLHENHSPAIPFIEVYVDAPLDVVEKRDPKGLYKKARAGEIKDF TGISAPYEAPAKPEIHIRTDQVDVAGAVEIIVKYLEEKGLIPA L199_006262 MNAALMAQIQQGKGLKKTQTNDRSAPAVVGGGSSGGGGGGGGGG GGGGGRNVSSSGAGGAVSGSDGPAQQLAGLFAGGMPTLKKAGDRATSAASTLAKAPPI PGLSKASSKPSAAPPPPPGPSSAGRHSAAPLPPPPPPGPPSRIAPPAPPPPGRSTPAP PSRVAPPAPPPPPPPTAAPPRAPPAPPAPPRAPPVPPSAPSRTAPPAPPPPPPAPSPP ARSVPTPPGRNVPNPPGRSAPSAPPPPPPPPPASAPPSRGAPPPPPRPNGAPSLPSRP NSTVGRSVPPPPPRPGSSSGPPRAPPPPPRPTGPPTLPSRTPSTSTPSRGLPPPLPPT SSLAPPTPPRRPSSTSVTPPTPPAPPAPPAGGPRRLPPPRASLPPPRQPSPEPEPEYE EEEEAPPPPVGISPARNAPSRGVPPLPPALPSPASRAVPSSPARAPPSPTPERTRPQP AGTHFPPPPPPPGISPAARAPPAPPVLSNGNKNGYQDDDDDEDQGGVPEPPAVVGSWT FPMKGMFPAPRPWTGGKKSYASGRNGGAGIGCTD L199_006263 MSVNFSPYQPPPDVPSTDPPESSTKKGKSKRPWFTRDQSSYATN SYQSGGSISDPTSQAQAYSNDPEAAGLLNGSGSGMGNGAFGEGDRANAWESRFGWRVD FMAAAAYLGGPVTALLFLILETQNDYVRFHAYQSALFTTPLLILFLIFKLIIVLPSFL RIIYILAAVGGTLYVAFRAWKDAQEGLSRYWLPYIGEIAERWVGEE L199_006264 MVLPLWMRGGKAISIPLTRHHILQLPLFPTLLLLFGLFILYNQI RPTPPYRPYHPSSPLSSKQYALSSSPFVVDPSLFLSPPPINKPDYLPDPLPPKNPKML IPNSVHYVYGLKDVKDGEKGEELPYYAYLAMRSALINLKPEKTYFHYKHLPTGPWWDL ISPHLTLIRTEVPDQIYGRPLNHFAHKADVLRLLAMKYSGGIYLDIDIYVIKPFDDLL YFPTTLGMEASPDSRRSALDPEGLCNAVIISTPQSPFIDRWLASYETFDGGVWAHHSV VKPWELARAHPEEIQVLSERAFFWPMWHGEEVQKVHEEGEFDFDKTGQYAYHAWESLA MGYLSKLSPRSIRENDNSFNRMVKKFIGPEDDEVYKRWKGGD L199_006265 MSSSSTTPPPDPTEQPEQSRSPAFERWRISLSNFTGLGLSEEEK ERRTLEKDWDKCEKYKKDLMTNSPMITFLLSHLKHSGCEFDSSSIQCHPCPETRSGGF SPDHGILLCQNRFFSKKHMEDTLSHELIHAFDHCRFKVDWGNLRHHACSEIRAANLSG DCRWTREVKRGFYSFNKQHQACVKRRAILSVLANPSCKSPEMAEKAVNEVWESCFKDT RPFDEVY L199_006266 MSRSPEKYDSRSPDVEMSPSLSPSRSRRPDTQDDEEAPAKRMRT DRKVEDKARNKRLFGNILGTLQKFQKDDKSSRTSEAAKRREQVSSRIAAKLRSETTLH NEIAESEREIKTLRINTESAEYILRHKEVALKARHDFLKPTSKFLYTSLPPDEPLIFE TNLLNPSPIPFIKGPSREPPHGKELAPLYYLPKILLPSQSSALKSRQANIFEIISEEI DALQKEKERVSNESIKNKERIEELSEKLRELRKQVKPTNKDGDSGRDKDDFGRTPREE MDVDREREQPKEKEKEGEERGVVIKGDEGDIEVEY L199_006267 MGIPKFFRWISERYPLTSQLITPNSIPTFDNLYLDMNGIIHNCS HPPSSENDPHFRITEEQMILAIFAYIDHLFTKIKPQKVFFMAIDGVAPRAKMNQQRSR RFRTAKDAVEKRREAEKKGEKLPEEKAFDSNCITPGTPFMARLSNHLKYYVTKRISED AEWRNVKVILSGHDVPGEGEHKIQEYIRLSKAQPDYNPNTRHCLYGLDADLIMLGLLS HDPHFCLLREEVTFGRKTKKTTGLANTNFYLLHLSLLREYLDLEFGSLATQISFKYDL ERIIDDFILMAVFVGNDFLPHLPDLHINEGALERIWGIYKEILPVAGGYLNEHGTISL PRLQLMLDKLAQFEIDNFEEEYADQNWYKGKQSKEIEAMEKARKKGKMVITKDQQKIL NQVRQFVTKHQAKPSAADRCVIVNNFSARDQRFVQELGDDLHLTTTWDEVDDYGQNLV VMTFNLEGVSEDGAAAVAGEEADGEEWESEEEDEDSEGALAIQRVFAKYNKAKIVDNV VEDFEEAYEEKLKENLDDWKKRYYKEKLDIDFNKPEEMHAIVFRYIEGLQWILNYYYK GVASWGWFYNYHYAPRITDLKGIPDFKFDFNLGKPFTPFQQLMGVLPEESKEHVPPAY RDLMYEETSPIIDFYPRDFALDMNGKKQDWEAVIKIPFIDEVRLLRAMAARDQRLTSE EKSRNQNYVATQFEYDAEQEASYPSSAPGYFPDLAKAQCRSSPFHLPTLGDGIELILG LLDGVHLGAKALAGFPSLQTLPHQGTLGYHGVNVFQSDSRNQSMIITITAKHDRPNTG DIAKRMIGQRTFHSWPYLHEGMVVAVSDDMFKYELQKMGKTAKVVSTPFNPFQAIAWK KSADHIEHHNSKRFGIITGNVDVALHVRPLKGMKRLDTGALVKDYEGPEKEITQAYQL AVNQVTFEDERYLEQAAPPMSQEFPDGEKVIFLGQMGYGTAAQVIKTTDTTLDVALAY FPSEKQENLNFSKLVAHRPAGTYYPSPVLARRLNIPPLGLSRITSTLLVLLEDGSKSN IGLALKFESKGLKVLGFSRKNDRGWEYSEKTAQILQEYKEAFPEPFANLDSRGGDLVT SAELCPTADDPDSVIKAMRKWLKERDLLDPETVSLFAEQLEKESVAMIEKLADQYRNM KSPNMIKRAVVKAIPRQAVLKPAHAIYRLQGQTFAVGDRVIMVQDAAAGGVPLAMKGV VVGLGSRDIDVVWDVPFMGGETLQGRCSEYRGSTVPFTSCLNLTRPQFAVGGTDQEDR AVGQHAAFKPQLGPRPVLQMQNYQPSAPGRKTFNQHPQQAHTIMKNPNRAPPQNVNGT QSYGNAARGIKPPVQVQGGQQSHGEKLANALGAKHIAHRPGPAHPQPPQIVRSPKAQA NVALPVPLPQGRPQPKYEAIQPEVQQNGHAPHVNGGAGRGRGRGGGGFRGRGDGRGRG RGGNRGGSRGGAPVNT L199_006268 MRNKDPEKAVDIPPQHENEETMAQQSALETGEVTVIRKIILAGV MMLTTFIAWVQSATIASSLLIIPASSIDLGITELQAQWISSAYSLANGCGLLFFGRLA DLYGRKYLYLLGMGLYTVFSIISGFVRQSTALCVIRALTGLSLSIALPAAFGIVGVTF HSEPSRTIAFASLALGYPVGAGPGQVIAGLIAGTGIKSWQYLFLILSGLAAFPCLIGI FIIPPEPTRATSTPTSDGKHFDYLGAGLITSSLALFGFGLTQSDLIDGGWSVPYIGIC VGISIVLLTLFLYWQKQIDNKHPSIAPLLNLAIFSRQEYKVTAVLCITFTAYMSIAGW MYLTTIFFQTLLHESPLLNALHVLPAPIIGIFACLLVPLLAPKVPAPYLLVLGGCCTA IAQLLFAVVPLGRSWWVNGFLSNLFNPFGADFTVGIGSILLSNLVNDDEQSIVGALFQ TAIQLSSTLGVCLCSLTQKLVMDNTASLERGLRVAFWLMAGISWSSALIAGITMREVG LAQDIRES L199_006269 MRESRLSSSDEGPQVHNEQEPGHLLDPIEYAKAEKKLVRKIDFL LLPIMLITYGLQYYDKNTLSTAILYGMLDDLDLTETKNGVTYLTRYSTAAAAFYYGYI VAVLPMGLIFTRFPLAKTASFFVLIWGIICILTVVVHSYRGFVVQRVFLGLAESAVSP AFVAITALWWKPQEQAKRLGIYYSSTGIFSMFTAIVNIGWGKTGGSHPWKSMYYFGGS FTIFWAILIYFIMPDSPLRPGRFFTEREKAILKRRFDENPYGQSQQPIKVYQLAEAVK DIKTWIYFLMGASIYICNGSVTVFGAKIINSWGYDSIRSTALMIPGGAMTVVTIWIFA YFADRYKNIRTILLPISTIPVIVGCLVVWQAPWHPRVGPLIGYYLVAAFGAPYVLLLS LATANTAGATKKGITTSAIFVGYVTGNIISSYLVFTQEKPIKYRSTWISVIVAMVFAS CASLLLRYIYIKENKRRDALLDSSPSPSSRYNEPQSSQNDASDREKFEGSPGDGVPRL GDGMVYEDKTDKERLEFRYTY L199_006270 MSPIRSTLLRQSAALRSLQLHQPIASSSRSIVIPPLYRQPLSFP RFSAISTRRWNSSSSSSNADAQENPQIEAPNGNGDGSKQKIGQIEPRLQMTFTCTANE CGHRSTHEFSKRAYTKGLVLVQCPECKARHLIADHIGWFKESLEGGKLKTVEDLLRAK GEKIRKGRVNMEGDIEYEGDD L199_006271 MHAPLGNPNRQLACAELIEALEECHAKGMMARLTGACNAQKSAL SMCLRKERKDREARNHESAKLRTIKKKQVWEELEKEKAQEGL L199_006272 MLSSALLTLLPLLASVPLSLAALDDSSARSASVYQVITDRFARP SSVSSTCTTSDRKYCGGTYSALIEKLDYIQGMGFDTIWISPIVENIGGTTGEGEAYHG YWTLDADKTNDNFGTADDLKSLSTALHNKGMYLMVDVVVNHVAATSSSTFTPSSSYGQ FSSSDDYHPFCWITDYSNQTNVEQCWLGDDSVALADLNTDSDTVKTYWNNWVKELVSN YTLDSVRIDTVKHVQKDFWPNFVSSAGVFIQGEVLNGDPTYVSAYQSDAKVNPFNYPA YYPLIRGFNQTSGDLTELVTMTQTIKNNFSDPTLLGNFLNNHDNPRFESTVTDTSLIK NAHAYPFVTDGIPYGYYGSEAGFTGGADPDNREPLWTANYDTSSDMYKFFASLNAARK AAGNASDTFYTNQMTVSSLSSSSILVAKSPLISVLSNSGSSASDASVTVQSSASGWSS NTEVIDAISCETLTTDGSGNLAVTVKTGLPRVFIASSQKGSVCSSSSNSSSSSSSNSS GAIKVDVRGGWMIGAVGAVVGGLMMAL L199_006273 MTAPIPISASTSDIHHHPAHSQPIFSPPLPSTTPGSSISVSPQT PFFAQTNPPAAGHDKLGVSTTPTANTGGGIFKWASSLGKSPTNLNGGSPTKQKGFDIP FEHEDDHDEHDSFEFGDFTTTTKSWVGGRRTMSMSLPVTTAAKSPSHTSPIATMLKGG FGDTPNNTPTTAGTQGNSLPGGVLADKAAKGQGVLRRLSLSGSGYRPAFLSPPLPSAP LPTSPPASNNIHSIPAPAPAPAPAFAPGPPQAEPPINRAVTISGGPNAASRSRRFSEG TKKRGVSPMGERLLRDHGHF L199_006274 MKFTQSQPKLIFPTRRSSTNKDQSTTTSTWNSTSISRSASQLPK TKSRPTFIQKTKSFINNNSHSSSGTSSAPTPTPTISFEKKGLTFSTKYQPQKEQTQTL GRSRTNENQIRKQPRTPPTPDQPHRTKSQKQQQRQERQSLNDGLAICLFGYSVQAQTH QIDRQLSSNTINESSNPSIHSKEEKEKDTRENTSSKIPSSLLDTMYDSTYEEEIRQQA VMSEVLPDAEMWAKWEKTEAPLRQGRGWYPRLDRHFLELLVISEIHALSHPLSGTNTP PGQADRIHRHASRVRRVACERIGSERLNAYCERVREAFEAYMMGGWSTGGIHTTAAQQ QHAQSRAQGEEEEDEEGKETKVNDKDDDDFLGDSIRGRQLKKGKSSVFNRNKSGNDDG EEKIPKINIIPSQETDHEGGEEGDDDESMKSNMDTELSELRERSNEGSLLGSELESEA ESTIKHGEDRGMEKGNKMDLEMDMDDITYSSIVKDNNFLTLNKLKDS L199_006275 MSSNDDVDAWIAQLMQCKPLSEPEVKKLCDKAREVLMEESNVQP VRCPVTVCGDIHGQFHDLSELFRIGGNSPDTNYLFMGDYVDRGYYSVETVTLLVALKL RYRDRVTILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIDNQI FCLHGGLSPSIDTLDHIRSIDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFG QDISEAFNHNNGLTLVARAHQLVMEGFSWSQERNVVTIFSAPNYCYRCGNQAAILEVD DALKYTFLQFDPAPRAGEPLVSRRPPDYVSCASHSHSIVFDV L199_006276 MALSNYHGQPGAYLPRPFSLQAILDESSSNNTSPSIDLQWEPFQ RHVEAFLTAIDDYTIAAKTEIAARASDHVNQMRDLKAEREEVERRIKLEREREGEMLA TLESERHTLTDLTSSLNHLESSLQKVKDQSSSLESELNVIRKEVTTERTEKDRQKSRL NEMRKRDERELIELEENVGFTVNGIRPDLLMMRFTLLDHRDPTREFSFLIDISKQDYT VPNCDPPIPNLSELVRQLNHDRDIYTFIKRVRKSFRALIPNPTSSTKFDELSGPGLGL RTPAMPGNHRVLSHSTKDNVIVDGTAMDGLSLTNRLK L199_006277 MFSRVLKSTNQQQAPSPSSSSSEPSSKSSSRSSQIKSQSTSSGI AQPSPSKIPVSTNHSSRSAFVSSKENIPAPPSAGHEKERSNYLSFLFSQSQQGAPTTP VKVNKSSPAPPAPAPGQAYHPHGHGEAVQASRGQYTAESDDIHMQTMKNTINPAMLKQ LSSIPAPTQQQPVIQRSNGAGNGAQGHPVNPYAIQRGANAYNEDVHMKTQRYETQHDK PRGLQLWERELLESPDMKRKATVAQIYFLDYYFDLLGYIANRKKRLETFKADTAQRNV TGPEYQKEVSSYNGRERVLLRKRRTKLRVEQFRIIAQVGQGGYGSVYLARKADTNEVC ALKKMRKGTLAKMDEVKHVLVERDILTAVKTPWLVRLLYAFQDTEHVYLAMEYVPGGD FRTLLNNSGVLKEEHARFYAAEMFMGVNELHKLGYIHRDLKPENFLVDGTGHVKLTDF GLATGSLNPAKIDQMKQKLDQVKDEELVFRSTLERRTIYRSIRMAEPRYADSVVGSPD YMPPEVLRGKTYTYSADYWSLGCILFEFLCGFPPFSGSTPEETWANLKNWTRVLRRPV YDRPEDLIFNLTDTAWDAVTRLIANPKDRITTLDEVQSLPFFASLPFKNLRSIDAPFV PVLDGETDVGYFDSFTSPEDMAKYAEVFKKQRDVEAVEEKGIGNRNNWVGFTFGRNAN ITPAPRGIKPEGEALQTIF L199_006278 MTIPAPFTPRRSTRGTIFTPSPGASPSLKQPNTTYTWTSAPLPS DNQEERIRYNSYSRIVSRVGSTPLKLGGPSSRKVKAGKGDEESRFTVGDGVLVSVEGG NEGVGILIGLWEDAPSSTNQEEDDGEEEEDEKQEEEEEGPKMMAEIHWAFRKADLPGV MKNVNIEDNEVLLAASLTSRSVTSIIPIAYLLRTIPIYSKSFYRDQFPDTETKSKWKG WSYIRQGVYWCHRAFDKFAKGGKSWRVDIDHWRESGKKTGEWAVPVLEQRGKDDIEEE EEESDEDEGSEMEDVSDQEEQDEDEDEEDEDEEEEIFIPGKRKRSRPGVLKPRKKAKT KSKTKWKTLNLPSRKKTKPHPKSSTKNNLPSSIESLENLPVDPYERALRLLHVGSTPE SLPCREEEFLDVLGKVEDGVESGGGGCLYIAGVPGTGKTATVHAVVKELKRKAEDGEL QPFSYVEINGLKIPSPQHAYTVLWEAISGSRGASTKTALRGLENHFGRRGGQGGVRGP RGHTFVVLMDELDQLLTAKQDVVYNFFNWPTMRDSQLFVIAVANRMDLPQHLAAKIKS RIGLQTILFQPYDRAALISIVQSRLIPHPSAPSGDPKVLLPDAIALAATKMAGTNGDA RRVLDACRRAVEVALEGGNSNSKDTITNGTSTSGKTKPTGSTGPTGPGSIGSPHPVTA KEMMSVLQAMSQSPVSKFIGGCSIQQKMMLCSLVRCIRREGLHEINWRNVKIDFDNLS RSFNIFENTTISINNNNNNNQDGGVDDGNVLLSNSELNLILSSLLSSHALVIGTDPYK SMEDRKVVLGMEIGETGRVLMGEGESWRRALAGV L199_006279 MASRASRGRKQAKKGVQLTLMVVGASGTGRTTFVNTLVESVLLE HRTANLLVDPHNPHSGLDPNLVQQAASQANVEEPIRIKPVNVELEEDGVRIALTVVDT PGFGDGIDNEYAFQEISSYLERQYDDILAEESRIKRNPRFRDNRVHALLYFIPPTGHA LREMDIELMRRLSPRVNVIPVIGKADSLTPTELRAFKKRVMEDIEYYNIPVYNFPYDA EEDDEETIADNSSLRALLPFAIVGSEEEIMIDGEPIRGRRYPWGIVEVDNPDHSDFSR LRSALLMSHLTDLKEITHDFLYENYRTEKLSRSVGGNDPDSSILPEDMANQSVRLKEE QLRREEEKLREIELKVQREIQMKRQELLAKEDSLKVLEARLAAQGNGHSRDGTL L199_006280 MSRSSTPPFQLRRPNLGSGLNLASGAAGQGSTSTSRVPTPLGRG VISLDEWESKSPLTDDQLQSISIVKEKYGERPLPEKFTREDQSQPGPSRPTTPIRSRL PLHLHSPSASPSRSRPPSVPGTPQPTGTFPQSQLGVPDPLHPTTITTPQQFLDHFTAL TLSTEHEQDSLYRDHLAEIVGLREKCDALIDLLENGELEVEEMLKALAYVEERSESLR GACEDLLEEQTHLLTHTSQLAHRLTFFTFLEVAQKMLNNPGNDLVLSPDFLPMVKRLD ECLGYLGEHRDFKDAELYLIRYQQCMTRSMTLIKLYFVSVVKALGQEIAKRLSDKGIS ETAAQALLYTKFISLSQPLRPLLAELEARVSTNPDELTPLLSECHSAWITTRQSLMGG RVMNEVMGMDPNGSDLVDLTRSGCSYLKQTCLDEFKLFKHFFLSGESVLYGYLETLCD YLYDHLRPRILHEPSLQVLCGVCTVLQALMVQDITEEEDPDEVLYSPSSTPGGISPYG VRDGDDYFGSRPRLSRHGSSQSISMSMSRPSVLRRQSSYASISRHNSYSYTPNSVKPQ KKKRKPLGRLHIEILLKMVLQDAQTRLVFRAQALLSNDVEYYVPKEGDLDYPQKLGSG STNGKLIQRTKSLSLDMEDDDEPSFLTLPPPEAQESWYPSLRVTLWILSCLYTYVDTA VFEDLAQEAIPVCRKSLSSAADLLSAKKDKSIDGKLFLVRHLLILKEMTAGLGLESGR YKRRDWSNLGDFLKSLLDNAGTLLGYQRGSIVKAEFAPDARTDVDRTLKIACEDLISL IVQRSTLPLKTFLDKCTLYLTKSSSSRGSISHTLLSGNQPGAGTISDLSGQEFSKPAQ VKIIHEEFKVLLSQEFKIWKDELRRYLEDEDTVQVLIPPAQNAIVDSYRQFHDLIRAE YDFSTAASIMTPSGVMSLLQGIP L199_006281 MIFNLQLIMFLIGLSFLSGTCHAQGQAGLPTGGSDNTTNDRNSN GKLESTNLKVHLPSLTKIAVDINNPKSDISGPMVFTQSFKFNESSGILNEWAQKEVWW KVYDPTAKEGELDDEKDLRFVMNCIIQGSSDSDTDQHTFKLFAQEPYLHPLEGDTWDS IINEGNMICPTGQCVHKDGCGDLPIPKWDQMYLQE L199_006282 MLYRYFAVLTALTAISALPSPHSIKRGGGGEPQDDPNNIHLEVA LAANSKHVDITNQTDIIWGGTANKVFTWGPNHDGYAPKPFWWLVYAEDTNEVNPNEDN LKYNMSCKAQLLQDHKPGDYYNVKLDTTAPYVHPTSGGDWNQILTKANVICETGECVA KDGCKGLEIPKWDQAYLDAYDKSQITAKRGLRSAGVGYNLSAAENSDTDDGDDKDLID EFADWFKDDD L199_006283 MVLADLGARLHGALNQLSRASVVDDRVIDALLKELCAALLEADV NVKLVSQLRTKVKAKVKKSLDEAEKAGGREANKKNVVQKAVFDELVALVDPGTEPYKP VKGKTNVLMAVGIQGAGKTTTCTKLAVHYARKGMKTGLVCADTFRAGAFDQLKQNATK AKIPFYGSYTETDPVAIASLGVEKFRKERFDVIIVDTSGRHKQESELFEEMVAISSAV SPDMTIMVLDASIGQAAEGQSRAFKDSADFGAIIVTKLDGHAKGGGAISAVAATKTPI IFLGTGEHLHDLEKFNPQPFVSKLLGMGDMQGLVEHMQDIARSNPDRQKDLAKKLEQG KFTIRDWKDQLSNIMSMGSLSKIASMIPGMPAGMLGEGGEEEAGAKLKRMIYITDAMR QDELDSDGLIFVSFDKAGNPIGLNRRAKRVARGSGTSVREVEELLAQARMMAGMAKQA GGANGWMSAMQKMQAAAGNKPLGPNGQPSPAQIEAMRKAMPPEMMRKLRAAGPQGAQK MMQEMMGGMGGMGGPGGMDMGSMMRSMMGGGGPGGGGMPDMSQMGEMMKNMGMGGGGM PDMSQLMKMMGRG L199_006284 MSYDRVGTPSQQLIQADDDDLDTLSFSHPNPTVSASGSSSSQPQ PQAGPSNPSGVSGRIGQSSQPRRETGWGGVKMETRYTGESTLDEPVTKTIMRDLNSIY AKLLQVLYPPKGGGNNQLLRDWDLWGPLVICLTLAIILSLDAPQEQSMQVFSLVISLV TIGSVVVTINSKLLGGKVSFFQSLCVLGYALAPILLASIVSLLVHTLFVRIPVSLACW AWSVWASMNFFTGTRLQESRTFLAVYPMCLFFFVFAWMIMIQ L199_006285 MSKTATLGRGLPSNISKSSQGRREPSGGAFTMFTPQQVKQFKEA FTMIDQDGDGRVTEGDLKVMLSNLGQTPTPTLLQALLTSRPGSTKGIASEGINFTQFL SMMGEHLIQLDNERELIEAFACFDEGDKGWVDTKEVRKWLSEMGDRMDETEIERLFSG PFTDRQGRFNYIEFAKVLRVNDGEEEREDKLST L199_006286 MNFYKSAALALDHLDKNQGSVKGSLAAAGIKSTPGEGKRILALI IETLKYKPILLQLLSIVPIQSLEKLTFPKKSPRRAPSSQSLILVILHDLLFSPKRKIE ASDLWPPKQSILKYQTRLKAELVKIQIKSGKSRITDLAKSSSTTDAIRYIRYNPNSGK SLQGLYKELERLGFNRLQEPKYPLGEKEYFLDTHLNDVLLCFHGSTNWWNDNDWYTDG GIILQDKASCMPAKVLMWDWKDDEGECIDATAAPGNKTSYVSALMNNQGRLHAFERSP NRYKTLTRMLEKAHCKNVIAQRADFLESDPEDKQYKKVTRILLDPSCSGSGIVNRLDY LLEDDVEESDSKTERLEKLASFQLQMILHAFKFQSAKRIVYSTCSIHPEEDERVVTSA LQSKIAKEKGWKLAPRSQVIPTWERRGREDEMAGDKELAQGVIRCLPEDRTNGFFVSC FVRDDPQGLSISAPIKAKMTSTQQEQQKSKNVKRPREEIESNMEDIVEEENVMEAKQE TQIDQAIKEKTSAQLERNKRKKAAQKEKQKAKKMKLEE L199_006287 MSPSCKLCHGPLASTPAANEVHCHANCLPFACPGCVKSNKSSYT IINLKNHIYDYQCSECMAENENHQGKLTTGVLKAHTTPDGHAIF L199_006288 MLQATVIGPAEWPGQILYSRRDPGPSREAFNNYSSTFQDQRQET DKPLGRTLQAIENPSDGIDEEYKEEELVWYGKTVVWSRGTQIFRKYTYDLEKEDVSTA VFAWFKTGDEAGSSKDVSPKGKQAMKSPDTFGPFHQSQHEHWGTPRLSSPSSSSSNPP KLERTLVVFLQTRAHVYYSSGEDVVVHLPFAIDGAWPLSTGGLIVQRALEKRELRKLG KEKRKSGSVLRGMTDHSSMTILDDLMDMEDDTAPYLPRLYTLENPFDEMKMIVEGRVE DGFDQASGRLISQTHAIDSSLSILYVSPDPYPFVVTYNCESNEIILYRKTYIPDQPDL PPLPPNPRTMRPEEILGPWEAPVPIPRSTRAGRPSLHRNPSSFGPSSDNRTSSISDPL DRTQRRAPRISRGLRVAQEHPIATDELQATLDPTPIVPPPSTTKRRSRGLSILSTTTT AQDSNKRTSGASSSFVLSDMHDKHDKMGLQAIAEMDLRETTMMMGLERDEVGSRSDLV LDKVWTWRAPYAITPDNVSVFLSDNLFSTSVVINLHISQPGHTPHLYPFHAQFRSIPY KFFAITPSPLIECLSAIPIISTRPHVYDVLLLARNSSLSLMTSGGRQISLQIPRQPRE GHEEVARKLASSLRMAVDEKHTRLNSERRILKLLDPIGPRFTIIYEDGECLRVDADLR IDHHLTRQCFEALSYVIPPQQFFFVKREILSSLHQLPSIQRRDDGQFWKIFSSVIRAM LQIEGESQPTNPFETLVHDGQISSNPIARRLAQRMTRNTSATRSLLTTGLMYGETLRL EDTAPIMLALHFVAQDLRLSSTGRKEIGGIVRLISDLASKMGRWDWKDYWARIMPCEV SDMHPNQGITYDTTVLDQFDAPPDIMAYLHQQLITRTKSFPSPISLLAHPASSELGYV NPCRQTTLITGIFSFFTAPNSSRAAAAVKHMVLVGLDLNWLSDLPYGIALPILETLRF CQHNPPKDWDAKMYELIARWDLGIRAMGEANVSGREDPDLDLGLERIPTIKELVGSVS EDKKKPQQPVLPHARFGSDRRVQEVERIMQTTRVRTIAVQDPKGASESDVVRYQQTVV NTLANRTLSIPVGQGMFEFGTRSTNITDVWNIPLIELSVKVGPGKPTMKAEIVSDSAE WPCFHNGVAAGLAISPECQGIDSSWIVFNRPNILNAEHGGFLLALGLNGHLRSLMTYH AFPLLEPRHDFTSVGLLLGLACSYAGSEDLLITKVLSLHTHALLPLGSMELNASPIIQ SSALLGLGLVYAGSRNLRMAEVTLSEVGRKEMPNVDGFADYQESYSFSAAMAFGLIML GKGGESTSEVERRMLTQLRRCILGDTPVLESTKARSAVPTIDNNITGSGATLALGLMY LKSGRRDIADIITIPQTTFELDQVRPDLLLLRTFARSLILWDEITPMMGWIEDQLPQF IKTAHDKGHNHKRSTNHMELSTELAYLNIVSGACFAIGMKYAGTATEMAHTNLMTFFG VLSKAATGSSMTYEGRIRRTAARQGLNIVTLALAIVMSGTGELSVLRRLRVSHGQEGA GVTYGSHMAMHMALGMLFLGRGHYTLGNSNLSIAVMSIAFFPRFLGSPGDNKSYPQAF RHLWALAVEPRCLVAKDVDTLETVYLPVKLKVKEQTPGASTSTIDVQDKKSSGGTVKV RSQSLISPTLISPFENILSIEIDSPRYWSVKYDCDSPKDKLGLIRNRTIYVKRKLGFL DYNSDPKGNRSLFVRAGSMTGIDLHYDLVSYQQPTSPANNSNILGSEEVEGLVRVHSG DPALIRLSKFFNNNYNAGESGNDRQGMEINSINNFIEIVLLECLSLDKPHLIPVYLDM YMSLQRSSKEWGLGIEELNQLKFIRYFYDKIYDKSFNSSSSTPNEKRFPLVRMNFVNS LIRRLAQPTSTDEALDSVVRYLRGEQEDMEWTEGLVKYIWKNNLPPLPLLEMLKEKVR QSAIDREVLILKLRDVSEGYRKRLSGQYGERNGLDDGVVEGEGWKMDSVRETIRVWTE L199_006289 MAEREPLTGSTGSVQYGGTERPRVRTTSSRRVLNRMTTQEIRVK IRKMADLVSSEELGDRDFTDLSLYEKKSLLINRELDQMGMGRYQWCVFTLCGLGYFLD LGWAQAFGLVGGAIQQELGVPDSQIGALSTAFNFGLCIGAFGWGLLVDIVGRRWCFNF TCLFSTVFGLCFALPSNYALTCLLACLIGLGVGGNIPVDATITLEFLPTKNRYLLAAL STFQPIGTVAVSLLAFALIPKYSCDTSLKSCNISEAPCCSRSNNMGWRYTIMILGFFT LLIFFCRFAVFKFRESPKYLLSKGHDAHALDVLHSISIFNKNEKPPTLTIQDLRTLDY AEERRLRGLPPAQYNPADGPAASADEDNLVKKVTIGGFQKAFGHLQGLFKQRIYVWLF VSLAIAYMALFWSFALAGYFLPLILKAKGIDADGSVEDTYRSYIWIYTPGVTATLVAA WITGFSKFGRRWIMVISSALMGASLALYQLVDSRSANIGFNAMEYWFQSLYAALLYAY TPEAFPATFRGSTSGMLSTLGRIASIIAPIVGGSVYHGSDSPGVLWLAAGGAWLSTLA IAFLPHNVNRKTNA L199_006290 MGVITKGDLILVSGASGFIASHTAKQLLQQGYRVRGTVRSEAKG EYLKEIFKGLGEFEYVLVDDITKDGIFDEAVKGVDGVAHLASPFYTEGVKDPQELIGP AVKGTTGILKSIQKNNPSVKRVVITSSVASIMSLESRKPPVVYTEEDWNQDSISHVEK NGVKSSGAMAYQASKTLAEKALWKFIEDEKPSWDAVAINPPLVLGEVIHQVDSPEKLN TSVAYFWQWATGKKTESDLPGPMGNWVDVKDVAQGHINALSIEEASGQRFITGAGYLI GQAWVDIIHKKFPDLKNVPVGKPGEYEEVEKGMNLFNGEKATKVLGIKYKSLEESTAE MGESLFKRFAKQ L199_006291 MHQQIPHSTFDQPYTIHTSLLFNSRTLKFDHDQSVRVNPKTGRI ISVTPTPPNLGTVDHPDLDLRGFTVLPGLVDAHAHVLVHPYTETPALYQERDESLTER ILRAGNNARAGLKAGFTTYRDLGTESALNADIGVRDAINRGIIPGPRLFVATEALGSS DGYQIRQENRIGGTTVPRLSEECDGVDGVKAGVRRRLGAGADVIKLYAEYRRRTLRFP QPTWPGSKAIRYPPYATGEEGNPILTPMNPPSTLFDQEEMNAIVREAKRAKCPVASHA STPDAVIMASNAGVTSIEHGSMPSEESLKTMKKNNTIYVPTLCVADIEMKNDQRSRKA VLVHARKANEMGIRMATGGDTGESPHGNNVRELELFLEAGISLEDTLRAATLGGWEAC GGDWCGYRFGWVGEGWQADLVVLEGDLRKDTGALRRVEIVIKDGNVVVDEGIIVE L199_006292 MPAIEPGSLVLVTGASGYISSHTVEALLDRGYNVRGTVRSQDKG EYLKNLFKDKKGSFEYAIVKDIGEAGAFDEAVKGVDGVAHMASPFHFNAEEPEELFRP AIQGTVGVLESLKKNNPNVQRVVVTSSVASVMNSNIKPPHTFTEKDWNDVSPKECEEQ GKNASGQAKYRASKALAERAFWKFFSDNKPSFDGVAINPPLVLGPIIHQCDSPESLNT SVAVYYSWLKGEKTEKDLPAGGMNYVDVRDTALGHVLALTTPEASGERFITGNGPVSG NDYVLQIARDFPDLKNIPKGNDDAEFKKKLASDAIIHDGSKATRVLGLKYRSVDDTLK EMGQSLRERFNF L199_006293 MFSHQSRHLYEILSFLALAQISLGAVLMPRDNSLPKLCDDKGLT ASIYHEHNNEWDTSWLGATLGAFARADPDTLKKMYSVDGKPVDKCDAKYDKATFRLTK TDGGIFEKEIAYSDCPDETDWEKDNWLVSGFEAAAIQMGGYLGLNADSITYGDPTDAY YMISGKRPVIDHFSEKNPNDDTDKDKITEAEEAEKKRMFDLLKKCGETPIIVGTGADP DDDKDSGNLRKWTWYTITSVIEDDGQNYKDSKIVFWHARAEMETDVKFSSFFDDIRKV VHFE L199_006294 MRHYDKRDNFDYTPLVSKDSPQYKEIHYSDKWNSHWIMAPVGSL AYTQKDKLQKVFKDIGDGEETTIQVDQAGISENADYTSKDDGLWWFAGLEAAALKMGG YFGLDHDKITWGDPVKAFKMLTNEKATFEAVKDKDQLKKIFKRSKDIPVIMGTGQHSD DQLSLSTWSWYTILEYTGQGLKTSNDKVIPNG L199_006295 MGLLSRFKHSSPSPSTASASSLKQPQPRNETTSTSTPRPSTPTS TTHLSPNLHDSPSSSRLARPTIPPPSPSSNSITSKLAKSFKKDKSKGKEKAKENLVTG LPYESHSQASLPIPPHPRSVSGSGIVSAPNSPSNTDRRPPNGRQRRSTLLSFDPPLPP QPSSPLATTHPLSAHTRRQDPGPEEHIFDDDHNHDQFDSPSSSARRKDRVTSGQFRQV GGILGQLNFEVDHDHDQPGNPPPEKVLRTNAIAPVINPTPTVVASNSRNDVNGDLEID GGVRGMTPSITDESIVIVNKDEISQENNTFLEISSTDHDHNEANKMELLESAEKKNKF WKRQRRSSKSIADGSEIERSNSPTPRRNSRNDTSLDLTANRPSLDCSQPEARQPRPQQ LRRPSSSFFHNPFTRSLSRTSLALDDNSKPDDGSFQLRGFRHVSGMMEVEGAGELENY LAHVRKEPRSSISSGDLLTSPMVGNNEIPSPSALTSTSPPVSYASTPRQPPTFPISRP ASIANSLGSATGDEFISATKVSVAAFRKGIRRPSENLVTMSDSGHGSTTALRNISGQH PSLSANGNVGGIDGDLDDEDDVPLGTIRGKDMMRREKSSQSLSSMRHIGIPSVSKPND RIPSTMFDQSEGKTSPSPAVPSRQLSPEVIDRKNTPSPNPSVIERSFTPSPNISSSDI TRRGSPALGRPQDIPRKPSPNPALSFTVHRQRQGHTRNGGGSGGSGFVVKSTRLTRDD LLPKNGAQTPDDKPGSHISSTSGRSTPNPQQTDQSKPAEGGGDFVSSPEEIEPIDGYF SHLAPLIHDQPAPSTTSNRDISLSPARSSRETPSPTRAPGPPIAPLPLPQADDTSTAF LKLPLPPDQMPDTPPRQPSELPLSPPNRKKLSLLEEPMKIISGLWTSPTTDDGFDPAF VLSSMDAYGGDEQKVDEPTKSSQATLAKVQTTAPNTDVEEKVRSPLSQRLAGIASSAS TSNLSKPSLSHIKTSEGDRDDGYSTEKIKSPLSDSTISPITTTTTGVPKETFESSFAR ARKPSHKFEDTEESDEESEDESGTVESSSTTPQSKSKIKTKSQSNLNGRKRNSVERRV PHGPRKPSINNRNRKRVSSMFDTNPSAIQARTVNGNGNEDDDKPLGQDRRVIGKSPSV ANLGIGGMPRSESALGISTNSTRRDNPPVGQRPKTLMELGPIVQPLKDRSSNHPTSPI ASTRPKPTPSSSSYGRPPSSHDNEKKRSTSSSSTTVPTIKRSPAQTLIKVPPEVEEKR KTSTASPDSSRSATTGGSVNYQPLTPKESENRRLVRDQMPSKANKQLTYQEPKADSVT TNHTRQRSYSSMGQNQPQPQSQAVQWNMNMSYDQNQMAMMGQMPQMGMGMGMQGMDAE AIRNMMKQQWQMQFMAAAFRASEEEWERASCVSGQTNHTLPASFGQASGGYAAQQPYS SMPQMGWGGMMGQFPMGGYGYPQGQGLFPNPNQLYGYNMPPQSPGPGFGGSPSQGGMY SYGTGGGGAQSVFGGEFGPPPITPSQRFMSSTPPQPSLPSNSQQEIARNTRGRYNSST QSHSQSVYIPSNLSSGVNASPPPPSSWGRRTGSGDWSDLPQGQGQGQGKKVRPQTQFI N L199_006296 MSHSHPVLPTKPISLPYSHFFTSPIAPYAPTLRIKIIPHLLIQS PPLSPSVIGRKLEGCTEVVMPVGGKVPITLTVDKDVLGDIGVGEGIEGRVRDLFMYNF KVNHSALGLSPLEPVIDPPSTSVVTSKFTTLILNPLTACPTKNVLFKNLIPSASPIAF LTGVDIFYEWVVNRPNSPIKRNQQLQEDQGQEDQEHDEDILFIHELDIVHGASSQYNN STSQGVQARDLVVTPQLDIHNNWLLTQVRSSIDRLIREQFIRRFPLIFDGGLLSYSST LHIPRGIPSALTHLLHLSNMYNTPLRQEMNQLLKPISLHQEEKLYSMVRGGSELQARR GKRKSIPQSQIQSQGEQGPSYPSKKKRQSDSPEVEFMDPSDRCHAYLEQVMHKRREWD GEGSNKTEKKLKRIIGDVLGQIGRSNFEYRRRKPGKMSYNKIKLVSNGTEISKENTSF STAQPHIKVGNPFSIPQSYPEIDKVGDTESIAAYSSGNELLIDQEDEYQPYEEDDELL LEGPPCHDGLIGQEDENDDDKDLLLIEEDFGDQEDEEKELGLLIDKDRAEEAELMKEE KTMGLSPEQVPTSHDYHHEKYHQNGQFPFIQFNKSHATIDHELRRPLLHMDHTVPQCV DGEDSENQLLIDKDQYDHLPMSHGQIWGTCSFQAGEDVGESDDELLI L199_006297 MPPLRVGASLPTLLTLTRLFHAIPRRSEEWVLAAPPPIEPEDPP DSPAFWWKLGLSVVFVLSGGVFAGLTLALMGSDDLNLRVLATSSSNPKERKAAGKVLK LLSRGRHWVLVVLLLSNVIVNESLPIFLDDVLGGGLYAVIVSTTMIVIFGEIIPQAVC VRYGLAIGGACAPMVWCFMILFSPIAWPTAKLLDYILGTDEGHTYKKAELKSFLQFHR EGEEPLRDDEIGILNGVLSLNDKHAKEIMTPIKDCLTLASDKILDHEAIDQILLSGFS RIPIHEPGQKDNFIGMLLVKRLITYNPDDEWPVSKFSLLPLPEAKPDINCFQALDYFQ TGRAHLLLISETPGQKGGAIGIVSLEDLIEEIIGEEIVDETDRYEDNHSKKMAKRSGP AAVMRGIIERRRVINAFSRRPSRSNTHDTTPNNPAQNTISLPPTNGNSGNTQDGILIQ IDNGNLVAEPVGFRGESEDSVQIDQSKKLTVGENPNIKTNSNNQPENLMDTIEETSPI DPTPKTVTTEEEQINVTVDMSDKDANLLSPDPQNQNGDEEGSGSGSASGTVSGNVSEH EESTVNGSTDGTGGGEGSGTGQNKKKKKKGKKGKKDKTNRDDIPNSSVSSLPFESHQS FSGACSNFLQKYDDNSCAPSANEDELKVSVRKRNRGWRWVEHRWVPHQGYLYRKLTKY IPYILTPNSSASREEVEEAVIVEEEGLEDDIPDQAAAVPSSITPNQRGRRVDIEEYIV YSRTYGCPQFCFRAFDENGAPLTVPMLLSLNLLKGGAGGATNSTNPMDDTLMLDDSSP FPLLQSLEHPTTGELVLGIHPCRVSNAVQEILSAEEPKEGMKDERLEWLECWLMLTND IVDLSYP L199_006298 MQVNSCSSLLWPTEPFSLDVVTGIRLEERAGREEEEELISLQPL GADITRDNTNWTWRKAHRAPKKGDKMKFGTLLELNSNIEWWDCYVDYDALKKLFPSNP LDPTYHSTAQDSETSSILPLHRRKKDKYANPEDFKKALDKERNKVTEFYHTKLEEVFR SFELLEEEVAGLEERDLGQDDTIKEVDEEDEDGLNEEPRETDGLMSPTMSQTVTRSPT RSRQSIVGRFGGGLRRFGRRRSVMNNPDEADLLEASMRPRERSSNRGQNRPGMGDSMT SSYFDESTPAISPNPGKVPLPRKPRHSSDLESSTDELGMGASTQDRRTSFSSVSSHEG DFSWPRRRFHSLGLVQMDPATVPQWALPRVPHDEEEGGEGGGNSHGFAGPNARRPVFI WTANNDYGTVLRIGFKKRISSVWLEAYALKQYVDLNMTAFEKILKKYDKNTNNKMKKQ YITDEVLSNSPWTSEAKEELDQLLAKILFLYRRVAAAGDEDLAKEQLRSQLREKVVVD RETVWSQMVSGHNKNTGIFRSVTPEDLPSSFDTTKDSFRTPLGRFRKPHWLTSRVVIL SIASAILLAIVHISPMEGVEESNCLAMLIFCTILWATEAIPLFVTSLAVPLLVVFLRV LRSADGETRLSAADGTKYIFSQMFSPTIMLLIGGFTIAAVLSKTRLDVMTASRILNAA GTKPSVVLLVLMFVATFASMWISNVAAPTLCYALIKPITDELHPKSMFSKCLIIAIAL ASNIGGQASPISSPQNLISLGSMDPPLSWPQWFAISLPVASLSVVTIWAFLHINYKWE NDLSIPKMRKNTDSLTTTHWYVLVVSGITIALWCAEKSMEGIVGDMGIIAIIPLLAFF GTGILSKEDFHSFHWSIVFLAMGGIALGKATLSSGLLDVLDEVLERMVQGLSLYSILI VFSLLALVIATFISHTIAAVLLVPIATRIGDSLDEPHPRLLIMATALICSAGMGLPVS GFPNMTAITQENKLGQRFIGASDFLKNGVPASVLATFVIVTVGYAIMRSLGL L199_006299 MRQSYSMISVLAVLVTIDLLVTAVPTSLQIRGETTKAPLISSKG IQPEDVDQGPWDSSWFNSVAVALGHARPNVLEQCWSGSSIDEVTEADFSLYDKQGEKK TLNVKLKDVDDKNEADCHSVKQAWWIGGLEHAALQMGGYTGLDTDPFTAGQPTDAFKM LTNKDAEVYDFAKEQNKDDLWTLFTWAAHTPIVFSSIATDGTEVWFAVLSATSGDLED GEKPWEKGEVKYYAANRHTIDTLNLAKEGDQIAHAVHWKFD L199_006300 MSWLWGSATNPQFEELAEKACSPLHLPYPQSEDIATALEIADMI RSKSVQPKIAMQSLKRRIAGKNGRVQMYALGLTDTCIKNGGDHFLAEVASKEFVDELS GLIKSQTTSPEVKQMLLKLFQQWALAFQSKNELSFFVDVYNELKNSGISFPPPPAPVP SHLLTTSTAPAWVDSDVCMRCRTAFTFTNRKHHCRNCGLVFDQACSSRTMALPRFGIT EEVRVCESCWVKSGKGKTPDGPAPAVPGRTPRSRADLDADLQRAIELSLAESQPGGSN FIGSEPPLARKNGTAEEDDEDLRLAIEASLRDMERARPSAPTGYDEPEYKPLPTFDLA PRETETILTFSNTMDQMAAYGERDLRRFPHAHILAEQAYALGEKLHRNAEEKSTKQQM LTEMQSKLSEAVSLYGQILDGQQAYSARRTQEEQQRRYQQHQSMYAYATPQQQTYPYA PQGYSVPNGYAQYAPPSQPAYQPPQPQSQAAPSLYPQMPSQPNYAQLQTQQYPYRQEA VSPSPIQTFQQQSGLARHASLHAHPISSPPAPQRQASMTYGAPVTYAVESPTLTPSQQ ETAPPVPMASHPPSSPTTSIHSSVQQASAPPAPSSQGSYRSPAPVHNQLGSSPAQWNG NQYQQWDGVTSQAQQLQQQPSYEPSQPVQQSYEPYPPVQQAQQVSQPPQQPHQQQQPQ QQHQLQQQPNGVTSNQLPSGVYSVNSFPSAPGQIFPDAPSELPLPGKTVEKEEKEEAL LIEL L199_006301 MTVTFPYSSAPAKQIKEIQFGVMSPEEIKAFSVAKIEYTEVMDE ATNRQKVGGLMDPKMGTIDRNFKCQTCLEGMAECPGHFGHIELARPVFHAGFIIKVKK ILECVCYSCGKLKVDMRDPMVANVVRRVKAQHRLRAVWVLAKDKKVCETDSLEDNQDG DATAEDQFMAEQGKPKGHGGCGHEQPLWRKKGLKLTGIWKPTDKGEDTAEPEERNVSP GEVHNILKKIPPEDLHIMGLNAEYARPDWMILTVLPVPPAAVRPSIAIDGGALRSEDD LTYKLQSIIKFNGIVRRMEAEGVPPSVVNEQFDLLQYHVATYMDNEIAGLPRDQHKAG RAIKAIRARLKGKEGRMRGNLMGKRVDFSARTVITGDPNLQLDQVGVPRSIAMTLTYP ERVTPYNIVYLQTLVNNGPATYPGARYYVKDTGERVDLKYRKSGEPISLQFGWIVERH LKDGDFVLFNRQPSLHKMSMMSHRVKLMNYSTFRLNLSVTSPYNADFDGDEMNLHVPQ SEETRAELSQIAWVPRQIVSPQANKPVMGIVQDTLCGIRKFTLRDNLLDWLQVQHILL WTVGWDGTIPPPAILKPKPMWTGKQLLSMTIPKGINITKKNNEKPSPIDVTDENVLID NGELIYGTIVKNMAGAANDGLVHVIFRELGPVAARDWFSACQQMINFWLLHYGFSVGI GDTIVDKATMAGITNRMVEAKEAVQRLISEAEANKMKPKPGMTIRETLEASIANELNK ARDWTGKTTQDNLKGDNNVKQMVVSGSKGSFINISQMSGVVGQQFVEGKRITFGFKHR SLPHFSRDDYGPESRGFVENSYLRGLTPQEFWFHAMGGREGLIDTAVKTAETGYIQRR LVKAMEDLKVGYDGTVRNSVGDVVQFLYGEDGMDGSAMEKQSLDIIRLSNKAFERRYK IDVLSATGGFKKGALQAGIDQSSVELQTLLDEEFRQYTEDRQLLRDHIYTDGTPGHPL PVNIQRVIQNSQQIFHIDPRVPSDLDPAYLIEQRQALSDRLVVVRGDDKLSRDAQHNA TLVFNMLLRSHLATRRVIEEYHLNREAFDWVIGEIEQIFNKAVVNASEMVGTLAAQSI GEPATQMTLNTFHYAGVASKSVTGGVPRLKEIINVAVNIRTPALNVYLDPEYSRTEED AHQIMRKLTYTRLRDITASVEIFYDPKLDSTDIEEDQDFVDAFFAIPDEDIRLELHSP WLLRLELDRAKVLEGGYEMSQIVNAIAEQVGKDVFVIHSEDNAPKLIIRLRVVAEKED EELLGDEDMFLKRIEGTLLDQVVLGGIKGIQRVFISEGKQVVLSQHGEYDQAKEWFLE TDGINLKEVMAVDGVDGPRTYSNNCYEVYTTLGIEGARNALYKELNGVIEMGGSYVNY RHLALLCDLMCSKGSLMSITRHGINRTDAGALSRSSFEETVEILLEAAAVGDVDDCRG VAENVLLGQMAPMGTGAFDVSLDMNMLKDVIVDHRLPVQNMLAASGGLAGGMTPGGAM TPYDNFSPMWDGAKGSVGSAAFSPMQTSNNEEGGNFAYMGYGTSPMHGGMSPAAAGYS PSSPAGYSPTSPFAVTSPAYSPTSPFAGAGAASPWVPRGGFGGATSPAYSPTSPQYSP SSPQFSPASPSFSPSSPTYSPASPAYAGTGAGAGMNRASPYSPASPAYSPTSPMGGMG VTSPQYSPTSPRYSPASPAFSPTSPTYSPTSPAAFQATSPRYSPTSPQFSPTSPTYSP ASPAYSPASPAYSPASPAYSPASPAYSPASPAYGVNGNAAQANGQNGAGQRPNGNANG QVRPGWGNTGTYGASPSWKS L199_006302 MSFLSGPSKRSGNDNGPVTYRHLLSPQALTVFNPLRTIAHCDID AAYAQFEQVRLGLPDDIPLICAQWQSIIAVNYPARKYGIKRFTTLDEARKMCPELVVQ HVATYRNGEAEAGYWGEVDPQTHKVSLDPYRRESLKILAIFKEMVPKGEIEKASIDEA FLDLTPMVLEELLTRHPYLSTIPDDAPEGIDSPLPPAPPINWSKAGNVFPINGESELQ DSSQGDEGDVEEERSDDGNDEDNLIRRNSHRDTWEDWALCIGAEIMKNTRDEVFRQLH YTCSAGIAHNKAMAKLCSAWKKPNNQTVLRAGATAAFLRDRDFTDIRTLGGKLGNAIA TEYGAKTVGDMLLVPLEEMQSRFGEESIWVYNLLRGIDHTEVKGRVATKSMLASKNVR PNVRTPEQGHHWLSVLSGELNVRLREAREVAPGLWPKTLVLSTRQGIDPSRSRQTPFP FTRNLSTDYILKYARKLWEEATLPMKTGNMKLNNIALSFTGLEKLEGGQQGIEGFFGQ PKPQEPQQARPSLSVNNSSSSTTIPTISDLNLAKRPLSPTPTPALTPSSSSAEPSPKK PRLPTLHTGKKKMGLDAFLTKKGEVTKVKREESPSLAMVSTSVQIQEEDNDLPVIISD ENSEAGPSKLPKSTIHDEIDGIWKCPKCNEIISTSDDIEQETRVVLLKAMKQEHEDWH FALSLQDGDGPSSVISTSTSSSRTNGGTASGKKKKKKPEGIKAFFKPK L199_006303 MPMPGERFLPSSLNPFSRSSGSGSGSGGDQAQPQASSSSSRSSI LQPIPFTGSSNLPPTDPHKALSELLATAHLQRDNKISNSVKAALIRSLFQSIWQRSDW IKYFLPTTINSSDAGSSPEKVDLKIPSFGNLNDWLINDLQDNLPSPKTWSLSAAQDKL DEIYKSKGHRSFKKVRNGTICGKVFNRFDRTFTCKTCAINPSVVLCAECFHSSDHEGH EVLFGQSYSFSASCDCGDPSAWKTDPPSCKGCSHHPPLSEGEKPIQTLKYEIPDNLLL AIHRTIVMVLEFIIQTLQHSLIPSEYGHLPKTEEEMRNSEQPTGEMKERRDKGPWSVV MWQDEKHVSREVARQLRDALGIKWEVAEQWVREVDEVGRKIVLVSSNPIIAFHGASMI QQIDAPVSLRLASDSFKEELVGLLISWLYDMVQSTIDGDDTVFKRMLAKALYEPRLRN AGVGAGTPLAPDLKDLEWGKIMGGHDTRRIDWLLQLDSRLWKKAKWEMRQIYCSVLLF DQDVRKDLASRFAINYPRLVEHYIFQERELDTNIIYSSAYLIFTNGAVCVHATAKGQL YNNVISVAHAWFTGQNIKTDGCDRLVIPPLHFDPTDNSAKGRMDTDAPAFRNKKGLAL LGHLRSMVRHPEMRKLIVRQPQLFNRALLFINMFVGLQPQKREQTEHVEYEVDWYKSF IILPDMSKLCRELGEVFLSGTIDNVLGSMAVVVNRILTDMMLMSNTLDKEKYQRPVEH DVQDVLYKNSRFSLIKQSVTRIEAFSFHHYLNYLLAEMVKSFGKHLGQLNDDISTSSL RGLNFRQIMEKFVLRAQNQSDSERMKLMIIEWSIQTHVVLSQIRADMWKKNGAAMRMQ HHHYREMTLREATLDQDFFLLQFGLCIIDPLKFMVAMIDRFGLSPWFRGNPKNPDIWL SHATEPKQRINLLEDFLLLVIHLVTYPAIVDGWSRDKITRKHIIHQLAVQPLTYYEIY KKLPERSQEGSVTPILRSVADFREPTESAPGQYSLKDELYDEVDPYWHYYTKNDQRGA MDKLIARAKKRNPSVEDPFILPRPLELPPAEHPFSNIGDFLHTNVVSDLVYWTLSHCL HMGDPDRWALIVHAAMPAESKAAPVIPTWDFVLDYSLHLAMIALSVAPSQFAEASLQI KGADGDHSTFQNLWIMQTQSAYKPYKARVDYILETIVKHLPAEYTVDYRANREAESLL QLSSPAKPDPKAAAAARQKAIMAAFAKQQQNFVAMMEEESGDEDESMAEDTDMDQDGV HAENETYGQCIVCQEDITSRAPGGMLALLQPSRTIREAVHDRDWFEESLQTPTSLDKP TRYHRFAYEDGDRAEPISTQGYPSTALKFGIHMSACSHFMHDQCMSNYFEATKTRHTQ QVQRHHPENAVRLEYMCPLCKSLGNVLIPVEPSMTPRKPAVVLKKEGEKLPSLSVTIR KVSSEGLLRVADSQRIWDHHFETGEVIPWFSDCMFSVHSLDHAHRRGHMKSTSRMADR MRGLIRPLSEQSHRIRGKKTHMYLPDDMVGYTVSMAEITQRGLGGPTTINGKEVLSVA EQIPELSMKLIKKLIWLLQLELDLYFGPGFDRTALRVGIFARFLPDWYRSSTLPSPLL LRKPLGMVIETAAIAPDLLQSVIVMAYYAELIRSMFGLALSIKRSCISSSATNSSSSS YKMLQPSSRSIPPEDPTVQDALELFTGIKPIMLSILRNAGPFADAESIVNMVPDEMLA KLVYSHTLPFLRRAAIIYNAVSGSYPMMTPETLDTLKTPGICEYRRLLTLLGIPSPHD TLRDPSTTETPIVARWLTQWASQGRIIPSLEYPGTYELVRLPTKWETLVLDYQNRKCS RCKTKPTYPALCLFCGEMVCLGGDCCSVGEEGECNLHMRECGAVVGMFVDIRRWIILY LYAGSGSFGHMPYLDEHGELDISMRRGHRQYVHIGRLDELRKATWLMHNIPHLTARRL ELTSDGGGWGCL L199_006304 MSSRPSTSSTHLPVPPSIPPALHQLANPAPVLIDSQLPNYLLPN VLDLLRDSSRHVIRRKREQEDSLRSEGLLPPLEKGKGKQIEDEEEKLVEDELVRKVER VGLMVGGHIAEKLTLARPPLATHLDIIKFICKDLFLYVYSKQIDNLRTNHKGIFVLQS HSFPPLIPLSTYKGSSNDIEIAKSHLLFPQALLQGALVRLGMNAVVTAESSGLPQCTF QIRTIKPTTPVPSTPSTAGAGTPNLNPQQQGQAGVQRQTSDLRGSTGLGIGHAGI L199_006305 MSTSSPTKSQTLPPVHNPNSPNPNAPIFRPSPARATSLSGTPFQ YKTRAASQPAGERIPPSSTPGSGRGSPGMNGTNGVGGGAFGVIGGSRTPGRFSNALAG GTQTRANSFSAGEVREPRNTVLNRTLSSHTEEFFPSRSQSTSPFPTFSPNSTPSTSPA AIRQHPLPPSPPKAGANSSRSRSQSLATGVRPTNIDRPWFGTMSTLENVGAFSKVDVG WNISPNEGSNMSPFSRGLPSLGNNPAKDEMYGKNGVTPSGGRFKDGFKPSAGPPWAAS PPDTSALSSSVHKALGGGYGQGQPFTGGMGGNAYGESNGGNRSGASSRRHSVSVVGGP GGRREFAFGEPGMGITSMSPPSRGLFGFESDLGNALSLDIDQSKRTIRDEEVISSGSL PKFGFGEAGMGHQRISSINRPKNEEIFPSFGSTPPRGRLETFGIPGERTTSGESNGNS KRFPLENAAVGSPIAGHERIANGHSNGLTGSPEGTKDLSLSTSTIKSSTSVPGPGPGA IGTPGAGPQPPPSFIPGQSPYPIGARPYGNLPPPPPGGPTPGLGLMNNGSPGQGMLGG LSGFGRPPLPPQGYYGMPPNRPPPGVQGYNGPGPGHSLPPLPPPGSAYVGGGSYSNQP PPPFYPNNSPPQPTSPSFSTLSLSDLGKGIPLGSLAITTPLYIVTFKAGRRDIYYCPD PTLLISNGDKVIVEADRGSDLGTVIYDQLTPNDIRDWQERQATAALLSGASQHQPPGL AVSGQLPQQQQVQQQKRLSGEFGVPPELSNMDLDGLLSGCGPSGQPDLAGSTIVRGPL AKELTPKRIFAKSSQGIEEQNRMREKLNDEYEAMMICREKVMQRGLPMQIVDAEYQWD RRKLTFYFKADKRVDFRDLTKENFRIFKSRIWMSMVPKDDPRG L199_006306 MFVIKATLKDETRRLTFDRSKFPPYPEVQQKLRSIFNLPSTAHT YWVNVLLFPDDAQEARIMFKKHVCDAAEYESAQAPFTHSGLPAPALVFTVLLASDPRL NSIHGYHRANSLLTSAGDLAIHISSVEEDLAKRVSLLTALEEKLASCRRDNDTTGVTF WSDRVNDKKKSVKDLESELLNCQQEFNKMNDQLDSAALPDGYPPQSLRDYAESEEREE VGRAQQTEDELAAWKAGNEYENDNQLFPPLDHLIPPHGPRFRGHHGRRGFRPPPPHFH ARGWAPMPPPPPPAQFSGFGAHAPRFEPQQGDRGIRNLFDRVSDVLNPPVPADVVPVR EIKTMLDTFLVNLSNQLATTFEGAPRVATTDSTSETERPIPGAFVQPQADAQTQTQPL KEETQDERKSNGRSAQLCKGGFRHRRIWCDGCEEGIRGVRYKCEQCPDYDLCGSCLPL LHSSDLHPSAHTFKAMLHRDLEERIKLDDDGRAEQSVRHPATCDLCSLAITGIRWKCL NCPDWDSCNHCAATITETHPGHSFVKLHKSSDYVPNTSVEEKESVSHPHVICDGCNSY IRGSRYKCMHPSCPDYDLCEACESSPHEVHPVDHPMLKMKLPLKLNFSSHFEPPSESE SDPRRHRHGRRGHAHAHAHERRVNGDAFGPRRAGCWRQHESPDGSRLGRRVHVNSATS TPQAQDEVLTPLVQEKENEPAIPGGFVTRNLYDAQESVGNLSAPLTPDNKVEPESTDS PAAPAEAEVVPALTKAVKDLAIQESNQSRTSSGISTPKEPVTPLDIFSWVRHLTIPPG CTLPPGAEFTKSWRVKNFASGQEYDFERVKLVLKSEGVLGDASKNVDVKYTREEVKDG DELIITIPGLRVSEDAERGQEIVEFWRFEDENGTAYGQPLRLRFTVEELPKSTGDSGS LSLNSSAVIMPSTSSSSVLTQIQHKGEEPASSASSTNGDVEAETFTAEEGEDGSVISL GTESDDGSLIDVDGVPTETTASTTSKITMEDEDEEDGFEIVEGSESEDDLTADEL L199_006307 MSAASPTPSNMPPPGVKRPRPSGAGGANSNTAPQGGSSRSKRRK PDVVATSVEESSKGKDDELDPGEVKTKIDFNELPVETLYKYLEYHDLLPRWDVSPWSE EPCTPPNQLYTLSSSAPIVPPPSTTITATSQTIHQSQSQDHPQTQPQTQDQDTSAQLP QTQSHESTNGTHPPNGENGMIVDEPQLPQLPPTASISAVEATSAPAQAADQAENIQPK SENDHIEKQIDHPVHGQEENTDQPEDAEGTVDNFEPPTTRSKTLPVRQPITNTPSPEP VPQIKRGVITLSDVYAAREVLAEKANNHWMKGLGGGQNKEGETIVNFLYKMKVGQGRL LRVYNPTPANYSW L199_006308 MGKAKITRKFAAVKRMIKPSDPRLKENVEKAAKKAEKEKAAEEK KAVAQVSSSLFLSHNTDLGPPYRILVDTNFINFSIQNKIELVQGMMDCLMAKCIPTIS DCVLAELEKLGPKYRLALRIAKDPRFERLHCDHSGTYADDCLVNRVTVHKCYIVATCD RDLRRRIRKVPGVPLMYVAKRRYQIERLPDGGSAFI L199_006309 MTSTPFRPKSHSRTDENHSSSKRQRLSSSKSAPQWKNDTTQDEK ALMDDLMAGLDASMFDGIGSSPIRPKSNKPSQASPVQSQPKRKHVEVKIEPLSPIRKI NVSLREKQTHRSPIKGKARNAFTPIRIKSPAKIKKEESTTKAEPQIDAVVNHEIEIEI AQDDSTVKAEAQIKPELADEDLYDFNFDLNDLSAFEEDLLGLPEPKTRYPIPNPPRPP PLPGYTPTPWTRCIVNAVSTGLRFTNGIIPSLDVIEADEEGGTSYGKTLIVTSTENEI KRIVHLKDQWSNLHIKKNDIVNVISPTLCNQNVVGPIVLTLKDPSTFLIHHPDLMLTM TSIANAMPCPRKPILQSLIKTPGPPSKAVLYGNLLHSLLQGALLEQSFDADSTFKRID SELKKEERRLEIWSTGMGMMDVREEIGMRAGRGFEVFGDKWVGEQPGTEGELHTSPGD NPSLLAINGLHEVEEDIWSPKWGLKGKVDASVQAKIIRDPSKSQEAEESVAPLEIKTG RSVGVMAHRAQTMLYTLLMEDRYGVPVPAGLLYYSQLDSILRVEAKQNEIRALIIARN ELADWLSKKRRVPKAPTSSSDDMVKPIEDVEEAFLPPTIDHTKECRSCYAVDSCMLYR KAIDDVQPHPEDPIADLYEEKTGHMTQKDAEFYKKWDTLLTVEEQDIGRHRSQLWTMT AKQREKTGRCVGDMIISSYSNDLGKSLAKIHRHAYTFIRAPHAGTQASNTSLLSGHIA KGDPVSLSIEPDLLCLSRGFVLDLTAESITIGVTYVIDLEALLKRTGRSHALSEGEGK VVFRIDKDEMASGMMRMRNNLASLFYAKDGDEARRRLIVDLATPEFEPSWIPPDNQIP AHLNEDQRNAVRKVMSARDYALILGMPGTGKTTTIAEIIKLLVNEGKSVLLTSFTHSA VDTILMKLVNAEFGILRLGNIDKVHPDVQHLTLEAMEQSTNMEQLEKRLMNPPVVAAT CLAIDHPLFFKRRFDYCIVDEASQITLPTCIGPLRMADRFVLVGDHFQLPPIVRHPEA RRGGLDVSLFKLLSSAHPQSVTDLSMQYRMNEDIMLLSNRLVYEGKLKCGNAQVANRG LVLKGRKGCRKVFKCEEDVDHGDCWIQDLIEEDAKCIFVDTDGLPALDSRVGDLVQNE VEAKLVHQLATALTESGLHQEDLAIITPYRQQIKLLSSLVKSSLPRVEILTADKSQGR DKDCILISLVRSNEGGNIGDLLKDWRRINVSFTRAKKKLIIFGSAKTLSQDTLLNEFL ELMESKNWIKRLNRGDDSIHEMRDHNSIGHIRGDIEVKDEVKEDEEDTAMRTKKQSKN GKKVIRVNSDVLIKGAFGKEILVSRLLP L199_006310 MSRAEQAALVAYLNTFKLSRPVTAFKDLSDGKALMENVSNRTPT PAASGSAENWVLRMNTLKRLYRLLLSFPLPSPHAANLSLSNLPEPPFSTIAKAPSTSE GSKGLAQICRMCLAVGVWAPGNEQVIAKIQGLRQSHMAELMKSIEEVMATLPQEEGAE DEIHSPFGTSPTKQSTDFSPPPSGIRQERDKLLQDNDELRARCEKMLEQVEALTSNLD EIKGERDDALARLAAARADPSAQGTGLRTSQTAATAELDRLRTDLGKAEENLAHTESD LEKQTNLVSELTRTVEELKEQAAEAVKLRDQVDEYKHAAERLKKSENVTEKYKKKLEE SAGLRRELRNLEEENAQLVNTNASLEADLKKAGSSKGLVDSYKSQIEALEKKSAEQAT QITELNHQLELTRAELDDISREYERDQSQLEAQQERLKEIELGAPGLKRQGSRISVMG SKTTLDDELGVVDEDGDVKVDTKTDLRLKIRSLQRELADLRSGSAAGDISKLATLETL LADANKSRDRYQSDYLQEHRALLRLQATLERIRSGHGGDNSQTASALRERLNEVLEER DMLLKEKEALEVGREEVDKELAIAQTDLGLVDKDQKAILRSLRETVKEDTVKLENEIS ELKEQVHALKEKDRQHLEDIKRLLLEKVDLQSAGIENKERELEKEKEFGDLRASLASK RLPQEVQQQLLSLLSQNSDLSAQVKSLDEKLQKAKMFIKQQDKMFRKDHANMESGDFA EASKSYQLQISTLKEDLLRARQNTAALENRYKSEQKLMLSAWHDLGQRAIRDHLHSAG LRRVQKPVASSWLGRQRRMQDEATFAR L199_006311 MFKLSIALITIFSSVCAGATWINRDATARPLYGETGLPNSTDIT RRDSKTPWEITLYTLTWDMPVFLSSLITFEGDPNSVQEVDGLRLEDNGLPWWPEALLD SIKQTNNQQDIMTLPIEQLMLLFTGRPAKVYENSDFEILYGQDYKQNSQILEVNFAKE GKESQEVERIPVWDKDWSDGDGTINTIRIRNLNYELVKFNADTPNVKTYKMYTFDKYV TFPDEDFVQK L199_006312 MSVAPTRSEITANPSKMGVTTAASGAELEADIQRKLKLWGVVEA FRDGRLPDNHQIDKALSYAVSHSPVETQKLSPEGRELIEDFRDIIETARAIVAEKNAD ELFQNAVWASYSGDATRAKQSGVVPVSKEDAKADANQAAAHLRVLITLFLTNSEARKL LNDFGIIGRDIFATGAAKVADKARPNQEQLDSVDQEAPSKEWIGADGKRLGPNDTPEL QVKGPDGAQVRYNPKDDPRSAKVVDTDGNARPAGQVYDQAQQAKNEAQARKEEAKGDA KSQAKEHASDLNAARDPNASLSEQKDQVLGRANEKTPNDVDVQGGNVNRDTGADRAQA EGTARNKAQQLRDRIPEEHRARAADAIQDTKQFFKEQLPEERRDQFIYRLKKVVVECQ EHQDYQEAISWLLDTLENYHGHAKHVANKGVGSAQAVADDPSIGDSTLKFRTLLERFA NGRSMDGITDALDQIYSDAQNDEHLRGYFTRLNDYVHRVLLEPGYILEDESDKEAAQL REDGKSFFTDKYKGHQERLFDEIQTWFTAFNDDPLNRRFGDDIKRFAKDLLFNSEGDF QFKPKLWNDVRNVVLPTFIRQVGYIPIPRAEYSDSNIDLVIENLVLSGPNLFPNIVFL EAQNSFRFSPYPQINKQIPDLHHHRFRLSLSQIQADIRDVNFAFRRKSGWPKLSDHGI ADVVIAGKGIGIDVELESVENRRDSVFKVNHVNVEIDTLKFSIRESKHDILYKFIKGV ATGLIKKAITAAVQTAMRTALGHLDDQLVEVRNRVDEAKRSDDTTRSQALKDLYARKK TTAEERAREADAKTGTFKIVTDRDSQLNPDLTHSSEKSAAKRMFHTSDLAASGKEWRS NAFDLFDSAHPAVTGQSHPAAKQGAGAQNTSAVDAARRQ L199_006313 MSDDWDIDDEPVNSGSSTPAPAALPPKAPARGKWQGEDEEDEQD DWDVSEDEKPKPAAAPVAPAKKKMSLKQKLAEKERLAAEARERGDTGEDDLIETMTEQ DRRRLAREREQEADLAVAADLLGASSLDETPAKTLQTILKSKPTTKNDFTELSKDIFS ALIKQHESSPLYATLVEELSKNMCEALTAVQTRKVSSALSVLGNTKQQEERDKASGKK KASTKPKLGAAKVGAKIDTESYDDALDDDDFM L199_006314 MAHAPHPLATLEQIIKTPSSEDGIPSEVEADLRVAGCMLIQEAG VMLELFRPQSTMATAQVIFHRFYYVSSLYSFGVNDISISSLFLSTKLCETPVRLRDLI NTHLFLLARIQYLLNQPSNQPFKSTLPSSNFKFDEPSFHDEIFWEWKDVITANEMQIL KRLGFNMQVDLPYNHMINYLKILDLVFEDEVAQMCWSILNDMLLTPMYAIHPPHTLAC ASILLSTRLLRIPLPEGWYILFDATYDDIWSCCGHLMKLYNDWGLEPPRGAVTLGFTM HDGDKGQNIKENRWRKAWVLAQSRKAVRRWLEERDKGQNRV L199_006315 MVLGRLIHYAVDALAVSTILAGVKKTTGYAPATDQIPDSSIKSI TDSYLSAGETVFGIIAGQSVTSQYFKKT L199_006316 MSFSALVAMTGFIFMVWHTWHYDQWRCLLYSKADWFRAVMCHIL LGSIACLMVYIWICVHVLYAEYYIYLPQTQQTIVAPWQLWTSLHQDLWRISLYFMTAG WGFLQAIHLEEFLYWGYLIKSIKTPGGPKSTWLKSGFFKLWICLSVSSLALLVGAVRI ETGDLDMMRAYLFLVGSVMSTILALASIILCFIFPSFLRTVKRQGAGYEVLERLYFFS EMNQIRTFCRVAYSVAILILSVDGLTEGKTINKTGFWHDLLYLIGQLALFTATCLSIV VLLPRNMTSESLPPHGKDQTFLPMAPYKRPLPARYVNTANTKDYDGYSVKQYYELGER LNVGPDAITSGYPNPFPSPNGRGVGGPEKIEMSLNPKPSTSSGHSGMEEAPFTEIADR TKRARLSEFPNLPSVVSKFKSPFEVSQPKSKGPTQVFVTSHTVVEE L199_006317 MDSATDYKLPSQDISDSWLSKPPPSYNPKDAKYTSLGSYFQYSY PSGRTDEEKRKRIYILYLKGEDGQVVLREKMSDDYPSCEMQHLILICERDYAKWKPIF DEIHETVLTIAKIETTGHMPDKWSEIPTPALSTAAISLSSTLSLCRDVRMARDADACP ACMIFIDNAAGEECLDSHHWLFTETTAVKKRILFNLEQLANLMGREFHIQKSEEATSV KKKVEEPNFAKDIPHLLSPIYSLLSVVVV L199_006318 MYRPRLSLSSLLVIPTISTFILQSLPISAYIPAIAVNDTSGLNF TDSSTIAISWTDPVGTYSGRVSFQLQADVRTGGTTSGALVHFAESSMGENLTTSTPWI AYISCDVNETTASDEWDIFTLARDRGAVSALLYTAHSQSCLLNSEYITDFEKPLDVFA TKTVQVARLIDNQFVHTNTSFENYNGTLLNISGADVNSSLAGNAPSYKSFLMGTLTAR NSTGQATATGIPNATPTDDGSSSNGGSGNKKTSAPMIVLYTITGVVSFMFIFMLIMGA RRAMLHPERYGRRENDDEHGPPQSTARGLAQAVLDTFPVIKYNRNNEEGYHRGEGEGE EQGTPPKSLNSENGISLNQMSRNDRTDSYGYGYGYHQNDGETSKTGGVQVSEVERRIS SIASGSGSKTPKQYDQMDQDTAMGVGTESQGQQCPICLVDFEPGDDLRVLPCEREHAY HQSCIDPWLLQVSSSCPLCRKDFNAPPSTSILSNSQSSSDSSPSPHSSTYPSSDQPPP QTQPPTQHGFARYLAFMRRERTNRDRRRSRTDSGVGQGRSREADQTGPGGY L199_006319 MIISPSLVICSSSKPLLSRCALSHHAKSRILSIVSHGRTAGNPR AQAQAKFTTSARLTRFKILFCGSDEFSVASLKAVHDARDLWDSIDVVVPPEREIGRGG KHNKSLEKYTPALRQYALSNDLPSHTVPPEGIKSWTAPGPFTTPSPSHILLTASFGHI IPLRILKLFPENHRLNVHPSLLPRWRGAAPVQWTIASGDEFTGVSVQRLVKYSRGVDA GDIVGSIKDIRVPNDATYTTFLPYLAEMGGSVLVDVLRKLRDGNATFTPQDAALITHA PKITHETARIKWDEQSADDIGRLHRGINHQVHLWTPLLSTTAHLITPRPLPPSDYPIA LDGEIGKAHLIKDGKSRRLFVACARGTWLEVLEVQMAGKKPLKIKDWWNGLPKDVRDR GWVKMG L199_006320 MSKIPNLSTLLARRSNPSIIPISPTRSGNNKPKSLQKTKRTFKP NITRVDWPINLLAETEGASAGANDVLPRLRGVKMQIRKIRDVEKAGGLEGLLLSRRSK DLTPFGAYLRSQVFTQLHRIKEDIEFERASRKASGLPPLEGLGSLSGGIGGGEKKEVP LLEGQ L199_006321 MSKLQASSVRGSIKTLLAQSSLETHKEAGGKKRNFVETIELQIG LKNYDPQRDKRFSGTVKLPHVPRPRMQLCILADAADVDRAKQLDEELPFMTVEDLKKL NKNKKLVKKLAQKYDAFLASEALIKQIPRLLGPGLSKAGKFPTPVSHSEDLQKKVTEV RSTIKFQLKKVLCLGVAVGHVDMEEDQIMQNTMLAINFLISLLKKQWQNIQSLTIKST MGKPQRLF L199_006322 MSSSRNHRNSSPSPFNLNAPPSSSSSSPRGRPSSPARGRPSSPR PPPSYARASLDRSPALNPMVLDDRPRVPPPIYLRSSSPGSSAKGTMKIHVPAWGVALV RPPRALDLHPLEAGSSTLEPPCEDTVLSGSLEVIMKEPRRVKAISVGVQSVCRLHMGA GRGWEDDGIFERGVEVLGQGEGDEGIWLEKGSQSFSFTIILPATLATTDFHSFGRVSY ILTARVEGIPSSTSFSSVFKVASSPALDPSIPNIGDFERVIARSDKLSSSLVGRNASR DSLLLHNQIQGLGLEDPLEGNDAIAVGEGSPSVQGLYTRRQSSDVPPLSLSPDPLSPR SRRQSISSDMTAGRSEKGKDKDNDKAGWLKGDLTASRALIIHANPSRSGGVNTLEIRK EGFVDGIGTWRFSANADVFSISSVLLISIKLPSPSPITTVFLVRLVLSQSYSIVSPRT PNQAPHSPESSKSHVLYQVGRPHKPGEKYPGRDAEALWRGQGVPGNGKKDGQEGWNVR AVARLPGHEKIRPTTSDGTITPIRVKHELMLQVFYSLDGLCVFDDPIEGPGELRMMSV KMPIGVPSCCLTLNALNLPTYETAHSPPVENIDTVLSSPPTKHQCMCGSTFAELGEAA MRRMQNIDQDEMEERVRENAGGSGSGTKEMEARRDTPSGGGPSGSQ L199_006323 MSLARTIRHARAVGFKEWFRQMTYIGDAKMGRLVGTDQFGNRYF ENTDPKEEIPGRHRWVDYSQDDFNASQVPPEWHSWISHIRKDAPTEDPIVKAVTPPWK APFIENMTGTRGAFKTYSTTAPKIRAWDPVVKPRGGGSGQPSA L199_006324 MGLLSQGIDSLSLKSSKSRRASSASALSTGSQGGGPKEKDGETQ IDDGDPDDTSTLDKEEGNVLMALISQLRPGMDLSKIALPTFVLEPRSLLERITDFFSH PELIFGAGAEPDAKERFIRVMTYYLSGWHIKPKGVKKPYNPVLGEFFRCSYTYPDGTE GFYIAEQVSHHPPVSAFFYVSPKNGLLVTGELKPKSRFLGNSAATIMEGEDRIRLLDR PEDGDYVITMPNTYARGILFGKMLLELCELSTIDCQATEYHADVDFKAKGWISGGYNV ISGKVTGPGRTDIGDLSGHWSSAMDYTDKKTKQKRTVFDPAKARVVPKNVLPESEQEE YESRRLWTKLTEAIKAADMHGATAAKTTVEDRQRELAKKREAAGEVPEARFFKHVSGD RWMPKLDVDNLPKDRQEMEDKVRQWIFGDKSPSQTTASPRQTPRKSSVPESLTSQSSS VPQSPSAPSKPSPLSVSTTADTASINSVDTAGTATSVPSATTAPGPPASGPKFDHPIS PK L199_006325 MSMGPPPPPSPTTNRFLYKTPSSSGDKRPPSPSPMNSSSLAPGK RPVVVLGVCAMDVKARSKAMREILTRLVDIERGGVDVKIFGDVVILEEDISHWPPVDV LISFFSTDFPLPKAISYTQLPNRTPPISINSLSMQSLLWDRRLVLAILDHIGVPTPSR AEVSRDGGPRIPRVLRRKVRRDLGLVLPEQVPRGREVILREDGDAIIIDGKVIEKPFV EKPVDGENHNVYIYHRGGGGRRLFRKVGNKSSEYDPNLYHPRTIGSYIYEEFINVDNA EDIKVYTVGSKFYHAETRKSPVVDGLVRRNADGKETRFITPLSEDEGRYAKDVVEAFG QRVCGFDLLRCEGGSRSMVIDVNGWSFVKGNQAYYDKAAEILSGVCQLARERKVQAGL PAVVADAEAGSGSTTSTLRATVTVLRHADRTPKMKLKFSFPAHEQWSKPFLRLLRGHR EEIILRDTRQLQYILAAAEESAQTPGVTPEVITKLGQIQEALGKKMSLPGTKAQLKPS FAKKKGDKDKEKKEKKDKEKKGSDEEDELTETEGKKKVHDWLRRGSSSGPHDNPNSND TQTESTLSTITESTNPSTIDNTTTASDSCTSQTPELNGQPLGDDEIPEGLEKMQLVVK WGGESTHSSRYQSRDLGDAFKKDIMIMNKDVLNNVKIYTSSERRVINTAQIFANALLG LEGGSSHSSTIAGGRNPPEPCPQISHLIQRRDLLDDNNAGKEKMTEAKKKLKILLRTG ETEKRPELAWPKSFKKEPVEVVKDVIEQLTELRSIMRRNYENGNVEKIPQQRWCSGDS PWLFRERWEKIFEDWVGVKQEKFDPSRVSELYDSIKYDSLHNRTFLFAVFDPEGKGQN FKPGVENQDRRLHDLYGRAKALFDLVAPQEYGFDAEAKEEIGVLTSLPLLRKVWEDLD EAKTTGKSLACFYFTKESHITTFVHLLLASGLPFTNVRIPELDYCSHCTIELWEKSSG NASTRGQKDFSIRLSISEGAHSPAVLDSNVDARHSLTVQPRKKLSSHIDYDLARQCFS KHFDKGLSFSTTPLEGDEVYLKKPIQDESVLPLSSLHSRAGEETPRMISSASSDRSHP GAGASDGGW L199_006326 MKDLVTALSLGAEAILRSDEGCLDIAKETEEAARYIAHNLDERE ELVDIEDDETIFEALSTFWDGLAQAFDPSQAANNDRKGWASEDSRIQLALALGKLERN LIAGIQAFQDRAEQHELSIRRLIFNISTFVRIEDPNFFTFQSVLAQLLCNLISPSTSQ SGADRMADKYLKIYLSGQRDDDVMIRLLDSRDTKTNHATLHLLNNIVRGSEARLKLLL SKPGIRWLSKILNRMDEWVEIHDGLFELGASMFNSLIDLSLHPQLFDLLGTTSEVITP SQTVLLKILDSHLSSSRHSPPSPSPHLFLIPLFHNLSRYTTISINSGQDDPRLPKVFE GLILVCEGLSAIGLSVQARKDSKDIVDDDLAGDEDMVKVMKSSEDGEGVVKPSIELLR SLDKFFPRINPRIQPTSSATITPISEELKPFSNLKRNIVQLLGVLTFEDTSVGDQVRE CEGIQLVLGMTEIDENNPYLREHALLCVRNLMLNNPDNQAIITQMNPVGVLSPENGEI LPVPEKMKKKS L199_006327 MLTNLFVSSLVLCTSLLINATPIYKRDVGPLFGPSGIPSPDDIE QHHLDCGYVASIMTLADRAPLYVKSLITFDEGAGFNSIEQVTSTCWTLPKDEEGVKSE IRKTQLNVGDVRHRNDSKTDIWWPGALYQAPIHQGIVTDPRGEGGMPSLAPGVAMTMI TGKRAEGKEPKDLEDFWQIILKVNESPMVLQTKAEGCTTLWGAHAYAITKATENTPGD RMVDLINTNGEREHLKAQQVFDDTVLLVNWVDYPSFVDERAP L199_006328 MTSSCPLPSTDMLTTLLKFKSDEIQLRLNRFASPNYTYVTGVGD KPNKSHPSLTLRCDHYGPPTSTSYWKSHCEHSITFDPKTKSSDIGDGPWKVVGEMKGH NHGPKGKKSDYPNIKGRDPASGTIKDRDSNKKKEDAHHLGKMKNCRGEAVWNNMKYWD RPSKQGSSKENITTASDKTKSFNSSHPPNDPLNSLPSSSGSSPSVTPISPPSTSKSAA QSNSTASSPILANHPSDSGSTTTTNSEISRLLTYGKHTSSDQDLQVKYDTLYTDHENA KQHVRKLKKDNQLLIDENEANQKRIKELGDRLKEIMALSKSSTGRTTSTVLNHNKCKE VESQLQTLLDKANDKIEDLRKALKARDELDKLKEEEETIRSDREKEKRKREMEEDRRK QEDVKRKLMIDNEEMKLREKKRRLLEDIQKGREQC L199_006329 MSSRLSHDFPSSNYAPNNRQSSYTNPYNDAPPRNSLYYEDGEDA DEPFDVRADFDGVGPKWSERNGAKKEGSVRSGGALGMGMMDDAIYRPVSTHVASSAYG DSNKGAASREEMVSVPMLGPEWQKSELHELSRRGQAELRGDKRSKAWKEWTRDQRGLC GIRWLTRKVLVFIIFAFLAALGITLFFVIPRVPNFEFYKESPFTVDNSTVSFNRVPTN FSFAGTLNLYGDGSGSYLPIHFTSLQATLYDETTNKAIAEGDWGSHYMDHKDQQPVQL PVKFEYSALNTSDTTWNDMYQACGHKWTGTTRPDLKLRLVLKMAIVGLTNKPEISTQI SDVECPFELGTNSV L199_006330 MSAPTYEEMEAKRQEMIASFREIASAMTRCVKVIEEYTSLSPSS LNKPDLSIFQNTILPNGQLVSDLLKANSQKEKEKKKKEKKPKDPNAPKRPPSAYIFFQ NEIRDEIRNSNPGMSYKDILGVISAKWKDLTDAQRKVYEDAYAKAQVNFVEEEKIYAS TKPAVTGQSSSAGVTNDAVIDPTLIAGVGDGGDSDSTDDSDDSESDESPGPIGLSKPL TTALPLQVNPSTLHAATATLPVPTPAEDKKKDKKRKNKDGEVAVAPVGETGDKKKKKK SKE L199_006331 MERDKFIVPGTPLPWSWAKAEPPTADPSLIMYNPTGIFAFMPDN RGRSCKVNENDQPNYLLAFKTEDGTVVYRQDVVNTWSSVLVRALVSYTVVQNTREVDP QPAESQRIMEQSQKDYEKWQAIFHEINYSILAIIGFEQEGQLPSRWKTKGPFNKRNIE NYPKRLTSGSRPALLTTAFLDLCADMSVCKEANEYPQGLNMLFEREGEMDICSRLRAQ IVDDEIIRKLKLLSASIDWGDRSKVQVPDYVRQTLDSLKEIREAVDQLLALFCLSLLI RIGYFVYLALYDDE L199_006332 MSPSLCAVPDVLSINRILRSSFTYPDLLLAINDLVSGQGYRYVT ARRPAKSNPVFTIQCDHHGQKTPSYAFKSDCKHQITFKSEHPPFIQPKSFWKIDWDLT SGDPGIRNGNLITHNHGPKSAISAGKGKGKSKRRTKRKDEYETETDLDGWDEEEERYE LRSETEWTPSRSTSLSSTSTATLHRPSPSSTASTSRSQSSMRTRSSFRAKAKGPNTMP GQVAIVEDYDELIGAEAKSQTNTSSSSSAQKSDTSINSASTSCQGSSSKSPASLISTD NNGTSPSLKDSTSTSSQDTAKPTDRVNLAHPPLSNASQTGPSSSSNDSKTFTPTLTND ANHERICEITRLREENGQLKIEIQVLTTKCKEAEEGQTRLKTLLSQEERARREAQEQS RKLQSTIKELKAQIIQLKDDLYAERFQKNALKSDIKELEERVTILGGRLKTEKSETAI LKADYRKKEEENDLLTRNNKLLIEKMESEKGRYNDIRLQVQLNDRQTLQKDLQESRAE NDKLKVGYDELKVEKEELRSKNEKLEKEKEDLKTANDDIKRSHRAKKQLEELTKAKAE RLRKRQEEESKRAEEELKRKRADEIEEEEEERTKKILLEDIRKGEDRCKE L199_006333 MQLSIIPFLTILSTLLPTSLAQLDTDIICPDGSLTEYLTSMMDV LFVNGLTTFEQLIVHWSETDMGYEFLLDLYNSGQKLTVLVPTNDAFQESGIVSPFEGL TEDWGTELGELHLLQGEWTYDQIPQSGHAVAATSLLLANELNSTDVQSNAYQAMVLER GNDNSVIVNGWWGNATSTSGPLDLSGAGGLLDNLIILPIDQVLSFPPSLSTALQAPGL TNMSSALQVIGKSSDVEQLTEGGFTIFVPLDSVWDDEVKDIMVDGSKAPEMVGNHFTT SYSLFSPMWIQPSTFELPVESREKLTIQYNQDGSSPVIYGEVEAKIVRSDITLNNGVM HIIDQILYPTSSSSSNSTKSSKPTTTSSIPSTADSTSASIPDGSDSDGQEGGKAVIPD APQNSSSSSTFTLSVTLLGCIAVGWLVIYSQ L199_006334 MATVKEGDYDGIREQVRKIMKQPGYDDGSAGPVLVRLAWHASGN FSLVEHTGGSNGAGMRFPPESVDPANAGLHHAISFCLPIQAANPWLSHADLWTLAGIT AIEAMGGPKIPWEPGRTDYSTAEAAAEHRGDISDRLPDGALGADHIREVFGRMGFSDR EIVALSGAHALGRCHEDRSGFEGQWVVNPIRFSNQYYKLLLRDIWKPRQWDGPFQYEA TVAGTKLMMLPTDMALVQDPKFKPWVEKYAADQDLFFKDFAMAFAKLIELGVERDDTG FAQLVKKSAREGKPLDQTAQPSVGGCPFAGGKKRDAKL L199_006335 MSGLPKRILKETERLMADSPPGISAAPKDDNLRHFDVTVAGPES SPYEGGIFKLELFLPEEYPMNPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIR TVLLSIQALLGAPNPDDPLANDVAQNWKENQSAAIAQAKEWTRQYAN L199_006336 MTSLLRSALPSISRPFPIRSLSTFSPLLSKNAPSFSRPGPPPLP PSDQAEFEALIKANETIGASPDIVDNPQKGIKASEELHKDVRRGPRPDFEGDVNPKTG ERGGPKSDPFKAGDQDWSYAGRVTVSLSDIWDLKVRRRTLMFCLRS L199_006337 MSSQNTSTIDEATRADLLEIIHRYTTSNGSMTLLEAYDQSNVKS QFEESKRTKDAASYADAGRRCCDVLQELYKALDKGGNSLNSAAE L199_006338 MPPISSDTANQSGVVHQNTGMTSPSVHRPLMPCIDEVLHPSGSR ISADINQALTLCASKAVLSSGVLVNRTEVLTIPKDNMTVHEELKQDCPLSGLRDSISV IEVVRPENEKGYETQMDLARLKKRFHLHMRAYYEDDPLMRAIGSTVIHDPEEPVLYTK KERSEDVKTLSHDRNTEENQSRDLVRTGGDKGKQVARKSEVPVYPEEYNTLWNERLKE MGETTHSLSRGRIIDKLEDVPEDYHFADPLIETLANHKGDGIIEDERIDDLGYITEAS NTYTDAILSDNRSSRRAAHHQNMLRKRSSRKYGVFTDTEDVEESDEELDEVADIISEL DIK L199_006339 MSNHTALVSKDEESSDTRFAGNVSSSTGPRPFPTIHELLAESEQ GPDDSIALVPITRASPSSPSLNVKRSQLLSQLGEDADLRQQILDKCPHNGITNISSVI L199_006340 MNRLVYVAIVAIGCTNQTKNSIGWRERIKDFRSLQTFREELTNI IIEGPMETHMNHVRKFYFDKTEQLSTKYGLDMTPSEFSPDETTSFAHHQVKDRWDDMM RSPNYSEAIRKAIREGLSRDDGGISDETWKGYVERWNWAAIGHTKKKLTERLSRETDP LQRASPLIKAIATSDRSDVLDDLSKYHNSLKSLSDDPEMKVTHDDLLDELRTKYKVPL DGGSDAKSSGFRRLMARMMIRSHRQERSDV L199_006341 MSFSEQRISSSASTTHPNGTIRPARPPSVSSLRHTHTHNRVQSH SHSRRQSIDTIEGYSQPPSPITYFPNPSTFLSNTNASSSSSSSPKVSTLSMSNSVTSL PEEESESLGPTPRMSLVNLDSANSSPRLSRGGTNMEHRRRSSAQQGQGVGSLRTFSTP INGYGSTNNNNNPGLGLTPSISNLHNNGNNDATLSSPRRISTSRVPPPSRLTPPQGLL NLPTHEAYYGTSASQPGTATTSRFRDKDLAHPHTAIPHHSHSLSAQPYNSSSSSSNSH SHRRHLSVNSQMNSPLFPKQPASLHLQSPTGSVMRRIRKTASTIGMGFGRPDKYDDET SRGRPEDEMLEDDEGERANGMRVWYSSYVTIDWIHDAIKESSRVRRLRHAAHRSIRGK LANSWDRFQGWLVVTIIGILSAVIAFFIIRTEMALFDLKEGFCGSSWGTAKRFCCAPR HPSSPRDAGETESCGDWIEWGEFFAPNEKGGPEGAWIWGGPEFVAYTIVAISLATLAS LLTVYLTSSAQHTTSKDSTFLTPPSDQPADHSKPKTLSRAQSSTNTNERQPLLEGIAN EPITPLIEAFPSTEPPRKIMYYAAGSGIPEIKTILSGFVIHGYLGGWTLITKSVGLAL SVASGLSLGKEGPLVHISSCVGNIVSRLFLKFECNEAKRREILSAACAAGVSVAFGAP VGGVLFSLEEVSYYFPPKVMWRSFWCAAVAAITLKTLNPFGNGTLVLFEVTYTKEYHY WEYIIFVILGVFGGLYGAVFARLNIIWSREVRGGTWLKNHPIFEVALVTLLTTIISFL NPYTRMGGTELVASLFEECKPSSSNSICVNHPHEIASVIWSIGTALLIKGCLTIITFG IKVPAGIFIPSLVVGACFGRIVGLVMEYIEFAYPSLGIFDVCRETDCIVPGLYAMIGA AATLAGVTRTTVSLAVIMFELTSTLNYVVPVMLGVLIAKTVADGLEKKGIYDLVIDLN QLPYLDQKHEYLWGSRRASSIADRSVPILRADKQHTVRSLTGKLLELVRLGMADTGFP VLVKEVTANGGNPGLGLEGGLSSGRERSCLRVVGFLGMNELEHALSELSDEPDATLNL MPDDASVHRSRSSAMSIFSFADSYVDGAWSPYDLSRYVDRAPITVQIHSPLEVVQQLF AKLGVRQIIVTNSRGVFQGMVTKKGWLNFLGELEEDGH L199_006342 MPLSPPFRPTASNSSSPPPLNGPLPTLPFEIIRRIIFHRLSISS SYPSDLEDDYTPSWDEWNGIKGKISAEKKLEERRDVTRSARGLMGVCKAWKPLVMKYL YSSPYLTTNLPSLAQCILYGDSKWSDINLHTFSIPGRYITLLDLSTIPSTVHPTEIRK SVLAIFPLLPNLLHLKLPSGPLPFPLEEVGYAPFVRNLKCLEGIHVDHADGLVDLIKK ITNSEVLNVVGSTTSHQSDEIEGRTRILNLPKLHTLKLEDINSGHLLDCLNQSELPNL KRLVITPSSSGAVSAFQEIHGSKIRSLTYLQSKCSIWSIVEDGLIPCEKILELYPNLQ HLSFLIPDYDLLEVIIESLRHSPNHPLSVITIYKWQAPSSVSNSDGQPALEDRRGKDT LTFLNGLADNPPRGLKRVNLDGFKWVKLELGKIALDAGKSGQMRKIAEILDKVGIELG DMDGNLSPTPPYTCIGIGGGEKERVYGPLIGGRRRSSGGQGLLRMNMVALSGKGRGTE RGSEEEDGG L199_006343 MASSSLRQTFASSSRLTLHHFHYAPLAPTSYRLASTLAQTLSQP SKFENIPSSTTFTSPIADQNSKGKEKNSHAAPSPAAPRRKVELKGKKAAISMTPSAIS RLKALISSPTSPKLLRISVKSRGCAGMAYHLDYVSPPGGKFDEVVEQDGVKVLIDSKA LFSIIGSKMDWRDNRLSQGFVFDNPNVVDTCGCGESFNIRP L199_006344 MPQSSAPPVLYSFDDTNHLQSSLANFILKAQTDAIQHRGVFTIA LSGGSLPNNLKPLVDIKEIQWDKWQVFFADERIVPLDHPESNYAACSKAFLDLVPIKK EQIHKLNTELFREQTRIDPTAEIKKEEEDEAENEAVEIADDYEKQLVNTFAGANAARY PTFDLILLGMGPDGHTCSLFPGHELLSENDRWVAEIQDSPKPPKRRITFTYQVLNHAF RCAFVASGEGKQDMLSNILDRPEEGLPCSRVRPTSPGLVFWFVDHAASGKVQYPKTEY KWIQKATDDDLITTERKRLKEEMDAAVEAADH L199_006345 MSTPKISIKVSTPGGPSTPSADPITTDATGGDVSTHDIATAPGK EREREPIYSGEIGNIGGVGETNGESSSAPGQTDEPMETSTPAVEGEAPTPAPEAAERP TPQQLHDLATTYLAAQTHPLVIPSYSSWFSLSTIHPIERRSLPEFFSSRNRSKTPAIY KDYRDFMINTYRLNPGEYLTVTACRRNLAGDVGAIMRVHAFLEQWGLINYQVDPDTRP AALGPPFTGHFRVTLDTPKGLSNLVHPGTRPNTGSLSLAAQTNGITPHSSNLDLRKTI YHSTSKSSKPISSAEATKIASTTNGESVPKTQTFSCDTCGTDCTRTRYHSIKDGEYTL CPSCFVSGRFPSTMFSGDFVRLDEETFKQSSSSGLGSEWSDQETLLLLEGVEMFDDDW QNVAQHVGTRSKEQCIAKFLQLPIEDPYLTSDPAADLGPLKYQAGINGLPFDGSENPV MSVVTFLASAVGPAVAAAAAQSALGELAKGLKRKRGGEDGENKEKEKVVKTEGEKEED GMVVDGESEGVQVENGEKEDNTDTTVAVQEKEKGPSSDQVSRAATIALSSAASKAKAL ALHEESKLSGLVSRLVSAQVKKVELKLKLFETLEEQLENEKRNLELGKQQLFKDRLAV KKQLEKVEDILKNVKENPSPVETVQQVGELKEEVMEKSTAELIKPVEGVVEVPKLDEG EGEANLQKL L199_006346 MSSSTFNSILSSLPRRLTDLETFQLPRLVSCKGPLDLHKELVDE MRGDLERVKYNLDLAKEMVYSLPSHEQEDAQSRVHELEQQYLSIKRSFRQGMLDSKRN ILSKRSRVHELSEKSNKRYELDESRIGDEDIPKGKGKARSAAEFGMGGDDELQTKTNE VTVALRRTTELMQTELEKSVLSIQTLESSTQTLLSTSSLYDRYTSLLDLSGQLVKAIE KADFLDRIIIFSALGLFFLVVGFIFKRRILDKTVGVVVGGVGRGVGWYLFGTGRLIRY AFKGRPNASGLVDIENGLGSKEIDQLLENGEYINEDSAIGGQSLDSEIGMDLADPPME GAIPTQVYDASKPPSGKNGKIEIIPDNENNRIQPSWVKDEL L199_006347 MPLYELFCIAVHNPTSSVNLRSVINSLSNQIHSTGGVVRDMKKL GINLTLPQRMRRMRQYHERGDHFTMTFDTSPIVLKRLDETLRRDPSIIRWTLLKKATK VKDLNKPLNSSIESHGIEPRQVEM L199_006348 MSDTPPPDAVPVDGRDRTPELVSSREKRRREDENEEDDRDAQRD RSHHRSSRRHRTDDDERDQDRDRERERRHRRHREDETEEERRERHRRRDEETEEERRE RRRRREEETEEEREERHRRRRERERDRDRERSRRDSIGSSRRDRDRSRESHRSDARPK VKELTREEKEAERERRDREMAEEKREAARAREARFAEMDRERELERRRLRDEERGLSR DRSPPRRRRGSPSYSSPAPPRDPATALLDEVERENRSIFISQLSARLTSHDLGMFFED KLGRGAVRDARIVTDKVTRRSKGIGYVELDAAELVNRAIALTGTIVMGLPINVMLTES ERNREPTGSSTAPVPGPDGQIARPAVTFGHTFPPLSTGLTIPQGLDVDAHRDAAIPYH RLYISNIAFSLSADDIRQVFEPFGEIEFVDLHIDFSGMKKGTGYVQYKELQAAQMALD AMAGFELAGRPIRVQTVQDRAYVATEQIEDNGNYGTRLDANQRQQLMFKLARAEPTVN LALSAPKPVTVPSKTPQMNPTPFIIVSNMFNPDEETERNWDLDLAEDVKGEVESKYGK VRRIKVEKMSAGEVYIEFNEIDGATSAIKGLNGRFFGGRQLQASYISEALFKAHL L199_006349 MAFILSNLLSWLRSLFFAKHLEVTIVGLQASGKTSLVNVLGSNQ WSEDVVPTVAFNLRQVRKGNVTMKVWDVAGQPKFRGMWDRYCRGADAIIYVVDAADRS SLPTATSELHALLSLPALTSVPLLVLANKNDLPDAVGVDDLIKEMRLGDIGGRVVSCY STSNKTKHNLDIVLAWLTQRAH L199_006350 MNTFIHYHTLDLRIHDSPSLHISHQPNNPSTHFLPLYIFDPRQL DLSHLPNAPKSPSPHSLNSASHQDPSARYDPKQSRCSPLSRVGGFHRTSPYRLKFLLE AVFSLRESYKASRGDMLIAYGLPEVILPKIVDSLKAYGGVEGIYAQREYTLEEISNYR RINNALNNEEIRFNESKTLIPPSHLPFNPESDTPDVYTEFRKKVEGLGIGLNEMLIKP LDTAEVKDGQVKYNGRIKPFPQIDIDKLNLKDGQGGFISSDETINSVYSKLVKPLFDN PPIGGWSSSSSDSRDTLPDLHPKSAIPFKGTELAALERVDDYIGISSKEQVGWKGGMK AKHYKETRNGLLGEGFSTKFSTWLSLGVLSPKVVGWRVGELLEAQGRDKEVWKNVYWI LFELLWRDYFQYTLNPNSSLFNPDGFSSQISTYPHDLRPNPSEWHQANLDDPEDKARR WCEGRTGVPFIDANMRELAETGWMSNRGRQNVASFLTKDLYCDWRIGAEFFEMHLIDY DTCSNWGNWQYQAGVGNDPRSSRQFNPIKQANDYDGDNGFVRTWITALEGVGDEYVQT PWLINDKSKLRNYPSEPIVELPSWKKHYPNQPSTRNRGKAKGNGKGPRGGKKGAE L199_006351 MTPNIAILGSGTFAKASYLPALLALHGDTLNFHSIWSRSAESAQ SLLSAAQQESSSLLPKLQSGEEGLEAILSDSEIDGVLLVLPITSQPDLVIKALKAGKH VLSEKPLAKDVKDAKELVETYEREYKPKGLIWRVAENYSHEPILRDAGELIRNTPELG PILFWNLNFQGFVEDGSKYQKTAWRTIPDYQGGFLLDGGVHWTALLRVVLPESARPSS IISLSSLHRTHLLPHDTLQAISLPPKSSVTEAHGPKTKLTTAVNDESKVPGGIGKSSP RGQITFSFGGPNIPQDKALPNGLRITFLNAVVDVQSGFKEQTNERTFSIEVIPGEGTG VKAFKKEGKMDGVKVEIDHFAKAIQALKDGNKIDEDAESNYAKPRDSLWDLAVLEAML KSNGKEVNVDA L199_006352 MEFIPQSDSRSITDTQQPKNVYPCLAHIHIIPHSDPKATRRLST GSFGSDEGNALTRVISGGRRKSTDVSNPPPAAGGRRLSFGHKTTESGSTPATGGAEGE IQGKWYWRVQAGVTETHLVLLPLTQPPNPVLTTPPAPLSHAMPSHSTQASAGIRTESG QEEDGGLIGKMKNLFRRSSTTQRDTSETINTDVGSTPHAQTGVVDTTTSGSAGFGSGA GKAERVIDQTPKGEMLPPAKGNEIGATNLNQNAELGYPGVINGSKLSGVLVPLGAIDK SKIVVGGGKKGEGSWVTVPILSHFSHFAQSALGENAPASKNESYPKSGYIKFEFDKDW IGAKGECELLHHHLTHAISALPESKDRQPHLAQFHLGGGGKHSPTLPQTREVGPNDES AIEDDDEGPTGTGTGTGGSAFTGTGAGVGTRGAGMGMGGATTTTSGTGFGTGTGGDIA AGATGDQGIALGHPVAGAGHASEGGSSVSGKVGGE L199_006353 MTPRLPSRRHVDLVNLSFLLPWAGKSSYTTLSSPSPTSSQPSPQ KSPLYPPRVYAESSKMASLRQRKKSPGLIHFHLSVSNPPLPSTLLDLVRADNRHLNLN SGMALSSYCFRMGDLKSYRGLWQLMGRKRIAPLSTIRSHLSIRLPLPSSSQSENGISK MKVKYRIRPNRWAIKMFPPIPYLPSKKFTKSQLVQHLHYLLLQTESQSELPTFQEGLE LLKRSTDWSNSNIEYGSALELLNLYLAYNHTSPSSSARQIDGLELVDTYLKEVQGARV NKQTLHLLIKSHISASLPQVDSKAYSPEMKLLKNKILATISNFSLAHQITPGPETYRI LARFAGHYKLDDLAGIAWEGWYDAIKLDQLIKRQSTQGDRTLVEILGHSSTSGLRVRF GRIGYMNKRWTRVARLYENIGWIKKNEIEDALEDEYGFGYVWLGEKGRLAKLAELEVI DKKETEVVREQIKLENILNVKEEEEVGSIVGKLDDLKIKVEDTMSALQVPREEIKVNI EKNELSNQPILSIVKDKEETSEQDSSFAIIDESEVSHHKPPYFVLIRDGSTVKIRSKS KNDNNQIDLDGLDDKPIWE L199_006354 MLRSTWSVRSLVTAGQISCTRSLHSKSTPFPNKLAFAFDIDGVL KQGHHNVLPQAKRVLKLLSGEDGRLPKPIPFLLITNGGGVPDEERRAALSSELGIRLT ENQLVQSHTPIKEYVDKYRDKPVLVLGGKGESCRRVAESYGLKHSYIPQDIIAWKPSI WDRTELTDEERGFARPKDFSQIPFSAALMFHDSHDWGRDITLILDLMSSHRGIFGTRR EGHDRADVKGDVELVFSNADVEWRSDWPIPRLGQGAFRLSLESIYKSTTGLELPYKQF GKPFKATYDFSELMLRRYLKEVGRDPEGELNVYMVGDNPLSDIDGANRHGWSSILVRT GVFHDTHGEVPSHKPTIIADDVEKGVEWAIQEELRRGNL L199_006355 MGEAPPAEVHDTGQKNAPLPSESTPFTFTCQCLNLKVNGRIAKD DEKRVTKGSKDTFKVYLPVAAEVVKLGGYVTYDQDVFRESDENAVEQVDEDSLGPSWR ICWLCNVKSYQVEGKTRNDEATQEEWVKVDLSSGILYGEDLDTSDQALLSFSKLRLDA PKSTSNFGRPPSNSTPVPYPAINHTPESHDLIPPPHDPFFLPPPFIPNNPHLRDLCDN AGDYLKEAHKKLEDEVRRYISSKTQEMRELEEKVRGEVEMLWIKYKDGPGKGEIMERE RSSSTSRAGDLSRPVSKDRSIPSDNNPLAKASTSPSVNAPSTSLLAQSLSANTFYAPA PTNKPPPNVQDEINKTLDHVASTYDKRDDSRAVAMSYVFSSLADHMVGASSVGGTSQS GRRSSSQSNGNGNGEEVTDKDSWIDEERATLRGTLGLTNRMSAVEEEEGEGKTPRPTS VKQLHSEKKEEKGKGKGRVTFEEPEEKTGEAERSDVEDTVFDMEMDDHQPSKPLQSTS SPPERLAQLPISRTRNIVEANLSRTFAADAPSHRAAWRRIEENGSMYATLRRGSSSSD DDEEVEDESRISKLAMSMPMAIHLPKSRAKHEPVTELERKTSLSDKHGVLVPPLLKAM RQRGIEQNSLGLSSPRGRTPQNQIRKVSRSASVSREREQIQSYKNDPGALYESLGDAD YDDDEDADGEENDQDQGTLRDKKGFIPPHVLARKKDKDQPADVGWRSMVSS L199_006356 MPSTPCSLCHTARALVKRPKTGQQVCKDCFFEVFETEVHNTITE GKGIFERGEKVAIGASGGKDSTVLAHVLSVLNKRYDYGLDLFLLSIDEGITGYRDDSL ETVKQNQIEYGLPLKILSYNELYGWTMDKIVEQVGRRNNCTFCGVFRRQALDRGAAQL GVDHIVTGHNADDIAETVLMNIMRGDIARLGRCTAVTTQSEDTIKRSKPFKYAYEKEI VMYAYFKKLTYFSTECIYSPDAYRGHARVFLKDLEAIRPSAIVDIIHSGESFQLEQSV QKGMKAMQTCLRCGYISSNDLCKACALLEGLEAGLDRSALRQTQANSSTAPVGHRTIP MFERYSNIGVAQPTEGIENAVQTIEIT L199_006357 MSRQDKATTERNARILRDLVKQPDNKSCADCRKNDARWASWNLG VFLCIRCSGIHRSMGTHISKVKSIDLDIWTPEQMDNIQRWGNKRANLYWEKHLKAGHV PPDHKIESFIRSKYESKRWAMDGPPPRDPGILEQGGGASAAPSEVPRPTPTTSAPAPA PAAVPQAAPKHHPLLSRTAKPAPTPAAPAPAPMVDLFGSDEPPSLAPPVTTSAPTANA SQPTPGATAGTQPPAPTQGPSIFDLDFRTPTPSTQTQQQQQPKNAKADIMSLFSSATS PPATAPTSSGGFFNTAPPPPAQNQYASWNGGVTSSAPPQPSYQHTQAQLPTPTTGGGW GGISADQNAWGGGGNTQTQPVQQNNPWGAGSNNTSDPWASSGSTGGGGGFFGSSNGNG LGNVQPQQKKDDRDPFANIWG L199_006358 MAEISEGDSNFDALFKAFVMIVVSEIGDKTFLIAAIMATRHPRV TVFGGAFASLVVMSILSAALGRVILGLIPKVWTLWAASILFLVFGIKMLQESFSMAAG NSHIQEEMREVEEELEEDSAVHDSHNARGTSSNIPLESLEEGKVLSGGGAVDIPSSPS TRNSKLPNNKYKTGPSIHFPLSGSSRQNGGGVGPLEERHWTITVKEKLRNTIQLLTNP VFAQAFVLTFLGEWGDRSQITTIAMGGAHSVPVIAFGTILGHGVCTAGAVVGGRYLST KISVKHISLLGSAAFIIFAFLYALEAYYYRPELDDGAF L199_006359 MPSRSSGDTGPLLSGALDNAQIPHQSLCVISDSISFSGLNIFKE TLARGIRRGEETTLISILHPPEILLPSSTSSKINVIDLSNSINGYGEEPTSIESIKEK ILSTYTSGQIFIDALDILSEDYSASKVLSLLKNILSVIKKAKAPSRLILLLPPSSTIY HSLITPSFHSTLTLITPHSPHLVEHLSKSYLSPISSIPSPNLWMILENTTKRSTHQDM ALKASSEKIEFDPCWTQSLGGPTAIVQVLVRKPTGGIKGISRSLEGLKFNDEEKEFRV VDLDQLVDLNPFSKPLTASVSGGDKTINTHSELDLPFNLSLTNEQRNKRAQVPLPYAH EGEGASGDLIWEDEEETDDEEI L199_006360 MPQKLILDTDPGVDDVLAILLSLSSPELQVVLISIVFGNTHAPV AHSNLLKIYHSLSKEIEQIPDAQSRYGRLAEPNQKTMLALGEDGPIGGEKAVAAYFHG PDGLSNITETHPHFTPPKLDPSTPHEHLEISTKPSYEVMLDILRQEEDDSVVIVALGP LTNLAHALRTDPQTFSKVSRIVWMGGALDHPGNTSATAEFNCFADPFAASEILEGSKA GLFELILAPLDITTPHAIPFSDLIHPSVVKIPNSKGELVETDEEPTPLQAFVSAMLVR VRGLQASFGLEDSMEMHDPVAVWYALAHAMQTRNSPPLEGWKVVQREFKIERIGELTR GMCVTDRRGTGESNNTDRSKDEDLKSFGIGKKDEETGRMGEKIPKAKQLPWVITKTPG IDELRRVLLGRVFGTKVDE L199_006361 MSLPPVNPERTASGIIVDPRTLERVIPQSRRKDGTVRKEQKVRP GFTPQEDVGRFRSTRQAAEDARTASRPTIPGSDRLGSQANKGGKEENVFAGEPREKTK AQLKNEKRREKRREKVSVNWDEDEDEDEEVGKLDEEFKKVDIARQTQQGNEQGAEQSF PPLEGGGGVPEESILDNIKSNEDEEKSDGKTAAIEPSPPAPSGSQPETASEQKTTSIT TDKPSLLNDRKNEVQQAQKPHPIQGGRKGPIGLANPPPIEEKSQAPPSRADQNDWRTQ TRKKSSSNNSNRGGKQNQGNSRQQQNSNKSSQPAPAAPPPQPRERKEYKVREGGANDL SSLASRVKNLVVANTVGNASRDSKEKKEESKASASA L199_006362 MGQQNSKSSTFVDFEPALTSAHPPTSPSQTFSAFPSSGPDPFHH SPPPHRITSPTPQPCSPAFYHAPHSAPVVPVTTKPKGLIRSLSAKRRGESRRPSGSEI EPELPPVGNIKALKTLGQVDLKASMREFEELVQKAEIDAGIRAPSPPTQEQISSTFPK RSQNIKRGSIHHQTYDNSPIPRLSATPAYLRPTPYRRNSSASSIHSSRVSIKSARRAE REWRAKVAALSSGLSPSTSTSIPGNTPPKNTIKIKGGPVPPKRTPMSTSAHAMSQTSR STSPLYTEEEGTIIVTPPRPAPQSFGTPLSNKSFETLGHYPNSSTVLDSPTPISATEW EGRSRKPSVPHSAYSSVYSTLRDEDGMARPISFASGQWLAPVNSSPLKIDITSTQNTE DEGVLLSANSVCVNGTPTPDSPHRDNLPPFESFKSSPRMVGNSVLPTVETPTKMKHHS LPSPPRPTTQPKRRPSLHIPSLEIPNSPPTLPPLAFSAPSTPGLVTPTKPILSTPTRG VEEPSTPYSPITAYILSAPSPSIDQLAFSTSYGAFVPSPIRKTTSTSAEVNEDSPKTP VRKHPFTFGAKPSPEPITINRESSADGQNAGMSIIPRRNHVNHNSSSYIPRSLPSTNH AQVQNNALRPKNHLQNIPMPIKSNIIGTGTDSRYAKEPGLRRLRGKVDLTPGMENGCR PPKSGLPMADLERWLQNTSI L199_006363 MTTEVARANLDNYTIRLANDEQKVQHAKAGYDHWKKDSTFEQYW DIYTRERNESPWGSNDKLLTWVLVRKDDLEGEIYAGCETYLRKGFIKRKGSHIVEDTH LYGIASVVTPKQHLRNGYATRLLSSLHHELSSLPSPYSSTTSDIPLLRAVGSILWSDV GSTFYSRCSSSEERKGWVVRDSQNTQLIWKILPPTQTELPKGWDWIYLSDLPGIKSEL SKSVKQNLEKIDTSNKSLFVHDPISEGTLEFVPTKGTWQRSLIIVPEPVGIRYNPENG SEGKGEETIVLFSPKMINIGDRFLITYVHNLRSEQIPTLLNALDIIASQAGQKEGWIW DLPSTDSSEQLIEAWKSVGQEREVTSGRRNEIDGHLLGVAWYGDVDDEAELVEGQMWT WM L199_006364 MSTGRGGNRGMRGGRGGGGMDNSRGRGVWRGGPPPGSGSISRGA SPAMSNTPPPAPRQSINDHMNDMKKIIHQDDKSKSAKGFQTDTDISKSSGPVERELKP WVPDASSPPPNGSHANGSGNGSGKDQDTFGTLVTNIPWDQFETNERLFGAKTDYQEEL YTTKLNKNGVDYKKREKEAEKLANEIMGTTSKNTHIQEERGQVGEDSTKDEEEKYSGV VRAPGAYVPPGARRALGQGGTAPRVSAPPAATASKPSGGAAPSPAPAAPKAVSPAPAP SSTVAPPPGPPRSTSEDPSATNSGAAAAASGPVKPAEAPAIPTITAPTDQKADGQLGG VVDQWRQFVGTERERAEAKKQSHIKSERERQLAELKKFHASFKVPLPMPKDILPILAK DETKQKDIEAKAATQLEKAREDRKSQAGANVKSPVKTSAPMEVAKTDQPKTLPPKKPF MKIPEIPPFNPAKRKSIVPSANANSSPAAAPTVPIAETAGQNIPVQATSPTPSMASLA SGSQAKLNPKANTFVFKPSAAVFKPGQPSGSPAAAPRQLPAATSSAASPAPASASLKN PFFKDKLPEKVNVDVRNDFNPWKHGNGSVPSASSVGLQWPYPGRKSHIPSFVGGPMGG GPIPIHLAGGVGGPGSSVFEDENGGGTGSPSPHPAPPIVGGMQPYPGYGYRFNQPGLP PQFAGQMNSPMFSPGGPQFAPLPGQQQPQQPHHQMIPGPGGPNGPQPNGMPMYYHSGM PQNPQFIPPQHMQFNPHTPQRHGPGPGPGPGGPGPQGYYPHPGVSTPHQTPQLVQHNL PPFGQQPPPQQFHPHSPMQVNQPHPSAPQAPSNHAGGPQAQQPPGSAGGN L199_006365 MSAKDYYGNQQQQYGQPQYGGGYPQQGGYGGPQGGYGQQQGGYY PPPPQQSYQQPGRDYPQPQPQPVYVQQQRPQKSGGAGTGCCACLAGGKFKPPSTNEGD DRDTDTELQFDIT L199_006366 MSHQTYGDDRRSLENVELGTPLSRGQSRTKQDERAPNRPSDYFN YHPPILTLILSFAVWLILLLVCFVSPSGGLTVVFEDGGDYVGVLRKCTASSCDAWMAT AQSSSSSSSSGSSNPSKRAATTSDLSNFYLTTGLATLASFWLMTYSLLFIIIRYFSTN LPTNDHKPEISNDGSSMRRMWRGFKNPIKKFAFETSRIFLFFLSWTMLGVAFDATIKV FSITGGSGFGMGVILLHLSHSFLFFLTFLEISRGSIRRKVDLSMWGCKCFQFCPSYNR RARRKWEDYDAARGRSTEKSKKSSGKRNARQEQVKEQSREERYDEAVYG L199_006367 MIMTSSPILTPSCRSIAHTPSPSSSPKLTPVPSTMAKPIPTPSA FSTSSGVPITSSSLPTRSFVRGPQARPYNALPKNPKAVDGDTKRFAEIVASMVTQREN EKRKSELIEMVENVNLSSSQEDSRSIGSIGSNVKRMNIPKRNKSIAMGMVGSWEVEAA ELIVDIPVWSPGCFQDLSTLHALRDTTLSHTHALLNHLLNAHSTPATYRLLARSCAQP NHSDHAHTAYHQGWGCIRLTPSITSPISAPLELKPSVRRASLVHTHSTPNAHTLKNQG HSPTRTTRVDHDHCHEKVIVESDDEEEFEIKLKEGYSRSSISTSDDESENEEEESADV IVGREIERRGGKEGMAFLMTLFGQPALILT L199_006368 MGRNKRQVISDIRETSTRTTRRVEGDSDQDVEKTGTRDRQSVTG TSRLVAVDDDGPTRTPSPTEMPSQSLGGERQSITPGDTNTSSFSAQSTIFLNSFPPNF PVDTPQPALPNSSNRGYMFMKDQQVLDSMLIFFVIFGTCLLLTITCIKCNSLFTAADQ RRKGKVDNVIMEDMKWAEGREVIERKIIWETGTDSIKSKKGGVGGARRSVLGLGLGKG RSSGLRK L199_006369 MSQNNKDVEASIPLNAMPRASDVERDLTHGEHIEVKIAEPRAPS NQPKKITIPAIIIIPIWMACSISVILYNKYIFDRFDGLNFPYPVFLTTWHLIFSAIST RILQRTTTLVDGAKDIDMTRDRWVRSILPIGALFSGSLILSNYAYLSLSVSFIQMLKA FVPVAILLISFAFRIQEPNKRLMAIVLMISTGCALAAYGEVHFELFGFICQCAAIAFE ASRLVMIQILLHGMKMDPIVSLHYYAPVCAVINAILIPFFEGLEPFYALHRVGLLVLF TNAGVAFALNVAAVFLISVGSGLILTLAGVLKDILLITGSVIAFGSPIAAIQVFGYSI SLGGLVIFKTTGGK L199_006370 MSSAASSSLRRLPLREAVSTLRTSTDNLVLSNDVRNVTLRFVAK NSEAGPRQFIRTHLPRLSYSNPNLSINVHRIPDPRAKHKNPNSPDKGAVWENGIMPKP EMKIDFDGTPSQTLPLSHLDGDKILAQLISVAGEERLKSLEGPSSLPNQENI L199_006371 MPPLRSKKSKQSRARIKRIMQLDEEVGKLASATPVMISKSLECF MQQLIDETCKETRSRGSKKMTAYHLKHMINSNPTFDFLREIVEAIPDPIVAEPKAGPS KPRKVSNPNPNPTDPLGGGVGPVKRRTKKDKDGNGHGGQQELYMAQQPPAPAPNPNSL PNIGTWKRDFTGTGGTGENGRGIFDDYEEDEDDY L199_006372 MVANRKFFVGGNFKMNGTLSEVETIVSRINEANFDGSTELVVAP PALYLLKIKEELKPPAEVSAQNSYTEKSGAFTGEISPNQLKDANVHWVILGHSERRSL FGDTDKLVADKTKAAIEAGLSVIACIGESLEERESGKTQSVVERQLEAIAKEISESEW KNIVIAYEPVWAIGTGKVATKEQAQETHEQIRQWLAKRISQSVADNTRIIYGGSVNGK NCSDLSNAPDIDGFLVGGASLKPEFIDIVNSQKA L199_006373 MARPLRSIFTVAQTSIAGPSTLRPLNPSLKVASPHQNQVRTAFN LSGWDRFLPKLPRWTEDEKEKEATANASQGQAEEGGVKMVEDKGVATSEEGSTGGLFD EYSKDKEEDGTGKKRRKRGDVPWTEHKYSSALHKISHRKLNDLSRQISNLPIDEAIVQ MQFSEKRASSWIKSTLALSRDHAMDKGLDRSKLVVAETWVSKGPKIARLDIKGRGKYG IKHHPSSKIHVVLREGKTHEEKLQDKFIKDLRKVRSAGVVREDGKIRRKVVSGWTW L199_006374 MPAAISALDSGNPDGEDDSRKASKLAARAARFNKVLPGNRYKQL EEMRIKERKAFEQQGLIKVGKTELGDAVDMRGTCEMMCSEYEREFREYTREVHPFEKM GSEGRMDPSKAVAAYSRSDAGAGHGDSAILPSDLRTPATLVRTLDYLFSVIMPTFPPS TSTSPATPRKALGYSAGFIRDRTRAIRKEFAMQSSWGHEEAIASFERIARWHILCLRE LQEESGTNVDMHIDSAELNRCFTSLRQHYNDRREELGMEAPCPNEAEFTAYMLIYDLN SKSVSIPFSELPSIILDHPLVKMAWEIRRAAQRNFDTQKEGSKHNAELGMNLITRFVK LLKQPKVPYLLACLVEIRLREVRRSAIRALRRPYPALKTDAIRVNEMGEIIERKMILL DTLNRILGCEEQENEDSAWDDIDFLNKIPNQESIDISRRFGFEIYEDDSGPIGALINL GSPYDDNKDAPHTRRWKLITEKRGNASYVDIVNGQAGVQIEGTTNIQPTRPTTTNVFT APKPTSTSTPTSTSAFSFKPSPSPAPAPVQQVPKTEPTFFKSFCPPSDHPDVIAKKNK ELIPGPPPLLAGPTKGIFAEPYPYTAKEVGDALNRTDSIFNKVTPQDKPAAPSPSFTF TPQQAPESSLKKKRSTEEESAPPAKAPLFSSAGFFSAPSPAATSLASSSTAPVPSLPP QLPTKAASPTLPPFSVPKPISPVATSPLIESTFSPTRQRKRTASSVLSSSTARLSSSV LRKSLADVHKQREEQLNALPDICDMLVNEVIQSMIEDHLSADLTRYVKQQKAATEYQR RKVLRSEAILYWSQGVFHQLVDHETERIARVALLEELKRRYLTRRAIRYWKSWAKLQR QNREQSEKKRVNMYTYLNGMGLSKSISSLPAVSRSETTTPISDIDMSLEIERLDSLQI DIEINHAERTKDNFFSPSTFLLSIIKHVGPFLSPQASSESTFLPAFHTIVSIPTPGDK EGEFGSPPDKQVQDWLNRKFLQPNRRDGHDVEEEESYVINGVMYESKILEAGKSKLPG WCSIGLYVFEVPLKTDDGVKNAQNIADCQDRIGVFVKGLQASTNRYIPSLLILSWEQE SIEELGERLQISEELNMFSRKALVSLHYSDDLDERFSKALEVAIPDLTIKEQLVIRLN DVISTLYPTWQRYLDISLIQLSQSPKDINLASSIFCRGIELINSIPQLTRSTLGPLHL EDEEKWDPIVLPDFNEEKDDLPFELVERIVEYSENDLLKGIDDLDLSITPLRQAAFLG QPLSPIITILRSISYLVLGELKDTTIELRFFPDENSKLGGIDSHLKSYLRNLQKAYEK KMNEIMNSTFIPLPLSNDNLPYLQSTTAIQTSVSRSPIRENGTNKKRNRTDENVSPDS HRKKESKALKNARLLRTLKDVEKTLALSQLDGNGNELEVN L199_006375 MATPASPAATVTNPTMPGTIDPHTGTATTGAHDIEKGQRHQGLG HGHGQGHIDPRLNEAQPGFPVYQKSRANPAPLGLFAFATTTLLFSLINAHARHVTQQN IAVGMSFALGGLAQFVAGIFEFCVGNTFGMTAFCGYGAFSFSIAISYWPSSGVLTTYA PTPLDPYRLDAAIGLFLMCWFVFTFVLWLGTFRSSVVLCGTFFFFWLSLLLLASGYMA NKVGVIKAGGWMGIITSLMAFYVGAHSFLTAEAAPIQLPNPSLARKRV L199_006376 MDDPGPSSTYYRSFASTADGPIHPPSPPQSPLLTPSLPSHRHEA DLYALLNLPREASESQIRERYRTLVTTFHPDRQKNQHDRLIAHERFTEIQRAYEILID DNKRMIYDLFGEEGLKTSWELGPKNKSKEELRSYFIQQANHKKILELENLVKSKSEIE LVLDSRAVFLPKSFWKDPSIVSHDPISRLGRVRPGRSIIKHSFEVPLKDNLQVSIEGQ ALSRNGKGGSNVLGTIKYQYSPRLWIEFSSSALQPRVGRLKGTYTVDEDQYITWNIVQ QTLGNPPQVGVTYGRRLYSDSTGFISYESGSYSLGPWGSESPLSVPSSLSVGVTTTRR NGTGWTVQTTAGLGASRLAADWSTKIPGGLKLKFGAEVGLSSSPALFINADGKLTDNT RGGVLLQCEIGGGIIMKLKFNRLGQRISIPILLSERLSPTILFCSTVLPSITYLGAYR YIILPNKKKRLRERINELREENKDFILQKKHEALDAVSLMEREVEKKIRTERERNGLI IVSAHYGSSSSFTSRGIKQSEKEDEEEIIDVTIPVQALVQDSKLYIPGGKGKFNIIGF WDPCIGENKSLRVRYLFRGKLHEVTVGDTISLRAPVKAHALDDV L199_006377 MSNLEQPIHAPLPVPVPLSPVSHKLPNHNPTSSNILTLDSPPSS RPLQLPHAPIPSPILSKLYHRLPPTLINFIDQNVGLTLVACAQLFFVLMSLTVKYFLS TTKISAFTLIFVRMSITSIFCFLSLVFIVKDKNPLLGPEGIRRLLLLRGFFGFMGLLG MYQALRGLTVSDAVTIQFLAPTLTALLGYLLLGEKLSLKEIIAGFCCLVGVVLVSRPS FIFGNVDTPTAGGGGGTRLDLPPPPPGEGDTEGIQTPQRAVSVAWAFVNVFFTAVAYT TIRGIGDKAHALHSIGYFSYLCTICTGLYMLVNPKPTVFVESFRDFLFIITIGIFGFC AQTLLTLGLQREKAGRAGIALYTQVVFSLVLEFLMWQTIPSFLSTLGTIIILTSALWA TLSSTKPLPQATPTDPEALPFSRSPSPIPPPQSNRPTLRGEHYSYESVPTSEADNGGF IIGEGEEETASNGLSRNGSKDLLNIPSRNGSRRGSSASDRSDSLGFKGTSRDDDR L199_006378 MSISPLITLTRSSRSARTQLFRPTRLISRSTPASSSSSSSVIRS LVTSGSNGSSGSGSRHQHSYKKYLPYALIGIPTSLLIMPSLSADSDSDSKVEVPKSSL ESASLPSLLRSYLVYTMICLPGLVDYSPTILHSFTHSYIPGLKALTEAIVRMTFFGQF VPGETVEECLPTMRAQHERGVASMLNYSAEADIGSAIQEDEQADLEEQLREERLQEVF RALQKAGEFERSLKENERGATSFALKVTGLIDPPILTRASTTLLRVRPLTTSSQPMSA NAPPQVPYPGTPQSTDARIVAREPSMGDGKELLSLNGVLGSMGVLDTDEGLRKGDLEE LSNLWGKLKKIGQVAKDNGIVLLVDAEYTWMQPALDAYTTLLSAEFNVPPKKDSEEFK NWKGPLIYGTYQSYLTRQPTHLIAALKHAEENGYALGIKLVRGAYFDKERKKWKVEGR EGADPIWPDKPATDSSYNGSLNTVISTLSTQLASNKPELALSVIFGTHNPDSCDLICD GLKQAGLAKELEDGTGRLKLRDDVVGKVGVAQLYGMKDDLTDKMASKFVFDGRPVAIK YIAYGKLSEVMPFLGRRAIENKSLMSGEQGAGAERKRVGGEIWRRLFG L199_006379 MKPSPTLIPRLLPILKHYPIASSSSTSSSFAIAASGSAPAQHIN HKKVEQIGSGNGWSTWWLSNPSLTHLNDDKRRKSPLSNNHTHHHDHVHLQTSLNYHNS ISYRLDPTTTKDNIRSTPTQQSNNSKAKGNATTPTSSSNGGGDTSTVPPPTIAWNDYL SMV L199_006380 MPNFLKSIFKPSLSQAYSPSHSKYNQYRENDDTQRKDKHSVQRR DSLAELLRTELRSKSVSPSSQVETYDHYHDSRDGGYDKDTISDLIDTVKSNTKSRSDE DMKRLIKALEDIEAEDHHKIKSKTKTKSEGKGKGKAKYTDPAYQDGKLLNPVIDICSK AIESGELLLKSYMGRGPNSPLLGRVIALLEAQRDELEDVNPFTGKRRYPALITLEQIY QKVNIGLRDIRGMAEGEARDALFGLIQVLQEGPDYLIDIILITSVIHQSSIISIPFSN EIRGILDKAVDDQIDLNNFRKAGESIIAIASALDRDLLEDKECEEAYKKVGVLVKHLQ LRISSSALPTPISSLPASRSTSFSNSTTTPTPLMLPSTPDLSPIPSLSSSSPSSPKFT SMTASDLQMHYSTGQTTPTPLPGLSSYQPTPQTSVPPSRRTSSPTPSSGSSASQEIWE YRGQLLTASALDLVLREEMLLMADSSNQYAGEVTYDDLRKCWTPKHVRKLRPSDLTTD GEIPDTTPAGFKLKEKDEWGNKIGWYDNGSGLKEMYYLEEPKFELQDDD L199_006381 MSSKPFVAQWGIIGCGWISSEFVTDICRQPSTRNVNDISHAVAA AGSRSLSKAEEFLNKHCPDGAAAQQQGLVDFEPKGYGSYKGVVEDPNVKIVYIGTMNV CHYDDAKLALDAGKHVLLEKPATLNAAEWRSLVSIAKEKNLFLMEAVWTRFNPVLRSI QKAIHQDNLIGDIRCLFSDLSMDSFGKRKDTDRLLSAELAGGPLLDLGPYPLVWTMMM LYRHPKNDRTPPAKIGSTMMLHHTGVDIATNFALTFPKINAIANCTANLLSPTQQSQH TRIVGTKGEILVQGITSRPQSYIIRRLADPSQENGKYLEDELVDLSFEEGGLVFEADH VARCLKDSLVESPDMTWAETELTMSVFDKIRKEGGYEFLPGLERVKLE L199_006382 MPAPVMSQLENTSAILGEYMLKGWTLTDLHCSSCRVTPLMREPS ASAEREGRQSIQFCALCDGRPEGRLPTSSSSITQPQNPISSSSTSDNQPREEIQTREE DRKSSQSDEAALSISELLLKGYSLLGDNCPNPACKGIPLVGYPRKPDGSKDSRRMCVS CGSRWIDEKEIEREGMTVMMSRDQVESPRTKARNELYGLDGKGKQKEQQQEVKVNREE FERQAREGAQRSEKGVEDEVEEEDVHMEEEGVRDSQPTVMKSSFASTRPMPHPLPRPI NQPVPPSPSSPLGKALSSTSDSLSSTLQNLSSSLERYAAHPPGQRRNGEDESGKWFVD LKLHTEAIKDVLGVLGQVERAKRVGY L199_006383 MGLLSKKSNDYDTTTTTGVGHDNNPYTTGNATLGNNTQSGYGGV NRNGGPLGHHTGQGVSGTGPAPTYPQTTATGGHAGGAGAGVHPPPVAGAGHVNTGPAP LHGVNSGSTPSTKEAVKLEKKGHREEKLGNILHSTSMKEKSAAHLAQADHLKMQASEL NEAERLEHEAGMRRQRAVGLGADPMHAHGSTGHGPGTATHI L199_006384 MSTVGREEASPLLPSTAEPSPSRVTLEERGSGSGGNLDMVPTSR DKLALASILIGLTLVLSTSWYLVFSGSLKEMGWFAVHPPMQSLAITAFLLGITPLQPP PPNSTTKKNRFKTHQSVMLGFALPLLAIGSSAMIYNKYLHGAKHFTTWHGKLGLISVI WVVAQASIGAASVWGGGKAFGGEEKAKRVYKYHRLSGYLLITLMLFTIHLAGIHSDWA NGRGYTNLRILAYYVGLPLIWLGIELRSR L199_006385 MTFMTNDQMDRSFLTASIPEVIKEMAEEEKISLLAGKDWWNTVP VPRLNIPSIKVTDGPNGARGDSFYHMCPATALPNATCLGATFSTELAELSGSLLADEA KARHASCLLAPTINIQRSPLGGRAFESYAEDPTLSGLIAASYVNGLQDKGVSATIKHF VANDQEHERMGQDSIIASRPLRDIYLRPFQLAQRHSKPWAFMTAYNKLNGTHCSENKW LLTDVLRGEWKFDGLVMSDWYGTYSVSDSVNAGLNLEMPGEARWRQQTLIAHSIYSHK IDPRTLDKRVSEILHWVQKLAQHNEELVYAGPSKEKTRIEEKEADAKILRRIGGEGIV LLKNDQDVLPLNKPQTKVAVIGPNAKAKVLTGGGSAQLRASWSVSPWEGLVHDKPDDV RLSYSLGATTSKYLPLLGEEFTTLDGKAGFDLRHYAINKDDGQKEDKPVVTEQWDTSD MLMADFRHPGLGDQYFTELLAVFTPKESGEWVFESTVTGQAWLWIDDELVIDNSKYTA RGTSYFGSGSDPIQRTFKAEAGKSYNIRYLHDTRLPSTLINPGSTPLNVIGVRLGAFP KIEPEQAIKDAVELAKNVDVPLIIAGLNADWESEGYDRPDLSLPMRTNELISRVAEAN PKTVVVIQAGSAVSMPWINQVSGVVYAWYGGNECGNAIADIIYGKVNPSGRLPITFPV KETDIPANTNYKSARTKTYYEEGIWVGYRWYNERQIKPLFPFGHGLSYTTFEYDNLKI TNQPPKNAKADQWKLEVQVDVKNTGNILGSHSVHFYTCPPKETETSLKHPKQTLQAFK KVQDLKPGENVTVKVILDKYAISHWDEGYNTFRAELGEWSVKIGKDAETMIGEAKFII EEEIEWTGL L199_006386 MSSRTRPSRTPSSSANRSRSPSPGHRGYYLCSLCNRGFKKHEHL QRHEKTHTASKPFQCIQCDRKFSRQDSLLRHVRLTHREKSAPAPDSADTQPTIPQTVL SEPSPLFNPGTSVSSDPTISDQDASRTTSNNMWSNDILTSDSMLRTTIPRDGTGTSLD YTDYLATVPISASIGPDPGQLENRLAPLAMSLQSYSPVAFPPAGGEDIWQLLTQDVLP DSHSLHTSPRFLAELGLNSDSLFQSATAPLSDRQRRNEDNDGQTNTHLYLDRSSFEGP SLSSSGVDAEERGAYVLSATSTMIARMSSGYPSEVTPLSSETLALCLNMFWTRVWPTS PILHPSTFNMKQTSAPLLINMIALGCLASQKPSLRVKGNSLWALVNRSIHTSWSQLIE NKGPYDPCKGVQLIQTLACGLLYAWMSASPNIINAAGLSVANGIRWTYLAGMNDPEVL NQSLLPGKNEQLTPSELDIRWRQWATMEDLKRSLCIIYQADCILARMSDVPPTVGPLS ISFGGLWDDEATYLASNAISWHRAVQACTSQIPSMHYVPISHLYRYFFKDDITQDREL SLLPMMIRYTIIEGLTSLIIDPIQPHFIPEFGIANLTSIDHALARYYTVFLHRTTDPR SRSVLIGRWHEAAITLGYALAKQHGLSDQQLWQSSIGRHLLLHANAIRQNLETFLIGK VKIPSPSLPQVIYTAALVFKEYLASTPGHAVQNPATFYSLNLDIDWERINRSLGTCCT QDHTAPIAQTNPSSCTAEDFIKHAATPLLHGIRISIQDIDTFLTVLTALGRTFPRAEE LLMKLSDRYM L199_006387 MSAAHHVSISKIIFEGSRDEVVAVSEPRISWRYEGDVEDWYQTS YDIRFKRGQNVEEFHYDTSKSSFVPWSGSPLRSCESVEVSVRSNGPDNLNTAWTTTKV EAALLHQDDWEADMIAIKSQPHTDLSEPARPFILRKKFDIQDLSQPARLYATAYGMYD IKINGRSVGDQVLKPGWTSYDYRLYYQSADVSSYLKTGSNSIEGQVAEGWYSGRLGFL GGKRNLYGEHNGLFAQLMIGGEVVLKTDSSWTGSYGLIMYSGIYDGEKVDFRVSETDL GNLPVVVLDKPRARFILDMAPITRTEELSAKEIIKTPSGKTIIDFGQNLVGWVKISAV PSKLSPGDEIILSHAEVLEHDELGIRPLRVAKCQDTIICGSDPTRLKDWEPSFTFHGF RYVQVDGWGEDIQLDDFVAVVVHTDMARTGWFNSSHPLINRLHENVVWGMRGNFVGLP TDCPQRDERLGWTGDLTVFRDTANFLYDTRSILSGWLQDVAFDQAANENVPPIVIPDI LSKIYPQIPMRMAIWCDAAILVPLSLFEAFGDATILADQYDSMYKWITEGVTRDDIGL WGQKTPDQMQLGDWLDPAAPPDFPGDGRTDPKIVADAYLVHVTRTMAEISRLLDKKDE AARFESEWHILRQHYLDTYVSKTGRTVSDSQTALALSLHFDLLAEDQRKVAIARLDEL VKKNVFKVATGFAGTPIILEVLAANDRLHLAYRMLQDKQCPSWLYTVSMGATTMWERW DSMRPDGTINPGEMTSFNHYALGAVASFLHNTVGGITPLEPGWKKFKVAPQPGGTVTS AEVRHLSPYGLIECSWRLEDDKLTVKLSVPPNSRAKVEIGDQQLEVGSGHREFVVKYQ ADPRWPPKPIYHSLTIPLIDEIA L199_006388 MQAPTGIHEEITMTDIDKKEEIETLEHYHNQEDSGIDYRPEYTT WSLFTTIRKFWRSIFFCGLVALGATFDGYAITIPGSIIAQAAFIKQFGTIVNATTGAL ELDALHVSAWSACQNGAQIFGMLTGPAISDRFGRKAVMWILSLGLILATVLALVAKEW QVYAVAKFFAGMSTGWIQSGLTVYIAEVAPVRARSAILAAYSFAFALGQLAGAIGLQI VSTHDSYKMIFYSEFILLGSFVPALIFAPETPTWAARKGDEAKTRSCMRRLYGNVDSY DIDREYLVLRHIIEEESKERSDVWWRQYVECFQGVNRRRTIVSFLPLAYQQFVGLSLF FAYTTYFFQLAGYPHPFEASLIQTCILLLFLVISFFVLDKVGRRPFLLGGGLIMSACC FCTGGIAYMEKLPGGALVFLTCLWTASYAMSVGSIGWAYVADTASPRLRAKTAGMAAA GTAMFGLIFQYTVPIMLSAQQANWGLKIGFFFGPLAALGLVVIYFFVPETKGRTYPEL DELFEKRIPTRQFKSYVTEVQKAINDSNHEA L199_006390 MFPTSRNHQRTVNAARAYQHESPPTQQGSDSCYGVKSVSSWGEQ SEVNDMPDPSDTYAYGQSEIRDEQEEAKDKGDDDEEGEGDGESTPEGDVSLSSSGIGL NLEGDRSTQMDQVSSSHQAISPSRPIHTKPEQRIVPPSPEDTVHPLDHSHLPHSEGSP ISKREHHHHPLTLEHITRSFNVDSPFLPTTSEPSSPASFTSMPSYVASLSSLSRTSSI SPMGINEYNSQHPHITDELVLPTLALPSESLSLHMSLNKWTGEEGGLGVILLGEREDV QRCLRRLRDEEALVEIQQGVGVVRDGKFAMRIMTGYTSAEQARNRVLHTYSTLNALLH PQLREDTSARAELRRLVEGYIGRSDWIHAVINLNEHGVNPPSLEEIILVLHSKTNPSP DIVNNDSITCTAKTPSLRSIEIEPTPRPSDDASASGYFAPRSYTPSPGSSPGLSREYD IGMQAVSQIISILHDPTVCMDKSIDTFLSWRSTLTHRRTDHLTSPVPSLYGDMTGQGQ GERTMASSLTSTSSGVGAMPTVARAQGGGEWEATLSRRVAQRRESDSSRYIRDKSISM GTGNLRGKARRKRSLEKIPKVTEKEKDCLPPLFPRKPKPTSKGVSDKGLGVNEMVEKT FILRSVKKWTRGWRGLLVVGIVVAVGWGCWISKKGSI L199_006391 MGGCMSTPSEDPVADKRSKEIDRQLREDEKKLAKEVKLLLLGAG ASGKSTILKQMRLIHNKPFEPIEIEDYRKLTFSNIVGGMRTIIDVMDELNLAVLSENR RYISLVDSEPPINTGETYPIKYLNALKSLWADPNVQECYKRGNEFALAENMPYFYADL DRLFESGYKPSSDDILRVRSKTTGITETRFPLNDVVFRLFDVGGQRSERRKWASCFEN VTAILFLVSLSDYNSCLIEDRESNGMQEALLLFDSICNSQWFVKTSIILFLNKADILM DKIKDPQQQIKKHFPEFEGKPGSFNDAVEFFKMKFRTLNRTPSKEIYCHVTTAVDVQN VKIVMAACQDTILKNALRDMAII L199_006392 MTIHIPLGSFASNSDAGPSTPSSKYLIQSDDTHKDLEDVLNSLE GSKRIVVVTGAGVSTAADIPDFRSSTGLFNDSVSKGKAKGKARDLFHVHCLSSPTLLS AHQAMMTSLASLAISAQPTPFHHFLATLAGSGRLLRCYTQNVDGLESKVGLRIGIPRS PTTSKSKTKKRKRHTTDDDDMIDPLLLLNNQSRSEGVKLEPQVIPLHGTIHTLSCTHC HSKFPIEPYLPLPPSPIPCPTCDLTSTIREALSERSRRKGHLRSDVILYGEEHPQGDL IGGIVEKDLKAVDCLVVVGTTINIPGVKRLIKEMSKALHHHHHHRPKSKYGKRAEGGK GKVILINDIWPKVEDGLVDYWIQSDIQEFTINHLSHIEDEEILEKEVKLPCTPTKKGQ VYSYPPTPESIDRLRPNPHTKVISSDIEVETPTKTTAKKRGRKEVEVVIPTPVSTTKR KYTKRKDQRELTPTPTPAPTTPISRLDG L199_006393 MHPTESKPYLSPSSINCHPPPELSPYPLHSEIQNEYLPTPNAVR GLQPEEIAHVITELSDTTISLERRGLLLRSLGVDGDESKESKNRIGGSISRGRNPEE L199_006394 MSSSLNPEIDGHTYKRQKTDDINSSDPKVARRAKFEAVFDVIAK ELLDYVKGEGMPKDAMEWYEKVLYHNTPGGKLNRGMSVVDTVEILKGRQLEEEEYKNA AILGWCVELLQAYFLVADDIMDQSVTRRGQPCWYKMPNVGNIAINDAFMLEAAIYHLL KKHFRQEKYYVDLIELFLETTFQTELGQLVDLITAPEDHVDLNKFSLEKHHLIVVYKT AYYSFYLPVALAMYMSGVEAKSAYDLALSILIPLGEYFQVQDDYLDCYGKPEHIGKIG TDILDNKCSWNVNTALKFATPEQRKILDENYGQKDSEKEAKIKAIFSQEPISIPQRFE AYEKESYEKINGLIESVDEEGTGLKKEVFRSFLAKVYKRSK L199_006395 MPGHVHHSAPPHIKRHYTMRKSPYLVSLIFLLAATTLTLLNIYV PSLLHVIVRNPGPTQFETRYGLYRRCTRSTPVPNAAFLQPSHPPSLTTDQVFSGWELG PVNGPVYGEGDGWVCQAFPTRSECEQFGEKFCVLWSTAGYSAQLSLVPCLASLISLLF IFLHRGQRTARAKARRQQWKLVSGTMLIHCILQILSISLILHVFRTDERFEAKGSHLD QSFYYGVSSAIVSGIMALLLTFTALAARAGKPWAAGKSARHAKRHRRTRSGRVIAVPP GTEIPPEEVVTVGEVRAAQEAVGETTGLLSGHEVEVAGGRGGERATDGGV L199_006396 MTNQSMQVDSVPSASEAEYEPQGPKPIRKLTKDVINQIAAAEII HRPANAIKELLENSLDAGSSSIKITLKDGGLKSIQIIDNGHGINKVDLPLLCERYATS KLQKFEDLQKLGTYGFRGEALASISYCSHVEVVTKTKNDGCGWKANYQDGLIIPSKPG GPAEPKPTAANDGTVITAEDLFYNMPLRKRAFKSPSDEYSRVLDVITKYAVHNPHVSW VCKKAGTSLPDISTPVNSTVKANIANLYTPSLAAELLEIPLTVLQPESKLSSTIRGWV SNANSNWARKGGWLLFINNRLVDANKIKKAIDALYTAYLPKGASPWVYLSLEIDPAKI DVNVHPTKSEVHFLNEDEMIDGICGAVQTALAGANVSRSFSVQTLLPGADRPMEKRGE SSTSSTTFAKPKSTIKKPAPNYKVRMDPSNRTLDSMVTVVDPSQLSGFAQSDSQILDD IRPNKRRAVVGEGSAEEPLNIDDDEEEADLEEDQRERVRWNEGYNGSGGKGKEKDIQE SICEFDSILELRRASRKGGNTDLNEIMRKHAFVGIVDKQLCLSLIQHSTKLYLVNHAS LADEHFYQLGLRQFGAFNRLRLAPAPNLRELLKLAADDEKGLIDAGLEVDDVVETIYN LLLDKKEMIDEYFSLSISSQGDIETIPMILKGFTPNLDRLPHFLLCLGTRVNWESEKA CFSTFLHELSFFYSPRPFSDLPSNADTAEGNRGEETEEEKNHQLWQLEHILFPSFRKH TEWPKILMKDFNMIANLPDLFRIFERC L199_006397 MAPSVPGFEGVVPSSDIPPAQQAVSVAPAGHSAVDVTPPASPAP AATSPRPIVNRASSFIAPAPKPLGSLHPPATLKGIDWEGMPEEPKWDESMGEPDAVLE LADGLALAGHSFGAKRSVAGECVFQTGMVGYPESLTDPSYSSQILILTYPLIGNYGVP ERPNVATSNIPTSEDAHNVPPPTHLLDSLPLEFESSHIHIAALVVANYHPSFSHHLAN SSLGQWLKEQGIPAIWGVDTRMLTKRLREGGVLLGRVLAKQGASAVDSQPRGRESQSG VLGGVSRLLNGLSAPSMARSNSTDNFASNWKEDYESVPFYDPNDTNLVAKVSTQQPTL YTATTGSEKKINPKTGKQLRVVAIDVGMKWNQIRCFRERGVEVKVVPWNYDFNAETEP YDGLFVSNGPGDPSMVKETISNLSKALEIGKVPIFGICLGHQLLALASGASTRKMKYG NRGMNLPCTCSSSGRCYITSQNHGYEVDVSTLKNGWEAFFTNANDQSNEGIWMGKNGK PFFSVQFHPESAPGPRDTEFIFDVFIKSMVDSAREGKLVPIDMPGGDLADNIAARPKE HVKKVLVLGSGGLSIGQAGEFDYSGSQAIKALKEEGIYTILVNPNIATIQTSKGLADK VYFLPVTPEFVRKIIKHEKPDGIYCTFGGQTALSVGIKLKDEFASLGVKVLGTPIETI ITTEDRDLFAKAMEEIGEKCAESASAVNLQEAIEAANRIGYPVIVRAAYALGGLGSGF AQDDEQLTELCNKAFATSPQVLVEKSMKGWKEIEYEVVRDCRNNCITVCNMENFDPLG IHTGDSIVVAPSQTLSDADYNMLRTTAVNVIRHLGVVGECNIQYALNPYSKEYCIIEV NARLSRSSALASKATGYPLAFIAAKLGLNIPLNEIKNSVTKLTSACFEPSLDYCVVKI PRWDLKKFNRVSTALSSSMKSVGEVMAIGRTFEETIQKAIRCIDDRFPGFGDHIDVED IDHEIANPTDQRLFALATALKRGYSVEKLNKMSNIDPWFLTRLERLSKTEKLIGTYNA STVPNQLIRNAKQLGFSDRQIAKALNSNELAVRRLRIEAGISPYVKQIDTVAAEFPAF TNYLYTTYNASEHDVTFDDNGVMVLGSGVYRIGSSVEFDWCAVRAIRTLREQGMKTVM INYNPETVSTDYDEADKLYFENISLETVLDIYDIERSSGLVLSMGGQTPNNIALALHR QNVKIYGTSPEMIDTAENRYKFSRMLDKIGVDQPLWKELTSFSEAKTFCDKVGYPVLV RPSYVLSGAAMNVVFSEDDLESYLTQATDVSRDHPVVISKYIEEAKEIEMDAVARDGK MVMHYISEHVENAGVHSGDATLILPPQDLDPETIRKIEIATQKIGQALNVTGPYNIQF IAKNNEIKVIECNLRAARSFPFVSKVTGIDAIELATKVMLGFPVTPYPDVKLPPNYVG VKVPQFSFSRLSGADPVLGVEMASTGEVACFGKDKYDAYLKALISTGIRPPKKNILLS IGSFKEKLEMLPVVHKLHRQGYNLFATAGTSDFFQEHGIPVKFLEALGSVNDLNPQKA EYSLTQHLANNLIDLYINLPSKNRSRRPASYISQGYRSRRMAVDFAIPLITNVKCAKL FIEAVLKKPTFDITSVDYKTSHETFSFPSLVSVQAFVPGAAEPNSNDFSEASQAAIRG GFTVMQMVPQGVASAVEDEISLQRAQANATGASHCDYFFSVAATAENASRLQDAIAAG AKALFIPFNNFFGSVNKVTSVAQHFAAWPADKPIVTDARATDLASILLLASLNNRSIH IASVSTRDDILLIALAKEKGLNVTCDVSIYALFYSQADYPAAKCLPTADDQQALWDNL ATIDIFSVGVLPFELGTALGNSVSPSSGVAESLPLLLTAVADDKLTLEDISLRLSENP RTIFGLPEQSQTYVEVEVNRRSTFSPQAADTWSPLDGKSIAGAIHRVVINGHSVFLDG LSFSMPLGRDVSTAGNRTTGTAKQARGSFALQKRPSISALLSPTMERPASFGPPANDK LMSLSSIAAVNTSPVRNLLSLQTHPAFSRRHILSVKQFDREDLHVLFNLASEMRAQVE RSGAVDTLKGRVLCTLFYEPSTRTSTSFEAAMKRCGGEVVQVSASTSSVQKGESLADT IRTVGCYSDAIVLRHPSVGSSKAAAKSSPVPIINAGDGIGEHPTQSLLDVFCIREELG SVNGITVTLIGDLKNGRTVHSLVKLLSLYDVTINFVSPPSLTMPDSVKSEASRAGVRW SESTVLSDDIIAKSDVLYATRVQKERFENVTEYESVKDIYVINNDVLAKAKESAIVMH PLPRVNEIDPEVDFDSKRAAYFRQMRYGLFVRMALLTLVLGA L199_006398 MSLSRPSNAFDALEPDESFFDREKAKLIEEISTNFEELMGHTNV LNRKLEEVFGVGKEFTTVAALWGKFSDLIKEQQTELAQSADIGVPGTGSTNFVTNPNK TNQPEKR L199_006399 MMQMSNRQQGVDQVGAGTVTASSWSASSCTSTSTSTVGDSRDRP QLSIQIHSKHSFDSHSGPPSYRYSTTPSPSIPASSRDSINSNSTRLQTPINHSQHHHP SSSSVVSQEYSITDPFQTNSHSKMTTTATQLPQYNNTCTFTDTHSTPHHQPYHLPIDY MHETPNQYGHTYDQSMLHNQQHLGVQGWESMISPFTINPQLMEPSPCRSLSPESNVSC SPAIIATEEVPPHPPSTATSATQIAPRMVITKSNTPSSTVEWYDGPSGWIRSVAESHK QRRESYLANPKAWPKGEGEPKELQPLTDHCRFPHLWEVEQARKDLTEDAVVAKLMKKS EATIAKEFARCQKAGEEFKPPRPMNSAMAFADFRRPQWGDMYSDMKTGSISTWLSAEW RALKDLRPEEFEWWTRVSKKYWDKYVEDYDYKFTRAPNGEGKGSKKRKAKAKENAARE KAIRLSNEAARRSSRRSTSTRGSLAPPMNIILPEQNHHSDQYQPFGSPNVLGLSGMPA HHLTPTATLTPTHNGQIAYATGYFDGYTFPMTEGSRTPSPPQLLTPLEAPHSSHGSHG HHSHSPGPSPYAQAAYFYPTQAQMNYAHQQAQQQQLHQHQQQPHHHQQQLQLNYCHNA PHTGYYQPAPPPTAHEYYTHHQPPAQHLHQQIGTIGHHIPTLAPHNVPSPQ L199_006400 MNRRDKLILLVDTGTSPHVRRTAAKQLSDLTVKAFLSTTQPKPQ AEPDRKEDVKPDIDLDGSVTLSTGGNEEDAWNDVLETISKVLPLLKSKVSDTRHAAAY ALGLLASSLPEWSHPTESPSNLEGPDGIIDLQELLKGKSTLLASAGREYVAKPLPGDK AKRRKAMMGSLGLGDAVGWGDDVDKVIGDEDEDMNEDKTQNRNGSKASSVEPPQPPKD IFEGLSARQITMLKRKKGNMVEEANKMRRMNEKASGSGPSSTVPSPVSTPPEVPGSAA VKSEDVKSEVVTIDPGAKARAAAQAGSGGQVEPNVDADGNPIITSSLRVLSLVKSQSP WTTVFSELSPQLHEPVWQIRHGSALAIMEILRSLGQSYASKEPAYLLHLARQLLSLLV LDRFGDFVGDTVVAPVRETAAQSLGIVLKYIDINGVKEIHNTLMGMVKQPWAKRGKAA EGLDRSEKFAWEVRHAGLLGLKYEVAVRGDLLGSIKEEDVEMKSDVKLDPAIDELGIL KDVVEAGVLALQDSDDDVRTVAATALTPIPDIIASGLSKTDLNGLLTTLWGCLSEGGD ELGSSTGAVMDLLGVLIRQQQVMQFMIESAEPLASRTYKFMRHPIAAVRLSVIKILMA IGELREVEKVWLSDNYSSLLFQNLLLEERQDIRELSLKALDDAVQEVEEECGEPEGMM DLNTWFPLVMTPIGAPFDQTLFKKVKNSTTGHNVDKAMMAGDMSLVSMDTALQTRLTG AKALGLLRRFHDEDSNDLNHLKQYLGSASAHQVLMATAIMQEWALDYDKSQSLKSEVR SLGASHELANPLVPILIERIESPVPATYHEMSVILQRIYTECHALLNAFNVEGKISKD RIPTLPSRLDPLSTSPDVFSLTTAHQAVGPTFEGLAKLLTKPAQKIALAALKDRQRKV MGSIGYFSVMKERYDTQVMAGIASALVALRVMPSKLGPVIKSLMDAVKKEENEILQTR AASSVAAFIEYTTTPLFTGRVNPSDKVVRNLFTFLCSDTSVTPIFVPGPSAHTGIFTL KDEKAAAALAAKKGAGKDAVEETEEQIEARVTRRGALEAFRAMATQFGDRLFDAVPKF WEGISAALLSNFAMGAHIDEIDKRLSDNAQAGQDIIDCLTSLRLIVPELNSSLHAQLQ ILFPPIITALQSSFAVIRHSAAKCVAAFCDVMLNESMKKVVNDVVPLVGDATRVASRQ GAVEAIHHIIKVLDIKALPYVLFLIVPILGRMSDPDEHVRLLSTSSFASLVKMVPLEA GIPDPEGFSPELLAKRDEERKFLMQLLDGSKAEQYQIPVEVKADLRQYQKDGVSWLAF LAKYQLHGILCDDMGLGKSLQSICIIASKHHERATRHATSNSVDTAHLPSLIVCPPTL TGHWYHEILKFTPHLKPLQYVGNAGERTMLRSRFPYHDVIISSYESVRSDITELTKFN FLYCVLDEGHIIKNAKTKLSVAVKQIKSQHRLLLSGTPIQNNVLELWSLFDFLMPGFL GNERMFNDRFSKPILADRDGKATPKERETATSALEALHKQVLPFLLRRLKEDVLNDLP PKIIQDYYCELSPVQKHLYDEFSKSQAAQEAGEEVSSSDTSKNGQGQGHVFQSLQYLR KLCNHPALVLDNQPERFNQIQKRLGVEGGTGLHDISIAPKMEALKQLLTDCGIGQAPD KLSDDVNQHRVLIFCQLRPMLDLIEKDLFDKHMNSVSYMRMDGSTDPKKRHAIVQTFN SDPRIDVLLLTTSVGGLGLNLTGADTVIFVDHDWNPMKDLQAMDRAHRLGQRKVVNVY RLITRGTLEEKIMGLQRFKLNIASSVVTQQNAGLGSMNTGEVLDLFKVSAEGEPVKPK APTSNGPTSMSKMLEGLDDLPAEEEYAELSLDNFLSKV L199_006401 MSAKTLFFAAAAAVGVAVVNGQAAPNWQYIPSACQDSCSSTIES AYLCETTYSSSTEVYGCFCNNYPTDVDSCSSCLSSNDASALSSLLTSTQTACPTAIQE CFFQCSFDTCASSDISCQCDATYLANIYNCASCNTANGNTAATQVSDFEALQESCQNQ NYTGADQSFTTEALPAITTDGYSAPTLTATGGGAAATGEASDLAGGDASVASDASGTT AATSAAVTSGASAASGSSTTSKAASAAATGSASKASTTASKSASGSASAASASSSGSS SGALNVAAPAFGGVVALVGAVVALF L199_006402 MTVSQHPSPEELHLLYVLLDSNLPTGGFVSSSGLESFAKHGFLS PLPPSYSSSGASSKRSIPEGISDFAKVEVENYSMTTCTFVMDAYQIVHKALSLFLLQP HEDMDLDVEGIVEDIIQVDKYNESTLLNHVGRRASKAQGVAMLTLYTRGLSNPAGLEE VNDIDGESNNKTRAKKIINLYKRCIRGNRSPGHLAVCWGVMTACLGLSLDRSIHLHLF LHARSLLSSAVRLNLIGPYASSQLLLHPFKKIIDDQVEALYRYGYLDAVDSQCQRVNG ISFQKKEEEEEGEDDFWSWTNETDPSGPKTTWPLGEILMSRHDLQHSRIFNS L199_006403 MSAEVEAPRSLSAFPRPTSLFVPDVPRSVPILDQNGYSPFPSFP GGRNRDPYGLNAFLLHPDDSLWNYVDPMPDPKAANGPGDLQSLTSADGEQRSALPRVR SVRKQRSLSLMKKPSPLSQPPVSSGEEDDVIDEKPVTLQRSRSNSVPTRTVLSVEQDE ANIMALEEEEEMTLKTSKSGKKSLKRAFTKARKSVFGINEIDPSIPPVPSFPEPPVLL APARSASSSESLDPSTPMTSRSNSNYSTISSASSSSSSEGVKTPGEGVSLDVAITGSK LANALQEAGEKKNKGKTWRGWLGKKNSKLSPKDSDNSGSNTPLSDLSAESTPNSSTLD LPSTIPKVTLTPSPSIVTPPRVSTPLLPPSEQLARQHTWASEQLRRVSMRKISQLRSP SPHPLALSLKRQNSKLPDEVAFSIRSDQRVFPMSVNSHKGLEGDLTPAQGGLWLNIAI TKVMIKLDRGEQPEGILKVRKNLKKSIVPRPKGALDFINRPPYEERNMVFYPDNVFSP ISMARPGYGVWDLDFSPYILGLSEIYEPSTLSWPTLPRLSTENPNLPTEFVDAIKAFE TKSEDEKSEILKSPELILDASIALKTLTANANSPSPITTPIATPVITPAGSPKKERPL SSFKPKGQHSRSNDGHSVSSASSESESESETDNESEDDDEPLAVVAKRRSQSFQSQPR PAARTTHSSNPPLTQSRPATHVRSLSQPGDKKAAKRVSMSMEAEMRWRELQAREAMSS VARARELRAQNEAGQMERQADKDRIKEKEAKRRSSMMDLKDTLLPTPSSTSNNKHKRN SSASFGMTTSKNHGYHLSPVPVPAVTDVNRRRVHSHNPQNHLQAPVLTRPENNRTRSS GNVQPGNERKRFSSFYEQKSNSTTSFHSHSNPHAHPHQHFVHQHQQMVLPMGYAHPQM TMNVQGGSMYGMGMYPYPNAAQQFHGQSMRTSPGRPRMA L199_006404 MTVTNTEEILALDCQNLTYSWVEGDEPVLKDVNLDLKKGDRCLL LGANGAGKSTLLRILAGKRLTKTRSCKILGQDVFMNPPGGVVYLGTEWSTNPVVRSDI VVSHFLDSVGGYRHKERRDRLLQILDVDLDWHMHQISDGERRRVQLCMGLMGEWDVLL LDEVTVDLDVLVRADLIDFLVSESEIRGATIVYATHIFDGLRNFPTKICHMQLGSTPR GIVSWPPANVPVSELDLFTLALGWLREDRELRRIKEKEKGRVRGPKTDTKDRITFYEK YDYSH L199_006405 MAEIRQRQGPGEKEQVKVPLPSDQKGKRLDKKEIDKKVEQIQTL NAVRLILSLAGLGAVVWFGYKTLYPILFTPSTTSEGENLATKISSTSRFKFGKKNQQS LISSEIPSPVGGIKLEADVEKRQAVKDAFIWSWNAYEKYAWGSDEFSPLSQSGSNLTS AGGIGYTIVDSLDALLVLDLIPEYERARDWCKNELSFEKDAVFNTFETTIRILGGLLS AHYLTSVHTNPSIQQDAPIFLDLAVDLGERLLGAFSSPSGLPWSGINLATRDGIPDRD NQGVASLAEAASLQLELKYLSHLTGDYVYWKKAERVSEIIRGEAVHDGIAPIFISPMN GQFVASEIRLGSRGDSYYEYLLKQWLQTDREEPVYRDMYDEAMGGIKKHLIGQTKKSG LIFTQELHPARHPRDQSQTWQVVPKQDHLVCFLGGSFILGITEGGKRSVDWKDMDEVQ QEDFVVGKGIIESCMKTHETATGLAPEIAMFVQWSDEQRAADEDWYIKPNLNGVLIDG RNILRPETVESLFLAYRSTGDEQYREWGWEVFQAFEKWCKVPSGGYAGIEDVQTVPPK QLDRMETFWLAETLNVFYAKDEEFADALSIRITAYNRGPDPADLHILPQLFFRNTWSW PKELPKNMPNVRQEAEGVIYASEESLGNTRLYCTPSPAPAAPAKGGVVLVDGPSIVPE LLFTENETNFERLYNGKNRTPYVKDAFHDHIIPSHRPVEPETNGEKEASTSEADGSDG EAEQVIEPPPRPTSAHGGRQFVNPEKTGTKAGAHYEFKDVPGKGGCVVVRLKMTPYSP DEDPSILDEELFDDNIEERRIDADEFYGAISRGSISEDLRNIMRQALSGMLWTKQYYQ YIQKEWMDGDPGQPPPPPERKWVRNREWKHMYINDILSMPDKWEYPWFATWDTAFHCI PLAMVDPSFAKKQLDLMTREWYMKPDGALPAYEWNFSDVNPPVHAWSTFRVFKIERKM FGREDLDFLERVFQKLLLNFTWWVNRKDADGNNVFEGGFLGLDNIGPFNRSEPLPTGG TLRQADGTAWMAFFCLNMLSIALELAKHNPTYEDIASKFFEHFLFISDAMTFVGSNDE QLSLWNEEDGFYYDAIQWGYGHSQQLPVRSMVGLMPLYATLVLEPQVIKRFPGFKKRM DWFIENRPDISTRNIANIRERGRGDRRLLAIASKERLVRILEKMLDEKEFLSEYGVRS MSLHHHEHPFSMNVNGEDFGVGYWPGDSRSGMFGGNSNWRGPIWFAVNFLLIESLQRF HQYYGDTLTVECPTGSGDYMSLAGCAEEIQHRLIHIFSRDESGRRAVNGGNPKLNRDP NFRDYVHFYEFFHGNDGRGLGASHQTGWTGLVAWSIMQTGEYCRLPKTPRTPRSVAKH YFDEHLNTPSEYNAEDGSLYSAYSVHSDFDNPEPDDL L199_006406 MPPRLPLRAISTPLAGLRYTSSSSTSKSALFTPKAGQAGPSSSN TATLYRNPPLLPLLQLRTIRRAKLNLDLNPFHLPRPLGITTPPSSANKTWSQRKKELL DDDRHKAKRKALVKEATQGYFHDYNRAKGVGGGKLWIAPNVLIREDKALYFPDISGKS LLGQEAHTTDLLRGKITLVSVIATRLSEEHEQSFTQPVLEDFAGHPEFNFVQINHQEN KLKSFLVSFFISSLKRTIAEDRWGNYLISSGEWSPMDITNPLGIDNKLLGYVYLIDEN LKVRWAGCGTASPEEAQALRRATAVLLGRTKGGSEVEQPKV L199_006407 MIRIPRPSSSLVPRLKAVQPSSSILRKGYASSSSHTLSSIRLGV YVDAGSRFESQRTSGISHLLDRLAFKSTDKHSDEEMTRLIDSWDLK L199_006408 MPPRLPLRAISTPLAGLRYTSSSSTSKSALFTPKAGQAGPSSSN TATPIPKSSSPATASTTNDPKGKAKSGPQSIPPLPRPLGITTPPSSANKTWSQRKKEL LDDDRHKAKRKALVKEATQGYFHDYNRAKGVGGGKLWIAPNVLIREDKALYFPDISGK SLLGQEAHTTDLLRGKITLVSVIATRLSEEHEQSFTQPVLEDFAGHPEFNFVQINHQE NKLKSFLVSFFISSLKRTIAEDRWGNYLISSGEWSPMDVNYKPLGIDNKLLGYVYLID ENLKVRWAGCGTAPYGISQVIIMDV L199_006409 MIRIPRPSSSLVPRLKAVQPSSSILRKGYASSSSHTLSPASVVT TLPNKVRVATEALPGHFHAVGVYVDAGSRFESQRTSGISHLLDRLAFKSTDKHSDEEM TRLIDSLGSQVTCSSSRETIMYQSTVFPESLPLALELISSTIRHPLLLPEEILAQKEA ASYEIREIWNKPELILPEIFHTVAFQNNTLGMPLLCPESQLPKLGEQEVRGFMSDWYR PERIVVAGIGMPHDQLVELSQKFFGDIQPSTSTPSSSTLHTPTQQPQTPIGSKSFATV SNVAAPSDYDGLVNAKAVYTGGEYYVENPEDKLVHIHIGFEALGIHDPDIYALATLQT LLGGGGSFSAGGPGKGMYTRLYTKVLNQHYSVDYCAGFHHCYADSGLFGIAASVYPEF APRIVDVIAGQLHSLTGQMFGGIEQKEFLRAKNMLKSTLVMALESKLTAVEDLGRQVQ IHGHKVPVEEMCAKIDELTLEDLWRTANRVLRPASASSRLNYGLGSGKATIVAQGPNL RALGDVKRTLKDRWGLGL L199_006410 MSNQQQVSASSLSTLSNDSSLLSCEPSLNLEKRQLDHAALVLDI FQGKGTMTKIVEGFTEDSCYEDPVAYAKNREEVAGQLLHIPTVTSSTTTHKFHITSLS PSISTKTGTGREVIADLIEVDFNHDLKFKIGPTYNLVTVLQIYSTPEGIVRLQDRPGD RIPDNGFAMALRKLNGIVAPKVAGVPKDEKEDAELAIKQNKQL L199_006411 MDHRPHENTESHSNIPIPTNYPEQSGSGSGSICVPPPPPPRQAS GAGSEHYPPLYTRVPVDFQLGRDHVQPNDAGPSTYPHQLDSSYLSHPQQLPMVYSANP PQLPTNPHQHIYHRTPPVNKRRRGRLATACVNCNRRKQRCDGEIPCGLCVKRNVPCSY PTEMNTSYNADAERVKQSATMVASGFNSATILGILCHLGYKDSRFPKGSLRSMIQAYI ALEEKTIRRDEALETELMVLRMMEAKALAREDMDLRSGRTTPGSHGSVVGNEAKLMVQ IKQSRFMPPPPQIPMVDNQSRPPSSGSRQHRLQHQQSRVDIATDSSVDINASNEIWSS SAPVHVESMVNSARDRPQPGTGHGIHSENENDTQQPYDLNSQYDPTNVPLPTPLPHSS STPSLSTALGLPNYNHNHTYNDNPDRNTQGVDIRTTPNDNEWYLPSDWDPTTSGLPDS SDPSAWQALMGMEWMNNLDLDMGLDMTEGENTGSLIARLGEGQGQNGQDRDGHGL L199_006412 MPSREDREWNRLAVHMDQFHSHFRYEFNRVYTLADGGFHKEGMT LPRFLREAQQLYTHLDMHHRIPQFKEGARESGEHLKKHKGIHDGLEKYDAFLRNSLEN QSEYNPTKLREIMDGFKEVLFTHLDEEVKDLGAESMKKAGWTLDEIRRIPM L199_006413 MILLESHSVIINDVLTDRFEKASRADIQFVDYDNVRFHLSTPES KTKLLLSMGIQCWPDLVKYGAREHLQNEYEGYLLPQDQTEPEYDVSLVIDLEQLPEGN EDRLALISKLAHLKSTAMSSPFLSAFAEESTLQANYKDAGGAQQKDIAEQSETKGELK IVRYREEEAIYIQASNDRVTVVFSTVFKEETDKVFGRVFLQEFVDARKLNALQNAPQV RYSNREPPLEIRHLPGLHNGEDWGYVTFVFSPRHFANPAQTQQTIDRIQLFRDYLHYH IKCSKAYMHSRMRYRVAEFLKILNRAKPEVAAERRTATGRTFRTR L199_006414 MASRAANTRRTASRTRNDENAAPTQAGLRTKTSLSHLGPAQKVS VASTSGTAGAVKKPVAVKAGAKRTALGGVVTNVVKEEQYEDEKKPLKAVGKPTTELRQ PLASRTNNVQPTRPIASIPHRSKPTSSSLYTSEPSLSVKSEDPLSEMDVDPSKSTAAA QMQMPMQMLTVDEEAYEDESEEEMEDEEEEEDEEDWLRMSEEDAYRAQEQLDMIRSTF KDDVDLFDTTMVAEYADEIFNHMEVLEESVMPNPRYMDFQTEIEWTMRTTLIDWLLQV HLRYHMLPETLWIAVNLVDRFLSVRVVSLVKLQLVGVTAMFIAAKYEEILAPSVDEFV YMTENGYTKDEILKGERIILQTLDFTISSYCSPYSWVRKISKADDYDIQTRTLSKFLM EVTLLDHRFLRCKPSMIAAIGMYLARRMLGGDWNEAFVFYSGFTESQLVAGASLLCER LVEPDFETVYVYKKYANKKFLRASTFARDWAQNNAATAF L199_006415 MDFQTEIEWTMRTTLIDWLLQVHLRYHMLPETLWIAVNLVDRFL SVRVVSLVKLQLVGVTAMFIAAKYEEILAPSVDEFVYMTENGYTKDEILKGERIILQT LDFTISSYCSPYSWVRKISKADDYDIQTRTLSKFLMEVTLLDHRFLRCKPSMIAAIGM YLARRMLGGDWNEAFVFYSGFTESQLVAGASLLCERLVEPDFETVYVYKKYANKKFLR ASTFARDWAQNNAATAF L199_006416 MPDPDCHPSHVSRPTSSSTSMSTAGGLRKRIVMNPPPSFIQYLA SIAPHDAIPGANGPMMISSNGQAVLLSPNSASTGVHGSLQPSNDQSSTVDVDSTDNTP SADEGDMDDGQVVLKGDKVVLVAPTQTSSPTITPTSTPTVIEMVSSVVDSTGMASSAI TSAPTATLASSSFSMTGSTSAAFTVTSTLATTSNDISLRTTSTAISTISTSSTSPSQS STDKSSPTSDHHPPSAGIIFLIILLCLAIFIALASLLRYMVKSRRLPCLGRSRSDEDD DGLSDLVRGFDTPRTLGGGGYNPYLHASTYPHLSDVDDNQEKSELERRASLFAHQSTT NANGRSPFLHTESQSNSPIGYDGNMDIPNLPIPPPTAHSMGTSTLPHHLLGETGPLEV RNAGPGEMDEHENAHKHEHEHAQDEREVEGIDGLVGLGLGQGSPRFLGVNGNGLPVPW STPLPPRPSSIDSFDNHQTNLHPNPFGSSSTLSAAQAPPLGFPSPSLSHDSLSLPQRS ATWASNLRNTLYNAISAARVPTVGSNAVGHPGMGDEDKFTRTVSVGNIYRNASSRRKA IPSFDLEKGLKVVDEKDDIDDRMKSDDSSSTLVIPRKPAGERFKGYYSRSKSSMTTTS SSIDGDGGDEVEETRPPTRMAGGARAAGARTGSFVIV L199_006417 MSKAGRIEEEEEEDPTDIFDTSLSSLFSIPPIGFAPDHNGYFTY NTPISDSQNPATQSKAIKLKIPSPPSSLYTTLQAQLIWPSSIYLADLISLGTIDVKDK NVVELGSAAGLPGVVASIRGVKKVVSTDYGVKEVLDVLEDNFRTNSTKDNQDRWEVKG HCWGDSVDDLVKCISPELIKSNGLGSKVIDAQNEEDGHTSNEATRTKFDMILAADVLW TTSTHQILLDSIISLMTKDGITHITAGLHTGRGPLERFMRSAKDRGLVVEYKGEVRLK GDNSWEEYNQSMAVEGEEERGVVVWFTLR L199_006418 MHSAEQQHDDLVASPPTHTTPVLSQEDSHPEMSSLNSIPFHQSL LSNKALKNENEDYRHSLKYQSEAIKLLRKTYPDSSTLRSHQDHRDDDWATNDPKDYGF CDGWGMFHTFQENRGNMGWDELGNCDNVNSKEIIIYDDSIRERTHGKENAHERSLEDD SASIKVEMLKREMDNMKMKSRLLQREKDEKYTNNQTSSLEGGVSPGKGEEDDLALNCF DDEDDKANDSSKLEEALLKEKEDHLRTLSKIDKIVGLKEQEITGLDDKLSDQQGLVAE MLDTNGALTQRISELERRLEEDHRLRMEERSEDAKRFAEGRLTGEVRAGVAGKTSEVF DDGLTDVSEDKKGSEPKYYGW L199_006419 MSQSQDIQGRTDPSLDVAPTPQNTPLDTGVLQNRPANLGQPTVE TLAEGEESDGDDDAEDVGGANPASLLAKNPALLALAQSKLDDLIGTSSGYIESLPPAV RRRIDGLKGVQVEHAKIESEFQMAILELEKKFLGKFAPLYERREAIVSGKAEPTENEV EAGKASDSDDEDDEEEEGAKVEEVKDEKDSSDIKGIPEFWLTALKNHVPISETITDSD EAALKSLTDIKLSYLEAGQPGFKLHFIFGPNDFFEDTELTKTYYYQEQVGYGGDFVYD KAIGHEIKWKEEKDLTKKVEIKKQRNKTTGRTRVIKKVVPTDSFFNFFKPPQPPTPES LESSDVDEDELEELDARLETDYQIGEDFKEKIIPRAVDYFTGKALRYEGDFEDDMDDD YEDEDFDDDDDDEDDQGQGGDAAAANPDCKQQ L199_006420 MGCLPCTNLQPEVAHLNACYPPSKALLTSGPDYRPLSQDLSKLT YFATNKPSKLARIGEELEKRISKESTRSSGGYAKYRASLLISLAILRALLTECKRDIS LFGKSALKCINSALDVKVYQRSNELDLEVVGRASAAFIAFTTFTDGSLIGIDENVTRT YLDILQKFGRMATFVEMKEKPDEDKEQENRTRLIGLAGLNGAILSDSLYSSNTEFPKQ ISILLPPLLINLFERSSSNSIEELKVQSDKIELDYGSSDQSPFFNEFSAKRPLNARRA PSLHAHIPGEKGPTKKDVLRTTLKSFHSLVQQSKISQATMIIDQIIGFLDKDRNIEDG WKDLERCCWLAEKLTAWIILQFRFVVPTRLIEVLIDQQNLKEPTAKNTTILSMVITIL NSTTSLVGLGVSDLLGNLITLIIRRIKFDQRDSLLPSLVSCVSALGTHIYYADQINDI VEEISIRIADININDKHRSEIIRVLINCIIGVMVTADQGDLKLSNGGSSSNNDSADPG GGGGKGKSKSTIPLTPSISENQNTPSLQRIHNKSSRRNSISPEVWQETLPLLCESDYA VRITYARALLLFLETELPRGSSTNNNKSGSDSSIYRFCHALNASIYTLLMSNCLGAGV VDEQSTLPSPEVGTITPSLVPDQHTINTADGQPQKSGKDERDRNGSVSGKEKEKGVSF NLISPTPNSALGNGNSPASGNVTPTGKKGSTRPTRRPSLPLNRLQSYVTLNSFDNVAT PLDFSCALTILEEIFEIQPVPSLITSVPMLMALDKDAGNELTRRPNDGRNGSWVLERK RAIRELVCMVWKVVGEKWGVGHIQDIAQKSLISLPEPYVIPPLPPYTPSSNLDLPETA ISFVPHMIEGESSSASKPLLDPKALLTALTGSSNVQSATGRDEAGLRRRWEVKWSVEH AVKDSIERFSSGHVRPEDQEIHNEIANVLMSMNNGSYQSFGNGSATGGYRPGSRTIDV GDLREALGGKVDDLTNNTSSPPSVISSAYLTQEDQLHLSTALQKSLSSKGLSRSANNQ DVKEVLKDIFKDKKRSSTNSTNGPHAHRIRTVSAGSGLGQKVTNGDEPELGGSVEGKV DGKGDEGGIVGEKDLNLDLGKVVA L199_006421 MSEELKKGDEVSWNWGSGQPSGKVADIVEEGKAEVKSNKGNTIS KNASEDDPAVVIERSGNDVVKRAHELNEVDE L199_006422 MSSVTANPRKANRRRSSSVTNALKQIPLNQQQEQSYGSENTIQE SEVKPLSGKPRPKSRKRRDANDLPDNYHSRGFWDDLKTGRWMLVPSSALILALIPIIL YINHNILVQYGLLKPNTSNPFRHLLFISGEQPDGRYTKCIYDFAFLGYYVVFWSFVRQ FVTIHILRPMAIALGIKGGKIMRFLEQGYAVFYFSILGTLGIFVMRGLPTWWYKTEYF WIDYPHKQMTWELKTYYLVQAAYWIQQTILLAAKIEKPRKDFKELVAHHIVTLWLIGW SYNLYLTYIGVSIFVTMDVSDIFLALAKCVNYVSEAASPPFFAFFVGVWSYFRHYLNI WILWSVYTEFNLIDEKERTRFAPLEDKWLDWWMKWQIFVPIFLLQLINLFWYFLIWRI LIKAVFYNDLRDERSDDEDEPEGEQTTTEKLKEQ L199_006423 MSLPPLSLNPDTQSDLLWTPSDPSQTQTSHFREHINSVYSLSLQ TYQDLYEWSISHRGDFWSSLWDFENVVGFKGKHVVDEGASPEDNPSWFEESASNWAEN QLRHSHSHPNDIAIIQLSESASTYTPPEKKITQIELYNLVGRTQRSLIREGIQKGDRI GYWGGNVLEAVILVLASSSVGAIFSSAASDFGVDGVKERLDQIKPKLLFVTNGVVYNG VIRPLLPLLPKLLKSLKSPPEKVVVIEHLPEELVGIPNDMERWNQWLDPNEGETTFER LGFNDPIWILFSSGTTGKPKAIVHRQGGMLLDSLREHHLAGDIGRGDVFFYYTTPGWM MFQYLVSSISTGATIILYEGSPLKDPSSLFEMIDQYGITIFGTSAKWLEVISKTYPDV KDHHELSTLRQILSTGSPLPGGLFDWIYEKVKKDVLVGSITGGTDICSVFAGRNTSLP VYRGEIQSRMLGFALDTDGPPNQPGELICRQAFPIEPLGFWPLNGYGFPEDEVQLAKK RFKESYFKGEEGIWYHGDYVRITPSRSSNSGGILMLGRSDGVLNPGGIRFGPTDIYSV LEGSEYSQLGVEETLVVGLMVEGGADEKVVLFVKMKGDRTLDDTLIKKIKTDIRLARS ARHVPSKIIQVSDIPVTLTNKRVEVPIRKLINGASINSINPATLRNPDCLEEYVKLGE KMRKEEGMDG L199_006424 MYPYNHSIDPSAPPFNPSPRTVQPLPLNQNFRFPPLPPDIPQQS ISQPQPHHPQEPYGHSRQPSQLNPSASSFSFRPLEVSPPVDDGVSRLKRYHDDPSALG IDYGDPVEETYERRVSPRRKALARAMEFTPPTQPINYNKSYLMKIEPIPAQTLTNYDP PPHMIPQPDPTVRPAMPTPTPTLHLQRDSHHYISDRAMLGISRSATISPQSNIHPAQT FQTPPPPLNRAWTLDSSSRTSLSPLNQSHKGLPLTLSPLHTHVQQGRISPSHSVISTP YDSRSVSSPIGDRRIVSLSPNFGQRRQDSISLPSSERKYRGYRAKESGPPKAVLGGPG GKTFDEMLALKTSPNLSPSKGPSSVGELSTSSRQVSGASSNSEYRYKGNPITFKLPPS TYSPPDSPLKPLHLPESPDLPIGDQDEMAEGEEEFMEEERKPRKEAFPWPKPRIRLSP TGVPLPLSPDRPGENTLRRPSIDLSTDKEQEVKEGMWNGKPVLISFPDEDCWEKLRPP TPSEAEAEELEEHDETEAEISAVLGYEMPVSPIAEDENGEDSKSVNMVEETVPAQEKD RPWDEYPLSPSRPVMKEDIHVEVDNRHVEGDTTSERFTLVPHPSLPPRPVTRDDRPPP SYSSELISPSKRSFSDAPLGNADFLKKQLGGVLKDPEELKGEKQTISPARHMRDGSGG SSARSWKQYKDIEVEGKGNDEVIAKEIGHLPSPKGKSKMRAWSDNENEAENQISGEED EKMSISSPDRLIKNVIDIEQHDRQLPAPSQDESVELDAEIINHSSKVDIKLAPKSGSR MRAWSDEEPNIVWEEEDQLGPESVSSPARLEQSSSEFAEEEHLPQNTSIQEQIIDVDI APKTGSKMRAWSDDGDEAMSSDGEREIRETVSGPARLERSASAPHDGAKGDIDLAADQ LKEYTADSIFPGSSSRLQAWTPSPEPSKMRAWGLEENINTVEAVSAPARMPDNEDVHK KSASDEVDRWQNDKFNTSEKFEDVPLTATETSKIRPWEDSTLKPVEEIDERKSIRLEK RGRDEDGEEEDLLHGGSRMRAWSQDILVSTIRDPNPVKWSQNGDEVQKQSSDFAPFLD KRPFESEQLRQKALEAKETSTSEAASMAKLKVLRAQVQNTKRSRKKGKKSIDAVTSSD TVRPMDDISMIQEGSEVFSVNIRSSSAEDVDNNITSQAGPIQVDVTSPQAKRLRPTAE PWTPPSSTLGYGALGISTRRPQPATAVQRLPPDAKSFVPQSTSFHFTVPSSHPVVDPN AQLFVPRSTLFTFASPIHPSMIPAPPPFVPKATSSACMPLGNPPPFTPSAAPFIPSSA PHGMSQLSGSHQRHGSEASSSSLNGKKLRPTALAFNPPQASPTKTKGSDFTFPDTRIR AASLTSSNGEKTLRPTATTFVPSYGSIPSSTKPRLQPSAIPFVPPASIASSVTVTSPV KSLAMSQTRSDFDTGDSTASTILVTSTTKADASVPSVLDLPTQDRFQEDLGEIHDLAR PPSSNEPILTPAEIVLEQHRPDSVESGLQVIPPGRGRADTVAFGPSSPIGGGTFDLEE NKSRLQSDEDEEERIEDSVVGQEGLFSETHLLTTPSVTGHDVLGIREASPRLSVSERD SRASEGSHSSERSRSMSSDRPPRDDRPSMRRAEENSYHPLDTPTLPLKEPIIPLKEIT IHPSHPVQLPLTPRPNVEEEPDAPVSAHTTVLSGEASIGSETLAHTGRPSLSRPLPPI PDPKDITASTGPFLPAKVDEYTTPPQSTGDTFASAAYMTADGSPLIPTRIFVDTDNTP PKTLRRPLPDIPTWRDPIPISTPAPSSGNAVGDLVEMLEDYGGAEADGDDQELVYPKV DIDTSRRKRGFDRSAAPSPELPSKVNGVEPSPDPLTVNNKFREWTFPISAPSGIYETG HQVKPSIIRRHTMPTEDHLDELVSSPASAEATMGVASTFASRVGELRAYLRADEEISR RTSVEFPMREDKRKLGVVNVDHEDHENAPGSAQQGGMAAKVSVHDERLDEMLDLLKKR DSMPNRAEEDLDGLKDLIVSAVQDVISQLDVQRQSNTPESLERIASFLQENPRLLTSL RDTDKLPLTPTHQEAQGGHKERSQTQSHDLIAAILTGQHAILSKFDEVASTQLSGSAT LTQAIEALQYAQQAAEQRAREEDDQRAIISALREEIEDNRITISESRAQADVLNQRLK DTGQDRDELRIQSEGMMSRMESMSVRQNKLEGELDGVVARALAAELERDALARSVNES RDLEDGLRSELREYQEQLEKERENFERSINQKESEIVAMQSQIHQQLSQIIEQKEKIQ SMEQSLLQRKEDEEEADKPKLATELSIIEMSQNALTFQEELMSRLSKLDENMYETMGS RVKEYESVLDGNRILQAEVDSLRERLEASADRFAKLQLSTSDSLSAHTVAQLALSDRL SDETKRRESAETKMEEMKKELERVKEEKMNWNVIASERQAMARMQEIRLQALTQENVY WRHFALEHDRRRFKDYMQTKPFRNPDGSELVVNGGGNEDKVNGNEGTWYVEKK L199_006425 MALPSTYHLNHSLPNRSPTQRPRSLSLSEYQPLNFDRDALESAY QQSLNLPSSHSSSLTGHSASNSFSFKGLSTETHNTTATGSSDDMLTHPKGAFAGVGAG HTLTPPQSPIHKATSKLNQATLLSPIPSTPIDRPPTPPPRPNDEELPETTFQPPPQPR LPPRPALRQKPSPPSLTMRRSQSEVDMIGHQQWDGANEYIEGDGHGRSKRRALPAIPT NVPAPEPPQWEPDYKPQIPSELTAPSAQYAYASLSAPPPNLPPRRNQRSQQISMGSAP GSSINVNVAGPSGSASASGCGSGVRPPMSTGQSIGTIVSSTSSSGVGVPMQSPPAGAP DYPPTNQPGSSSSLTNKPRRDAEKEVLLRAQDRMSIWSTHYLDPSLKQSLIHVPHQVT NAANIALSGLSSGPKEKYNTTIGAPIDGLAKMAKEWVVTPDARFIAEHGGIELGLGVI NSHNPQQQDWEKDKGRKKARVEVSSKTGGIKVDIVELDQDRQIDLKIETKSGDVLVLL PDEFHGPIHITSSRPPESLSIISPLLKPLSNPYSNFYTTFMVPLSLSRNMKKSNSVEY NSNVNLEKYLPKSFKEQSDLFDQLYGGYQSHSRIDHSKISIRSDKGRVVLGLRESKDE RDLEGMGLRVGVKGGEGKRKRWWRMST L199_006426 MGKKPSTSVIPAPASSSKKAVKLTQQQKNQSTSNSTSIDDIFAA PKKRKADEPVKVDKKKNLEKSKSSTNEPEKKKKKIGGPVIEKNDKPTKKSVDEESEDS DEFDDEEFEDLEDFDDDEEQEERLPQRKVEEIIDPSSLAEIRKKIEAAKATSSKGGKK IKSNKDREDDALFADSRGTGTGRKTEEGYVIYKEADLQIDPTAGGTPLCPFDCDCCF L199_006427 MSPLTAYHSLLLCNLSTVQTIESGLSNITWFLPGRFEDAELASE GLYALLGLVSNYHDQILRSHIPRELSLPPHPFIDQSKLPASSHTGINSNSGTVGRISP LLPAESEHTRYTRYWTKQSPTYRRASKALTTIGYLELVVEMIAMKKGGDRFRWRVVLI IELIKTFLRLTILRITKRPVLSPCTPQREIDPSSLPSEILSSSSSSNEDQSTSKMKLT PLTQLLTPYAPLKDHLYPMIDNLPESHLTHPLNLIKELKGKEYISEIIWSSVGLIHVL LLMRTSRQSNASAYKPLSLPTLSRSYIPYLSTLRLLLLARVMRPSHKSSNLGMTHNSS QDRKLLARAFLTGPMWLGFTRPKVLGLTKLVEKIPIVGLVGDLVEGYLPLVDDYFYYT SS L199_006428 MSTPIDSLPQADASEASASAPAPQATGLTDTPQPSASTSTSINT PGPTEMDVEAEGGIKVYKPISETTTATPKTEPDESFFEPTLADVQSHHSSVLARNKRL NEAPLLTAKYREAEKAEREKLKKDRWPNTTIRIKFSDGTIIQNIFPSDSPIQPVYEFI RTALIEEAINKPFILYQPPRTKYPEHSIPTPTSSTQSKTKPKPTYAKSSIITPANYGP VKGGTLQGLQGGTGGKETLYELGLVPQSVLLVRWEDDEAMNGSSYPAPIQDHLKAKSQ PLPPSVPKSESNSSNQQKGNSIPGPGQASTGTGEKKIPKWLQKGLLKKKT L199_006429 MASLFSSSTPVRPLVLHSSSTRVSILVPASPLSAWVTSEVLAQQ FHDSRIGQDEEIAPVVDEEDDAPKQLSQEPQVKLLARFLSFAADKVNADQDSSELAQV LLAAYNRFNELFLSSVNVHSLVQTFEPDSRAEVLKAYFKAFATAKESLGDKVKVAHSS ALLEATKNGSAELYALFGGQGVNEHYFNELQLLYDTYTPFVKPLLSQITSLLLDLGER ADADGYTYYSQGLDLISWLDGTSPRPTVEYLASIPLSLPLIGVAQLAQYVVSCRVTDL TPAEMRSSFKGATGHSQGVISAVAIASSDSWQSLNGNILKAVKHLFYVGLRGQEGFPL LSLEPQIVADSVANNEGVPTPMLSINGLSLKPLEGHIKKVNSHLPSNSQIGISLYNGP TNFVATGPAKALYGLATALRKVMAPPGLDQSKIPFSKRKAVFNIRFLPVNVPYHSSYL TGATEKLVQEDLNGQELWSTSDLAIAIYHTEDGTDLRQLESSLTASLSDQIFVKHIHW IKATNFPPTATHAVDFGPGGNSGIGPLTGRSIEGRGVRIVVVGEKGRAAAELYDANKI RREPVWAKEWSPKLVKTLDGKIHIDTPFSRLLGKPPIMVAGMTPSTVGASLVSATLNA GYHIELAGGGHYNPTALRNKVAEIQRRTQPGVGITLNALYINQRQFSFQFPLWQEMRR EGLPIEGFCVAAGIPSSEKATEIITALKAAGIKHISFKPGSVEGVRQVVNIAAANPDY PIIMQWTGGRAGGHHSCEDFHQPIIATYASIRQNPNISLIAGSGFGGADDVWPYISGE WSVKMFNLQPMPFDGVLYGSRVMVAKEADTSPSVKQIIVDAPGVEDAAWEGTYDKPTG GILTVRSELGEPIHKIATRGVKLWREFDDTVFAQPREKRAAWLENKKDYVIERLNKDF NKPWFGQKADGTVVSDLGKMTYEEITQRMVRLMYVSKQDRWVDISLRNLVGDWLRRVE ERFAGVDGIRTKESLIQSFSSLDKPTATIDNFFNTYPRAKSQLVAAEDKAYFLAICQR PGQKPVPFIPILDNTFEVWFKKDSLWAAEDIDAVFDQDPQRVCILQGPMAVKHSTVAD EPIKDLLGNIEGLLAKKILDQYYGGDESQVPAIDYIGAVAGKPKSGLAAESKSEGVRT LKLGKSVPSVDDWIEVVAGPEVSWLRAALTSVNVVQGSGYISNPFRRIFTPRPNQTVE IKSTNGQVSSVTLYGSARSFGPHPSDFKAVELSFNSSTNDITLVLNEERRGAVVPLHF AFKYKPDMGYAPIHEIVDGRNKKIKDFYWRLWFGDSETLPELALDTTFTGEEVTVDAQ AVQRFCDVVGNQGESFKSARNDKISAPMDFAISIMKAIFPSDIDGDLLKLVHLSNGFR MIEGVAPIKAGDACTAEARVVSVSNSDSGKTVKVKGYVLRQGEPVIEVTSSFLYRGKF ADYQNTFETIDESDYVVELTKPTSVGVLQAKPWFEWDDDSKPLEAGTTLTFKTKSELR YRDKTSFAAVKVTGAAFVRSSTKALVQVATIDYEAHNLHGNPVIEYLKRHGTAVGQPT PLESGYSLITDPATAVFTTPATNEPYSKISGDFNPIHVNPYFSDLASLPGTITHGMWS SAATRKYIESVVADNHPERVISYEVGFVGMVLPGDEIQVKLTHIAMRDGKKVVKVEAI NQRGEKVIDGTSEVLQPPTAYVFTGQGSQEVGMGMELYNNSPVAKAVWDAADAHLTST YGFSIVDIVKNNPKELTIHFGGIKGQAIRQRYMDLTYDTIDEKGQVKTLPLFADIDLY TTSYTFSHPQGLLFATQYTQIALVVTEKAAFDDMKAKGLIDTNAAFAGHSLGEYSALA AIADVLPISSLADVVFFRGITMQRAVQRDAEGKSQYAMMAANPSRVGKTFNEMALREI VDTISKQKDILLQIVNLNVANQQYVCAGELRALATLTNVLNMLKIQKIDLEKLSTMIS EEELREKLAEIIDGCWDMMIEKEKKDGAVILDRGFATIPLPGIDVPFHSRYLWPGVLS FRNYLVKKIDPSQLNPDRLVGKYIPNLIAETFEVSKAYVQKIFDQTASPRMEAVLKNW EKDAWDSAAQRQKLAYNILTECLAYQFASPVRWIETQDILFTTAKFERFIEVGPSPVL AGMATRTLKAKYEAQDGAISLQRQILCHAKNQKEVYYAFEDEAVEEAAPAAASAPAPA AAPVAAPVAVAAPVAAPAGGSAAAVDDVPPKAVDTVRVIVAQKLKKQAGEIPLSKSLK ELSGGKSTLQNEILGDLQVEFASAPEKGEDLPLDELGAALSVGYSALGKHAMALTNRM VAAKFPGGFNISAARAHMNKQWGLGPLRTDSALFFGILNEPAKRLGSEGEAKQFLDTL AQSYASYSGISLSSGAAAGGAGGPAGGGAVMNSEEFDAFVLKQEEHAQREIELLSRYL GKDQREGEKKADVAKATAEELQAKLDAIKLEHGDAYLDGISPVFSALKARTFDSSWNW VRQSSIQLFYDIIHGELDPSTVFDSEPRYRPAT L199_006430 MAAAGLDQESTARRELTSRCIAIMNRADPNLIEYMKYHIDNVDQ TKGPNYEKVKKFGQILLENCQEVVDKPPVYRDVALPTAPHTEVSAKGDIVYSEISRQN VRKLESYVKGEVEPAVNLDKVQSDIDKLWELVNSQPSITPAQKAAIKSMYGEVIKSLG KDAVVENPAVARTKGAKQRRSSSSFLRPNVEDRTEVEEAHLPFLHLKRKTGTSFAYSQ KLTNIYFDVLTEIATSGVTFAKKAALLTGVGRGSIGVEILKGLLSGGCTCIVTTSRYS RAAVDYYKNIFHEIGSKGSKLIVVPFNGASKQDVEALVDYIYSTLQIDLDYIIPFAAL PENGREIDGIDDKSELAHRLMLTNLLRLMGAVKVKKAARQFVTRPTQVVLPLSPNHGI FGNDGLYAESKISLETLFNRWSAESWGEYLCIAGAVIGWTRGTGLMSATNFVAEGLEK LGVRTFSAKEMAFNILGLMHPLLFDVSQIEPIWADLNGGMDRVAGLAEVMTSIRLDLN KVADLRKAITVDNAADFKVINGGDAERLHQKVAIAPRANFNFDFPKIDSPDILAELNH LQGLIDLDKVIVCTGFAELGPWGSSRTRWEMEAKGELTIEGCIELAWMMGYIKHFDGK LKNGQTYVGWVDAKSSDPVDDKDVKSKYEKDIIEHAGIRLIEPDLFFGYDPNRKSFHQ EIELNHDLEPLEISAEDAQRFKREQGEKVDVWAQESGEWFVKFKKGARVFLPKAVKFD RLVAGQLPTGWDARRFGIPEDIASQTDRTALWALVCVMEALVASGVSDPYELYKFVHP TEVGTALGSGMGGMHSMSAMFKDRREEKDLTISFINTVAGWVNLLLLSSSGPVKIPVG ACATALQSVEIACDSILSGKAKVMIAGGFDDFSEEGSFEFANMKATSNAETEFAMGRE PNEFSRPMTSTRAGFMESQGCGVHIMMSAKTALEMGASIQGIVAYTSTHTDKAGRSIP APGRGILATAREITLKEPLPLLDIKYRSRQLTFRRRQISQWLENEHELLRMELETRKD AKDNDAWFQSRVNFIDDEARRQEKDALATFGMLEGSHPNIAPLRRALAVWGLDADSVG AISCHGTSTKANDKNESGVYNLQFEQLGRTPGNAVPVIAQKSLTGHPKGGAAAWMFNG MIQTLNSALVPGNHNADNISEELRAFPHLFYPSKPIQHVRLECGLLTSFGFGQVGGQV AIVHPRYLYASLQPHDLEEYKKRRSERELSAYSRMSQALVNNNLVQIKDAPPYSAELE GGVLLNPLARAGPSKNNSFSFQGKLPSAIPLNPKNAETLKALFAQSNDVKGVGVDTEL ISNVPTSETFRQRNFTDEEIKYCTSAPDPTASFAGRWAAKEAVFKALSVPSKGAGASL KEIEIVSTSSGPEVKLSGDALAAGGNKKIKVSLSHSDTSVVAFAVAQ L199_006431 MTDQLGRDIRHLSLGPKYTPNSNGNGRPRDSTIASAGDAADIFD LYGSEDINDQDPKESWRSSNGLNGQRGSSIGAAYGSPSEYTQNESQRDSKVSWSGPMT LLGSNDESSGARRWSDNPDISITDVTPTTAKKDSMISSTSFQQYQLNEQDTSRLSPQR PKRSNGTTSNHTSMSASTDTSISVSEMENRSTNSVAGSSQYPGEEDDAYMIRTTYARL EKEGVHGDGWDQGIERTRGGPSVGSGKRATVYPATKSGDIGEQERQFLASLDRYGFVN EPHRNRSETRVALIPTSPLTKIPKLPSTSPLAGKPPVEPNSSFDPSDGGGLSPRIPPI NTNTNTNEEERKKRREFERVDKWGKMMSIKQRDQGGNISEWSWSTGIDKGKVRKRVYK GIPDRWRMAAWWTLARDQMDLKGKGKDRRDSEGIENDYKNTLDLPSTFDVQIDLDVPR TISGHTMFVTRYGAGQRNLWHVLHCFSQVCETCGYVQGMGPIAATLLCYFDPARAYTL LVRLHDIYGMHDIFQPGFPGLLEAFYVQERLMEWLMPDLYQSFQRNMISSSSWGTKWY ITLFVNTVPFSQQLRLWDALWLDGRDVMIITSLAILWAFRDLLSSPKATFESILSLLS SYFVAEDEDALMRWIRKVIGQPEIKNKMSFWREEWKTLVEQGKSGTALL L199_006432 MDDNSLRSRTSSMGDAGDDEQPRSIASLRSRFENLAAANSPAVV NGMQKSSSGGRNGFTPKNSVDFGKSASLDVNGQSSGLKPVSISRPTSPLSSSPPQPPS AKLPPAAPPPRPTTPKPALPSSTVNGSNESTLAPSQTLAPSTIQLPSSQPATPLASPS LSAGPIPTSPNPARRPAPVVPSKPSSVVATPTGSSEGSQEEEHIASVKTLRERFNFGA NAAASSSTASLPRSVSADAPRPAGPAMSAKQISAPTIKRTSTDGKTERPIALSPAKES TIELASSPVSNGDTPNHRPAPPPPISRTSSPAPPAPNRAHKPPPRNTSSPTPPPSRDI SSPTIPQEATPVADKPTPPQLPLRRPTIASPDSLEPLPIPPPIPANKPALSPALMATM SSTSDSGSGPPPPRLPQRSRASTLTKSENETQPPPPRLPSRTATLPVNGIAPPPSHPA SPSRSRENTNDLPPPPLRSALMNSASISSSPPRRRTNSGDKEKEENYSEDEDDEPDES TPIAGLSAAAKRMLEDFPDSTEANRRTPNFRPDLKIRDCHHVSAFATFGRYVCTGAHH VRVYDTHLSDQPISIVDLKETGLEHKSKEPRVTAMCFRPGATLAEEGRYLWCGTKDGH LWELDISTGNVSSTKAFAHTSSISHIFRHRKNLITLDESGKMLVYDVGDIEGKSPLLI RTLRIGEKFTFAKMICGKMWTSSGPAARSTTSASTSKGPTIRIYDPCSEGNMPPAKVA FTTEWTGAVTSASYIPLEGNVIYLGHEGGFVSLWDMQEFNCIQVLKISTTDILALEGV GDRLWAGNRKGQISVYDVGQRPWLTINQWTGHSDNPVQALVVDPWSIEYAGRYTCWSF ARDCMRAWDGLLSVDWIDKQLLIRQADYCTYRDIKLLVCSWNIDSAKPTDLSGSEANS KWLEECLNSVDSPEIIVFGFQEVIPLTDKKITAKTLLFGGKNKDSSSGSDKVSHAYRQ WLEKLTQAVRMSMPSDTPYVKIHSENLVGLFTCIFVKSSEKDRLRNLDITTVKRGIGG IYGNKGAIVSRIVMDDTSLCFINVHLAAGQSQKSARNADLAAIMEDKAIFPASNEEIP FVHGGNGTGILDHELVVLNGDLNYRIDQRRENVISSIAAGELPYLLEHDQLRKEMRSN HAFRLRSFEEAPITFPPTYKYNPGTHDYDSSEKRRIPAWCDRILYRKSPHIKSINYRR YEPTVSDHRPISGGYQITLKAVDTLKEMDVRRELAGEWAKKEKDMLIMMADKFDSYT L199_006433 MAHAAPKHRQLPDKESKLFRELLTQYELKQYKKGIKAADTILKK FPNHGETLALKALTLHSSLPEPLTVSAVPKKEEAEAMARLAIKKDITSHITWHVLGIL AKSRKDWDEASRAFAMARKQDPDNIPLIRDSIALLTHTRQYPAALAARHHYLLLRPQI RSSWLALVIAHQLNGDLEEALKVYDDYQSTLKEEGATGPEKSQILLHVIRVCIEAGKD QEGLDKLRQGVRDGVISPRGECTLLKAQMLANLGRQEDALQTYQELLEQNSDNLEYYK GYLRTKGIDLNVELTDESRSKILETLSTFAETFPRSAAPRRLALDYSAGDKFRELAKA YIIKGLERGVPSLFVDVKGVYNDSEKMKVVGEIVEDIVSRLEKDASLGDDGSISPPTM LLWGYYYLSLHLSYPLQPSPNYTRSLELLDKAITHTPTLPELYMAKAMVLKRSGDLLN AAYEMEKARLLDGQDRFLNGKSAKYWLRAGEVKKAEELLAMFTKKDLTPVQDLTDLQC LWFLQEEGDAYRKGGNLAMALKRYQALVTVFQDYEDDQYDFHTYCMRRMTFGAYVSLM RYEDQLRSHPAYFKGALAAIEIYTQVFDDPSITEEKISPEEEAERKKQAKKAQKAEAK AKKAAATSGEKNDPVVPDSDPTGIQLLKSETPIDDALKLWKPLERLAAERVETWLSGY EIFIRKKMYLAALKSLKSSHSISPSNPKLQYQILHFHQTTSTSSEDIPQSIKSVIEKE LPSLLTTSPDEFSTSLVDKAKTPEEIYYAAKGLSVISPSDKKKAKEVLLKLGDSDVIP HVIYMEKSLTLLHSIAPEDVEELQKKYRERCPNAWVFVSPSEKEEKRKGYQSGLEGFQ GEQNKEDEKK L199_006434 MPPARRSVKSSNSKSNTSSSSSSASAQAASSVSSMNGFPRPFDE KTILRNALQETERKAIILEGQLANKEEEYTKLFQETTQLDERLKQYSSRVNDIEENER LRSTIKVKEEEIRRIKMEHINCDIDRCNQLLLIVDDKKEDQVMIGNQFSNRDSDLFLL HRKELLDELKSLKESNNQLNQQLKNSDEELSNQIAINISTQDQIDELLLIKMQYEELK MRGSKKMKKELVFANNRNTELNERLTKMEEEKGKLEEENENLKEEIAKLNEKVDSHEN EGNKDASSDTDKGPSLKVKEGEAEVGGTVTGSKGRKGKKRASETGGSDKDSKRSKAGW GGEANAKGGI L199_006435 MESPLTASSPLTSPSPSYAISGIPDIEFLQGLEMKSFWDIEHEL NVFAKSQGYKYMLDRGGIPKNGKRNFRMFCSHYHHDEFGYGGTNKPPELESSPGYGCR HYISFTYCTRNPGREEKRDRASGPFKLDERCKLINHNHPPSEHFMNLPVQSPEERKSR YVARINNRLKKKGFTSFTHQRPAVSTSTSLSVDHDGTDENEEEDDQEDEDKDKEEDHN LEDDGEIYVNDHWGSQKNSMNIILVNSTTDNSNNPSSRFHEYLPDGNSSPLEDGQQAT ENNQEQDSSWQLSFAKLQSHFERFKKTIQDRDEEIIELRLKLKSLEDRLEEEDMAVIK DERDTYKRKYEELKRITKEQQRLMEDDRKRRQEFERSEKNSSDKKRKVNERWLALLGD L199_006436 MFGLDFNKPSRVSTSSLISARNISKTPTIDFIEDIAFNSFWEIE CQLNLFAKKEGYKYSVGKAGIPRGKKLHFQLSCYQSFSNQNLQACKHVLSFKNVGDDL DDPAKGPFELVTDKIIKHNHPPIQRFLNSRVPIPEERKMEHDNRRVACIGQMFGQGNT KYAETDNSDEEEEDSDNEVGEEEEEVGGTVEKEEGEREDPDNEIGEGLEEEEHPDPLD VFHDSSLSSYVDSEPESFVKEPKRRIMRSNADIVTSNNGQGRVHELMEEVEQLRRKYD QAEKENQHGRRESDIIEGEREEVKGQIAEMKIENDDLKTQLAELKNENGQLRDQIRSM EDRGDSYKVKYEDLRRITKERMRFMEEDEKRRAEAAEIERMQNEKKRKLDESFFGFLE D L199_006437 MSTSPSASQSSCSLVDDSTSDDSHSIASTVEHTTHLPNESTILR SRLSDLERKNAILEDQVGELRYTTTNQSDEIITVRVKLTELEEERTYLQAGLDPTRKE LENELRALKFELTRQKDKSRKDVLDDILCRSTIVDGSQSQDGTIGGLRAICKDQEKRI ERLNSQLTETNKNLSDKILENQELHYINQNLETQNAKLSEEIKQMGGNEEKLKNYKTS ISNIKKRYKKQLEQKEKELKGDYKKKQDELKDEKQKLQESSKKGKDKQSEIEELKKKN DKLRKIIDRAKMENEEVNEMLERKEKEIDRLREEVEGNLKIIEGLDNKGGK L199_006438 MPLLPSYQKNPKGDEESLPLFDADSNEHDELPAYPPRLGESSGV TTHNVTYTFVPRWPIKGEQKDALGVLGDTKEETISIVQRAFPILSTYPTNRIEISSFV EIDMPNGNGRRSDERWCMIMDEAWSGFKTNPPKRLRVQIADGPGDEERRIKRERRHIA LKITAVVCPVILIWSGLVTLAITSDRN L199_006439 MSEEPAAPREQIIVDLEPGSEWRFELEADENIALRVLSPDPVFI NSEELPPATWYPIHRYTKGALYAPTQSRVEVTSLPASQYTSTSTIHPQLLNVHLALER NRILAKRHLFGSGSPNGVERVERGPRVMIMGPPSSGKTTVVKNLVNLALSSGMGWTVG VGGLDPSSPSNLIPGTISLSTPSHPLPTHHLAHPFGSPPTSTASNTLSADIPTVGWWL GGLEPTNRNAEVWKVLIGRMGEDWKKRCERDKMALASGLIVDSSSAFTNPLLGTKKDD PKARYTLVSQAVEAFDIDTILVIGHEKLHIDLSRLPSLQQRGTNVIRIPKSGGVVDID DTQRELIHSFQIRSYFYGEPPLPKELTGLLGKMVSVDGNLNPYSFQIGWETLVVLRVG EENSAPSSALPLGSSRILSPTRLTRVDPSGPAHVVRLLNTVLAIVDIKPEDRIKPEMP PKVEEVKAENGEGEEVKEEQQEEEEDMEEVPFKEEIGTREVLGFIVITAIDTLKKKYT VLSPSPGKLPSTVAIAGSIEWVDSA L199_006440 MSGLSTKRLMKELADIKSKGTPEGIILLSADSMEEWVFLISVLG EETIYKGETFALRMKFSNRYPIDVPEVTFLANGQYQPPMHPHVYSNGHICASILGNEW SPVLNAVAICITMQSMLASNKKKERPEGNDRYVRSAPSNPKLTRWHYDDDTV L199_006441 MLNYIMLVSRQGKVRLAKWFQTLPPKTKAKIVKDVTQLVLARRT RMCNFLEYKDTKVIYRRYASLFFITSISPGDNELITLEVIHRYVEVLDRYFGNVCELD LIFNFQKAYAILDELIIAGELQESSKKAVLKIVAQSDAIEEAEVSEDSLARLGSLARS L199_006442 MGVRFRNATPGTLACLAATILLAVVSFNTPLLKSLNFLSASYSS GSYSGELTLGTLGFCHTLDGSQNCTGPQVGYEFDPNDVFGVTLFDIPEAITKYLTYVL ILHVVGLAFAAIATIIGIFAHSPTFPLLCLSIWMAGIASTFTFLALVFDLAMFYIARA RINNVSGASAEIGICVWLTLAAWVILALSGCFFGIGNCCGSCRANSESGDSKRSKKDK YGGEEEDYKMRMMAIDNERQRKQKQEQGLPSFQTLVQDDGEDKYLIERDPQPPAQQAQ GGLRRDGSVLQGVGMGYGRRTNKSPSNDPYSNGWNGHGQGTYQNIAAPPPAARRLSDT TTAGDFVGVGAGGAGVDRPQPQGYGNGYYGENPYGNGNGSDQGHGYGIGQDQQYQNDS YQQHNYNDPYSQSQQTPYQSNQYADQQYNHQDPYGQQQQQQQQYSDPYRSSSTQPYNN TSYPPVAVPISMPTPGVQSARSPQPQTQIGNYDTSFGSTDSHYVDPGPQVHNANTSDP YGGYNDDDGLGAIGMAVTQNGNTTRHERDYTGLTFGPSGGYDDSQFQPSTNNGGIHQP QPQHLVGSNSQNNLLRSPGGYDDDQPEGSSIRPPSYSAGDYSNAAAGAGGHGNEKSSY RHY L199_006443 MGDTIFPQLHRHEQNDQGPSRKRIRTGMETIRELDSLINQESVK TKLRRIAAQDKEKDIPFNPICTETTLEACRIVREKCDKAHYEPIIRPWTDTSLGYCSY LNLCYGDPLFANNPSLGEGNGPRGGVKECRYMHFQVVPSTSSIPKTPPVIPPLPKNVK KRLLGEKIDRYDSPPVPQWVNCDIRTFDYSLLGQFQVIVADPPWDIHMSLPYGTMTDD EMRSLPLRSLQPDWGILCLWVTGRAMELGRELFSVWGYKRVDELVWVKTNQLQRLIRT GRTGHWLNHTCEHLLIALKLPADHPKNGPIPWETHPTLRQLRKGVDTDVVVAEVRETS RKPDEVYGVIERLAPHGRKLELFGRKHNTRPGWLTLGNQLGDSQIAEEDLHDRLAQK L199_006444 MTQPSPATTIATATVYQDEKEKPPVIPQPVPLPHSISSGAVEDL PGSMIRYTPLADISDLPTLFPAPIASLITTIATSTRMTLRITAFLIEAILETSQYSTR MSLGYLRRWLITMISSARRVYLMSNAAIEGDLLALLSGGPTHLLEEMHNKSSLNNQSS TSPSTSSTDNFLGILDRYTNLGIYFIHHTFTMVELFTMSGFFFTSNLVNSAHTAAIES VALFDSLFGSNESSRSLSAIITMVKKEIIQDERSKNKSTIATLSGLTKALTAFACLQV ATWKRTSQRMKMKVLYDCTIQAEQEDSFTTNTSNPTIEDPIQLSLAALEDTPASLPVA GPGPSTMESRMRSSALLTRDPSLEEANKKLWQHMETIDSDPAGVSNMRPGLSRTQSIQ TVNNWSMEELDDLVGESGDEMYDGPPDGNSRKKKRRESTFEITDELTERTVVTHLFEK IQHDDETRRNLGLRKPVPFKHRRQLSMPEIAIKSMDTEDEDAYDHFIRSAATSVVTSP GADSRLSLLSSTDMENEGDGEEEWVEVEGQSNDRSNIPSLPNGPRVESVGTASYLDAL EHPHHNSERIQLVLKTMTDKLLQRKRTVRHFTRVNLESGSSENSRRPSIERFRRGHLK DIDWDRTPQPSMTRSPESSLSTPSMPSTPSRRRHARHVSADKALAKARGILSFTKRPS RPITPSSTEVSTPTLSSTPSRSPSTPRTRATAPSLSIDTSLPPHEGVRAPPPSPHQSM ILRRPSQTSSPESLKMTSTRQAETERQSNPTRPSMSVREAVHTSSSRIQAASSHAMPD KGDANSDNLFPHEGLIRNIHRFMRYSSAAYGQNFLRILGLGSSDFMFPSTGKHHANSW AFAQHTNIPIDCLLLSSFTESSAALIQQEAPPLIHYVAVEHSLKAIVLTCRGTLGLSD VLVDLTCEYQSIDVEQGDPDASYYVHSGMWQSARKLTVKQSTVHETLVEALTKYPTYG LVLAGHSLGGGVASLLAILCSMPSKSFLEQNIILAKPIDHPRISTPFVTNFKSGLPPG RPIHCYAYGPPAVTSIDLSIYSKGLITSVVQDSDIVPTLSLGGVKDFKNIALTLSEEG NIAEEIVGRVIGLNKRKFDWQKSQQEQKQKQNQTNSSSDPEKSGQTTRIQNQSSGGET IPDDEEVLSDWMVSLIKTMRADMDNEKLYPPGMVYIMEHFDVYVTDNQPKVHSDKKIV HKQAHRVILRQCDSVEERFREPIFAKSMLQNHLPSQYERSTHLLYEGLGQGKL L199_006445 MSDIADLTAKTYEITRLIPHGRVTSYGHIAKLAGYPKYSRHVGN ALKALPPNTDIPWQRVINSKGLISPRADLGLGVARQKDRLENEGIQVDTLVGNGAEKV DLRLFGWFPESLDEVDQLNQ L199_006446 MFARQITRSFRSIPSRRLLSTAPSASASSSFSRNAVIGLTTLTI AALAVTSEQRRVWNDDRVRESVLDQGSLKKNVHKREAADASSSSSNTDTIKKAAAEKV GEVKEKAVEKTQEVKDEVTKATSSSSPIDENAAAHVVEEKSTEAAQPSQGAFNEETGE INWDCPCLGGMADGPCGEEFKAAFSCFIYSEAEPKGVDCVEKFKHMQDCFRAHPEIYG EEIDDDEEPSSDVPNPADEGVTIKEDTKVPS L199_006447 MRHAALWCLTLLAGKVVSSPLYRQQQPFLPLMRSTDQIADTTVQ NNDEIILDLLRQYAPVFKLSELEAFFPSSIDYMFPHYNFTESPSGEIRPINHTIVTRL HLDELPSNGAGLYLSINESHNPQPFLEEESEYLFGPYGLNDTMPGDRRGRVEEEVYGF GVDQGYGVVDLWYWTFYPFNFGKPVGPFGILGNHVADWEHLRMRTVNGTPISADYTTH TGGRFSAGTYRWEDIEKVDGRPVAYVAAGSHGVGKLFKLVDITDDEGPIWDTKGHVVP AIYWDNPQNRRKLFHHGDLSWLNYRGKWGNSGENDCWWHRIVGYCQVVDAPWGPNRNF GLPPECILATLATEFSTYRFRFASNVLNWAKDHNIELVKIEQICVRPKTNPDDPDDPD DPDDPDDPDDLDVEIYDDDMEGMVGIQNVKSVIGFRGTEKHTVTMDPCKGRQFAVRAY RLSLCLLNGKCVSTSNERKICTFEQHKKGHSFGSAVDLDDIDDWRWNY L199_006448 MSSHAHHRPRGRYRDRDIEKTSLISGGSSSSESDIPLPSIYKSK SKRRYWTQKHASGLGNLLALFGLIYLFSKLGSGLGFDFPFPKPSPAPLPEYIKDGIAQ CEIIQRPTPHFKPSTHKRSHNDRYVDGTKGVWLKNGTLWTGEKRGTEILHGVDLLLED GLIRKIGKGKDIQEWTKGKKAEEVELGGAWVTPVANGCPPSQITDTILFNFTLHSIVD THSHLAVDSAPSLFGGDDTNSIKASVQPWLRSLDGFNTHDLAFNLSIAGGITTMLVLP GSADSIGGQAFPFKPRWTHENTPQSMLVEPAWKIDNGTWARTHAWRHIKHACGENPKR VYGQTRMDNAYDFRRAYTEGKTLKEKQDRWCASPKTQTEPFPQSLEWEVLSDVIRGNV KVNIHCYETTDLNGLVRISNEFQFPIAAFHHAHETYLVPDLLKQAWGPEPPAVAIFST NARYKREAYRGSEFAAKILADQGLKVIMKSDHPVLDSRYLLTEASWVHHYGLNYSESL SSITTSPAKAMGLDHRIGYLREGYDADVVVWDSFPLVLGATPKQTYIDGIPQIISPVL HDKPAEAQEIPKQEKGKWDKEIQEALTTRGDPDLRPKKSVKNVIYQGVGEFHLSPLEL SLLHEDQVDMSVFKNNAGGVVIVKDGEITCAGDCKMEDELKGGLEFEVVDLKGGAITP GYITVGSYVGILEIRQEKTTGDGSAIDPLSEESEITNGIIAHAVDGAQFGGKDELLAY RSGVTTAVVSPKTSSWISGYGYAFSPESEHSLVPGSIQNPLTALHISLDNSKSSVSTK IAILRKLLSGSSKNVEEEDEETELVHAFEKVRKGELRLVIRTDKADIIASIIRLKKDI AKEAKITILGGQESWIVADELSENDIGVIISPVRSYPGEWDSRRIIPSIPLSNHTLPS YLVSHGVTVGLGIQEEWQARNTLYEAAWVYASSPKGIFSKRQALDLVGKNLEELLGLS TGSKSWVAHEGDPFEFGGRVRSVKGLSGDGKIDLF L199_006449 MAPSLSADAKIPCPTPQHLRDELDTLTWALEPEEKEDTWEKFER AIIRFSAVTRGGGYKHTELFVEGVGRSGIGKKLVKCMLSDRGRLSGVSTDLLQTFAPR LSSNFKPLVNLYVEPVIELLGRPNKVFLKRAEKCLLTIITHCQIIAILPELRKGLNDN ATTCRRGSAIGVEKAVKEWPVEIWTERYLGLLEESVKKMATDKDPEVRQTGRRVWAIF MEFWPERIEDFSAPLTPTVRRYLEIPAANGAGPSKPKSKPIPRVAHPPTQPISATSSE ASQASTNAATAAAHRPQHHRVNALASRPMRPISHQAPLPPAMEAGPSRRGSPRKDPEA LPFTPEAEMMEELPITHPTLSRSTSSSNRHHHDLFVPPVPAKHARSASQTILPTASSA TFPIADEGKYNPLSKLSRPALHISHTAPPGPLDVPQPPRRFAPPARIMRAIPSEEEAG EEFGIHLFTTPSGPTVLSRPQRNANAGLGRRGMALGQAHRRVVTAPTTVAEDGYFTKT PGRAMGGLGRKRPAEDDQNANSPIKGDHANEEIPQAFASPLPAQVVSMDSPLMPILIR STSGDVKANENESDIIDMKEDVYPASPLKQANADEEKRTVEVASKIELPDFPVKASIV LETETEEILKEAKVHRPLSSVEDVQQIKEEEVEEEKVDGTEIREEKKDQISEEEGGTG ENATQMGSLVDTVALIAKEVPAEAKVGDVPEIVRESQASIPDVPVRNAPVLAKTEPRK PAAPARPSSLETTKPAPGPIKPKVVARKPPIPSARTATTRAVSAPVVRKPFKPTSLTA PTAASAARAAALTKPAQATTAVSKPSVATSTTAKVTVASGPPTVKSTTLSGSTSSKSS IAPVARARVVSAQIAPKPEPKVSNKPPLPAAARAPTRVVSAPKKPTITSHVTLPPVKK EKVVRKAPLPSFRPTRGGAPTTSGTSSLKASTSSMTSVRAKVKPDMIKLPDSPSKPND VPLPPSPHEVPLPHSPLSGAATIISSVSRNNASKPSPLKVEIRARVKADSIVSSPKSP IRMIPPKPLSPLLTTVESDDVEILPVPPVFVLPKLQEVNKDEVEGEMVDPFTISAAPS PLSKSSTDTNTTTTTRDVEEVSTDSESSPKITFKALSTHSNSENHDVIASPSRSKPAY GSRSDVSTPSSKAAALLAKLEGSEKGMNMAMSITPVSERKALSTKDTNANANGNGTTL FDGESEWDVSA L199_006450 MRIAVQGCSHGSLTAIYDTVQQYTLHTSKPVDLLLLCGDFQALR STSDFASLAVPAKYHSLGTFHEYYSGLRKAPVLTIVIGGNHEASNYMWELYHGGWLAE NIYYMGAGGSVYVDGLRIVGASGIYKDHDYRKGHFEKVPYNNSTLRSVYHIREYDVMK LMQLSYCDDSIFLSHDWPISIARHGDTGALLRRKPFFRDEINKNTLGSPPLFTLLNHI QPSYWFSAHLHVKFAALYDHSSSTTQQIDKLEESLPSIPSNGEMHVEKNPDEIAIEDD DGFDLPPTNDNGTTSGNPDEITIEDDEFDDPPITTTTDAAEPPAITTESSTTQKDLKI DESVDIVEKATEEGIQDGITELIGAPINKVEEAVNSVDEAKLEKAEEQGRRTKFLALD KCGPGKDFIQFFEIPTPSSSTPDHPPRLTFDPEWLAISRSFHPYLSTTIQQTPLPSPE ILKQLVSDERQRIEEEGLLVPSQNVNEDGTVDLVWTKGPIEIERVQKFWPTAPSQSQL PPGPGGNLGADQWYTNPQTEAFCGLLGLQNKVNPAPI L199_006451 MGSEYVDDHYFIDKRGRSPSQRMWDKTSTLGKIIYFIYIIPLFA ILISLALLLSPFYLFIRRTRPLLPSTSLPDPSTKYIDKTTGKKRYSKYEWFGEDKEFP LYTLFVPLGKGPGLHRRTWEMFNYFIESYKMVLKQGLQVGGCLTAIIVGYMQVRMMIH DLNDPTVVDYSQEMPLTNYDPAERLRTDSECAYGVGTGMANWANGKEPLAWYTLYHIF ILILCICLFGDELLNVRFGCFAPQWFRAGNGYTIMFLAAATLSTSPNWDYPETVQFRY LILVITFIFGLYNVLRTLITSIGCSTSGYKHLDYRVGRKPLRFWTDSEWQDEYYRMNF IQPGKHERYFWTEHLQAKIGDWDFPLERRSREDIRAQIAHQKKMDPKYDDGRIQKVWQ KSGEDLENQRGRLSRVVIHGGPKKMHDNFKRELEEMKRIHVPPNDPDNPPDEEMEKEI IKALDKDKGYFGIANWKPRTKSFGWWWFDVRRGYYMICAFGLFFLRIGICCFDLAAGT FYQYLEEYNQASDQWKINGGPSNDTCQYYKGSSVPIFILPGGNAYSGVIAMYIWVGVW NTFLLGMCLAIFMVAISNNMWWGMHIGFLPITLIGPRMTSMSLGITLGFVALATLQQG FYFHNDAGIIFTKIVCYASIAGAVLSIYPNEPLRPNFTRDPFWPWTSRFAFRRMDRKK WHYENKDMQDMGQ L199_006452 MPHPASAAGSSGESRAAPTPLAVPSPAPQPSTSTSAGNSLSASQ ASQATSVLTEDEEDLEDYRPGGYHPVNIGDEFNNGRYMIVRKLGWGHFSTVWLARDNN TKRHVALKVVKSDGHYTETALDEIQLLQRVVNSSQTHAGRCHVVGLVDNFRHTGPNGS HVCMVFEVLGENLLGLIKRYQHRGVPQHIVKQIAKQVLLGLDYLHTECRIIHTDLKPE NVLICIEDVESVVQAELASCPAAVPTKLVGVPPSQGRLGNQTPRKDGLFIVGSQPLPS PSSSYSSSPMLDKYGFGMSKISGVDAFPTSNSVAGASLAKRATATDALGNGMENVKLG ESGLSWEKTKAPAPPASTGPSLLSQQMSAAPPSPSLQPTNAPPSSSTNPSTTATPSQT IISTPATTPDSGRNDEAKISTSVMSTESLSPPNHHSKPPTSENPPLGQSSSTTGFTTS PATSHVNNLQDQEAHHDPDDPSRDAPVAGDPNHLPPPFPYDPVSLERITVKIADLGNA CWVDHHFTNDIQTRQYRCPEIILGTRWNQSVDIWSAACLFFELLTGDYLFDPQPGVKY DKDDDHVAQIMELLGEMPRSLALSGKYSHEMFNRRGELRHISRLRFWPMISVLKEKYL MEHADAELLSSFLMPMLHYYPDSRATAAELVNHPWLEGVVVQGELEMAQNFHQSEVER IRQQQETSTEEKGKENEKDKGPVQLKDVLGLGPSVKGMVGMGRI L199_006453 MLSIFLSALPTSLALLWTSYGPPSSTGSSGLGIESLVEYTSNLP LSLDENGMKIRINMDGTCKMVSNTILFLLSYQIYYSLVTYTLLLGMFYHYTTTKRWMN NSELKVLRRFTSMVLVIKDLIGVFGVIFSSLSLLLLPLIDPSVDHIIDVKLGWASIVS IFAVFGSTLLTAGYDNWSKELLKGQAENGVFLSDDIIDDIEHSSVDLEKRSE L199_006454 MGASTTLSSDDKAKVKKAIPSSSSTNKIVTATVARVYQCKSGSQ SWSYAGAEGALVFCADKARGGLWFRVVDLSSYRGVIWEHELPNEIEYNQEKPFFHSWQ GDNSQFAFVFASEQEAHDFYKKVANRSKYATKVKEDKKEKASTSTPTKKKKGGKIDKS LISGPSAGSFKHVAHMGFDSEKGFSSSGVDPSWQILLEQLSMKGISAKDIQQNEKFIK DFVQQQGGIEKATAPKKPPPPPAPTSRRKPAPPPPTSRSARPSSVAVPTSAPPPPPPP GRSSLPPSAPPPPPPPIAPPRNSVAAPPPPPPPPAPPRAGGSAPPPPPPPPPPAGGRG APPPPPPPPSAGRAPPAPPVPSAGGDTGRSALLASIQGKGVHNLKKVDPTEQRVSPLA GGAAAVGAGAVVGAGAAAAVASTTEDAPDLASSLAAALSKRKADIGSDDEDDSDDDEW D L199_006455 MSSINILTLLPLLPLLVLGSAWRDPAAPPLDGRKRAAAAATPLD FESVGDTGVSAQMVFLGNNKKIYVLDKTENNPVEIDGEYGTHPAWATEYDIETNEYRT MDVFSNTFCAGGGVLGNGTWVVFGGNQPVTTGGVASTAAEAYSDTDGGTAIRMLTPCT DESCEYIQGTTSYSTSDNNTGGWLQMTGRRWYPMVEALPDGSLIIIGGDKNGGYVNTQ AQDNPTYEFFPPRADDPVDLQFLADTLPINLYALTWLMPSGKLFMQANRKTILYDYNT KETTDLPDMPYAARVYPASAATAMLPLIPDNDYAATILFCGGSNTTQWGNDGTAGYNV TAVPADNTCVRITPEGSAKYEDDDYMFEGRSMGQFVILPDGTFWMGNGVGMGTAGYGD DGYSVGQSYGQAPIYMPAIYNSSAPAGSRWNRTGLTASANERMYHSTAILLPDGSIFI AGSNPNKDFTTTQWRSRTDSEKWYPWYYNEERPVYEGLPQNLTYGGSSFNITLNNTDE ATAQNTKVVVIRGGFNTHAIGFGQRYLQLNSSYSVDMNTGKTTHIVSQMPGTNGPNLF QPGPAMFFVVVNGVPSQGEFIMVGSGKLETQTTQANEDLPTSTIIALTTPSSTESADS SSASTNQGATTTSSSTHAVVIGLTSTLIAGLISCLSFL L199_006456 MPQMFTPPNPSSSSSSNPPAIPYSPIPSTSVHRHHRRNLPARSS LSNSFSFAPAHTHNLVADQSTLNDISIDEPSDLSFSFTYPSRSRNQMKKSTNGIGMNT NVVEQGNRGISQVSPRFRHRSGSGSGSYNGTGTPSGVGRNLPKSRLRETEISPLPLPL PERTRRTSPRKKSQNNQNNHRDHDLSATDEDDDEVQLEEEGGGEKNWGMVDSMRLWRH DAIMQHLYETAAFWGDKILSWTGDPNDAFWLAQTHFLTGHYLRAEKLLTDPLSPPPKN SLGIRDKGKGRLDVDEDDLMNGNRHIEIGISSHGSIGVERRLVDESLACRYLAAQCLV HQEKYHEALELLGESNPFKETGPDTGPDSPSQDEGIKLHSSICHLRALLHLRLSSFAL AKESFMEALMLDVKNYDAFKELIEGGMMSEKEEWEFIRNLSYRKQLSEEDGHFVKLMY MTKLKKDGHVKEVERAREELTKQYGLGDNCDVLVGLADELYSKYKWEDCYAVTTKILS RIPGHPTALPLHLACMHHIHRLRSSLFMLAHDLVEQDPQAATTWYAVGLWYFSGKRWA EARRYFSKANLIDSRFAPAWIAFAHSFAYEGEHDHAITAYSTSARLFQGSHLPLLFIG MEHLQLSASNLAEEYFRAAEVINSSDPLLLNELGVVAYNREDYDQAASYFRKALRASW EMQGVKSVWAVTYCNLGHAYRHMRNYDKAEHNYRLTIRLDPTNSIAYSSLGMIWQLRG EIRESIKIYHQSLSLNSQDPISTVLLEMSLKEQMDSLDPTSLPGLPGRLGERDLDPFN VPKGNPIFGPLPIELDPATLDDAGGESIIHPPPSTSHGGYQFNSNGINGMEEISQIGR VDLAIEEEVGEGSTMEIEDD L199_006457 MQPPIYNHHRLPPAGATSQSNQSQSQQSQSQQSQSQQAPPPPPQ GMPGQQQPSVLSTSSNVRLNEFFELIKQEFEQVGQEGNIWKAQRDEYEAKIQQQINEL GLIRQSLYELESNHAKVRQEYEGEIARLRRELESRGGQSGAGPSGVPGTGGPTSPPEL PRPGNDERDRPPYGKSLPGPPLNGAESGGSRSPYPPPGGIIPRPASTDRERDRRNRER AIAASNVPPSPPVHLSDLDPDNVSRELKKEGGDWQAMWSSQMRKQLDVALVHTLEHET VVCCVKFSNDGKYLATGCNRTAQIYDVKSGGRVTTLQDEAANRTGDLYIRSICFSPDG KFLATGAEDRQIRIWDIKQKRIRHLLQGHMQEIYSLDFSRDGRFLVSGSGDKSARIWD IEKGLCVFDLRIEDFIHNEHGPIDAGITSVALSPDGKLVAAGSLDTMVRVWNVQTGQQ VERLKGHKDSVYSVAFSPDGKCLVSGSLDRTLRIWDLTGTKREAETLPPGSKDIQKNL GTCQSTLNGHKDYVLSVAISPDGQWVVSGSKDRSIQFWHIATGQAQLMLQGHKNSVIS IDLARSGGYLASGSGDCMARIWKYEPYSGRE L199_006458 MYGHTSPIRPDASSTGHSNGDHDLRWIPIPTIRPQHFTQEKIRL TNLLSPRPSLDHMRSQSHSTLINRDEARESEINNGGNLATVRRKSSKIWSKAKEVSRK ASIIRQRQHRRPSSLAGAGGTTSEEEWEIVTPTLGSSEFTQTPTTPCHSNGEDHEDMV HGARGTGTISRVSQRAQQGSALDLLDVNSITSISHMTRTSSTEYPRSGSGSGQRSLEV DLGNYQFPSPPTHIKQKRSWLEGGPLTVPEDREEVERKLPDDHPFNSPLPSDRSHSHS HRTPLSRSSSSPSTQERYHHLVEILRSLNFLKEELFRHNIYSIRILSSFEDVLPPSIM NGINGRLDRYWNKWSVILNTAGQQISSSIISLQHQSPSSTQLRDPPAASQKDYTYLKS LIPAPLTTDEMERLIWTLEDSGRVASGTYRRMFGCRAKSRIMTPQEEREADEKGLRDW MVGETESMEREQWRRNCRGI L199_006459 MSSSRDKSQSWNSTQKGQFKFVPSIQPSTQGQGGKWKYDCDFTS QRSWIGTVSKTGIEHAMKNIEIGMEDIAKTFNDPTSTFQSIESKMEALKTWEEGIKSQ IEGFKLDYPQFDFVGEWMCIDADAHSRPTN L199_006460 MRSSTSTQPSHLPSNYSTLISLHPTVTPRPEGSGRRWHLTCPIS ITHDSQPLIQAAVGSIGLSKGPEDCIKELRGTIELFLDISNQSNATIQRTSDQNRVQM KFEKKTYLDAIIWSTDHSNTNANDISVEVEHTQMSLNRADRIKQWAKSKVVSGIGIGV SDMMKDDFKRVYYGCNCRYPCECDTDR L199_006461 MAAVMQPSTHRPPSMDRAPSFDQPRSRSTPPFTSPYDSPTPHVR TNSTSSNASPYNQPFSNSYFPPSHHQQMYGVQQSWTANPVPATAFYNPPFHGFPNGHQ PVYNQFQQSQADFAAWANAYQHMIMASVQNGGHPGGMTPPAPGSDHSSYERRRTSSGP GGQTLNGNTGYFDHPSYQHQRPPQPPQQPSQQIDYSNTPTPPQGAHTKPPQPPQPYHP YKRGPSAKPSTERLPRSSSMPTGLQDQAASISRSSGQVAQDQTRTQSAHAPPSRPNPP PAEKQPRPPVSAEEAIVPPPRIGDHSRTNSSGSERSATREIPPAAATRTASPAPRPST SMAPPARASTPLHPGPITNATNTPAASRPSPLSQPSSTPEPTDKMVKTGGLKGRLRKA LDKDAKKEPRSVSAPSASSVPVGKQSLPPKHFASPSESSTRSGTPPATPPQEFRAPSA PFTMNPAAMGSEISLAETERTATVSGEQKEKGKRSLFRMKNMSTDNISLSSTVSSASM MIRKMGSIGKLARRNSLMGISRIFKDKPKDGEDAALPEKEGKKKKDKKKKGKGEAAPA TISHAVAESDRLTEEEDRALAGLSPAAKLARQHTLRSKAEAVKKDATAHPATGEPTWD QNTATRQAQNGSLPSLGSMGASMSNPNGSTGPEVVRVNHAQAPTVVHAVAVTDQEYDS EDDSSEGETVEDVTMTLAKTRLSAEADAEFQATWGNAWIDRNAVPKKGILKSISSYSN LDEASSSGENRQRSNSTHTSSSNNAPGPLAQLPSSNPALLDGLVHPSPQVDPAYDPFS PAFSPFDSPTTTIGGNDSFYANPNQNSSAPALSLLGTMGNKPPQNRSMTAPVVRRRIN WAPECAVYQTYDSGTYDRRSEPATCNRLTPELAMSIKQELNAFKLEMPVHPSSKMYTH YFAW L199_006462 MSFSPHFSSGSSPFDSDLATLSNYLEVVTRHIKIDWEIDWDAKT FGGYAELNLESRVEGLQEVRLDSSFLDVKGVEVDGKAVEYSLDSRIEVMGEALRIKLP KSLNKGESFAIKITYSTTPQCTAVGWLEPAQTKSGKHPYLYSQAQAIHARSMLPCQDT PAVKASYEAKVRSGRGLEVLLSGQRKGVKELAERGEGWREFAYEQPVGIPSYLIAIAA GELTHKPFENLQGRNWSPGCWTEPLNMDKAFWEFHKDTANFVKTAEDLTSSYKFGVYD ILFLPESFPYGGMENSCLTFATPTIIAGDRSQVDVVAHEISHSWFGNGIGCASWSHFW LNEGWTTYLERLIMRETHGELERQLSYTVGRRGLVGDLERLNPRFQKLVIEYKEHEDP DEGYSQVPYEKGANFLLYLERTLGGLENFIPYMKDYVRTFEGTSITTDQWREHLFHYF KQHQDAEELTRRLGKVDWDEWLHGSGPDLCVNIQYDDTLSKACYDLAAKWDKARDGDV SSFTKDDIKDFSSTQTVVFLDKLETYETLPPKVVTSLDKLYGLGSTGNAEIGLRFFEV ALKSGPEYAESAAAWVINKGRMKFCRPVFRLLNEQKPELAKETFLKHANFYHPIARKM IAKDLGVKVE L199_006463 MFDSVTKAIIKSLSSRGDYTTNSDPTDIPVDEDADTDHITPWKY KYKYTLHPRMNWHTGGKYFEYSCKIRGNSSASARAGGGGEEEEMIRQALQRQVDKTVS TLNSNADHTSYTSNAGAVISSTQLFAHSAKNFREDTINKGLVDVRSYQTELGDNFKD L199_006464 MTTQTSGKTVFFTGATGYIGGTVLEAILNSPQPPKLVTLLIRDE KKSSGFQSLDAAKKANVEIKTLIGSLEDLDKITTAASEHDVTIHTADADNLEGVKAIL KGQKIRKDKTGHRPLLIETSGTGVLVDNAKGAYPNDIIYTDLNPTPATKDSPALLSMT ELPPTAPHRNVDLEILAADKAGTIKSYIVLPSTIWGKGVGEVYDKGLSNSFSDQIPTL IKAALDRGRAGMVGEGKNIWPHVKITDLASLYELVWLKATQPNPTIGHGPSGYYFGIS GEYTLFGATSRIGQALVKNNLIQSKETTPTTFTEEDLKKYYNGSSYMGTNSRGVADRS KSIGWRPKYTEQQDLYDHIDREVVRVQKEFGNEYKAKKFTSSY L199_006465 MLKPPLYCSICSSPCHLPRIPLPLPLTTSFSSCQEDIQAQSHCK LEEWLSAWYCLRVSSGLIDPTPFLFHSVEPSSLPLPPLQSGGLNEKRQNEEELPRRCI PIHSYCLSLILQTIRKTPYSNARSHEESVLLNWSLPKWTGYFPWCNLTAKEKGKSFAV PEGLTGKDGERQAMVMKPGFWGGMWDARSRFAKVGDHLVADDLISPLSIPPPTSRLLI APFCNSYNVDRPNQPPNCKLLDLPLPILNRIVEHILDEPPIPSSVSSQNVKNQSKSKF TPFLNPQSVNTFLSFSQTCSTLDHLQIPSYVWRTLVEDSVKVYRNGLLQRWRANPTGV GSAMQLWESLEDDFDNSVNKVIQQAIKNNQNQNQDQDRYPSVEGQQGVRSGYDMKDVW LWWNYNLQWKSKRRIWKCVVHATATARDADWW L199_006466 MRDNGKESVRLCEHIVRQAFGDVISRVASTLLNRGRLPLSTISR LSALPKPTTSAALIILIQHDLVQTNGASYKDTGDEEQYELDTLACLLRLRWGKILAIT HQSYDEVALEVVRTLMIYGKLKVPDIINSCGGSNDAMRADIVNNTIIALVRAQFIRPT SPELHILESDQVLRRYRRHREEMKQNKGTAMLSANDLDHCEKNAQYEIIQERESLQDI RRVLIERPKIDKDKSKKRGKNKAAFGGGGADEFDYSLQQDVHLRINHDRYGILIRNEL IVKAAEERWNKSFGIVMKATLDAALKESSRLNEERTNDSIGINEIVSLIPTEDYKYLT AGLLISSKSTIPDIVRNYLNILSGDDGYSISGNNGNFLRRDNGTNPGYIVEFELICKR LKQNLLYQLVREKLGDKAARVLAVVNKSSKAFETTVRDCAMIPLKDARAHLADLQRLS LVETQEVPKTAAKSRMGLPTSAEYHLWAMDEARVYGVLLTNVYKTLGNILQRKSEEIE NKKIVLARESRVENLEGGKGLLQLKDQEDLLELDDYLKKLTLAEGRSEINVFILRDLP GSPGQK L199_006467 MDPFYLPGYFPSKPLYDPFVDNPKGLPGHILFPIFLIIIAPWTL FFVLLIQRTTMRPSKRNTAVVLVIGDIGRSPRMMYHTSSLAQHDIETWVVGYGETTPI NELISNDKIHILPLYEPSKILNKFPWMIRAPIRVIVQIYSVMKLIIWEIPINTEYIFV QNPPSIPTLFLAQFITLNTGSKLVVDWHNTGYSILAMRLGMRSPIVKVARWLEETFGR SAYAHLFVTNALKEYLAEDWELEGRKVVLHDRPPSHFNRTSPSDQHDLFTRILPSLDP PLPPSLNDQSEHSTPLSRRVSGEVELRDSRPALVVSSTSWTADEDFSLLITALDNYQK TINSTSPTHSLPKLLVIITGKGALRAQFEQTISEKEKEWKDIVVRCVFLPSQDYPVLL GSADLGISLHTSSSGRDLPMKVIDMFGCRLPVLARNFECIDELVKEGRNGRIFGTGEE LGDQLIDVLGGFPRSDRLDKLNKYFDNTDTRTEGIEGEWSTWDENWDRVVYQGLIRRP RA L199_006468 MNGYSTYNGPSTFAGVPGGIDVPLGQTNQPRLLVRNLNNQETTF HLSGVELAYANSLRRVMMADVPTIAIDQVLFLQNTTPIPDEMLAHRLGLVPLISRGVA KGLRYTRDCECDEGCYYCMVTLKLKVAFRGADGEKFMRVTSDMLEVVPSAGGPPAPNP YGPPPELSEDDRQIINNRDVELGSPVGKGQPGVPPILLAKMGQGQEIDLVCKAYKGIA KYHAKWSPLSTVAFEYDPHNKLRHTTHWFETDERAEWPLSSNAVFEPPPDPSAPFDYN AVPSTFYFTAESVNSIPVRSVVEQGLDLLIENLASVVLAVQKETGVDEDEEDNENQEG GVMEPDFGGEPNGQLDGYGANAGGYGGGGYGGGGQWGGQGSGMSPLRR L199_006469 MASLAEQLKKAEKALEDAKERGSSSVEAYEEKVEKLKKELEKPQ PHPELQFGPIAQSIALMVALSLAMSAVPLPYEMIPFYRLFSTITTIAVICYLARTSII YYGYQQALDKLPKPDDPDKERFKKLTASKKPRQDLWAHTRSHPSAFLTTKAAAPRLFP FPLGKTRPDAAIKDELWWEGGNAPHVGHFNRPKLPQPPAPDEGVLMKRVQASMKREDQ EKMWKKRIRSVQILCLIVIMSFVNQKIAIACLCYLIYHTLSTEIQAMLAPPPDMEQVW RYIDRMTQNKNESAPKPTQMTGGMSYLYERDSANASSVKELANVPIEMVPPHVLMTTQ NHWFVGPGNEMKGEK L199_006470 MSDRVPATDSNNPSVYLNQVVPSPCPHGDVLVPCSFFNAPSPYY LLTHSFLLPRLQAEHGRIPVTSNKYSPTTVLTDHGLIDIKVEPYQFVQVADSPGDMAR KMRPVVPILTAKWGKEKQELGRKVFEEVEEILKREQGEGPVKIWSIALVAIAKKPNE L199_006471 MAPTAPTASSSSRSKPLPQRSEIAPATQSNAGRVTRLRAAKEPT ASPAIGSRMGAATLPRTPGLLAKGKEGLRKVSGKIGQKEKETQVKKATVQEHNDYTES LQAYLRIRPSPADSEGHTSTRPYLEIQSETDVLMRAPSESSRHHIPKPPHIYSFDKVF PPTTPQSSFFGTTTLPLVEKLLQGENGLLFAYGVSNSGKSYTIQGGSTHSAADRGVLP RSIDVVFNSIEGLKSNANLKPQGLADVVLSDEQDDPIILNDPLAASEPKIEDAVKVDR NFSYAVFVSYAEVYNEKIFDLLDSALPTTPSTPGVARSRATNAGLPRASNTYGFPGAL TSSFNLAAMANGGGGILKRHALSLKNDPEGNGKYIAGLKDVRVRTREEALAVFRSGQS ARQVFGTIANRESSRSHGIFTIKVVRIHNGAPDDPDSAQVSRLAIVDLAGSERTRNTQ TTGDRLKEAGNINKSLMVLGQCLEVLRSNQQRMHQSGSGVAGTKKKLSVVPFRHSKLT EVFQNFFVGDGRAVIIVNVNPYDTGFDENSHVMRFSAIAREIQTTASNKVGTSGFPLL KRQISTQFSALRNAVSGHAHGHGHGPMKIKVTVPVLPKPEDNSKKLAMDRESQGFVMV EEELEVVEESESESDEEDKDALVEYLFDQLKEMKTRLYESEMRNASIEVEVREEVAKE MQESLQRMHDDFSKHLSEQVAANDLKTDRKIDIVTRTMTPAIHRIARAPFQPGSATSL RISEDVSMEDPDESFESAIDASLMTSGDDSVLTQESDPFVMRSPGLPQITLTRDSFKP VDLPDSPSPAAQDHGDVSAEADTSIEANTTVETEDGEEVEETTKEEIELESEVEDDDV EAVKPIGESVSSEEESVLDTDEDEDEEEEEESEEDDDEDADEEEVDSDESAFTLSDSE DDVDEDSDDSGNSSPVRKASSTRRTTGSPKKPSRNLSPVKKATPAKPKKPNTPAKSTK VSTSTANPLSGPSTPAPLSERISQLQLSEDEDEMPIKTTTKKKRTLGKKIVTEDEMIH ADQVKMSVGGAEVRRMLRG L199_006472 MSHNTASAGIAASVAPEDGLKVPVAETNVVETQIDYDATKEIDE EYLNKPRYIRFYRGVLCQMLLFGAVSFVGPALADAMSNLGGNGLSDPNLANLAQALNY AGTALMTFFGGPLVNKLGVRWACLINAVCFPLTGSASYVVAKGGPGWYLVFAKIVTGL TNGFVYVSEGAAMLTYPRLHERGKYLSIWSGMRNSGSILGGIVALVTNYKTAGAGGVA WSTYIVFMTLESTGWIWALLLTPSERVRRNDGTRVPISRKITWAEELRALIKHLSNRR LWLIAAPAFYSFFFLAPFGTYLTVHFSVRARALSSFLAPTTAVATTLIYGRFLDLKSL SQKKKAWMGMIIWLVPQIAALVWVNVKQWTLPKSTAFDYTIDSGLWGRAYFCYLVMFA SGYWCQVYLYWCLSTFSTDLKASTRTGGLFRACECVGQTVSFAIGSNKARLSALMALN AALIVPAVISLAGLISIIPAAPAAVDDMVDTEVLEQVDQKHV L199_006473 MSGTGPREAVFPTRMNLTLTKGRLKGAQTGHSLLAKKRDALTTR FRTILRKVDEAKRLMGRVLQLASFSLAEVTYTAGDIGYQVQESVKKASYTVQARQENV SGVVLPAFDGVRSKDASDFNLTGLSRGGQQIQKCRDTYVKAVGTLVELASLQTAFTIL DEVIRATNRRVNAIEHVVIPRLDNTIKYINSELDEMDREEFFRLKKVQGKKKRDAEKA NESRQVQNAEFTEGGGELHRDEGIGGGEAGGADMLDEGKDDDVIF L199_006474 MPNYDEDEEDEYYDNEEEEDEDGINGVNGDEDDNDNEEGMADGS DEDGSDVDEDAEENDNDEDDEDEEDDGDNEDDEEAEGEDNEDEEEDEDQNEEASTEDE AEGEGEAEGEDGDIVMDGGDEETRSVKKSRSPSLKPISEQNQPQPPHLIRRSLFIPSF STPPKSLSIEAIAGIPLPSPVHSLASTSCLSYLLAGSQDGYVRAYDLWGSVNGGQMMT AQQRSVVGLGETINKAGVGRGWWANEVEGINNGSVGKRAEPVYSMACEGDGLFTLTGT QSGPINLYTLRHAPGHLVHSLKGHTNVVSCLSLLPNEKGLLSGSWDGTVREWDLNAGQ VVRSYPTHGAQLSSLSLRPYTAPASPTPSPRRQADEDEVDGDEDITTKENISISMGPE FFDKKEKEDEQAAEDALPVDGADKPETNGDSTKEENGTANGDVEMSEAKSPSMDSLFG GDGDDDLDGEGETVPPSIVPSKAPTPLRDVTPTPPVLNKPKGPGLALPGQPKLPQPVV SEQPTPATSSTGAAPLFVPQSAGAGPSNARQAAAHIPLLSHTSYKAFSEDVLLTSSMD GQVVLIDRRVPPNEGTGVGVGRLMPGERAPPWCMSACWSANGNQVLAGRRNGTIDLWD VRRSSSAKSPNLLTTLRTPAESGPISCLVAFPDGNHIATASQDNIRLWNTSEYFGQEE SMNMKKRSSKPPFKIIAGHHGGTISSMIVDPTGRFLITASGDRGWQGESTKVVLIHEV KW L199_006475 MTDTASSRPFSTSSVISPYAALEAIAGGDSVAYSSPSQQSRSRN EDRNHQHRPLTPLDDTSKEPESDGPFLVRARFNFQATDHSALSFNAGDLIQVFARLES GWWDGMLDGQRGWFPSNYVEEINEEDLKRLQEQDGGIPLQQSEAEEDVLRMDDVLRGG WGDWGGDTGLDQLAREMLAGDDDEEGDDGQAFAEVARRRRAQAEGGEGLMIPPSEPDE FGYSPSREREETENTIRPSAASTHSSSTQHPLSTKGKQRESVQGGSSASQDAWIPSIT PDGQVYYHNTQTGEDSWELPMEGLGLEEDDSGLTQNDNDFFAKPSLPSDPSPSHQSDD NDFRPPPKASADIPYPWVAKLSDDGREWFYYNRLTGQSRRDLPAGKGDAKSMTDVGVG MKRLSVGSTSRPLRASVEIQRKAVEEWERKTAEALKAVMQPEKKPTMGLLMDNVNEAL REIFEASVAGSAAEEEMSRAEDLGSESGMIAALMREESAVEMLSTAHKNTLSAIRELL NSFGYVGPLDRMEELPRPSWVGDMTLIGSIGLLSANTHAAVTSKRVPETGLSIWSEVM RSASKVKDVIANFPNTALAGTIQHSGVDNAEGSRTNAWLGIDVIGEPLSGKWGFGRTD RNEHDWHVLDQSSVVECQRLKNDFDNALRNITTITIGTENRLIDLIRLSTKFQETIGS LDIASVIDVDGDNGDLGNGIRSREDDLREYEHLVDQARQAITDLDNSSKQINQVTISL LQKIDSPLEDVRPILELLSVGMTTAFRALPTLLIISGEQVAAVDQGLIRGQIGIRSFR YHQSSRRQSGRPTSMISSNSRTSFSGAGSSSRRHRVRGLEEEFLDADDYGESRDQPAQ MPLTYASASTTSLAPQRSRTSSTTSLAYQQTESDSGSQKGNRTSILKAFRRNRAESDA DGRGTQRNKTPSKKLAKLLGEDMSQLPINATVPPPAPPETPWYLSDDFVPGEIIFDDK GGVKAGSLRALVVRLTQHSSTDTPFFQAFLLTFRSFTNAHELFDHLVERYNISQPDGL NLQQAQEWKVKKQAPIRLRVANALRTWLERHYIEQTDHEVLDRIEEFANTTLLANGSE LMSKQLLTLVGKRRQGEPEQTRGSASGSLLSPPAPLLPRVTGRQLRLTDVSPLEIARQ LTIVEFIHFQRIKPSECLNRAWADENTGSINAPNVRNVILTANRMAGWVALHILSSKD VRQRATAMKILIQVAVECRNLNNFSSMAGIVAGLNSAPITRLKRTKELLSAKTQSLKS DLDKTLDSTKNFANYRDMLKTINPPCVPFFGFYLSALTFIEDGNKNFIQPGGPNSYNA AGGKGLTNSNSNSSLTNNLIKPLQSSTSSTANSSGTAVQQQPLINFFKRALNAEILRD IAQYQSQPYNLARCKPVLEWIMRGLDEVEKGGDLYEVSQALEPREKEEERITRMLHDS VG L199_006476 MTLSSLTIYSKSGSNSFLSGLFKKTYEYRSLTLMRDPTTGSLWL ETGPPTGYLLLSPTSIGVVDEVTDWGSAINSSYLIAHPPFNSCSQDKGKQYHEKRLRL ADLTEYWLADWKEWRRSYRSDSSTSTPPEEVSKKILNRFSDCAVMNADKETLEVVRPF LRKGLTREERGLDDWLDVKGFVR L199_006477 MPQQSAQSSSETDPTAICSCKRTDHPNDSTQWGCNNTVQGSQQF CDERCRDDPLCHASLTTLSQNMHGNSRQGGTDEVAFETFDSMSAHFVGRQQGSSSKFD FSAPSRPPERGTAGYDRVSTNDLLSMQEQVARMGPEEQRTFHEWFSHYARTGEEWYNP DGGQHNPNAG L199_006478 MFGRSLSLSLSVLLALAVLSMVSAVTYNSEFASCTTTSYVPNGG AGSGSWESATDCAAFCYARDTTYIYSAWLSTTGGCSCGSNTFTTDVIATGNSGGCGSN YEVSITHTTYDFYTCTNNYRFDTIGAQSSSTDFYAIFTACRNYPLMAIYPTTENTYLY ACGDNYVSTGATTTCAYQVNRIYTHPADATASGLARRSLIERRRLAEQETMQAYWCPK SFTPCQLENDPDSYECIDTKNDLESCGGCLYGAYNPPGHPNTTAAVGTDCSSLRGVAL GHSSCIDGSCQFDCKKGWELDGDGCARTRK L199_006479 MKIKVKEVLKTLESDHVPTLSHKELFLATTDLLPVSDEKKTWDS WTFVGFWVADCFNLNTFLLFNDNSGT L199_006480 MVGPFIVLNAIPGAVWGLVFPAVCRTTFGVFGTFWPIFTRATIA CVWWGSQLIKNTMPASTGTSTDYVISFTIFWLLSLPTIWVPIHKLRWLLLAKAIVGPM AGLTLLGWSVKRAGGAGPIFSQPATLKGSKLAWQMVTSIGDCFGNLVTLIVNAPDFAS RAKTPSASVWSQLITMPLGFSITSFLGIVICSSSAVQWGQPIWNVVKIMEAMLDGADS SRRAGLAFIAMGFIYVTLLMNVVGNSIAAGCDFTALFPRYLSIRRGGYIAAIVGICIN PWLLYKSPQTLTKFLARC L199_006481 MRINDLYIRDKNGWYWYSAGINWRGYLGMSCGFAINLPGFISTI QPSIKVSQGAMKIYYLLWLTGPGVSGLVYYLACLLSPPPGMSKTFEEVDESAGEPRVD HIVIDASTTAGRVSTPSTAPEIEAGQQRSEREVRSINDIAEIAVGKRSDVIKRSITR L199_006482 MSNIVHSLEGLVEAGVKGIMDVLSPTSSNGELPPVQDGEVPPPR PLTIPTTTTTGAVTTTPGGQSSLTGSKLNLPKQDQLVQVLDVPSGWIHLQSTYLDGNL GQKTVRSFSIRNLVGDKEVEIELESDLEGQLVFWFGEEERGTSSSASSTSSSSTSAGS PSLHITLPPSTTITIFFAFQPTHSVPSTPANDSAPLFDDGGYTPRVKPVLSRTHSSEM SPVGVGSPSDGRSSELSSVSGGSVAGSMKSAYNSRRPEPVHRAFSVHGSITIRAITSS SSLSTLDLPPAQPNVAHQIVSVPFFATVCRSLFTAAPIDPIQGLVTGSQVSSGELVID FGTNAVVGGRYHRDILLVNRSEIELVWKTTVVSSPYKEAVWFELRDLDSENVFGVVDV DHSSQPVPLPSLSSRHLRLEMRAKAVIQDFDFTFLISNINQSGNVISCKAIGTVLPEK LDDSLILLSGTNIDFGQVIDGIWSKQLITCKNNGDKPIDVKFSATKGYNVVFRLAGVA GEDLDEDLSIALDRPRTISNATSSTKWTQDVGSGLSRASTKDGRGRDLMQQQQQQLRD PRAESPFSSTGQQSQKSRPTSSIISSEMFNAYSVPGDFGRPWSNIPQNIDVDSNPTSG SASGFVEHDQASIPSRELNGQRDHSQPPSRPLSRVTSRTSSYLLHTAGDELDSESIED DEDDFEPPFFGGGENTNNVSRSTSSSIGIGTSRALGNMISTEQNIPNQIEEMTMRPGT EYRVYVLHRPEIDDKNPPEIAGKLRKSNFKVYLDTVSGPQQHHSRSTSGNSSSNNTNN SNNMNKDLNMTSSIISANITTSSTPTGSGTSDNARRITISCSVEHCTSLISLPEGKVL DFGKVTVGSSQTKQLKIRNLSELSTKIEIAAISKVISLSSNKNIIVIPPLEEVEEKLE FFPRRINENYEKECFVRNLLNRRNDQVLEIRSQNVDVYNLTLHSHLYRILTPSGSNFL DFGNVVINAPTVRTMHIENLSDTQLVLDLYASQPEDIGLYVKAEDEPPMPGKTRGPPG RYSEVDTALERMTSPPNGELKERFMETLQELSEKNANGGSANRSKSKVREKSVGKAKK EEVEKDGKSVGQQVAVALKKGGRGRPVQLYGNSVVFKDRTLLEPHEYLDLASGPPVCA HRSPRAKRFALLDTIELEDRTKLSGRHDKIPKLDFAAVAKASGLVGKDAKTKKKHPHH HHHHHHGHGNAQSTVPSEIPSTMQSPCQSGDTSALTSPKPQPITVPQTHDPSPVSVPP TTQRPEMHLNLATLASQVMQRIIPETGGRKSPALTAKRPIDMKLTEVTSGAPTDPSKM TVDELLLAIERHDSLKSSITHSSLEEEEAYVRRTIALKKELQNVISSGKLVPARTVNV GSKKTKAVIVVMTPNGSTRPHVGVRAKRADSRIFIKLVEFDKSLLNEAGRGTCNVTDQ HGKMDDKLIEKITAELPIRDLIIRSSCVRSVLEVQQSSINFGGCDKGEIKEKTIVIHN KSDTIGLFRLRTSGSIASGDLKLGLGRYGVISAFGRKEVKNFSFTPSLVGNYQEMITV ENVLDGYNDQNLSVKAVVRKIPAFKVEPDNLDFGIVNLTNVHLKQGNKSTSSTTQQSF ILTNISKHERTFVITIEPKVSSSLAFAQINLTQNEKDVGLALSKVEEEEVEAILQKLK IAKRKKKQEKIEKYEKRLMELGIGMKDLKSDEEDGEDGLPPAEAEIEGEGEKKEKKGK KDKVKQQKEEQPQPPISGREVPTAGVKDASYDHPANPNALTTSLSLTLAPNQKTKILV DLMPQSQNTVGDNDNASGGDSFDDVKATITVHDKRNTDETIAISVSAIRGTPENTHKE TLEKAVKMADRARNTTTDPLALALMQHSLDLTLNCEVSPTAFCVGSTLFLPSSSSHYP SLEPTGKFDLFPTGPEGAGQSKGLILGDGWSRQIPGNTHAEANALTNFRTKYGELQAS FGGWGSSSSTSTAPDKEDRKSTLPSIEEVLKDADCYATMEPCSIRTSGGPSCALELVR AGVKAVYLGVEEPPDFVQCEGVRILEDGGVKVIRTSGLEEACLKAARRGRN L199_006483 MANPRQRSKAKSHKSTRPSIHRIRKLHQKQRRAPPLKGPEVLQN GWDKKKTVFQNYAALGLLPSIPIPSSASSSRSQRVKLPIVPAAEEEAQPKVGFGRIIR DEEGNVIDIIIDEDEEVKDQEGNVPFEEEEGEREKVQGKTEVVRQLEALSSTSAPVKR HSSTSEKTWLINLVQKYNDDYESMARDMKLNVWQKTKGEIKRMVNKAGGVEKLRNSS L199_006484 MGGEGWLFLFAVLMAAVLLFTMVFFIIMFSDLECDYINPIDLCN KLNQFVLPEMIAHAFLTLCFLLSGQWLAFLLNAPLVGYNVNKIMAKNHMYDATEIFRT LSGHKKESFIKLGFYLVSFFYYLYRMILALISESD L199_006485 MSLADSRILPNLYDGLATPPILSPPPTPTSKPRYHYTPNNHVRI TTLSPRPNNVSSSPIRSTSTSAKTISPISPSIVYPMAPPSTLVDIPLGSLQPAQLPPP CKIVPANRMKLKLYSKTEADSLTRERKGKMPALSHLLSVGNGRGLSIAADEKYIYAGC QSKDNEITVFSRASLQPMYRLMGHEGSILALLCIEEKKWLVSASSAGDVRIWSTRTLE LIYIIHPCDDTAGDIYSLAWDEREGGTLYFGSQSASIEWVNFSGPGPIRRRRVSVAAA STVEVVPLSQNGVDPAQSRPGPSQRTGRYKPHHFFNNPPEGGSCSGSGTNTPRSPVIA SNTPPPCGGSGRREFFTSADLDKLSLNERAEHPATELEIGAESRIAFAHYGYTYALEL ISRPNGRKWLVSGSGDSDVKIWDCESGGGGLSLVKTFDNLPGGVLSFAVRDSLLYAGL QAGEIVVWDLETGACIRTIEAHDADVLSMSVLGGDVYTAGADGRVLRVNEEFDCTAVW KAHAGTVMSTVVVKGTRPGGWELITTGSDSFVKIWNVDLSKASTHDSEVDVEGEGDVM LYALSKLVAVPTVSDEAHRESCRQGAHLLKKILSQLGATSEVLSGEQGKNPLVFATFT GRDIGRSRKRLLFYGHYDVQPADEEKWETNPWELSGRNGYLYGRGVTDNKGPILAVAC AAASLRQRRELDVDLVMIIEGEEEAGSRGFASTVRKHKSDIGHIDAVLLSNSTWIDEA DPCVVFGMRGVVYANLSVSSKTEDLHNGVDGGSTTEPMFDMVKVLGALSDKDGVKVPG FYDTVRPPTEEELSLLRDVSSASGRSLEELIKVWRQPSFSIANIVSSGSGNKTVIPKE VSADISMRIVPDQQLEDIVRDLKDYCKKVFEELDSPHSFEIQVTHSASWWLTSLDSPY FKALESSVQDVWGVKPLKIREGGTVPTVFWLEKEFGAPCVHLPLGQSSDAGHLANERM RLLNLRNGKRVIEAYLARLAGI L199_006486 MADTARRRVKAIVIGAGVGGTATAARLAHAGFDVEVYEKNEMSG GRCSLIHHEGYRFDQGPSLLLLPPLFHQLYHDLGTKLEDHVDLIQCDPNYVIHYHDGE KVTLSSDRAQLGAEVEKWEGKGGSARLEGFLREAGIHAQLSYEHVLGQSFPNLLSMLR PDVLINLLKLHPFGSLWGRCARYFRTERMRRAFSFGSMYLGSSPFDAPGTYTLLQWTE TCEGIWYPKGGFHSVVQSLVDISQRFGAKYHFSTPISSVIHDSRGRATGVRLENGDVR EADVVVVNADLVWAHNNLFEKVGDGIEQDKEKKRLLDPNLAKRLNDKPHSCSSISFYW ALDSTIPSLNAHNIFLAEDYKGSFDDIFKRKGMPREPSFYVNVPSRVDPTAAPAGKDA VVILVPVGHLHDITKDQQGLRTFSEGSNVPDQDTQDWPALVDRARKQVIEVMEARLGI KGLKEKIVWEGVNTPQTWKDKFNLTHGSILGITHDFFNVLSFRQQARHPSLKGAYFVG ASAHPGTGVPIAIAGSRLCTQAILSDLSIPLPHTYTHPLYSINRWNPLNTIQPSSILY TLENVFINSLPYIIGSIITICGCVAYTLYYKSPPSFIPQRNIDRFTWSCLRGILTTGD KEIDLIVLIILTMVSVLLLAFRLVPPGEPQWMMDARENARHNENMKKEKMKYMEKQLQ AQQEWLDSQSKQKK L199_006487 MSSPTIESARKFATPNPLKEKLDAGVLAHALSIKIVRSVEVLGF AKAAGYDAVLIDLEHSPFGLETTNQLSCAALNLGLTPIVRVPANTSDWISRTLDGGAQ AIIVPHVNSAAEAANVVKYARFAPLGERSATGTMPMLRYANVPAKFANPICNDLVTVI CMIETVRALEDVDSIAAVEGVDVLLIGCGDLTSDMGIPGDFSNPRIEEAFDRVSAAAK KVSVNGRKVSVGFGGLHNRLDLVEKFAKKNGNARFVMAGADNTFLLQAIVDGGSAIQK VEASIKNA L199_006488 MGRTRSSSPPPRTGSRGQTQEFQDQPESSKQSAKRHHRACKYCR EHRIKCVPVPGEERCKKCIDHNKHCDKLSAAPKDDRPRRRTLFRGAAKGASSLSQSQG YEPRYLGSSSLSILVWKYVPQTTEIIDKLRGIDDRYDLHRGSIGGLEQNGLLIGQGES PNVKNERPTLVSVSMVQARLIQEVGAETVLISLYETCRDRIIPLFPVISVSESLLADK ASAAHVDKYAAVDPRSTPPTPLPLIVRMIHCAVAAQSRDVPEYIRKSLTSSLHSLMMG PEMQKLANTRCLGSIQVLLLLSMCEDLNSPDAGDASENVWQNVGTAARMGTALALHRN IATSHVPYFQLNRRLRVWGACISMDRWTAIRMGRTFAIDLAECDAPLPRHYADGIRDG DLTSKTEPIFPCFRFLTEFTSLSILLGRAHRLIDSPTGLQAADDLNLLMLQVDIDNWL AQLPQSWPYSIKLVLRQGPLLMNLFIVVLEFTFQRSFLWPSTSVPSQISFRPSRDRWI SLCQRAEQAVYWLNSPDGAYYLDVWSITVYAAFCCVIIHLKAYEESKDPHHQWLLDMS NTIIQQWADQQPDNPVRRRLASMSDLMTFINKHTNVPSTSTASNSKVLAGIPLIPGGA YQQSQSHVDVNDLFNNHPSASSAGGTSSYGFDQYSFNSLGTDFGGSLGRTGAGTNPIE MYNQLTFLEQMGLAEFGFGP L199_006489 MAEDKIHIDNAVEQEVPYGDEDNNKHRNILDLAARAHYEHIDCT EEESKAVLRKIDWRLMPMLIWIYGLQYADKQSLTFASLMGIREDIHLNLQSQEYSWAG SIFYAGYLAAQIPATYLMKRLPIGKFISVNIICWSIILACHALVHNYTGILICRFLLG FFEATITPAFVLIISMWYRRTEQAGRMALFLAANGMATIIVSPVAYGLSGLVSPAIAS WKVLYILFGLLTFFTGAFYFYALPDSQISVGWLSEREKAIAVDRIKENAQGIGNYVWQ WYQVREAFQDPRTYLYFSFSMFMNIPNGGIGTFGSLIINSFGYSKRISLLFNLPLGAI DMFCKLIIMNLSDRFRDRTGFGMLAMCLPFVGGLIMLLAPQNNKGVLLFGYSLIGAAG TGWGLLMTSLSVNTVGYTKKATAGAVQIIAYGIGNWIGPQTFQAKTAPQYRTGKMILA IFYGLAIANLFALRIVNMIANKRRDKKAREDPASMVQPDDAAARDLTDKEQPAFRYML L199_006490 MTDLNRFKLDSLFSVAGQTVLITGGGSGIGRSLTTAFAVNGARG DVSTKAGTEAVIKQVEEKVSRLDTLINCAGISVLFKTPAHQVSDPETVYPTLSSVEDE DWIKSHQVNVNGPYYMSVSAIPLLRKSQNPNVVMISSVAGLAPQRGNNTFTYGVSKAG TIHLSSMLAGRLHPLKIRVNCICPGIFPSEMTATRDKDGNILLGDMGTKAVKRCTMGR PGLPEEIAAPILLLASKGGMYMNDTCINVDGGRWLVMKGIYDGYRLPDDSYID L199_006491 MSAATKVTVYNDEFPLKPHNSPAVKIGNLVYCSGQVGMGEIKAA TRESLGNLKKVLELSGSSLEKVVKYNVFLKDMDEMLTMNEAFVAFLPDPKPARTCIQA GKLPGGPNASIEIECVAEL L199_006492 MAAPFNPPTNLDSKPEVPKWVPPPPPTEDLEYAKLHSIDLSLLD SPDPEVVKQLVETAKIAIRDDGFLYLVNYGINLEQLHRQFSIAQYLHRNISEEDKEKL HWDPQGGLYAGYKPPFGWRTIKGKYDGISQFNFYEEEYKSLDKVPKCIHPFMDEISAF TEYLTRSVNKRLLTLLSKVLEMPDDFLYENIESKGPTPINEGYLRHALFHPFKNQEKG MGEGLRMFGHTDFGTTTMLFSVPVTCLQLWGRDGIWRYVKYAPGALVINIGDTLELIS GGHFRATRHRVHNPPPSQETFERLSVVLFNGSKGDLRMQPCWDSPLIKREGCFESQGA YKEFKVLQDKGIPIPTNKEWREISIINTRHPTDEPEKLLKEVTVDGVKYIEVINQGVR VLQPL L199_006493 MQIETSPIFDRTWLMHLLQNLIRPFRPALVKPPSNRERKLEWSK AGSPRLSIPRSVRRECVVTERSVEDIWLYDLDYKHLGNGEKKNGRLLYFCGGGFQAPP SSQHWSFVVELFRQLSHLHLTIISYPLAPRSTASTANSTLLRVYQEISTQSLDLHLCG DSSGGNVALSLTLQVLSSNSQMIAPKSVTLISPVVDCSNSNSEMEKVNKVDPVLTMKY TGEVASKWRGKIAPSDPIVSPIHGNLLLLRERGVKMNGIIGTWDVLAPDTMRLMDRLQ EIGVEGQWRVAEGQMHCFPLAWKYGLKDSVKGKDWILDVLKRSST L199_006494 MSDPKINGDNTSVHSHPNSHIHSEKDIKAAETTHHEHAPSTNTT STPNETDNVQIDYTPEDRDARLAARATLQNALHGIPKAQLIKESDEFCDAHGLEEHKD TFRKGALLAQRPGEWAAIDELNAEEKAAIEYEHRHKWRMSKDLWWVVGACAMGAAVQG WDQTGSNGANLGFPQEFGINRGLDEPGGAHDQWILGLVNAIPYLSAPIIGCYSSDPLN NLFGRRGAIFIAAIILIATPLAMAFTHTWQELLIVRIFFGIGIGLKGSTIPIFSAEVA PTVVRGALVMMWQLWTTVGIFIGFAANAIVRNSGDITWRLQIGSSFIPAVPLAMMVWF CPESPRWYMRKGRMPEAFKSMRKLRKHDVQAARDLFYAWVQWEAEKKVIGDRSMFRRF ADLFTVPRIRRATLAASVLHAGQDLCGINTIAFYSSTIFVDGGASNVDALYASLGFGA LNFVFTWPAVFTIDTFGRRTLNLFFFPLMAVSLLAAGMAFYIEEQRIRTGIVALFIYI YTIFYSLGEGPIIFTYSAEVFPLAHRELGQAFPVSINYFVNFLLSLWFPFQLKQFGGP GTFGFYTGTNMLAFCLAFLFMRETKQLSLEELDQVFSVPTSVYINYQFTKWLPWAFKR YVLFDRKKQLEPLFHEDKDIKA L199_006495 MSSDPHPHPEIDPNDSPELLSHSTLPHDVAVPERSMVEESTVTG VTFTPELWMQRRHWALDVLRKEGVRSVLDIGCGPGSLLETLVVPPTTIYEPPILSTQA SSDEEDDLLQGRDLFIRRLGALDVSPSVITSALKTLTPPTNSTIPRWEPLTTELWLGG IEKYNAKLEGYEAIVLLEVVEHLDPDVLNRFGVVTFGTYRPKLLLVTTPNFDFNAKFP RAEEHDFAKKGFVDPTGRTDRVFRHSDHKLEMTSAEFRGWAEAEAANWGYDVEVSGVG VSSHPSYYPSDDLSQPGQPIYASQTAIFRLATGLPLRSPRSVRTVELPFMPNSGESSH SHKLAGRFIHPANAPGDGKKVSPNEVVKTVKECYKSWKIDEVSLDELWGVHEVSGRCA GSKRYLVSVLGGFGDCTAIDGASEEFEVEKEKGRGLRVRWKGFKSG L199_006496 MSKWPQPFVSTVSHWQATNRGRRSLYNHNKNEPLPTEVIDYVIV GGGIAGTMMAYHLTRPGVADDKTVAVLEAKDLASGASGRNGGHCAPFSFGALTLFTTP LEEGGAGLTIEEAIEVLDFEKRVLEEVTQTVEKENWDVDFWKGEKVEVRISQEGKTKM NENYGKWIAARENHPGLKGTQPEWTWNEDEVEAKKATRIHNAVAYSKGPAGSVHPHKL ATEYMKSAMATGRADLYSYAPVLSTKRVDGVWELEVHEKGTIKAKEVIFCTNAHTPHL FEGSSIADYLVPYQAQAANITTPLSYSGSKYLANSYTIEDGPYLMFTPDSGIVMGLAH YNAYKQGVMTKKEIYVDHDAYVTEGITKWLREYCKNNFSDWGIEAPGEGAMRIWAGML CATKNTLPLVGEIPGKEGMYMAAGFHGHGMARIPLVTKYLAKLITTKEWDEGLPLTFK ITEERFEKGKKALPFITEEERDDSLAGKFKKAVGLGGKEVPCVR L199_006497 MSNIPSSVPEQYRPGFLPPSIAKQAQDALPGKQVPLNPPPLDDV LADGTKYKAAGKLEGKNAAITGGDSGIGRAVAILYALEGANVLIQYQPAEEEDAKNTK EYISKVAPKAKVELVAQDLRDEKGALELVDKIKKWSGGELHVLVNNAATQNEVEHIED LPSDQWRHVFDVNIHAIFYLTKNLIPIIPWGGSIINNASINPFVGHPKLLDYTATKGA IVGFTRALSNQIVKEKGIRVNAVCPGPIWTPLIPATMTKESLENFGPSTPIGRAGQPV EVATAFVFLASADSSYFTAQCFHVNGGSAY L199_006498 MFKAAIISFLVASAAMVSATKIEGACYQDNCQRAVQRTWQGPAV YAQHISQCSSALSCSSTPAASTTTTSTTVTAGVTTIYVSAATNTPAPVAAVLSCPTSG IPSDQAQNCDNSWASYSSACSCASVTPTTSVAPTPVVTATITTTVPAIVYVTGAPEAI AL L199_006499 MADHNQPRPRLRSTETSRSHPLAATPSHPSLTRRTSTGVASGGA QLTPSMSLPASQDLSPFAQDFHPPTYHRPHANTISTARPSRRTGNGLSEAQLLGVESS RRSGHWSNLTSPTLHNHPIVEDQQISQYRSPKRGDSSSSRLFGYLDSLSKEELAIVET RFDLMTDDELRQYIRKSPSSPPTPLESSTSESLNPSRDDTAPPRPDSADHPPLFPPSP PAGDPVLVPSDHPLKVLSKAIAELREVIERVEDENIKLKKENAEKSKPKTRRKSADQI SIHDGLTEAISTSLTSSSPINEIPSNPLVIPSDVSSVRSISPTPSNRKTRRPPSIASS LSVPFPSSPITPSIPPTRSSGSTRGTDTSGGAQAKKNNRSTWTSGLWVWSGAKKTVSS NQQAKGQGNSPIPTEETSLTTSESVITDEDDPEAWRKGDGGSSPAFKAIFLATRIITP DPSSILLSSEIPSNSLIAYLAHSLISNARDDGIVARDAVSDRQRSRDISRSRATSVGS QYPSVDQDKTREGKGAGYGDQALAATASLGRTLLSSVSNATIRGTRAISNITDEPRPS LLQRTSSSRAFPTTAISAPSAVGQSGSSATSPTEERPLPSVELSSIVPDDIRPPTVTL SRQNLGSFFQNTKTKIATASRFESEDEPLTDRYGFIYDIQHAKMLKDASAAGTSAPMS LNGTLAPVADKENEGWIAKRRRDSHGSQKSMQTQREPKSPDIIDSTSMPSPRRSTDSV RVQTPESGSPPKSRSSSHVDTHRHRSSTLLSLNPSPAKPVTAKDHLTVSSRGASSLQP AITPTSSNSPPLSASLTHSSPIVDSPLSASASRLTVSSLLEQLTEIHDRQQQERLKEW DAFLRRRARHIHDTLSGSNHKSDDLRWRSGLIGINQMGTSGKGGQEDWKVFTRLVRKG IPLKYRSDVWAECSGAKDLMVPGEYAEILAVHKDDLSPVHADIEKDVSRTFPGNVFFG GDGPGVAKLRRVLTAYSWHNPAVGYCQGMNMLAATLLLTHTDEEQAYWVLTSLIDRLL PPQFYSASLLASRADQVVLNDLVAQLVPKIHDHLEGLGVDLASVTFGWWLSLFTDCLP VETLFRVWDVTFVEGHDTLFRVAIAILKLNEAEICATETVSDLFSFISSMTSRLWNAD KLIALQHSYKPIIRHIDIVARCEKAVGQLQEEMGEE L199_006500 MVRTAKPYQRPDSYRKANPDAPGTWKHDLHESVKQSLASRISSS SSSTSSAPGPRSKASLLNRISGGQGKELLPTASSNVRLHGFDGPPPANLNNPNAGVEL LPSGNGRRPKNSGRGVNSQSKDQLNAALGLGAQRVRDVRPVRRELVTKQHQVSIMGAA RGTTWVRVENLAVGTTVEDVESAFAPLVILNSKTTPPVNPSTVTVDLELENKADAEGL IKQYHGVVADGNTLSVTIINQGLKGRIGDSTPVIEQPAASKVNNVGRELLDSNSSGKL YSDTILASNPSSSIMTLSDGSTFTPSPEAAAAAQRSEAWRQGGPPLSSRLGGPRSRGR GQIGGGSFHDMLVD L199_006501 MFSRSLILLWLTILVIPSSADQLKISLKQPSHQCVEGCYDALSL LTFGDIKAKKPAMKDQCNSASFVNSMALCSSNYCTEKQQVAGWEYIRAECEDFKIHLE AQDVLLANVDKSDVQSADVFGKSKKYNGTVLLDQRSFNAGYRTIVSEWKKQAYSHAFG FTIYLFLILAVFIGLFNRLLSFSVHRHIATSPEEANIPTKTGSSSRFARGYTWWRKTV TTPALFGYKHSQPWGWVTIPTRLQSILIFLFLAINVIFTCVGYDLFDDDTEDTNDKQS QLLVQLQYRTGVMCVYNLPLLWMLAGRNDVVLWLTGWSYSSVNLWHRYIARLAVIQAF IHGICYTIDKRNDLTDRFLHRMYWTTGIFALICFILLATLSIKPIRTRWYEIFLITHI ALALCSLVLLYFHLTHMKGKYNPYVWACVGVWCLDRVIRLLRIVVLTYKALSEKGKNT IAVMSNNDSGLIRLSITTSIRIIPKPGHYYFLYHPFSIKPWENHPFTVASWEINDSST TLHFLVAPQKGATKNWRKRVSKTPNRTDNIRLLLEGPYGHTNPVERYEGLLLVAGGSG ITSMLAYIHTLKHHRDNLKDVRTKSVTLVWVVKSLHYAMDVLQNELKEFMDNSKGIEG ISVKIQLHLTKDIDSTTSTLVGSPTMSRDNSKSTAGSCPNTSEEKIDKQDGSKEAEGG SNTGLKIYHGRPEMQYLVDTALSRLVGGERLAVSACGPAVMIDDMRKAVCELYGSEEG KVDGRTLEYFEELFSW L199_006502 MSTVSDAISHDHRELEEYYDNILKATTDDDKIRWQNQFTWELAR HSIGEELVVYPAFEKYIADGKAMADKDRAEHAKAKELLNTFQQLKPSNPEFERTLKQL MGELSEHIKGEEQEDMPKLEQSLPGGESAELATSFQRTKKFVPTRSHPSAPDKPPFET VAGLMAAPIDKLGDIFRRFPKD L199_006503 MVAAALISAAALVGVALASPINIARELNQNYKTDITIHESCNAT QRRILEKALSDTFEVATVAQEYVVKNGAEDPVFQKYFGKAKEAYSTVVGVWESLLTSN KDGVIFRCDNPDGNCGQAGWRGHWRGDNATLETVICDLSYTDRYFNEYFCMNGYTVAG SPLGTHWSIDLIHRMFHVPTITNELVGHYSEDYASALELAEHNATYSPIDSDALQAFA AHVYAVEVAQGGDACIGQPAESHDHATSASPSSTSSQAASATSAAAEACHTHADGAVH CESDDANSASSTAAAAAAQTSAAGDCHTHADGTVHCV L199_006504 MRSTLLSALTLSVAVRAQFGPATTSSSSSLGPAPTESIGCRQVD GAWECAGPRNSATSATSSAAAASTSQAGTPTTSSLSSGVPPPPTESVGCVLHIDHYHC EGPASGYTASTTTNTEPAVPSPTESSNCFWHETHWDCFDSEEELEAAESAEDTGECII HVGHTHGDCSAEDLACGAVLLEDFNMGLHVGGLFIILVTSGLGAMIPLFTGWARRRGQ STDSFDTRVSSVEQDHTAFGRRAGLWSNIFFIAKHFGTGVILSTAFIHLLYHGFVMFA NECMGHMSYESTAPAIALAAAFVTFLFDFVGSRAAHRKYEHHVSGPLGSPGNEKGPRA SPEHDHSHDGHDHGYDLVLEGRQNWEVVLLELGIIFHSIMIGVTLGAGSGNGWTTLLI VIVFHQFFEGLALGARIALLRTISKARQFLMALAFTLITPIGIAIGIGVRKSFSQNGK ASLLSVGILNSISAGILLYAAFRLLSCDFTDGPLRDAKPYKVIAALLAMVAGMVGMSV LGKWA L199_006505 MAPVDSLSFKCALITGGGGGLGKAMAIELLKRGKKVLLAGRTES TLKDTASEIGATGYYVLDTGSSLDEISHTVQQIIKDHPDVDCLINNAGVQRPLHVLSK DYKFDFASADQEININIRGPMYLTVKFIQDHFNKLENGGVVMNVSSVLGFIPFSTINP VYNGTKAWLHMFTANIRQQLAAEDSKIKVIEIVPPQVESDLHRDRLNPDDNKKENSPT ALSVDEFMQDVVKGWESNLDTVSAGQGIQVTKQWDEVFGEKLKKMAK L199_006506 MDCFIYKTNNLTLAPFECNNIEGSPNLLSGKVEYSQYGYTVSDT WSYVFISVFGVALVVQLLLSVFWRCWWTLPTLTAGTAVEVAGWGGRLWSVLSWEWVPN EGGVWDSAYGAYIMQICCLVIAPTFYSAANYILFGRIIAAAGPSYVSLHSQSFSTIFV IADIACLVIQGAGGGIAGTADDKDGSDMGAYIMTAGVILQLIVTIFFTALFAEWIWRR RIHKPSKRQFNPFARFYRKKNAAAAAAAASREHIEMSPSATLVEDPSMINAMKAEASS ASYTSDNVPFVAGGERQLSDGKVRLMCGLISFGTLLIIIRSVYRSVELLDGWEGAIAI NEPLFLGMDAFLMATFAITYAIIHPGLAFGRRQF L199_006507 MPAAPKHRPLARGDACQSCKTRKIRCPAEKPSCANCLKKNRECI YNSTPTSASDSSPPIPISNVTPVTPVPPIATHPSSSSLDLAPQLDTNGTPDRRGSAMS SFDTSQSNSTIPTSYNASPWSQDTSMIGLLPSMMGPVQTMPSPWDNVDITSLLGQGVL DDSLAWTEETELSEVERDHLLLLYFTGQRIFGVDMHISTFYSRLQSPDPALRPHPCLL NAIYLMTCRGSPLESLRRQEPTFLKRAKEQLDLALKKVDHVFDAIRAGTMIATWYFGL DSHMEGLAMMGLTVRVAIASGLDTIRSSVDYKCELTPGESRKRDSPALSQLELADRIY AFWTLYLVDRCTSIAFELPGGFDLQRVKTPLPRPWAEYETNDPHLASCDQHVTDLFLD QPPVTCDKMHLPEIGFVLFAIELMYQVSRRPDIEGQERLGHAIARFNKTIPSELRQTA KSLDGRPTITADTATLQFITLCTEMLLYSIDSVDQPDPRALEAARKILGVLHLQRDAD IGDVNLFAVIICCRVATLLIWESKRLEANGDLFAAATYVKDVQFISSYIQRLAYTNLA AEATKGIERCWKLDLADLKPERDTEKGGSTKQRLTGNVYRRQCPPPRG L199_006508 MDPDHNSEQSTIGKSVDLRESESTEENPHPDSLAAATVISTRGR PQDRPRPQKGFIKDALPPSEHGTIKLHTEAMHWPRNNRRLFKATRYELDGVDQSAASD SAIQRACSDLDSKVSTYTGLAHDGLAFTNNNFDLAKQYFHGTIQSAVQSVKEQFPTVS IESTFTTRVIDDREHARVARRSTAARSRPPRRASRSFTEATPLGIAGQVFIESLDPRS THTIHYMRSQRGLNLLNRDASSQQGHDSGSYHEPESEDSLRDLRVIRPIRSSRGLRDI FLMMTALSSDDEQTDEVHMT L199_006509 MSYLFTSTCTISAALRSFVDSYLSTRQSSSENQGGGIPTKYFTH IHPLNKADENDPHYIPAKEGVESDLGWTVDDLIRIRNTKINQNTPTNDLMTRSMGSFA NSWARNYPGISFVTNTPNDKIVREVSTGIHEEGLVCLLDEAGEDGRDSDRI L199_006510 MLSTVVDSISVFAFQSKFLWKDYKRTRQREAEERATSKFYEEQR KKNGDVQLSLKEIETIVDDSRYLAEDWKQRYAISEENRRHYFNDGNQLPIVLFPPKAG TVEVTARITQTGEGSHMSAHIHPLSDLNVEDSEYQRAKSCIISELDTKMSHLNKSSSY YDWISGPERTLGYNMSKMTDNWAVRYPEITFITHTPSGDLYKPATARRTTFQREQVDI ESPCHQSSRAHLPFMRKHKEGSSVRL L199_006511 MATTQSYDDSSPYISYVGDWDLQQDSDPFLGRYKNSTFHSTTNN GDTAVIVFVGTDIQVYGAKRPNHGFLSGLIDGGEKQYVNGTARDPELYQQILFEAHGL ENKTHTVIMTNEPFYDTGVGGIWLDIDFFSVNGTPIASESSSNSGQTTEPSGNSIAYT GTATFQTVPPSGVEPYSVAGSPTMVQLAVSVAPSLLDNQRPIMDKIANSSPTSLSTNF HLSLSLGMLFAHTILNRFGRE L199_006512 MGELSGLSLPGEHDSKVQGQASEAIQHDLAHTLSKGRKYALLAV FSMGLFMDVVGFSVFFVMVSSTAEDLGISTAEETWIITSYGVTFASFLLFWGRVSDLY SPKLVFTFGFIGLGIASIIISFLTNPIAFFVFRAISGLCGACLVPSCYRLITSIFTKE ELSFAFTVYGITGSIANSSGVVIGGALLQIPGTGQLVGWRWYFRIAAALILPAATLSF FLIPKARGENAASHSKLKRMDLLGVFLILSASLLLIVGLTLGASRGFSRPSFYVPLII SFLLYPSFFLWERRLQDGFALIPSRTWHNPNFLLWSLLALLVYGWWAAEFVAQAETYM QVHDDLPIIAGIRLLPQGITAFSMSLVLIKYPAISTKPRFAVIGGFIVSIVAYVLFIL GGARVGSDYWKFTFPASIIGSPAMQIVMNSCNVCAMMSVDPHEFGVAGAILQTSFQIG STVALSIQSGLLTVYPQSYFDIRNIRASWYFMLGWTVVWTIVFAVLYRQPKGRLSGTI ASH L199_006513 MPSFRQLTQAASLATIAVRATNSSSSLDELCTTANVQAALPPNG TFLGIEIYPDSVTAAVTTASSAGSGGGMMAKRDGPTNSTSSATSSSSTSTSTSSSSTS YCNVTLSYTHAGSSDTVNLVYAFPAPDDYKSRFYVGGGGGYSLNSDATGGLDYGAASG VTDGGYDAFNYSYDEKALLANGTVDWQAAHMFGYQALGEMTKIGKYITPNFYGVDNST KVYTYYSGCSDGGREGMSQVQRYGSEYDGVIAGAPAFRFAQQQVLHVYPAAVEQVQNY FPAPCAIAKIVNATIEACDSLDGRSDGVVSRTDLCQLNFNLSSVIGQSYYCAAESSSS LGFGFSKRAQGSSSSTTPEQNGTITAEDVAVAQAVYDGLFNSAGEQAYLSWQITADIS DADTTYNNETESWELSIPSTGGLYVRKFVELLDLDNLDNLDGVTYDTLVEWMQTGFER FYDTLQTTYPDLTEFQSNGGKLIHYHGESDPSIPAGSSVHYWQSVREWMYPGVDTTEA EEQMKEWYQFYLVPGAAHCGANSLQPGPYPSGSSLMSQIINWIENGEAPAGLNATVSS GDYSGETQELCMFPTRPLWSSNSTFDCVTDDEGNATWDHSFTAFKQAVY L199_006514 MAIKQEIEVPAFGKTSVPTGLFINNQWVESSDSNSFSTINPATG QQFLNFAHATKVDVDTAVTAARKAFKTTWGMNVASTERAALLFKLADLMEKDAEKIAA LESLNSGKGIRIARDADVADSVACLRYYAGLADKLHGQTINHFGKEKFVYTLHQPIGV CGQIIPWNYPLLMWAWKVAPAVAAGCCVIIKPSELTPLTALYLCDLVVEAGFPAGVIN VLPGLGATTGDAISRHMDIDKVAFTGSVPTGRKIAIAAAESNLKKVTLELGGKSPMLV FDSADIEEAAAWVAMGIWFNSGQDCCASSRVYVQEAIYEKFLTALKAKAEACAIGNPA DEATSFGPLISEGQRDKVLAYIESGRQAGARVVTGGQKWPQSGGGYWVEPTILADVNA DMKAVKEEIFGPVIVAASFKTEEEALELANNTEYGLAAAVFTNDARQATRVTAALDAG TVWCNQYALLHAGVPFGGFKQSGIGRELGTYGLDAYTQVKAVHQNLSQTM L199_006515 MLDTSTSGSQKRVTRACDNCKRYARPVNTRFQYRTDQSAHSRRT KCEAASGAAVTESSDKQSCQGCIDNGLTCTFEAPIRKRGPQPGSRKRQNPSSPPTLSS GPEHSRRRQRLQSETPGTDQDVLLRRRDPMAPGPSKAPFVPRHRLQKVPFGGVPSSLI DQLLPLYFTHVHNVWPMIYKPTFNPRTTSAPLLLSMLAIASCVTRPGSNTTSPAEAND DEGSGSFPADRLFLMAETSLRECHNDHRTDLIQSLLLLSLRQTGCGDKQSASMYAGRA CSMALNMGLNLVPIGETPTGHPSSMDAIELEIRSRVYWNTYVLDKTLSEETGRPFLLT YRKTTTPLPSIEELEEYETWPPPNLSATGYIHRDTHIIPRKGYVMSCFAWTCRLGMIV EDILDLDTSCPAPLNDWDREFFERTPIVDVNVISDRLSRWREALPSNLNVDTNSNLAP LPHHAVGIAWYHTSCILLHSRFIKRTKHGNDLSLSPLVATAASNTYSHSHLARQICSE AAQANIEILSCLDKYQLLKVASSDVLHMLSLTALFEAFETTNSDIQSANLAKTNFAQC CKWLRDFSSSWPAASSHRLFFEGLIKGGLKMATTPSGPLSAHEQHSSVTAQTGRRDSG HSDLPLQPEAIPTPTTPDGLRSIRRNLSISEDESNRDDQLQILSETATAVSGFNPTST VLGGTMGISSSPSALFQLPQIYWNSLNTSTNAASNHGSSWDPLNNFDFDSTNALGAEM TTGVSVPYEETPALNTEWQLPFNSGREPGQDPMLASGSDPNTVVQSALMAFMMQAARG SQ L199_006516 MSLQHHQHHHPIESERSRKDDDKLEHLGYHAELKRTFTSWETFG VAFSIMGVVPSIASTIFYNLPYGGPVGMIWGWLISAILILFVGLSMGELASSMPTSGG LYFWTHRLSPPRYRNFLAWMVGYNSFLGNVAAVSSLGWACSGIVFAAASINNENFIST AGQQFGLYIGILIVCGLICAYGTNIFARLQTPSVILNVLLSLVTIIGLPIARRHELNT AAFTFGGFVNLTSWPNGFAFLLSFLAPVWTICSFDCAVSISEEATNASVAVPQAIVGA IGSAGVLGTVILIILALTMGLDVAAVNDDALGQPLAYIYLQAFGQKGSLAVWSFMCIA QLSMTASLILPSSRQAFAFARDGALPFSRYFHHVDTFSGTPVRAVWLVVGCAIPLGAL CFADPVNYSAINAIFSLAIMGPYVAYGIPIGAKLLWGQGNFVPGPWHLGRWSRMCGII AVTWMTFAIVLFSFPADTDPDASTFNYACLVAGAVWIFATVYWFLPRIGGKTFFQGPQ TTEGGMSIEQDTLSPPEIEDPSSKKNGGAPEFHGGSHISPVPSNQL L199_006517 MSSLSIGNDKPLSTSAHPLDPLSADEIRTAVSAVRTFLGTHENV GKPLVKPLFNSISLREPPKYAVLRWSGLFDEQDLEAVGSSGTEPLKRQADVHLICPVS SQSFEGVVDLPSNLPGQKQTSAAVSVWTPLHELIQPSLQTEELIWAEEICQKDEKVRQ ACEAIGIKQSDIAVDGWCIGLDERFPGRRLQQCFIFARLRPNDNLYAHPCDFIPVIDS HTGEVLTIDYPHTNQKQGEAHPPSSAEAHAAKEPRERFAPPMSGQNYLPEQIALDDPT FKVRDTLKPLHVVQPEGVSYSLDGRVLSWQNWKVHIGFEFREGLVLSNITYDDGVKGT RPVFYRLSVAEMVVPYAKTIFPHHRKQAFDTDRVELRRSSRRFAYTRRTLGSYTNTPT SVITGLTLQETVNLSSPPSALSQTMSLDGSVELEVKATGIVNAYALAPGEARDPSHEV EVAPRIAAQHHQHLFSLRVDPMIDGLQNQVVQVDSVPDDEDVGSDSNFYGNGFKTVKT LFKSSKDSVSNADPAKARVWAIENPNKQHFSTGGNVSYKIVSKDMPPMLAKPGSIVWN RAPFARQNMFVTTYSDDEKFPSDVHVNQNPGGPDFGSQQWINRDDNIVNKDVVCWPCF GVTHISRPEDWPIMPVEILRVHLKPSGFFDRNPGLDVPSSADSKSRYANEAFTNGQLT NGNASCCGR L199_006518 MSRLQGFINGRIFTSVDEDDTLHDAMVIKGDTIEYVGSREGPDN ANLQACLRNAIDLQGKVVLPGIIDAHTHLTMMGASMLKLDMINLSAAQIQSRLQTALA RDPRATILLGKSFLFDALGRRPHRLILDEVVGEDLPVFIDSADLHSAWLSTGALKAIG VDDLTPDPPGGSFERDEKDRLTGLCLETAVTEFVWPYIASLAHLEDRIRYLRNAFDQY LAVGVTGVVDMAMSEADLAALEELHAREGRLPLRVSAHWLVNNIGTDESRAQSVRLAA HHRDRLRKLDPWLQVVGIKIISDGVVDSCTAYLTKSYANGSMAHPIWPMDALEPVVCL ADSLDLQIAVHAIGDAASSQALDAFETAIRVNGSRPARRHRLEHLEVVSPESISRLAR LGIVASLQPVHADPVYVPNWRLMLGEDERCDRAFPWTEYGDAQAHVAFGSDAPTAPHH CFPNLYTATTRQSGVNPKLPPSQNPRIEKLDRFCVSLETGIRYYTAGAAFSSRCEQGY GRLKRGMKADFCILSIDPFLEGVQTLREAQEGVKQTWIAGVKVFDRGSPK L199_006519 MTQNDNFDVPGTLRIFHDSGESAPNHGEITLIPTPSDDPQDPLN WSRGRKLIQIACLLWYTFASAFSVASLSAIYVPLTEATGLTLDQLNAGSGYSYFLIGL STLIFQPAALAYGKRPVYILSNVAACGLQVWTAYVSGNSQWIARCLLLGFMGGPSFSL VEVSIADISFLHERATPMGLYVCVLYLGALLGPMVCGYVYTGLGWKAIIWLTSALYGA NAIILLIFLEETNFKCAVPYLSSEATLNLPVKGGDDCLPLSEGGVKDDDLQDKVSPDT QAHAAIPVASPRPISSWPGPNLRQFGKLSPYSKGIMWRGLVQPLALTVSPIVFCCGII YGIYQVYFNMIAYLSSGVLSYPPYNFSADSVGLTYLSPAITTLPGAFFGGYVADWYTL RMARANNGICEPEHKLRLFAIPTILVPIGLLMMGLGPYYGAHWIVYVLGEGVLNAAGP LATTLILAYAFDCFHPIYPDDLTGVHASVQDAAPYLTATISIAMCLTFGFGYAITPWA FTWGLKNFAISAALSSLAFNCLVLLLYIFGKRLRRSGHHYYRKVINW L199_006520 MVLVGSKMFQCVSFLMASEIGGKSMRKKFMGYGTSVDVLSAFVF TFCLPYLLKKPGAGLGPKVGWIIAGDSLFAFIFAVFYVPEIAGRSLEEMDELFELRLS AWQFKNAQTTGVGHRIAQMEAGEVAGNKLEMDDLTVSV L199_006521 MSVKAGWKQTTPFLVFCVIAYAWGDLMFGIDTGSFGSLQVLPSW LKDFGHLDPATGKYSNPTSRTSIMNSVVFAGKLVGTMAFEPIAERMGYKKTMYISAII QFIGLIVELTAKNWQVFTVGRIIAYLSVGIVENCVPSYISEISPAGVRGFMSGTMTVL VTVGNLWGAGMSRIFATETRKIGWIIPVAIQFVPAAGILLLVPFTPESP L199_006522 MTKDHKNILFIVADDLGKYIGAYGCKSITTPNLDKFASEGVRFD LAFASTASCSGSRSTIYTGLHTHENGQYGLNQVATHFQTFEHVDTLPQLFNRADYLTG IVGKIHVGVKSTYPWTIFDDIETRDSAMFGDHCDAFFQKAIEADKPFNLCVGFHDPHR DQSRGGFANNHGPFDPRVKDIEVSPEDVQVPDWLTDVPELRQELVEYYRAIYRFDQGI GFILDNLAKRGLVESTLVIITSDNGPPFINSKTTLYDSGTCLPFMVRDPALVAKGVKG LVNPNMVSFLDILPTMLDYAGLPLNLKTKELSPDRLGRSILPILSRSDVVREGEWPHH VFCSHTYHERANYWPTRAIRTRKYKYHRNIAWRLDFPFATDLYSSLSFEGIRNLQTPV FLGSRSLRDYIFRPAEQLFDLENDPLEVNDLAKDPACAEILKDLQNRLETWQIKTEDL WFYKDGQSVKGLEVWLGTDEMMMPNRHDFDPDQPSLKAPGVKLMKVKGDPIGIRGATL YGGKGRQIK L199_006523 MGTGQDTAVIIPQDVDQQRPPAPVPETTENIESPIDKITHSIEH MQLGSTLPIALVNAPTTPPDAPMSSGGSGSSTEINDHLTPLPKPKTHQERPSFIRKSS LSPRMSALPNFSSPPLQSLSELLVSPPFPDDYDQGQSYFPPFSQHASSSSSSQLTTTL DFSLDSATSIPTPRTPSDHGLPVKAASDSGTFALARRSSTTRGPRIKNTGGILAPHGS FTSSRSRSSTISSNSPRSSITTVSAKTEPHSSSPHEMDPRESEKLFKAASKGDQLAMH RLGWRPAKLNHRHTLGSTEDIWGGYQPPSSTRRSSGSSQASLPPTTSTPESTSSPVIP PTTHSYTQSDLFSDAVNLTLRSNASTRNRNASATTTNSTPRKPSSKGTK L199_006524 MGLSISKLLNGLFGKKEMRILMVGLDAAGKTTILYKLKLGFNVE TVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRERITEAREELQRMLS EDELRDALLLVFANKQDLPNAMNAAEITDKLGLHSLRQRSWYIQAACATSGDGLYEGL EWLSTNLKRKT L199_006525 MSQVADFFYHPFSSAALKLLPKRGVGIRAKSKRADRIPDDPQRP LLTDYHSINDPTIRVRVPKKVSTPVKVEAKVWFANERTYISYLSMGLLLSTIASGLLF GARDSSARWFAFAYALISVGVLVYGWAIFQKRLTMISARDAGNFANFIFRFREARKEI GVNPLSFQNAWYEAGVKSSWL L199_006526 MIDNQSTEALARAASALVVMLTGHWRRSVEQELDTKRPMKVEIA IDPNQAQSLASRVAAAPSARGAANPRGRGRGRGGARPRNPRPAKKTAEELDAEMTAYK ETGTA L199_006527 MDIDKSLDEIIQAKPKLRRGGKRGGGAPTSARARYASAVPKAAA QAAAPAKPLTAEAIKIIISNLPQDVTEAAVRDLMQSTVGPVRTVQMSYNATGKSTGVA TVVFKNRGDANKAHAACE L199_006528 MVSTRPSPTPARGRIPYPTAATYTPGDSNTSPAYLGASEPIQKT TSRDSERERRVQGLKNWWKGFRESEQSGGPSNAPRRGVFGEPLAESIEYASVQVSTNG PDGSLVIPVVVAKCGLYLKENATGVEGTFRISGSAKRMRELQILFDTPPKEPIIPFDF YDDFRNVLSSHLSGTLSADDAIAQYKSLIQALPRIHLYLLLYVLDLLSVFARRADKNL MTAPSARVLDRTSRSLPLGNGIGELHVSQRSADKQKPKKKRKEKAPATVPQPPPLVKA DSDMMLPSESDDEAPAGGYYVIEGPGRATSPASPPASTLPNISAANLLPSPPPVKPNI APPDLMEMSESDEEAPPGGYEIRTGNPASARATLLAKAMARGEGATPIGAGVARRRTL PSRKPGEFVPRLKRTAKEAP L199_006529 MPAVTKKLANIFSSPSASPRLNPATPTTPVPPSTPEQSAATTQV TRGGMPSSCQLFDLFKLMAGGNSDLGLAGGEADSEEDEDSSSSDMSNTSTAAELPTEV TQDKDGLQKWIDETLNETERERTMSLSNLASMSLPKCHKHRPALPKVDDVEPSPELAE DFPSVDNLSIASESAAVGVSDISDEEKLLAIKEEFGDIAGLMEGGEAERMLADTKGSL FKGVMMIGNFHLTTHRLLFHAIIPPDSLDPTPTPSGPDVICAGPVTIHRPGLQQAKRV WMELTPEMVTTYPSANESDRVRPIRSVLSIQWRRSFDGALYRSAKARWRSANGQEEAS DEWSYMRCCIPLDRTTIKGISPYHSFATLVGLEANLEHTRQVDSCSLSGKVVQGDFTR EEEPAVQKVRTPPAEMLKRSFSSPRASEHRSSSPARKYLFAPTAQTMPEDSSLPPSYG HRLEFNIGVHNEQAWFTKALQTAVLAAAQRRYKSDVQLPPVIFQISGHDVVATDEDLE QTLDSSRTSSQSGESIHDEEESRGDALLQEARKAERASMAAKVFGLKEDEGVWIKRCY VATNFVPARGHIILNPHFICFWRRNTVGSDIKVTDIKGATPAPSLRVGFVGMALHIHG HRDLRFEFWNKDSRDEVITHLNALLVNGPRSTTGLINDQKPPAPQFDSMPIPRAESPL SLGAEDAHPADILAPSRETIYHPKALPDEAITYMPFLANKPVIGQIRLTPRTFVCLTI GSRGDVQPYIALGLRLLKDGHKVVIVTHRAQGELAVKQAIADGKMFSPGFFKESLGGF REWLDDLLIESWQACHDADVLIESPSTMAGIHIAEALKIPYFRAFTMPWTRTSAYPHA FMVPAFEMGPSFNYSTYVLFDNIMWKATAGQINRWRKKYLNLKSTDMAALSVTKVPFL YNFSSAVVPKPLDWHDDIIITGYWNLEDSDTDWSPSPELDAFVNKAKEDGKALVYISI IKAVEKADVRAIIAKGWSSRGGDPAKEGEDIAFPASCFGVDKIPHSWLFPKVQAALHH GGAGTVGASLRAGIPTLIKPWFGDQFFWSARVTKLGVGLKVASLRSDDIANALIKATT DVVMIEKAARIGEKIRSESGVDQALQAIHHNLIRAGMDRRNLKWSS L199_006530 MPGQINYTSGSLGRAKKVRIGKRRVKNLPKQLFGRHRYNPLILE EVERHSVTSSSSASLVFQEYEEDRRNEIQWDYSWAREIERERRVRRSGSAPEVHQRRP QAKDQVLSQQAKQSGDTVATLALSRQQTGDQALKNQPQSSFKCVRTAPHASKLKNISC DRLQSRTIHTFGHNQLNRQSQVIAQNKKRDRSGGLKGLH L199_006531 MPIATELTRILGIKYPIVQGGMQWVGTPPLAAAVASAGALGMLT ALTQPSPDALREAIRETRKRIGSGQGKFGVNITLLPSINPPDYTSAGYARAALEEGVD IFETAGNNPKPLIEFIKTYRPPGHSASDAVPKRFVIHKCVTVKHALSGQKMGVDVLSI DGFECAGHPGEDDIGGIVLLARAAKELSIPYIASGGFADGRGLSAALSLGAAGVNMGT RFMCTVESPIHQKIKEKIVESTEKDTIHIFRTLRNTARVYRNAVSTEVVRLEKRPGGA KFEDLRELVAGARGKKVYETGDHDAGIWSAGIAVGLIDDIPTCKDLVFKIDKDASDII KGMNRLIIDEEDYSTFRAKL L199_006532 MPMLTAMGSRLSHLLHHFIFSLPSPNNTTSLDFKSGRASGDDAR LEELFDEPGEGRALAGGIGPLSFVGSGYGVLLVLMAILLNRIHHIVRRPRPPPPPLPH PPRRGLHRLRQAISQTLTHPSTPMYIRLPGIFALTRAWIIFTVVLLQVANLWPQLNDS GSFGRPLNRIGNWVGNMEMEKVCWQVFISVCTGLACGGLANGLDRSRRRDVGASFNLF GYSFLLHLYSSPLTHHHPTGVSSRPRPDVHALFQLWLGLTELAWLQAAELSSSLRDNL LLPTGVCGTLGLMHFVYALLTAPLKFPSFTFLTHLMALLLSVVITFTVALKAITYLFT YGYIPSLVSLLPHEGVVPNQHDDFGVTLLKIGTACIEATQYSGLRNELVTVEEHRGPW IEMSATGSDVHKTFVTGVKGFDMEITNIEVSQLADPHAESMYWKEQKAFWRACAKSAK AFAWGMIMATPVGRKSIKLVKKAWLKRWWYGPRQWRVWRREAWREPPLAIARRRIARR VDEVQAMRRAEAYRTPSPEPSYAVSTAIDSQAEAVSYNRFLLGQVDLEDDDEDWEDDA SSTSSNQSADSEIEEQALYQDLVANQDKDGDDIQPVLLAHLTSRNATPLTRRRYAALL TNSPSTPQPNLGMQEIIQERRIFSAGQPVDEDDEERRRACVVCMTQMRDTILWPCRCL ALCNDCRESLASRLSAQDHMCPCCRRKVDGYSRIYVP L199_006533 MFDNHSDNDNLGGPSSSRRSRSPISDQEDGDFFGLDDLLPEPES PLPAPYSFSTYEIPDDVDLKLNDEENRGRQLVLRLVGSHPLWGHHLWNTARVFSTYLL RNPSLVKQRRILELGAGAALPSIACTLAGARQVVITDYPDNDLVENMRFNVDVNIPGD LRGNVDVVGHLWGHDVKHLMARTDNGGYDLLILSDLVFNHSQHDALIKTVNSTLSSSP DACVLVFFTSHRPHLVKEDNAFFPRLAASGDGWAYQKVVEEWAGAMFEDDPGDEKVRG TVHGWKAWRVKQGEQPGEIH L199_006534 MASAPVAPIRQPRGPSANSFGAPAPAQPQQPNNGPPAPDSESLL RRHNTVSTTRHQPSSSISTNPAASSAFHGSRMKSGVNRFRSGSLSSGTSEGGLMRKGS GREVRTEDVVPEGEAEEGSSGMETSNWGKGLSRQSSLPSRRAANPTLGRQNEVPPELP KPTMTSMAPPPRPPRRISVATQDPASPPTAQPPSSHAPSHSLSSLAMFNRVSLPPAQV EEERPSVGANVSRTQSLRAQAKHSENGGLGRSTSLKGVGEHHRPIIQALSPPSQTTTP RNPFTPPTPPPVSSTAALPPFQLPIPDSHNLQVDNNNHPGADLKRHQSLTQGYGSSNR VRERLERSPAVLTLEQKEEIKKRMALSKSSDNEHPPISPIVPSVWSPGIPTGQDDGWN RAASQQLQDAFDAMNLGRKMMGVEGSGGFQQPERKLTLETDVLRHPQPATAGASWRPS DEPSWVTSLVGADHTPLVAPRSAGPGTASWQEREHALRQQSYTPQPQQQFQRGGWPQD QNQFMQQANFGYLQQQHLLAMKGMQSFPQPPYLGAPFSPAYPSPPNTAMMQNQAMSNQ DRDVIELARQKGLNPATYNCRPAAARFFVIKSYTEDDVQKSLKHEIWSSTVLGNKRLD NAFRESHETGPIYLFFSVNGSRHFCGVAQMLTPVDETTNSTVWAQDKWKGIFKVKWIF VRDVPSQALRHIRLTNTPEKKPITNSRDTQELPYEAGCEVLQIFLDHQSKSKTSLLQD FAYYERLSANRSLQGQTPVQSPNGAGQPMPTPMMPPMPPMPPMPMQMPPHSAAPPVPP IPARFR L199_006535 MSGEIRRKLVIVGDGACGKTCLLIVFSKGMFPEVYVPTVFENYV ADVEVDGKKVELALWDTAGQEDYDRLRPLSYPDSHVILICFAIDSPDSLDNVQEKWIS EVLHFCQGLPIILVACKKDLRDDPKTVHDLARMNQKPVTRAEGLAVAQKIGAQGYVEC SAKLGEGVKEVFQTATRHALMSKKSGRSKKGKGCVVL L199_006536 MSHPQEATEDDLAPTETQGYKVGQSKTVAELAALDQEDESLQRW KASLGLAASAGAGGNKKVVLKTLFLMTIKEGVEYSVGITFTVENEIVSGLRFLQVVKR SGVKVDKTEAMLGSYGPQPAPYTKVFASEESPSGMLARSGTYFVRSRVTDDDNNIWLD FEWSFKLAKEW L199_006537 MASLVAILDVKGKSLIQRSYRDDVPPSYIERFLPLVLEMEEENV QVTPCFSDEGINYMHIRHNNLYLLALSKRNSNAAEIIFFLHRLCSVLTEYFKELEEES IRDNFVIIYELLDEMMDFGFPQTTESKILQEYITQESHKLEVQARPPMAVTNAVSWRS EGIRYRKNEVFLDVVESINLLVNASGNVIRSEILGAVKMKCYLTGMPELRLGLNDKVM FEATGRGARGKSFEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLSTPVKPL VYVEASVENHRGSRVEYMVKVRGQFKRKSTANNVEIFVPVPDDADSPKFRTSVGSVVY APEKSAFVWKIKQLGGGRDYMMRAHFGLPSVRNDEIDKRAPISVNFEIPYFTVSGIQV RYLKIVEKSGYQAHPWVRYIAKNGDDYVLRTITDAKSSSIIAPL L199_006538 MSGEQEQKITEVGANRFGFDSRVQVDTLRSLYLTPAFLKEGNKE TDLAGQGHKDYGSESTYFKETDSIQYTTVAKFPPVKNLPNTERKRILVTGGAGFVGSH LVDRLMLLGHEVTVLDNFFTGSRTTVSHWVGHPNFEMVRHDVVNPFLIEVDQIYHLAC PASPPHYQYNAVKTIKTSFMGTLNMLGLAKRTKARFLITSTSEVYGDPEEHPQREEYW GHVNCIGPRACYDEGKRVAETLTYGYQRQDGVDVRVARIFNTFGPRMNPYDGRVVSNF IIQALKGEDMTVYGDGQQTRSFQYVHDLIDGLILLMNGDETRPTNIGSSHEFTIMEFA EAVRDIVEQIQKEEGVANPRRVNIIHKEMPIDDPQRRRADTARAKESLEWQPKWSVKQ GVEEMARYYLRMIREGKL L199_006539 MSAEETNQLESSNNAGPSTPAPPTVIVDEAADTSLLDLPISDQA QAGYHAPPAQPSEPITTPAPPRTEPIVPDAPSHQPPAKGLPLSTPSSSLTPTAPRPAQ ADLAEFDPYATPAPTFSSSASKDPVTPARNNARLDPSSSIPQETPRQVGLTEAESSGS INSNTEPTFNFSGFLKDLRTKSAEPIARYLKSFLSNFAKKPFTVNEQIKLIHDFLAFI SEKMAQVEPWKSQTPAEFDNALEAMEKLVMNRLYNYTFTPQLVPSQPITTDDLERDAV FAQRVRLFGWVREKHLDVPEGEATQGFLGFAEQELLKINHYKAPRDKMICILNCCKVI FGLIRNVYGAEATGADAFVPILIFVVLQANPDNMLSNIEYISRFRSASKLQGEAGYYL SSLSGAIAFIETMDASSLSNITQAEFEKNVEDAIQELPPSPSASTARALPPADMSPFS AVTSGEEAARPLSLTTTVQALDGTKRFFQRTGNLAQEAVSKPLSAIGKILETMQSPTD DRSEDGSTSGEERSPERRPRQEQQTPASRDVFRTRRSQFNRAATPESPSRTLQGFGLG IPGDGSAPASRAGTPSPGDNPIPDFSSLQLQSTIDMSQEAYAQTRRANVQTLHQMFPA LDEDVVEAVLEGSGDDLGLAIDRLLEM L199_006540 MSVSKGVLLIGGPSKGTRMRPLTLDCPKPLLPIAGKPMIWHPLS AFAKVPGLTEVIMIGFYEDSVMSGFIKEAKREFPNIAISYLREYKALGTAGGLYHFRD SILRPPVPQNIFICNIDICSAFPFTEILELHSKHRGVGTIMGVNVRKESAQKYGCIVT EPESQLMVHYVEKPDSWISNTVNGGVYLFDKSLFDEIKIAMDEKTARAAEDPLVKPDE ILRLEQDVIVPLAAAKKMYVYQCKDFWRQIKTAASAVTANSLYLARIQKTYPDFLAKS SPNVISPVYIDSTATIDPTAKIGPNVAIGPGVTVGAGVRVKDAIVLEGTNLEQHSCVL NSIVGANCNVGAWARVDGKPEPEQDVKGQISVTILATEVSLAPETLVRSCIVLPNKSL NRNSANEVLL L199_006541 MVFTVRAVHTFMAEHGDELEFQAGEEIEVLEKDEAFGDGWWRGR NTKGEEGLFPATYISENPTTPQDQPLGLPETTAAPQTSTVNGSAPTNDSYLSAPTIPI PDESPRGTHDSSGGLLDNAVAAVESTTAAVGNVMGRTIGDIQDAIESITTAKPESDDE EELGIGQNARAKLAEQARLANEQREKNQHSSNGGVAGLVYSDESEDEEEEMRKSPRGA AGSRFDSPLANGFHSSPVTMEQASFPKATTPPVALPLSAQPSTHSSTRQEASTGSLEP AAHIPSTPPFEKPAFTARSSSSAIPSKPAHTWTVDDVVAWAQAKGFDEGIPEKFREHE ITGDLLLELDANLLKELDIPQFGKRMRIAAAISELRRPSSMVSSNSQQLSPSGLPLNV PGSASLRGMSAPPSSLGQPFPSTTPPLTTPPTSASTTDDIPHGAWSHGRKTSNVGLGM PAPMEAINENLAQTPSTNQASSTAASLPASPVTPSSVVTKRESTGSMGHKRGKPSTDN KERLSFFGRSRKPAPPSSVSPSSEQHRTSSRLGFSGSNRVHQMQPATPETNRRTSGTG GGNAAALKQIGTPDYSGYLKKKGDRYGSWKQRFFVLKGSHLYYLKSETEDRVKGHIEL QGHRVIVDENTNPGSYGFRLTGGPNDKVHYFSSTEQISIREWMKALMKATIARDYSVP VTSSCNIPTIPLAEAQAMAPRPPSPATREATQKATRRENPNQLTAHDASMSLDTSSGE RRRASQQLGAPSPGRPSRDTRRPSSNYNGAARPAVNSVYQSDEGKNNPELVRWVNSSL PQAYPRASAIPKSFVSGEVIFLLVKHLSHIEPSPPVPPQAFAPDASGQPGLEGLFSMM DILIDAGIDTAGVSINDVRNGDSGQIVKLLSSIRGWHEERAGVAQ L199_006542 MPNSIARLKAIPDEAGPSASRGTREIRRAYLSPDVLRTYKLVAG DWVLLKSEKGICVVQIWPRVGLDDDAIVLSSTHLSNLSSSPIDLYRFTPELHSHGKAS RIRVKEVLETTTKAKSKATVAEETPREKEWLRASIKEVLSSTNYISNGFKMTIGDSDK SARVFEITSIDLSTKESAKKSGSPLEDGLERLKISGDESAKVMYAVSWKTEVILGGEE QKVQSGDSTEKDGKISTSGTAKSHSNTTDGPIPSYINLFTPSQPPTAAYGLLGGLQPQ IAQIKSLLDLPMLHPSLFSMFGLTPPRGILLHGPPGTGKTALARAVASSAQCSCIVVN GPELSSAYHGETEERLRGVFNEAKKRSPCIIVLDEVDALCPRRDGGEGGEVERRVVAT LLTLMDGMSQEDVGEERIFVVAATNRPNSIDPALRRPGRFDREIEIGIPDVNGRRQII DIMLSRMPHSLSEQEVSAIASRTHGYVGADLSSLIRESASAAIQRWHSSNPSEGSKPV LTNADVLTILPTIRPSAMREVFLETPAVRWSDIGGQDDVKQKLRECVEWPLTHRDTFT RLGVEAPRGVLLYGPPGCSKTMTAKALATESGINFIAVKGPELLNKYVGESERAVREI FRKARAASPSIVFFDEIDALGSVRSDDNTHSGVLTSLLNEMDGIEELSGVTVVAATNR PDVLDSALMRPGRLDRILYVGAPDLDTRKDIFRIRLATMAVEPGVDVEELARIADGCS GAEVASICQDAALAAMNEDLDAPYVKKSHLLHSARTVRRRITPDMISFFEEWRDQSGV RSA L199_006543 MSTLLAGYESSDDERDGITAGPSSLPVAGGNLAQPDVEDDEDEE KLEEEARKDAFGLSTSNEQQKGSSSTNKATKAAVESAPDVLKEDPNGASLAIITRPTD KVVNVNLSYEDMMRPVAGPVDPFNQRKNKGMNTLSGHVEEQSMDNYSFAMAQRTFDVH GYALNPSTQSGAAQPIVGSLNNAHQNGYQSIETIRPSRSERKETKRKRGGKGDLGVVD GEGAYMGPWAEWQGDKDVDPLVEEEAEEWREEKRRREEAQQVAKEKMKVARDEKSIFH GKELHDYAGRTYMHIPTDTDVKLNPSEGSAPPNAYLPERCIHTWTGHNKGVSAIRLFP KSGHLLLSASMDTKVKLWDVYHEGNCLRTFLGHSQAVKDIAFNNSGSQFLSASYDRHI KLWDTETGKCIQAFTNGKVPNVVKFNPDYDKQNVFLAGMQDKKIIQYDLRQREIVQTY DQHLGPVNTITFVDENRRFVTTSDDKTIRGWDYDIPVVIKYIAEPYMHSMPAVTLHPS NKYFACQSMDNQILVYSADGSFRQNKKKRFAGHTVAGYACAIGFSPDGKYISSGTGNG DVVFWDWKNGKIQKRLKAHKEVVIDHCWLPNEHSKLVTASWDGLIKLWT L199_006544 MPDPNSAMGLIQGYPTYTCPTVPIIQQQPESIIPTIEVQAPPTT IIKERYLPPPPPVYRHSSPRVQTTVSTPSTIMKKVTNSAPIINPPPMRHIHIKRTKKL KFPQSNIVNVTPSPQPALKPAMKTITTINRTTRRTTTKGNGRH L199_006545 MRESNYTFPPQNRAVTNITHLIYDRRALDTNSPLALLNSLTSLT YLTSTSPRIREILTVDGGLERLLDILRESCLPKDVSTHQDLWGLNGPSTARIITADRA NSLRHSLAFQCVVNIGVRGSEDIRTRVVQSGALDLVAQILESWLKDHGISIFSGHLGS QAAVDAVAAGEPVPGTENLKKREREKLEKAEKSERPDRNERSHRHHSRSQAPSESQPA TITAAHIASQAAAAFGFNLNIAAWADQPRDETPQESVQGDTDVDMADAEGGETDDASV DAEEGSIDMDEREEMNAPPSTTPRASTTLLPMTIPPRPPMPRDALSQTSSADASLSGE ETSVIPRNTSESNIAAAAQGLRPPALNLSSRVPQLAQEPVSTQSSPMGTPTRHAHESV EDSRRSGRRGTIIARPVGLAPRNDRERERRRDLTAGSGTSDGGEDIDLPTATIQAGIA AVNAQAMENNGTIETDEPAVPPAVEIVETNNRQELDEPDPEAMAAEQARLDMEAGAPP GQPGAAQTPRVTPGEAPTPGQAPGEAPPANTPDQAAIIIANSAPRGFHDLGSYVGISS LLNPDGNRYSDDSILLALQLLAYLSKYPHVRTTFHHPRRPMHPTFDLGLDTIKNPLPE RPAYSETPDIFSLVERFTFRPSPSDPLFFRVPQEIQYWAGVIMRNACRKDEARGGIRQ CANMSCGRWEKFPREFAKCRRCRKAKYCSKECQSRAWQEGHRFWCSSRTDQEPGSAAN EGANGFPTNRGVAANETGHEEEDEYHIGAQMGLSPEVVTRAIAAARAAGILRDQGGLN RGANEAPRRGAASDATDPRQMGELSVPRLPPQPEGPPPPPHAVTQAHVNLIEGRAAAQ LMEESVLQHPRQNMRGLQMPAGAGTAPRTGNPWRGLQNVGTLLGLRNDHNAQQNPEHQ QRQQQPSATATMRMPWADEDAGGQFRDTQRAGRGSDGMTGLGFNMSDQSRRQ L199_006546 MLARKHLIRTARLSTRSPLTPHPILTRPFVRTITPILVRSYAQG PPRPPPGGPPGGGGGGGGFGGMRFPGGGMMGGPSQPEKGETLKQFSVDLTQLARDGKL DPTIGRDEEIRRTIQILSRRTKSNPVLLGLPGVGKTAILEGLATRIVNKEVPESLHGK RLLSLDLSMLLAGTGVRGEFESRFKALLKDIVEEEGNVICFIDELHTLLNLGKAEGSM DAGNMIKPALARGLQLVGATTLDEYKKTIEKDAALQRRFQPIMVNEPSVESTISILRG LKTRFETHFGVSIADSALVTAAVYSDRYIPDRYLPDKAIDLVDEASSALKLAQESRPT ALETLDREIVTLEIERESLKNEEDPFSVSRREKVESQLEEKKKEQKHLADLWAQERER VAEIKSIKEQIEQANIDLENAQRNGEFEKASKLRFSTIPQLQRRLPKAQAELDSENSQ EPNMSVKDRVTSEDIAVVVAKSTGIPVNNLLKGERERLVHMEDSLKTRVVGQDQVVHA VSDAIRLSRAGLQPPSRPLASFLFLGPTGVGKSELTKALAEFLFADEKRGLIQLNMSE FHDKHTVSRLIGATAGFVGYEEGGQLTEAVRRRPYAVVVFDEIEKAHPDVANILLQIL DEGCLTDGQGRQVNFKNTIICLTSNLGSEALYEPNACHPDGSITDATRSEVLKSVGTF FRPELINRLDELLVFNKLPPSIILDIIQLRLRELQSRLDPRRITLNVTEDAKVWLANK GYSEQFGARAVQRIIRDKVVTKVAGKLLDGTIKDGEIVTIDLKEDDVHITSKPDPNQP AASQQSTENLGTPNGDASRPEPRLLEVLEDGVEEVDEGDENKPRRVVYG L199_006547 MSGEQPPPAAFRLLTSLPPLPAPTVTYDDLIQGEFKFNVNSRDE QDEYDGADEDRDRSWGPGGSGKKRKVPNMGMKRPGTPEDLWNNQSQSQTTDGGVDDND DVHHKYIDSTNQPSSSTNTPPLPFIRKKLRLSPARRLIEWKKQLFIKRKSNFISLYID AQNALAETGQSKNNDNSNKNGNIANTQGPSKSRKTDTKSTTVTGRKSNLPDVSEFEKL LPALEDVNLGSWTPDQHGWKNNQPLQPVKFRTSIKFRKKEWLRSKMKDVKRKGWFPEG SFEFELESKASSTLRAKAREQSALLKLANELRSLIITSNKISSVVTTTTTSTDHSNQD DDKSPLKTRRKIAGNKDINASAVDPGNTQSDSKEESQPMSQSQSKDSNETKTTATGGA KKKPKKKKRSVLANQSNPHHVDNYRPSRTVSPYGDPYEPYSSHLSLFNPPPMVFLATR TRHKPKAQQASNELTVNNDINVDYNPDIRPNEDDFICCFCEYDLYYSTESMRKKAIRR RKKEIKRKEMIKNKAKNVAEGKKGSLRNESDYDSQEEDEEDEEEDEDGFAEDSDEDNC HDDGHGRCTCGRRVKKPKPDRDKEDG L199_006548 MSEDNRKRSGSVAGSIPSMETSGDSLKDETVVVVLGASGDLAKK KTFPALFALFAQGLLPKDVHIVGYARTKMDEQEFYKRETQYIKGDESKIEEFKKISSY ISGQYDGDEGFQELLKHLEKLEGDRKSKNRVFYMALPPSVFTTVAKGLKKNVYSESGI NRIIVEKPFGKDLESCREMMSELKAQWAENETYRIDHYLGKEMVKNLLILRFGNVFLD AAFNKNFVSNVQITFKEPFGTEGRGGYFDEFGIIRDVCQNHLLQTLSILAMERPISFS AEDIRDEKVKVLRSIPPIVQKDVLLGQYVAEGDKPGYLDDDTVPKGSVCPTFAAMTLW VNNPRWEGVPFIMKAGKALNESKVEIRVQFKDALQGIFTDIPRNELVMRIQPSEAVYL KMNAKLPGFATRAVPTELDLTYKKRFVDTNIPQAYEALILDAFKGDHSNFVRDDELDV AWKIFTPILHWIDGKDAPKPEPYPYGSRGPKQIDEFTSKYGYKRSPQEYSWPQTSASL L199_006549 MPSVFQAEINYCLTTYNAPPPPQSDLEPTSKKKRSSGLQLLRPK SSYGGGRNTVLSPEPSVKPLPSYPIPPPPPPPADLDDEDISWKKDRYDTQRATLRHTR SIPQLPPLTPPRDPTQKRITSASLTNRWRKGVIVIHVHGEGGGQGGGLTIYDNDEIIF RQIIRPLPELNWANDDVQKIHPSVYDRPYVLSLHLPSGDTYKNDLRKSSSKARPLKPQ MSNLSKIGRRARGYTITKVDTTTTSTSSSSGVTTEHSIGFEEEGEGEVGRFTPDTTFS SYNHDSSSDQILLMDFNNGKEQNEWFTLLRSFGGSYLPRIKRSLQIRVLDLQESMPLS NLTLNTRSTKEEGLSHTTPSFDQISARSSEPPNPSSSKLSNMSGKHEWKSGWAGKDKL KVAIYTDKHLIGQTTWVQAEDRCEIPFWAELFTFENIKEFSTCTLKISRMRSDKNAQP FATVNLPLVPSFMKSKDERFPIISSSGHVVGELRLIVNFTIVNVVGIQVYPLPEVFHG MGGTRTIYYMMSKGLLDQCVDLFTRFNWALGTTFNRLVEMSEVEAKASGDTLFRGNSP MTRLLEATMRLVCFDFLRLSMGPTVHVILENEIEATHENTRSLLKLLDDCWEDMYTQR GTFPNILRQVFAILFKNVKENHEERKLRYKAVSSFLFLRLIGPALMRPHLFGLARGLP KVPVQKTLTLIAKIFHTMAFFTWSDPARDPELAKYSFFMKKNHETMIDYLSSFATPLD DFQCRPDPPSSIAIFLTKRLPLLPPDISQGVPMLTVAGPVEVDADAAVFYELLYQRRK ARVGGAEMTREDGVVPGEEEEMHDLLRTMDQFISSVHRASYEHVTGDNGSSIHSDPRD LPNTSTKEILRPITPSSRPSLQIDINSAQRDRKLDLTRTRSNLVVNERNDQASKRVVI SPNLNPTSINKSSSSNSSSGGPAGMMKWLNFGWMSPTFRPPGMGYETDSAHHHRYIHG DYAEGGSGLGHSNPWNGRLGQKKDNEVG L199_006550 MTTQAGPSRDILRFTTHRHLRQRLLLSILSGKSIRVDGIRSDDV HVGLRDYEINLLRLAEKVTNGSTIEISVTGTSFLFHPGLLPGGNYTHTCHIGRSIGYY LELLIPLAPFCKKPFEINLYGVTGEEGRDMSVDMIRTVTLPHLHLFGVTDGLELQIKK RGSAPLGGGQAIFKCPVVRTLKTVQFLEKGKIRKIRGVAYSTRVSPQFANRMVESARS ILNRYIPDIYLITDVYKGDDSGKSPGYGLTLLSQSTTSSLHSSETLSVPNQTQTPEDI ALKAARLLLEEISTGGCVDSKHQWLIALLMALGKEDVSKVRMGKLTANSVQFFRDMML FFGTKYKLVENSQTGEVDVSCIGIGYSNVNKSMA L199_006551 MSEQEAKALATAPGAEDAKGVETTKENPTEAAKEAVAEVGEKRK AEDVPEGEEADKKAKTEETPVDKGKGKSTEEPAEAEAEEEEEDEDEDDTPIVTGKRNR TKVNYADPKAWENADLDPTAADEDDDDAEVDAPESPDDDEDGGDYDEEAAEEEDDEDD DDEEK L199_006552 MSSDIPNGHTEDQPLEVETQTQTPGAGPSRLPEAVVAIYSVVDE EMDEEERDRISFLRKQAEYLELEQSVNSSTQLLSSLASYLSTFQNDLSAVSGQISDLQ GRSSEIDSQLKGRKTILPPLNALLSDITLPPSLVLTLRDTLPAQNPDLWLSAIVQLDS KIQLISSRSSKVKAVQELSPIIEGLKLKALNVLPPFLLGLIKPLKSASKGLSTNLAVL QTSLLLKYQPFYQFLLKNSPKIAKQVERGYVTSARSYYETGFRRYARSLGMIKSRINE RQELIGSLNNSDITAQNILMNGQQKGNSSSNMEKPEDRLRFKDLDVEGEDAAVILGYM ADEKDFKAPIEALFRSLALVLLDNASSEFTFIVRFFAKSLSPSSSSPSNAGAGVRSPI ETPLESSPNPSFVDLMSDTGRSTSTRQRKGVNEINDNLKDAERIWHEVFDSSLEYTTV FFNSIISPSTSSSSIPSVISLLTIIRLNDNLIQCSDSRGCLPLITYLNSWKLNLWPVF RKEMDHHINSLKSLADDLESKNLISSFGLGLKTLKDNHVRGIAKKYGEMFSRTVCLST QAEEVMIFSSMTRLRNELIRILTSQSNKIKSVPERHSFLSSVYEIIMHELVSGPGQTT HPKLQSELSYFRTREEEARRRISA L199_006553 MSELFKDIPEFVETNIGESVTARTETLATFRELGPPDLCQVIKS SGSKTAQKDLGSYHYCSGVEASSSASLAAYLNSLQFSVEEDTAWFGKGHGWKVRSGTY CCFNAFSRVDMRVDVKIPGGVDAYVVDLRGDKHAATPELWQETYLSALLRAIRYADDA SYRLAGYRKLDPITTPEAEARFLKAAEALFFKGWQLGSDPEIQVATVVTNHLTSAVLK YFQDSFRLDRAANLFERMMVNEPEVAALVARSYIGMNEEIKAVKTMHSALSSNPQSYP ILHAQCDFLLSKGKPEWAQQIAQQAVNSAPSEFVTWAKLTETYIELGQFDQALLTLNS CPMFTFNERDLHRMPTPLKTHLPVKKFIAESGILDEESARDNEADVALIRLPAPGLRG TFAKAYSLLTLLVSKIGWDELLKTRSQVFVMEEEYRLHKTSASVEMNGFAADEDASTT GVRGGSSAGGGEPNTPSDIPTIRISTESTRTPNGTTFQHQKKESQSQPMDTVLEKPEM AQVNDEPNSPIGMKADDVSEEGEEEEDGEEGNGTNQPPSAFANKRLCERWLDNLFLVL YEDLRVYTIWRAEISHFKTQHMSYRKTGTEWEILGELASRLHHKEEAKDAFQRCLDSK FSAKALIKLLEMYATEGDLQRTLNAAIRLTTYHHRWYMDAAYPSMIAHYLFKLGLIHG HAKIQYTLLSMNLPVGIFEIMQGYMKYGATFNVEGSEF L199_006554 MPPSPTKQATVEDATSASPTPTPPPASELPESSSTQVDKVEDQP IDSLGDDEEEEEEWDPSSERLPGQSSSTSKEKGKAVEDENDKEKEGKEKEQGQEQPWQ AVWAAEQNAWYFWNKDTGEVTWTNPLEPSSSSTQPPLPAEQPPTASSSTNPGPNDNDN DIGYGFGFGGDPSQPEIDPGLAHLFGGDSSGPGGLGGDPTMQKAMFNSRTGRFTASNY EYTVGHLDEYNRAKRMNSHYFDVDQWEREKQQENEKKRKALEDGKGGEKKITKKDMER FRKKNAEKKARSQAWLRE L199_006555 MMDIQPIVAFLRRTYPCPEVDPAWVRDCVQALTDAGRQVSIDEV HTQFLYSDLSQSTLLSRSFPSAQTELHEIVLFPRPTILQIHLVSEIGHSAFQIQHTME QRSEVLSGQTLIRRMDDEEDNENEVDSGKVPPYPRSMLKLELSDGRRTVKAMEYRRIN GLVLGQTSLGCKLVCQNVKCLRDTLLLTPENTQVIESSVEHLEAIQKEQFLNDLQRRM GKMDNDQDGSIPKGKVKPPPAVRPKPSASALASSSRPAKLNPPKISQPKSRIPSPDII PTAEPSRSRYVPSPPAAQSNDIPLIDPPSSPQLVKPIPIRAKGIKRRQSIEEVETSTS EPTPRARRSRLAAKAATSKVQQLYHDVPTDELTALDYQDDDDEFDYDVDVDESFIRQI DEVTAKASGSGSGSGSGSGFRNSKNNDVYDFDEEDEDESDFMILDDSMIRQIDNVTNS HQNAVKGKGKASPVGRGKQMNRNRKYHDPDEDNDEITIDDDDSFHVDESFLEHLDKME YDRQRKLSSQNTNPNKSSQSNTSKRSRPDGGSGSKRRRSTSPLEDSLKENVQPEIIEI SD L199_006556 MIIRHLTRALTRATSLRSSRLQPFVFPRSIASPLLSSPTPIRFN SNTPRQPYNPPEIKPKPTQEKTTAGSAESSQGKPLKEKVAQAWSTPTGWYPIPLALGA LVLLVVQYRKSTRGDIEVEYQNEEGAVIRKNGKRVDGPWQVRVLGALPLRSLSQLWGY LNGLVLPVWFRPFGFKLYATIFGCNLDEVPKDLKEYESLGDFFYREMKEGQRPVADAP MVSPADGRVLHFGEIVGSRVEQVKGITYSLEALLGSESSLHGEAKSIPRKDKEGGEVV DDENFANINDIPYSLASLLGKGSGSENVEDKSFDDSTLPKTNEKEVDASHPPQGHELG HDASVAARLGTSALANQTNSKGELPRLSNEKNKLYFMVVYLAPGDYHRFHSPTTWVVE RRRHFTGDLFSVSPYIANRMQDLFVLNERVALLGRWKYGFFSMVPVGATNVGSIKINF DETLRTNTRKITHPPHTYAEAVYSSASILKGQPLLAGEEMGGFKLGSTIVMVFEAPRN WKFNVEAGQKVKMGQELGVFEEEKQ L199_006557 MASSSSTTAKGKETVSATAKHTDAEGYEMPWVEKYRPVLLDDIV GNTETVERLKVIAEDGNVPHIIISGMPGIGKTTSIHCLAHALLGDAYKEGVLELNASD ERGIDVVRNKIKSFAQRKVTLPPGRHKIIILDEADSMTAGAQQALRRTMEIYSNTTRF ALACNMSNKIIEPIQSRCAILRYSKLRDAEVLKRLKEICQMEDVKYNDQGLAALIFTA EGDMRQAINNLQSTYSGFGFVSQDNVFKICDQPHPIVIRQMIKDCQNGLVDDALARIN DLWDQGYSAVDIVVSVFRVTKTMDELQEYMKLEFIREIGWTHMRILEGVGTLVQLGAM VARLCKLSLPPQALKI L199_006558 MVTSILPKKRNRTLPVTTFLISTVLLSIVLPSLANASATPLVGS HALLGRHEGHDDDDVMDMDMDMGIMHGDDGEGSVDEEEEHTHAVVTSTSDIADSSPSS SSAVTSTATDTPSHSHSDHPPSPHDHGSHTAPKEKLDDESVHRWHHFPPTYLDADFRL DNDTAIFGEEFDETWDPQEVSSHKGLMAIHALLYYGAYFGLLPISLALRAADHPSHYF ANAIFLVVAVLGWLAGKAYKAGHENRYEGAVHELLSNILLLVSVGLTFIDSLEIIKRC MKFYKQHDRSWSAFVHEVLSSHSKIEESWPANRYEMVGLVGDEHDEDQEVNQVVFAVG DDDEDDEIHHHRVHHEPDEIENLPTRTLGNSGKPRRPSLLIRQWTPPSRNSTGSEGTL HDTPGASSSNNSFITQKSKVLNTAGAYDAHAEDHHEHEHGDEHDEEHHVQPIWSREKS TGVKRAAEIVLTWVRRSQIIFAYVVFLTEFVEYTGMCRAGLINSCAAHYIKGSIFFWY GVLTFARYLGAYADLGWAWNKRPGGVGVSAEMIECAVIFTYGITNTWMERFGSNPDDP YTVKQVQHISIAVMFWFAGLSGILLESRWVRKVMGSFISGGRRDVHEPPTYAFSYNPL PALVIGVTGLAMAAHHQEYVFQVSIHSLWGTLLAGGSLFRFLTYFFLFLRPPVESTLP SRPPTEVLTSFGYAAGGIVFMLSNEEIAWAAMRAGWDDMMAFLNFTIALTCLVFCWSV VVMALKGWAGLRMTRKRNNIAIA L199_006559 MASAAYSTIKLFAGSSHPELAHLIAKRLRLPLARANITQPPSGE TRVTITESVRDYDVYILNTGAGEVNTHLMELCIMIHACKIASAKRITAIIPHFMYARQ DKKDKSRAPITAKLVANMLREAGCDHVITMDLHASQIQGFFDVPVDNSTPNPL L199_006560 MVLVGSVTGKIAVLVDDMADTCGTLGLAARNLIEAGATKVYAFA THGILSGPAIKVINESGMEKLVITNTIPQSDNCEKTNKIDVIDVSHVLAETIRRSHFG ESVSYLFHEVPYTNGIA L199_006561 MPVEPKPSTTDKSCSSTATAAGSGSGGGSNGTGTSGKKRSLAPK RGAIACVRCKERKAKCVPAPPGTSPVPTCTNCLTAKKECVYLERTPNEIFLLEYVSQL EARCAALEVELRKSNPTSLFASDHIQMPAEARSAAVNKLNQVYPPTTSTSTSAPAPAP APAAGPSTAPIPINSNIQQPEVKVEEGPSSVRSNGSGSGGAGPELTVDGEEDEEDLAL GIGMLSLGGGEEPVYVGPSSGINWARVCATALRRPREATQPRSHLSRFSYLAPPELAS VQSALEYTLHHPTPPLPPPALASHYLDLVYQHIQARYGCFDWIVVKNWHENREAICQG RPLWGVGTGNDERRNLASFFLWLCYGYGARLSEDEKLEGAVSHEVYYNAAVACLSTLT SHHSLATVQALILLIIYGLRHPTAEVSVWQVGGLAMRTAVELGMHRRMRSRSEREKDP IRYEMRKRVFWAVYTLDRMMSAQLGRPAGIQDRDIDVELPLNVDVDFSDARALYLMQN RQIELMAGRRPGDEYNNGYGPVSSMTSAIHNQRLNQLRQMITDAIYRLDKPLRPRDPD AKTGEPERQSYDEVDELLRRLDQWRACTPQPKEGIPMLPQETWELMYHDCVQLLLRPI VASCKAAGRYVNLCLDSAAALCETQYNYLQPPVVQSKLSTWRFYRLFLAGLTLLHIIT TFHPYLSENDRQKADSAIRKCESALGVFGSKFKAASRHEGLFRELVSAWENGITNPSS SIASSQIMKNNHNGSPLIPGPGPGLAGIGIEGTAEEMIARLFDLGPSPPTLNNLNDHM NTPGTTYLPNHNHPQSIGSLSTPGLTDSLNAPTTNSTSSIPNYNHNQFGYPPPNHTLL PASYTSPNPSNPTHINGGLSLNLDNIHGGGHVSQVVGQFGQNQTMSGLQSTDDWASML GEMGGGAKDDFFSLMSSFGLEPSTQTPLVTNETSDPIFDFINSPPTSTANQQQQQQQQ AQQQQQQHTMFPGSGNIGNTWDTSQLGVGVDVRRDSNLGMSDWAGWFEGLHSTHQGGQ GQGGSGSWGGA L199_006562 MASTWDIISSVLFLGIFLGVVFLAIKFSHLLNTSTTSAQSSLQS QGITYQQGKMSIKTDRAAPTRDEYILNTQAAFERGGKMMKAHKDAFSFKKGGGGGGSG DDHASASGVDSNDRKGFRRTKKLA L199_006563 MPLRKSLSGSTSTPARKPRPSGSSSTLKRSRSKREIQHEESSPD PLAPSSPIAPTHVPVENHIQQEEDKNDKKGNGEVTAEESAEAELEAWQDFAADHYEMV EQLPLELHRNFRLLRELDDGCTAQIERLHQLTRHYITERLELERQFEHNKNGSVDCFE NEKGQEEEEEEEEEEVETIEIRNDEDPTIVDSENKEEDVEMKDALAEIAPGEHEKEAE QEKLEDKDLIDGKQITEGIPLPDGQGGLLIPKNEDANMEGREQQTVPQRLQFPHAELT GVSSQPAGPTIKRSDDEQSPDETTSTDKKRRRPDGPYAHLSEIARLSREVVRTAEEKV AVAVGAYNAIDRHIRALDSALTAQEASILLGLRTSTLPSNNVDGALNLPGDTNANANA LPDTKVEDEEGMVLGLGGGSGARQNKRKKKKGKKGTVTVEEPAVVLGEGIGQEQFNIP ADPNEPRYCYCNQVSYGQMIGCENEECPLEWFHLTCLGLESPPQGKWWCDICRPKVGL GKGGAGKVGNKNITGTGAGNATGGGGSRKRK L199_006564 MKISTTLPIILLPFALAHAHGISPAHNHPGRRSNPLRNVNSRSA QLYGRQHSAGLLGGLVDDDSTSSTSSHASSTSARSTISPLTTSASTSVSTDKNADEDT TIDVTSKVTTSVTGLTTASPTGSSLISSTISGPISASSSIISSANLTSAINSTSTETS TATAASSTSAESSSASVQYTTDAAGQTQLVTVILTAAAATAAESTSSATSSAKSKSDG DSIPTAAIIGISVGVGVVVIALIAFAVWRMKRRNGDEDEAIRWPELNRHGDSDAHHAL PARQTGQHGFETNPLSRSLSNSSSIFAPSSTAQLNTGGAGGVGPQPMALNGSSFGASS SLEDDYINEKQSVHSHGGEHRSHDDHDNYTSLPPPVQPHLYGGHGQMEDEDPYGGMTM TNDQHVTLPNAHGGGHDGYQGQGQGRPGFRLD L199_006565 MDFYAPPPPPQPRFNSFNGLNRSFNQLYTSSPFHRRDPRPGKTA NASKATYDIAKYQFWPEAIAMGTLTGRTFTQILGAGCEQGVNYDDWNRHGKSFIAFDF KKEQLVLRAEMKLPRDKLPPALAPLDAELSFDDITSHGFYVTYEMEGGVYNVVVTVSC RRPPKFYLKFEDAHLLRETDRKGFKQDRRRATAMDFAISTGVPERDAGPIKSIPEGPC GFPTFWNTYRWTFKMDRNQYNTLIGCTQRIRALAESDPEMDLMSNFKTNTRWQVQKLD ASAMQQLYGPPNLDAIRFSARTLIEGLIAHNILRPADIRALLIALQQVAVVPVFQDKI LESLYSYHERIRDVAEVVKKTATYLRKKERPNLSHLVLIRTVQVTPTRILIGPPQQEP SNSVTRQYKDRLHGIIRVQFTDEEDRLYVGDLKQMDNLRPEVGIMARVRRALHHGLVV GGQTFYPVASSASQQKDHAIWFVDHKVIDGLALRRWMGSVTETVIAKHAARMGLPFST SRNVDINIKIGNELPDIKRNGRTFTDGVAVAGQDVLIQAALALGEQKGLNAKPSAIQF RLGGAKGVLAYWPDLVEPQEVRLRKSLIKFDSDLADLNVVRIAKYQVAFLNRQFINIM CANGVPSELMVEIFQDAVAHIKGLKDRVAARKMTKDDHQLIGLCSDFPLTQLIKAGFH KNPFVLDIASIIECRALQDLKWRARVKLPGGVFLIGIADETGTLKEGEVFCQFQENEE GSKPKVVLGEVLVCRAPARDVRRARAVDNPRLRHLQNVIVFNVQGERDLPSMLGGGDL DGDDYTLIWDQRFVTSLNVYEPMHYEAPAPIKVNKVTQAHLNENFCSYILNDVLGQVD NCHLALSDKLTPFHEDCLQLSDIHSHDYVIPDSVDFAKTGIAATLPFRLRAREWPDFM DKDDVKKTYTSTGVLGELFRIVQPDPHFSPCDIRKFGYPTESRITQYPVHTSLLERLK PVKAHYEKVLQYDMRRYRVFEPEIPSGIAIKNKRRKRARDQNLNEPLRDTYNILITET RQMAMERIADIEFRTKLTPAQMVARHCYALTYEKEHVQHWERELSMGNYHATVKQEDE EVFEEELKPKPLISFAWVFWSELIQLAGMAGDVKSER L199_006566 MTSPSSKSSIITHPDILGYILQYADRQSLARCMRVSWLFFQLAS PLLYSTLSITPNNIDSVLRGASSGSMIYSDCTEREMKRDLLGLVKNLSVRNHEGCDNL GCMRWYQTNIKFPSLSILRIYLNEINTSALYCRWLRGLEPKKLIIRDMTYLAAGQPYS GIVDETLQTVTKLVITFRNLDDLREVNQMTLEGGQSIEHHKRIFDENTEIVLIFWTDD PTQKWNHNANAGVDSDPNFSSDSNSNSDDGEGDIDNLVQQISLCAMADVGRLIVCNSD KIAMKDRTNMDVKRYISNRIEGMMKNWMNCTGGQKQLKNRSEVIEYMDFEEYLTREDW QGEFDREEVELWFDDI L199_006567 MLGQTVIVALAAISTLVDAHPHQIRKVSPAHNVEKRATTAPTIS GWTYQGCVTDGGARALTAKSQINGAMTAQLCTTWCASQGYTYAGLEAKNQCYCDTQLR NGLGISTSASDCSYACTGDSSQPCGGYYKMNLYIANTATSTLTSTSTSKTTSTSTSAS KTSTSTSKASTSTTKTSTTAATSTTTQSSSTATYYGCYQDSSSSRLMNSASTESSSMT PSVCQAFCSSKGYSLAGVSYGKQCFCGNSVDQTKKQSSETGCSYACTGDASLKCGGFW YLNIYSSSGTISTTSAVSTTKATSTSASSSTSTTATSTTSVAAAAGSSTTGVASPPSA TGTKQLFAHHMVGNTYSYTQSTWADDISQASAAGIDGFALNYGSDSWQPSRIADAYAA AKANGNFKMFLSMDVSSLGCSSTSDASNLVNTIATYASNSAQAKVNSKVLVSTFAGES CTFGQGSYQAAWTYFNSLLTAKSIDIYFVPATFADISTFSSSSWMDGEFNWNSGWPMG SSALDTSSDTSYMSALGTKGYMAGVSPAFFTYYSPSSYNKNWIYRSDDWLLARRMEQI ISMRNKFDLAEIISWNDYGESHYIGPIRADQPNSQGWTNGMPHTAWLEVIKYYAPAFK TGSYPSANDQLVLWSRPHPKAATATSPSMSRPTGWNNTDDLLYVWVVLKSAATVTVTS GSNSVSWNLSAGVNKVSVKSAAGSIGAKIVRSGSTVKSYDSTGSFTYTTSPSDYNYNY FVASA L199_006568 MSTATEIKLLTLLNVSAVKRPRELDLPGGHRGSPSFSKTPSLVL ESEDGHEPSKKRRKSVVFGGEVGPSGSTFGKKGKGKAKKVEISGSNGIEDSAKDVIAN GIGEGNGNEGVFEEDEESGDEGESSSSAINDMFNLHFGANPPILTKEAVNMAEEHKWI SERKMLKGFGRVVELSTGSAEAIPAVVKTRITPSIASALKASSSSAPLLSTSLSYLGT YKDLYLHSLDGEADGSETQIVGEQKDATRKAAVVHALNHVLKTRRRIIRNNEKLAHAA SSDNPSSIPEPPRDQSFTRPKVLLLLPFRSLALHYIKTHLLPLAPTGTQIENQRPFLT SFSLPEGEEDPLASSSASKDFPVDHLVNFKGNSDDNFRFGIKFTRKAWRIVMPPANEE KLLDCDILVSSPLGFKMAAEKEDSTDLLSSIEVLIADGLDTMAMQNWDHVQFIFNNMN KIPKSPHGCDFSRVKPWYLEQQAQYLRQTILLSRYDTPETRALFNRHCHNLQGKIRLE RADYEGVLGRVRSGVRQVFERIDLEGPKALSGQAAVEEVDTRLEWFTKKTIPALLRSA ISRQNTLIVVPSYFDFVRLNNYLRKNDEFTYASISEYSSNAEISKARTLFFKGKKSFL IVTERFHFYRRYKIRGAKTLVFYSLPDHAQFYSEFLNTPFLGSKGQGEVEVDEAEVSS RVLFSRFDALKLERVVGSVNARRLLTSGEGRFEFI L199_006569 MPEEIRYSIPITDTSSTKNEARNDPPQEEETEPLHPDHVDHLSP GMIKLYGVWSVALLATFMAGYGVASMTAINPMPTFQDYFKFEDVGVSTGLVFSMWPIA ACGLFWLGPIIADRLGRRGGMLISSLVYILGTSLMAFSKNFGMLLAGRFLLGAAVGLM QPAAPPYVVEISPPLNRGFLTGKFSQVSTHRIDLDANFYQHQKDCLTVLGNAVATIVC ILTERIRSDWSWRLPLVIQLVCPTIMASTVLFLPESPRWLYAHGKTEKAKAVLTKFHG NGVYTPLVAKELDQITVSLNQSPKQMFDYRTLADTRGQAYRLMLALIMGAAGQAPSLY KQVGMTSVRQQLIMTLIPTLIGLLFAIFGTWCTDQLGRRPMLTFGTFLCALFLALAMA CSAISLHGNTTISVLDYDNAAAKGTIAFLILFYATYAWAYIPLVAVYPPEVLIMEQRS TGMGLMVLTLNLASVLGQLTTPIALQKIGWWTYLPWVCWDLIETGIWYFLAVETKGRT LEELDVIFDAPNPVKASLLVAEKSDNEQRTEESHAAVVVNGRDHE L199_006570 MSSTAMSFYPSGTPSFEPMSPCFEDRTHPASLSVCGIHNPALLE LIRTDVSREMVYYLADRTSSVIACSTKIAFPPSPPPTPSKDGYQAPGLPSLETFIAVV CEQSNVQVSTLLATLVYLERLRHRLPKVAKGMPCTRHRVFLATLIVAAKYLNDSSPKN KHWTKYAQMFSIAEINLMEKQLLYLLDYDLSINEQEIVDNFEPFLSRYTFDSPYASSS SPELPNTPPTPVRMPQTVSQRPVSHRRVSSKGDITYIAPPLDRSGSSSSLESEDMPLT PQQVSPPVMSKSKSSSMRSVSSAQVQIPPAIYETQNNYSIPRSMTTNSFKVYEGKQAP APAPVSAVKEGFLNRLLRSSERRQKPRSRLEEDEETVAALSWTAI L199_006571 MSELLSPPADGAGIPLTQTQKDLIGGSVGGIAQVLVGQPFDIVK VRIQTAPPGTYASPLDCATKLLKADGPLGFYKGTLTPLLGIGACVSIQFGALEGAKRF FAGRAKGRELNLGELWMSGAIAGVANTVVANPVEHIRIRLQTQPAVTPRLYNGPLDCA AKLYKQGGGLKGVFKGQVPTMWRDGVGYGCYFMAYEALVQRHLRTTGLSREEVSPLWA VTYGAAAGYALWFSIYPVDVIKSKLQTDSLDPTKRIYKGMIDCTRQTWRTQGLKGFLG GLAPTLIRSPFANGATFVAFELAMRAMN L199_006572 MDVDTPTSIATPTPPPARRSEDEPPPEESSTHSHSHSRSPATVA PNTTPSHTAGPSSEHLAQTQTPLSDRSKRSAKDGYWKMKEAEAKAKAPQNENDEETPI PQLSHTATTVDNQQDILTTPNTSSVPPVDTNSNASATPVPTAQPQPQTQSGPKKRGRK RIPPPPLMTTKGISLVFRMPPAGPSGSTSASASTPQQAIASGSGAGGDQDSRDSTPDL VGDASAPGEGEGEGSKKKRRAEVSLSNSRPTRGRPSPNGTPLTGSPGPSNSNPNGALS IFAPPPPSEQLPEALQTSAIPTDIVDADAIRKEAAAGFESRLRSRAAPGQRRDGGERT GVSASGKDVRVGGTPRTADKANNSGAGNGTATGQGTGGKKKGKGKADVDVPNQDFCSA CRGIGRFLCCDGCPRSFHFMCLEPPLRIDELPDEETWYCKKCRAERLKETRETASPTK EKELKPIPMVFKQLSKKVDEENPCQFRLPATLRTYFAGVGTAPRGEYVDAEEARTKYD RKGFQEDRDPFKTRDGKNKPIACYVCGGSSLPNHSLTTDPESAWRQIVSCDYCTLSWH LDCLDPPLSSMPNSGRKWMCPNHAEQALPRRRTVRNDLETVDIDQRHQPNNGNIVVIP EPDPPKGPPLDYEDLVINRKKFRVPERIIRLDFWEKVQKNGGTLKPNAHLNNPSEEDV EAANLVLSLLEPQPESQNNGINPEPEQPQNDRPMNDPFPTPSTPTHGNEKPQSTGSGS GGKQPKIVLRMPAGLTPK L199_006573 MTAITITSTEILDQVPIGTLKLNGQPKDKVAKAQDDEHDSGHST EEDDEVVVVDPYNYVGETFGSGPGENYPYAEFLPHNPDRTESDPPLPHFDIQDRGLKA HPNAARLRAFVEARGGKVRDQLVAVGTVIEGDIKLEELGEEEKDDLALLVAQRGVVFF RNQHSLTIEAQRDLGKHFGPLHKHATYATPKRGDLDDVVVVYADHNSRPDLYAFSRAE LFHSDVTYEVQPPGTTILRLLTTPEVGNDTLWSSGYTVYSSLSKPMQKYLESLSAIHS GYDQAASRTGITKIPRRQPIETIHPVVRVHPVTGIKSVFVNPGFVTRLVGVPKAESDM ILNFLKDQFAQQTDATVRWSWKSGDVAIWDNRIVNHSATFDAYPSLRHGLRVTPHAET PISVEEYESTTGQKAKDWLEERHRSLGIKPLARDDGKTKKRAFRD L199_006574 MEVPTALSDPPAYLALSKFNRRLKRCPRTNLSVTFSDIGDENGI PLLYLLPSGCSRWIAAPMDPLLKAYGVRMIVVDRPGCGGTNEVPLGERIDRSCEMIVS VLEHLNIKPTNILASSAGIYYALTLLTRHPSAFSTGLNPPPRLFLIAPWSPLLPVDHP DYWPFRWDWIPSPLIATQHLTTPHLIKAATQAQKAYDTGIKVFNNSKSIALKWFKSIT EDPNSPSSTATTTSSLTPTPISSTTNTSTPSNVGTNENGGNGDIAKSASDMLNNIRGS NTAKPSVGEGTDIPDLTETTPMENEEEKDDASQPKSRLWGKCECCVACLTSSYMSAEN GQGIGQEHLICLNRGSEDTGSEWLRRTVKDLADTIEFAQLENTPLAKPSHPDDDQDGK GRNKRKVPYPIEIDVWWGWLDDMVLRKGQLYFNKILDAYPDTIKLTIHDVEDGDHTDL L199_006575 MTSPQYILTPLSYSLPILHAAAHPSSTVLGIFLSPPNQTEIDEA IPLIHTHTTLSPITEVSLSLVEEYCKLRNKRIVGIYIARELGEGLGRVGERILGALRE KLENVFGLVLDNDKLSKGQFAYIPYIPTSSTTFKPLPSSESFTIKSSSTDLPSKLLQI VRAKKIHRNIRDFDDNLEDPDADWLENALVKKDVQKYLS L199_006576 MSIIRPFDPTDILRFNNVNSDAWTATYHNGYYASYTAQWPDFCV TVEGAFDDTIKAYMIAKHEPPAPDPQHHGHLTALSISPEYRSLGLARVLMNLLESLSG PNPRTHDHSNCGGHHGHDHSHNHDNSHSHHDHDHPHDHDHGSDSEEGNIDAVDAWFVD LFVRCNNTRAIEMYEKMGYSVYRRVVDYYHGMEGIGSARDELDGFDMRKSMPKDTTKR HVRSNGKDILVSPDQVWA L199_006577 MWSYIQSSLLLLSAFLPSSLVPLSDHHPHQIHYHGPLTDGGIWH EAYERSRDVAKEMTLEEKVNLTTAVTGPCQANSGGVPRLGIPGLCFNDGPAGPRYTDF VTQWASAFTAAASFDRDLIEERAKRIGKEFRGKGINVELGPVTGGPLGRSPYAGRNWE AFTPDPYLSSTLSFLTVRGMQDSGLITCAKHYILYEQEPVCNGPLDGEGGRTGCRDVS SEVDDKTMKELYLPTFAETVRAGTGAVMCSYNKINGTAACESDDALNRILKGELGFKG FVLSDFGATHSTVESALGGMDMELPGEYFYGQRLLKAIEKGSIPESRLDDMVHRILTP WYGSKQHMGYPQVNYQKYDLSDSKEVNGLMFRNEHRDVREDNAMYARKVAAESTVLLK NSGILPIRGIRRIGVFGTDADYPSTLSGCGPDLFCLVGSKRRYWNGTVTIGGGSGAAY ADYIAAPIEAITLKARQQGIRVDHVLRDDPAHFGSIGWVAYQSEICLVFVSLFLVEGW DREHLRLDKGGQELIKQVEKNCAGEVVVILHAGGQVIVENWIDLPKIGAVLFAGYPGQ ETGNALVDILWGDINPSAKLPFTMGKSPHDWPKDNIVRDMGKGAYPIAKFTEGLAIDY KWFDKRNITPRYEFGYGLSYTKFEFSGFDIEERYEKDRDTVQRTNERYEGTRGLYDTL FVARVNITNIGKTPGAEVAQLYMSFPRSEVEQPPKHLRGYAKKYLEVGQMGTVDFPLR KKDLSVWDVKKQLWKIPRGTFTFRVGNSSRELPSKLTIEIDSSY L199_006578 MSKSLAIKSLITPSLSRTSLKLAPIAIRSFASSSRCRIATSSSA SVGIRLGRGVELRKKDGGLGGIRQQRRTMFIQTETTPNEASLKFIPGVPVTNGGTHEF LDLRSALSSPLATRLLGIDGVTGIFFGPDFVTCSKDDSYNWSILKPEIFAILMEHFSS GASLFKEGHSADQAEDTKILDTDSEIVGMIKELLETRVRPAIQEDGGDIEYKGFEEDT GIVKLKLKGSCRGCSSSSVTLKNGIERMLMHYVPEVQSVEQVLDEEELIALEEFSKLE ARLEKEKKGGKPEYV L199_006579 MAEAGPSVPISIPNTTLSPSSPTLRNTIHSLLIGHSSKNLSLTH LHPFAPSSTRSDIVGIDKGKGKDVENEADTIKGLRDAVNKLKVVLNTPSGKDGGTDVE GRLIRGLKEISSHQLPLIQQLTSLTPNLSVTSSVSSFPSHLLNLHPIKLLEAVSTSLK LQCFIEDSQFGLLKSSLAIAGTRFVIDVDLETGPSSGVGGDEDEDEDGDVDVDMESKQ PQARTTLDDITTTQEEVRNKVRLTKLIVNHVTSSGGTAKSNYISTILRTLIDDYLRCY DSTTLGMWEKQVILDRLIDGLKELKDLDDYSTRTTTSTSTSIDGEQVNGNARDGFEDI EKIVSDLNNLYTSDTRSRIYPTLNRSIFPTFHLLPQSIINTEPNPMIKLRPAKPHEDI PAPSLDVTDTPAQDQERHDDVNMDSVNSSEKTTQSNWIIEIVPENGMDGLVVRRNWLS QTILENDEADGVEGIKVENLLYRAYSPPPLASLSPQIQLFPYTSTFSHLSPSPGKHSD IEKQQQHWSMVNPGPTAFVVGRIGMSSDIKQVARVLNSLRNQIILNNMFNSVFIPQLL KLEENNLNIEGDDGYEEDGGDDINNLLSGDQTSIPINLNLLQDSITITFPLIKNEDNK IENIQIVVRPSEKEKDGYVDVRVDGNEFTVDDASEESKRDLIRIVRGVIRSKSKA L199_006580 MRYFAILSLLVLSSSVQANPPKPCPTITDTETRIEYSNSYAPTV TSTTTRKVPICYETATHIKTKTKHVDETAWVTSTEHKTKTETYTAKPYWTTTVTTKVV PTCTKWHHGGKDRSVEGPAEAELEKRDDTSTEKKPPHAWCPPKPPHVTTTVTTTVCKT ETPTAWATTTACRWTKTKEEVVHTATTVTDIHTETKTKHGHPVITTVSITPTKTKTQT VTSTTITGRCKPTHKDH L199_006581 MWTIIWLITFSFTLPLRTVFSQRDCPTVTVPSVATSVETVTEST TTTDTDTLFYCYEYTTVYVHRTRAAEAEDFALFTLTPVPTANPAGSPTSSPTTIFVNN CETTSLPDLDLRGMTNAERLKRGLPLNKPRFRKPGFLPKPRQEPSCITTVYVTTPTTT QTTTTTTTTFTEETVTCLLTIEETVYVTLEDARAGAAATRVGANDREISPAKITPGVS PPDVHHPPLMISPSPLRPLTEHVKVDWNDLLDVDSSALGECTSLAYYKPLSSLNQSSY PDSKPDSQDLSASSTCTAIIGRVLIHPFPNQNVDQPRLIDLRRIGAQTDCLSPIDTAQ VAPTPDEIVELLPFTPGLPEKIKGWSNNLDEPSSRIEPSQSQDLIDELQDKEDDGDTV QVDFQVFGGQDSLQQLVEKTGRAALTKRNIS L199_006582 MSDRTGPSTGDKRKYSGTTAQKHKFYKYQGQKRGGGGGRGRGGG GRGGHDRPRSPREHEIGRPKLNPLGKYRTSPMPEMFTSPGICVTTVMHKERSAEAELI EYLETIADELYPETIDSEVKQEEDADELDFEAQLKKDLESMDQSKKSTRFQLCSHDVI CVIYINVLPPLSPYKLVRHIMEQAESSARTGLKWCKRIIPITGIAGATIKQLSEMSSK VVEEGFKTEDNRSLKFAIDTNTRQSDRLDRMDMIHTVAAEITNMNIEHKVDLKNPDKT VLIELYKNSVGMGIVEDYERFKKYNPGSIALAASQSKSKSTHSELSRSTAQPEEENQS SSSSKTPKHVYRQRRAEAIGALSKDDPSDINQKEEEEGEVETSQGEVKAESGQILENP EGDLGEEWEETIVDGKVERVRKDGQ L199_006583 MPRASSSGQPDQHDVRRTGSSYFALPSTPVIQRGDTISPYRPRE NATLGSTIGTSNTSAYGTHLRPSSSIHGLNLADGPPNNWSALQELVGDDDVEEEELAG SNRISSQPSTRSLRSLFIPPTPREHRAYPVNVRQNIEDLPTITDQLVGSPPQLNGGPP NNPFMEHDTQMEVESRPRFNRLPSSLRIGYGAAEDDSHERNGVKSPLISKQTMPIPEA HKSAKSPSRVQTIGKRVWPPTPVSIAIFKCSLAYLVASLFTYVPFLAELLSTQTETDA HGRVTYRPANSAHMVATIVVYYNPAKSLGNMLLSTRYCFLLALFASFVSLSAIGTIEI FDHFSPSHGSSWDWISEMGDWVVCILWIGGSMSTLAWSKLWVGNASYNTGCSMAAIII YSVVIKEGAFPKLMEVLYIVAVGVIISSVICFTIFPSSATTKFQDSMSRSLNSYSTLL DLLTSTFLLEKQVIRGNRASLKDAINSHSAGFKALKVTLAEAKHERILDSRIRGRKLH LYDAAIASLARLAQHLSSLRGSTRLQESLIRAVKEGNISTEHFVPGFKEHKLSKSIVN KMDDRPGPEMNDNTDIEKSIRLFMKFRLMAGDQMNDLNNRCDEALEAVQLLSQHDLHK SPVSLAEIRENLAKSLKDFSISSSRAIKRVYAGPKRRRGIYENDSESSEDDDPDSSSS SESEEDKEKNEDLDKGNIIGGPNETVFLVYFFLFTFEEFAREMLFLLETMQEIVDVEP ISTWGHLKSVIIRKRGKKEKRTQYLYKQLHNLVPIDPSKLQPPPFPKNRNDSAGTVLI PDRRSLNAWGRFKQAFWRFGERLREPDVRYAIKTGLGGAILAAPAYTEAGRPFFLQYR GEWALIAYLAAISQTVGQTNFLSLARVGGTIVGGTVAIIFTRIAQGNNVVLPILGFFF AIPCFYVITQMPDYTNAGRFVLLTYNLSCLYTYNVGERYSLTVEQIAVQRSAAVIIGV LWAAAVSRWWWPFTARRELRLGLSDFCLDLSYLYSRLVMTYSKGANNIELGGDQQNRD EEDGETTPLMGNEIHFPHLSASVRQFMAMELHLQSQILNLRSLLAQTKNEPRLKGPFA FAFYNEVLLSCERMLDKLHSMRCVTTRDEWDHAMRKEFVVPVNGERREMAGHVILYFY TLSAGFRTRTPLPPYLPPAEESRQKLIRAIRSLDVVRRRSVRGGGRHLLFFAYATAMQ EVIAELDYLGEMMQEAYGVISQSTKKDFEDLFELTIELENEDGRLRREAAEDIDTIRV GDGDGNQDLKGKKTST L199_006584 MLLPITPPRRPTSLPSSQDPFDTPSSSTTLQLPLDPPQSASTPQ STTLTPSTTTATPNREVRTAYVAERSSADLINVLDLVTPDKNKYKMTGSGGIKSKTIS PPLTPERSHYNNHNNTNNTSPLTMTTKTPPSHHSSLCGSGEPFISPPRPPRPAISPLD MGDDEIEEIAEQPHSLSAFRQSSPRDTNKPKPDMDQSFWRWSNATSSVAQSEQNQQRV PAPPSTYTYASSISQPPERDKSFLRMTRTTIFSDFSAPSTVPDVPDPSALPIPFRRPS APNGMTLLSAIPESAAVNNQDMNNIHHSPGGAQADVEEERNKHDMERRSSAGTFGYKN PRSSIIPSIRSSSYEGHRTNNHNINPRANPFHSSPPPVTSRSRPRPVSMSSAAPSEMT MSNSASASAYSGRALDDTALEARKALGVFTPPKGKIVHKHQESEAEIENYLKKASERN ERRIVPSDQPRASSPIKNGLKPPIELRDGEAEDEVDVPKMLSRSGSKIERMLGEGAEN ARVVMEMDRKAIESVIEQEAVRSPGLSPPLRSHKPSAFMPNFAGPLSLNNTSRPIAHN RSHTLAQNESLASPSMASHAHAHLRSASIDSLPSLHPSLSESINTLSGLLPPSPTKLS RTDNKLSPFPPTKVNIGRFTDIRARNDLTPEQRAMLLRRTRKLEQMLGEALRENQVEK LVIDPVNSSSTYLTKNSEDSWPRTPPSSSKVPEWARDDVIPHRAKDLSPEPQQNIGIG MVRSKNSLADKAKAALGIGSGAGGKDDIKVYVSRSLQESHTISRGNSFNDSHRTGVNR SSLDNHSPPDKNNNQSQASSPTTPATATTTTSSSWPTDERDEEELVRKNRRQQLAKLH RLLGAPIPPELLNPNNPTSPSFNSTFNLPPPLGLGINRSPSPSQQSYISFEDTPTPSK WSSRLKVPLNSSSFKKKANSSSVQSVADDQSFIDLSESRSKGGMSREEKSLARKRAAK LEQVLGDKPPPEYLHHQSTTSTPLHSPVLQPRARLGRTPTPPAGTGDTVRAQPAGNSA DRGSAYDAYVASLEGLLYLVENDQSKLGVMMDTISMGSPSMSPTRSQNHGPSGGHNHS KSPDSNGSRIKTPSPPSPLIDSLQSPKSFDRDDKYDHLDIHDDNVEEVGPGVDRESHQ ARRRRTGKLSQFFGESININIPHDELPPPRNNNTTFGSNKGIWTRRKETLDGMLVELW KNVQFEIGRGTIKLDQGRKLEELLGLVRSKENGLGGGMGIGSGGRGRGRWEMI L199_006585 MSAMQTTFLRSSASALAGPSRNLRPRTVYCRCLTTSSTTQSGHN RWSKIRHKKGAVDKERGALFAKLSREIITAMKPPLSPDPSFNSKLATALQRAKEQGLT KQGIENAMAKAKSASDGTGQNVVYEAVAPGGKVVMLVECITSNPARTVKRVKEILSKN GARTSPVLFMFNKQGLIVLKAENTSKEAGFDHLFDIAVENGAEDVREVESEEGGVEYE ISIPTSSLSSLTTLLSSPPHTEHYAVQTSDLVYVPTDPLQILEGTSDGEAEGIDEDTA ENIFRIVDLLEEENDVVKVWTNLADD L199_006586 MYIYDRYLFSFTFSSFVYIKTSNVNPRPRRNSTEPLLPTPSTEP TEDIHQPNSNFPLANMGVSLSTARVIAPASFLIDFACQLYGMLSSPNMKDIHDANPCS FSPQPFAIAGFFTPQQVLQLIWLRELFRSDRQVEGNTLKYAPWYALGNGCIAIWMLFW NKNNLKGSNVLVMINTLTQLYYTFFLRDPAPRSYQSKLTNAVNITFAGVGVLDLFHNL TAAYFPSIPPNLLVKIVTPLISLLTAVSSPLLFSTCIAYDLFGVAVGQHQLAAKALNG LGGGGGEGWARLLGGVGLGVVGIVGARAYGGAKWV L199_006587 MGDNIINFYAGQPPLNRVAFQRHSSEIMNRHLHDPGTRFFIFKD GRPLMKKDETGRILYLTKDQLGDEKLGREFGVAPSDATSDIKKLYETSRLPHTQPALV FLGVDDRGSPTTNSSSKVDHLNPQGQAYFALDAQSQTNEWDSLLQKQNGEWGDARSSG NSMSDWDAGVYAQGRALVDWNVRNKFCPACGSPTYSLWAGWKRNCTSSVQPVEGKEPC FSSKGLHNFAYPRTDPVIIMGILDSTGEKMLLGRQKSWPKGMYSCLAGFIEPGETFED ATRREVLEEAGIEVGPVRYSSSQPWPFPSNLMVGTFGRAKDGQTIRLDLDNELEDAQW FPKSLIQKIISSPTGSTFSQKDYKQLEQKSQIHHDQETSAALAPSEKKPDELVAKDGE LGISRVPPRTAIAGMLIRQWCEGGLELVSKL L199_006588 MLWRFSFASNSTLDALLTRDIPPSLEEILDEQDILNECKAQNNK LVSYLSREESVKSLLNWVVAGLDELNQQAEEADEKCFEVALTSPDLYPSYKPSLINNL PVPGNGPNSPPLEPSPAPAPAQALVNVDLDEKSQDQNQEDSGAIENDEFIGSDVGLGK GLRRKSEGEEELHRSRYPNLAAEILCCTELWSISETIVHNPDYLLLPFWDAVLPPLDA SSPNGESSMASSMILSRQQAGERERARNEFWDEKDEERDRRREVIRGMWMRVNGVLMT KRTPEMIRFIRSIPNIVERIVARIASPAVQDILIRIVSSEEGGVTGVIDWLADEGLIP RLIEFLSPHYSTSVHTVVAEILKSIITLCAPTPFNPHGGNAMEQQAGQGQSTGARDNR LIRELISETNISTLIGFMLDDIELTDEKWEGLNGSDSEENSPSDPFITHPLPSIASAT SSLSHICSILVEVIRRNNSDFSEPHLFHTLRNRLMSVRMRPTEQPSPSSDVEEDQGER EEKDRKRMEDALGDMSASMGIVHLGHLLDIISQRFDKLHHFVKHPRSQNRSASAAQPK PFTLERFRILELYAELLHSSNMSIFNRIPGTGPTYTEEGILSGGLEGLEALGEAIDGD QAGEDDNQIEEDQVTQAKELPVSCGSTEASLTGSEEEVTSEDEEMLENIDVDDVNDIT PSNSPAASKILELPKPSGSMEDLPPPPSPADAERLRDVMEIDTKPSSLSNVSDSGAAS NVAIANSTAAPSIASSEDTSENITTPSNDNNESQILSQQTSNAAPPLAPIRIPDDPST LAPGDKLKRQYVLNSVIPTVVDLFFEYPNNDFMHHVVYDILQQILNGRLAPGLNNDLV VELIVKARLVERVIDAQRVNDRMVAQPRCPRLPYMGHIILISEELVKFFARCPPELYE RIKDSFVLSEWEEFVENSLREAKMRDAKPLAGGKPMSSIAQNGSSISETEGISSGMGM KRDDDDSSSDEDDEAGDKVKFGEPLTRTSAQDGFINRGGGDNDFDDFGDDEEGMDRFW RNSGVGLGRRPVDSSDDDDDADWLQPTANAGWGNNAGGDDDDFGAWETAGPSRQGGKD DFDDDDGWGNFNSGSPAFASPNPNAEDPFGDDNFVPSVVRAEPPAPNQANEIPLTPRD WAEQFDRAFREGGDPTATGPDENGVTAIVVPNMDDDDDDESEDESSTAARRMSMSAGT SGWTFAGDDDGVDLPPTESPTIPEIPGFESADLPGVVSTIPKEAITSPQPVSPIRHGH AISPSSTSPITSPQAVPINIPRRKPSHGHGAHPGHPSGSLDSSTSSSSSPRNSKWQTE AFSPPDPSLIAAATEDSPLGPGVSPDTKITDHGLLEREVDGKKIRVPQDEIVEAIERA QDDSAEE L199_006589 MYSITLLLTIFLLGRLGLVNGAICATLTATSFTTATTRSHTTTV TNHRRTDIYTTSTVTPTVTRTTTIATHLITVTSTGRTVYVPCRTPRPDRRSAEQDGLW ADERGLSNAERLQLGLPLAKPRFDKKQIPQPSNGNGRFPPPGVVRVGPSCTTIYITST STAFTITTQTPTTVVTTTSCVFTITTDATSYGRTTVTDTSTHIQTRTGRPTTTTQGVT TTSTATVHTTVTRTLPCPP L199_006591 MFQPTSPVLQNSSFSQLDYFATASPSHRQAQTPIFTLSLTTSGT ASLSTPNLLLSTPERGRSRSPSPCPTDLDSALGSPQVLSSPKLGLGVKKDSSARRSVQ YREKSAVNWARPLRVVEEDPIVSMRIFGASLQRSRRLNRSSAPSPCSSDDEPLTPSPV TPSSTASDDNVQVPLRGWSVFSSAKGLGVSGVAEWDTPVTQLRELSLEPLPDFAFEPL NIPSSSSLSSIITKKSTTSTTTKQKNNNLPRSISKPISIQAPPIPNATASTPVSHSRI LATSQSLNSFSSPSNLAELRSLRQAAVVLENEAKRPIRSSPSCPSGQIKDVVAGQRER KIKRKAVPSIAESDIIDYYSTSTCSSITASTTPSSSSCTSSSSSPEDLEVEDGKKRMR NSSINQILLPGQLPGMGMGNSIFQRHRQLYQNHLATSSLIEISPSTLSATVNGEQEEK GSTSTPKIKTKTKMNITIPPRITSLSNCGDDCGSGGLEESRNLSISSASSLLSSSDEQ PITPTLTASQTEYFLNSVENAFKRLEFERAEINQTPTQTQPKMTTDSSSGNTTGGKHK RGFSRFLGKKGPFNAIVKEE L199_006592 MSISSSSSSPSSSSAFGTKIPRVGGVRCYWALLTPHYTPLPPNH DGTPSSGSKLELRFVHPDPMLSLHLSKQKLSMMGRSVIEFIHPAEREQARRDLTSAIS ADDLQGSVTRVRFARLSRIRTILGCPPEENDFPEDAEKFAEDDEYLILDLVLNWVADG LLLAFFHAIKDKDPVLNNDPRLSHEEWSNWCGTRVMPEDQIEALHRNISDILSSTPSS NYPPSRVFQLYYSAPSPDPSISPPSSPRLIFSWPPPRPLGVKVEADGKYNAAEYCELM KGVDMDPSQLNAGPGELRTNCTTRYGAQHSITTEGVYRHVTSVFIPYGNLIFACFQTN RMYELPAATNGQPSSTSSSSSAYDPTLGNDWNTNLSSIPPVTSGSTGLPVHPPPEIHQ YPPQTAIQASSTWAHADPYALPPRQDGEWESGPSTGYHHMHDEMHHHNRDMGYLPPSA SGSSSAYPHPQQHHYPHDHLTSSIPHGGVPLSASMSSGHLSSGPSPPGHGHGHHGHPM SNGGSNGSSSSRPLVRPPGNVECCVMCGIRESPEWRRNESGIKDLCNACGLRLARQVA KREGRQKPRKKKDSNGGTNRGESATKDQYGI L199_006593 MFWRKKSSSSSGSDRPVSTFQTRHVEPPRPILRMNTEGQGVSSI RFAGSGGSSASSPVKPTFSTRAATSPPTYGAQPCSQVEEISTDRVGARTRPPAPRVIV SSYDSYSPPTVAHPHQRMASYDSSPLSTQPKMMASPRRSSRPTSPSASSFGARVRART EPIPSTSVHRSSSPGSTGGSSPLCEVGPLETPPMQSSHVTTPPTAYVSSFQSRAPPPP SPYRPTNERRRSSLTRNLPEDLPRMNDFAPSMTMEESLLASDSRSSVHTLPPGPDVPM LNIIPATPQTQGEEFSSMWEQHYPSATAKTSGLEEAVKLEEISLDLELKTPGLEEEES ISNAPSIDVQLDFSPFQPLAELPNTDTPLEDIKEFITAEDLEKFNYQQQREGGEELIV EEEEDEGYFQDEMEMETDAPSEPLPPSPPFMSYPSLPSLSSHSHGSEHGDENENEHDN DHGSIRSSLSGSESDSECSIQTSSSMSSLVSFPDVEEALGSMLASLSDSSMASTAIHT PTKGQSLNTVYNVNVNHGLGLGMGMGMGMNVHDSQSSITSSTAPLALSPRRKAPPPPL DLSTTKNQNRRSQRQSRMMTIQSAPIINHRIAFYGTAKVHPNSPCSGIFTTSSSSSLA STSSGSSSSTISLSQQQQQYENRQTYSYRDSISLTSEASDEDLCTASIISLTPVMQGK VSGKELKEEIIENDPNANTLGLGLGLGLELGGFGRSGIEQQIPQEEVEVGLAL L199_006594 MSSSKLPSSSTARQLLARLTKLTSTYSGLDASLMLVQYSSPLVI ALLLRLAALKTRIGLRGKGGSAFGLRQLAEGWGKMGGSIGEARVVFRAFGLLPILTWL LSLHPHPIQSLKSLLQSGHLPSLDSPKTLSTLQAISLLLYYPLEHLAWLGGKGVIPLG EKRMGWTGLWSVRFWALYVLLDIYKLRQTYLSLLTRTRSLKQSQMAKPNLTEKQEEAK GFELPPSPSSPTHQNVTSSSDQPLNLAGEKDNNKISEKEVLKHDWKVWKNDVMINIGY APLTVHWSTPGGLWSSPLIGGSLGVVAAVGRLTAEWRKGGQ L199_006595 MSDPYRGQYDQQARPARRGREDEGQYTTRQSRYPQPIREYDSPR SPIHSRDQEAMYSGGAKALQDPTSGEDEENDTDDELAAQIAELMRMRKEKSKAKASQR SMDQQASQVNDMDRRSRYEESHRGYEDSPMGRQAQGRRGDELHMSPREEGFGDRHHHR DQDIYVPPAARAPESVRSERSNQAIGMGRPSRTANDNRLDPINSQDFVPRGREAAAPV RDDSYKYYQGQRISSNVFSPGYGFRPSAPRNDTLPDPEELVGPYGAVHRIYPAPCPVA PPINANDGWVNEVPTADTRPFSSAASASDHPPPSVSYRQQQDRPMSPPPSDHASVPAA QEEEEHQWGPKERDHPPHLRERGLPRDAQAAAAPAKGSMVPWTDGGVQYSKEHFVETA SEEPLPPPSQGSMVPFEGGVVYKQENLNQEGASMTMDELLACFANSRRQPSYAPPRDA YGGGLRGGGGGYMPPRQPDSGWNRSAPAGERQGWGPRGDQGKDDGFGETRNEDEWGTS AAGKDTDTGSWGGGGGDNGYEGYGGGGGGGGRNCYNCGEDGHMSRECPEPRKAADGGG GGGGNCFNCNKPGHLSRECPEPRNPVFRGTCRGCGKEGHRQSECPDGGSGGGCGDSYN AGGGAGWGDRNGNGNNGSWEDNKTNGNHNGNDDQWGNDSGFDDPPPARPAANIHPSRA ALVNTSTHGGTNNATAQGRDADRPPRDGRARDSGYGGRGGGGYNRAATPAEASRDPTP VPSNHVDDDHGGW L199_006596 MSLSSKLSITDVNLKGERVLIRVDFNVPQDKESNITNPARIVAA LPTIKYAIDQGAKSVILMSHLGRPDGSPNPKYSLKPVAAKLSELLSKDVKFLDDCVGE DVKKAVLAGENGQVFLLENLRFHVEEEGKGKKDGEKIKADPENVKKFREDLTALGTVY INDAFGTAHRAHSSMVGVQLPQRAAGFLMKKELEYFAKVLEHPERPFLAILGGAKVAD KIQLIENMLDQVNTLIICGGMSFTFKKTLENVEIGKSLFDEEGSKKVQSLVDKAKKNN VKLVFPVDYITADKFDKDAQVGEATDESGIPADWQGLDAGPKSQEIFAQTVAEAKTIL WNGPAGVFEFPNFAKGSNALLDATIKAAKNGATVIVGGGDTATLVANAGKEKELSHVS TGGGASLELLEGKTLPGVAELSEKK L199_006597 MPSLSDTLESLSSRTSELAYLTTLNSRPAGKFTQAYLNLPSSSS SSSSGYRRNGNVLELIRDSNDGERRLFRFVGESNSLGDGSGGGGNKRVEKRENVLVTP LKQLKSHVGGKGKSTEGGGRGRDEVEIVLRTAMKLVDDYRPMPRARAHIADLLDSHHS SQERLIELERLIEEASKPISTPSLSASTSTTVKPSSTPESSSSSTADQPKLTPEEAIK AEEASLRALEASLIPLRKSYQANQSDSPPPSTRQLPSSPPPTTTTTMQKQTPAKPAFG IPTQTPAREMPHVTNSLVVNGMTPRRIDRFSPLKLITPREPLGPSGLGDSQSAAGAGA GRRSIFGRASIIGTHKVTSGSRGETGSLGASTSGPFSTPYNTMISEVEMRNQEQQPER AGPGLEEKEDQDQTIRIPRPASPPTLARVIDTPSAHAKPQEEPQVDNIRKAGGAEKIS EGDGGMAGIDLNNENVKAGIAKVWSTLGEMMRQGMKDGQTVNEDVESSIKHLIHLSSS DLPSPPSPSSSSISSLSAPANVSKPITSETILFSHLILSILRQPDGVDMNELKEHLAS IAKARNFDGASSNSTKIIYAAVGKRMVRIDRKGGMVKVKFAE L199_006598 MSFFDDEAEDPLSPPTRPLPNVNYGMRASSSREGSVSGSSSFLD RLRSTVSPPPAQSHSHNDRSRASASIFDESNTSISGREYGGSILGEGEEEEDGMGLSL EEEEDEEDMNDVKRLGKIWVRERGTPELGEWKGELIDQVFDKLEQQQKMVNTLRSDPQ TSEEEHFKLMLVQTEMERVKYLVRSYVRTRLHKIEKFAHHITLSTDMHHLLSGAELSH ARRYTELLHTHFEHSVLDSLPEWLRKMDDTYGDGLSMVSKPNRNTPVLIYCRKDCGEI TLEGGERAALARGTTHLVKYKLVDRWINLGWAEVL L199_006599 MSASQRRIDLDSIPVEYLQSYPYPAFVLVVPISSESPTQDTNVA GPSFTHVEHEVFQPFEVVWSNTKYQTYTQGSTLLECLDVDGARKLGKWIGGQGVQHPS GDSSVKGKDKDHTFASDFTIDTTSGDGPIRPQVKVGEPSSTGGPYSPRTVLGPSLIDQ DHLEPSITPTSSFSENKPLLLNFVHPSTASFELIKTNLPIWRTGRTGGSGKARMTTHS FVIITTIPRSDSDTVPPSSSFSDPDPFMLTPMKEKSNFLSRQDELPETPVIPKTTVLS PSTSPSHKSLTRPIPAATSSDPALTHKAVTPTEELNGFDFTSKKPGAIRFGRDGTVTT SNPEGNRRDLVADVHDLMESTDWSQTPLGPREQWPQSLKTIVSLVLHYPHQCCLWWGK ELTLIYNEAYAQMMHKHPHIFGMSGTVAWAEIWNALGPLSELVLSGTPVCKEDDFLLF KQLPHQGNGIIEEYHTWMWVPVLQEDGTFGGLWNATIATTSKVLAERRMATVQEMGQR TSIAKTMTEFDDAVIDILAANARDVPFAAFYHVDIPSSTSRRGSGGSVEVTRDGDQSD NIRLNVRLAGAIGIPDDHPTTPSNLSINIRTRLRGSNATPFRAAPRSPTLSILSLSSG APVPSAPSSVTEGSDTAETNHWPIREALSSNRLVMVENCEGLIHDFPIRVWDELPTAA VVIPIANESNAGVPSAVLILGLNLRRPFDEDYESFILASGIAAVRSYEAERQRVEELA ALDRAKSLLFSNVSHELRTPLTLIAGPLDDLLQEAPEGQKKDTLIMARRNVRRLTRLV STLMDVSRLEAGRLKGSFQLVNLGMMTRDLAVLFKGAMAQARLDYIIDCDLSSRAVYV DTEHWEKIVFNLIGNAMKYTLEGFVSIKLRYQSGEAVFTVQDSGVGIPSSDINLIGER FHRVQSVSRSHEGTGIGLALIKELIKLHGGLMAIESTTALESQDGTHGSTFAVRIPLG TGHLPSDAIEDEQIVRNSQTTYGQGILDEAMQWTRERRNSTGTPSSEVGSALEETSSR SSRSLDPNTLYFKKDDVILLVDDSLDTRRYMSSIFAPLCTVIEAGDGLEALDLCERRM PDLIISDVMMPNLDGFGLLEALKASRELSIIPVIMLTARGGDEAKVDGLLAGADDYLA KPFNSRELLARAHMQVQLGKRRKSLEDAFDQRTSELRALTEYSPVGIFKTTEDGTVTY TNKTWHELSGYPEDEPVDRWEEYIGEKYRAEMLAHWDRVLHGTDEDIWISYDYQFTNG IWAQLKAIRLDKMGDRVTGAKMKGILGCVHDITERKLNEESQRLRVIEAEQRRKEAEE AKRQQELLIDITSHEIRNPISSLMQISSLLLHHMTSRLTSLCLYCSSLVKTNLLSLQE QLEVVYEKQGSFTPTKQLLNNIEEDLDALESIYQCGLAQERISNDVLSLGKIQLEMFD VEVDMTRETKKVMSIFQNEARMKRINLSLELGTGIEKLGLTTVKTDPVRLNQIVTNLL SNAIRFTAMSGIRRITLKYDVSFDPPVNDACVMPGQPKLPKQILDDQPIYLYFAVTDT GPGMTESELEMLFQRFSQVSLKTHTIFGGSGLGLFVCRLGGKVDVTSQKGKGSTFRFF IKAKTCPVPPKEIESNKESKELSKKSALMKSKKKDIFQFDGKKPHVLIVEDNLINQTV LARQLRHCNITCDVASNGLEALEKIRLVSSVDVPHVQPFDCVLMDLEMPVMDGLTALD HIREEEAAGKLRKNLVIALTGNARQGQIDEAKARGMDEVIIKPYRLDDLLQKVEEMMK IRVSEASNPTSPNLND L199_006600 MIKLIHTLLLITSFCPTLLAGIPDEHQEVDDNNEAETIAKVKVT IPKYSEWINGEDQADYERGEDSITYGFTQKEVDENKKYPFVWTTLDHVDVPKTMFPTP FWNMSCNVSAGQAFEGTASFTLSDKKPWINVDEAKSWAGISCSEAKCLAEACKDEDTP VIDTFLP L199_006601 MVPFARLTDLVGVKPHPSASDISVSKPLWVPSGARGVFGGQVIA QSLAAAARTVSAPFGLHSMHCYFLLPAHASPDIHYKVERLRDGKSYSNRLVRAWQGDR EVFVLLASYTVPPTTLPENFSSASNSPQGKIKISHTLRFANETEDKSQSQSRPLSTPT SSVQPTYQNPFPQNLKPWEECFPEEDRWQRFFDEKCQEWKGARRRFLEEYIKERRESP VGIARARVKRSDLSQNEETEDSPVHTRMSWLHARLDPSENPDIETVKAMIAYMTDFQF IGTASRSVGLHQSSTPRIGMLASLDHSIHFYPFPEGFDPSAPLLHVMESQSVDIASGR GVVQGRVYTKEGVLIAVTAQEGVVRADLKGLEARGLVEGGAVGDDKDENKKKRQAKL L199_006602 MVEYLPDEHDVAYYPEDNDLNPRVRDTIETLQSHRSRETQPLSR LSPDERLAQAINEANRKSTTDNYSIQGYGNCGCTELVHSLPHDTKALKDARKYLGSFT CGTKGHQANFPLSRVTLYSPSADELTSTLRALVLDESHRVVDVRNYDDVEKDEKRDFR ISDQTIASDKANDITLYEVASHVLPIYGHSDCSAVISRYDPCGCHLITTVAQEAPRSK RDDRPTRSWKKAVLSGIDSTCPMKVSPTLSLMTSLVLDMRVS L199_006603 MEDEKDIAKDSTPNTLENNLNHTVLDDSKISVKENIGEVLEICI PRLVVGGWDDWWSIPCHLNAHSVACTIQAFPISYAGVSRSFANVIFQIGGVVGAAIQA GLLNNGDSTLEDWTGRKNSYFFGRALIIVSELVMLTYKERKVEISMKEEV L199_006604 MPKVKVPIEDLVNSRNQSPEPYTKPLIPPLNTGRYTTSSTRSTL KLPVGEERNNTVQEKEEYYKRLNELQRHCTSPDPLNCISSPTRLGLELAPPTAHRFTL IDSYRPRRAPVQPLFPPEFTPPLEPDSPDLLALPPPPQHRPEPTSNTLVDQASALLDP ELSHHQVPSAEPTPDLTAATRLRPKPSRSSGRRSIPPRQHITRSRSQIIVSKPILPLE PFEPDPREAPPSGELKSTEPPTLGITSSVKDTSLKPVNSSSSLSPCPPSESSLSSAPP DSPSQPAVSNTSSKSTRSTLSANDLKVKLPLPSLKKQTPASSSKKKAPDKPKPKGKGK NTRDRSKDIQPARSNEGQVCIVDVDRQNQLNHRKEVDHISESNKEKLVDIGHKDLKSE GQIERSQTNQVVEGHTYGSLNQSYLDSENKEQYAKIGDNIDNPETFATDPEETVGFRI EASRDSGDIGKSVNVVDHLEAIKPSKNPPDPLPLTTSAKPPSGSTNHTGQSTTAKSPI LPGPSVRFEPRSDVLPTPPCLQHTLPPRPIIPPWRQEHPRFPRSPVKNTAPARHSTRI ALRSASISRPEELEPGEIPAEQKIPDPAGDTISEQPLDTTASSRSRSTSAVKDNLEFD LNKAIAVVTSKYPSIPVIPPVQAVTSPIAYDVPIMSPLPKRQSRRPSRFQSPLMDSLE SPPPSAPTPKHSAPTPEHEGFSDSSLTPPPTSQDPASALSTAVPSTEEATKRGRGRPP KSIGPVEEKQLDNQAKGKGKANFDTSPTPAKVTPDIKIPKKAGRPSNKRLISPDLVPQ SSSASNTEGSVSPTKITLKLNVGKSTKSDTPDSTSDAGKKAARKQGGGKKRKSESLEP ENKVTPSGQVPKVKLNFKGLGESGQSLAPATQPTTSDKQDGRQVKKPKKKAAQPEEQE PQEKAKAKPQAKRTTRDYSSSVSESEKEEKVRQPNKKKRVQRVVADEESEDEENHIEG QSEVKKSNKTPKIAEETKVVGTSTLKAPSAADVTARVHDEVENTSKSPGQNDRSKSSP APIAKPLKKKPRPSEPSKIISKGPATPINKSEGLARSKSTTQLGQNHGVEEGSSSRKV LPVKKPIAAPRPSGTPVAVTAAKPSGHGMGLLGNTLALLQGTSSTPKAKELNKKDGGK DTKKDVSSPQVAKRGGWGGEWVLTPQQQKEYDDSKPERDAARKRRDEYRKNPVNLQEA KDAYKVDAMQPRTIPVPGSKGIQTSGKPSEMMAAILGW L199_006605 MTSPAQRISPCPQPFSDHVVDTIQGVDVPLRVFPGKSIEGGGKK PWLFWIHGGGWVGGKHYIPNVWVHPAFQPLGIHIISVSYRFMPQATLNDIYSDLSESF QWCLDNLSSVLGEDKIDVQRYIIGGDSAGGHLSAHCALHFQPKPLVELNIFGAVDLAD PHFSKSVDRPVPYGTSSEELERLVRDFNPANAVIACPWYWEIEPDMSQETLRSFWGTD YRPGEGDKKRLDLNAYTSKKGSRIKAMLRLLDSEGPEAEEEFKRLTKEWSPTYHLTKD YPPTVVMHGEKDQTVLIKQSKDFADKLEKLGVEVKRIWSKDGGHSFEQSMGGPGDEGW EEFIVPCIEFVKKHTGV L199_006606 MSLSLPSTASSSLRSLRSLTLGATRSYASLSPYSPPSSSDYPPP RRPTRPSSSEFFTGRPVFHESLSELNFTIDSIKKSLRVKHIYPLPSDLPYVNPPQANW ISKEELSTLFDIKLRTNTLRQVHELLSELNHLRHVSDLSGNGELVGKINEVLSRYERR SASSFSSGVDSQKSGEEEEGQGIDVFGRSYGMGRKKTSSSRVWLIPSQSLLSTTTDTT SSTATSAEILINHVPLSQYFVRPSDRETILRPLKITGYLGAFNIFGFSRGGGMSSQAS AVGLAVARALGVAKEDARDILQADGALMRDTRMTERKKTGRAKARKGYTWVKR L199_006607 MDIDEQPQPTVLKSMEDKLRSNLKIEFLEFIDTSGNCGSSYSVT IVSSDFKGKMTLGRHKLVNQILSDEIAQLHAFSQKTLTPEQWEKEKKK L199_006608 MFLIYLSLLASLHVALAVPIDVYPSAIFKLGVPLYTYYQDELNG VKFGAVTIDINLTISGVEQEGERNFTWITFQHPSNPEGRLGEPIWNISCTAIAKKGFE GVAEFTLRDTYPWITAGVNASGVRDGTSGIQCPEGKCVSEICQGQEIPVIDTFLNSY L199_006609 MPRAGKTKSRLHTSAVSLPSKLRTAEVVPADIPSLAEPPHSIIQ NDEPSSSRSQQQKKADFIAAVQSAPHPYMIKSKSHLRREKRKVKSQNSSTNLQSLENA LETILPDVDRSEEHDGANGKMAVKGEGEKRKVKKDKEVKKIGEGKGRTLGEKKRRDVI QEASKRIPAVLSHPAYKSNPWAAIREHVGNTIVAKDNNGSVKN L199_006610 MSYGYPPPPDHRQQQLSPAPTYHSHNSIYNNQVQSYNDPLDSYY TDLQRAPSPAYGHQQQQPIASPSLTGYQQVYQPPSTPLDPHAEMYGQPTSNSYQNPPG GGAGGVGVGEVFRPNNQHLLPQQPPPQQNFYQQNQGSQPGVQPRPSFSSQHSDYYDEG KSYSSTTHLASTPQKEWDVGLVVPPVPRDMNYGSQDQYYPPRPMYGTGPPSTVSSAHT GWGGTSHWHKMRNQLLERRVVKQIPLHNGNLIMDVLVPKGVIPSGVKGLGLMDGEIES LRYSAATCDPDDFMGRKFNLRQYLYGRKTELFIVMTMYNENSELLLRTLNSVIKNITH LTTRSRSKTWGQDAWKKVVVCIVADGRKVVDPRVLKVLQLMGVYAEGVMKDHVAGKET QAHIFEYTSQVVVSDTGEVGFGSTPVQLLFCLKEQNKKKLNSHRWFFNAFGPLIKPNV CVLLDVGTKPSGTSIYELYKCFEKHPNVGGACGEIFADTGRFGKYLFNPLVAGQNFEY KMSNILDKPFESVFGLISVLPGAFSAYRYDAVKNHADGTGPLAAYFHGEMMNLPGATA SIFDRNKFLAEDRILAFEIVVKKNAKWRLQYVKSAKAGTDVPARVPEFISQRRRWLNG SIFAATYAMVCFWRIWTSGHNFFRKIALTILTIYNLVNLIFNWLSISSFYLAFFFLIS SSIAGASDPFGGAGDEIFQVFNKVYIGLIFVVLVCSLGNRPQGSNWMYTFCIFMFAVC QGILLYCAGWTVYQTVPHTAEGWKDVSGLFENRTFLDLAMSLMATYGLYLVSSILYFE PWHMLTSFVQYLLLLPSYVNILLIYAMCNLHDVSWGTKGDNGASKDLGSAKKVEKDGK EMAEVALPTKQEDVEALWQQARAELRAPAVEKPEKRSAETKRADQDRNFRTNVVLLFL GSNMLVILLFTSSAFTTWVNTHFTSATQSTFNPYLTVIFYAVLGLSTLRFLGCVLYLV FRLFGF L199_006611 MVLINEKKYACEKCIKGHRVSGCTHTDRPLYEIKKKGRPATQCS HCKDKRKLAGSSVHTKCACGDTKNPPSTSVIQLTTTQSEQSSSSQGSDQEKETETEVE IETRKGQPGSKATFPRGFKDVLELAAAANALAGLVKDDVGYRIAERSVSALLNPCKCQ SGGPCKCCQPKKDSNTSESSSGDCCGSSTPGNSIPRPSPSLTLNPHLSPENMHHPAHT SPHVHKTKLFSPYSTNPASSSRHGRRDTISSNRNSGRASPLPKSLRPPPPRIKPLTDF GRLIGAAINQDGSINSEIPRSAVGLPTLPLPGISTFDTAAENGGSKVEHMEYEDIDID MPLAFPTSEDVVIGACMCGEDCSCPGCATHDNGIATTDENGVEHSHELGQPCGEGCKG RHDCCQSIAVPSGVTSIAHLISLAASHVPPPPETNVTSLNPHDTRILPPSAQMNEEIA RTMGFVQLKPLECCNGRCQCAPGECTCEKECCGCCVRCSCAEDDEDARMDGVGSQTQD QSISSCCFGKANDQSELSNKQSSPPNIALPSENLNPNHNPIQPSPTLLSPPDIVRPPS TTSRRSSPVTSGTTTPVNGATTPVTSAAIRRAASISSKSANGGHDVSSSSHRRATVTG NPPVTASGLPKSNTKAITPYNPQHHRTILPKPSTTHLSVNTSTKSSCCSRQPSSSGQK RGSASVGPTRSGSPSNSQGGERRASTSTENISKSTTLSSAQIQHTLPEPMIQLSEPSQ SLPQEGAFQWPLEVQQLFLQSQASGQPTAYPNPKQFANGIGQPSAPPGELDLDPFAAC SLSYLHHTARTGPSPSEPQSQLQSQTQSQIYHRPPVQPQVQSQPQAQAPPVSQTQAIP AFDDKWFNQILQQQQPGLLHDVSGNTSPELDQPFDLEQFISQAVASQSQDSQQPQQSV TNFTDYFVNGILDPSYISQTYDQQVHNDPTLPVSFDPASTSNQHRAGDDAPPFVPMVP GLPSESHFQPNWTSGGVKHQLMEDGRRQLREIYSHQQQQQQQQQQRNQQYQVDGIDQT SQQSANTNTVSSGSTSGTTIGDIIDLSKPLNPDTLNKIMKALEKHNNQQQLSTATSSS TTNTDQDISQPNSSTNTNMNGSESLDVPLATTKDLDDMFNQFVTLDNNGIQNFQSDGD NASMIIGNGAQGQVGDDQIFKYFGLG L199_006612 MLAYKSSALFMISSIVPFLLYYSFAFTPHHAETKSTLGSSQQMT NSQSIPSSSTKDWLRIIQRNHDVPGIAIEIVAYPPNSDPSAQNRINNDIVRVLGSGEA IVKEDGMGMFGGFWNYDPMTYRRITEGQDPEISAEVWFD L199_006613 MPHPDSIQLGILSLGLSFRFPSSSDPRWAPLSIPFLESDALFDD LSAPLVQLLRLALSDVGLGFQGEWIRDGVKIKVRCWILPSDVPGSMWKSIHSKDRERV VKGLLSNASRYWDIGQSEAETILSIMPEDGQSMQEIYSSIPSPPDPHFKRSDSLSDRE LFNSLNDYENPFGVKTDSYKYQIRSVAKMVQMEMQPERLVDPSFTPLQEAGRNATYYV NLSNWDIQRNPGWYDLPKGGILCEQMGTGKTLMCLSLITSTLYQPTLPPSNSIDLSPI TTDVAERTYPFAANADLRALTGYPRSRTDLTFPSLLELCANVVATNDPSAKHHSDLPD ILRPLLERRTFYCTLPADDECSRYAKKKTYNQTVKKIHLAKGTLVVVPQILIHQWQAE MVHHLEEGALSVYNVEGKELPSIETLLEYDVILMDTLRFGAEETQHRVSKGMKPSVLL QARWKRIILDEGHTAQSKLTNSMTFARQLSVERRWLVSGTPTRHLQQGGEAELESIDV IHSPDVSRTTTPTANDVHIDREALTMHRAWNQLELEDAYRIGRMVGGFLAAEPFKTEG GFERNVIAPLKNKEGPSFGAVRRMKYIMNSLMVKHAPHVIDIEAQLPPSTIINELLQF EPMQKITYNVLAALVASNVYTSGGEDIDYFLHKDNREAFLQVVDNLHLACFWYSARDM GVKGCLDRTKSWLERHPEADQYVREKLEEACRHLQLALDSQGWSEWMTNGVSMPLDGH ALPSLIKESWSDSFNSRPDMVDVHSFNILRELNQKGKRVQDLHIVGWDHRNSKLEDFQ KTMAKYMEKHAKEQKKLAKAKNSTAAKAPKAVIKSSSSPVKNVSKKRKNTDMDEMDQR LQEAARNAALASNPSIANLPRPLPDVVHTRSKSAKANFVARTILSADKNDKFVIFGDA YELGHLTELLDLLDVTSTFVGSELFTRDRRKALDDFQKPEIRVCLLDLKVGARGLNLV IANRMIFLRPIWNLDIQAQAIKRIHRIGQRRPTKIQILVTEGTFEEDIAKRSSKNRSQ DDEKLYSRAMIENPRFVCPEKEETENFAVRFIPTIEFLSATAVTGINGNVMDRDGHDV MDHKPGSVVVHRDPGSATATYVKRETEGLGGIKKRARVMFA L199_006614 MPTQEAFSNDSKSFLGRLADKASENSTKTAKAQERYEEVSKVLK LVESSVKNYRGLSQERLRNGDTSLRQTVEKRISQITDNSKLHTDNPKENLRRIKDAWS FHPLGVELSWYNQEIAEKEIIQSLMLDNVDILPDAVKNTETEVYSAAIREFKHTRSND PASQSALTLTHEELINDWNNPIKNDIRAFIETACSTRFRDTITKRLNLSTDYINERLP ERSPMGAQVLTSRHPNAPTHLRITVGTSYPFDKVRSKSLKIPCFPFQHNGKVYSALEA GEEGEIENTAKRLMKDLARSIRSLPTSEGLSEDMIQHVAGSDLSTIAKSVDDDPALLE TAHRSRLIERYRFMPTPEADLAESLTLRLIWNAYDNGAGHFFSRDIVKPDDTLLDTSR YLV L199_006615 MSSAYDVESNRYTQVRTGSAYVKPSKYGRYFPNKLFKKKITTYI IGTALILGVYLYLNGSLGTWKRDIGYITRPLWDKPESGWNHISQYPPPGDPKDEKVRR KWCDLHRWKARSTVEPLPRIIDAVLLSSEIDMLEIRMREYEPYVSKFLIVESNMTFSG QPKPTYFQDNRHRFDFIPKDKIQYHLITNFEANLPLGSFDNEIKQRTKIGNELRNLAD KGEIRKGDMILQSDVDEIISRQTLQLLQTCSSVPSPLHLNVDNYRYSFEFPLNDGGYF RPKIVTYNNPDSLAYNHGRKGNHLLGGSGWHCSFCFATLSEIKGKMIGYSHNDRMRHK GLTDMNRLRKTVCEGKDPFDMYPEAFSFKDIIAQSGKPRRAGSYHHVPIALKEDPDRF RYLLDGGCDRPE L199_006616 MMHFSNSRHRPLPLPFPLPSSEPFYPASTDKVLPVNDPFYPAER FSTPDPTSNGLVRRKSILKMPKMDITWDDNAQTPTPTHTPFQPVTPNSNPKKLHKQYK PQPQPIKGVRFTPSTQGEGFPHGLPTPDPTPEKGKEIKAKKKPSWIHWPFTSNNQNTS GSYRDISKEERLPTLRDTPSPTGSDRSWHHHSSYFPDYTPIYPKPPSTITPSLYPLTA ADLQAQEHSRRVGHSVVVHYPMLPSWNEYSGGQQDKAKDGWQSSTNGLMNGWNGYGWM GDNLPKAGELTFGKPAPAGEPLEGAKKKKKKKKKKGGQGGGGGGGGGGGGGGGAGEEG GDDDEGGDEGEGGDEEGG L199_006617 MAYRHVLPVISTAIAGPGPSTITRYLNSSVRRPLLTSTPLCPVL PCTRIAVSRRWSSTQSPLPISEKNKDLDDSPHSSSSANEKLAAEGKGTGLPIPEGVAT ASTSCSGTGSIAGQNSSSNPSTLSEPSELSDESRRNGHAASETTSQLPNYPTLPSSTS TSKSSNSSLESSSPSPFSTSQSLRLPSSISLPPEVRERLTEWSTTVLQHSKRVAKDAQ KGLMDLGLRVNEMTGYKEVERLKGLVFEKEDSLQKLRESARSAKLAYDEAVSTRSNAQ RDVNTLLERKHSWDDSDVLRFTHLVRQDHSSSHAVLRTSSEMKEAEMKVDKAFNELMQ TILQRYHEEQVWSDKIRSVSTYANLLGLALNAIIFLGAIVIVEPWKRKRLVNKLEERM SDMMLKVESGLKGLETKLHEGTVRGNVTIGDFEMVHPVTNEVLPITRPNSPTSMPTIE DRAIKDDPFPIDLPSEIAAPPLDPLIPSTSTSTVPMFEPIIQTRIEGLPPYLNVITQP SQERDMAIAGMAGAAMMGLVITLGKWIFS L199_006618 MLLSLLSLLLPLISYSVAITIPRGGVSPPRPLSRSIEQRQSDGI GWHGYRSVGYYPNWVIYNSDPFAVTNITASDYTHIIYAFANVDLESGQVFLGDDWADT QYPYPTDDTNEEGNNLYGNLKQLFLLKQQNRNLKVQLGIGGATYSSNFNGITSQQWRE TFTTSAVDLVTNLGFDGLCLDYGMSESSR L199_006619 MSAQTAPLVDLFRKLRVGLDSAASQIGGGHFILSWAAACGAYNW AAQDVAGMDEYLDYWNLMAYDFSGPWTDTALPASNLHPDGQSSDVGASGSQCLQHYID EGVDPRKLNLGMPLYGTGFTGTQGMWTPWTGTEQYDVSELPVDGDTVSYNATLGGSWS YNPSNGRVVSFDIPTLALQKAIYVIEHNIGGMMYWSIDGDYSRLQPEGASRPGDLSAE RQHDWSKRSVDGRNKKGGEGEEGDNLGHDGGGHNNCTEEDRGGHGQGGGDHNCTGTGD DGGNGGSNNNTVSINTAIGYSLVDTVVTAFKRYGGGLDTTTNRLEYPTSEYDNLRAGF P L199_006620 MSLRRSLVHTTLNLSRARSATDTSSSYLYSPSKKRYFGTSSVRM SSTNPDPTQVESQEPTPADVDIYLTSKILHPSYGNDPTLNEGLTRARENDLPEIQVSP LQGQFLSVLVRSIGAERVLEIGTLGGYSTTFLSKSLPAHGQIDTLELSPHHAKVAQEN FLASDLYPFPRIHIGPGLDTLKKLKVPEEGPYDFVFIDANKDQIKDYFIESLRVVRKG GVVVVDNAIRRGKIHPDSVADSSKDVQGLRALYDWIEQDNGKTVLSSGIQTVGSKGWE L199_006621 MSSASKSSNAIEQGRLRHRYKFRSIPKPLALSVLLGCIAFGLYV MGSGNFIDKHFGGGGSKPSPSEFVGHGGGHGDVRGGKKIVGYFVNWSAIFLNLHAAKD FRLNFVLSPLDRGIYGRKYFPQQIPVQDLTHINYAFANVNKETGEVYLSDSWADVEIH FDGDRWDEPGTNLYGCFKAIYLLKKQNRNLKVLLSIGGWTYSPNFVNIVSHSWRRKFV ETAVKLVEDLGIDGLDIDYEFPTTSEQAEAYVSLVAEVRKGLIKLAQDNHQPITQYEL TVAAPCGMQNMKILRAKDMDASLDFWNLMAYDFAGSWDQVAGHQAALYADNPEANSVD KAVRFYNLQGIDKSKLVIGMPLYGRAFANTDGIGLPFHGVGEGSWEAGMWDYKDLPQP GAQEINEPRLGASYSYDAQKRLLISYDTPAIAQQKARYINSEGLGGAMWWELDADKNA STGKSLVRIVKDELGQLEQKKNELNYPMSKYDNLRAGMPGQ L199_006622 MSPLTASFLLLLSTLAITPLQISADSLQSITSNYGLTESFNFTF PDETLNSADANDWIDDNWSLYYKKGVDWGNSNIVFSPDPSTSTSTLVRRQESSTSTST STSTSTKTKTKTSSASTSSSTSSTTTSVSSPASTALNGEPPVIRIEYPQGSYSKRTGG TQFYANPLTSSNAKVAAGSGNSTTTGQYERMLLSYDVWFPVGYAWNQGGKLPGLRGGP DPKGCSGGNETDGTTCFSTRLMWRSGGAAEVYGYIPTTQKNFCSQSQVTCNSDYGTSL ARGSFSFVTGQWQTVQLLVVLNEVGTANGIVELWYNGVQALKFTNLVLRTSTDLNSVG GMFFSTFFGGDDSTWATPTDQFSYFRNIQLYAGAGASNLTGDKATVESFAALNTSVSG WGMLGGVVLGLLGLWVL L199_006623 MADVEMSSAPVARSNLRYGGNKGRPTTVIPKTVKPSHKKGVKTE KKTFVKSIIREVAGFSPYEKRVMELLRNSKDKKAKKLTKKRLGTLLRSKRKIEELSSV IQEQRRHAGH L199_006624 MSPSTSTSQPPPQPQPPSDDPNSSRLHKAKVNLTYLALGSQAAV VPASLTTRSALTTARYAIKYIIRRLIRYAKYAAVGAAVAAIGGGLLGTIGSGLAFFAA PSIGVGMGLGVITGIVKFGWRHRGNHFRGGIWEGWSGMRARAEAGHDGAQDEALDAAY REEERRKAENKATRADVWMRV L199_006625 MNRTLALSRKTAFSLPIRPRPSPLPSNLIRPTQPSKQLTIAQAL FPQLTGRGIRFYATDNKDHPGGKGPNPGPNPKTVKDSNREDQNATPGAREELKGMTKD FARIIAGSSPQAQALGAREVSAHGTAHGSITDDFLSVTKGMFTSVPKPVLYTGLAGTI PYLGTSLSIVALAREASLAAAGESPAGLDLATCLSYLHTMEHVQITYGAIILSFLGAL HWGMEFAKLGGEQGYQRLIIGILPVLAAWPTLLASHGVALAAQWFGFTGMWFLDQRAT IAGWTTHWYSTYRFYLSIIVGFSIIGTLVGTSIYGAGAGATEDAHAAHLRHTTPRTSA LKRLDKVKEKNYPSHDSTAKVNRVEGKVGGPIQVEEEGSGEGYLKLRNIDREKEEEEK AQKEKEEKQKEQDEKENKQKEDSPNDMKSGTKDRQGGESEKDQGKKKAEEQGATEKQE GEKEQDKEKKEDGNDGEEKEEGKEEGDDEKEDKKEGEDDKEEKDNQGGDNKDDKQEKA AKEKGAAGDKNTGMK L199_006626 MARHPGEGSERESSADPLDLISPPRSTQPVVQPPRSKSTSISAS TSTSRKRNSRRTSSRSASPVKKGTSKSETTKSKSTSPEKKVVRRVVHGSQSESENLDE VIVEEERSNSKRQMKKRRIQSDSEEDKDGGMKSIIAAKDADGEQRTEEVARLAGQSHE PADDLHDHEEVMMEEELGDMNIAVAQDDEVMGSTSVQDGTVDEERSDAEVDSHQQEEQ PEVSGTWEGDNMELDNKGNGDTTSIQNEKAEPKPTPTPPEIDGTNDTNLQAHPSPNIS PSATIGPIQAADPPIDEAPMAETFEIHKEPKDLAQDDIEGMIKGDDLTATSDTAQTNQ PDLLSDRQIEIIVDTDGGLLTEKEGEAVAKKENQDEAEQVGNINSTTDHIGIGQATSV GDSEEVIAKPEHGIIEQREEHSVEEKMDIDSAEKTDQVVEAEGEMEIDTIPNHDVEEQ GEGENEIGSSHNATPSPSSPAISITASQASTNVTKPNKKAPATSKKKSANTGSKKVST PISVGSGTGAGTGKKGAGKGKGKTKVEELKGASSSKPKSSGSASSDRAPTPTTPTRPT STSNNSPNPFQSPDKNAIYCVCRKPYNEEEDEVMMVGCESCDNWFHPNCVGLTDEMVD ALDVYICKSCERSTHQRTIYKQLCKRDGCSKSLAGTSSKFCSSSCAFQHSQSLLSSMT NKNTLKQLAKTFIGFPEPKLGISTVNHADHTPSLQPNLSTSHRLVDLGKQLGKVNKSI ESVMKRQQILDEVIRKAENAILTINVIEEEEEEVRQSKKGKKKKNGMNINGNGIGSGK DDKPCGWNKILIADDQEVRSFNLTEQEQGIKSEEDEGEICMRGKRRCDRHQGWQRTIA AQLEIEMASLERTQKNLVDYIDSLKSSSEVITFSNEIRNGFLERKGLLKS L199_006627 MTTRTAILSSLLFASGAFAHCQLAWPFPLHSPLNPATPEAIKDY SNTSPLITDGTYPCKGFINNPSSDMGSVATFSAGSSMNYTIAGTATHGGGSCQISMSY DQGSTWNVIYSQVGGCLVDGMTTIITIPSEAPNGDALFAWGWFNRQGNREMYHNCAPV TITNGGSGLNSNDYPTPFVANANVNECKTIEGIDVVFPNPGKNVNYGGSYASTKPTTP AGFTGSNCVGPGATESSPSSASASASASTTSSAQGVAISATIGVQVGNGQSTSTSAVA DQPTTTEYSLSLDPTTTSLTGINNNNAAQPSTSATTSTCKRRKRRSNSDSVERRHQRL IRRPRADQTTGRVAAMKAEHINRQKKRGTGRVAAMKATHNV L199_006628 MDQIPIVGDIISQLQGQGEGRPPSPPVTSHGKRVVGYFTNWGAN HFPPSMVPVNELTHLNYAFAKVNKDTGEVTLSDPQTDTEKHFRPAEAVAGDVAPIEPT EGGKNLYGCLGAFYLMKKHNRNLKIMLSIGGATYSAAFENIEFIHWRNTFAQSAVRLL EDCGLDGIDLDWEFPKNTKQGEYYAQLIKQIRYDLNALAQKNHQPKGQYLVSVAAPCG EDNIKLLSIKEMDQNLDFWNLMAYDFAGSWSKVADHQANLHGTNPQDLSIDRAVKSYE THGVNSRKLVIGMPLYGRTFENTEGIGKPFNGSSTKIYKELPLQGAKVHNDTHIGASY SYDNHKKELISFDTPEIAREKAKYINQRSLGGAMFWELAGDKPHNSSDSLVKVVHNNV GQLEKRQNELKYPNSKYDNLKGGMGGGH L199_006629 MTFPQVFLPPPTIQSFPLSNNNSKLELKFHTLQSPPLEHSQDYS QTLSLRDRLGKRNSTWIEFSQDGLESLERSTILGKRTERREADIPKDNENWNLKEGIS RAVTGAENISEAHEVVDKNLEKVIVRDFELEEGRRQSRRVTRQSISLLNTLPQIYPSA PPDQTKDFQDESVDSLSTTTTSFPSQSQLLTNPGNTSVSNLPRWSIPLHRLSTLHSLL SSTSRGKLMCSVIVCVLACEPIVQRQRKNTFQSGFTRKVIAQEEGGGSVLHIGKWTVT AQPSAGEVELTSIVRLWDDCALEYAEKMRRGDVVLLENVEYKPSTAKESSHISISPHH SPKITILYRTLPRYESAARNDYIYQPPTRLGKPPTTGGKGTRGRMLLEDKMLRPDLRL GRSEIGIRRVEGIAKWFAEFVGGEGPG L199_006630 MSKQNTSLSTKKVHVYNQSSNASPNDIKSILSGTATQYLIARYE SCRGLSIVGGIPDDWDARAFVLENSKCPEGTHGPKDIEEFYHCTTKDDASTRTYYLIN KGLYHEERPIVEVGVSTCTYEEDLIQL L199_006631 MPSSSPVIILTGASRGLGLAVLKILLTKHNARVATLSRSISTEL QGVVDEYGKDRVLPVQGDVGKPEDNIKVVDETKNRWGEINALILNAGTIEPKKIDSLP TESLIPYVQTNLLSTIYLVQPALPYLRQSKGKVVLISSGASTTGYQAWGLYSMVKAGM NSLARTLAAEERENGVGVFAIRPGMVNMQALLRTDGPAAMHPDEMIKFQSAYEKGELL TPEQPGSVIAGLAISGPQELSGEYINWADDRLQSFRH L199_006632 MSRIPSGPPYSYQLHPQGGTGYYPNPSLAGNPLPPVIHQGLPPP PTSYPPDPNLYPYERHPQAINGGWTTAGPIPGPGPPGGIPLEAYNAAAGPSRPGTAGG PDPHQAYAQPARSSPSQPVPPRQQPNPNIPWSSVQPVVTPTSNTRGGVKLEDLVSTSD RRAGPGPAQTKVNQAGGGNESSNKRPNTSGNNDGSAAGGGGGGGQQGPSEFIKKLYKM LEEESATYGKGKAAGQPRGKDGKRGSVGWGRGGTSFVVWDMNDFTTKVLPQTFRHSNF SSFVRQLNKYGFSKIKHVDEESGTIKENVWEFQHPSFVAGGKSDLENIKRKAVAPRKT AGEGDDTSPRAFGLSAEDSSRINVMENRIVTLEGKLERALEEVREARARESGVMGVLK EIIHHLAASERETSGSPMSEGNYSPRILHLFKAFDSIPQQGVRSAPSTEYGAPVSALP YPAQMSGQYSMSAAPSFNPLYSNAGTTQTSPRTEGTPSRGSRGSVSGPVSAGGMRNVS GAPSRMGSISGPVGAPPPPTQQAVAPPPTALPPLASSATVQPDSIMPKEEIIESAGEM PTLYNGEPLGMTPMFAETPAWLTEGTQAPMSMYHRKSSDGSTLRMMYDVLSGGGVNNP TGRFAEEGNAVPLATGASNSAVAGEVSGLESIPEQESTEQVQGQASASQIVDMVIPPP GGFENADLSDIKGKSAVSVSAGDGNVISASSSNSNGGVNGHGHSKTFKKSSPKSTHSG STIKPHWATTPKILVVEDDLVYRQLSSKFLSKFGCVTETVENAQGAVEKMNKDKYDLV LMDIFFGPNMDGRKATSLIRQFDNYTPIISMTSNAQPQDVDSYIRSGMNDILAKPFTK HGLFLILDKHLMHLRQAQIYEKIIPISVGVPPLSDQHVQEALAISAATIQNQGNAGLL MGLSGNGDLNTNSMGGSGDIAADGGENDHDEEVIMRNPLAGSGWSDETYQLVLQQFLA TGMMPDANSLSSGSIGTGIILGDPSSISTGFLSPGGSGGNNRKRPIEALNDDGSVIPN EWDGSNPNSIQQVDPTQTGLGPGMGFVNGITIGFLPSNSSFIPSNSNGMTQEDGNGGR ENKRARGVVG L199_006633 MSSQIPKSIVRPRPFRIFALPLAKVPKPHSLPLSPPPNPIRTPT SDHPSPAAPPTNESSHSHNDSPGPAESGSGSGSGSESAKTPLLLFQTIQPDKPPSQGP PSLTSRALNKASDTWLNLGRKPKDSWTFWFYEKGEKLMDRIEFEEWSLKGIKEGQGVK VDRDGKLVGERIEIPLLRPEIKGTTLPPLLPKLHRFLLHRIPYHRKMMYRSLIFTPVT WPFAIIPVIPNFPFFYVLWRAWSHYKAWRGALYLETLLQNGLIVEKESKELSNVYATK EGIEGKRENRAPDETSSPEDESLKGLATDTLTDIVREDNTQNDEKKEEIPKQAKGQTT GSIDGTTTPESMVYQTSSTKTEKGEEKLPSSPGPIKSKAHHPSLLLSPSQVPLLAQTF DLSPLEVIDVVRAIEQADHRARKADKDKKDKKDKEDKEREDEEKKKEEKGEKGTEWRG NLHR L199_006634 MSVEEHIQSSLSLNSQLLSILSSTDHSIPDLKQQQLYLSDLTSQ LSSIDAKLKELDRVREKEKKEHISYRDSVFKRFAYKSTGRKEKFEKKAEKEEKDYFDN LRSTQLAQDSRKQLIQLIEQAKSTEDQLKQSVDKHQNAQRELDQLYDSIFSGSTPRYP QEDDLEQRSNNALSAYHSLRQSLEAELQVKNLLEQASRAMRACLDHMRGAEGYSTWDM WGGGTMSDMMERNELSSADRQWSTVQLLTQQAKNLSQSVRDLPQVRVAMGNIMSDVFF DNIFTDMAFHDKIKNSIYELNVAADVVERNRQENEIRLNEMGGELKGREERLERSRRD LQDLRSEIFRQIGEGQK L199_006635 MPKTKPPATSSKLTSTITSKSRKSNNQSKSKLYSNFLPIPLLLP SPSSSKPTTHYIYVRPHTSKSSTSDGKADLPEDRTLFVTNLPVDAGLQDLRGIFGKWG VVEDIKMGGSHGGDNVLEKAVKGLDVDSESDSDEEGDEDDDDAEPKEGRKEQDEEEGE NTKPQLQFQGDIPIKLTKNQRRQQRRNARNALPPSVPEIINLPNLNPRQSPLGISGSH SCHIIYLDPISITRLMSSTPSSINLTKYPSEPAGLEYYTSLYNTCRPDLSAVKEYADS SMDRFDHLHGLLLSSRAKAQGAGALVDEDGFTVVVRSGRYGRAGARGDEFGTGKGGVG VASTNFQKKLKKKKEGSGAGELKDFYKFQRNERKRQELAELRSKFESDKQKVEELKKN RRFKPY L199_006636 MVASRLISRAIARPPAPRVLKPTFSRSLAAVHPVTLPSQDPETK TSVLSNGLSVSTETIPGSQTSTVGLWIDAGSRADADGASGTAHFLEHLAFKGTKSRSQ TQLELEVENMGAHLNAYTSREQTVYYAKAFDKDVPQAVDILSDILQNSKLEESAIERE RDVILREQEEVEKQLEEVVFDHLHAVAYQGYPLGNTILGPKEHINSISKSDLQNYISK NYTSDRIALVGAGSIEHEALVKLAEKHFANLPVSSNPVPLGGQAHSPTDFLGSEVRIR DDTLDTLNVAIAVEGVSWKSPDYWPMLVMQSIFGNWDRSLGASPLLSSKLSHIISSNN LANSYMSFSTSYSDTGLWGIYLVSENLMNIDDLVHFTLKEWTRMSISPTIAEVERAKQ QLKASLLLGLDGTTAIAEDIGRQLITTGKRYTPKEIERYVDAVTPEEIQRVARKYLYD KDIAIAALGRTEGLFDYNRIRADMSSMIY L199_006637 MSHLFQQQPNSYSPYAPPSSSEPLAFFGGQPSGPSGSPYYPGSR SSLEGSMGPSGPGVGAGSSAFGATGNMNMANGRMMLGEGKWWEAFGTGGFEGEPSLME ELGINPSHILQKSLTVLNPLARVNENIMDDADLAGPFVFCFAFAFFLLLSGKPQFSYI YGVGLLGTTAIYLLLNLMSETGIDAYRTASVLGYCLLPMVGLGGIGMGIGIDSAIGYI LSSISIAWCTFSASSIFVVVLRMNHQRLLVAYPVGLLYGCFALLSIFNVKK L199_006638 MRGSAQLTGLIRSTRVSLTSLRNVRYATTSMRMPAMSPTMTEGG IASWKKKEGESFSAGDVLLEVETDKATIDVESQDDGVMGKIIAQDGSSKIPVGQIIAI LAEEGDDLSAITIPNDLSPPGQGQGQGETSASASSSETSAPKEEPKKEEPQVESKKEQ PSSSGSEQHETGHGHKEIKHSQPIFPSVARLLQESPLTSEQISKLKGTGRHGMLTKGD VLLALGKVKNAYGSAEKLNLDVMGPSGKRASENKATSPKDGAAPAKKEEPLDGPALRR LILAGLSKATEPAKPVIDHSSLPPSSDYDFDSIISPYASLLPPPKPQVNIPSADKLAE IESTGLSGKGAKKDEWAGLF L199_006639 MSNSPTSSSSALKDIVATPPINIRRTLSSNTNAQPTSPGGTSLS PTSSSLGNSFKYNHSEIDKQPWKPDDQSHKTRIFSAIGGHMESSAKGEILLGIYDDLQ LSNKDTQVTVGDVIRQGKDKTKNYQLPSGPTPEGYRYFTE L199_006640 MFTPLSKGSERAQDRLWDKTNSPHKQKIRPSLPLPSTADPSSSS SSSTQNRPLVNNIDRKGKAKGKSKSLGEDLKAVTSHLSLGKPGQRYKRKLRRLSGIDP SQIQGQRQEGGNGRWTKWFFRPSRNTVNTWLDSWWKRHFVLVILPCVVVWIWVSLPFP VSDPYKDDPFPEIPSWPKKPKDDPSSPTPGDGDGDEGKERDLPLDVNFYFFLIWYFGM YLAVALFFITNLFSLYRLNWWPSKLGGKLSYALTWSSTLLLGLAAHHLDLFGLRKRWE SNKDPVDGGVDWERKTFWVTLSFIAMLMPAIACFSKLKRDKRHTYRQPLSTVTQIFLG GHLSRRFPASWLRFLWFMTCLAIACFSLIAGQAYASLYLTTLPHTSLDAGTWVYSWVI TVQLLAQISFFILSSKVRSRALLFLYKLFFQLVYHVFYRNLFARLRSPTQYATVQLLS SISVIIIFPLQMSHTYHRLLQLLVGYPTPWEEHVENVATSFYCRGLAQNVTMVGFLGW LSILHFGPNQHIYPFFRFDPTPEDPYTFPLTFIASSIIWGSELISSFIARLLMSIAFG VNVSQIGLDEMREYPELGWASVHVSMNILLFLIKLNFR L199_006641 MTHSSSLFSPNNHIRHSHYLQPDTSNLVPPQPPTSFGRSNGFLK KIPSVLFNKERTPIKNKSNKTKSNIYVDPPSPQLMKLSTAQPPSSTVDHIPTIVGEKD KKTKKPRKALADLFGWGNHHNHNNIQVQAQPVSPILEKTTFAPAVAPKDNPAMLKKFQ RPPSTKSSACHPGSLRPPVQPAVVSRPSIGDDPFVRNGQGAEVVEHVYRHGAQTPSAK SIALDRRASVSSGKAMSYKTISSDVHSTKEVTERFQPNMTGNTVEQRRVSAPLASAPL VDLPLRSASLGKLLGSTMAAPLPQLPESPIEAEEPPNKTLKKEKTKSRVWGLLGRNKS KKEKVKIPSEGEAPALPAKEAWAQPAAAPTTSSLSAHIDDKYAAATIKSNVSSMRSTN RQRPPYLHISARSMDAGERRSPVTASTMCFPNSAKLSTFGDQESSPNTTPSKYPISSG RGGVWESVVGTAATRSSDGEPCQAIEALMGPPRLPKRKSLTGLFGVTIKKSFERIKPS SSPRSHASLFHLHRIDASPPRIAAEPTLRSLAEEIEEASEPFKTAIVPSDKFVSRFSA QDEPKEKKAEILRRDRERVASATDKLFTLVSCLDFSPASSNSPTLHHMGSHNSLRKGL NGSPTPVRRVRSAMLANKASGSSLKPPSVNVSPLKLALHRAQAAANHKKELEPSSPPR ESLVRKGMRNIFSPPSPSPVPPKHGKPSRPAEDVIAAKVTDERVGMGQTMGPNIIQDR TLSIKGSIASDVPADLKAFLGSTAVDEVASSPGKTAVLGLPAPPPKDRVPVRRPGPAP PALSLPPVPDMPHPQDNDIAASNYSQTDFDHTEEHDPRDSIFSDMLSIGNNDHRNTFD FTSEYASLHQGDQRASFVEALKKVNSAQMFLPGAAPPLPALPMDSSLAISTSEAIPSF HISKPSDSTSIHDSSEDEDDEEYDADDGDDEGLEHSAVIGRVTGFAKTSPVRREPFKG QFAFQQHVAAMPRQGSHASFGAPEPVLAVPDVAPTHGRRRGHHRGESGLSIATMSSIG SVIGTGNEREYTNYFEVNFTNQNSHSRHQSITETIHEASDENSPKRVTSQTSMTSVNQ VGARPTTRRGHHRRNSSIVSVDLLSETIGHTFSVGPPISSRNSRYGYVSKHRRNASGD STFGRPDWAAHRRHSSSMSTSSNFSISQIVRPGLGDRMFQLDGGVQLTSIIGSPPDQP SDSRHVQKSSWDSLFDGTKSRIDDSLFDSSHHHHSRDSIFDSDSSFNRSSADVDSLFG PEQTSAKKDFFLKRLRPISTVSTATSVSNGDDTFQHVQKYMQNVVTPVKAMAKEFEAC LQADGEDMSNMTPLGKVRPKAHTGRQMLGFSISRPQRPGRRRPAQLIITEPPLDTPGL TSPSASETSSRLSLDTNAASITLGRRTRPIGAGHYRQKSSAGVNVDATIHEMPSMATL RANKSSDSPKPNSLISREPTIVGVDDLGEDEEFDRMKSVRNWVEWEREAVDEFRKTKD CWRDSEESKHALEDWKMPTTTQEIAAFLAQSTQAYKPLDQLPLGKIAHRRKSSLSDSR GLCSPYGLPLPRPEPLVNKPKMSLTTKYEKKNSTSSTISASSAFAFAFPFPDDVPEAP CAPAQPISSVFAQFVTDNSTRTPPSPPSARTEAKPAVPFQWNLPSTSTSTVDHFGIKK FLETDNGDTAKEDDKKRNRVTSTARRQALGWGRRRNSDGPEKTIGLGYNRYDAVAIPN TAVPLQTKTTNYPTKSKNSKSEMMKDKRKQKMNIFDDSFSSSSNPNNDGSRKKSSVRG GIQGQENDSTNGQSSKSKLTAKTRSPVKKRTLRQVASTPRTLRV L199_006642 MVTSSTTLDPSPYTPSDAPAPSSHSVSTRVGGEDEQDLKKKLSQ GSREDEKGASSSKEYEERGIQEIDDEGAKRKVMVVMEQKTGKEILKHVDGGPYTQPRW RHSLPFVKPKHPPPPPPLTLDDAKVTPEVTANFWDTLFFNWISPMMALGSARPLQDTD LWKMDEARSAKRLADKLLISYARRTKAANEYNARLTDPNTPLPFSKRMMYPLLPNRQK REKEYREKHGKKHASLALALNDVFGLYFVSAGVIKLFGDACQAVTPLLIRALIKWSTN WQLADTLGTPKPKIGNGVGMAIGLLLLLITSSLSIHHYFIRSMGVGVLSRAALISGIY QQALRFTQKSRGEIPNGKLVNHISTDTSRIDFAAGFAHIIWTAPIQMIIIIIILLVQI GYSALPGIAFLLIMTPLQVIFMKNLFAIRKKAARWTDKRAKLLQEILGGMRIVKYMAW ENPFLQKVHNIRGMELKYIRSLLTFRSGMMAFAMALPTLAAIISFITYSATSHDLQAA TIFTVITLFQLMRMPLMMWPMTLSAVADALNALGRLEVVFDAELISENLRIDRTQEEA VKIEHASFTWDAAPIEDDDGMMKKLEGRYGKNPGAGKPTPKSNEPKTRVKDQKKKRRM FWNKKTKKITAVDEIEAEMASGGPHDAEASKQAVGQGAPFGIPAVDESKEKEAVPEAK IFQIHDIDLSIPKGSLTAIVGAIGSGKSSLLQGLMGEMRRTEGKVTFSGSTALCAQTP WIQNATVRENILFGQPWDEERYWAAVRDACLEPDLELLEDGDGTEIGEKGINLSGGQK QRVNIARAIYFNADIIALDDPLSALDAGVGKALFFNAIIGALNNKTRILVTHALHFLP YVDNIIMMEDGHIGEMGTYNELKGRDGAFARLIREFGNEEQHEEALETEEEAMNSSGP AHKYDRSKMVSKGTAHALMQAEERNTGALKKGTFWAYLKAGNGVFMLPILLVAIAFAQ ATYVITSYWLVWWQEYKWDYGNGFYMGIYAGLGILTAITMFFQGFSNALINYFASVRI HKNAITRVMFAPQSFFDTTPLGRIMNRFSKDTDTIDNTLSDAMRMAVGTLSNIVGATI LLAIVEPYFLIAMGVVSLLYVHNAAFYRRSSREFKRIDSILRSSLYSHFSESLSGVAT IRSYGESERFFKDNVYRMDVENRAYYLTIINQRWLGLRLDFLGSLLSFSVAIIVVCSH KVSAASGGLGLSTIITVQQSFSWLVRQVAEVENDMVGAERIMHYANELDQEAPHQINE VKPPQSWPEEGKIEFKHVKMRYREELPDVLKGLTLNVGASEKIGVVGRTGAGKSSIMV ALFRMSELSGGSIKIDGVDVSKIGLNDLRSGISIIPQDPLLFSGTLRSNIDPFNTKSD IELYDTLRRAHLISNSPRQSTSIDGENEKQQQQANSKRFTLDTVIEEEGGNLSVGERS LVSLARALVRNTKVLVLDEATASVDVETDAKIQETIRNEFKDKTLLCIAHRLKTILSY DRILVMADGQVEEFDTPENLFLKDGIFTEMCGKASLTLADIRAAAALRF L199_006643 MFLYQTFTILALLGLVSATAIQVKRDDYDLKFNIEFDEDSGHQI TDKNFGTGGEIQRGEKTAEFHWDKFEFGTDRALRYFNFYTYEDDDKDEEFTARFECTL EGLKETGLLEQITYDYELHGEYNWLHSKYRHEEARLTCHKEGSSQTEPDITTDLMPLS KPDGDDDDDDDDFLGINL L199_006644 MESIFDNDSYLFDPSSSSQYETSNFLSFSSFSEQLSTWEDFNLD YKEPPARSVSDASSPTLSEPFEFPSSSFDPITPAETSQIGIFHTPEKKFANAGQDQTA FFSHRSSGSGSTDSWGEPTTPSLSGKSFAALSGNNFTPSQSTPGFSWDEATPTFSGSS YTPPTPAFQAQPHRVTSNPVLSTTSRQPRTLNKPASMPVLKEEETPSSQWLDGTSFLE APLEIPNAAADVSVDAQELDDFVWNLNDQTLGFDNFPTEDTIFAAFNEPTNAIPMMHS HSHTGVFPSQTPEFTFNPSSFQSDYPSWMSGLPATQDVVGLGQFDLTSLNQNQQMGLL PAFTIDPSTIMGTNGGMVDENSSRPSSAPGLNGGEESKGMLSVPTADVMTRSLSSEGF VPSRHAPPRDLFSYAPQPIPSGPPGPQPLYTSDPSPSYQQLAPSALSYGMPPTPTRSS SRKMSNSLSIKIHPPAYSTFVPSPFPPTPQSATFPTSLAAAQSQSQQQQPIAMQRAGT QPLPQTRKLSGAGVAQPGLPAHLARAQAIVQQQAAREEAERDSIRREAASRRVGMAEA SVMQPTISATSGKRTQPAIMGWEGPSAQTGSLPVLTHTPLVTIHPPPAQYLVTGAPIP VHRVHPPPHPGNGTAATGQPRQIARLPSSPARLRKASSSNQLTPTRTTPKSRSPSSAT KRKASAAGGFTFADPFINFTADDAQKLLSGVAPSGSQSKRKREEEAAAKAAAASNSSS GEEDEARSKRSKSNE L199_006645 MADSATASAAASSSTSSTIQTKNSLKAYHHLASGALSGLSSAIV LQPLDLLKTRLQQGFGDGEGQGVNKRRRIRGVVRQVLREDGIQGLWRGTVPTLVRNVP GVAIYFYTLSSIRHKLSSIPYFAVTLPIPTIAPTSSTSSSPSSASKSAIIKLSSGGNL MAGAIARTSVGFILSPITVVKARFESNRYANYHSIPSALASLYRTNGIRGFFQGFTAT AVRDAPYAGLYLVFYEKGKDLLGKMTGIPNAALHSGSGVMAAVLATLITSPADVVKTR MQVNPVDHPTLRKAVVKVLQDRGPLGLFSGTSLRISRKAASAAIGWTVYEALLIFLRD REGNDNPQNQLNKLS L199_006646 MSYPDKSANSVHSLLALLRSAQDGTSPATSSSVPPQSTYPPNAT ATGNGSGSGPVTRPNVNVPTKRQLDDLLSSLNARPQPTPPKAESPSQRQLIEPFGPVG ETPSPSKSPYDPLQSPNRRQRTSNTTTNTSEYGTRASTSEDTPPRKKRKPSERVDEDG YSSMSFSKALPILSELLEDEGFKLELKKMKKEQDALERRSWAKSEKVKAEHERSIQAE KEIAKIARKAIPPEKKEAWAKSLSINLDSFYLQQCLPVIDGLASKHKQRLVELGVPGL GDGGEKSKERIKRIMELLEAGLEE L199_006647 MLFCPYCANNLTIGDREDSNDKCWICPTCPYQYIIDRQISMRTH LKRKEVDDVLGGKEAWANVDKIDTACPKCDFRKAYFRQLQIRSADEPMTTFYKCCECA HQWREN L199_006648 MTSLNLRPVPSSSTSSSSKPIPSPSLLKPWDFSYSPSSDGCDKN LLIMFHGLGDSKIPFFNLGKQLNLPSTAILSLSAPDPIPLMDHPSFSWYPTFTPTFDP LPIQNHNPTIHMAKLRSLISTLTSKEVGWELKDIHLFGFGQGGTIALELGLSIYNQPL GTGEDTPRRFGSITSICASILTHPTSTLNIQTPICYLTRHSPQSAIHQKNLNILKRAY KDVEVVQASGGNEQMPRNKDEWYGIMKFWGQVLGREDEGWKGQGEVYEVVR L199_006649 MRSIIILSALHCAIAQNITQTSSISFSATPVSTTVPSPTVPLDT PVPGQGDYPPVQYLCEDGTNTTYCPGVLLQDVQLAGIFPDSKTFVDKPTNGTLNDTLA AFNALGSNVTVGQIETFVESNFKGEGLDLEQIPIEGFNQNPAILDNISDPIYKAWTSI VNSYWTLLIRETNQSSLCNGTCDSSLIPLNHTVVVPGGRYREIYYWDSRWIIEGLLKS ELFDYAWNLLQNMMDFIDVYGYIPNGGRKYYVNRSQPPMFIQMLDAYVKVTGNTTILE RALPLASAEMQWWSNNRTFNYTSPFTGTTLLVAHYAVNNSAPRPEGYVEDYETVTGSS PALNESAKAELYSELATGAESGWDYSSRWCKQPVQNVSDNNPALRTLNVKAIIPVDLL SLLSGDHLLLANLYDLYSNTTGSSAPTTSNSTQTGNSTDIVQTNSTGTGSSGNSSSSG ASPAFYHRQQAQLFSDAVLDLCWDPEKAWFYDFNTTSNSRSNVYSPAGAWPLWQNITP NEIANNETEALRFVSGARFLLGKYSGIPSVASLLYTGLNWDFPNSWPPHLHTTIKAFE ALGRHHPNATVLSNLTIPFSQVASGQLGLQESELQPQPQSTIGNVSLQTQEAQGKPWP LALSIEYANRYLGAAFCSWYSTGGAIEGLLTQLPLSELNATGTYTAGQSGVMFEKFNA TDTDAAGGGGEYTVQVGFGWTNGVVLWAAGEYGQYLPSPTCPMIPIIEVTNNGNSSVY SNGTGAIGNDTGSGNATSSLLFAGYRIPREEWKRAAAEHQKRQ L199_006650 MFCAAKTTSNDLQDDTDSSVIEKCTMNIEQPVSREYDDRSEELK CRLRDLRVQVQEAKVDWYVVPSEDEHQSEEIGESDKRREWISGFTGSAGTALIPSSSI DSEGLLFVDSRYWIQAGQQVVEGWKVVRVGASGGSGKDAVVGGWVEWLLKEVEDGSRI GIDPKLLSIDLARSIKSHLESSESSTKLIPLTSNLVDKSHSPPERSTGPIHHYPISFS GENTSPKLVRVRSALSDHLTSSSSSRLRSREDWIYVLPTLPSVAWLLNFRCPSDIPFC PVAYTYLVLTPDKCVVFVDERKITDEASKEDWEKSGVEIRPYGIEEVGKYVKELQQGD GDEIKKRKKKVLTTRECSWALADACTSDIEVIPCPVDRLKAIKNETEIQGFRNAYLRD GRAMVRWITWLEKILVQEKKKVGEWAAAQTLTRYRRREELFAGLAYDDISASGPNGAL PHYAPKRGEDRVIDIDSTYVIDSGAQYEDGTIDTTRTLYFGKTPSSEIKRAYTRVLQG HLAVSSAIFPRGMTADRLNMTARGPLYQDGLDFGHGVGHGIGTYLAVHENELHSWYGH PMFPRNAAFEPGNITSIEPGYYKEGEWGIRIESVILCKPVDTLNDQSGHFLSFERITQ VPIQTSLVDYKLLTKSEIRWLNDHNTSVQEQLMPLLQGDEDKEVRDWLKRNCKPKKIW PWTGA L199_006651 MPDRTPTSPRQIQIDPHLPQPSRNMSMTSPVLTPSRQSIPHRNS HGGPRSYRHADDADARERQMQQDIESAMSMSRARSGSLADTSPPIVRPSAHHFPSTSP IEESSFPMLSDAEEAEMNRARYHHGPDNGSDDEHGHGRSHYDNQEDREEEGLMHDHHR GHGRGDDSVGGSSGYDSHARLLDRRGSDGDQHRLPMSMGMGNMERQGADLRSLGGMGG VARNRFDFAAMEEYANKEKQNLLNAEYGGGTWAINNNNNNGFPRRRSTPNKSPSATNS VRGSQEDINQPRTGGGSFERTQTMSAFGDEPEHEHQGEPDNMTEGPFSPASTARNDGD GPTTFHRRRQRKLSQSNPIQRRQGKLALFEGFGNSGNNGGLDGEVVPESSSTAFKAPR SGKGGVPIPSTSGGGPGGFAPYSDIAPPAPGHDRPYRFSFYSNAMPVTIHARSLAELP AENQTFEELFKGKNQSSSEKGTPNRDSGQDTPLQPQPQHIEPSTIASKTSMLSRAMAA HDMSREDSKQGGGGGGNGDNTAVEEDPEQFTWWLDVLSPTDEEMRMLSKVFGIHPLTT EDILLEETREKIELFRNYYLVCFRSFDQDPYSQTYLEPLNMYIIVFREGTLSFHFRGT PHPQNVRRRIKHLKDYISVTSDWISYALIDDITDAFGPLIQSIEYEVDSIDELVLILK EAEQSDMLRRIGTCRKKVMGLLRLMGNKADVVKGLAKRCNEQWLVAPKSDIGLYLSDI QDHLITMTQNLNHYEKILSRSHSNYLAQISIEMTDANNQINDVLSKLTALGTVLIPMN LVTGLWGMNVHVPGEDTKDGYAWFGGILGGLALFAILGAWATYKCFVVR L199_006652 MMRSKIPLRPIGLTSLPHRPITIKPSFSIRDQLISARSSSTKTT TTQASTISSKTFFNPHYRPIVPSLRTPTSSIRNFSIPPKSFNAKYPITSIFIRLGLSS VLGIVVLTSVILFHDAFTYSERHVDRVPCNPLSLKPRLGGKKNLPILEVNLDDEEDDA KRTMKGKPRLVVIGGGWGAVALLQSLPPHAYNVTLISPQTYFAFTPLLPSACVGTVEP RSLVEPLRKLIARVRGHYLMGSAMDIDMAERLLEVEVPKDGGEGTMRCYVPYDKLVIA VGSTTNNHGVKGLEHCYQLKTVPDAQAIRRKVMTNLELASLPTTTPEERKRLLSFVVC GGGPTGVEFAAELADMMAEDVLKYFPKLLANEVKVTVVQSRDHILNTYSEKISQYAEK RFARNDVDVVINARVQEVFPDKVILSIKDPKDKEAKPQSKEIEAGFVLWSTGIAMQPF TKRLVELLPNQYHSKAVEVDNYLRVQGAPQGTVYALGDAATVHTNLINDLYELWDRFD ANKDGTLDYDEWQAMVTHIKKHYPLATKYFGKMRDVFDEFDKDKDERLSLNEVAAMFL GMSKKVTSYPATAQVASQQGKYLGGMFSKLAKQHKTLEANGIEDLNDEVYYHPFEYFH LGSLAYIGNSAVFDYEGFSLAGGLLAMYAWRSIYWSEQTSMRTRMLLMLDWVKRGIFG RDLSKVSVIRSTSF L199_006653 MEVSSPMTLQYTRVIAYKRNYQAILDFSQDLDVSVLDQVVQAFY TSAGAQQQTAQKVLTQFQDHPDSWQRVPAILETSQNINTKYIALQILEKLVQTRWKAL PPDQQTGIRNFIVQATVETSQDETKMRREKGYLNKLNLVLVQILKQAWPKDWPQFIPE ICASSRTNLSLCENNMIILRLLSEEVFDFSAEQMTQAKTKALKQTMCSEFGEIFNLCN EVLEKANKPSLIKATLETLLRFLNWIPLGYIFETQIIDYLVSRFLEVPDFRNVTLKCL GEIGALNVGPEYNGKFVTLFQVVMTSVNRMVPPNTDMAAAYASSDDEDQQLIKNLALF LTNFLHTHLRLIETPDNTELLINAHLYLIKISTVDDREVFKICLEYWAKLVAELYEEI QSLPMSDINPLMNLNIGGLGAGLNGPHSLALNGMPLRKNVYSDILSNLRLVMIEKMVK PEEVLIVENDEGEIVREFMKESDTIVLYKSMREVLVYLTHLDVQDTETIMTDKLAKQI DGSEWSWNNLNTLCWAIGSISGAMNEETEKRFLVTVIKDLLGLTEMKRGKDNKAVCAS DIMYIVGQYPRFLKAHWKFLKTVVNKLFEFMHETHEGVQDMACDTFIKIAQKCRRHFV MQQAGEQEPFIDEILRTLHRITVDLSPQQVHTFYEAVGYMIASQPNKPTQERLIEKLM ELPNNAWDNLMQQAASNVDVLGNPENVKILSNILKTNVSACTSIGSFFLPQLGRIWLD MLGLYRTVSGIISDQVAQQGVIATKTPKVRALRTIKKEILRLVETYVKKAEDLEGINT NLIPGLFDAILGDYNNNVPTARDAEVLNVTATIVSKLGNILTPQIAPILDAVFEPTLG MINQDFSEYPEHRVGFFKLLRAINLTCFPALLEIPPQQFKLVMDSVVWAFKHTMRDIA DTGLNIAYEIANNFAASTPDIANQFYQQYLLNMIGDVFYVLTDADHKSGLKMQGILLA RLISLVETGSVQAPLFDPAQVSDPSMSNATFLKGYISDLLSKAFGHVQPAQIQSFVNL MFENSNDPIKFKLTIRDFLISLKEFSGDNAELYIDEKEAEQEKKAAEEREAASRVPGM LKPAQLDDDADL L199_006654 MVSTPLRRPRSPSPGGGAEDEMALPEDYKPYVPVAKRRAQMLSQ LGSKRETVKKVKTAEELEKEMEEELREQAEDEERAREKARRERTLLQAAQEVKERKAL EDALKSAADKEAEKEALLLAEMERAQKKLASAQELAQGTVYTESLKTSWRPPHYIRNM SEEEHQVVRDKFHIITEGDEIPPPIPHFADMKVPKPILDYLKSKGIKKPTPIQIQGIP TAFAGRDMIGIAFTGSGKTLAFTLPAIMQSLEMEAKLPFVRGEGPVGLIICPSRELAR QTYEGCQAMCNALKESGNYPELRSLLCIGGINMADQGDVLNKGVHIVVATPGRLIDML DKGKLNANNCKYLCMDEADRMIDMGFEEDVRSIMSHFKYQRQTLLFSATMPRKIQDFA QQSLINPILVNVGRAGAANMDVIQEVEYVKQEAKMVYLLECLQKTPPPVIIFSDNKNE VDDIQEYLLLKGVEAVAIHGSKTQEEREYAIRSFKTGAKDVMVASGVASKGLDFNEIQ HVIVYTMPKEIEDYVHEIGRTGRSGKTGIATTFVNMNTSEQTLLDLKYLLMEAKQKIP EFLLSIDDPRAAHGGALKGCPICGGLGHGLQDCPKLQEEQRRKQAANTRYDGGGY L199_006655 MSADQEPTAPRSVLPYPPIHEDAQFVVLSDWDGTITDKDSNDYI VDNLGFGFDKRRELNLECLSGRMSFRDSFRQMLESVHHPFEECKEELKKNIKLDPGFV NFYTWCKSQNIPFVIVSSGMAPNIKGVLSTLLPGPDTENIDIIANEVEFTDPAKKGDT WEIVYRHPESGFGHDKSQAILPYRSLKHKPTLFFCGDGVSDLSAAKHADLLFAKVMAN GHSDLKTFCEREKIPHVPFKDFNKVLERVKEVVEGKSIEQVLKEEGN L199_006656 MRIHLPLHASQLDPPASSSSSSSSPLIQLGGDLVLVELQGELSY EGDKSDGVIGVIGLDRPDKPTLHLGPHHLLHGKFINLQKPYAVIRKVIGTSNPVEGTT KLEGNASNEEDENSSSSSSSEEGEEENLFGQDEPTTPLKLKDMDYSSSPVYAPLTPID YSSDLEMDPSSPARSEWDQSEHDDQEDEDQERPNKRAKTKVNGGRKMTKVERRIKEKQ KKTESGEKDRTRSYQVIGIVRKKVVFALRPEPLVAPTILPE L199_006657 MSRRGFDTSLSSRSKPNRKNSATIPTPDPEHAISHVPTHTSSER AALDQNKKNGTSTKTNTSDEQSPAEVDDDVCFICAEPITFWSVGVCGHRTCHVCAVRL RTFYKKTDCTFCKTPLPSLLFSRSPSAPFPSEHHITPSPANVIAEAQAKIESLPKGAK WDEGIIHPGTLDISAFPYADDILGVVFEDEDMMDATLLLLRFNCPYTDCPYQGTNWQS LEKHTLATHGLMICGLCRSTLSRFAHEQGLYPPNLIGLHDPSRLKRGQRPPRPRGNEV EMVKGWDAPHPMCEFCHLGFFGPDELFKHMRADHEECFVCKDLGDRDVYFQNYDSLAK HFNQDHFPCPQPVCLEKKFVVFGTDMDLKAHMISEHSESMSARDRAQSRQINVDFSSG GSSTDRRSHGSRGFTLAQPFDPSRGGPSRIREGPSHQQLDQPSLTPAQRQQQERQVNR DRQEENRRRKAFATGLTRPDDQGSRVTQQAEQSGSGFSTPRERDDVDEATATRHAELL SRVSMLVSDSPTKLSSFRSAVRSYKNNESTAKDMVDTIFHVFDRDLDTTTGIVREISS LFSGDGDGEKGRNVLEALNAFRVEQQEQFPSLGNVTPQGLGSNYAGITSGQILNAKRS TRTAGGGGGSGSRTVWSRVEAAAASHPVNRPTATTGSNGRYVPGSGARVPISSTSAFP SLSGPSSGTAKPSHSTPWAGGGGGGSSSKTPSALAGPQIRSVNFPVATKAKPLNNNAF PSLPSSSNKGLSADEKKALFSKPNPRDESIRRIIGANPNPPPSNGWGTSTNTNTNTVN MENMSISESPADNSTNTQSQQGGGGKKKGKSKQLLFSVSARPQGGPSHG L199_006658 MATSMRGLTQYISDLRACRVRELEEKRINREMAHIRQKFKDGNL DGYQKKKYLAKVVFTYILGYKVDVGHMEAVNLISSGKYSEKQIGYLACTLLMHENSDM VRLVINSFRKDLDDHNEINNCLALHAIATLGGQEMAEALGENVYRSMISQTSTTFVKK KAALTLLRLYRKHPTVLPANEWAERIIYMMDDYDHSVVMTITTLVTAMAQDHLEAFSG CYQKAVNRLDKIVFDQETPAEYVYYKVSNPWLQIKLLRLLQYYPPPDNQEVIDMVNDI IQAIIDTSQETPRNVQHNNAQNAVLFEAINLAIHIDPESKVCHNAGILLGRFIMAKET NVRYLGLDAMAHLAATSSSLDSVKKHQNIIIQSLKDRDISVRRRALDLLYSMCDTTNS KVIVGELVRYLQVADYNLREEMVLKIAILTERFATEYEWYVDTILQLIAAAGDHVGAE VWYRVVQLVTNNEDLQNYAAQAVYHHLQATACHENMIRVGGYILGEFGHLIANEPGCS PIEQFQALHSKVNLCTAPTRALLLTTYIKWVNLFPEIKDHLVNIFERYTHVLDAELQQ RACEYLALSQRGEDDELLSTICDEMPVFPERESTLVNRLHKRGEQAQDKRTWIIGHKE DNKGREAERFKNFRKGTADSNTIVSDLPQSQSQAQSRPPAPLAQAQPQAQTQRSMSIG ADTMMGTTSHGPADDIMASLAELDLANGGSGGGTIQDEPLLMSAPAGPTGAAADPAGL YDAQSIQPQAPVPVQANGSLSHTATLGGVNPALLATLTVGDHIEKWFERLTYSNEGIL YEDSTIQIGIKAEYHGHLGRLALFFGNKSEQTLKSFDLSIDNPISNCLGVKFHDSPIS EIVGGAQIQEMLHIECKLPFGLAQHGNGLGQLPLIRLSYQVDGMDDKKEPKILVLKLP IVLSRFVEGVNLESGPFFERWKIIGGAPREAQQIFPIRLTSSNEIDIARNNRIVSGNR LSVLSGIDPKPENIVFAGVLHMSEAGKVGILGRLEPNKEAKLCRLTIRSTNEDVSAEI LRLTSKPLNLDVASSL L199_006659 MSATGLDPKELGTLVVVVGKAKNLVNKSRFGKQDPFCTVSIGED KQRTKPIKRGGQHPEWDEELRFAIVEDLDDVLVRSESQPDSLNSSTNGAPPPPLKDSS SGVITSAALANKSRKGPLNKKGGKSMKVACYADDAKEPELIGECVINIDDVLKKGEVD EWYDFLYKDKYSGEVYLELTFFSNDAPPVKRNVPRPAIHNYGGAGTFNASPSGTLASA ASRTNLGGGLATSASVSGMSLYIPPYVQQGRAPSPSPNPQPPAQTQLPPSNSFANLGL PPGHRTNQDIPPSQVGQPTYPPAQASTLHSHDSQSSIDALTRPMSSMSIGSSYSSRPL TATPAPPLTTSISGHSYGAHRHSVGGGSSDAPWAQQLPQNQPAPAPAPHPRPLSSNDA LPWEQVQRLEQERLQAGATPVPRPASGGGYGQLGLQQYPQHSLAHTGNRIPSTIPESL RPAGPPQSEQHIPPRSHSFSTATPAPINTTYSHAPPTPAPPPPSNSAPPISPSTSSHY HMPANSFNALTQPVVDPQRAASPAIGQYAQPNGSYVDPNAPLPPVPQPSYHTPTRTNT YPPRPAGHDYQQTPPHTQNYSPAYNQSTQPAYQATPPPQPGYTYAHTSQPSAVQTSTA PIQAPPNDSGYVPWYQQTQSAQAQATPPPPQPSYGQQNGSQFGQMPAQPVYGQLPPST STYTSPPPVPQSRPQPPPPRRQSVGYYPSDELYAQQRQDTNTPQPQQAPWQQGHQSRL SYDPNAYDAQRQQAFSPVQSSPYTPQQPAQPPYQAAPAPSPPRQPSWQQPQSQYSSPQ PHNPTNYYPQQPPPPPQQYNSPQPPQNDYRAPSPQLPPQNDYNRAPSPQLFGQASSQV GYGRSPSPQPYQPPYQPDTWQNHVQPPPPSGSSSQLIPPTYSNSPNPAPDSGRAPSPS PVSGSGNTKKDWRSYMNSLSTTGSGGGIIPARTPSPQPPPKDPALLQQQQQWYTPPPT LPNSIVPPEGWKSTLPAQKDGHAWRG L199_006660 MSTHVTDLPTHVLLAIASHLDRLSLVNLATICSCLQPIAEEVVW SHLDLSIHRSTSRSIVKNRILRIRDAHAWQSDYHQYMARASYGTIMQDLTSHLQAHPS WIKSVRRISVDIDILLCELFRCLLQLISPTLKSLELFPPEFAFGPSRSQSLLTIEQVF LSLNTQLTSLRHLQITLEGDWQNTLGSALRSVPYLRSLRISPASAHAGGWGRKTLFEQ PDGRIWPAMMYLRRIEVDEMYTQMEDMITSILQDSDEITEIALRDPGGYKNVKYTLPL LQPNTIPESDTMTTLYLYGYSTPAEGTTSFAWDEENQGLPALIRPIPSSILARVRQSK NLRLILFPTDHFDELNHVGGEYRSDQETLKTIGRGVLIRTYTTTDPYGKVDTFTHCRS YSAHHTHVSNAQVHHAENVWEEYTDFNGKHINASVLGEVYRVSGEAEMWKEGGRDLQL PEQAWNVLRKR L199_006661 MKCLTTKNPKHISSLPQELLLEISSYLDSQSAISFSTTCRYLQP SAESRIWRDIRITEKQIFGDLSKAIKSDYFSCSFDDTSNEEELQQDLVDDLARTHSGA WALKSQALLFHLNYLLERYEWRKSYFKRLTLDLRHEIPRQLVDFLKSLPSLEKLSLNF PEYPSSLLDDHRLPGFINCLTLFQSLEKTPLDRLKDVEVYLVYDWNDTILSIIRSAPN LRNLRIDGQTLHTKQFKLFNQDIQSIQRELNLKSLVVKEMHPFFVPTLRTIINSSPHL EKVSLKDEFFKWRPNAHNPLLESLAKADNLKELEVSSNCFDALCELDGWKNVEDLKIG WSTVMLKERENYGKVTIPYIIPPLPKLHRYQIKVSYYTASTQGYEHLTEPSLSILAIL LSRLPQELAATPELRLITYGDSSRSQEGDTWDWQDEEFQGLIIYSYANNKGDELFHYR SKSQHPSNRSVNRPRWMGNSAGWEEHAYYNGMSIPIRLLAGIYGVAGMTSTGSEPGRG LDMKSEGWDLLKVWEMQQESWT L199_006662 MRGLPTNEKALLPSSTPRTENDILKPKPGWLSRNGKSLGLLFGL FLILQHIITTHDVAGDLFDRSSVARGERKSSCQQADAIYPKAYNVSSLVVDQKDRVIN WLAGAVRVPTETFDDFGKVGEDDRWDKFYKFHEYLQKSFPLVHKHLKRTPVYTHAVLF EWDGADPSLKPLLLTGHSDTVPVLPATRNLWKHDPFSGYYDGEHIWGRGSSDDKSGLI GALAAVELLLESGKFNPTRTVLLAFGNDEEIAGNGALALSHLIEEKYGKDSIAILIDE GNGVDEAWGQLFAAPAVGEKGATNFQIKVETQGGHSSVPPIHTGIGYISLLIAALEAH PFKPDLQIDSPLVNYITCAADAAPDIPKDLKKNALRLANSLNSSKGEFDRKALKAIED WWVTGSYEDGTLPQGKGRALVSTTQAVDIINGGLKVNALPESVSAVVNHRINIASSIA ELKEHIEAVISPAATKLNLSVEAFGKEINHLEGPKAGRVIVDTTMFGIDPAPLSPFTL DDPAWRVFTGTAKGVYATRPEAYLNEEEAKKEIFTVPALSTGNTDTRQYWNLTRNIYR FAYQIGKNQHLNNAHTVNEAISANVFVEQVRWFLNFIVNVDEATDL L199_006663 MSPVNEKTVLPSSTAPAGSVPKPNWVSRNRKSLAVLLGLFLLLQ HFVISPYLLGLWDEASSATIGHRKSTCEQAEPIYPKSFNVSSLIEGKKDQLVNWLSGA VKIPTESFDDMGEIGVDKRWDIFYRFADYLETSYPLVHQHLKRTRVATHALVFEWEGS DPSLKPLLLTGHQDVVPVLPATKGLWTHDPFGGEYDGEKIWGRGSSDDKSGVIGVLSA VEILLESGKFSPTRTVILALGNDEETGGKVGAYNLNQWIEDKYGKDSIAMLIDEGSGL EEIWGQLFATPAVGEKGYMDLELRVETLGGHSSVPPPHTGIGYISLLIAALERHPHTP LLNVDSPLVGLVSCGAESAPNFPSHLRKAIHRVEDSLASKHGKVDKKALKEIEDWWVE GSYKDGTLPKGMGSAMVGTTQAVDIINGGLKVNALPESVVAIVNHRISLASSVAELQQ QLTDVIGPVANKLNLDVVAFGKDIQPLGCHMDEVHKGPKAGKVFLDVAFNSSLDPAPV SPFTIDSPAWKLLAGTVRGVYATRPEAQLSKEEAEKSLIMAPSISTGNTDTKRYWNLT RNIYRFGYLESKDDHHNNVHTVDEYLAADSLVELVRWFMNFIVNVDESREI L199_006664 MSTSAASRPPYSTQPTATTIVSSTSYNSDYQSNATTLTSITVPD LASPGINKPRQLEPILDAYEGSTTHSTEMDDRYAEERPRRASMDLSRGPSVKDRANQF EQQQYHASASNTPRGRPLPQPQTYDMGRTRSTSPLRVNRRVSSNASTPTQARRIPVPA TAPVDKLSTQRRTYEFETVSPSTDRNPPARLERGSGSAKRMIEQWESLPSTPINELSK PRYPATSVPLGVTGRVMSREYLDTKPLPIPRANPIPPSNHFSSGMTAGPSYSPGLAKT TYNPSPLQQHLQTPTQPTRKRAATLSPSPSSYSLSPSPSGEKRKRNGGRSPLKEMLNK FGGGIQAIGRKAKGKNKDKHFSRSESFGWDDVSSDERLGTNGLPGGIVFSDRMGEEEM GITKSPSDPNIIRSSAAMYLIPTPCSSVASWGSWLSSWVVLTPTTMHITYCPVFQNPS SGHSTPRRVLSGINHTQASAPAVPFNQIPQPEPGVTPDVEMAMKDCVEVRSLRRDEVK GRGIPPVPEGVGTEVLEMVWSDGSKRYIGVEGVAGRLGWVSAIWDVLLACKSTQPPAL PPPSPLVPPATVSRTPTSKIPAVQPTPSSPFPRQEQSDFQSRLRALEARSAGSSAPPV QKVGDTWVAGSALGIPTSDIVDPPMAKAEPSPLLPQKRNDTNTIGLRDSVQRMFDLGP DPDLTLERPKSAYIGLRQSSEMSERIRAWQPSTASSHLEHSTPSFSRTNSNKSTSSKA NSNDSQSLDLLPYTQDEDQEMMGVFPKTETMLSFDPNDLNPSRSASQVRRAAPSNVVG ADGGQSQATRRYGMMLGSKRDNQPLTVVEESSGVESFLAKPPMTHISHITFPKPAVGG VQMTSQPSQTPTLPTIQSGRSTTSSEEVSGSGSSRPSTEMHTPLTRPTSSLATTISDP TVLARLDDHSTQHQTLSSKIDGMDFGLKEIIDSLDGFVRYSKEFNDQPREIVVPKLLD DKLNTLGLDIKNIENTLQLSNLANNVNREDPLNTKEQKISEVNEKLDNIVRLCEHVLS KQGTLHQIIPISTEMGDGNGDMKGLGGSMTRNTLLASPSEEEKSAGEEVAQIMADLTG GSSKNSPRLVGLHVLHNDHTSAPPSPKVETGSTLSPPLDNKSLTPRSNSTVTTSGNGL PGEVTKQIGEVLNLVTELKDARTLQTQQTTDIARYLNELNTWLEKFVVNSSSELSTLS KRLNILVGSSADDTASSTSGEGSTTSQPGLPDLVADLHSMMSEQKRRNDSEGMVGQRL DALLGMMGEERERAAGQQNTVEQVVSILERQRQDNELLLRAVATDLTAEIRGERMRFI EAMQQATSVNVSMHVEEFKKLLSTEVNRSMAELGQMREEKKVLEQQISDLFALMAKHG GKGKKNAPVSPAAVAAGLRVPPSPGGMAQMMGSPVYAGGRGLPLPPQ L199_006665 MIVKPRTRAGALSQTIDKSKEVRPIIRSGKKDLLNAVMGDPTSP TNDRLDTGSRRREILESKHRKGGWKDNIQTALPQPKDTVIMNPDARIRIIGFDLTTSL PTIFRTKTSVFRSPLFNLRCIPFSHLPARRLPPFPTERLNEWTSSQKEGCIYFSAISS KNAVSKLAVERNRSRRRFNSALEGLLNDDVGKEETRGLVNNQYAYIASLTASLHDAPF SQIQSDIIQGLKYLKKSQPTSSSGSTPLSVPLPLPKYIPRGKISNHVLLPTENDHENL VNRIL L199_006666 MSLPQIQKPAPDFAGTAVKDGSFEEIKLADYKGKWTVLLFYPMD FTFVCPTEILAFNKALPEFAAIGAEVIGVSTDSEFTHLAWSQTNRKEGGLGPDLKLTL LADRNHAASKAYGVLLPEEGIALRGTFFIDPKGTLRAMHVHDLPVGRSVEESIRLIKA FQFTDEHGEVCPAGWEEGKDTIDTSNKLKYFEKQAAQEQSSLKMEVDEVADNGQTVKR KGESASEPLAKKARK L199_006667 MANLALLIFLVVLLTQVVAWVGQTVLQDIAFSIYSRIALSKSSK EQSTLRKQILQDKAELGKTSSQDEFAKWAKIRRRLDKGLADLEKLNNTINTSKSSFAT KFKSFIWIITSGSKLILVWWYRKQPVFWLPQGWVPYPVAWLISFPSAPIGSVSSGAWS AICTRVLVSLEEIVKALFEPSTPAGPIPTAPFPSASEKQEAKIEPITLEHEKLD L199_006668 MATRAITRSQPPTILQFDTRSNMVNGKRKSMITKQLGIDEDEPD KKKRKPATKKQSIFKPLPVPITNSSDTPPDDNDEDLHLLPPPPERKIARRRESTREIR ERQESPIPIHTNQQSSTSSSNSSKRIKISAEDIRGRAKSIDPIRSAVNTSDDPLGGIE ETESMGSNRRVQVEGLSIPLLQASRPSGPRPTSPPRRTFSSSHSSQPIAGPGPSTAQM GPPPTSSKGLVRKTRQSMGRTKGRISELSKESFVPLMESETPVIRKNQQLRGQQARRS SLDHRGSRASSSWGKGEITMPHKNVDSKLFYRHIPVSYPEPIKARMLLVWCANRALEE SLKPPSTSSRRDKGKGKAKAEIQEEGRTEEGDKMLREIMDEFVREMNRGGVDTSVFGA PGRQPTVTGLRPHPKNVSNRKVEAAANADIRKYKGEEAQWHALASSTRAKQEDVISRL KKKAEMDSEPDMSTSEDWMKDALDLAQGILDRSDDDMLQSKGEFEDVEFKIDTLHQTS HVALQYVLQSSRFLDGIFSSLTADLRSRDRLGLPPINNVPDNDEEGPDTIGLLSTATR SASSSSSSINNNRENKNQKKVDPMVLLRALALKESKIQNEKLIERAMQIPPVTLTSSS STTTATTTNNASNVIGSATPGRQNTLGNSTTPRRPTGLTGQTPRTARRGMTPHGQHD L199_006669 MSAQGGYNYTPEEQAAAYYAQQGYTPDQISAALGTPSSTSSSSA AYGYGAGGGGQPVYAAAQPGQFQPVYDTDFLNQSSAYDYDPSASTDLANTTDKDNKDK GKGKKADIPVQGQKRETVIRKGNGRTWEDPTLVDWDPKWFRLFVGDVSNDVNERTLDE AFGKYPSYCKCKVVRDRLSLKAKYGFIAFKDPEDFLKAWKEMDGKYVGNRPIRLTKIK DDKYGKIDTVQIGNKKAKQLEKVRKNKGKPLDGRPVPW L199_006670 MNLSDVLGITEGIVEPDPYLQYQPNFAYTLPIQLLVNGITFTLL CVLLIHLLFTTQYHYPLAPLNYILQLLSILTVLISVIIKIVVILQHSADSADIWPYDL DYVAVSIPPTSWNTGRCAAWFFLQALNNGLSNITHIQFLTMLYPSRTEARLIMFVLGP LAIASSALVFSALSPHQTVLDISDAIRNVFNSTLLLIFTISLVIWGFFVNRRRAWRFD GGTAVFGFGSLLLAAISTSFNFVAVAEDGIDWLQHLLFAAVLWQIWLGWWWWVGSGMG IGEVEDIMERAERKKRKAAKAASRARSAASGPGGANNANGANRLRATSLSGIADNFTS GVTSILKTSTRVASHASGTLTRRNTARDRDRENNDEHLAESGAIELDDLNQNQNRSTQ QQQQHVEFDSIALGNENEGSLTNSHSRARPGQTSTTSETSSTSATPSLHPPKNLSQLL SFPTTLLVIYLRKLRKAHEEATKQQALARAERRQRVFHEASPPPQSREGSRRNSTNPN AHAIRYERGIEEGGVDDIGWGLGRFGIREHEESARRLRQAGERLNEERLLGGTAGGNG NENEPSGSRRGSRSRSREDTPSQVQVQGSDVPQRLKEKENTRDQSERLQIQGQTQASG QEEGEWEDIDSSNSSSDVNARNSNRRRNRLTRGNNQDTNGNRNDDTRAGSGWSWWGPL RDWRLNDRSAF L199_006671 MDDSDPIYTFFPDSLQSSSPQTILYEALNLPSSATQEEIRKAYR KLALQYHPDKHSHKNDDKEKEELNKKFQRIGFAYTILSDEKSKKRYDRTGKTDDKFDS VGDMEGGWEAYFEGLFKRVDRKILDEDKEKYQNSSEEKSDLVSSYKSSKGSLPTILNY IPHSSYTDEDRFIQIINSLIESGELELTDKWEKTSTDLKAKDKRRKAGEKAAKEAEKQ AKELGVWEEFYGNGEKGKRMSDKQEEKGEEEGGLAALILKRQRDRENGLDALEEKYRK IEEERTAKKAKKGKKGEKNGQMPDISDADFEALQSKLFSKKDKDEEKKGNGKKKSK L199_006672 MARLSLLPILAAVLAALLFFIGSADAAKGPVITNKVYFDVEHGG KPLGRVVMGLYGKTVPKTVENFRALCTGKTADGEELGYGYQGSSFHRIIKNFMIQGGD FTKGDGTGGKSIYGNKFEDENFKLRHTGPGVLSMANAGRDTNGSQFFICTVKTSWLDG KHVVFGHVIEGMDVVYAMEGVKTARGDKPTEQVTIVASGELPIEHETDEEGNQVPFRV EL L199_006673 MDEPDSDLDLLESYPTLSSPLKYLILLVGFMVIPVGLGVYFYGG GKERLAKLKSKNKGYEKVESERV L199_006674 MDPEQPPEPAHLTVRTIDSALHTPLVRRRSESALADHARGQIPS AVRVGRRRSTPYLPSELSQRPIRFASNTEEFFAQCHISDVRVVSTPNLPSCTLLFTNF IYRPFSSSAAYHPPTQSWYRNPRDENKWIVDEPPKRKFNQLPFHFMEWIYTYLPIHQD RVNFAQTSSKPMQAYFKIRCHKYGFGRPLLEVTKKTWIQVWDEVRDHWKTCDVPYCTN AGRNLGSMPFSPPISVETSLSPSGEATPWSTDTGVSISPNNSPSQLDAARIDSHQRVT ANPLIEYYIQSEGQVGPDKFLGCRFRKSRKRISLKEIKDRISDFLRRRPHHHTPPPEN LHDHPSLAYALLNDPPQLSLVVKVNIVSGNWGEIRYGYYVLHNADGITLLDAMHSLQL SLNQNIKRFQKIPMYQDMLDEDQYKAVRDTSAYLRMKRSFNRKVQKFALRTRPRSVVN DVRYLGYSDEQLKADLLGRYRDWAEFEIWFAAQPLPAPFIDKFSNWSGRVRKKNIAPW NQLHLLSGSALQVLSNSALPDFVLQQTNLPQVAESPWDAIDLPPMPETGFLPQKGPIF DSPTEITPPLEASGSCHPHGTSKTPQRKHYRSPTGRNIDSRYPVSPNESEESDLLQTP HQPSGSSSSPRQTISALQRGQEGQVGVGQEEEEEPVTTGYASSGRTYSPPQKPEGYVP VPTPRRRVPLNPIQELSASGGAASSGGGALQLGGLLETQKQHEEQGGGGEASTSQAGQ GVGVAPSSSIQQSGGATQPPARYQTSSRSGSPSNDQCGPSSQPGNQNMP L199_006675 MQSSGPPTFRRPSNLSIRPPSPNLQPTPGQGLSTPTNLTNPYSN LYSPRRTALPPSPTHSNVSYASRGSSSGVGVGNRDDYPPPPPVPSKGNEKDKRLPGIP SPHRYQSTKGSATGVYDNKLVSSTLSHLPPLPPLSPVPISGSSSSLHPTASSSHLHPS PRPSVSNQSSSGGIVVYPDGTPLTDHQHHQYDLSGRLAESMTMAHSSPSSPWSLLTVH VLPLFAGSALKTPLEDLNHLCHSHIISTSQRYPPSRIVSLLTADLREFIASGMLTLKA KFETLEEGKVVSRAAEVWIFFWAQVLPYVEGVFLPFTQLRDLPSTSSSTYQTSSSSPI PVRHLLLSGFLLHILLPLLPRLIPQITKNTNSSSPIIPNSYPPPTTQELSKVLQMSLV LSTQARYSSFFTAIPTDQEKENHDEEVRENVESLGKAVKWRLNQIEIESQLPLPSNIS NSPIKNSPTHITQKSGSGSTGGLQRGPSLSQSGRYRRRGWRASANLGLLSLNQYPNEN DRMSRQNSGDFYQNLNYKDRDKIDEEEGDGDDGQENVPLSRLRTNTNTSMSDSLVPPI FPNGGSGLGSGSVVSMGSTIVNGGSTVGNSSTVMGGSTIRGESLASYGETPSASMMNP HTNSTRGANEMMYGRPVPRRGRAESEGSEVVGVGARSENRK L199_006676 MTSNPTNPTEAPPPYQPGGARPNVSVTTPSGDVRTTSQDAVAQN RPGHHRASSNVSEVSDLTDEEQDKLDPKEVEMRRSMDDEQRDLPEGWVRCFDPKQQHA FYVDERTKRSTWLHPYDDPEFLRSLPTTHPAHPESKEGQAIRKKSEDEKFLQDKIKTT KSTKDGREQQQQSKNVVSGDERNWFQKKKDKLIGTKEERAKAKEDKRKAKEEQRRKMI EAQAAYQRRRQELINQQLNDPNIRRMYARDPYMYAAPSTPFMRGGGMYGSPYGYGYGG GYGRRGYGGMGMGAPLLMGGGAGLLGGLLLADAMTPDYGGGFGGGGDFGGGGGDFGGG GDGGGGGGGF L199_006677 MSASPNPLDLGAPIGAHSSSNPIHNTNEDLNVASQPLNPTHPVL QHTRTAPTTFPINPLTHEQTTSEKPPMTNDESRSGSESPTPTLPVAGAGGLHGQPQPG TKAFEGIQGDQKDYQAGTIGEKGGQDVGLLAREELGLNEKHGHDHENGNEHGHGLHRI SSRKSHKEEDRDVLTKIERTSTGTQVKHHTHTASGGDTVQGKGMVPISRQFSTPPAVS PFGGVAPTGSDAEMGLRALRSREEEDERDQEREEKGPDPWAVKFEPGEKINPKNWGVL YRWGLTGIAGLLVLNSTFASSSPSGIVQDMEEYFGFSQEVAVLTISLFVAGYCIGPIL WGPLSESYGRRPIFILSFIIYTGMQVGCALSKNTASILIFRLLGGIFASAPLTNSGAL IADIWDVDHRGQAMSIFSLAPFAGPSIGPIVAGAIQVTGSNWRWVYWILTIFAGVCLA IIVVFVPETYAPKILVHKAKRLRKDTQEQRWYAPLEKADNRWKSRLYDILAKPFVILA LEPMLLAVTMYMSFVYGVVYLLFEAYPFVFVINHGFNNLQNGLCFLGFFTGGVICVIL FMTVIEPRYQRHAAKVAPLPPRPEKRLELCVISGWSLVISMFWFGWTSYSSIHWISPV IAGGLIGIGTLGMFVSLFNYIIDVYLWSAASALAGATIVRSLFGAAFPLFATQMYEKL GTQWASSLLGFLALLLAPIPIVLMIFGPKLRARSKFSPNKTVH L199_006678 MSYSPEVSSVFGSPSSSSSSSSPDVYHAEPSYRRRRSPSSSSES GSDTESSLGDIPLVNRPIYRSRNHPDIPSPPPAGPSDYNGTANGNRRRNLDETLNVRR GDQRGLSQILQDRRRGQPDGNADADAGVGGSRDSQQPAARPSGRRNTIVEVDDDSDDD IVFTGENRNPNPNPNPNPPPNLRHIIRRAQQPEAHGAIRERTNQNQNARGMILRAPSP GRFRRMMDEIDELLDRRGEAHDAIINDQEDERRRQILSPPVAVTVPPRRIGLGGGGLF RRNAPPQAQIPADELNDDGAPGWPAHFRQVFRFANGRGDPDMAEMEMGIHIRGLGMFG GGIRHQQQQEDIQTILSKISPPSYPKTMVTKGFTNEFDMDSVVRNELIELDDEGNIIK NNTINKKECLVCSECHLPLLVSSAYKSPSDKLWVLRCGHLLDEYCLDSLQTPLTPLEV SSVTRHETNDGTPEKKRRRKNASRKVKKPDPPKPDEYTFRCPVTGCGKEHKSVHFVDD EEGRWKAKDGEGALPAYA L199_006679 MSLETLNVHPLSGYSFIERESQPEEDNSVTARLKRLEDQYAESG IRRSVEAIMLVNVHDFPHVLVLQVANAFYKLPGGYLDPVESDSSGLITRLNEQLGVPF TPSLIHAGKMTEVQGITTPLNGNTKITGGDGTLWISPAGGDWKVGDLLGTWWRPNYDT FYYPYIPAHISEPKECKKLYLVKLPPNKTFAVPVNMKLHAIPVYEFYDNAARYGPQFA GIPYILSK L199_006680 MFNKPALFIAITILKLMGLVRAEGENETTSTNQSQSQSDLVGAL SSTVVNALISDQCRNALKDTFSPGSELGQCQFYEALTGVTDDASLDSYLTTACGADSC SDETLSNAGNAIWTGCETEMGYVGITKEMLLEAFGTYTISREIACLKTNDDYCLTDFI GNILGTQSSSQGNSSNSAPRTGNYQDMIQWYFCDECSIASIDLVLVQYPILAQIDLDG GKTVVDYVNGYCSNKGISTSTDGTLPQGITKTAHNSTFPSTNGLVKRMITRNIGVLKS RFMGML L199_006681 MKTTSAIIAASALAGTAYAQANATSIVQNGLGYLSGGCISTVLS LSESSSPLNQCLDGKLLASIVTGNSSIIEPVGNYLDEFCSSQPCSNETLTNATQTLLS GCATDLTKFGLTNQTVEWAVAQYPLVRDVLCLKTSNPFTGNGTSSSNSTSLTNSTSSS NSTNGTFCATSLLTEFESYLGEPLTPTNIATIALGGNSSAVDAIKSIPPTALCDECIF GALSLIEEQYPQLGQIRIGGDSTGNITVNSFLERTCNATTSGNFTVPTNGTLPTNITE SAVNSTLTPVNGTAPQANLTAALPTQFFPSVTSELASLTASATGSGAAAGLISSAESA VASATAATGPGASDLVSSASSLVARAAVTDVKKRWIGQQ L199_006682 MTSSAPIGFIPKHAQPFSLEEAMGLEVETLVNEIKRLENSIKYL QRTQSDLKSYLDSEEGKEEEDGDGEIGKAYKENEDTISSQSERITLIKFALVNKLGSD ARLEHYGLSIDQPKSQSNGAGHSSQPPPQTQTTNHGTDSGMNIDIDRLPRNIVEDQGG GSAAPSSLDEPSEGGLHL L199_006683 MPIPPLISSNLPSSTGPYQVGYVPLSHKPITPFSHPQPTFVETG SPALKVHDISYSVFYPTDAKRGAKGVSWVPQPFWGVVKGYELFLQGKTGQVSSRGMRW LASTLGYIAGRLRIPVHPYASLLPPTSASGKYPLVIFSHGLAGTRHTYSQFCAGLASE GYVVLALEHKDGSGPAVCLSSEEGNGDGKVLHYIRQGDIKWASGEDKSLTHFRALQLD IRSREIYESYHTFKNLITNNFLEGNNAYNILSEVGDNKEKQKDVEKKKQWIDNLKDKV DFEDLKLTGHSFGGGTVLHILQTSSPDPTNLPSLPIKHAIALDPWLEPIPLPSSSTKS HPTMPPILVINSIGFTEWSSHFTRLVGMIKSAQGSLLSIVGVGHQSFSDFPLLDPRSH NSAKLLLNKIHDLSTFFLQGKLNESVDVKGKAVDGGKLVKDENGKLGDKEGEVIVHLL GKE L199_006684 MSEFPQVDVKSLKVAELKEELTKRGLETKGLKKDLADRLQAFQD TDSQSQKEDATNGGDVKAAITETTTKDEEQEEGVGRTMLDGYPEEPSTQHHPSPPGDV TKDEISTEPAALDEEVAKVVAEEEAKAVLSPTPSPPRRLSPIPTQNEEIQEVGVGKVM VDGYPEKTYTIHDQMKADTDVEQVEPKVLDNEVAKVVAAQETKEISLEKATPSPPKRL SPLPNQRAEDEDEDMQIDDEEEEEKGGEQSRKRPRSNTPDDKSKRQKIELPSTLSHIS EPPTSVLYINNLKRPLLHSTLHSYLTPSSSPSNAKLPPSKMPFASEEYGGLWLSGVKS HAYATYPSVQDAIKVAEKVENTKWPEGTGDILKIHFIPEDKLLELVEQEEQAWANGRR KLDLTVREDGGWIFELSGAGGLGRIPPPPRDLPMRNERIHPARTDGGSGNGPIAVPLT GGRGGPGLGPGPRAPLTGVNAINPTGPIRGQAMGIRGRANNSLPLPHGGRGVGIPPRD NRDGTYYKNGTGEGLRGWSDEKHKEREIMKMRPTRFRPRLFWKKGPGALEGI L199_006685 MSAGAKQYKAIGDDIWKRTDKVNAELFTLTYGALVVQLIKDYED YGEVNKQLEKMGYNIGTRLIEDFLARTGLQRCQSFAETAEVISKVAFRTFLGVSPSLS FPPPSSNLPSSNNLPQPSEFILTFDENPLAEFAELPRDAREGGLWFSNVLCGVVRGAL EMIQMQVETRFLSDVLRGDDSTEMYVKLVRILEEEQPENDE L199_006686 MGLFDYFNNDDSGNQQVYNLDPNNEEHKSKLSHELIGGAAGFEA MKAYENHLRNEGKPPNHAFAKELIAGFAAAEVDKLFETKGLDAYDREEAKRHAKAQAI EALNQSGQY L199_006687 MFYPITNTFLTSLVLLLTTVNSRALPQEDGQTLDSAAVDSSSFP PVTEAPSTTIPEASSTTSTPTTAPPTTDTPQVFIDAERGIAVDSLDPSGVWVDDRWRG LGRMLRAFQFNDTYLTEYFQASFYPVDKDWNRREGPDPNEYYSWTTGAPTMVATCTLK VTASPTTDVTLSINTASLATQTPNVDDVAQLSCR L199_006688 MPLIGDPSLANVLYGASVTFNALAATQTVAAAVPSATAAQQSVN MTEVVQQQKLDQAEMSSSMPRNLYLAFLGLLALCMITSHPRFLARLFASLSSSKKSNS KPNNNDLVKIYPYTKEALETIKPQPKREVSGGDLSQGWLLKKGENRRIEISSPLSSPD NDSCSKKDPFASSTSLDEKALSPIDISMQSYESPVHYPPPHIIPLLHHLPLSSWLLFT PLAKLPSHLKSYLTLPQLYLISGYMILICFALIWKSDLSPTSKDKGYGGDWMRSGLVA TAQVPLVIALGVRGNIIGLCVGKGYERLKVYHKIVGRVVFLASTIHAAAYMYKWTVAG KFSTYAAKPFAMWGILSYFALVLIVITSLPWVRKACHGVFEICHFIGLVGMILGLALH VPEAVPFCIAAGAIYAISIFCSITKTRVAHAELQALPGAGITVITLPALRTGWRAGQH VRIRVPALGIRKAFESHPFTIASAPNGDGLVLMCKRAGDWTDRLYELAQRSSDLEDRA EGGRNNATIIVEGPYGGLGNTLAPSFSSVVLVAGGSGITQSLSLAQDLVRRAPTGVVR ARTIDLIWMVRTEDIAKPLMSTLLDLVNEAKQWEIQCIEGRRKGHKRPNPTALRVKIF VTRCPASSPLNLLPDDWIVSELATTLKRQPSAADKEKYQYLCRNPSTSSTSTMSSISS MKNNTPLSTISVNPIRPNFSIFLDSLTDEIISRYGRMMVDPSGVLVTACGPESLIEGV RTAVRTLEEYKVRGCGGVEFEDEHFGF L199_006689 MFCIPPHLQEEVQRPRYEISQPVNLDSVLRPLQPFGRRPTYTPG SYLPISRDNEMEKNAWDMEKQSYDLTTQSNGTSPRKTRKIPISLRLIIILSFLICQLI FHPFSLPFSAQSRSAQSLDSTLVKHCQSLLTPPEGIYTDRLEYLSSILPNDTVWISEP SPSTEYYTSFSKDKWFLSERPFLISIINSQIVILTPAFEALRASLIVKELPEEVRDKV QWVEWREDQSPYAVLSTYLAKRGVERFVLDDGVREFIGRGLRKKMDEVTGSGLEDKVR EVRERKGDWEIGLLRCSNQFTLHAIRKTRERMYIGITESQTSKILEEEMGKTGLIGGE GLVLFGENAALPHGSGTDRQLTKKDLVLIDAGGKWGGYVSDITRTFALSSSTISNSHT ELWETVRRSQRAPYEMLLKSNASLTFGDLDKSARQVVTEWKCQDSDVDEDEAEVDFSI FTHRLGHGIGLEGHESPYVIQGPQGKRQIQEGNVFSLEPGIYLPSNHEEVNGFKGIGV RLEDCFVLRKGEDGKWGGEWLSGPVEGWGDV L199_006690 MWSSTLPLLALLSTTLAIPQPKISSIRRPGWRPNRRQLPTDSVN TTSSSPSTTAPKDNIWISLTNDEAADVISFLHSREDLNLTAVDDAGEWDNTIMVVDFL PPNKTDALGYLDGQGDKPERWAVASLLFGATEEPYAQDWVVGPIPITNDSTYYPYTFG THAEDAKIRVYDMDATIETEDDLNDTFDMWGIDPLWHEKDEDGNDHVIDWVGFWRYPA TRQMNNGSINFDGETLLPQGLYMQFDITGRDKSQWKFLGVLYGDEYYTSIDDFRSAWQ SSDFKKYTPNMGGKWIETDKTGKELPLDTEVPPMGVQPGGQRFKVDEENKYVEWMDFT FYLTFTRDTGMRLYDIKYKGQRIIYELGLQEAIAHYAGNDPVQSGTSYMDTFYGFGPY AFSQVPGYDMPLYAYCMNTSFHASELSKSHRCGISIFEHDLGHPIQRHSTQTYVSVTK GIALTVRSISTVGNYDYNFDYNFFMDGTIETVVRASGYIQSAYYAKNDEYGYHIHDGL SGSMHDHALNFKVDFDILGTNNTLVKHVIEPVEKEYKWRKGTSNTMHMVRKEVANEDE GKMNWSANAQEQVIVVNKEALNKYGEPRGYKIMPSRGGAGMHLTITNSSNLLNSVGFA THAYYVSKRKDSELKAANAWNDYDTANPLVNFDKFFDGENLVQEDLVLWFNLGMHHVP HTGDLPNTVFSTAQSGMVLTPHNYLLNDPSRQTSQQIRIDYDEGDVSDVYTFGSEQAF GEIDLSQVSWDPYTYAGDVAVRKFPYDPQNPFDDTESIV L199_006691 MAEELDIAILVVGIDLNGPKTYQILYTPDRGPFQSDTPLAVLGY HASTDDDAKGDAEAGGGHYVYFEEDEFRDPDRYLCIYPDDFAPYIDPTAISTTQAHHR PPSEPLGYTFLNSRPSNEITSFPPPHPDVDTHSPPFALTPTMKVQDNLYPIWNCRASG CDERCHTIWELRAHHDTHEDKHPKIFQCPICSRWYDAEAAIQQHCREKHEMVKCIQCP APLNNGHPCSALYSDCSKIKTHVVNHPQHSRCREIPFQCSICMAICNTKENMERHIKV KHGERMPCSEGCGKTFADVYKMRAHVKNLHQDENEIDLEGLPIDLHRLVPRALSRDRE VAGEAVEEFDNIFSHLHEYPTMIEYFSDEENDL L199_006692 MGKAWANLDQSEKWSPKGIGAILKVEDAIAYRHYKVFRALRKKL NNKGGEHPTTFPDWWSRINSIDDDVAACAKEELESIILNHDRVFLLVDRRFADVYIPL FRYPQMIEPLSDREIRKMVERKRTSPFHTYKPTDELYNFPNNLVDNDNDELPNYSHDE LEKLFYLWRCDESDYESTDQGDSDSDSDNDNDSEGNGSNGDGDDSITLPRSEVDKEND GGHNEGDEIQVDAE L199_006693 MMSDHLRERNCNLMMSKALEDRAIGEVSDDEMIEKHRTKAQGHA EAEDSWIRAMSEEIRSSIMVVLVNMRSRSTYHQIFTTNPIIPGKINYSIDPTQFPDFT SSLPPLTIAGIPDLPTPPTGEQHEKEIFQGTEVVMESNDDVLEEAEDSGPILELLPFW PCSSPGCGAMFHKPTDLADHLSIHDDSTLGVFKCPRCVNWYRREKDVITHAKTHNLKK AIRCPARHNNGTVCGNLFANATQVRNHVKTFKRHFELRPIRFACPRCDRTFGVKFNLA RHIQQHEQGRVPCYQGFGKTFAAHHIMIEHAQNQH L199_006694 MPKAAPEDHRPARAVLHAPYPLPFVITRPQVILPPSSPTLSAPV LPTVSHEVSVPLPPASIADFPLFKHDWRKVEPAFPPIPYPLVPREEKQRKRVKKLHVL KMKKSGEESSEWEIMSHLSNRIGKDNKELLGLTKQVGKISADGELDEDDPNQDENSLL IYAFVSSYQRGDFDSPDSHNDPPEPLTPTQANPFPQVPLSIHDEPPFAPEIPHRDDTP RPTSPRFYGGVGRRPTPFPDFEDAKEASRPAIEAGPAWDVVSDAVSSFWSDGTDADEA DQESEMRETPATDTLVTPSASASRNSGKPKKRAHTPDRPLFIGQTNQVPFTFVPPARK APSQSSISDGSRIFREGGYVSESGSVRTIRQIPRSKVNMMRVNEREKQIKRERSSTPK QRDYQRSKATPQPPPPVQATGPPTVSSFGNPYYFPLQNMQFPQQFMNQPMMPQQSMFG LDTFLFAPIAPLLRTSEVLSSPTSNEGGSGSSNINQLPQGREPSWSPQPMSIDPRTDE SQLQTTGVSWPHALPDREQVTPSNASSLLQQLVGHSGLSDVDVPMPTALSRITQPLIP PQAQAQASSSRRRVSRRALAEGDDMVPLGKACHNYLSLRRKAAQKRRKVRMGVGKVVD LWDIITEDEAKDKQQNKKINTPIIKVQKPTPVQPHSLPQPQFQQQWNTTPTMGIIPNG DPNFQAQVNAQAQNMYANMMMQQQAMPFNIGMPMGYYPQFNQGFQFPQAQWAMNIPSQ NMFTQAQQQQQQQQWMNQASSNIPQQYLAPPSGGTFGFPTPGATPQAPTPKPHTHAGF NPFTPKSPEREEQDHKPLRKRMRSTISPSSANDLMLRNRTSSSKPLAGLGLGLLSPAE IQSPERFRTNVRRERTQEVEVDMEEDDDRDWNRGLIGAQDDEEAKNKDERRNQWVREE RQRVGSLGLVRAGWKGKGKEVLR L199_006695 MTPFTPHTQYSRLPTSSPSPSSTLISSPQSSRSNSPSPYSLKSS PPITMSSSKRIRIRPMQGFLIISILAICVLPFLHYKREEVKGYFQSNYLKIDLSQQHI PVASGGISDHLNIPLTLEARLHHLLSKPALYQWEAELPNRHACPFYTFSRNTYFFHDG KPEQWEKIDPTEIRRYRSKIVDYLRTVEREGGQLVWDKSMEKDVLPEDRKGIILTGGE GKTLARLKISLHMLRNALHSTLPIEVYHFPDELQDPTERSKLENEYDVRLKEVGGKSP NGKSWHIKNSAFLASNFTEFVYMDSDNIPLVDPRTLFDSIEYKQSGSVFWADLNKDHP DNAIFRIVGRTCTDEHWPAEAGQLLFDKRGNNGLNLAILHLSNHMMTNPDMYGFLSYG DKDTFRYSFYALGLPYQQAPKIFATTGGYQTQNGESSLDFCGHSMIQWGLTPLSARHD PSYHPPPAFLHTILAKHRSKLQPSKLFSHIKRPRLDGISEPLLVRTLYEFTGDCFALT LKGPDGAPGVENSMMDGQGVDTYPLKDVLGDGHVWREVERLSEEFVKINQHN L199_006696 MPPSLIQLISGILHKPRYLALIILIPLFGLLYHQWIDLAAQSNG PIRVTGGTTHSPWEGLAGVSKLDEEEEKWRPGMGNWLGWKQDTRRTLLITGGAGQIGQ SLIPTLSQEYAIHVIDIAPRPSTFSKSVIYHRESILPSSSALSYLFSSTSFDGVIHLA SVSLNSWCEPKENECHEVNVGGTKAVLDQIEKTITKNKKRVWGQTKTPWIIFASSMEV YPQEEGVSEVSGRNPKSALGRTKYAAETVLDETIKNVIGETPLNGMILRFSEVYGYPH YNSIPESFIPSLLTNALTSLPIQYNSDTPSLDLLHIDDAIKGILKAVSRIEDNSKLGV RTEVEEFNLVYGKRWQPQDIVELVRTETRSMSPLRDIGDKHSTLLPDFSRGLASEVLG REPEISAPVGLGLALQELSEEIAEYSRKWHQSHCSPTPDFPTEDNYLIDHFVEDERNR DLTKLDKCTVNLAFDHEGWLHHVKCEDGRHCTADGQKVTAMNWNQSVFIVHKIPGGMK KERTVRVVFEEEKGMGYLGYRKTEQTEEVGLELFDRNTTDGQIEFDIEVNRHASYLRL LIPDTGKQIHALSNTTDSSTWFTLEPTTRWIDPHFDMRMNVLCCPSEGDWPLLLDDYE SADMRFGSTGQIPFNSSRRLHLCGQAEQAVMYNFDRLSAAKQAVNKVENDQEAHVWKG EHGVNTKISPHSWAMKDLPACYNDCNSPAICVQTGTCKCVQADHCQPKRENPILSLYP VVTSEISSNTKSHLGSLAGYSSILVNSVNKTDWRDILLPQARDALMINPDFIKVHVAD GYKGQDEIEASDCHKLQTKHCFSADSIMYKALRHMQVPAEEAELVVIPVYQQCKGTKF LLHDGIHHAMENIPGVKSGEKTMALVLTHDWGICVSFSWEIWSAREQPLYPDWILNNV LVWSVMGDYDSPCYRPHQDVVIPARTCQSINLRDHFPDVQHIKPVRERSHLLTWSGTY WGTGKSDRLRLTCDRGGAGEKELIKGKGPQSSYENWDYMNDLNDARFCPQPRGIAGWS PRTNDAIFSGCIPVLIAEGSHYPFASFLDWSKFSVRIAPTELDRIEEILSAIPLWKVE EMQANLVTVREAFIYSTDEKPEDEFERMGPMFFALHEAGMRVRTRYPIKEE L199_006697 MVDSASQSMNQIRQADHPEAEIGDGNRDIKASSETGRGSSLKMS QRKKWSLLAVFSLSLIIDQWCLAAFYIFAKFISEDLSISITQQSWIITSYTVTFASTL LFWGRISDLYSASRVFNSGLLALALLSLIISFLPEKYSFFVFRGLSGIAGATSVPSAF RLIVSIFEPHELHKAFACYGTSGALANTTGNLLAGVFQLIPSRGQMIAWRWFFRLIAA ILFPVGLGSWFWIPSVQGKEADVKDKKSRLDLIGCAIMLVAILLLILSLTLGASSGFN KPSFIVPFIISLILFPLLWIYESRLPEEKAILPISIWKHQNFTLYLLLGLLGYHWWST NFLPFLERWMSPPRSEKPIIAALRVLPEGFFPALISLITTFWSKPFNYPRTVVGTGCT LGVVGYILFVFSDNQVGRNYWKFLFPAFIFGPTGMMLVFNLNNAGAMMSVPPSIGGVA GALLQVSYQTGTAIAFSVQAGLFTIHEGNLQNFDNVKASFYFEMGWVALWGIGFVCLY KPVKKGGEDEEPKAVVVH L199_006698 MTSITSPNKITPQRPAHPSSNTHPNPTRRSTIAPSSKITSPTPT NTLISKPIAPIGTNTNKRTNTLPAKVSNPSGGGGGVPINAAKEFMHMNKNIGHAATPG NTLNSLEQITNRIANNLGGIGEMDENRIAPSYERNFRAGLGDLTRRLSSPSVVKSPAS PVMSPTAPSSMIFNEPGSLLKSNEWPTSFSELDAAANSLKSDVTDLTKKSPDH L199_006699 MLMTARKAKTKNRQICKSKYKTLQDLPHEVIEKIMFNLSSPYDH LSFLKTCSTVYRSYTPRIYRMLCINQGFSRPEKDNKYQSFGKAGNGVISLDRMLGHPK DGFPGVKAIRKLHIHSKNLIKSDLKTILDTPFLSMPINSQILDTIYRGMEDTTYLVTF WSTEIRKLKGSLRNHPALGWAFACQPPITTLTLQLYMTNDGTAATIQVENEMGITVFD VIHGIHKCLNNNLPRIISERYPKHLQTRLTWFDYLNLGDKPQKSRVIFPIEKVDLIRS NDGGELILKISLRRIDY L199_006700 MQKEIDSADRSGKVVEGRVARAGIKDLPNEILMRIIKEVQHPQD HLNFSQSCYSIYETYRKQDIFKIICINLGFSLSICYSQPKTKCRRHGLNKRNWRSLLN RLIRHAERCGQRDCVNYCTKVLPKEWQLQFNKQPILNYQAYTGPRQVNNFISTMGNVT LLQFCTFRFQFHPVKSSAFSQDIKIDRLNDTILYLQDTHTPEGINLSRNFSLLTIDKE DDSDLCDWRVPESTYYCSLWDHPLIENSMATYPPTQQLWLSIAFNVDEQKIAQTTYLG SKEPLTVQDVILSLCCLMNREPNPGIRDRLINSMFNSILSSSFSQDKRQVADMEKIVN GVKNNRDLMNTDFDGIFNNKRYSRDQYISVEFSNRGPDNMPLLTLTSVFL L199_006701 MGKSQSKLSADDLADLQKNTYFDKKELQQWYKGFLKDCPSGQLN KEEFKKIYKQFFPFGDPSQFADYVFNVFDEDKSGTIEFKEFICALSVTSRGRLDEKLK WAFQLYDINQDGFITYDEMLQIVRSIYKMTGQMVQLPEDEDTPEKRVDKIFRNMDLNK DAKLTYEEFKEGSKQDPTIVQALSLYDGLV L199_006702 MIGTPMATQHPLSATSQTPSPQQQTTSYHSSRPSTSSLPRSYKR AKSTPSPQSPIFPNQTTSSGSGGPSGSSRAKPRAAVARRLMEASPQTWRLESGRRREM SVPLLSQELSHFSLSHSSPPETCQEGHSVTPPIPTQTYLTSPAQRSHTPRSRSHVGPS KTPFVAALAKDHTYPSTAPLPPISSFLGSPFSTKNARGRYMYQNVSPSHNATNGTMTE RIGTGRESFDEPGLEWLGPSDGLPLPPRRGSKESRTGLVEGMPKPHSGRLRRGTNGST SSIDELSGSGASTASLLSLARNSIEHHSRPNIITVWDERSQCLEEEEEESSTPSRQLF AKTNECLPLQISTKANGTAYPHPVKKEKSTARTSLPRSSGGQTLQSFIISNSPIGKGP DEKNAPVSPQKTGKSPLSTFLPRLLSSRKVSLQSLRRKSPGINVVDDEDTMTDTEDGS SLKGSLRGKSKTVWSRTKKITINSARNPMEGGDHEPSLGVGIGLGIRRGPLGSVMRGS AEKSIDLARSLSSDSSGDIETSPSRPLSNRGSMSLFVNPSLPLSPSTTASLNKVPSKS TFLTRRKSDNGQTAGTPGTVASGPRPAKPRPLLRRGITAPADSPYNASNLLSADSALH TPTHILFGDIKPSPAAFASTGLVKKKSGIQGVELPKFGGGTDSEPVGEIKREQAAAQH GLTRTIEPPSPISPMESLSRTRPSLNTSLTTSASTTTSGNTVSTTNSNAAYIQNAQRT RGLRRKGSQMFTASGSIGSIDMMRSDSNRSARGRTSPATPTKPGLQLTPIGLGITTPS PTGPHHLYPFASSSALLSTPPHSSEYAPSDPVEPSSIEKYRHMPARVRQISNERGPLA RASNPMLAASFKASGSIHTVPETPGQGQIPSINIFNGHRSKKAPSSRLEKDFTVIQTL GSGAFSQVLKVREKSSGKLYAVKAGKPYTGAKNRLRQLEEVSILRQLSMIPHENVVEY VDSWETHSRLYIRTKLSECGDLSKFLGLLGDYGGLGEERVWKSLVELSSGLKHIHKNN FLHLDLKPSNILINNDGGLVIADLGMAVICSNDHKGNILQGLSPALPERDEQGGFIWS TTTTTATTPQEEEEARRLDVIPSPIIDREFEGDREYLCPEALNDNGGKYEIGKGSDIF SLGMVILESAVNVVLPSNGEGWIKLRNDDFSDLTEHYRLRCASTSNDSSIGVGVENRD PSIPVLSQDLIDVIQGMMRSDPSHRWELEDIWNHRVVRNVMCMEKGRALVEEGQGWLE SVLSRE L199_006703 MPPHKSSTPASSAKPASKSSKPISKAASTTTKPVSSAKPVSRRG AAAKESTPAVVPPAIAEDGEASDLPNGKDVKRTRKATSPPATDGPAAKKGRVGQLVDE VKRGRRRSSVGPGFKNELPTIPPIASPHNALFIWGTGDMGQFGLGPDELDEIARPKLH TWFEEEIEDGKLSRDGKEGSGGLEAVACGGMHTLAIDEAGRVRSWGINDNAALGRVTT NVPDPKDPESIIPNEDLETVPLVVEALEKEGFRAVKVAAGDSVSVAISDQGELRAWGS FRSNEGVLGFDGVPGHPTFQFTPIALPVFSKLKIADVSCGTDHVLALTTTGHVYVWGN GQQNQLGRRVIERRIKNSLEPERLGLRNIVLVAAGSFHSFAVDVNGIVWAWGLNTFHQ TGLEGRYADEEMVITPRQVEGLNPENHGGSKVVQISGGEHHSLFLFDNGEVWGVGRSD ANELGIPQDHPAYKGIIERREKTQEERQDRVKEKQDKLDHILQQSKVDQNQKEKLELE LSEAQAALRVPMGEYVPEPVRICFPPIPEQYEVVPPFPAYKDSKSSDNPIINISAGTR HNLAVSKSGHVYAWGLGNQAQLGLGADTESAEVPSLVRSKLLRPYKAKFASAGGQHCV LLATKNE L199_006704 MFIEFNSILFLFILSFSSSSSSSPSSWALAKSTHTPSPSPTPEN LPTKPYDIKCQESMEAGNDSWTVKGSGTYSIEFKDDHKKERFDVKWDNNNKKADCTIE VTRLPTVQNTFKLSILKEKILPYVEEGRDWTLTCS L199_006705 MEAQTPWTVDGFVGTPEIIDNEGNDYFQFNPSTPKYSLTSHDPG QFPQHSCTHPGPPMSFPNQEYNHQSNFTLHHPQPQATFDGFQSTSFQPGNANELRNAE SHSSLRSISGSTSHRSNSSVSSHYAWSTTTHDDISSSDVDADDSTSLATSISPSLIGG QVVEENKRQHGRIPSLSIDPKLFRPTATASSKKDSGDGEEEMEMLETARPTSKSNLNL DTKIELLGASPLQAAGSKKGKGKKERAKKSSSTSGGGPIGGGGGEGKKVSHARKQTAD HIPRPRNAFILFRKHVVDSKLIPASVEMRHQNVSIITAKMWSKAPPDQKAHFNELARI EKEEHMKKYPGYRYQPVYRRTNVIRRRVRKDEAEEQKCKSVAELLIKGKSGEDLENEI KEKIRNGNGTTNGSNDNASSESVKKDKSNSNSRRSSSACELSKGALRALRAQARQQSS SHESGDWSDISSVRGTGSGSNRGQSRSNSRSLSRTQSYAFEFEDNCDSDDQLEHDITQ NQNQGQDQMLGYGLAPSQIQGFAQQHQQLGQEDSESGSGSWGDISYMNGFEFGQPQPQ PQGYGSFPQSAFTADQSSTSMEFHPQPQTSFSDPSSSASQNFLYPQPQHGNIPLQIQL PQNINHSNDNINSNNNNEFYAYDTALPFSPSAAISSFSFPYPHSQDFNRNNDDNDYFN MNMNMDINGDHKNDSSEGKYEFTLPSNPNSTSIESHNPPPTYLFNGDIDKLQTSQNQI HQNQNQIDCNHHDHSLSLLPPSSGVPLENLPFDDHLISGDFEAALAHAEESHVQGVW L199_006706 MAFQSPLIAIPRKTTQDVDWSNPIRSIIAHSYGEDPKNYAEECS VLQRCRQDAVRGAGSDQTARDLLYKYFGQLELLELRFAEIKVPFVWNDAFTDKPTTQT SLAFEKASIIHLISSILSSLAQTPSRSDPEGLKRAYYNTRAAAGMLTYINENFLHAPS TDLSREVVQLCIGIMSAQATEIFTEKLIEEKKSPALVSRSANSTAALYNTAVEEMKEF QGKGIFDRNWLYVLQIKSKLFSSLAQYYKGVADNSSGKHGIALVRFRVADSLAQEASK QANSFNYTFISSSTPTLPHDAASSLVEITKSHLTICTEIKDQSTKDNDLIYHEVLPSE AALPTIEKLPSSSTPITIQEIYGNAEITKLIGPDIFIKLVPLAVHESASIYSEEKAKL VRGEVERVETSEGELRAGLEHLGLPGLVNQWKNLMDDDNDQNYDDVEISLQVKRLAED ISRGGSVENSLRQLDGERERCERELRELSGLLDNESRECERMRAKYTPQFTQPPSGPQ TSNWRSNIASNLSALSSAGQSDSHLHTIWRDIQPQITLLSSGENGLERAAAHIAVGKT STPVSQGISLLDLQEDVGSKKGLDDKEKEELKKAVADAGERLDRLNKIRRERDEVLKD LKEKIQNDDVSNLLLLNRRSSGVEPQLFAAELEKFRPYQSRLAAAIQASASILQELEM LVRQVEKGKGVKDLQRSQKDRTKRIREWERKLIEAGEGWAEIQAGLGKGLTYYDSLTR VLDDLKREVNGFVKSRENERNRLVGEIETRQRIGGSSGSPPPATTSSGTRSLEERLAA LSVDRSIPKYSPQLPKPVTSPTPSFPPPPPQVSTPSFPPPPPPAKPTNPYDFSGLSNV PSAFSTSSPTSSQSPPHQTQTYGSSSYGYDRPVQSQPAPYPPPAQSPYPPPSSQPPRP APQSYSSYGSSSQTSYAGGQNQSYAAPPSQAQYTSPAPPPPQASYTSHPPPPPSSYSS YPSGPPPQQPQQGYYPPPPQRPSYNPPPPQQQQQYPNYPPPTGQYQSQPPPQQGSQYG YPSQGGYQPQGGYQYH L199_006707 MAASSSSGRIPQGEVIMDFADGGQYIKHKLENAVLEVERRKITK EEGIKAQKAMEALDVKPNGVGAGADGVKSEDVDFIVAQIGCTKEEATENLKAEKGDLV KALIKSVQPRPRARSVDGGAEVKK L199_006708 MYGDLALQLVTSSHRSTLSTTPQLPLPKYALPLILSICLETRQL GQSITSAAEQHGQVSLSQDRSLVCNLTVQHLSARRNKRCLLAYLSTRVGGIKERWWDS GGSLAYLLSPTSSSNTNNSDPSAINSSESESSGDLRNNLSPQELDFLRGYNNLMIDYK SDFLDVLDLTSSIDRPPSELMVDVRVLKDAGEVVLEGGEKLDFRKGERFRLNRSNVER LIVQGYLEEV L199_006709 MQSLRSASTLARGVRTFASSTRASAGYEDTIKNILINKDTKVLV QGFTGKTGTFHAQQAIDYGTRMIGGTNPKKAGQTHLGLPVYGSVRDAVKESQPDASVI YVPPPFAADAIIEAIENEIKLIVTITEGIPQRDQIRVYNALKSQSKSRMIGGNCPGII SEGCKMGIMPGHIFKQGKIGVVSRSGTLTYEAVNQTTLAGLGQSLTVGIGGDPFPGTQ HIDVVKVLLSDPRTEGIVLIGEIGGSMEEEAAEYLEKHNKNSANPKPVVAFIAGRTAP PGRRMGHAGAIISGGKGAASDKVAALEKAGAIIAKSPAQIGDLMLKAMKDAGKA L199_006710 MPDPPQFPHNPLLLLSDWLAQGNDLTSFSRFFIESLSDSSINRA QPLSAAGPSTATASSGSNNGTAKTYRIRLVPHLESTRSLAFDPVVRELLPIAVPPGAL PSIAAQSVSAGGPSVNGRPPALLLKIGRFTDKSNNQLPQPGATGTTSTNGATIGPNSR GSGPAGTGEAHLTIAGGGGDLTSGRVAFKSKVVSRGHAEIWCEEGGKFYIRDTSSSSG TFLNHIRLSSPNTDSRPTMLNDGDILQLGVDYQGGIEEMFRCVKIRVEIGREWQRAAN EFNTNALKQLKALGGASDTKEKGTPSKKAKASVTDCCICLFSVTVCQSLFIAPCSHVF HYKCIRPLLLQHHPGFSCPLCRTFANLEEDVETEDAWEIASRRASIISRKPSNHSIRL PNASSQNEAQLSPSGPSGEPSTNIGLGGASSASVNDLLSAEQDSEGVGMIGSSALARQ TTAVAQQEQQGDVEMEVPEVVHEEESEQEYGNENEPTRIMAIPNTTLGMNNEMDEPET AQTPMNEHFLSTLAIGDTGIAQRLELADELVNTPTTANSAEGTSSNEQARNGMYT L199_006711 MSRPTSSSTTSRPTHIPLPNNNFTPTGTPLATPPIGSAASPASP RHSFLGFMRTRGRANTLNTSSTPSQPPASPSLDRDRSRNASSREGSTAGMSRENTNTH TAINTGGSVTRSISTPLSGGGLNISTNTDTIGNGNAHNPGEWLTHL L199_006712 MILSTPSEAGPSRKLSAPHPSTAISTNKRQYPPSLPPISKSKPS STPTLPLKTLQGVSKTRSDSTKDGFGREVVFVTRKTGLGMLMGRCRSLVVDEGYTSLR LHAIGAAIPQALLLLHALLDLLPFPTGEKGMWHEIKTGSVECIDEISPATSKINEKEN VAEAEEGMEWLKDVGAIEEDVPERKTRIKSTIQIDLHISPRPTSKRKSVAQPNDQSVS AKKTKTSKRNRPSKKKRQQLASKRVEERALNDEEEMMNIQNDHENGDQPISGTMLSSQ EEEEEEIEEIEMR L199_006713 MAVPTPPTTASTSTSSTFDHQWRSPPPQETPLAEPASLPGIGLL LSPKSASNNTSNDNANLSMGKNDLLHPSFLPPCEHGKDDGDMHAHAFDLLSLSGNAPS KNSVNPSSSRPTMPPSKSTSNAIPSSVPAHTTSFLSASRPPTVNVNGTSNGHNGVEKK ENANNPPKARRMSSSTTGVLGRGKLGLTGPSGEGSLGLGGIGKDIRSSSYNNSTPIPN RRPTISGSPVPQLFDFTKTDRRPSAPALSHRTASAVPASLPARSNIPRPVHPEDEDIE LDMEFDGDEDDDGEIKPGSDRSGSADIEMDEDDEGEISKLALGTGSGGVKGRRKGMVF KCETCKKEYRHPSCLVKHRWEHSPHWKEPTALSMSKHQQVQMLEAAAILAHLDPTVNP GRSLPNDKSLWPAILEGKAAGRPRSSSRAMRDPSILRSPPSSVIAPLTPSSLREPMSL GSLAERPNGKERKSSPGSDSTTSSMGAGEPYIPANQNGNGLGIRNSYSNGVGVGSPRT SRPMGIAPTNGRKHSSSISSSHGVAMPGTPHSIGSLPDMSGLNFHSASVGAGGGTPTS LGMSPIPNRFKVGLVGGGMFGNVHTAVPSSSVRSGAADLPEDEEDEEEDWSKVRGKSS SVEVDPTRSTRNGGTGSPAEGEEGWNGMAMEMEL L199_006714 MLFTASTLFPLFVALPLVMASTPPENVQVDSREVKRDEGVFRII PEDYTSFEFPAPHQDLNCRSNDPEGCYPELKFTNEWKEQVFDIKKFALEAGNAGAVEA MDVDFTTRKPVGLVQCTARVDSPPTETIKFKPQATPPFPQESNNGVGHLTCATSAP L199_006715 MALTHPNVVDGWFREINPQWPGQAMTLKVKQILHTEKSLFQDVL VFESETYGNVLVLDGVIQCTERDEFSYQEMIAHLPLASHPNPENVLVIGGGDGGVIRE VLKHKSVKKVTLCDIDEAVIRVSKEWLPIMSQCYKDPRVEVHIGDGFKFLPEHKNEYD AIITDSSDPVGPAEALFKAPYFQLLKEALKEDGHISTQAECLWIHLPLIKELKETCKK LFPVVKYGYTTIPTYPAGQIGIMVCSKDGSRDVTVPLRAVPDTKYYNSDVHRAAFTIP EFGRAMLEDGINVMPKFSGVRPGPASNQTTKKKVLLLGSGLVAPPAAEYITKHNHELT VACRTFATAEALCANLPNATPMSVDVGSPDALRQAIKGHDVVVSLVPYTYHASVMEAA LEEKAHVVTTSYVNPQMKALHQKFVDAGLICFNEIGVDPGVDHLWAIKTIDEVHKAGG KIKSFYSFCGGLPEPAASDNALGYKFSWSPVGVLMALNNDGRFYKDGQIADVAGKDLM SSAKPYYFTPAYNLVAYPNRDSSVFKEFYGLKDVENLVRGTMRYAGFCEVITAWKEMG LLDDTPRDDLAKDAAPVTWLELIAKSIGVDAKETAVIEKLRSLKSFEKDAKILIGKFR GLGLFSSEKVTPRGSIMRTLSALLEEKCQFQPGEVDIVLLQHTFEIVNADGTEQTITS TLEAYGDRNGGHSAMARLVGVPCGVAVQFILEGVLTTPGVLQPYDEPTCKLFRDRLEK EENITMIEKVI L199_006716 MPFNLLGLEDVPVHGSGSGSSRRRSSSSSSCGSGSSSSWSPPDT PTPMHNAPLNGKANLQPGRLAKDQGIFSFSVPIVKRQRQTKRVVSGSLFRNYRVSTLD DYEDEDTELDEDDLEVGTVLSDVESNLNPPTNSENGGTTGAVEAEGDEVDMFLVSNVP SSPLTIGWSDRKGKKRSREYEYDYDEFMQEGDEDQDGDDEMETEGLLSVKQRGKRAMK YSKQSPTSIYPKEKSSALGSDYSVVGNICDKMGGLHASSTVFAMNVDFPLPSSEPPLR EKRPDLLAYQLASEKAKAGAGLSRRSRDVKRRLGRKRGHTQTKKCFQRLTASEDPLVN LPAFQNWKPISFKTKTSRVVSSASSSAMDISEDEQDEEDQLLVDQEMKSLSDIEHTAG GISSDIDMKSVSSGSTLKSSKRTSPDMTKIRKTYEPNSPLAKSQLSHNSLSVTKDISR LRGFVERLPGQNSTSHLHRSSPSSLLPARRYMTKSHRPQIITHKYRAKPGKIEFGACL PGQTFISILRARLSAQVTRRLKIASYNARTKDGAWREMVGNWWGHKEVSGWTDKWWLP EEVEVEALLLPEVPAEDAIMLSPPSSPRMFNRSRKRIVDEASDDLTIGEEVEERWARR NQLRRDELKPYVLQQRARVEARKVEQRRARAEVIRRRLEEIAEQRRMEEIQRRETIRM EEEQRHRCEEERLQREQEREEQARLEFERENEIARLCLQQEQEQQRVVVEVPSSPARS ETSTVLSDPPEYEFPTYPIALSRSSHINTDRPVPRVRRVISAQSDILPDYVPDRWNNR SPPPPPPYNARTDCQTLIAPIFIEDSDSDGEDREDEEIGIISPMVRNARETSPELRVI GSFPSRQLFAPTPIHATARQVDPFRAFVNALDLEEGMEEANDVEGHLDAHTQENMDED EDEDVVPGGTVASVFQRVFGMVWGSSVSRR L199_006717 MVSKSFTRLLNPSTFRQVSLSSSSCATPSSSLPPLRYHIFREPL PYPVGLELQKDIIDVRLKAKRDDPAGSRGKGDVVLMLEHTPTYTTGRRDNSPNPNELH PEERKVQNVGANFYITKRGGQVTYHGPGQLVGYPILDLNVMETPTRCYVEYLQAMLAE YAKDDLGIQGVLAPHPEGHVGVFSSPTEKFASIGIHLSHRITSHGFAMNITPQPIKWF DLVMACGLADVKATSLHQLIPPSSKGGLPSVRNVAESLIPKFGDLFSRGIIPLSQDLQ EEGAEVKQIYELVQKAEEDAKIVNEKQGGWPDEPDLSKKE L199_006718 MSNPAPASALTSSPGPLPSPPSLGGPTLPSSTNINTENEPPSVA RRPTLHFPSLHLGSFDTLSTTQPFSPAGSSSSASPSRREFRGTVGITSGGAGPSSGGT SPLRNHEQARAGSSHSLSVEPTRSSRSSSYSSRSSSHRLHKGSIPPPLPPPTMALPPL PILSPISPIGPPASPSYASKGIQSTISQPRSTSSSSSSLAQPQQAGEGRRELPNVPAR NRSLGHSLTIHVPGPSPPTPSPTDQEGNPLPSPILRNSPGVARRRTVIENTNTNLGSP VRVSGFTGAGHMTPPRDSSPTRDNKSPPIERGSILMPQPRPSPAIEQTSTMETPRRLE KKRSSTDLKNVNASPSSPSAKRSLPRPPKIDTTLPSKPSDSDLQQTVGPTSQMQTAMP SDLATAPHSRPQQNAVPSAPISVNDPQQEPNLTLPLTAPVPSSSWPPLNIARSPTSSK PPNIPVEPSAKPAFYQKHQANKSASSLPVVAGLADAGPFAGAFTKPIQASPKGVGLGR PSNQLSTTGQGRGPSIKPQEEICLECMMRDRDLADVVVSGEGVWERESDGDWRELEWK EQAILKSMGNLDDSPSLYRELVKAADESSQDSDSTSFSPPSTGNSTEDAHLKREFDAR KKRRSLIRAKKREADWRIAKEVGWRGHKWEQGESGEGLPRGFRGTNGGKLTEEGIKAV MTKFPSASAHRYQTLQTYLRQQWHLVQEVRAQAQRLGRFPFPDDIVGSSSTVSSHEAQ AIPRGAQPSHLSWDKHGRDINDQMRGVQTPIQGTPSLSFVRPSPSSPANLTALANPPR PAPIQRPLTHFLPDREPSLGIPRTPLYASPVSATPRSRHGKQGSSPGLLERQGAYEES NEELWSPGEESGAGLRPFSFAVRAGAAAGRDGGSDGHGGRRSLWGRFGGSVTSFFGGS QNGSGSMMDMHLGLDNERRNRSSSYNVNPHPRAVSMASPTRPSFFSRDSRCSSDVDVT MPRMSRAISHSRLSQMRLDDGEDEDEEEKPKKKGIKGFFKKMKPKGARTKSKSDLLAR PDDEYRNEQPQPDTPLAPPPPLSHLVGNRKHNRNRSGSSSSMLTDGQESTQGRRYSGS LPYAMRSVSAPMNSTASSSGGSLSASPTSSKFATSGPGKRESYASNRRGSFGVNELGE SLEQPGGDRRQSGMEMLSGNGTMTNGRGPYSSPDTSTIYDEPSSMYKNNHGLNFRPHN KTTSSLSNSSGTMAIETPPPALYNPSSFFNQQQQQQLQQAATIPPIDNKPIVRSPSEQ LSPNRFKNLPPLPPGQESGPGVSGIGMTGSPESFAAAFPDQEINLIGTIHDPKYLATS GVGYPSAKGMIDFSTPIVGSPNSMRYQNSPHNRVNQYNGYPQPPHLQINANTHPIQNS QFPSAHARALAIGRASFDQPSPRARVGGGNERVVKTMYGQPLMDDSSMMMGSGGQGGS VKDETKKKKGLKGFFGGSKAGRMA L199_006719 MGLPQPVGYLYWYTTSIILGILTIINFSTKFYSHLTRPKLHPSP ASSSDTLVTTTTANGQALPAPLAIEHSSEDEKPQIEKARLGRLNRAVRALGVVVEKYF YLSGITLPARRFTLFGKVRKIHKKVYATPELFWTWGYTLGFLILSFYGTGWDTLTWSN QAAWLAVAQVPMIIALAGKNNLISFLTGISYDRLNYIHRASAKLCLLGVWIHAGGHWK LTHGWSQETWHKTISHWGFTGTFAITLLSVLSLPWFRRRMFEFFLVMHIALVALMLAA FVMHWRAMDVWIYPGAGLWAADRLVRVLRLVVLNKLWIRPSLSAKDIPSKATISLLTP STLLLRFTSPSEHLNWSAGHHFYVVMPGMSRFPWEAHPFTASTIPSQPGQGTESGELA FIIRVRDGFTKRMKDKIDEVRKDQGLAVDDKLDVEVSAAVEGPYGEKSDLRGYEGVLM FSGGSGISFAVSNLLQILREIRQGTSRVKFISIVWMVKSRLHLEWIAPLLKDHVNDLP PDLSINIHVHVTRHLLPKLSLTHNTLPDMAPGDLEAYLQQRATQPKRRRSRFMSTFSW ASWTGASVFRAGGTQAGTRRGSLMPSESGKSEGGESAGSDGSSSRKSRAQRLFGSFAS SHLGGTSHKNNKINNRRSSHAVSGSESESEDPRKMAPRSKERRRPSIPAFNWTEGYAD NTVFGAGANGGSISEEAGRKGSLIPFTVPENQELEIVLKEPTPVEGVRPTAVMAEPLP VTPVEPIRREPLVINTTRDIGPDEVDPFDYRPPSPTTPPAAVLPHRDSRGSIPWMRES FSSVHIPHNTPPRTRQISISEDLPLPRQKRISISEESPVNRTGSPQTVTVPISQSRSG SLSNSLPGTPTTSAFNTEPTRKRSIPLLYGLANRRESGRRDSLPLQAAASIGPQSSPR PSILMPAPVVAKPVRPPILETVQSSGLLSAPEMSRSSSTTSGASMASFTTPGPPSPVQ MNNPENISRPETPSKEFQQLAQISGTSPTDLRKSISAIGAIQDPKERRASAAEFMGPA ELELRKRSAEGLEGLVRWHEGRANIKESIEDIINSVAAHTQLSSDERHGMENEKPGSG PARRGGRVKVSCCGPISLLDAVRDGVKDEMNSEEVWKGGVVVDFHAETFGW L199_006720 MRSTTFLVWGLSSLILAQAISLSKIPGFKKRSPLDETDGSASLP PGVELRVGIPLFAETSSTSSSDFLEARNTPDSEGTTSNAAAPTTSPSSSSSSSSSSLS SSSAALITKTRTHVATVTASAPTSSESSGVPIATSTSQSLSPEQSSSVEDCKGACPTA SEEEAPPGDTSEAAAPTTSASQPKTTDKPAETTSQAATPTTSAAPASEEEDDCEEEEK DDDCEEEEDDDDCAEEEEETKPSPTTENAAVPTATKPDEGSSGGEGGSSEGSGSDMED CEDDDEEEEDCEEEENGTSSLAPQSSTSSPATTEQAATPTSSETPKPTSTQPATTDKA VAPTSSSPPKTTEKPSSSESKPTSSSSKACDCDKEETSSSSSPAPTPSGSAPGEGDAA PADFTADDENIAAFAVHTEKAEVSSISSSGWTWL L199_006721 MASMLPAPSTAAQAKSLYRVDMASGVREYKLLDQAKYESTILGP NDYHSFEDGNTIIWREPGTLRFHRWTKSKDGQCTESVFPGGGQHRDGELMFNIYKMTP GNKSSGGLSNSELMRKYQPETPTWMKLTLSRIPSTIVYLDAQDRFRLDGGNYTIRRAG SSDHFIRESRDGMTIHDIPTANDLRERISWLSATDHEEVQISLVNKEERMNAEEQDKS MMASVTFDNGWRATSENGPKELSPGVPQTWEAVHDKARHRVDLSRLGSTAFCHVKHSE SNSFKSETIVDSFYIKGLNTLVLMDGDGSGGGIKVNLSM L199_006722 MDGEPPQEEDFSQIPLVERSQHKNWKARLSAYTDVIAKSAKTAS DTDPFFRPFLADGGLLKKWCLDSNVVAQEKGIEAVLSIVQYSGETSAKIRPDVVPAIV DKALGSARAGTKKKGIDLCAMFVEVENGGEGVIEDVMVGLNAKLPKAVAGSVTCIREI IEAFGTQTLGNIKPLLKSLSKIFGHSDKNVRAEGTSLTLVLYTYLGPALLPALADLKP VQMTELQKSFDSMDADGKGAGTGKPTRYTRKVQRDREVAEASGGNDEEAGEGEEEVAA PPIDPKSLLDPVNVLALFPSDLMDRLASTKWKDRLESLEECTKVLVQPQNASISDSNV DSYGPLAQILGTKCKSDANVNVVIEASKVIEGIARGMGKPFGRFRSVIMPGVMDRLKE RKANVTDALGKALDAIFSTTSFSDIVEDVLNNLKSKNPQVKEGTLKFLLRSLQTTTEA PGKDQIKPIAETLVALLGDGAEPVRTNAAECLGTMMKILGERVFNPFIEGVGELQMAK VKDAFGRAEIKYRAGGAAKPTAKAPGTAKPPATVKKGPPKPVASGSPPIKSSGKFNTD ELLDDFSAPPARAPPARFAKPGAAKPAPSAPVPPSSPKPPSTSTIKRPPPSAAAGPSK PAAKPSVKPAPTASSKAGPSKTLATSPSEPVRYRYSPEEAAAIASDVIPSDYHTKLAD GAWKVRLEAAEEMVKWVGEEDGGEKVDSEVMMRFLGKTPGWGEKNFQVSGKLYQVMGL MAEKSPSFGKPAAALVIGHLTDKLGDMKLKKPAGDTLTTFAEKTSLAFVLAQGYEPMT KQKAPKAQADALTWIKQQLIDFGIAGIPLRDLIGFVKNALGSPNALVRKSATEVLVTI RIAVGADISGFLEDLNPQLLNTINSEFDKVSSSTPPEPSKSQADLQESSQSAAGGAGK GGRGGADPLDDLIPRQDLDKLVASTSVIQDSKSDAWKTRKEAFEALNAVLEIKSNNRL KPNMGEIGGVLKKAMADTNLSVKMLALGVISKVATGMGQPFEKYLKLLVAPVASVCAD QKAPTRAAALATLTAMADATGGLDHMYTGLGASLESTNPVLRATVLGWLAARVKEEPP SPNADLSPLAGPIITCLEDRNGDVRKGATAVLPFVVASAGFDYVMDQTSKLKPASKAT IVPLINNARSNAPTSSSAPASKGPAPSAASSRGVATPAASRVAKSSAGLRSAPGSPAP STVPSLPKPSAVPARSMAMKALSSVPSSRPMSSLSQGDDRPSGLPKSRMALPRPASSA SHTPSIASSTPPNAKTTPFLHNDSAPRTTRLKRDATRWVLDPSPKASSDLSEYLQHQM EPQISPELFSLLFSKDHRAEEDYMAGLSIISDFYDVTAASTFNLEEDELQGIQLANVD LALKYAALKLLGNNTQLANRCLESMSNIVELMPRYNERFSDAEAKLFVPALVFKLGDA KFGPKLAPIFESLDKVIAGSQVVALLVQYGLEDKSAGKTCKNESLALIEKAYKKRGSI LRTREDRGFYETIARCISDSGTRNAALSVMALLQLQGESKSLAVVVDSMPQSSKDMLA NRRSTMAASRSGIPNPLVAKVSSDSIPEGSPRLKRPTGLATPCVNQLPRETAADSPRA TVSPASRLPRSATGSPSHHRTIPTPSGMPRPGGIPPRAQIPSAATSRLARPAGDVFGA PNGRSAALQPLARPPPPRSSGPDVIKAINEIRHDDLDRCVDALKIIQHMLTTTPELFI DNVETLSDTLMDEMEFAFTPPENLHEPKYFRVVKHLIQSFSGLSSNQDLMKRLSYSQL YSVLNCLSLRLVQADKLGGNIQDMSRFFNLVLVQCLSTPDRLLVFKVMFKLLLDLTQD FSTERIKPDNERANHPDLVIKCLWKRCKILDDDFRSNRLKPGPLLAVLEEFLQGVGPS EYRRRAAEGIALGDMPLRTVKTIIQKMLVYTQEAGLEVYDILLNQFGDEAASTIVYTY VFRLAGRESAKPVKTPLVPVSEHDRPTSAASHNTATSLRSERPVSSSTTPTLESAPAV EREETEAERLVKNLRSENQAKSLDGLYAFIKSRPDAEDEVNAAIAAHLTPTFQTYVRR MIEQRKNNDNPSPARGGVTSPIRSPSRPESMPPSLKPLSNSHAPPRPRQSIGGPRPSS LAINVDKNLPLDDQLAQYKNLFRNQASLNHSNSDSRDGSASPPPADGIGKENKVLDEI QIQPRDGNDVTRAPRASDVD L199_006723 MTSTSTAPSSKRVYVPPHLRNRSNPSSSSTSSPSRSSNNQPATP PSSSRPYSSAPNRSSDYASRSPWSHTSSPSSSHPGRNHYNNIASPNAKGYNGNNSHYG NTFTSGQSQSSSSSSNGIGYSSSPSLYVYGDSFVGPFKLLRDDSVRVQTFKGSSAKGL NNPNSIKQVSKELLPIINGLLAPPPYAYMPSQGRWVMLIFGNVDLQINYLWQLANKPI SHLSFPSTANSPHNKSHSHENDTVDSEEDEADEDSTQHHSHSRRPSNVLATATETSAK GPALGPELFVESVVKAYKSWLEREIVNGPIGKRIKERLLEQNQESSSGRSGIRRRTPV SKVLIAAALPPLVEDELLPRIPEKYVERLEEDHSKAQRAMERRNKDSSEEKEKENGSR TPWAKNQNLSRRLSLSPTPRTSKLPDEDLEVGVSTLSLSDNTSDVVIPNSPKSTSSFS SSTSSHASTLFESQRTANSMDTMYSSVHSLSPLPLPLPTSQGKAENSTKTPVLELLAH DPPLCTLPVRVGMTNRFNTLIKQFCDDHSDVLSFVDISPAMKEGSEQPSVHGEVDRNV WACPVDPTNVHPLWEPTLPLWKDELKKVGLPVDSWRISEDAQETFKAYEIDKRRRTEK RGDQRDVDMGRIKLRDE L199_006724 MSFLFRTSLRVAASSTPSSSRLTLPALSIAIRHNSTIPTSSSSG ESSPPKSGELFSPHSSSSSSNSKNLFQSNGFSKLKFDPISSSASEGKGQGEDSDGEAW WRQLSKNAKTGFPTTPSTGRSIVVSRGGDFQTSYKRLQGLLRQSNLKKELRLQEYHEK PSVRRRRLISERHRRRFKEMVRTKVQQVVSMRNRG L199_006725 MLLSSLRLLLLAPIYTAVAIQHGTDDTFSESLTLHPLPDGRMSV LFQFETIFSSPKIQSSTPQSHHSLTPPSLLLPLEANNVSELTISFTSGWDSTRSSSAG LMNYDSSGGGGEIRGWLMDSGNTEERWNAVTNALGGLFCAGLGTKDVGDIVRDFGGIY PQKRFVENTTHFLLSHPESHLCTENLTPFLSLLPSKGLSGISLLLSQPGIIFSWESQS EGIEILMPSSTHPQGRWKGWWEGVLPLIPENNKRNNNNDDKSRNFSIEKIFKKKLPRT FPEAQSSVLRLIKDSPRDDNLRAKPNGQEREECIDGQIRNVIKWDLMDANLVGKDIDF WWDGETEFHYAHNILLPGISVSRTVVDKLASDGTFQITIMNNDDLERRAIYSEIWPWW VKGWMSEIELFVDNKKRPDLLENSSYNPSTPPKISTTTLHFSLTIPARSKLILKIPFT KLTLKYTEHRPDAERGIELSSSILTLLDTVPEHSTGSSIEDSRRTGRKRIYTNRLLLD IPTPDFSMPYNVIIMSSTVMAVFFGLMQGALTRKWGWVEVKEDKVVEVEDKKND L199_006726 MSFNIATKLPSNLTQSLKSLPLKSLGISTLSTRPYPQSYWTRTK DGLTSIKKVRDVLTEEEYLLVCSTDRKGFFKMSDTDHLSVIPTNGPINERSSRKSITT KVDKANCISLSNDEVFRINDRPLVTQEGTYYCAKPLSFNKTTSIEAQGQQRSCIIDSL KSKGLGIGDCLGLNILIRDHQDLLDGNTELNGEGGKQVEFRSLYSLLPSHGHGSTDTQ LTPSSAFVDDEINHVSWYDPTLIRKLPEDSEMYTVGAISMDEVLGNLDK L199_006727 MSDVEMGEAGKAKIIDGNAIAKQVRQSISESISQLQSTNPTFHA PHLVIFQLGSSPASSTYIRMKLKAAEESGMSVEHIQIPSDAESGASAGTGVKRVLEAV QKANQDEKVSGILVQLPLEGAGKTEEKAVVDSVDVSKDVDGFHPENIGLLSSRIGEPH FVPCTPAGAIKLIESTGFDLKGSNVVVLGRSDIVGTPVCALLRKKDATVTQCHSRTKN LEQIIGTADVLVAAIGQAEFVKGDRLKPGAIVIDVGTNYIPDSTKKSGQRLVGDVHFE SAAKVASYITPVPGGVGPMTVAMLMNNTFQAAKKAWESRRAKQLTPLPLEIKEKVPSD IEIAVAQTPKPVALIAEEIGVHPDEVESYGRYKAKIELSVLDRLKDRLDGKYIVVAGI TPTPLGEGKSTTTIGLAQALGAHLHKTAIACVRQPSQGPTFGVKGGAAGGGYSQVIPM TEFNLHLTGDIHAVTAANNLLAAAIDARMFHEATQSDKGLFNRLCPAKKGVRTFSKPM LARLHKQGVNKTDPNELTEEEAARFARLDIDPATLTWNRVLDTNDRYLRQITVGQAPT EKGLERKTAFDIAVASECMAVLALSKDLADMRARLGRMVVASSKAGEPITAEDIGCAG AMAVLMKDAIKPTIMQTLEGTPVFVHAGPFANIAHGNSSIIADRIALKLAGIEQGDDA ARNGYVITEAGFGADIGMEKFCNIKTRVSGLSPNAVVLVATIRALKMHGGGPAVTPGK PLDVVYTQENLELLEKGCANLGKHIENAKKFGLKVVVAINQFTNDTPAEMELVQKFAL NAGADYAVPANHWAKGGEGAVELAKAVIDACSEPSTFKFLYDLNQPLKAKIEIIAKEM YGADGISLSPEAEEEVARYEKQGYGGLPICMAKTALSLSDDPSKKGVPTGFTLPIRNV RLSAGASFVYPLVGDMSTMPGLTTRPGFYDIDLNPDTGDIEGLF L199_006728 MSTLQGFSIPSTQVAAVVPAIGSDLEIREQHPVKQAKDLKPGEC LVHISHTGVCHTDLHAKQGDWPVKPMNPLIGGHEGVGTIVAIGDNTPNSPVKLGDRVG IKWMADSCLNCEFCRRGFEMNCPNVKLSGYTVDGTFSEYVVSYTNHVTPIPKELDSAG AASILCAGVTTYKGLKVSNTKVGDWVALPGAGGGLGHLAVQYAKAAGLKVVAIDTGAA KEKMVKSLGADAWVDFKTSKDLVADIKAATDGLGPHAALVTAAHKSGYTQAIQYLREG GTLVVVGMPDAEMGANPFWTVFKSIRIQGSYVGTRQDAVEALDIAAAGKVKVIFEQKQ LKDLKDVYEDLEAGKIAGRIVLEVSKE L199_006729 MIILSRWGFARPISLSSCSYSLRRYASKAKAIEGENEWETIIGL EIHAQLKTGRKLFSPASTSYGEVPNTNVNLHDAAFPGTLPVLDLHAVRLSLITALALN CQINRRSTFDRKHYFYHDIPASYQITQHYNPLARNGKLQISQGENNVKRTFDVGIHQL QIEQDTAKSQTVGDNTLVDLNRAGTGLMEIVTKPDMRSAEEAGAFVRKLQGLLRRLGS GDGDMEKGNLRVDVNVSVHRYGTPFGTRCEIKNINSVRFLQAAIESERRRHIQHYLTS PITPIKQETRGLNELTLETFSLRSKEEAMDYRYMPDSNLPAVVIDPEYLSRLRTELPE MPWQVVKRLTDTCGVQKRDVETLIGLDEYGFEGIRYYEDVIGENKKIAKKAMNWIVHE LLGQIGKLSIPWSRTLIPSSLMREMILLIEDGKITGTTGKSIIKHLICAPAPSSSKDV TLQDILSNLGISINENNESSIDIKMLCQQAISNQPKSVADYKKGNEKVIMRLVGEVMK LSKGTADARKVKEELVELLKD L199_006730 MSRTSQRSRRRIVSDTESETEAEVVEIKRISSKMQTDEKVSPSC SPGPSIPRMTFPKIPLTPRKETNFAPSSLKRVELSSGKVRTPGKKLQGLRFIGTPPKM LEEGNVAVEILDDAADNAQIAREEQEEQERDDLMEQLDLGNLLLEGDTASGIKEVICI DEEEEDVDGMSQFSPGPSSPTTESLNNDPTSPSPAEALSQSPSPRSTTPIIVESDSDE SVIWNPTPRRTPGKRRVVVSDSDSDSDSEAELSTCQRVLPILPSSAPGPSSVRKTRGK PAALRFIEEQAYDQNDSQEEVYEEEEDTMGSLRDFIVDDDYDSEEEESSASDQDEDDD NEEVHESEEAIRSGSEEDSDSDGIEILSSPPPKSNKIPGTKSDTPSWTPDLSQLVIAS SDSNSDSDESDLVDGRKKNRNIISNKGKSDKSLFSNKAWIEERTRIANSIFKELDEKV FENRLGMKGVGARVEWNNRLLTTAGVARIKRVTKNGESKKDHWIELSEKVLTGEKQII NTVAHEMCHLATWVISNEFKNPHGRIFKSWGRKVMLARKDVQVTTTHAYQIEYKYQWK CSAAWCGKIYKRHSKSIDTTKHTCGICKGTLEPLFETKEKTASAFQAYLKTNMKYAKS SMPGSSHGEVMRALSKRWNEYGENGDHEVFWRSAAIAARS L199_006731 MVLLHSAIVSYLIAILITINFVSADNLFIGCGDELNEVELTFQV HRPDFCYNICKQRSYTYYTHKPNMDCVCYKYPPPAAEYMPGGPGKCNGQMQYNLIKSN WNFLRCYSAPPQGMTQTPTDSFKACLDRCKPNEIAIARPTEIYPGQTNCICTLATKLE GLTEVQCDYNKYYVYKHTPNTRRDSIVIAIAERRADQDDDAA L199_006732 MMSHLSFFLFMAIQLVQLVKGDNLFIGCGFEVVNYEMIFTTPYK SVCYNMCKSKSYTYYTHKEKMGQCVCYLYPPPAAEYMPGTPDNCNGQLQYNLIKSNWN FQKCYKSPQSNMTEIPSDSFKACMDGCAPHQIAIARPTGIFPGQTNCVCCGEHDLDGM EETECDLEKYYVFSHTPTPVPTAKRALRALAIAQRQAFNPPGPY L199_006733 MSDQQPNTDTHEDKDTSQIIKPEQEQETCPLCKITGPPSQPGSE PSEVELIWMACTKCDTWYHSACLFLGDEKVRSTIPDELLKEVEGNRTEEGGAWFNWPQ WIDRWYCYTCIARASSPSNPRPPRHPLKATLKKGVPPFETSKPKRSASSLSLVQNGQQ PKRARTSINGQKRGSTNEEPDQNQEHHYHHAGVIEDGAGRATPSSTVEGGEGSVNEGR PKRNVKQLDYHNLNNSIATPTNQWLDLISDPGKYGRVILDADYKSVPGNLLSRSWLDS PPDIKQEYPPTMFYGPKREPIIVRPENGGFTSMGGQIPGKDLTVQDVAKLVGPKRMVD VIDVSSQQSSQWTLQKWADYLHPPSSSSSSSSTTRKKVYNIISLEISDTELAKKVKPP SIVREIDWVDNYWNFSIGGKGKVREREKSMSTPIPDEGTSMNGGGIKENGANEELEDL KGKTKTPYPKVQLYCLMGMKGAWTDWHVDFAASSVYYTIHTGSKVFYFIRPTTENLKA YANWSGSFERQQDTWLPDMCDEVRKVVLHAGDTMIIPAGYIHAVYTPMDSIVFGGNFL HSYDIDTELRLRQIEIDTKVPQRFRFPMFERLCWFVAERYNSQLRQLRAYRPRSTTPN PTNPLHARVLSQLIHLCDFLLNQISILHNPDKEERSKKLVWDRIPHELIKDPEALARE LKWRVLRELGMDDPLKGYIPNGIKVENGHADKRHDDEHVNEKELKRKTAKLSRVFNKK GASRTWLFDPPKWEESITTPQIETSLIKVARPNNHEEEEAEQSISTIKQSRRRSREIE GGGLVLEEQEIVFIEKKTAWGLEREGQVKMEVD L199_006734 MPSSPPIDLQLTSSAHIEPVVQVIPEGIHIHDELDLLPTTPSRL LPRKSPHSKPKKIVSKWKGKRARDLTLADEGECPLLNLPEDLIHTLLSRIPPRDLTRL AQTCKDLCEELEDESIWRQSYVNKFLYDGAARDIRAKEDVKLLVQGCLNTGGRGWKRE ALSREAMINRWTESKASMVIHTPPTGLVHCISLSYPPFIPAPSKSLVEGKNHQTPTKG KASPILLATTPQSATTEPSSSTLPSTAGGEVNSPTPTKLTHRQKYEAILAATTRPPPH VLSASLVNGGVVRSDPISGKVSKGFWGPGRDANFHLRPNLDPTAEPSSLYLPTRNQSF ILWGLRTGSCVHTTVQSRHHATHGGRASSINVHSEFPDSHHGEISDIWAPESPSLSTE SLRWVTGGEDGRVKLWQFHPGSGSRSGKRTPNEAIPASIQCLFTSDVSDQPFENRSDA SKRRQNGRSDPISLARYDSKYDVVCGVTTDGDLRVWFSASKQPQEMRVDVGAEELGGQ VKSLSLDVKKNTNEVVVAHVLIHHRRSPIITRYDITSAGHVETREFVTPTGSSISAIH TSFQPTKPISAPSSGNSMSARIVTLGETPETASPAVEEVDPFPISSSNTVHADSEYGR FIMAGDETGYVHIWSLDVDQETRRNQTPIKSWEAMNGKITALDYSCGLVAVGSFDGYI KIFDPLSYPPNLLRTFHASRLTPGESLVAASEEPDARWYTVNKVILENDLVVACIGRK VFAWRAGSGKGRQGGKESGWRRTSGSRGDGKGSSRGLDMKAIHRAAVDEHSDLESSST KSSRIASRHEAREVQAMEDMGLDGDDALQYALMLSMEERGNASTEADGDHWEENEVDV TQGSSGTSTTFGEQDEMDEETREAIRQVEAFKKQEEDELNKVLEMIRLSEERDGS L199_006735 MKVFITGASGWVGSHVVPELQRHGHTITAIARSDNSAAALEKQG VKVIRAGLEDVDILHSSAKEADAVIHLAYIHDFSDYGGKPAQVDFAAIRAMASALEGT NKPFVGTGGALGLAEPQTENESTSFGGPRKAAEDIAFSFTSKGVRPIVVRLSPTTHGE GDHGFIAHLVQCARDSGYSAYVKDSKIRWSAVHVDDAAVLYRLAIEKDLPGGTILHGV AESGIPFSTITKKIGDQLGFETKELEDEDEIKKHFKWMAFLLNFDCNASSKITREKTG WEPKGKGLLEDLDTGKYFV L199_006736 MSSFLILLLVCRFLASTRGFIIPNQRHGRQRDIDIGKRGNQAYF NPESYILSSDFEITNVPRTREYWFDISTTTANPDGYTRQIYTVNGQFPGPLIEVNQGD TLIVHVQNNLGTPQSIHWHGFTQNGTSYMDGVPGMTQCPIVPGGSFTYEFPVTNQGGT YWWHSHYSNDLQEGIYGPLIVHDTQEPLKRGQDYDEDRIVLVGDWWHDRAEVIVDGLV SSAGYRGTVASPEPDTILINGVGEANCSSALYPSGASCDPPARPEIPLPTDKRVRLRF INTASHSHLRVSIDDHELEVVEADSTAVWGPTIHELPISEAQRYSVIIDTNKGKAGDK FWLRVNQATACQTTASPQWALGVITYSDEQGQAGTGGNNGTWPKTKSWPDLGGSDQPC RDLDEDYSLYPRIARDAYQQTFFTHSFSSQFGVWTLFNGEQLTGFAINNVSSQNIIND PILEIVEREGTFDRPYVSSVMVWEDGYMDTVINNLDAIDHPFHIHGNDFQVVRRGTGN VTAEQVKKMNIRVANPLRRDTLWIPGLSYAVLRIRTDNPGVWTLHCHIGWHLAQGKLG AIIVRPQDIQNNAARPSSWSNLCAGQDRNAIGPNKRTVVSPRFPFWSFESLNHIKHKV LRRTGYVD L199_006737 MATLAPPQAIPEKFQLPTPPEGATSSPILNYDPTRSPIDIFKMA VATLVSEAFEEKLEKIYPAVEMGKKGCDFSVAIVRFKKGKPADLEVWAKKVIDNFKPS ACLSAVSTPDNKFLLFQMNKESFNYHLLRHITLTSEAALANPTDPTLSYGTTTEGQGK HMLIDFSSPNIAKPFHAGHLRSTIIGTVISNLYEANGWKVTRLNYLGDWGTQYGLLSV GFDKFGDEQELIKDPIHHLFQVYVKINNAKAEQKERLDAGETIPEEDQIHFQAKKVFK DMEDGEPRAIAQWARFRDLSIEKLKGTYEKLNVHFDVYWGESQVSTESMDRATRIVQE KNLTCEDRGALLVDLTKYKMDKAIIRKADGTTIYLTRDLGGLHDKWEKYHFDKHIYVV QAAQSLHFNQLFKTAELMGEPYADKLQHISFGLVKGMSTRKGTVVFLEDIMEEATETM HEQMKSNEAKYAQVEDPMGTSAIIGTTAVKIQDMAGKRINDYDFDIKRCTSFEGDFGP FIQYSHVRLCSVQRKNPNVPVPVSVNEIDISLLNEPKINDIMYHLATYPQTVKNAYNS SEPSQLVTWCFRLSHLVGGAWETVKVAGADEETAKARLFLYIQTRVVLANAMRLLSLT PIERM L199_006738 MSGLFGAVRKFFRPKGLIGYDLQGNKYFEIPNPAGGRMKRFVQY KKNRDLAEYSRAELRPPVQWRAWLSHTRVEPPTLQELEYDYTRQQGLQPKIAAIEARE REERIRQGYLLPDGSVPQAPSQIAGPSTPSERAARLKQIGQPPPQPQHVNSLNSERAS ASSYPIPTPTNDKVAEPSKTVDPAQHSSAEDLRRLAMEDTKRRIAESENQQQQQKQIQ GKKGVEGVGLGLAGERLKPRRRGRA L199_006739 MSDKSSRFPSISSDNTTCYPKEVSITLTILSKPDEHPPIVSISP YPPVPVDRDVYRPNNDHPFIGLGDNLTSGTKYELWKGNQVLVQTEEQASQEEGEALIL FVPTTKEGEIEARWQMLACREDWLRPYTPSETEKNKIAEIVTKNEGTRHPISLTQEGL PSWTTNESVLGATVLPSMTVLVPSIGQAPISHLGLKSYLLVLDGRDISVDRPEQVYKG DIVSYVEDQFHLENPQPIVITSGDDHSVLSTTSKSPGRPPSSFRFSTGLKSAWIKLNP KRG L199_006740 MTSTSTSSFPEIYAKQTSCLQRNVTITLSPVSSTKQHPLTVSIE PDAVRYPTYYSNGSTRDDHPFSSLGDNLHSSTTYQLYRADQILLRDPGQGSMLHVPRT SSRSSGGVENRWQMIDPEDESIRPYLPTQQETERVSQMIEKERNDPLPRDTPNPRIYQ MTLDSATDKSIDTLAVVRPGYTILIPSNDSAENDGIGKKSRYLLLDEDIDFTNADENS AKRFEGNVTSFVESIATPIELFHGRDDTEPKSLDNTSSEKDDEISLKQALGESRISYT DENQGTGDDGSKSNKPSWNATKRSKVRPLTRFLNYLHSKTR L199_006741 MASQPNPKAFPLANAQLTNQILDLIQQAQHYKQLKKGANEATKT LNRGICEFIVMTADVEPIEIVLHLPLLCEDKNVPYVFLPSKTALGRACGVSRPVIAAS VTTNEARELNAQIQAVKNEIEKLLI L199_006742 MTGGAFFTPSGADEEYDLDEEGNERHSVQWIGTAHVKGPKWAKF PLLTVGMLGIQCVWSIEMGYASPYLLELGLSKSFMSLVFMAGPLSGLIVQPLIGIFAD RSRSPLGRRRPFMLAGCAICVFAMMMLGWTREISGLVNGGKWLAIALAVWSIYLIDFS INAVMSTDRALVVDTLPPREQEEGSAWAGRMFGFGSVFGFFVGNLDLPPVLPFLGKTQ LQILSFMTSAILMITHTFTSWAVSERVLLRDDRPQSQSSLKANLKSIWDNMFSLPPGI RTICFVQFFASLGWFPILFFTTVWVSEIYKASVPIPEGMDEATFAADAVRSGARALLL QALVNIVTSIGFPFLVSESGVQPESAHTYSSINGQGGMPDNPPNSALWKRAREEIQSG GILKKMLAWLGGMLETLRDGSTWQIPFKGLTLIKVWWISQFVFAVAMAASWFVTTVSG AYFVIATTGFCWALSQWAPYSLLGELILIDGTIDRSQPLSIMQSRPSVDIRHSISSNR YSYDPPRNQASSSHPLPNEASFVIHESDDEDHAPESKEKGTAVEESDEKGNKRLSGGL SPLIIQGETVGEPETGLGSTVILRHSDEYSRSDISDEEDEGDDEEGQRRISTQSSGRR AITPSPTSPRTSSSATRTQEKGQSTADKAGVILGIHNVFLVLPQFVVTFLSSIIFYLM EPEKGLPAHHPHTTIPLTGNVTAPSTITEGLEGVVVGLGEEMVKRLIVGRAEGMVEGG SPDAVGLIFRIGGVSAAIGGWICWRLSRDWARGKGI L199_006744 MSGPRTPTISRPHTPNGLSPRGSYTNLSAALDASTPGSSTPALM AEKERMRAEAEVREALLKAADGAEKAKKEETGMPAGSPVWPILSYCVASIMMTVVNKF VVSGHQFTMTFLLLTIQSAVCVACVWTVKRIGFISFRDFDMNDAKTWFPVSFLLVAVI YTGSKSLQFLSIPVYTIFKNLTIILIAYGEVLWFGGNVTGLTLVSFFLMVGSSIIAAW SDISSTLARLSAGVAVLDPTSGADVPLPSGVMGSLNAGYVWMFINCVASAAYVLFMRK RIKVTGFKDWDSMFYNNLLSIPVLLIFSLIVEDWGSASFARNFPEVGRTFLLSAIAFS GAVAVFISYSTAWCVRTCGSTTYSMVGALNKLPVAASGILFFGDPANLGNVSAIGVGG IAGVVYAVAKTNQAKIEKAKQARSGDSKA L199_006745 MASSSYTAAQALDRARDLLSQSIGPKAGNQAKRKFQEHLITLPS YPEYENKAFFGTLVAKYFGEFEDLQDTAIDALLDLCEDEDEKVRIIGIKGLGPTGKAD PRWVRGNTGVLLQLLACQPRELRYVKESLQTLFSVSPSEVFQVMIDDCKNTEEETGTS RLNILHYLQNDLAEQRKEMLESGINTEAEDVVREGFFEILEHANERENKIILGILENI STISGKNVKQKTKSRYIKALIRSVPSKSSIEKTQPLLESFRRYIEKASPIDPRVGILF SAKHGGVFIKQAMSKNDSTAIWMVNRLKGWTREVLDKWTLKGDDQDLEEDNLAPAFVK NVLPPFLDECVTLFRKDNLASAGNLIEPIVYAIYRLTTIHDRRSNLVIRADSDILSDL AKEAIRVERRLQKGSAEAEKWANIIDMAEILANPRTKVVKIVPSWEILSSRAPTAPAS SLRHNDIPPRTATPPSAPRGPRTFQAPPSGPRSSQAGPSSYNSKPIPSGPSNGNRFSA PSGPSSSRSQPENYNRRDRSPERRPRSPERKPRSPERKPRSPDRRARSPDRNRRNIDR RSRSRSVERRPPTGPSIKPESKVVPPRPTATIDRPPTPPPDQPKRSIPAGPSGQIKPD TAREVLPMQNAATETAVKEDIQPTITESNPAKPVSPILIRSSQPEKRASAEPPKRVEN RILDGPSKRMSLAQRLGAPSPVTITPSSSKRPRENDETRTGKETRTEDSQSNHEERRS LLSRLASRDGEMPQAKRVKEVSSKQVMQTPTTEANRSVEGGRLSLLDRINGSGQSSQS TIPTNPNILSPSLATTTLRSDNTTQRNDKLPSIQSIGTPKGLSILNRSATSSPQPQPR PPNLPTKPTQSLSFLSRSTNSPSIPSAPKEFSILNRASSSSSLNSSTATRSMPLQIAE EKDVVRKGRGFRDRTPDGDGIGIDININEPTFPTGDVGVDGGTNLAGRLSNGNGFGGG FRGGIRGRGGARAGFGFEHNFGLR L199_006746 MVAEQTNESSKPPAQGKQPKQPKPQNPKAEKGGGGGEKVKSAKD LKKEKRAAAVAARGGENAGPGHEGGRPTLSVTNTGAAGESRQASFAPSGSASASAGGP GPSKPQRPTHFSEPSGLSLSNIQQNLFFSHLPHQDFPDTSAALINGKLHPLIVRLGVL MSSGQLRGANARTMGMMSAFREVIRDYECPDQAVLWKDLPIYLSPMIAWLEGCRPKGV GGGNAIRWLKSEINRLGEQGDRSEAEQKDYLVEAIGLYLRDRIEFADKVIADNAKEKI RNGDTVVTYARSSVVERVLLEAHRDMKASDPEAGFKVVVVDSRPLLEGRQSLEALSSA GIPCTYILLPLLSSILPQADLVLLGGSALHSDGALYSRSGTAIVSMLAKEHRVPVVAC VETYKFGEKVVLDGVATNELGSVENLLSIPNNLSFGIKPNDLSSTTGGVGKHNLTPLH LVYDVTPPSLITAVCTEIGFIPPSSVPTVLGKSSSVV L199_006747 MSAVAGPGPSSMASSMVPTSARMKVKKRVVNLVNPADPQDFSAQ SISPSTSPNTSAHMEEEPVTEEKITPPIMRFSETTQTFNFTPGVKGILRSTGTPGSGN GVRFFPKNKFRIITPNASVHQPTPKPPASPTNSFFSQLLAVTIPSMSPRRGSASEEVK GADESWEIPGQEGEISLVASSIASGDRSIENHNWDEQVDEREIDESIDDVREDSWNGQ PQIHCSPLSLPEGDANTSKETSLIGFELPSTELQYPDDMSNLLSTRFQPEDPSFSIND LPSSNLPTAKDLQTLSPIKEDLTNRTEDEFWSVPTSTNAPDDRSVSSEHSDLEAPDLS NPTIRQPTISAVNQSDQTISPTPIGRPYNTSSIFADMSAEQAELTWPLTRRNEDDDQE LDSNFPTPIKSSSPPSSKSLQTPKAGASAGDITQFFDTTTTMSFTSPSPQAIALRSSS SFSTQAQDFMVPTQKLFEAQVAHTSALTAELELYKDLAKKLHDEVVERDECLAKLNLR ALEAEVLHDQMQDLQRELTSLRSESRRKSLSPSPVDSPTSMATAGLRAGQGVVGMSDR TMAAQSEAKELEIRLAKTLADSEDMVRELQEVQIDKKQLEDELNVLRNQKKMMEDQDR DRLVKEQGQLDGIELLRKELEDARQHIDESKNGHDHEEEIRQLQEELKEAHQHIADLQ SYEDEIHALKAELDSAHRQLNEYESKYNDGDTIQKDLEDARTQLDQVEQELEDTKRQL EDKEQEVHILHDELDKVHGQLDNRANGSMVQHELNEANEKIKELKIHVKELTENKLVD EDEIETLLNQVDKFKGYRKSEEEMKKRMTEIENRLEVETNRRKESEKRLKEEEGVVRE LEKENEHLRYVVERAEQHTQASSSNEPSLYKMKDEITKLRSESASKDLEILNLQRRKT ELKEDREMLNIALDSKQQELELMKRKFAVKGIAGSTPLGTSQKANIGISSLTLETPLV GKGIQTRRRSSLAQSQSQTPSALPNVPKHLPSSLQTPINGRRTSHGVQLHPSTRITNR VMKRLEEENDQQENQPPVDGSIRSRRQRVLA L199_006748 MAYRQTNDPFADSSQHATLDTDPFSDPVKDPFSQPNESSVSFGG QSTNAYGDYGRGGGNDTSRADELSRREAELARREQELARREQENAIHRNNWPPFYPIV HYDPNIIEDPTKRQTITLIGYQWYALAATLIINMLGCIFLLLAGASEGGADLASSISY VVVIGLASFILWFRPIYLGYCRTEGKTMAVFFYIYFLFGGFHLLYSIYMLVGIPSTGS AGLINTIGMFTQGHILAAVFGTISTVGWAFQVLGGGFLYKRVWDFKNGNGDISMENAT NQLKASSIKTIVLHQSRM L199_006749 MPETVTSPQPEASASASTSHDAVPARTTGRTRVKSQRVLEAEET KRYLHKQAQSQAEEVVSALEKPASKSKSQAKGKKSKGKKVAEGQVYCICKTDNDGPMI ECGECNDWYHFNCMGLTDDEAEKIHVYVCPECTETTNKKTTYKYDISTFPSPSPPPGV VPTKRKSSKQKQKQTHKQPKTTRTPSQSSDDESASGSESEAEIAHSSSSRHSSVQPTP PPQSKKPRTSISTDVKRKPSVAIDRKPSIDSKPSSGSGLSGLPPVRKYVREKFIPLFT KVFGNTTPHEEIERFSAEVEDGIYSNFKDVVNGKEIAGTRYKTQFNLLSSSIARGLRP DLIASITSHTLIPLQIATLTSADLASEEQLAAIQRAKQSVLEQTVKSKSDLEPSSSIR LGRDGFEKVENVHEKEMILLAQQEELARVKAEEDKKRELEKINNPSSDAAVSISEKSP ITKDQPKFKVEHKRSESIDTSTVLPSPLRQTSFSVNSAWSKGRDGEDDDGDANRGETF NVDQSNLDLSDITGDIDMEMDDELDDKPKEVEKSEMEVFESKEILWSGGIVNPANLSK IIPPMCLRLTCRPSSSSSSSIDWNLLLPHKTIEITGRVPTANSLQYLSDMRLNPAKEL ITVAFSSDGNAKDEEILTWEEMVDYHISRDRHAIYLPYGSHPPQGAAKELYLIPLRPQ DDSPEFTELIDGYSLPKKGRSTSVFLGIFICNKSSTPTPSPIPQTTARPSQPQPQLPP QPQPQSTPGLASGSGVPVIQNDQLQALMASLNPTALQSLVGGITPTPIPTGGSTPPII AGGTTPTQYPVSQYPYQNLYPQQQQQQGYSPYPPSSGGYTPQPPSGPPRDWRDRERER EGGSGRDPRKRDNGWGNSDRDRDRRY L199_006750 MSPTLLVRGLVKPATRLSSIRSLHSSMTPRGPALNLKTINPSIL DVHYAVRGELALKADKYTQQLASPGPSNGSNTTNVETLDHSLPFKHVVTANIGNPQQK GLNQKPLTYWRQIISLLEYPDLFEKHQELAEKIYPKDVIARAKSLYKEIGSVGAYTHS KGVLAIRKRVAKFIEERDGYPADPESIYLTAGASAGVSSILSIALQQGDGCMIPIPQY PLYTATLAYLNAEPIPYYLSEERDWSMDHDTLLKAVSEAKSKGKPVKALVIINPGNPT GACLSKEAMEAVVHLCYEEGIVLLADEVYQLNIYDPSHRPFISFKKILKSMPEHIANS VELISFHSISKGVSGECGRRGGYFECVNIDEKVMEQVYKMASVTLCPPVSGQIGVDLM VSSPPADSESYPLWKEETSLIHNNLKERSYLMAEHFNKLPGMSCNDAEGAMYLFPKIE MPQKAIEEAKKRGKEADVMYALDLLDATGICAVAGSGFGQEPGTYHIRVTALCPETKE FVGRFEQFHQEFMDKYK L199_006751 MGNCFSDPSHQPSKGQGQGQKLGSGPTPSQQQKTQTKSTIRSPT NHEPPRTLGGGSVSGSGDIADPRERALKAAEERAKASQNKGVNTSNPKAGQLSAKLAA ENRSKSTSNNANDQRMMDRGEWN L199_006752 MSASTPIRIAGATAAVALTGFLGYAVYFDYMRRHSPEFRKGLKK QHKKLAVAAEAQSKAEKERNSKLLRQALVEIQLEALPSSPEQQEAYFQEQVAEGEKTA TMGPEYHVKSASHFYRALRVYPQPLELLGIYQRVVPPPVWALLIELTSLSGASAASSS GPASTAIPPPPQASVADIDDASPVVGEAPSPTSASASQGSGTDWEKVSEEAA L199_006753 MSSHNPNHTHPSSGGTRPPMQHTRSKNMVMASHGRAPWYGPDGK NVEAYVIGIAGGSASGKTSVARAILSALNYIPTVLILSQDAFYCAHTPEEIELAFNND LDLDHPNSIDTPLFVKCLLDLKQGKATEIPVYSFVHHQRMPEKKYIYGASVIIVEGIM ALQAPELRALYDLKVFVNCDSDLMLARRIKRDVKERGRDVEGILDQYLRFVKSSYDNF VQPSSRYADIIVPGSSNQLAIELLVTHVKRQLDTRSLRFRRMLAEAGEERSKARSRAS TLVDGQTDSGNEDNVVLLEQTNQLLGIMTILRDKTTDRGEFIFHADRLSTIVVEKALT LIPCEPKTIRTPLGIDYQGLARDDRLVGISILRSGGPFSHGLRRVIRDVPIGAMLIQS DPKTGEPLLLKSDLPASIKSRDTSGEVKVLLLDSQMGTGAAAMMAIRVLLDHGIKPLN IIFLTYIITEPAIHSVHRAFPEVKIVTASIDPELSEMHIPYNSDSLSLGEGPISAGEA DFATRTIPSTPSIGEEGVKEKPDPRDALKTEAELSSNKFKVQVKETEKLKFSRVHKRG ESGEKRAWVISPGMGHIGDRYYIS L199_006754 MKVFVTGATGFIGSYVVKELQKNRNHEVWGLARSDIAAEKLEKQ GIKVVRGSLEDTDILHKAAKESDGVIHLGYIHDFNDKEFKFAKVDENAIRAMCSALEG SNKPFIGTSGLLGVGGPDSDSALETDRAAGFRQVSEDVLHSFAVKGVRTVVIRPSPIV HGKGDHMFLPFLIDNAKQKGYAGYLGQGSNQWTGVHVKDLAVLYRLALEKESVKGGST LHGVDRDNEGITMKELATSISKHLNIPLKSLNDEEGQEYFGWMYWFMTQDSRPGTKIT LELTGWERKENTLKEDLESGVYF L199_006755 MSILPRLTSSVRPSLSGPIRRTAALRSYHIQSKPSAEKGRTEPQ YQYKFLEGNPTVGIVGCPFSGGQGRTGVDLAPNKLISAGLVEQLSSLGWEVHYNSQEK FIDIPYNPIPTSSPSAPENGTEINERSGKKVQRLPDEDIGKMKKPRLVSAVNREVSKE VEEIAKKGWLPLTLGGDHSLAMGTVSGTKAKYPDACLIWVDAHADINTPDTTDSGNLH GCPVSFLLGLEGTDVAPFNEWLKPCLKPEEIVYIGLRDVDGPEKEILKKHGIKAFSMH HVDKFGIGKVVEMALDHVNPDRSKPIHLSYDVDALDPMVAPSTGTPVRGGLTFREGHY ITEALAETGCLVSVDIMEVNPSLLDPSSVEKTVAAGCSLARASLGETLL L199_006756 MVLLRSVLSLPRSFPSTRSSLRHSIRSHNSSSNPTISPLYPHSS TYSYSLGLSYASKYSPPFIAPNQKIEPYGFMHRDKDDGIVRWVNEVMDFPAGRGELTS GQDGGWSQSVQKDVRKYGAGEDFFGIQRVGNDLHLSLSDGVGGWTDRVDPSLFSQALC YHYSTIASQFASSSPVKILDKAYRNLLDDQRVVAGGATLVGVRLGEEGDASFVNLGDS GFAIMKNDQIKYISKPQTHFFNCPLQLSKIPKDMRQAGIIHDTPDMADVKEIELEVGD VVILFTDGLSDNLPTSHLPLLSSSLTQLLNSPLNSHLTKTERDAEFARLFADILVGYG RMAMARTGDEKGWKTPFEIEATEKVPRNNWRGGKIDDITVMTAVVSESD L199_006757 MPTVTVLPISHDRNSGHGSLSPHLPSHLSDNLPTCRPSSPRLMP TRTISAHFRPISPMKFLILPHICTYADCNKAFARKSDLARHFRIHTNDRPFTCTYRGC GKSFIQRSALTVHYRVHTGERPHHCETCNKAFADSSSLARHRRIHTGMRPYTCKVPGC GKPFARRNTLLKHWKRQHPHLPPPSTNTHRNNIHTPVPNVRTSSASFPSSNGEYYPAT PSSASAPHGYATLHPSEGTAYPFGGGLSGGPIFGGASGQSSYVIPGHPGGYRPPQFYQ SPQAQGSVALTPISTTASHFGDSIHQGSNSQTPSAPAAEGFGGDNKHQIHSGPASAHP GLSPSPGINQQGFGQVQYPSSFNSYSQSTGYSLSRFPSEGGGMIYTDGKPFGNMSQRS VSNPLEAPKFQPYMAAGPNGHVGGGFHPSQLAIPHNQHPQLMPSYYHSMHMGLQPRSA SEVKPEFPGSPNDSSSDDDDEPLVALSDAPPSIALHPPAGPAVNMPFSSVESSNFVQF SNAQPQVLAVQQSSSGRLHSAPPTLQRFNSLPTVPTVNQWGQVNGYQSHSVGDAKSAD EEWEDIADEMLSREASVGDDTQVIPATVEHKTPMNNVEEGNQWGEPFEYPVPPLETRK NPFSSAASSSSTSSTLVGSSIHTLPPNHLPPISVFTHANQPMALTPINPNGFYPTPIT PANWSHDGFKPHAVFESPAMVNHQQRSDRDQENDHSQDITLTTPPKWIEQQRKDGRTV SAVGLGIANVHFDDRENDIVVEGKEDRDWDSTCEGEDERISEDDTVDDDGSDDEFVLG SRPKKGRTSTGRGRGRGRGSRGMGVRRGRK L199_006758 MRNLLALLSLSLSLGPCLIIAQSSDSNTNEEEPSGLDTLFGGIF SDISGSNSSDDPLPSTQAITDYQSFILSNDFEITDQPQLREYNFEIKTATANPDGFTR QVYTINGQFPGPVIECNTGDTIRVHVKNSLDIPQTIHWHGISQNGTNIMDGVPGITQC PIPPGGSFTYEFPIIEQYGTYWYHSHFANTMADGIAGAFIIHSPNDKLKRGTDYNEER VLLIQDWMWDQSTDIVAALKSPEGYRGSPGAPKGDSILINNIGQTNCSSPNYPEGAEC NPPRPAEIPVVVGKKTRFRIINIGAHSMLRVSIDQHEFEVAEVDTDPVFGPTIHEIPI APAQRYSIIVDANQGKAGDKFWLRANVAAGCMDKVKQEGLAVFRYTNNNEQYDDGDET PDTQPWDDLAEFDSPCRDLDDQYTLTPRDPIDAFTKPLQTHALSSKFGTFLGMQGQNI TGFAFNNISFQNQIWDPMLPHVIDSGNNSVDNVATVTFDCDGYVDLILNNLDGGIDHP YHLHGNEFQLIKRGDGNLTVEEAQAMDLSNITNPLRRDTIFIPGNAYAILRIRTDNPG VWALHCHIGWHLAVGKLATMIVRPDDIKNFDQPEDWQRLCAGQDPDEEGPARRSSSPS TSPIGHFRSYKEVKNRIIARQGLRDQ L199_006759 MSAARKSRSAEKEVEPEPMEVEEPAVDGAEEEEDEEEEGEEEGE YEVEAIVDHRQKKGNQAGKYEYLVSWKGYGPEHNTWEPEEHVAHANDIVSRYWAGRPK NAVPPKQTKKRGRSSVDGSSTPVPASQKVKSARTTNGTSRRKSQKDDEDDEELPEFEI SHVDSTAKYEDVPDWEDTVTSVDTIERSSKDELVIYLTMVGGEKVAVPTDLAYRRCPQ KVLKFYEKHLKWKLS L199_006760 MAAATASAPVGISDSSFTDKGKPTEVRLSNMNAAKAVADAVRTS LGPKGMDKMIQTSTGEVVITNDGATILKHMAVLHPAARMLVELSQAQDIEAGDGTTSV VVLAGSLLSAAEKLLNQGIHPTTVAQSFQKAASKAVEFLDEMSIPVDLNDRESLLKAA RTSLNSKIVSQYSSTLAPIAVSAVTRLVSSSSHNVDLRDIRIVKKVGGTIEDTELVEG LALNQIAMSNAGGPTRMEKAKIGLIQFQLSSPKPDMDNQIVVNDYRQMDKILKEERQY LLNLCKRIKKTGCNVLLIQKSILRDAVTDLSLHFLAKLKILVIKDIERDEIDFIAKST GSKPVADIEAFTEDKLGYAELVEETSQAGAKVVKVTGVKNPGKTVSVVCTGANDLVLE ESERSLHDALCVVRCLVKKRALIAGGGAPEINVSRLLTEYAHTLKGKEAYCFQAFAEA LEIIPTTLAENAGLNPIAIVTELRNKHALGDKNAGINVKKGIISNILEENVVQPLLVS TSALELATETVALILRIDDIQVS L199_006761 MNSLSSPDSILPTPPPEFNLLSSSKATVYFPNPVHPVALEYAKI KFGRVVVNDTKGGHDFEEDLLKVADGIVNRANPLPQSFLEKCQNLKGISVVGVGYDSV DIVFCRNSKISVFNCPGINSTSVSELTLTLTLCLLRKVTQLNNRIKSLAEGEKVLAID NLGNELKGKKVGMIGMGNTARKTGELFHHAFGCPLHIYSPTSPPDKWTSSDPSCQGAL NHTRHSNLETLLPEVDILTIHCPLTPLTKDLITERQLQMMQSSAILINMSRGYVVNET DLYNALREGWITSAASDVFQIEPVNRKCMNWLDELDNFIATPHIGGSTIEAQIEICKC AIDQLANYFDGHRIENRIC L199_006762 MKEQKANVRFLPSSSPQHPHPTVNTRQRIKRPISPPPFGHKKRP NHSLILHLHCNSANDMNKLDHTKYWNDYYSSPDIGDDEKLPKPPSKIKLYSTKWKLEN PNPKTKSPSSHGEQAFYGNPMMMGYGGGAMGMGMYPGMSGMGPMGIGTGYGMGPGMGM MGYGIPRYGGCSMYGGYGGYGMVDPLLGQYGALPAANFVDDFPDAHRIEHAYRQSAPD AYGMGMGMMGGGMGINNIPGSQVMLYGRGMPYNGWYA L199_006763 MTLRNTSGKFSLSNFGRKVSGAVEPNSPTRTSNHNQHASGYTDD ESPSRETHGGSGNGAGFDGLGKKLKGTLAHQSILPGLGNKDMRALQDIITTEKGVMQM AEKLAVETQRASSSLPPYGVQEGPDLQDILTQSSNLLGQLTTALNIFANHQSNMRSCL KRIREREEQLMDLRSRRRNTGSKADQAERKLAKMGPENKQLPQQTELLEKLRLDMRNM DQDIVTEETKIGDFKRQVVKEALSLKFGGLEELGEKMCIIGELGKLLLEEVPLEETPV GYGRAPYTGYEKTENAVNEATRCLSTVQFHAANSAPKPPGLPDPPFGQALRPPSLPRE REEPNVAAAEEFANYPGNVASPDHKGKGREFSLDTADPYGGISANPYDPAHASHAPQS HIYSEFGGHRRETGEVMFNHDDHHPDSAPQLPPIVGGPSGSAQPHTPVEDTQPEHGYE YEQQKAMDADEAWKKLEREEQAWKEAAEKEELEQEQHNTSGVGLPNPHSPNEEKGPER NSLKSPWEPLNMKRGNTPEPYPPASQAPAPAPAPAATVALGLGQPLNIPPPPVITNNE VVNSPTTLDIPPLPRPSFTPAGSEFYTPAEIPDRDPLASPSLPSANGSVGGKISAAAF RKGTKPRGSLEPDDESDSTSKMIRRLPVPPTNAASIALPASPAPGKENPYDTGRFDDA GQTQGRTPHSPPPGYQPEDSLR L199_006764 MAPRKLVNNGGKNAELAPALEPIIKSSIDKEKEDVSVISTIATD PLPTIKVNNANLGEVKAALDEIVKKHLQNQSFTPSLLHPTVHLSLGYSSVIVALSSVL YSLKVNFEDSKPILWIAVVGYTILQVVLWAWKKWIEKGEVFKGKRRRMVKRIETDHIQ ILSSTSLDSPSQPQLTISPHTRPSSPTSVPTSPNPSSPSTISTLPTTNLSSFANVGPG SETVVGPSYNLQLTLSITSNNGKSLIHKSRLIVGKLIGELIDEDGGIEKNEFERWLNT VLDDLGAGANGEDEIEGNKDE L199_006765 MSTPSTSTPAAPTLTPSQARLAALNRLKAKNKLTSTSNAAAGPS TRDGSGGGGHPYVHKASNVPSTARNMVQQQQQSKDEAQAPLRRDPGLGKYFEYDLSKL HNSRGGFLTEEDKEGDRIKSIVELAREKEREKRMIREGEEPAIIIDKSPRCVECNTLE INHQFLKVFDVTVCKNCEKKYPEKYSLLTKTECKEDYLLTDPELKDEDLLPHLLRPNP HASTYSNMMLFLRIQVEKVAWDKWGGPEGLDNEWKRREEFKKRKREEKFEQGLRDLRK RTRNNLYQRKQESEHIHQFEDADEIVDESGDRKVLQRCFGCGAEQEIEVL L199_006766 MIDIIDYKAILEEEFEVLESIFPDELEKLSDTSVSIRIEPEEQS ISNPIALQLIINYPETYPDAIPIISLEEIEDEDGLREGEEDVVLDNLKEVAEESIGMA MTFTIASAAKEALALLIVDRARKEKEEDDRKTREYEEAEAARTRGTPLTKELFDKWRK SFTAEIKAKREKEEEDRVRALNPKEREEWRKRKERPTGRQLFESSSTLATSDEGLYEE GVEEVDMRKYTREQREAERRKEEEDEERRRRGLVGDGDSDNE L199_006767 MPKPNEEDQEFFQRIIGSAGYGNHLSKHFSIYELDEVPKEDERG WRKVEGFRMSFTGKVTEDMSNLSGNMHGAMYSWIVDTMTSATLIAIHTPTFWGLPDFA GVTLTMELQCLNAAPLNTELLIEVEIIKCSVRLANLRCDIKNLKSGKVYATGTHLKMW KGPQPEKENKSIQAKL L199_006768 MAPSGLVISKLGIQATASDEVVKGLMCLKISLPKDAEGRPGARW ALFNSTPPKLLSTPTIYPLPLPLPTSRAPQLRKASRLLALPPPSTYPPASPSGLGDRP YIDVSSTTGKVYVVVDPVSRRRGSMNHRSSGSSSAQSTSAGRKEWLICMDFEVALERG VEEDISKVLLPIPRCLDNTIRFQILSPTNASSSSLTHQEVDIFTDPKMLPLPTNTFPS PVSQSKARVTGRKVKGKGKIKATVGEEGWEDGEVLGPDDVPTESDEDTEVSDDDDDDS EEDGGSWLEGRFPSTEILRLEWSFNPPSSSDIPSLQVSHIWNKQQSSIAIAYLAHVQN QDNLVQLDIDVPNGWGWSELSIQGEGLSNWRCLDGEWGSQSSDPDDTMEQGEEYEDSF ATVKAKRAHPPLTPSSSTHSTTTNFLPTTRSTSSSSASLMRQTFPLLNGSDRIEDFSF ELSSIEQQNQKPLTPKTLRKSPLPMLVNSTSSSTQSKWDEPRYGRLFNLYFKEEDSGD RTITIQGTLIPLDKMLLVSSSLPVKIPFIKIDNAESNQCLVECPSAIYGTATQSSSDT GLVDVSLGGKLFWTGSDGSTLEVNNGMIKGDVRVRIRRSPWGVIMASMRFPFPPKSDE VGFSLKHVDQIRVIRTSVDGVEVPRAMYEDGGISRIRIGQRDKRSTGGTVEVEWDMIL GPKVEIALPYFDNAEGEMKVELVGEEWIPYLESTITNMKTLSSSLYTLPLSSPNPPTL SISSSSSMTSRRKTLLSFSTFMNLFLLWLLLSMGQQLQRIKNEVEFVRDEYRDLRLYG YGSGDTVKSRDHGSLVTTTTTTITSTCIILNTVSALPSSNTGTPGKIPKEDKKQDNKV DVVVVDHSASYSLSRVVARSLKGWENVFGHPTVKTITQAVNWIWTGILRLMIP L199_006769 MDQITQQQNEDNSIQFDLTENGPGSPPDFLQATDSTETGSGSNT SNSPTISLRSAPPRNLDGGVLPTTTSLPNLTSVQNPITPFAMEPNWPSQGFYNYYQPE ALRSSFDRQSTSPQTSMHSYDDPTHRRSATLPHNPTFLPPPVPIPHPIHNSANGGNVS LPPLPQTFYGSYPTGSSSNNPNQSQGGSQSTPANIHTLGHNVGYSVSNSSSGGYHYPL TSPIGLTDSFGSGNGPNRIPPVHSYSESPRIPGYPSSSPGGPGLHHQHHLSPTSPTSH LLHGMNPSSASTSSSSFPSIQRTPANLPSSRGNHKRRSTSASHSTESWDEIERNFVPT STEVETRELGDEQPWGMPQSEYKALNPRDKKQVRNRIGARRFRAKRKDYVNNLETTLR MKDDEITTLRIRCESQQSEINNLRNKLGLPTIEYPTPQPQNNDTSGLGLVMNQSNPTP NGNASGDNNGSSTHSSNSNNGGNGNEWGKTKIENVHQ L199_006770 MADSIVAPTPTSNPSSLAPPSSNHPSRPSSANSSRSNGSSRGRG GRSSTNISRSNSNASSRSNPDKKKQSGPTTTISGGVSLGVGSGTSAAENPSAGGSKKS TGGGGGNGNRKGKSPSGGNEAEGREKSEGGQKNNNKGKKQNNNKKPNPINTNVNNPLG RPASQNSNSKDTAPKSIHTTVSAPRTAMEAAVDAATKKHNAQSGGDALASLQKMISDL KTLPTSAPSTGSSNGSRSVSASASKESPISATTTKSTTEAVAIPDSSTSTSSNSSKKL KADAPSFTPSFQPATSPVNSQAGLSVSPIAPMPPASVLHPRSVSQSSATNHSTNRRAS SGSAFNSNQPTSPIQMYTNSLPPVYQNLASHAELDEDHSPLSFAQQAELQFQQQQLLA AHQQQFQYIQLLQAQIAASQQLAQQQQPQQQHGQMGNFIAPRFQALAQQRAAQQQQQQ TALQLAQAQQIYEIQQAQLLQQQEEARARAIAETMKNEPVFEEDEEPDQRQSQSSYGP TGRPQLAPSFTFGAKPKHAKKESMSDKSSARDSMSPPSGHHTSPPVVVNRSEGIGGAA ATGLAGLAARAHKRTGSEMSAAMQQQLAIQQEIEALQAKQKALMQEEMSTQGSSALSQ LNTALQQKQTPSQTLSRHRRVQSSLPSATAPTEIPERFEEADQPRALRTIGEMPPPPV PSSGGSHSRRHSVNVFNKTAGHGAGFGSISGDIPIPEAGVAGGERGFGHHRSGSRSGF ESGNWRVSGGGSVGNAGPVQVADLAAAQAQLQSLAQFRAAAGGGHSKMASFSFPNMLP NLLAATTLQTPMGQSLWQQQQAFQMQLQQTSQGPQRKSLFAPYLPQASLPPLLQAGKL VVGVLRVNKKNRSDAYVATDVLEADIYICGSKDRNRALEGDIVAVELLDVDEVWGTKK DKEEKKRKKEENAAYDLKPSTAKKMEKKKDDVEVEGQGLTLFDDEEVNDETKPTYAGH VVAVVERMPGQLFSGQLGVLRPSSAATKEKQELERRERDGDRGGRKDEPEQRPKIVWF KPTDKRVPLIAIPTEQAPADFIDNPDAYGDKLFVATIKRWPITSLHPFGTMVEELGPI GDVEVETSALLKDCNFPTEEFTDLTMKCLPPLPWSIPDREYEVRTDLREQTTFTIDPP TAKDLDDALSVKKNEDGTFTVGVHIADVSYFVKANTAIDREARKRATSVYLVQRAVPM LPPQLSEELCSLVPDVERLTFSAFFTFDQDGNVKDRKFARSIIKSNAKLSYNDAQQVI NGGSIEASKVPGGEIKEIEEDIKVLHDLSSKIRRKRLDAGAILSNKLKVSFALDESGK PIDVDAVKKSEANSLVEEFMLLANISVAHVIANGIPEQALLRRHEAPIDRRLDGFVKR AQKLGFEMDASSAGTLQKSFEAVQDKDTALCLELLKKKAMQRARYFCTGMLDIAKYSH WALNSPLYTHFTSPIRRYADVLVHRMLDACLTSPNPNDVKFLMDRDQVAKCAQQCNMK KQSAKLAEEQSIHLYLCLLIHDLTERYGPVIRRAKVTGVLDAAFDVVIPEFGIEKRVH VDKMPVENVVYDEHKDILSLYWTTQDVLAFLAESTDDTNIQRIKSISEKLSIGSSISQ SLEEKSLFDTPQTLANGSSSSISKSKQYLKSSNSTLNKIDKSSFEGLRSDASGKHKIQ DIKELSNLPVIITSDITKSPPVLVVYACNPYAA L199_006771 MLAIRPPLDRAICPACRLFSSLSRISCPSEPSTSQNTSRSIIFN SPIYNPPSSSTSIKPLKYLRREYEHGPDIRGKLKEKETLIKDDPYHVMLNSPIRQCIV TRQKLPSAFMVNLRPTYFPPSSKDSTGSLKLLPDRIITRGRTKKGKGLWVSCHSTVIQ HLLSDKGPHIGTLRTFPSITIPTNIKTIIHTQLLQRVHNELEWLSNHLSNLSPRAGIS DSSPLSSSSDPNLKEIRHRPILRRLKSLEASHINNQSGHGHSLAQIQREGEIIALLDI SGLSSTSTSSSPSGLISSSASVLEDAIDIPLVSIQGKSIPLYNLSTLFPQSLHQHLNS NIKKVLSIQRKLKRRTTMSRPRIQGEEMRSKSDHSKSDHSDVIALCNYPSGSFDRTQK QRGIVGIPLFVALWRLRCFIGQGWVG L199_006772 MLFRTLLLALPLLSSASLAFSISNEDLNSTLTSRNNGGGGGSSS NSKGSYQTCARVAGTYGHYKYDFGCICQDDVEEYCRDNGIHGDLQNAMQSYISQYGKS SYYPSNAQPTCDGRGGYTCGSLYKKSDGSCSSSGCSSDHWSPNGSCCPRGQTYSNGRC CGSTGCSSKGGQCTPIYTCSQGQEFKTTQCCKTYLSEINGQCTCPSGYQDDGSKCSPK CKSNEKIDSKSGKCVTVCDENNGFTYQKCKSSDHSLCCPRGQTAYDTVCCSSGKEEID KSGICCTAGVGAKIQNGKCIEPTSKPKPQTQRRSGIPVQLTLKEDIVSYGMEQNRNGE LCPPRMAACPIEGRFTIYTLDQIVKEGEYECVNPLEDLQSCGGCSSLGAGKDCSAIPG ARWMGCNRGQCQVYSCKKGWKLNGDGTACEKLM L199_006773 MSRRPTTTTRGGISRTASSASSSMPPPSRIPNRPPSVISHSTGN NDGSESRSSSPSRRSRKPSGQSVKGKEVSNGNDNGEINIQVVVRCRGRSQQEVSAASP VITTTTGPMSKAITIETTPLTSSSLASFTTASSYAGSHQPMTKTYPFDKVFGPEADQT MIFNEVADGMLDEVLAGYNCTIFAYGQTGTGKTYTMQGDLELTPLLAPKSTAGIVPRV LHRLFSVLEASENSEFSVKCSYVELYNEELRDLLASEYKGESSGNGGLKLYEDGKKGV NIQGLEETGVRNLKEALNMLEKGVRRRQTAETKMNTESSRSHTIFSITVHVKESSIAR GGEDLLRIGKFNLVDLAGSEAIGRSGATDKRAREAGMINQSLLTLGRVISALVEKGSH IPYRESKLTRLLQDSLGGRTKTCIVATVSPTRSNMEETLSTLDYAIRAKSIRNRPEVN AHMTKTGLLKEYVGDIERLKNELVAAREKNGIYIPEEQWREMQEIQTRQKSDYDEAKL KAGIIEVELTTRKKEFDEITSRFLATSEELEQVKEAERQLTDLLEESKKDLDRVKIEL EEEGVISKAYQKGEEKLDSVASHLKKTAEESVGDVGGLFDKLARKAKVLGSNADSATK FGGELEGLSQGLRGGLADLQVVHESLVKDIQEKMELFALRGQEISQSDLKDFDKSFSA FNDLAKKLSASNEKGQKESSESSKAILAIREEVQSSVRDWAKGVSERSQGMVDDLLEH QQEHLNTVASVLGSTADLVDAVLSTTLSHLAVSSSSAIKSRDKALQASSSEISRLKSQ NLILTQLLAEEKEKSVRLRTELIGNLTRMIEGFTQQQDESWSNAISRVTRENQFALTD MEVFGGVVQSDYEEGVRRAKEIERDLRMGKETGIRQRQAGEEALSHVRQGLQSKLEGY GAETSQHAESHIQVIDGFCVKLHKSASDVVGKSLARGKKNSELLSALSNNVSQTHQSS RSRLSRLSTEIKELSSTLLTSSSTASASFSASNEKASITLQRIMRSTGDFLENGIQED VPTGITPKKKNWNVPTGWERTGSREAVLANWRKRQGENDNCNQNSQLAVEVNGNGAGM TGVADGVEGHEDLEREENQYPQDDLSSSSESLVQPVSLSRSTSRELELSSPELVTQTI QPPSKTNPLTQPQSQSLRQPSKGKSLLSSKKSMVVMNLDERPNVVILGEGVGVNVPRR GGRR L199_006774 MTTRTLLAARAALPRPARLLSGPTRFYVRPTAPLDPIQRYRLHT SGRRRNEHVSPPHTLSTHAAEARASFRDPMTRYTHLIQDKVLREDPSQKEIIKKLQRL WNDLEHYDPGEIPPEVEGKSGGFLSRLLGTHSSSSHPPSIPLDKVPKGLYLYGSVGTG KTMLMDLFHSTLPSQFKSTQKGGYGSTRIHFHSFMLDVLQRQHAVTKKYQMQGLGKKD AMPEVARSLAEEGRVLCFDEFQVTDIVTAMILRQLLERLMSYGVVCVMTSNRHPDELY INGIQRQSFIPAIELIKERFEVVDLDSGTDYRKIPRALSKVYYSPLSPEVKSEMTKLF ESLASTDPISTEVTQNKQIPLWGRNLTVPESSGSIARFKFDDLCNRPLSAADYLEITG RFGTLFVEDVPKLGLGERDQARRFITFIDACYENRTKIFISSEAPIFQIFSDKHGSAA EDEHMKSVMEELGLTSSDIGASSIFQGDEELFAFARCVSRLSQMGTKEWSETTWQEEQ L L199_006775 MISRQAPYDGPWTKRARQTDEWLSQHKVVVSATTASLLSTFAGF PLDSLKSRLQSSRENLSIPRLAAEVVKEEGIGGLWRGFPLPLITISIVRTISFTIYTS TKRILNSVPSTSTSPTTKEGQQPWVDLKLGLFTKDSAADIAITSWLAGAASGSVVCVG SAPFELVKVRRQLEYQIYRDSHPEIFRPNPPPSAGASLPTNPTPAPKPPAFVPPTTIQ AVKLIINSSGYRGLYIGWRLHFIRDTLGTALYFAEYDVMRYYLGRSKSTYRPGQGEGD IGSGAKAEIQGDVPNWAKGFLPKSMIPFLCGSLAGVSSWALIYPVDTKAQQRGLSGLE PRTPWVQLKRLVRGTNKDNPKPWLMGIARLYRGLGVSMIRSMLTHGLLWTIVDAAGNW IETKPFERLAIKTMGELK L199_006776 MLACGGYLYWRRRAHNESQTSLPLNFPSRTPAGIRLSEDGPPAT AFTHDNASTDSLPTHALHEIPELYQPTPVAYSDTNHPIPSKAASILGIGKNRRSAKGK HKGPIEDEEDEDVDSEIEDIAEQGKFGKGDEGEIGSDSDDDELGPFEKK L199_006777 MSHALLLRLFLSPYFSVSLAMQYLKTYPDNIGISHYLCWRMKKM PPEEVEFYWPQICHLLLTYPTQSNALESFILSRAEESTHSAMLTFWFMQAALRDLTPS RITNPRPFMICQRILHKCHEIIFGDPPEPSRSPYRSLPQSPSIGPVRVNNHIPPALVG MGVILASAPGMSGLVELAGDWAITQGRRPRDDPERNASGRSMVEVDQSGGADLSSPNR WNKRNANGTMLADDEDNSEEDEDTNQSQAASTIKRSLSPLPQSQHPQSMIQLQHHSGS ATTPNLSRSPSPNPLLGKGRPRKGSDPFGQLTPSQSQPQSISGSSKNYIHNHTPPKST HQPFYSVPELPVSRSASSNRLYPLDRPPPPEAILATYSIDAQRQLLRSHYCRSEVRFL LLLEDISNRLLVIPKPARVSALRAELTSLNHNLPAEVCMPLWCAADHGHESGGETSAG HPLKSRPGGDRVRSKAHARVVRISPGDSVVLNSAERAPYLIHVEILEGDLDFDPIRRE NRDLLKKIVVQEDMKRRKLNHPTSIGGAATGGVTPGTPDLSASNGLGFGGADGPGFGS PMPSSLPRIDTSEQEPESSRKDITPDEIEEMDLVEQLYGAKLSVHDTIPDLSDSVPLP TAPKNKQLDMVAWEKGSSISEFPSRRSSLGGNGILGSPSLPKTPDLLSNVNQYLITSP IGEDPTHLDVPSNSQSTLRSAPSSPSLANQEIGLHTPTKRAITLEDYSERMRTAAVML AQLNASLVSPTQEAGGGVQGNQSGSSSWIPGTGWITGKSPGGEGQSSLPPPPKVEAAG GKLKLAAAQAAAIRERIMEEMMALEEERVARMTDRPEGVEITTSSTPVDGKSDGAGAG AEGGHQTAEDEGIVRRELNKADPSAAVFKESWTAKKSRIRASSPWGHLANWDVISVIV KTGADLRQEQLATQLIERFSRIWKEEKCECWVRFFRILITGETSGLVETITDAVSVHS IKKGEYAKRLAEGGPIGHVSLKDHYVNTYGKPDSGRYLRAQRNFIRSLAGYSIVTYLL QIKDRHNGNILVDHDGHLIHIDFGFMLSNSPGNMGFEAAPFKMPLEYVDIMGGLDSPG YMYFKKLFKEGFEAARKHSDSLITIVELMQKNSKLDCFTLFGEQTSTHFRERFQLGLT TQAVDTYLERLIVSSTGSNYTRLYDTFQYYR L199_006778 MAAPFPFPEIFLRPAACLSVDDATVQIKYEGTLSTGSPSTTCQV QSVTLSSMRLYDTCIPEEYNFTSRYTNQDDWEVLVDDSVSGRLSIPRKKKFQLWKGSL VRIAGDPSVGTSSNEFVPLTEDGLDIRSEWLTISESSTRTEWDGAQTFHVPTRGTFLQ SMRNSIARCYEVEQVRDTGIDMVSQVPEGSPVYDLEKYTLNCSALIPENFSAYRGERV PIIILNSDFDLSATNPVADYRGPVRWVTPDTSASTTRGQRIIPRLAQAES L199_006779 MTIVKPAHFVVVPLAMWGHLRPLLQLSLNLLFLHPHLHLTILVT PSTALRVESELKSTSFAHIYTKSPSGSGISSPISETGAGKATHHTTLAEQQQEDKAIV DRLQVITCISPEFHLPDEWTPETMAQEGRDYARTLPSFIKALMSRGHRLDGTINKFED IPLSFMIYDCFQTFIPNVMNGITSEIERPSIPLIGFIPSNAAATYHTLAIEEKGGSFA KMRRLVDEDIVRGRDVLEAYAEHGFKTEGIVKTIPGLPPKFDSKLTSFDIMSGVRPNF DTIPMPPQAFMSIIPSYKAVNDSATKGLICPTSADLELEAVEALEKELNKRIYMVGPR FPDAVWAGELPERKAKNEDDERVFTFLDKMGKKYGPNSVIYVSLGSLFFPVLRPELIK YILESLKESGFPFVFAHASGIVQVPQELLDELKDDENCCAVKCAPQWDVVNHDATGYF LSHCGSNSTAEAMLAELPMVCMPFAADQGEFTSMLSEIYKVAIDLKQVKTFSKPEFNK LYDGTVIVGTEEAIRAELKETWDKIRGLEGRDMRQRMKELKATVKKSWESGKSKKDML ALGTCFE L199_006780 MSSLFTATAPTQPERAQIYSAHLQSTLLPELDVTRHALISVEHD ISEYDNLLNKLDQLEEADERGIETLSELGAGVWVETRISDTKTVTLDLGLDLHMDMSL AEAREYVIKKIDVLKKKRDSLSKKEEFLVWQVGQFHGALSQSGENRILGI L199_006781 MSNPQRTYTNPFYTPPPAAPTPPAGRPPTSPPPAYNNSSRPGQS QPQPSAEPVQYGSLSSLGSGRAAPPPPPPSSSSSFSYQPDPQRQGYRAQSEYQSSSGS GGRKLPPVGRDGMMPPVPPEPPRRNVPTSPATASTTTGASSYLKQAQGYIPERAQNTF GAAADRVNDGWRSVATNERKDQVMSGLGKLGAGAAKLTAKGAYQIGKFASK L199_006782 MSCSDTLIKRTQRLSLQPDTAKGPRRTESIRKYVMSPSPISGSS IDTTSELSSTSMGRSGDKIRWRDRTIYRIGRNVTAGFPVDLVEQERQEAEIGTDEKHY PYHGPVLDVLKSSSRLNCGRILDIGTGTGIWAIDMGDLFPHVDVIGIDEVNILPELVP PNCESDLLKPLPYPPSSFDIIHIRFLSTRVRSYPSILSQTLSLLSPNGLLLLFENFTF SPPQTVHDNTPKGVQAFYDCYKRSLFSSGIKVMDQVE L199_006783 MGSSQSHIQNNNPSSSSSSASASTSRSPPSPPPTNRRQSSTLSR LKSIRRLSTLGRRDSTNTVPSSKRLRQGSATSDSILSNGGREDGRKKKARGSSPIIPS TPTTITVDQPTEEEEDESRKPSRLDTPYPTGAAKEPSEDQVMESAEAISSSIQAIPMP ASHVSADRPDISILPPSPSTIIPPISSLGLSTSPPSPAPTPASIPLPTTPSSETSDPL SEERLRSLSTIRDALGPDWPNSSPTPAVERLFHRLRRSTSTPDINDQLSSSSNPHRHN QRTMSDRLTALLGFSTPGHPASSQTQSQSAVPSSSTLSAQAENADADDATIEELTNRL AQAREELADTERQLNETRERMENVRNRRPPSGAVLIIQGLAQTHAPEPTDEEVHTEDG GNSGIGEGRRRPGMRNRRSSEGSHTLLRRNRNDERERDSGNLDTQARMIGGLLTVAAA ATATTLLAPSSPPLPATTARSPAASALESIVNRLRPRPNRPQSVEAALGHYLRTALQS SREGAPSTSPTTPTTTSNLTTEETGTEGGSENTPEIISTDFQRFLESVQGDLVGAVRE FAGPLPLETSLGERVDQQPVRDETEIREEEKREVGDEESFVTAPSTVPTPLPATPTHE ISAALDDPPIAGPSHTTTLNPTIPTFHRQLGQNLPGDPTRATPQVTGGTNGQPRRLNF FRAHVFPPFPSSTTPNQDGTEQGGTANGNDDPPIVPCIFIGVRSIRHDPNMTTDDLVQ HPNFPFVDGQVPPPADNSDIADSEMEPDSESSVVAGIPDLTQSPIRASTPLPSSAPTT SPSSTAGERRTLRERFMDRLHPLRTASRRNPTHLGPLNTYLVYVIGGNYPQNHPILSI PNLITGGPLTDEEMNLISELMGPAKPPTVNKDEIEKSGLKIVRGSEMDDLRKDGKLLD ICGDRCLVCLSEYEPEDECRILNCRHGYHKECVDQWLSKGRNSCPACRSEAVDTTKLP KETTTTTVPSTSDNEAARAASSVDMDVDE L199_006784 MPVKQTQAAYPVIDSDPHFWRVVRYMRPTDYATWAGATAAGPGL FWLYERVDPTQATKASLRSALRLTGFLGFAAGFMLAYQNSSFRFWGWKENSIEVTKDQ AELSQRAKNGQPLYGETELSPYLQGVAARNSTFSQLKLHAFPW L199_006785 MSTILVFETLSTKVVYLASKATCEDVWDGVDFTPCFKDRYISNV PFILIALSAIYIFCITVLPRYLSSPPYAPVTAETLISPSATSDLAKLESNVILDSVAS NLLSSSKVSAGKGRLTDGEAEQVVNDWKSASQKKESKWRRFRFWVGLIGALAWFELEI ARGAIEGSWRDIVFPAWLALIALIPSSPLTAVLTFHILPSLILFRSNIIQTHTSSLKI ASGIVEVVYWVALISIPYSEELDRLLIGGVSQGGGSSGSYGEKLPKHCEEPTSTFSRA TYSFLLPLLFKHYFKPITLKDIPAIREDDSASSSLGAFRAYRAGRDKAHLAKTGDKRI RNLGTDLFWFFFPELAMQSIWAIVFVIFQYLPPTGLRLLLQYVKERDTSSQPAHVAVL YVAMMAGGQILSVILMGQSLFIGRRLCIRLRAIIISDVFAKALRRRDLSGNVKKNKVD KNGKVIEDPEASATEGKIANLVSVDAFTISEICAYIYYLVSCPFAVILNSYLLYKTLG AASFAGTAVLIALMPLQGLIGRLYTIYQKRFMAATDARLESVTEVIAHIKLIKFNAWE DKFFERMGITRKKELAVLALRFATTTLFQVFVWGTPVLVTGVAFAVHSMVLKQPLTAD RAFASLILFNMLKDPLALFQDTLTRLLQAYTSCGRIQAYLDEPDTLKYRQLSTPGPGE PSIGFKNAILGYATHEDLHQLADDDAEPFVLGELDLSFPVGGLSLIAGPVGSGKTTLI LGLLGEAMLLQGKVFMPDDHANRDICPIDPSTGLADTIAYCSQTPWLIGASIKENIVF GSHWDSKRYNAVVDACALRRDFEIFELGDETEVGEKGTTCSGGQKARIALARAIYSPA KTIILDDVLSAVDAQTARHLFDYVLQGKLIEGRTVIMVTHQVNLVAPASKFIVMLENG GMVASGTPNELASEGLLDLHGSGSNSGPSTPTATGSSSTFTSSDQPPSDNKAEDLIEP VLDEQPTEISEGKKQLEVDKAVEETSEEVKLDKQLVAAESSGQGMVGIGTYWLYFKSM GSGMFWFIVIFAFLGSQVLQIANNTWIKEWANSNDHPTTSTLSTILKSRRMVIEEVHS QLAKPRSTLFYLTVYWGISACYVLAVAGRVGITFFGALTASQSLYSKLVRRILGAKMR FFDSTPSGRIMNRLSKDMSSIDQEAGEILMYFANSCLSAAAILVVVTVSTPAFLVALV LIVLAYWLLGSLYVTTNREIKRIDSVTRSPIFISFSEVLVGMSTIRSYGDSARFMRKL FHEIDQNTRCFWYLWQINRLLNNFSNFIGAFVTIFAAVFALRNPKMDAGAVGLSITYA LSFTEYVLWVVRLYAASEMSMNSVERVGEYLELEVEEEEHAKGIEPPAHWPSREGSVI VEDLTCRYAPQLDPVLQGVSFTIGPREKIGVCGRTGSGKSTLALSFFRFLHQDGGKII IDGLDISKLSLNALRSRLTILPQEAQLFSGTVRDNLDPFDQHSDEAIWEALSQCGLIN TKSRNPSRVQSKSDLTALTPTKTPSKRTLRGQASVRSLMKKVDKKLETLQTPSGLGEE EVGEEEERVMIRSLEEKVAVGGKNFSQGQRQLLALARGLLKLRSSSFLIMDESTANLD HATDATIQNVLRSEMKDVQMIVIAHRLMTVCGLDKILVLDHGKVIEFGTPYELMQREN GSFRDLCRQSGEETQLLELAKQVHKAKLNRDRTP L199_006786 MDAVTDELTEMMLHFLDPSSIISCAQLDPVNGCATTYSSTPSHV LLTSLKERESNFASFSPKHSTLSIGPDERILAAYGQRIITSRAKALPVLDQGVSKWAA MVEYKETVDGDLRTWAKKKHLWDFEPLRISVDLERDLVMASEVYRGEDRSMIKFFSLS GDPIPCREKHLRWSGQSRNLEGGPRRTMFGPLNSFIVVAPPSLNIFSLFDGQQLAAIT IPGGIKSSHVIQCVWPNYITISSSIIWTWAGNPDKDAGLDQRLFIYDLNNILLSNKDK PGPKIVNKPHYKLIYPRAISDLPPHIHKKLQEIYPDKVPLVPVIVRAPKISPPRTII L199_006787 MHLEESNRDEGWGGNNRSIAGSKAFAWKRMRYTDEIKIYCHDLN QRILRFKGDMGSALGGGKSMFMPQVVASKEEDIRKKDSPFTNISVTRSEITSESLGAI TRKAVCKLEKTDGLWPTMRFDGERLFLQHQQLIPSECKEPKVEIYDFR L199_006788 MPVAVPQIFKYDYVPETKENLDWADLPTIDLSKFSNPEGKAELA QILIEAIRTKGFFYVTNFGIPQEKVDRQFYLGSKFYDLPLEEKSKYIPDLENGEYNGY RPAGRAALAGGVRDQTEVYNIPKFDGYHDRDHPDVIKENIGEIEEFARSLHTNVLDPL HALIAIALELPEDFFINLHKYENPSEDHLRYMMYRHFSGDQLKKLTEEGDGLYSLGHT DLGTLTLLFRQPVAALQIKDHKTNDWKWAKPLDGSLTVNTCDALSFLTGGYIKSTVHR VSVPPPDQNQYDRLGLLYFARPSNDLPLKTIKSPLLEREGFTQNEFEKGGHKVPTMGE FVKLKQTWQQKKRQNYREREDEVIVEGFKGKYHD L199_006789 MRQMGRAREGDDDEDEDGEDLFNERMMEDYDANDALDTYSQADL DDRSSVAEMTRGERLAAERAMDRRDRGLGGRRAGRRDHMPAFLQSDDEDMDGLGEGPL AGINTRRRRRQYDERMDEDDAGEEEEMSLEHLGDVKAASIAEWVSIDSVRRAVQKHFR SFLMTYVDENGQSVYGQRIKHLGEINSESLEVSFLHLAQSRPILAYFLANSPQPMLAL FDEVALEAILLYYPSYDNIHSEIHVRITELPTSLSLRDLRQSNLNCLVRVSGVVTRRT GVFPQLKYVKFDCGKCGATLGPFFQDTNKELKISFCSACESRGPFSVNSEQTVYRNYQ KMTLQESPGSVPAGRLPRHREVVLLWDLIDMAKPGEEIEVTGIYRNNFDASLNTKNGF PVFSTVLEANHINKKEDLFAAVRLTEEDEKMIRSMAKDERIAKRIVKSIAPSIYGHDD IKTAIALSLFGGVPKDINRKHRIRGDINVLLLGDPGTAKSQFLKYVEKTASRAVFTTG QGASAVGLTASVRKDPITREWTLEGGALVLADKGHCLIDEFDKMNDADRTSIHEAMEQ QSISISKAGIVTSLQARCAIIAAANPIRGRYNPTIPFQQNVELTEPILSRFDVLCVVK DAVDPVQDEMLAQFVVGSHLRSHPQFNEARDEVNVTTSVDADIIPQDVLRKYIMYSKE HIRPKLHQLDQDKLARLYADLRRESLATGSFPITVRHLESMIRMSEASAKMHLREYVR SDDIDLAIQVAVGSFVNAQKMSIKKTLERGFRKYVHQATDHEELLSFLLGQIVKELSQ QYRLQRGTDLEKVQIKLNQLEKRAKELEIYDVGPFLKSQLFRTNGYKLIDSEGQGKVI EKVFTRG L199_006790 MADLNFPPLVLASSSSSIVAVAGATLIVHDLQTSQTISSPSVDN RAHQNGFIRHIAVSEDGKIIVSVGDDKSLKVWDVTQDEIKLRSTRGLIKKASCVTLSP EGGIIVSDKVGDVYQYPLDPIPSDPSTSKPPMHAMVSDPTKNPESDYLLGHVSILTSH LTTPDSKHIISADRDEHIRVSRYPKSFVMERALFGHDSFVSSLHIPSTHPNILISGGG DPSLRIWDWTTGSSISKVDIYPAILPHRKVRSYMRKNRSKGRMRKTDENASSEQDVEG EEETFYSAPEGYILPSGQGVCIKKIQTTVVGDKTIVVFFSEGASAIHSFILPSDLSSS PTVHTQPLPYPILDFAFLPNQDGKILLSLDTAWGVLKKNPGPGIESRQEAIPRDELQQ EEKESLNGFFKEIQIGDNGELCETSDNSFSSSIIPSLPKTDFKTLSNLNLYPLINILP KWPGLEEEGIEGGDTPTPPIEEEADGISLAPTSMTARTFGGTKRNYTKEELTTMNSKV LGRLKSQGVDIGNLLVQRQKKAKEDRKKKAQEANERLKVQEEQRKVKAEEKQSNKKRK TVQISEEEMSNA L199_006791 MSVETASSSRAQTVSETLSSAIELLENDQNLKKQIRESIEPIDD LSRSATTELNRLHSAPFSQHAEICENAINIICRTQPLWVDVARLIPENEFYRYQFALG PTMRNLTTSIVLARFILHDELTPSHTISTILGIQDDSTSVLQLSAEDYLQGVIGAVNE LPRLSINAVTSQNFELPIKISSFVNDIFASYSLLNLRNDALRRKFDSLKYDLKRCEDV VYDLTLRGLTKPRE L199_006792 MLSLPEEPLEAFLTRLDFLSIIACSSTCKKLNQIVTTSIPVQLK LHRALYQDHTRSSEKLQELKDPALAVDQLSNLIKRHEAFEEFEPSLTTYDLGAGQEIV SAYGGYIATVPCEGHEDPEIDENGYTNILTLIKIPASKASAIEKTKKKVILEGQVSSV IVDISKNLVIVRQFSEDDMRVRAHIYRLYSSNVIEPDQLEYQGAGTIDLGQFFPGDED EVEHVPWRIAVGPKDMFLVEGDVDVRVYSWMDGRLMCESELNRREYRYEKRQGISIVF PPGKLKALVQDVLKGKVTSTNRSKEWTKSTLRFPPETAVIEPKHWWRCSFWGFEDSLT AKNDSCSFGSKVVSYRNSMDYQGSHDHRYVQLLDLNPKILSVHPPLGTALGGMGRKMD LVIKNISNQYQRDTKTLKREITFGSSTRTIVPSSGETLGAVCYQLKYAYRDNRVASIH YEGEMIIVEYENSEVDVWRIE L199_006793 MLRLPDDILEIILTQLPALYIIHASTTCKRIIRIITASMPIQLR LHQHLYQDSDPDLQGPDKSHPSRTAKDQLANLIRRQSPFDNLRCTRFKWPDDIAGQII SAYDGYIAIEIWHEDGRLEVDEGGTTTLFILMKVPCSGNDINEASQKKITFSRTSDTL IDTIVDLAKNLVMVREHLAIREHVKLHILKVSDEGDSHQLEYQGAGVLDLGICFEEDE DHNLSGRGPDVYCLIDEVFIVSRGYVVKFYNWMNGNHLHTLRLPYSISKSHSVSWIEP GLVAVEANVEAPLYPERETARHLYKLKYLLIYQTKDLLTTTRNVPTAPILVLSFPTAL SDLPACIRDEIPPPQEHPHRFKYIGPRQIKSAKLEVLRFDLTLIRYLNLDSYPSVKRY DISMYIPPHMLMRMVNDAIGRQSPRSDADHESTINSLEIPIVTLVLEPCHWWKYSFWT FDHTLPCDIGKRDRRSRALTDEGDIRQSCFAILDFNPRILRTHPPSGKALLGLGEALP IHGFVPESKVRLTMFFEAKNPIKTDQ L199_006794 MERLDDFLVELALRKLPLKDLLACSATCKRFNGIITSSSKIQLF LHNHLFGRSSPPTTDRLEDPPKAIKDRSTTDRLARLLRMEDNLLRLRPHLRSYELPAN QSICTIGHGYIVTSCPSGAEIEPDKDGLYTLVTIWIPDDQSKEGKLDSRAIKVDFKPN TESKTRAVDVMDDVIVCVQELDAGGDTVFRVRVLHLFNEGEIAKPWDSDEITKTTQDM GLDNAPRVMIGREGLLVVITPFSIKWTRWTEGRDCTWGHIQVSPYLGFSSSIRVYGSD ILGVLGQCVPPLSDNPFAPPPVPKDHLLIYHLNDKARDVVTKPVSILRMPYGRNEFSE RVRAAVPHEKTEEVVFPGMLTTSNNGRSSILQVGMTTLDASEGGGMPHLDDYPPWITI DIPLKAIRDLSGIDEEGGHKPRPTGNFARYPADRQTNNYTSSRPVDEHFQKQRRRCEC CIAANEKVEQLGDIEVIPPHNWEAKSLMSFDRISRSMHEFPRIFGTRTLSQYVNFQDF QRTEGKVLLELTCRNYKVETGYEVGKHKSLGGLGKPLRLPEGPKEIGRLRPGHFSTYI NPDTQVVPFTHYRGHWKTLPARGLMVNILFDGEKVIYEYQGGYVTVLDFTSPS L199_006795 MFSKTFISLFALTLLTAIPISTLALPTGESDSEPETVEMLVSSV LINFGEHTAHLPGLNTSERIHNGSDTIASLAMGKSIGNEHSFYFYTYDDANTTLTQNL TCTYKTLKASENQAQYNLTNVIPLKPVSNNTDVASLRCVTSSVEVYNSNNTNIQ L199_006796 MSRPKSAPRASEPALSSRTPSVPIPPTARKSSQPAVNTQSAGAT PRFYDGPTISAQGNDVKSKAKQAAAKTAAVTKASTKKAVSTARRHPWSIISILTSLPI LVFFSLASTVLCPPPGESLSPVNKYILSPLEYGPTQSHPILCYPSNVYHREILQPYVY PVLDKAQDRFTTSPYYVNYAKPSYDKADKLARQLYQGPLKPVIRGIRRFYFTFIQPHF PYLKARYHTLTAPYTSRIQAFAKPHIETANQYANLASDHAVRSYNYASTHPLTGQLAK YAQKGYQITSENGHKAYVWSKPHFLRARLEVQRITREILGPRAVKALQRATIEAAKIE AAVRAYIVKIYNQHLEPHVGPYVTQTSKFVAPYTALFHKHVHQPYIKPTVDQLFPPSI KEKSFLAMLAEFLPTTGGTTHAAERKGEMDDYYNDLEHSRKPAEVKVPSADKVTEKKT AEVKPKEVKKVERAEMDRVRDAIKSRVDEQGKKSLKKIKEEAKRINSEFSTNSIPILA QNMRSEIEREIDYVLTGLDKLYTKSTTLTNEQVLKSSEQADARLKRTADKIKSRLDVQ KGKLQDQAKGVAEVQKELVDRALGREYKELAEQMGQIDGVTSRDLDKYSDVQKLADSY KSKFASALDDNTALAKPFSELKTELNDYHESFRERVGILKRTALDRIKAREAMSAEAE PSRVSILPIKEAAGAAAAAAGVGAGGILGKGKEQVLSALSAAGTPTSAGVIDQVQASA QSILDAASSSLHEGTRSVISAVGATPSPESPKEYAESVISAASEAVDSLSSAAGSVIH DATRSAISVAGGTPSPESPQEYAESIYNAAGENVNFAISQASDAVHQGTRSIISAVGA TPSPETFGESVESGIAAASKGINDAIPDIDISKSVHDATRAAIKAVGATPSPENLGEH IESLTQVLAESASSAISVASEAVSGLSQDASSVLHDATRSAISAVGATPSPESPNEYL ESVGNVVAQGAASVYGIAEENVNSLSSNVHDATRSAMRKVGVTPTPETPGEYAESIYG EASSTVSSVGSVISEQASLLVNQIQEALGVVSTTPEPSGLVASATSYISSLASAGSSV GSEALVSGSSILSSLQNEASSTIHQATRSASKALGATPTPETPGEYVDAAKQAVKDQV KRVRDPREIAESLVRKHASQIDRDLADERARGTTRFIGDEHGHGHAHTQAAGHAAREE L L199_006797 MQMLTKFESKSPRVKGIAFHPKTPLLAASLHNGTIQLWNYQMGT LVDRYDEHDGPVRGICFHPTQPIFCSGGDDYKIKVWNYKQRKCLFTLTGHLDYVRTVF FHREYPWIISASDDQTIRIWNWQSRTCIAILTGHNHYIMCAQFHPWDDLVVSASMDLT VRVWDISGLRKKNQASQAPMSFEEQMSRANQNQADLFGNTDAVVKYVLEGHDRGVNWA SFHPTLPLIVSCGDDRQVKLWRMSETKAWEVDSCRGHFNNVSMSMFHPRHELLLSASE DKTIRVWDMTKRTAVQTFRREHDRFWVLTAHPELNLFAAGHDNGLIVFKLERERPAFS LSGNQLFYVKDKVIRMADLSTGTNQGICSVRKLGSQWVQPRTLSYNPAERAVVVTSPS ENGTYELITLPKSSAPSASDGKDVPSDGKKGNGICAIFVARNRLAVLDKATQNIEIKD LSNSITKTVKCPVQTNEIFYGGTASLLLATPTSVVLFDIQQQKVLAEISTPPVKYVVW STDGNMVALLSKHTITIANKSLTQSALIHETIRIKSAAWDDSGILIYTTLNHIKYALP QGDNGIIKTLEQPVYLTRVKGQIVHCLDRTAKPRTITIDPTEYRFKLALVRKNYDEVL QIIRSSNLVGQSIIGYLQKKGYPEIALHFVQDQQTRFDLAIECGNLQVALEMARAVDR EDVWNRLGAAALQQGNHSIVETAYQKAKNFDKLSFLYLITGNTQKLSMMQVIAQKRGD NMSRFQNSLYLGDIQARVEVLRETGQYPLAYYTAKTNGLDDTALEILEEAGMTEEDLP PPPQNSGHSSLAPPPIVFPQSDSNWPIKNLGESFFDRALANGGVDGLVGESSGIEGGE QLDAWAADVAIDDGADGESVEEGDEDEGWDLDAEVIAPPEEEVAPEEEAAEADLSEGV SPGISEDELWIRNSPLAADHAAAGNFESAMQLLNRQIGAVNFQPLKSYFLQSYQSAHV HVPANPSLPPIKYNVRRNPESTELREFLPFNSYNFDDLKSNELTEANKYFARGKFVEA LAAFRTVLQKLIMVVVTDEGDATEIKDLVTLCREYIIGLTMEVERRRLVSEEPENVKR NLELAAYFTHCQLAQQHVQLALRSAMKVFSDAGNHATAAVFARRLIDTRPAGAQVVTQ ARAVLAQGDRNPRDNHEITYDQFTSFDICPASLTPIYQGSPSVISPYTGAKYLPEFKG SVCKVDEITQVGLTASGLRNKV L199_006798 MLFKSTTIFLAVLGLLSPTLAEDNNTTKGLSTKLTIGDGVAVRS IISREMITEPGQGIVYAWYEDDIGQTIAEKSTYFEVYKELKFDTSGADVASLNCTTKQ GDTTKVLWTSVSLGDAQIS L199_006799 MKYGKEFQHILEDSSFPPEWKESAIEYGRLKKLIKNVVHELTSM GLSPEVLHKLLITAELDPTSSTNPELPTTRTIKGEGEEEEVLEFEYESPEGTPPTIQS GSDPSSSQSHLHTRGKAPQEVIVDPLPDTHPESHSNLLSLPSTEYSVISPTPINQHHR KFRLRLLSDSSQPSTPSNEFSLADLPQRPLSVAEFLEAQEKLREEKTPSPSEAQKGRT VIRRAVMDGNNGSVKAEYVLVGDPSNPTPQLRLHVQASASPSQSSLSFSQSPSPLSTP LEDTDPDTEEEDEQSSYPDAPSVTATPRPFDRLRSPIIPSSPTLQKIKSALSPIFAIA SGKDIREGMGDLTIGDSIIENSPSSTPKIKEFPFPSITSDTPDPTITSQDLSEEVIPE ISTSSLPATHERDFIIPLTSDLEFFHLLTSALTSLSTFHAKQQVLFKDAVENVCQMIS STISPSSSSNVQIMSIPPTASTSTSSEYFNVDPGSIPIVSRYHGSGKTSKKDLYIWRE IFTLWIESEIFESSAERTRGERSLEDAEERLRDFANKVIKRGLGDKRSIKGKKSRNAW EEFLKLNVWLLDLKRFQGANIMAARKILKKHDKRTALTALEGFPSFVQSTLSTHVDEN GNVSTWTFYNTSLPHILLASLTTTLLPILPSLDDYACLICTSIAFKPIRLGCGHLFCV RCLVKMQRAGKEECPLCRSKVVLLADKGSLDLTVMNFMKSWFPKEVKAKQKENELEVA QEHAQEAGIDTRCVIM L199_006800 MWLITLIYNFLLSVFEAIYQNKALYNSLRVIVAGSVFEIARSTA RDFFKALTNSLTVTARIHEREEIYAQLQKYLSVHAPIMTADEDSIEGFSFFTYILQAI WPTGDSVPNDVTISSPRTKSKNSKSSTSTASERADLKRREVDGTALTTNGDEGDTSEC SGKLRIVPSDDVILRLKYKGRTIRVKTSIDIQSGDSKYDRERRVMTLSTFLGTHSLFT SLINTARKEFAISTRSIITIYTPSLSANPSWGRASYRPIRPWHSVILPTGQKEWLYND AKEFLAEKEFYLKRGVPHRRGYLLYGEPGSGKSSLIAALAAKLKLDIHVVDLGAKQMD DDKLNTLLQSCPTKCILLMEDIDCAFRSRELSEDEISETSDSGQVVIKNAHTKSAAST KFKSTKRTSSGTVSTGVTLSGLLNALDGVTSSEGRLLFCTTNWREKIDPALDRPGRCD IWIEFKNATCQQARDLFIHFYDTRHTSSPTITTTSRSGQPLTPEQAEPENENEKANIE NNPDAKLPIDAPAHDSQPSIPLPEVGKPTAQTDIFTLAETFATIIPEGKVSVSSLRGY LMRYKRRPFDAVEAAPRWVESGFDQGPTITLKEGKVELKDMRVEG L199_006801 MLALTILCSMLLPILAMAEVIAKIKLNLPDLSTYRDYYNYDDFV WGGDSKEIEFSQTQIMDEFAIKFKWITWNYTHGSSVWNMTCYAQSTATYIGTAEWTLS QDRENPITGTANSPGRVWCPANQGDCEDGCVDVDSAKIPTPDTS L199_006802 MFSLPSSITFTSLSSLLVTKVALARDSIKVKINLPPTGEYRDWE NSADYKGGGDSKVHTFNIQQIQDDFAIKHGNKRWDMTRYVKATDTTDKLIPEFTLADV LKKPIEVKFGGPARLWCPADQGTCTKKNCQVPNIDSTAA L199_006803 MSNFLPVPAKRALPLPSFSQHLLNYISNHFRDAHPEAFKKDVEA LVAMRKEWVEAEAEGHPEIVRGLMRYHAQLAFLSTKFPSDISLQFTYHLPFPPTYSLS PDAPVSLASITFERASVLFNIAALYASMAAAERRAEAEGIKRALGYLTSAAGVLEYLI RNILPTLQSELSSPHAAGYDMSESFLATIKEFMLGEAQECYWQQAVLQGTYKNGLIGK LSMKVSEYYKAAFTSMNGTDYPSSSFFPANWIAHITVKQCHFEAAAQYRLSQEDLEKS RYGEEIARLRVAEGLAKKGLEAGKKGVADSVISDLKNLQSAIKSSLERAVRDNDLVYV CPIPPANQLPPISGVGMVKVSIPTEVSDPIAWLMGGGAGMPALFSALVPYGVHLALSI YDDRKDTLVRELDGKREELDGVAASTLQSLNLPGSIQALERPVGLPPSLLKKAEEVDS AGGVDRIKSLLHEVARLSKANAQSLNEAMDILDQEATENETLLSRQPELQQSRPPSHI ANQPLIATAQQYDATIKQASASDGTVRQKWEEWGQLIDILAGGEDSINDYVPSTSSSQ NGYASLPPSVRPIRASLEDLDDRIAHRARLVNEARNISAADDIRPAVLTEATRLAHGG TGDVKTEWFEDLFGRSLEKYDRIREEMEAEGSKQDVLLEQIRGQNESFISERKEDPIV KERERRLQDMDLAYWKWREIVDNAEEGIKFYNSFADMLNQFKGTCTQFSNSRRVDIGQ ITQQFHNVSFEDHSPSHSQSPTPPQQFVPQPSPHKTPPPPRTLSLAHPSSGQWQSSSD FLPPPPPPPILRSGGIQTQPRAAPPPPAGSTPRRVTRASAAAASRGPIGDAEKNPYSQ GTRRGGGGVV L199_006804 MSQSPLARTARRSLPAFSHAPSRLSKSHSSRDLAEINESPSPAP APIPTSSSSSKLSTPSQAQNTPIRHRTPNNNNNVSSPMTPKLLYATHALSTPPQSLSK SSSIPFDMAASARAAKRAEEDRRLSTPSLDQGRIKKRFVRKQPIFQRITSIPATIYDK FAYASPQSIYDILPDEHLANPISLTIHTIHYLLVYPFFAHRDEYESVLRSGREPSGVM GRWDRWENEGKSQGVGLISGWSKYTLLILLLLLSIGNAAYLFTRFRTYDMQLRSGSEP VHSPHASPVPAPKIKSQPEQDQDVFASSPSSRASKAGFDKYAKMTGKALWFILKFSFY SMLSAFGKPQKDAPRLATSLGSNDKIQSLRVWDPPEFCLALFCAFPPTSPLLTHLLVP LHPLYVPLLHLSTTFLLSQLAQFYSQLVKDRMLLSAEVMREYDQRFVYKRVFANKVDK CVGTNEAETIW L199_006805 MYWPTATTRLVTTPSPLDDKPILRVKSSRKGNFFASITENGLGV WDVRPTVLQAAVIRSPGSIERFGVNVDVYWAHDGRGIIILTNTSHLLFYQLLPTSRPS YDSPGPSTPGPGEGDVVMGWDLRSLGTAFIMGRCELLVLQPHNLLLVLQHPPSILSVP YPIPSQLLSPPGSHFPPPPLDGDSEAQVDCNIWDLQNAKDWMIPSDLRGTRIPLPQEI TTFRTPGLPTVYTLLNQDGRLYVMYQSAQLAQISNSESQKSQLLNGPKYLGVKIHPPN VYAPQDVVKRTEALGFMENNITDDTVDVEDYAEQVAVNPRFGLIAVGLASGKINIISL PPYPAQPRLSHTLELRMSANLHSSPGGVTSLAWTGDGYCLAAGYEKGWAAWSMGGRLG GWGVKDEHGVDDDDHGQRAIGVVDVFWVPGNLELFLLRPGLSHGPQIEVVSFVKSATT SQQSPDNTRYAFLQMDDRVLVYRGADQPDMSVINPESDVWQSIKIPAAYIATNWPLRY ASISSDGKLIAVAGRKGLTHYSASSGRWKLFPDERQEREFTVRGGLLWFHHVLIAAVD VEKTHQIRLYSRDLDLTEILHTQTLPSPVLVMTLLDNSLLVYTADNNLYHFLILPTQT SIKLHLCGSISFRGIVQVPNRVRGMSWMIPLAQKNLGDPVDDLIVATIIFLVDGKLVL LRPRRARTDEVRYDMQILADRIEVYWTHLHGVATLENSLWGYDGQNMRIWLDALTIEA TRVNSTSDSYEEVEESVKLRLDFYPLSILMDKAIIIGVDPDSSLRLPWPIYKISTSTH LFLPQFLRYHLFSSPPSLLNALILARHYDSLVYFAHSLEILLHSVLEDEISKPGMTSS LVSTTSVGNNNNVDNEKNGINRSGNEGDNIISLDSLPPSPKPPSSPSGSVSLLSAVIQ FLDHFDSSLDVVVGCARKTEIDRWNLLFNLVGSPRDLFEICLKENKLRTAASYLLVLH GMLDEGMEQEGGKMDVEDTIRLLKQAIKSKEFHLCKELLRFLHSIDESGSSLISAINQ VRILPTSSDNDDDDDQQDHQESRIVNPNHPTPSITLSTSQDNSQPPISSPFQLKSERM YKTPPSPTALERFEDHSGDV L199_006806 MIKLTAIATILTFFGAQWSLAQSDATLVAVRVTFGPGVDYQLGT APYTRDNSGEEASWGFEEAELGKTTGKFFFLPKKKNDEGDYVDLYNATCYAQGLKLSF DTPTFNFIDHEPWLEGKKSDKGKVWCPKDEARAKDGWKEGDALPDISSENLSGE L199_006807 MALTHRKNHKKDPESGDADAEAKRNEEEEKKKYEGEEYDVLLRY VEEQKQKLKNKKDDDDEDDDKNAKYVRKWYAPWKKTKVESGTKKVPQEWLETDRQKGL SSSDIDERRKHSGWNELESPSENQFIKFISYFRGPILYVMELAVLLAAGLRDWIDFGV IIGILFLNAAVGWYQEKQAGDIVAQLKAGIAMKTIVVRDGKEQEIEARDLVPGDIIVL EEGNTIAADAKILGDYQDKDGSKSKEILDNHEKSKKAKGQQNDSDDEEHDDDDGPDKG PSVMSVDQSAITGESLAVDKFVGDVAYYTCGVKRGKCYGMVTVSAKGSFVGRTAALVS SSNEKGHFQIVLGGIGTTLLVMVVAFIFAVWIGGFFRGTKIATPDQNNLLVYALIFLI IGVPVGLPVVTTTTLAVGAAYLARRQAIVQKLTAIESLAGVDILCSDKTGTLTANKLS LNEPYIAPDVDPNWFMTVAVLASSHNVRGLDPIDKVTVVGLKDFPKAQEMLKGGWKTH KFTPFDPVSKRITAEVEKDGKHYTCAKGAPNAILKLSKFDAHTVADYRNQAQQFASRG FRSLGVAVKEDGKDWELLGMLCMFDPPRGDTAKTIGEAHELGISVKMLTGDAVAIAKE TCKQLGLKTNVYDSEKLIGGGMAGSDIRDFVEAADGFAEVFPEHKYQVVNLLQERGHL TAMTGDGVNDAPSLKKADCGIAVEGASDAARTAADVVFLDEGLSTIITAIKVARQIFH RMKAYIIYRIALCVHLQVYLMLSILIKNETIRVDLIVFLAIFADVATIAIAYDRAPYA RQPVEWQLPKVWIISTIMGLLLAAGTWIIRGTLYLENGGIIQNFGSVQEVLFLEVALT ESWVIFITRLAQEPGTPNVFPSFQLIVAVLGVDLLASFFAAYGWISGPAVEHGGWVDI VTIVKIWGYSFGVTVIILLVYLILNKISWLDHIGRVSRSKRNEKLENFLTDLQRLTIV HESDHNGSYFRFASGGSGSATPKEGSGDDKDKKPKSKPKKEQDSKSSDKGKGVEGGDK TLTDHAGKGDQAEAQKQQDQKRQPSSGPGSKQKGREGEPTEVSTKEHSQGNPDSNLNK DNSQRTDEDERSSEGTHVEP L199_006808 MLKIKLSRPKTTTSLGRLQGEARRYLGSNPILLEAGKVVLAAVM VEVTRRVTSSINNAINQGLLLRATIPSSDPAYDWLIHFIRHHTSTLSKSTKGSIPFWA DYLEAMYPTGRYPPKEMIIRSKLPTNAPLKTEEYGDPNDPSRTNEEVELFTLPTKTIN MDIPFEGHTFHFVLETNSGQKERNLKISVSSFSRSREIFHSLIYHSRTLYLAKSHNRL SIYIPRLTTHWWVGSWNLTSFKPIRPWESVFLPQSVKDGVLRDTREFLENKQFYQDRG LPWRRGWLLYGLPGTGKTSLITALASHFKLGVYIVNVGAKGLDDEVLMKLVMDCPKKC ILLFEDIDCAFRSGKKGATTVGLPPPPVSPRKKAKRTSPSKTSVPTAVPDDSVVAEGT SVDVSDPTAEQKISDQQPNSAPAMEATGDTGSAPNPSLATVTSPGKPLDTTFIRPAAQ DPEDRVDKGPKDVSSPTQTQDPVNGDTTSPDKPKSSDKPNSHADEPHDASSTKQETAP TEVKTTTGTSPTEAEAKDKIEESITATSATSTDRTREDDTQIELSTSGEGEWTTFSSQ YQREEEERNRSSVTLSGLLNAIDGLAASEGRILFCTTNHVRRIDPALSRSGRCDVWVK FTHATRDQAYDLFMYFYRPRPSDETGNTISHPLIPPNPSNFGDGYSSSLPKETDSEAK TDDEEFIHACSSSSSSPSPVTSSPNSSAPSTAISRATSSITLDTEITIPEPGSETETE TLKGENEKDDLEILADHFADSLPENRLTISALQGYLMGYKLNPARASEKFVEWVHVGC PQGLEMIR L199_006809 MAPLSTNRMQSVLRTASGILTRPISIEAAGGMVGLFLAELVRRS SHRIVQAIDDALRITAIINDTDPSFDWPLHFIRTTLGSSSLSPQSNTLSADVPIYKEV LEILNRRGRIPPNRFKILTQKPTSSPDDMQDDEEDDLPSDPRKANDQVPVYRYPIDAL DVVINFEGYDYRFRMVNLHGDGSPGKGLEISVIPINRSRDIFLPLIYHSRTLISHIRN HLSKTCKPLRSWESIFLPPSIKDKILRDTRDFLDGKAFYRKRGLPWRRGWLLYGLHGT GKTSLITALASHFSLQIYVINLGARGIDDDELLKLVMSIPPKSILLFEDIDCAFQATK NTELFQDRDTILKGDEHSIADPSHSSPLHDSSLSLDDNIGVTHKAKAGYQAIKEDHPT PFPSCDTPQMVIPSELTLEQENSIIPKCDQSVPREAEVESPKRPNEDMATPPKKVDNP HESSNNGPSIPFPSRFINPLDFPASPSRITLSDGLAASEGRVLFCTTNWKDRIDPALY RPGLCDVWLEFTYVTPKQAHDLFKFFYQPDSAEALPSPNGVTPGSAMDDIDGLLETAP KKGVLTDNNTEKVEQEILEDIDKLAQEFPLLFPKDRLTISSLQSYLMHFKNQPEKAIE YFPTWVEDGCKYTFMEEG L199_006810 MSTSWSYRPPWSLLLQAKAFRTAASLGIGTQNYLSSNGSFESTV EWIDSILGIKQAKDAIRLDIYNKSSSTSTNSSTDRSNSSACEGASDLRPGLIIFHGGG FVIGSGSDDIIFAQQSIDQLGAVAIGVSYRLAPEHPFPIPVEDCVSAIIHIYNHAQKY GIDKDKLIVAGFSAGGNLALSSQHILNTFSPNTATDTTTPAEDGYAIPDGMEIPKIKG LILIYPSLNWTISREEKTHNLPDPNHALPQSMTRLFDLSYFPKELGYDRADYRLSPGI APDELIEKLPPVCMTVCEYDMLRAEGMDLVDRLQKMGKDVDWNEIKGEKHGWDKPPPL YPKDSVGREYKRIFEVAKKWSE L199_006811 MTVDASNTSPTPYSESSSNPLHKLGHALKPSTLVSKLHHSKDTD TSHSHDQNQLEQGKAAQRTEEKRREKEEKARRAEEEKAEVARRRKESDELASKTEDQS MRERYGDLEIPGEIIPLDDVVQLPEGRTVTFRARIHTQRELSTQLDFIIFRHRGYTIQ GVLSGEIASEHMIKWTERIPSESIVQVSGTLAKPPKPIKLSVDSPLEVRIESIHLVEP SKNIPFGLYHGEPPPQRSRLGNRTLDLRHPTNQAIFKIRARLLRVFRDTLDDMDFIEI NTPKLQPAATESGAEVFRVNYFGRKAFLAQSPQLMKQMAISADFGRVYEIGPVFRAEN SNTHRHLTEYTGLDIELSLKQDYHEVFHVLDHIMKNMFRALATMKSELARVREVWNSE EFVFLDETPIIPFSEAIQMLRDDGRDVEEEDLHTPDEIRLGELVKQKYHTDYYIIDRF PKSARPFYTANDGKTTNSFDMFIRGQEICTGGQRINDPFELRESMRESGINEDEMEEY LSAFDWGMPPHGGAGLGLERIITFYLDLPDIRLSSLFHRDPHSLPTKKPSLPHPEADT TKSRDPDSDLPPLEDLIANYGDATNTSWLDDRFTIWRDHSTGAAVGYVEQGKFCMITG DPLCSDSQKSEITKKFLVFVKDELRLKPIWMLVSSEFQEILAERYGWRSLSCTQEQRS NSDKVKPEVIQNNKQQRGVFSVREINIEEEQDTKNKIDERIEEWQEHRSSGNKKGKQI HLTEIAPWRDSGHRRYFIAESDPSKRNVDDTKKTNGDTSKDDEPRIETLVVLTRLSPK HGYQLKWALDFPSSPKGAIESTVQSALSAVPGEPVTFGASVSESFLIAHGIGEMRSKI MERTYNGIVKGLSLDKKAEFREKFGVEGERTFICYPKGGVRVTELNEIVKFFEE L199_006812 MSSLPPRQSQPDPHNLSHTGNLQQATKNVNRFAKRDPALYPLSI IVTGILGVAGYFFMTKASEPEPTRKLMSTGMVNPWDSQAKQDLGPSQTAQFKYRYKTR DGHYEDAHPTLNQTVEQLHQDAAHKYRTA L199_006813 MAYRPPQAQPGGAGPSSGLTPSTNPGGNGRTSPMPNVPSVSAQN LGQPGSSGSLHPSQSSSSSSSNAVGGGDKGPDYVYFERRPSQFGESVQGKAMAAKMKL ELFYKEAVEGVVGRKERRTALEKQLAADALTPDTLKARQLLALGRRESNFLRLRRTRI GLEDFRTVKVIGKGAFGEVRLTQKVDTGKIYAMKTLKKNEMFKKDQLAHVRAERDVLA ESNSPWVVQLYYSFQDTQYLYLVMEFLPGGDLMTMLIKYDTFSEDVTKFYMAECILAI EAVHNLGFIHRDIKPDNILIDSMGHIKLSDFGLSTGFHKQHDSAYYQRLLGGEGNQTT RPQAGNASRNSVMVNAINLTMTSKQDIATWKANRRKLAYSTVGTPDYISPEIFLQQGY GKECDWWSLGAIMFECLVGYPPFCSENAHDVYRKIIDWRNHLFFPDDVHLSREAEDLI RRMLCEADKRLTVEQLKAHPFFYGVDWSTIRDIDAPFVPHLRSITDTSYFPTDELDQV PEVPSGADTGSDAKKDLAFLGYTFRRYEML L199_006814 MTPLLLTYLTPLLSTLVAIFHPFMDFVQDHPIFNDWLKYLSVGA FLEMCRGWIPVLVKWISQSVVATARISEDDESYDWIYNYLSTFKADNLQDEEDEEKYS FLRTVVRSLPFSNRSTLIDVTISTKKPRNWRYYLKGHYVEDYHEARNGHYGDNQGDRN HKKVRVDMVPSIGAWQKFNFKGKTIRIGMVQDDDALRGGKKWIIMKAYFATPRMFTSL LTESHDRFKTLTANKTSIFTPNLSHGATWQRTSSRPNRPWESIFLDSNIKDWILNDCQ EFLKESEFYLRRGIPYRRGYLCFGVAGSGKSSMIGALAAELNLDIYLVNLGGKSLDDD KLQELLQACPGKCLLLMEDIDCAFTTKKPQDEEEVYRPQHANSSALDNAIKNGQLPKS ARQSKEGITLSGLLNALDGVASGEGRLLFCTTNWKDRIDPALSRPGRCDIWIEFKHAT KSQAKSLFEYFYRSDPESKDTDNEDEKPTMNIASLAEEFSSHIPGDVISVSALQGYLM RYKRQPELAVQSVRSWVENGCGQGPTPLLHDGKVDLRVIGNTVETDTEKVEVKVNRVK VNGHMEEEKGGELVHGP L199_006815 MLDGISFPTDNDSGSDRYNSIGDQVDKDILPIDELISEVPRYLS LTQESMSQTGPKRESLTYDNHLSLSSEKKVTDENDFWKFTYTQSALFRYTKDLFNDPW NLKRLQDKTFNSQKIRFDQLMNSQEDRGLRGIMKIWKRGVEIDYELRCHRSTLESHRK FVSLLPTGTDREEKYKLEKSERMIREKSKQIRGLEDTVINTRKRVERDLKKVGKERDT EWSWPEDIDDWRDMLGQLKREELTKRNGPVLTSACEGANDIVIDKGEEKTMVNAISEG NTTALSSGLDEARDNGLDTNDGNTEAHTPLVHGGTTPRPQASTRNDMTGWDSAKGGWK KPWEDTKCATLISESATAADNSDNQGFTTVSNRKKKQKKKKSSNNSEQIQLCPPDPMV GRSNDLSYHIKSGKSIRSRKNRTSTASMPLTQPTSTALEEYTEMNTSDDKPKDLDDLV EIAHDQSDEDTTSSDHADLRAVELIWKPGVEEQATESEGGGV L199_006816 MPRLQQYDYIFAIGTLFAMLDAYNNGANDVANSWATSVSSRSVS YRQAMILGTIFEMVGAIAVGARTADTIKNGIIPNSAFRGDAGVQLLAFTCALAAASSW VMWCTRHSAHVSSTYSLVSAVAGVGVATVGASKVQWGWNGGKGLGAIFAGLGMAPAIS AAFGAIIFMLIKLVVHVRRNPVRWAVWSSPFFFLVAATICTLSIVYKGSPSLGLAKKP KWYIVSVTLGTGFGVCLLSALFFVPFVHAKVIKKDYTLRWYHVFLGPALFSRPAPPDA DKAVVPNYAVVQEDEEEEKRNYENPETKVDIGSPAMTPATPFTLNKGGDQTSSESQQP SYKELMAQSEARFHARLREHRGPLGWAMRTLHENPMGAGQIYEVKNMKILAKRIPAMI VCGALYGVNYDIHAAQSGVHGTPEGERMAAVYAHAPKYPNEVEHTYSFIQVLTACTAS FAHGANDIGNSVGPWAVIYSAWNTGDAAGSKLPVPVWQLAVLSGMISIGLITYGYNIM KVMGNKITYHSPSRGCSMEMGAALTVLIFSQYSLPVSTSMCITGATVGVGLCNGTWRA VNWQRVGLLLLAWILTIPIAGTLGGCLMGILLNTPHFS L199_006817 MTKTKEPRPINYTELFLPLKDWQTYQSPAYIDWLATRDQSGNTN RAKIRAEIESEGAQLTGVLRSYSKLGMRCPPNQNLPRPSRETFSYGYTWVAWRQAAMY AGARLPIQTLTEIQELVETNMNYAATTLRRWRDDPAYFADHCNELCVFGAGEDPPNQI HVLGKVNAWRHDEFLSWRNLNEVLKDLKRMGLSNICRNACQQVKSEGRIKDAYRDVMI TLTIHIARQQVAFQTAFALGRGSEFTVTTNAWDSFAGRLKGMMEGFSNFKLNMDYQDL KMVSHLDYMLAGLSKMPGLTSKNAGKHFSHFLLEYEQAIKMDPLILNQISAYTQQTIG SLTMSFDIFDSILAFFHSEDHIPPSSTFTERYHRLIDESTKCYTIFEEADIPTIRRIE VEKHITPHLVNNLWKDYDNLSLRLSRQTVSKLLRLEPALKKPKPHWVREIVIRDTSFP VTDMPLSTSGHTFLKTEAVTSEDKKKTRGFANVTDEIIDAQVAISRLQIQPDPCENSV RFQVNNKQMKLVCKLFSKATDHEGQGQVKWDDICKLMKRTGFRVDEVGGSIVRFVPPN NAGQPFLEHRPHPGNSIGAIRCRAFGQGLKERLNGWTAEWFQRVTVDV L199_006818 MANWTGISAKQQDEIDRLATDIPTREIPTYDDLSYDDFLNHHLI HNKPFLLSPLATSKWSSSAKFRISSADETVSLPNLSALRPYAHHIVPVANTLQQQFSE FERTERPLGEVLDLWEEGKGRGLYVKDWHLMAEIESEGRSVNEVYEVPDCLRDDWLNP SYTPDSRHHKGRTNSSSASTSDFRFTYLGPPLTYTPLHRDVYGSYSWSANVVGRKVWW LFPPDRLDGVKDQHGELVFDVRDLEDEGRAIKILQQEGEIIFVPSGWHHQVVNLDFCI SINHNFFSSPTLPRIYDTLRASQERVEESISDVKDMIIQRLGSGGDAWEKEWVEEVQS LLERDAGWNWKGFWQTVAKNITSPPAPFHLSPPVQLRDEWIRHAIERFKLRREWVLQD DIRMTIEEIQESIGI L199_006819 MNIVALIWHFFISFFESLQANPMVLDGMRVLLAGTFFEFSRRTA TSVWSTFADSFFITATISYHDEAYDWVSQYLETHAPIMLPSTSSQPQHFDPARYTIKT NLMEAVYPTGRAAPRHIHLAAKRPRNNRGNGQARRLRRMHRDMYDSESDDYESDEDEE KDAVLHVEPSSTISQYMQFQNKTIQFQIKNDGLSSFAINQRKWLIMSTFLGTHDIFTS LIQTARKEYLAQLPKRAISIYSPEHGSWYKKSTRTMRPWDSIILPDGVKEWLLADATE FLAERDFYEERGVPHRRGYLLYGEPGSGKSSLISALAAQLRLDIYIVNIGGRYLDDDT LSSLMQDCPSRCILLMEDIDCAFEKRKLSRSKRRTTPQQGEHNPNTEQKEEDNDDPGM FPPNSGATITLSGLLNALDGVASSEGRLLFCTTNWKDKIDPALCRPGRCDVWIEFKHA TQEQARNLFVHFFRARVQYDPRNSVPTTNNSSLNAEKPFHSTTSRVDDGEDLSALSKK FAAAIPEGTVSVSALQGYLVRYKRDSHAAAERVGKWVEGGFGQGPTMFIKDGKVQERE VDEIVEAGDESGFSDETKDENGDMNGRPNGHVNGGDSGNSIFDGGMDKRLGGMPKGWG NGNSTAIAQGNILRRARTHFRTLSRGR L199_006820 MDYFAEFRPTSIHPIPTTTISVDPPGGTHTHHHGHPSHLPLPTD TFPRPDVHLLHATNVGHTALWVFFTLFTIALVGVLFLTVRAERRNRYFYGISSLVLTI AMVSYLAMSTGLGVYFVPIHNHGVQGSLHHFYRQLYWARYIDWLFTTPLLLLSLASLA GLSPASTLAVILSDVFMIVTGLFSAITPARWAAGERARWGWFGVSSFAFLVIWGILFT NGLKAANYRPRNTKGLFTLLAAGTFLVWMAYPIVFGLSEGANKITVDAEIIAYGVLDV IAKIGFTYVLLFLHTHDETGPWTLPEWWVEAPQGHGPDGRGIYGSLGSRDRD L199_006821 MTKETMPPIPTDPYTSRFLPLREWLDQMPHIREWFRQEDEYGIS NRTKMEIQACRRAGRGTSERWSDKELDDHTVMEALYEFKLGCPRGSTLPIAQRDNTFG FSWIAWNHASRTAGARRRPFSLKECIFVVDSCIEYWHSQIIEWRDHPEIFTDHIKEFC QDILDACLLSEDLLEVLDPHTMLLNRRVRDVFEGYVYWIKVRKTLDRLVELGLEDGGK KATVQVLTRGRIQDEYTKLQMIITHLQKMSRKALQMDFAMGRGRIYIEPYSRTSFLTR YDEYDHDGLFCLSRSIEHLRKTSYVDYLLAGLSGLYGREDKTKWGFWHFMLEYEQELP KHPEWPKMLGNHTQRLLGEASMISDAIEVTAWITKEGDFVKKSEDIHWYTEMKPQIDI IYKIFAYRTPAIKELKYNYLLQDDIGERLMKQWYQYSKMVYNKDLDELFNLNDRVARW IPTGPDTSLESGMSEMKLGENRKRFAQSGHSYLKLNSDSPSQKVKTRPDRSMEMTVAN IQDPNSPADNFHTRHETNDGPSIIFKINNKQMKLVRRLFSTASEVEGQGQVRWDDIYK LMKRIGFRVEDVGGSIIRFVPPNNAGIPFNEHRPHPEVSLNAIRYRAFGERLNKRYGW SIDWFERVSQEG L199_006822 MPRKTRGGTPEPAGGYRELNEEDPEAWPLLVAFDLDYTLWDLWI DTHISPPIKRKGDVLNQLVDRRGQDLSFYREVPSILAELKRRRIHVAAASRTSAPELA REALGMLLLPSEEGGDHVRAITYFNTMEIYPGSKLRHFKEIHRKTGIPYDQMLFFDDE HRNYEVESLGVTMQLVPSSGTDRKLWNDGLTLWRKRRGIKIIRP L199_006823 MSTEEAQKPVAVEDKQAEVEQKSTQAEKDTNGTTKPDEPVPVVE DEQDEEEDDEEYDVEDDEDDDEEEYGEEDDDEEEYDEDDDDDEGEEIDHVNVLKKFYE TEQPDEDSEDEDDEATPAADDEKPAEAVPAAAAGTKRKADETVEGEEENKKVKA L199_006824 MASSIMSLEAKKRPHILTIAGSDSSGGAGIQADLKTIEAFGCYG SSVITALTAQNTDGVQGVHEIPADFVIQQLKSVVSDDYPRCIKLGMLTNSSIILALSK ELTQLDTIVVLDPVMISTSGHTLLPEDAIQAMNELYPLVDYFTPNIPEAIKLTGYSSR TSESSSQLNLEEMIELAKITNTKTGAFTVLLKGGHTSISRREILEIRKKEKGDYEIFW EEGDDDLDTIEVLSLYSSYKQIPQSKEEEKELVVDVLVNKGEVVALFVGKKIDSNNTH GTGCTLSTAIACAHATQPRDVPNANLRIFKKAISYTKTAIASSYPFGHGHGPLNHAHL SVKRALPPPTKYNPHPFVSHLIQSNLPLWKSYVKHPFVVQLGKGTLPKECFEHYIKQD YHYLRHYARAHALGAYKANTFEDIKAFTDIAGHIARESEMHVSYCESFGITLDQLQST PESAPCAAYARYIIDIGTQGDILDLYMSVASCLIGYGEVGLWLKKQVELGEAKMEGNL YKKWMEDYSGKDFLQAVEKGIENLERRIAEDPPTEARLARLTAIWHECVRLESAFWDM GLNLIK L199_006825 MTVTNDAPVQKGDELKQRLDRWSKRLGTLPSLALPTDYPRPTPA KLIESTQTLSLPSNLAPILGKLTYEFSTLFPSSPLPTPYHILLTSFAILLFRYTPDPS MVICTSSQGTSQPLLLKLDIAVENTFFDVLRQIMEREQEAAEDSIPINSLVDHLKPEG PLFRVRFFDSTQIQSDPTTSLTTDLTLFLLASQTDVPVTRSPLAPLYLKLAYNSLLFT QSRITALLESLLQLLSSAASRDPSHPIGSLPLRTAVQAKALPDPTADLDWCGFVGAIP DIFSANAKAHPDRVCVVQSELEEGQDIMDGPSRGRRIFTYRQIDEASNVVAHALLKNG LQRGEVVMVYAARSVEMVVCVMGILKAGGVFSVVDPAYPPSRQNVYLSVSTPRALLII SSAGVLAPLVSDYIKENLDLRLLVPAISLSENGVTGSRSGEEDILSPYHQYAQTPAGV VLGPDSPATLSFTSGSTGIPKGVKGRHYSLTHFFPWMGKRFGLNETSKYTMLSGIAHD PIQRDMFTPLFLGAQLHVPTADDIGTPGRLAEWMADSEVTVTHLTPAMGQLLSAQATR QIPTLKNAFFVGDVLTKRDCTRLQALAKNVCIINMYGTTETQRAVSYFAIPSVNEDSS FLSTQKDLIPAGQGMIDVQLLVVNRTDRNVPCAVGEMGEIYVRSGGLAEGYLDPAATA EKFVTNWFGEGVQREDTLVKTKPEAAKHWFGIRDRMYRSGDLGRYLPDGRVECTGRAD DQIKIRGFRIELGEIDTHLSRHPLVRENVTLVRRDKDEEKVLVSYFVPIDGDELDGLM SSSEANGDEDESQDLKTEMIKGVKRYRRLIKDIREHLKKKLPSYSVPAVYFPLRKLPL NPNGKIDKPALPFPDTTLLAPTPAANTDLTPTQKTIHDIWLRLLPSPPPQVGLDENFF DMGGHSILATRLIFEIRKAFVVNAPLGLVFDKPTIAGQAAEVDQLRNSDLRGADGDSK NNGKDAGVDVDYAADLEVLVKDLPEKFDPLPSDFNEKKITVFLTGATGYLGAFILKDL LYRRVAKVICLVRAKSAEQGLQRLRDSGEGRGVWDENWVKEGKVEAVIGDLSEANFGL SASEWDRISQEADAVLHNGAIVHWVYPYPKLRSANVLSTLTALRLCTTTKAKQFSFIS STAVMDNEEFVKKSDGLLSQKQNGILESDDLTAGEKGLQGGYGQTKWVCERLIMEAAK RGLNGWTVRPGYILGDSKTAVTNTDDFIWRMVKGCLQLGLIPDINNSLNVCPVDHVAL LASLSTLNQIPNQPFSIAQVTGHPKIRFNDLLTSLSVYGYEVKQVEYVLWRTKLEHHV LETQDNALFPLLHFVLDDLPTSTKSAEMDDRNAQALAQSGGNNPTSGVDLDLIGLYLA WLVRAGFLDGPKKEGERKLPVLEGEVMRAIGRTTAGN L199_006826 MNVVNNTLRQPDHGYKYENRVEQGREGDDKMEVDEQGQGDGTNA DQNEQAQDLDYSYSRSHSHSHTSHFSIRQDSSIHPLKPSPSSSSSSSTSSTLFSNPNV HRLNDNIATTLTLETSQSNSKHNNNDNIDKTDNQRLLASSPSYTHIEHSSFSSNPTPV QHAQSLPPILSNTQNPFTAQSHPTPVPISQSTPSHNNNRPVPLHLTSQLHLLQHLPFD PHVV L199_006827 MTTPNGLVTVKDETRTPVPLPSSPLFEVKNEPIDHNELSSTPQA SRSVHSGRIGSSPGSMSMSQRRGVRPAFPSPRMIRTGSAQSNSNNGIGSTRGLLTPQY SSSSSKHPPYPSPTPLISAPLSPPPGTGSGHPSAQRWHQSAPAVPLSANMPSINQNGK SKSTPNPYQVSLDEIPKDFVLKKLVQLASKYWYAPHSADCHIIVPIKRASRSPAIPST PRTAIPPLHQRLSTQPHVPQTANNCGPILHAQPGDSFFGPSTPTIASIQAAAQADGGG EIKPDVLSGSVTTMEGNRDISGGRRGSLPGGNQLEQCLVFPLHKDYLTTQSVLFHTLL NCQAAHLPNPPPRDSDGRLIFQSPVIRGAKVLPTKSDRPKALYVPLPDPASFGVILHW LYWHDADHFNHCLSKGLVTWQGVIRNIEYLSLDNEIKLLAGRWWKRWVKPTELTERRK APSVVPGKGKRRAFSTSAAAAAKREMTMNIDDDDDDEENEDEEDSGDEADDEDIEDPN GRNIKVKSEDEGLADHVSLNLGRL L199_006828 MTRSSLPSRASTLVVGGGPAGLVSLKYTVEYGEKWVEGEEPVLV EMESEIGGTFRWRGYENAELVSSKQLTCFSDFRYPLSAPDHPSLPNFVSYLHSYTEHF GITPYIHTSTKLISLNKLPSPKDDYKHIAVLQRLDSDKKPTGEPMSILAKRVIITTGL HVTPNIPLIPGLNSEPIPPSAPEWIHSSSYKSRSQLSNKEVLILGAGETGMDLAYESI MSTSKRVWLGVRTGFLSFPKVLNNFSILGYTFDGNLPIDGLITNLFEDVYVHRWIAQS HLRWFISDFVIRRVMWVLTGTKAGCNQWCGELPPERQGRAYVFLNKSAKAIRFINQPY YKLSNIHKKIAHYIDPPLPDDIKDRKIDIVPFPQKFDENGRAVFGTPPKHRLKENAWK TEECKPDLVVLCTGYKQDWDWLGQEYPKGPEECEIRGVTSGKDLSVGFVGFVRPGVGA IPPIAEMQTQLFLLLSQNRIPIPKSPETYHLLHSPTSRIQYGVDHSTYMSTLAKDIGS SPGLFELWWEYGWFVLFVYCFGAAFPTFYRLTGPYRSSKAQGIVETELWETIRRRGPL GNIFMGVIPMTFYAIINISAYLIEKTWSFSAPLFGLPPPPDNIMDYYSNDKNGNADVS VKVN L199_006829 MCALDLGMAGAGDDRLGHFLLAYEKILKREPSLAIGLSPHIQKL IGEYAMAYELDDVIHSLFKAKNQFCPEHRPMVSPAPSRISAEYNKLDHTLKEATVIFS RAPENVTAQSSRGNKLWNCWEEACIEIHGQSFNNHFGLKDILSPISPQWDPQSGAQPD RDTSRESNVAIQVPSAVPLAQSGHAYRQMHPDLEARQAKINTRGSAGSAAHDLATVGS PTSVGDNPVEKSYKRGNQIAPIFQDIYNLMNRIGFRIQNVGGSIIRFIPPNQAGLPFN EHRPHPETSISAVKYRAFGQRLRDRYGWDETWFARMITIDAE L199_006830 MGGVISTLANAPPDDRSARDNRCEIYVRRTQTGEKWIFTFSSEV DIGKEYQNEAETNGVEYCVQYFDKDYLARSKEGIDYTQFFAPLRMWPLLRRTADIVRS LHRWTADSSHYDVPSDEGDQTRIAFRMTDLVQSILTCQAALERIERLRELGMLEGGKV AAIQAKTTGPIQETYSILHSYTESLMVKKQSELQIFAGDMGVRKGKRIWFQSQPKLPY DITNQTLESHILVMESDSVLAL L199_006831 MSELVRAVGPFPAWVQELRRTGSATLSRNDILRQPPPAHGSISD ELSWLAHRGAAELLGLPSPSSRLSHVRTSVEAIYEYWHGKTSTVRGQPMAMAQCIQDE MEFNITNIPILPTCGLAPLDNDSKYTYAVLRPLIAYFNMYIYAIELQDALDRINALDS SIIKAGGKELGSEIEWIRQLAGLMVEEAKITLRLFWAAGPGKELVKMSLDKPWHESTN IAREIHLHLNEPLEEVEKDSPFDAAFAAVCLNPDERGTGYGSSELTNILALEQATLAE TELKKKYPYAIQMVMGEYLMALELLRELPPPTSPSVEPHRPKTLAVRLNVSQSASTQI CTALPFVRSMCVRSTAIENSSQLWETHREIQGIFARELKLPNELIDKLFPFMIEVPDP KRWKPVNDYNEPLDDSPEDGMGKEKTGEKRKRETFQVNRKEYKLCKQMFSGDDVDVTL PELSRLFRSIGFIIEKIGGSFIRFAPPNNAGIPFIVRVPVSGTFHRQGDFPIALESIH HIVVSLFGWDLDWFTLKTDAEDGMYVFNMKGEILLEPH L199_006832 MIKSPLLIALLSTIALLPNTLAVNGNVKINFPSDTSSQLADGSL AVNLDGGSRSFPADQLVSKSVSFYWKGPIWSLTPLSGTSVSSSATVSGPVAATEAVGG SNGSGQSQVSWQQTCKVSVDDQFDGDVEFTLQLKEAGFKGPKDKHATIVCDQPLCLLP EGEKCEGRYVIPFVDSTV L199_006833 MFKSSLLALLVTSLSLSPSALAVKGSVKVTFADDTYSHMTADPY ERGLDGAWREFPFDKVDTRSFYWYGPKVGDSTDRAWRQTCDVSIDDQFNEDETINFEL QDKKAGFKGPKDKHAKIVCKDSICTVPNGEKCEGDYVIPVVDSVSFDFPSQAKSRLAE GIVQLTQHLFIQSV L199_006834 MSVPSWTIVRPYNNPEDSVIGPDKMYSELKENDIYFAFYFDVYE KTNAATDDFHTGKEPYYTLSCWIQRKEGEDTPSHYVLSAQSPWVSGDFGDNLELICPK SEYKCNRDKCEGEYALPTDDINTTEVSRTTIWWISKEKGLCS L199_006835 MSRNTLNLLRPLTNARPTYTRISPISISKSALSRSTLRSEIVRP ILGSASKKRNLHTTIPRQHGEITRPEPGTGIKLTFKDSKGNEIKTIEGNEGDDILSLA HEYDVDLEGACEGSVACSTCHVIIDPENYDKLPEPDDEENDMLDLAFGLEDTSRLGCQ VKLTKELDGMVATLPSATRNMYVDGAKARTH L199_006836 MDEEYDVIVLGTGLTECILSGLLSVDGQKVLHMDRNDYYGGDSA SLNLTQLYQKFRNSPPPEALQLGRDRDYAVDLIPKFILSSGELTRMLVHTDVTRYLEF KVIAGSYVYRDGKISKVPSSEMEAVMSPLMGFFEKRRAQKFFSYLQYWKEDDPATHQG FDVNKSSMKELYAKFGLEAGTQDFVGHAMALWLDDDYITKPARQTIDRIILYTQSMAR YGKSPYIYPLYGLGELPQAFARLSAIYGGTYMLDKKIESVNVDPETGYFTGVTSGGET VKAKKVIGDPSYFGAGQDPTEGGKLRVVETGKVIRAICILKHPIPGTDNADSAQIIIP QNQVGRKNDIYIAAVSSAHNVAAPNVWIAIVSTIVETSVPEREILPGLQLLGNVVDKF ISITPLYAPTSSGTNDNIFITKSYDATSHFETVVEDVSDVWQRVKGEKLVLKKRETEI EA L199_006837 MASSAPPVELDPDELEEEEAFDSSSQASSTATSTLTWINWYTSL PGHDYFCDVHEDFIEDDFNLTGLQAMVPFFKEALEMILDVEPEEDSSKIPDVSIVESS AELLYGLVHQRFILTKVGLSCMVEKYEAGHFGACPRVFCHATPVLPCGRADMPGIDTV KLYCPNCGDIYTPPSSKYASVDGAFFGTTFSPLFFQQYPELLSAPFFTSSNPQAQTSS SSRVSPSESPVGGVTMTNPNSFGGQKPALGKVYVPRIYGFKVSERARSGPRMKWLRER PERFDDLDKVDWKGRFISDNNTSTGEKDDLMVGTGTTGKTKGRLFDDEDDEVDEDESE EEEEAGAGQTISAVPV L199_006838 MRSTFTDRMVQRPLTKNELWSSWPISKIDGIHRVIDKTLDRTLS TTIPRIYPPIRIDHSLSSNCQLKSRMDVRRLKLQIIRDALNGCNDISSDDTSMDFEEA WDLMRSLPWSASLSSLDNRLEEAMGKNATGIKAERSMDLSKALYHFALGWVYLIPYHV DALEASSKERRELAKVEKLLFTNIAICAKRLAAEPALDTSSKYNLWRLSYCSTLISLT LYFAMTAQEVYDNLQSIYEVSRDFKEIPNTPYDYVTGRTEFLIRTMEDYLLGKPKDLQ LRYMDHKIPLNDRRLMETLEWHRLEG L199_006839 MYHLSLAILAPTTPHPDRFSPRELTLIELHNIGVENTIEHILPG SCCNDDSLPVTQYPIEYPLYRTDFYTQTTLQLNTHHNQRSNQLLQMRDLLDIPFERYA DINPEVGGNQFKESWDQARRNESVSMTFQDKWYTAARIVAPADA L199_006840 MAESTPEPESEQQLEPDTNQEQEDEPHTETESEDDEIINDRYLP RPLTMYELFLSWENQVNHGKLQMDERDMMTDRRIVPFNAHNEEPLSLFAYPTLYPFIR IDYYTQSTYQLNESYLSRFRKLLLIRDLLDIPLDKRDGIISEGGETRFLQLWAEGSED ERLHKSPEDRWYYARELKDQANVEVSLYNNILACILRILREDGSRMDMQTRLNISELG VGCWTCSQTVLFSTSVRAVRLFLKRIITLRDHLLALQSEHPEVDMAPRIPSEEALRFP FLKHYLDQGKKDENERCWDLDLKRVNMWDERFRM L199_006841 MTHLYDRQSPRDLTIPELWASWDIMIDQPSQEAKMVQGTLGRDT LPSFALNDENIPSRTLKELWELFHETDREKREFPKKLACVKAVKDHANELYKQDDWLE ALAHYKNAWCTLLPYHLDAFPAGHPAKSLIAKMECKIFSNIMATVLKMVKANDHKYSK QRKSKLLDIGYRSGMAILGICFAMDVDSLQTTLKIDVLDTYKTCQAPRKEFRKLHDGL IKYCKDRDPDEQLFHFDYKAPPTKSDFKTIMTMAMMKFMDM L199_006842 MVEQADRTRPRALTADQLRACWRLLGDDEEKERSLGRTLQMEEL LPQVAKNDKSWTLSAIPTTYPILRTSYDICSTAQIIERQSMRTFKLSILRDIVTGVYQ DYHGTVKHQRFKGMLTSWLGEDRVELPDFEVCLTDAVTKKDQGNDLFRKGNYIRALGI YVEAWGCLIPYHIHAFPTSDTNVLLYGNLESRLFNNMLISLIKWCETDSLLNESSKYA LWGLSLSCGQIATEPIQAATFDVGTFLKACQRIICVSNKLKDYPDHPLKGHYALEPKM IEHFEFFIDHLKNAPSY L199_006843 MTSDMLRGFYRSTFCPDQSTGRPFTADAIISNPPAFGHIHIAEA LGIPLHMSFTMPWSPSTAFRHPLANIRESNAEPGLSNYLSYALVDMLTWQGLGEIRNY LDVLLECKPGAQAKGRKENIDISGFYFYENQIPIYIGFGSIVIDNARQKTGVEMIFEA VKRTGVRAIVSSGWAGLGDDMDIPDDILIVKGNIAHDWLFAEGRIQAVCHHGGAGTAA IGLKNGLPTIVVPFFGDQKFWGEQIHARGAGPLPIPYKHLNVENLTEAILFVLTPQAQ VAAAEMGRQIRAETGEKRGVDSFHKHLPLLNMRCDVDPTRVAIWWSDQYCLKLSGASA GLLAKEDKLDLKKLAPHRSREYETSRHHDGPWTGSVGGIFHTITYAMGSVAELFYKPQ KGVANTLLGIPKAAVNIVGDLYEGFDNVPQIMNSETREKRRVTDFTSGLKEGGRGLFW GIGDAITGLVTEPIAGVQKDGLKGFGKGVGKSCKSTSIV L199_006844 MIHPTLEPPTWLLELHLRPDQSALLHMALEQGGLLWLTDGFSQW NKYQGKPPHVGPGMTSHAKISARGTVNIELKLNKKLPDLPEGYASSVREIGVDTVDWR NCPEMFVVIFIVGSRGDVQPYISLALEMIRSNGHTVRIASHGEFASLTLEGRLEFYDV GGDPKELMAYMVKNPGLLPGF L199_006845 MLQLIAILTLALSVAPDGVQGHRGCAGHEVMRRNLGGPVISSAE QLRSLQMGTLNRRQVTDEASAAQSIDPSTECTAYSYQPVTDIKSSFPTIWETATLVNG DTEASSLFATINATLNSKLPNVKPKGTSTGDFSNVSYNASDPDCWWTWRQCTDPDSSL GINADYTTVPEPDTWGLGFDDGPNCSHNAFYDHLQNNGQKATIYMTSFSNEQAFAELY YTRKAIKSVLGVTPTCWRPPYGDVDNRIRLIAQSLNLTNYVWSDDSEDWRAGAAGSNV TEADVTANYQAVVSKASNGTYKASGPIVLTHELTNFTMSEFMSQYDSIKAAFKYVVPL ASAFNITQPYTENNVSYPDFLTYTNQSSSASSSASVSGSNTGTGTTAGASSSATSSSS NSSNSKSSASSNLVVNFFATIVLAGLVGCGTLL L199_006846 MSVQIEAVQAEHHESGFGIGHSSPRLSWRFASTDLKDWKQVSYE IIITREGGKEEQYKVQSSGSVLVPWPSQPLSSREIVNVKVKSTGNDGKTTKWSEIRIE AAFLDRKEWKANLISGSAQEDKDKPKKPFRLRKKFDVSELPKKGRLYATAHGLYEVTI NGKVVGDQVLTPGWTSYKYHLNYQTYDITPLLKQGENEIVAYVGEGWYAGRLGRPGTR NVWGDRLGFIGQLELDNKPTLVTDDSWESLMDGPVKNSEIYNGEIYDTTHSDANNQTT KSEMLPFPEAELISSDAPPVRRVKEVKPIEIITTKSGKTILDFGQNLVGWVRINKDLQ GEELFIRTAEVLEHGELGVRPLRTAEPNDRIVLGGQTKGWEPKFTFHGFRYAEINGVK PTLDDFTAIVIFSDMRRTGTFHSSHSMINRLHENVIWGMMSNFVSVPTDCPQRDERLG WTGDIQVFAPTANYLFDTSGFLSGWLKDVYSEQQYWKGVPPTVVPFVPPNKFNDPWPK PHAVWADVVAITPWDLYTSAGDRGILESQWESMKLWLDKGLPRGENGLYNPLAPQYGD WLDPNAPPQYPAHGRTDTHLVANAYLVYVTSLVARIGKLLGKPASETSKYEQDAKKFK KLFQEEYISSKGRLVSDTQTAYALALKFVLLEDDQVERARERLEYLCKWNFFKVSTGF AGTPILLPVLSENGLAHIAYRMLQERDNPSWLYSVGMGATTIWERWDSALPDGSINPG QMTSFNHYALGAVAQFMHESIGGLSALKPGWKKALIRPQPGGTITSASTSFSSPYGTY AVDWKIENNKLKVSIEVPPNGLAKVVLPGLEEDDIGSGKKSYEVDWKPDERWPPKGIR GPQSVAIPDNFVP L199_006847 MSVRKSPPPSGPGSAIIKRARVDDGEDEDNNTMTMTVASSGEGQ RKNALIRSVKRTSGLEAPIVSLTGAHGGEITACKFDPSGQTLAACSVDRSISLWETYP PHDNYGIIPNVHKTAILDIAYSLDSETIYSGSADGILISTDLRTGSRISKYSAHYGPI NSIAVTISGGRELVLTGGDDGIARVWDFSLDSKQNPVAEFDDERDCPVTAVEWSKDGN QCFVGGVDNEVKVWDLRSSSILYTLRGHTDTICSLSLSPSGDYLGTYGLDSLLIIYDV KPFSSDPMRVYRSLTGAPAGFESSLIKLAWTRHDNGSRIAVGGGDRSVTVWDVDTGKI AYKLPGHKGTVTAVDFHPREPIILTGSKDSNMLLGELDATEAL L199_006848 MRTPTRSSSKALSVRSGLSRTPSKPPPASPTPKQQRNNDIPIDP ALLQEEDDLEDAEGELVDEESEVDMINHKHGPNGHEHGYHLQRGQQHQHRHRPEDIAS SSSLYQDTIVYQPHAQAPAFTPRIDIFTSSNGSDTPSPRKRARVARSSTSLSQTFSTP QPNGEFHTHTASGSRSQPRPRTKAKPHGKGKGKQPAVFREPICSFCGGTDKINKLSRA ERMVSCTLCGRSGHPSCLNMEEGGLITVKIQSYDWQCIECKVCESCKVKGDDSRLMFC DTCDRGWHSYCLVPVLARPPKGLWHCPRCLSEEPSLSSTSSRSNKTHLNTTSSSSRKA VSALLDTQSISTPTARHKKPIAVNGLDDLSSPRPSTHTQRIKVKIPKASASVSASEKR RKIREKVDTEDDEGTPMIVRLKLPNKNNHHRTEIEDTSNEPEEIPEEEKVPYGGIITG KEADTSKTEINERDKEEFERSRRLAENKLGGPPPRLSSSSTLDLGFNLGSPGPSTPGT PGPSQRTPIQTPNGLSRLNSSSASLSRPLRDRLLQQTISMSDPSPAAGFPFPPSTPPH GHLHHPPKEDTGKPEKINKIRFGQFDIDTWYSAPYPEEYVHVPDGRLWLCEFCLKYMK SGFVAGRHRLKCKVRHPPGDEIYRDGAVSVFEVDGRKNKIYCQNLCLLAKMFLDHKTL YYDVEPFLFYVMTEVDDLGARFVGYFSKEKRSLDNNVSCIMTLPVRQRKGWGQLLIDF SYLLSKKEGRVGSPEKPLSGLGAVTYKGYWRLAIFRYLLTAKPDVSMDEISLATSITL EDIYSVLTSEDMINVFDSRPSSQTPISSSRTPKSRNSGPNRSRKKPPANNEQDDKDIK VPLPTEYSISVDKEYIQAIVKKHDEKGHLILKPERLKYHPFLVTRNPTLTSGARAEAT LKIIGQSTNTNANLNGDRHERNDDDVNGTSEEEAEHPPTEEEILKGKDQATLNLVAEL SQSPARSLRRKPRESPSVSVSPIKSLRSRNNIQARIESPPNTRELRKHSTLPAPAPRS FSASPSKSDSSELPVLKKSSSLNGINGNEPMSSRKRRIILSSDTEHEEEQEEEEEEVE QIEYDKVDPRLIAPKSNGDVVKEKMVYGEEGKNDEIVNGDEVMVGLEEDAEGEDEDAE GEDEDAEGEDDDEYIG L199_006849 MPPAIRKQPSRNSLPQPSSSSISTHLNPSSSSRREDALNDFQSR RLARKLDDLERTNPTDIPATSFIPPSDTRTNTNTTSQINKKKQSSNVRKVLYGKKSLK DWLDELPSDPPNPYLTSTSPMPLTPPRKICSSCGYTGAYKCPRCAEWSCDRVCMEVHE RDGGCGIGG L199_006850 MTVQSSHMINKPKRSTLASSKYLQLSIDLSFTPSEHRSWKTRES WIVTYDPENGHCQCKTETVSQSTTGSTVKESKEWKDWENMVRKSGNTGRVDLHAGIYA NALEGIFKVDAKERLEKGGL L199_006851 MAFPRPKPTTMETLAMERANPPFNIRKMSIAMHGSEKALILKEK FMAEIARHPAFKLSDIHDLSKDELRERTMEKFASMVYFVTNESLETFQLRMQLIGIAD PSFWTRFGVAYGLFLGALRSGATPNQLSYWIDRGVLGLNGVIGCFAMTELAHGSNVAG LETTATFDRGSDEFVIHTPHLGATKWWIGGAASTATHAAVFAQMIVDGKRHGVKTFVT QLRDTKTFTLMPGVTIGDIGKKMGRDGIDNGYIQFTYVRVPRAHMLMKHTQVSRDGVV TEPPLAQLTYGALLGGRTSMVTDSSNSAKKALTVAVRYAAVRRQFATGKNELETQLLD YPIHQRRLMPLVAQAIAIGFTGLRLQVMYEDMTQALDTMEPSDPNLNDILDKLKETHA TSAGLKAFCTWACLDTIDKCRQSCGGHGYSAYSNFPLMYADFAVQCTWEGDNTILSLQ AGRSLVGAWGAAVKGKKLAPGVAYLNDSSLLSAQSDSSLSLADIQRAWNCVAFNVIKK AAEEYVRLLKSGKSKDEAMEKCSQSRFIAAKIHTIGYIFRLFKEAVEEMKDDEESRTL KTVAKLYGLWQIEEQQGYFLKYGYLDAQQMDKVQESVDALCQEVRGIAIPLIDSFALS DHIINSPLGRWDGSVYESYFAQVQAANPLPKEHPYFTRLIKPLLERQNEDMEDPGSAM GLDDELKEIEEERKGE L199_006852 MFRWRDCLEGHILIGLLASTTSWVLLTLVTFSTPYIKSIFFLTI PTPELYFGTYGYCSSDTSGPEDCVGPQLGYERPAGEITEWLTRTLILFGLAALFMLLA WITLILSSLRVGKFMWNPVYFRTTALLGSLMAVLAESFALSLFVVARRKYNDEGIRAK YGAALWLGLVGAISAFLGAAIGGPAYQGNYMYRADRRQAYNVQRKVWR L199_006853 MGATRANKEIYFEKLRALIEQYPSIFVVNIDNVSSQQLHMIRQS LRGRAVVLMGKNTMVRRAIRGLLAEFPQFEKLMPYIKGNVGFVFTSEDLKEIREVILA NKVAAPARAGAIAPNDVYVPAGNTGMEPGKTSFFQALGIPTKIARGTIEIVNDVQVVA AGTKVGSSETALLNMLNISPFTYGLTVVQVYDNGAVFASSVLDIEEKTLIDGFVSGIK TIAAISLATNYPTIASVMHSLVNSYKNILNVSLATDYEFEGSAKIKEYLANPEAFAAA APAAAATESAAAEAAPAAAKEEEKEESDDDMGFGLFD L199_006854 MQCPSNLKTYVFRSIFLPYFSTSTSPSTSSVRQYTTAAAAAAAI NQTTSIQCRLTHSRSTAKGTSKHPTPSSFYNRSISTLQHVRGHDPPQIDKQTIAQKRT REYLGFVNQDNIKRDQLSSQAKTSVRALEKILQSYGSNGRDPKAGSISKGKAREEIIE NGSTFNTSIQRDNVSVTWASTPSSSAFQLQQPPQKTSSKISTVQESISNITPHLSSTT TSSHLLKSTQNNSPITSFPPTIQRLLSARLFRLAVFHILSTPEYATDRSIILGIAGHL EQKGAGKLASRLRRGWENSRDLSVSTSDTPEEGKYIDGSGKRPISKLRLHSDPKSNDK PKERLPPNYWQIPIVPPSKPPSDPSSNSTKEERLTEYYNAHLEFQLKKPLFSSVSSRC VTSSSISNLNDWPHASTNLNQLRKLLSTIVKLERTRGFKPDRKTGNLIIRCWLRSMIP SPSSHNGEKEVQYREYKDRYGHNKFARKQSSDKRSLGVKEIRGLFELLSRIIVSTRTP GISIKPTTPHVENTYTDHPSPHFTHSSSHLSDRSANPENMKEYEEIVRPFGKNMIRSM KNLGDLKGVDMVKQWMKEQREVLLKDGDR L199_006855 MSSATENYDPTAKDLKPATQQFEVIDPDAEEPLKPHDEVDRHLA VKCPDSIKDLSFEELKVLDKKITKKIDILMMPVLMALYILNYLDRQNISSAKIAGITD DLNMTTSQYNTAVAVLFAGYVSLQIPSNMLIAKISYPGIYICIMCGIWGAISASTGAV HSFAGLAVCRTILGFAEAAFLPGAIFLVSCFYTKSQMALRSALFYAGSQLGNAFGGLF ALAILELDGAHGLEGWRWLFIVEGVMTVGFAAIFATFIPNTPETVRWVTPQERDRLVY RLQMDRSSKDATDEVTVGAAFKMAVTDPKTWLLCAVLQLDFIAASVTNFFPVVVNGLG FNRTITLAITCPPYLICCFVIVINGWHSDKKNERTLHVIIPICFVVIGNIIAVSTTNT AARYVAMCLLPSGFYSASTVILSWISSSMTGPAIKRAIVYALINALCNTPNIWTSYLY YNSPRYVTAFAVDLAAAIGAIFMAAFTYRYLRNQNRKIERGEDMGKHGPTSLQIETGF KYQL L199_006856 MYDAVVIGSGVVGLSIALELHNRGIKVAVVARDIADDSNSIGFA SPWAGCNWYSFANGRSDPASEWDEITYKGLEKVAKERPDLCEKIPVWDVYSRKKKEEE KPWYKDLVTDYRDLEATPSNPLPGGKPYATNFKTWCLHAPNYTRYLGDKLRSLGVPLI RHRLSSLDEAYNLPSTGRVNLVINATGLGARSLIGVEDEKVYPARGQTVLVKAPWVKE QIFHVEGFFAGKDQDGDETAKAPPQAAYIIPRPGPEGHVVLGGHYRVGDWSTCADLKE AERILKDCYNLCPRLAGPNGRSWRDIEVIAHNVGLRPAREGGARVELEHRQIGKKGFT SINPTTVNDDMGRKVAVVHAYGVGGAGFQNSMGLAVKVSDLAVGYLKSSSSNRARL L199_006857 MTSKRPYPSQDQDHQQQEQQEQQQPQQDHQNDQDNDTPKRRLGK VDRGREACNECRRHKIRCHPHPDDPQHLFPCSRCERMNLSCEFAKHNRGRKRKRPLPL LAGTTVSEDQHNSIPAQSSSSVPLTSSNHKSKLPKQEDNHPHSFGDPRFPFISNEPDT HSHSRSSHHARIEDDFRPSKGIMSLRHMVGEESSEDVSSEEGDDGVDQAADNSARLGD STGKKSSNKGKTPTRGPELVDDPIRAGFVDEVEARALFHLFMTHYNGGLPMLDPAIHT HDVVREQSPFLYTAILCVTSRYLSSLSPNTTDGPNMSPESAQSVHQQILVLARDHMTW TFAEAITSIDVVRAMLILTINKEPDDDKAGFHMNRAILAGRELDLGRIPSVAEMSGMN EEDHRRLRMRQRVWLCLFFANSIFNMQFQQPMLISQSDPLVATAHHWLKRARPDTVLR DTHLVCSVELRRKFLNYRDLLVGSIPNEPTYASPLSLSMLTRTMNQDWDISCEAWIRD IIDVGGTSNNINKPRVWTAALRLNLNLLIVNQTLRLLPQDQIDVGSPSSIPAFNHCLN AATTVLLRIETLDKTQLTFASDTFLHFALYAATLLSTLCRGQHPYKFEAPEIEHCRRL ITKVANALDAASAYPSDSPTLHAWYLRRLCQLLPPPTTTPIPSGPPLSVSSSDAPLPP PTNIPTSNPIIDPLLQNVTTTMPVDPALTTVIGNELDFFLGDFPWVGLGLDATIGMSN SQLGQSQNSGTTWDNNSTSNNNDLIGMFGNAHTHTLANGYGGANHMGLTHNDQPGSNH GGNLDAYSNTHRPLPLEIPYGSGGGLGGPMGMGMGMSTGGMAFSHVV L199_006858 MSATKESHYQAQTTTTNMPNEVDYALPSDPDAFDVLPPPPPPKN KWDKIHRSSFFQICVVSALAFCGPAMADAISGLGGGGQATPYTVSAAQAASYSATAII SLFGGPLASRMGIRVMLIVGASTFAINGSAYYVNSRYGIQWYLIFGRFLYGLGFGFWY VAEAAIILSYPEEGRRGHYLAIWVAARNLGQLVGGSIALARNARNAAAGAIATSTYLI FVGIEAVGFPVSFLITSPEKVRRADGVPIIMPAKKPIKQEMILLWKAIIHPRMLLFAP IAFYSYFLGGVMSTYLANYFTVRARALSSFVVPASIVMFTGLYGRFVLDNKRLNQRAR AHLGFWVFMIPSLATFAWICANQAKFYAMEKAPKYDWSASYWAVAYLPLLIQQICAYL CQSYIYWLISCFVVDVQGNARNGGIFRCVEAVGQAVSYGINSNVKAKWVPLGLNFALC VACILPTLLIVRQVPEYRQDAKNKPSEITYDGKNDEENVTAMDDISKKI L199_006859 MVQPVKPSVQTIPASSPIQEILEILHRDGVIVLSDYATEEEIDA LNAKAAPHFDKAAREFKPDDKFKGFRASNTTVFYDLIGAVPEDTSKLLQRKVWHQVME EFLSPETWEWYGEKKEVRKGSYWLHTSIAYKVGPGAGNQVLHRDSNSSMVQRTGPETP ATMVSTFVAGVDVTAQNGGTHVIPGSHLWPQDRAPKQEDCCIVEMKKGSLGIWLGNIF HGAGSNTCSPTDPNAFRIIYGLFATSDNFRQGENTVLACKPEEYMKMTPDVLRVLGFY KGSSGTGALGGKDPIKTWKPLEGYNGGEWIYQEKKQ L199_006860 MSTAQRVSALTDIYHAHSFLIHSILAVLSGYIVFGMGWFTRSDY QPKGQHCYVTGGTQGLGKALAESLVRQGAHVTVVARDVVKGEKTLADLKAIAQPHQII QFISADLTDAKSSQEALIKASEPFDGKCPDYLYLCAGFSRPKYMMDSSLEEFKAGFDG VFWVSAYTAQAAVKTMIRQRRTGRIVFVSSFLGYTSFAGYTNYSPGKYALRGLSDSLR SEMLIHGINIHIFMPCGIAGPGYDAENAEKPAITFKIEENDTAIQPAESAKALERGLR KGYYSITDNIVADFARLRSDGGVPGNNFFADLFYLIISSYGIPIWRMTADRDVRNGRQ AVLDDYEARGIYDTPKTK L199_006861 MTSAYMTPVRACPRAPPTDSSTPSEITADPQAGPSILTPMSSPL LDSTTKSTKVKTKKVKIPKPQPPKPEPKPKPKPVPVGPFDRPAEEEEKNVHEVYEAIA GHFSQTRHKPWPFIQKFLHSLPPNSIGLDSGAGNGKYLPSSRDARLEMIALDRSSGLL EIARNENGGECVRADLGFNGWRRGVFDFAISIAAIHHLSTPERRRHAVKSLIRPLKLS SQASYSKFMIYVWAYEQGTLSKRKMGTLTNSSPGSTPIPSTSHPGLTTEPAPKSTEIE AKDPVTEVEEKIQDLLVPWVYSKPPPAPGPKLPSSEDPKDDDLKASQTEEKAEAKVYH RYYHLFVQGELREIVIQSAEEDGFIVLSDGTGQDELDRQLKDIEAGKDQNKKKWLRVR GVGWEADNWWIEGEIGLVG L199_006862 MPSADLPPATTIAHDDMTGDQLALPTSERVSTETRFGKVEGGRT KNGCQVFLNVPYGIDVPRWTDPQPLPSTYRYPSTPFIYDSKYCAQPERTYSQTNAIRD RLGLGSPSENPFFADIYIPSDYPLSPRPDGTPLLPVKVFIHGGFLQYGSTSGHHYNQQ FFPAEHYNEIRVLLGHRVSVLGFLGCDTPKVSGNFGFKDCWLGLEWVRDNIEWFGGDP SQIHLSGLSGGGHVVHQLIHQAARLAPAKAPFVTAHLQSNAILASPVTPATRNIQFAA LCKAIGIDPATPDVLGRLRDTNEFPTDKLIKAVQNMGELCTFRGVVGDDGWVRADQVE FQHNGGLAKGLREAGVRCIIAGDVRDEDFFYKGVHLSKTKADLVPNIARYYPYEQSER FLASYGELSDDASVEEINTLLGRILADGQVHLPVRMLQTDLARHSFPVVRYAIESVPV AYGTNGKASHGTDLAVHQLRLSMMTPQETLAALQFNKALWDEVNKALGGDGSDFQQKD DEEMLTLDKNGVTQWRKDWRWPQLRNAEKVFRA L199_006863 MSHNIEEKPDIVSASAVEQPVTELEDGLAREKVQGLERVESARS NVMEDLAKGESVSYDDLPIIEVSEEENKKVLRKLDLLLLPLTMVAYTLQYIDRSAMSY AAVFTFRKDLKLTPDQYSWLGSLFFFGYLFFEFPGSYLLQRLPLSKTMGTSILIWGGL LMCMAAPTHFAPAAVIRTLLGCSEALVTPGFVLLVSRFYKREEQPLRVGLWYCCNGAG SFIGALVSYGMGHVHVGSVRPWAWIFIVNGGITVLFSFVFLWLCPENPQTCRFLTAHE KRIALERVRGNKASLGSKEIKWYQVKEALCPWIDPQGWVYFIIVFTIAVPNGGIGNFL HLILQSYGYTAFQTILIGLPQAAMQVIFPLSGAYIARKVPQARLYVLMAYMIPSLVGV IIQYKTRNSGALLFGYYILGSYVASLGICFAAPGANVAGYTKRVVVGAMIFIAYATGN IVGPHFFISTETPAYRSGMFACIICFTITVPMAFLLRLYYMRENRRRDRLAEERGEGA YDESRGDFSDKTDLENLSFRYAL L199_006864 MPNPPKTTDEIVSHLFSRSTPPPLEPGTKVYAPELTKSIAGLKE HMYVIAALHLANDDIHHCHLIAQDNEGDPTANMLHATLHRREGDYWNSKYWWSNVRSH PLIPSTSDAKSFVDSCEAVRKNKGDDTELRQRQWDELKKIVGWTRENYKA L199_006865 MNEDTLFASYWIILATGFRRSLPFLQDFYSIGGKATPDKDNSPI LTDAHDLHSLAWTGHYIPDPTLAFANVRPWTFGRYQSLAFAKVWKGTARLPNKERLWK QYFNDNHWQAPAKVVFGTIAEETLGRQYPLENRERFAYYSDEVWEHGYTSSDNFTRIE NTPRDEWPTYPRAGDQSPEYDFDSGWEW L199_006866 MSKFDHHLPGDPLPEEDYELQPASQSSDPLLPSYDEHKPHFPSP HQRLVMNRRQSGLKKILTCTCLSLIIALPSLALIGCYFGRDGLDRVRSWEQLPPDVKD WLDEVLPANAKVDHSAFPTDIGYAGPTPTGSEAALLVTAPALPAYTNINPLLAPTAKV KDNFNIVQHWGNLCPYYSVSSHGLPETSSLVPEQCEIEQLHWLQRHGARYPTSYPEGP SAFASRITTARSNWRAKGALKFLNDWSYLLGSEVLTPFGRSQLFNLGVSARIKYGHLL NKMKGRLPVFRTESQDRMLKSAQNFAAGFFGIPADDQYNLEVTIEAPGFNNTMAPWNT CRALGGDFKTKLAEWDAVFLKDAQRRLQDMIEGYEITIKDAKDMMETCAYETVALGYS SFCDLFTQKEWKGFEYRNDLYWWYSSSFGYAPARAQGVGWVQELVSRLTKTRITEFNS TLNSTFHNDVQFPLNDPIYVDFCHDTQFALLLPTMNLTTFAETGELPTDHIPKHRSFI ASKIMPFATNLQIQVLTCSGTKKVRLILNDAVVPLTGIKECPEDDDGLCPLDDFVASM RELIGGIDFDKECRYDKGQDQTTSDEKVSEVGEINQDTADVVLPEKGEEAVQEKMKGE DEEDKKKDEGDDDSDSDSDSDDYD L199_006867 MAASPSASPSLFGDISVDHAVAGFGAGTVATLVMHPLDLVKVRF QLADNSSTPHPSIPSYNSKSTLPHHLRKPRFGTGVYTALKDAVQVDGWKGLYRGLGPN LVGGAGSWGLYFLFYNMIKKQMQGGDPNYRTTSGQHLLAAAEASAVTAMMTNPIWVVK TRVFGTAKHDAAAYRGLWDGLTSIYKTEGLRGLYKGSLLALVGVSNGSIQFATYEEIK RRRADLKKKRFAKEGREWRTEDEKLSNTEYILASGSSKLVAIALTYPYQVIRARIQNS TPSPTLPRLTIPSVISSIYKFEGLLAFYKGLGTNALRILPGTCTTFVVYENLVWAFRA LALKRQEE L199_006868 MASFSRLIRFIPKSTSSSTPLIGEPVDAGLDVGLASYESEPIEV EVYSGHSVLSPGEKTGKRELVSRLLSPLGREEVGTIRCIGLNYVNHAKEVNQPIPTTP TLFMKPSTALGDPFPAPSTIPKAYVPDDAADYEAEVAIVIGKQAKNISEEEALDYLLG ITAANDLSSREAQFRTSQWSFSKSFDGACPIGPAIVHKDHIKSLADVKVEGELNGKIV QESRLDDLIFPIPKIISFLSQGTTLQPGTIILTGTPAGVGWTASPRVTLKQGDEFRVC VSHGVGTLINKIEEEK L199_006869 MPFHTRPPIRLVSVNTVPDRAKKVIGAVIDNVKDKYDIIHVGNS ATIEGVKPLLESTQPPPDILFCASMWTPEEQDEIQKIAKETIPGIKTNGTPTGLHTQV GPDGIVRYLVERIDDILAQK L199_006870 MMLGTASSWTLVLAVSFGVAQQVTLGPEAYTAAGEFPTSLFSSY WNEPTQTVSQVQPVITDSILNKTFALNLTDPETILNNDTSDPLFYPQGHSNLTQASAE QFYQNVTAQIEGIIGGGEGSNCTKCMDALTAASRLAKEHPKLVPQLLVSMCKKYKFAS GNGCQVYSEHAQGPFYAQVLAYADVGGSDGQYLCQNFISVSKCPRPALPKFDASEFWT KPKPSNVTAPVPKGTNRVKVLHMSDFHIDPRYATGSEANCTSDLCCRKGNPVASLYSN YTPSVPAPRFGYFQCDTPWALGAAAVEAIPVLTGTHGDDILNMTIFTGDLVSHDPYYQ LSRDFILYTETALYDLWKRTLNPSSPLFAAIGNHDQYQQAFDSPDTLTGILKKQFSWN YDHLSSLWKNNDWIDDKAARQAKAHYGGYSVQHASNLKVITINTDLWYRSNIFAFINT TRSDNFGFLKFLAEELQEAEDNDSRAYIVGHVLSGWDGTNPVIGPTDAFYQIVDRYSH VIAGIFWGHTHEDQNLIYYAHNATDISTETAQNVGWIGPSITPLTDLNSGFRLYEVDA ETWDILDAHTWYSNVTTYGDLDSQVAVGPSYQYEYSTREAYGGNIDWPKNAPLNATWW HLVTERMSSDGGALVNLYNAHQGKMSIRSPNCTSTDCIEAKVCYIRSGSAPLGLDRCQ PGFGSVQ L199_006871 MGLLEQIPPEVQENLNRIWTSPKKRAASMAVFIMVTLVLVYSTI NPSSGASSILPLDPQRSNIGSIRRSDWSLTSDFDIVVSHYNEDVNMMRETIDSVKSRL PHSRSNRVIIYHKGSRDKQGLEELLDMSDEVVQLENLGREGETYLSHIVRHYDTPVTN LAEHTIFMQPHLAWHWVFLPRLERVLQSDTGFLSFGPYLSHTCGNDSAGQVFPRMADI YSMFRMDLCPPEPVLATWAGQFVVSRTRILENPRRAYANLRGKFHVGKDHWIYKEGWW NNEPSNPTLGHALERSWPMIFNCTDATKADTCGEEGHGSSCQCLDE L199_006872 MSVADHSTPSAGTGSQHVIPNGDQVVEIETLVIGAGPTGLGAAT RLDQLGRSFIIADSADAPGGLASTDVTSEGFYFDVGGHVIFSHYAYFDDALHRALPND EDWSTHQRVSYVRSAGNWVPYPYQNNVSQLPLDLRVKCVDGLIAAAEHRAQTPGHKPK NFDEWIVRNMGEGIADLFMRPYNFKVWGTPTNKMQCKWLGERVAAPNVRTVVRNALTM QTAGNWGPNATFKFPMKDGTGGIWTAVAKQLPSKQFRLSKSGEVVRVDGPSRTVEFGD GRRIKYKNLISTMALDGFLDCLDGGAEHRSVDRMRSIAKEGLVYSSTIVLGIGIRGNR PDRIGDKCWLYFPEDDAPFYRATIFSNYSPYNCPSDDVKLPTLQKADPSLPFDKAPSG GPYWSIMLEVCQSAEKPIDLDTLMEETIKGAVSTELMLPTDEIVSIYERRFDHGYPTP SLGRDGALSQILPALRDEFDIRSRGRFGSWKYECGNQDHSFMLGVEAVDNALFGTPEM TLHETDWVNGRRNIERRLV L199_006873 MPTHATIEVPNLGKVQVPTGLFIDNEWVESLSKETFSTVNPATG KPFLDFEHAKKEDVDKAVASSRKAFKTVWGNNVAATERAALLNKFADLMERDAAKIGA LESLNSGKGVRIAREFDVGDSIAAFRYYAGLADKSHGQTINHFGGEKFVYTLHQPIGV CGQIIPWNYPLMMWAWKVAPALAAGCTVVMKPSELTPLTALVMCDLAKEAGIPAGVIN TLPGLGATTGDAISRHMDIDKVAFTGSVITGRRIAIAAAESNLKKVTLELGGKSPSII FDSADVEQAASWVAMGIWFNSGQDCTASSRLYVQDGIYDQFIDALASKAKACAIGQPS DETTSFGPLISEGQRDKVLDYIESGRSEGARVVTGGRKWDKSNGGFWVEPTILADTTI GMKVVQEEIFGPVIVASKFKTEEEVIDLANDTAYGLAASVFTNDARQQTRLAHSIDAG TIWLNQYGILHPSVPFGGFKTSGIGRELGTYGLEAYQQVKAVHQNLTQTM L199_006874 MTDNVLDVPGTARIYQEQGEIVQNAVTLIPAPSKSPDDPLNWTQ KRKYLMLSCLVFYTITATILSSDLYSVFDPLSTSTGLSLDQLNVGTGYLYLFIGVSTL ISQPASLAFGKRPTYLISAFGAALVNIWTAFAKGNSQWIASRLLLGFFISPSFTLVEV SIADVFFLHERSFPLGLYINFLFGGVSLGPILSGYIYEGLGWRAIIWLSTGLTVIMGL VLFFFLEESTFERDHDVASSTSVITSAAPFEGQPEEEDPKNADKADRTTTNVEHEASH LSQVEDMTITDATPFTPSPWPGPRFWKFMKPHRYALGIIIRGLAQPLMLYRLPLIWWS GLMYATYQICFNLIAAISSGILAAPPYNFSTSAVGLTFLSPFLSAIPGAVYGGYITDK FVLRQAKKHHGITEAEHKLKLYIIPAVLCPIGLLMMGLGPYYEAHWIVYVLGCAIVNL IGPLATILTVSYVFDCYHPIRPKNEHGVQACAQDMAPYLLSTILLAMIFTFGFNYVIT PWCFGWGLRNFAISSALIITVINATVLAVLKWGKRLRRIGEHTYRKSIDW L199_006875 MLTATSITSSSTETHGTLKLRPSADQDSPATSGFPITTDLTSSP FYDVQTGPTRVVLPTFTSLEEERRYRKEHLALVFRILHRFKLAEGIAGHCSVRDPIEP DTFWVNPQGKSFALMKQSDLVRCSAEDGHMVEGVAPTDASASSIHSQLYKSLGRGPEG IEAIVHVHGPHTKAFSSLGRTLDMISQDACAYHDEQVLVSFGGAVFDNKEGERIASAV GKDKKIAILQNHGIISLGRLSIDEAAWWQINFEMCCQAQLLADAARRPTDPVVEAGPE EIASTKREMGSSEMGWFSLAAYVEEEEYHSGGRHRL L199_006876 MTESQPIRPPRRPITRNRDGCLTCRKRKIKCDLGKPQCERCTKY SVKCIYRKTTEILPIRPIRPAHSSPSEATDEGSSHRQPAGPDAQTSPATGPSLPNHAH DLKSQHSTSVTSNTHIPPLAPHRTAQPGWQNALETLGPVDLLMAVCRNTRMASYFLQP SEPPNFLHAFFPSIEDLQCFHHCVTYSFSIIVVSEDHNPWVGSVAPLFMTAQGEESTS GTALKLSMLYMGAVHLSYLQGRDGSTTASINTRSLALNYRKDCLRLLRSLSSKNPPTC DAAVLSACALTLTADLLGTNPKWRELMRIVKSTIERVGGMDVIIPTSTYVDPAMKCAV ESLVTLSLLGSFSTGIDYSILSGDIHGAPIWWTILAEAEEKQHGLRRFEELCGISRSL ITLYATLSSFLITTGTPSFVDTETAHRIESDWNAWVMTKGALETEERVKAGSLAIWQA GNISILRTIHKASRTDERVQKAAMAVLELCASVGDKVEYMNWPLIIACSTLTDPISRD SARAQLKLFVPQCCYEIEVVQMVCEEMWTRIDEGEDEQACGWVEILLESGCPVLLG L199_006877 MEGNSSQYAIEPMGHKTLSSSKQSTELLPNPTTINIVDSDTAAI ESLHTPKGLDREAFSPLTAFGLAFSVINSWVVLVVGLGSGLVSGGPSALVWGFVYASI CNMATVLSHGEIFAVYPSAAGQYHWAAILSPPRWRNSISWVTGMLNVIGLWLGAATAG YLATTLLIAAITVNSPDSAFTPGQQYGFFTAIVLFGPSVTLCLGTRGNRLLDQGLMVA STLCVIAIVISLPVKASSKAPASFVFGGLENLTGWNSMVIAWLLGLLQSAFAYLGFDV IYHISEEMPNPRRDGPRAVTWTIVVSALSGMAVLLAMLFCITDVDHVMSTPYVLPFAQ ICLDTTKSKAAATIFLLIPSILFMNSVRGITLTGSRVLMALGRDKVLPYTDLWSITFR GEPIYGLGLCVFVPLICGLIQLGSTSTFNSLTGAATIVFEISYAIPAALMLLGGRRKL NLAAPGRTSNLGKWGVPCNLIAVFFVLQSCVIYCFPATMPVTAASMSYVVVFVGSFAV ILAVLWSTWANKRYQAPTESMIVSLTDGTDSPLNGVVVNDQT L199_006878 MTFIESTLSAVVSKLGMSSAEESPYYHPLDPLTASEIARSVEAI RHTANGARLWFKSIQLVEPPKAILVPWLDDHAAGKAVSRLDRIAEILVGRRHLEGADW LVYQISLPENGSSQIISAEPVPAGQHVPPDMGEMMVAEEALLNNPTFKAAIAKLDLPS NAKVVADGWIYGADTLDATPRSIPFMVYLSFSDNPDTCHYAAPLPIVPVISADTFALQ RLEYCPIYGKGDKTLLDLEGRFPWEAYVPNEYNADIRAAAGLSSRDDIKPYRVLQPEG ASFTLEGRVIRWQKWAFHIGFNYREGIVLSDIRYDGRSTFYRLSVSDMTVPYGDPRAP FHRKQAFDLGDIGAGLTANELALGCDCLGEIAYLNFDHFSQDGSPTLQRGVVCIHEQD DGIGWKHTNFRTNRPSVTRSRILIIQTIITVANYEYIFAWRFDQAAGISLEVRATGIL STVAILPGETSPYGNVVSPGVLATNHQHLFSVRIDPAIDGHNNTVVQEDSVAMPFDKA NPPSDNKWGVGYTVEKKAITKSGWADAAPEKNRVFKITNPNKVNPVSGKNVAYKLVPA PSQLMLAHPDSIAYARAEFGEHHIYVTSYKDRELYAGGLYTNQSNGNANGLRSWIARD DNVDNADLVVWHTFGLTHNPRVEDFPVMPCETHMIHLKPNDFFIASPAIDVPASTQVF NRSRLHNPGQHLQGDNEIENKAKANGLNKQGCCA L199_006879 MHGYVFPGDTHPWPRYRVDAITHRDNAILPMSACGRLTDETHTL IGALAAAEIGRLLTDIGLPVLHCSSPFISQVTWVAVQFDSAKLPGLGLSPEELRKKVG DVIFYHKAGYTIHRLVLLGSDIDVFDDKDVMWAFATRCRPNDDETFYSDCKGFPLIPY MSHGFHSPVKGGKVVSDALMPAEYEKGQKDWVAADFKNSYPQDLKDKVMANWRQYGFA QEYAKN L199_006880 MQQPQQWLEVVEEKLEGGVWEEVEQNAGSAVEEDGSVAVIERVE STPTNTTPSSPSSAPARLETAVPKSSHAPSSDGDNTAGSEGYASSSEEEKVGQTEQRG PDGRNDPMRGWVQTLKYEDQ L199_006881 MLLFYPSPTQLSSLRSQDISIYAASAISLTVEISQEEEEKILLK KGYAIRLLLLGIPSESSTWIGSRCICSRIAFQVDCDNHIRNIVSDY L199_006882 MKEDESVHVNRSVDKEGSAQGGWVKPGFMYNWLHGIRQDTPGIA QDTQGAKVEGSEAGDDFEIEDWWYRKPRAVKKEGEVQDVGLDVTEERDIPLGEDVREG DIPDQPHDILNLPVVPPARGQPHEPVPDVPGEEPMMEPVAQDNVGQGEVAQGEVAQDG VAQGEPVLLPNQLLEVVEADVPVEQQVEEAQPVGGAQPMDEAQPDQAQPDPAPPPPQL ILSIRLPPYTPSAGNFPNAQQIARYEEVIGERYKRQPIKQDPLAYIKRTDEGRKILEW IQEEVGEEISLKSFDQIMHMDLRFRFGKDTEKQVRAYEGQSIYGLYCMFEMLRQGVDK EVCIQVAQKVDNHCGQYMRKKNKDIAKFVSEVSWWDASKQR L199_006883 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVVCACGRLVLNASGLNKHQLRFNCPSYSPAQRAARYLSNSLTPAPSTASLYSPAQ RAAPYLSNSLTPAPSIASLLEASPWRSTLKTPSRFSSPLSSAHSASGHVPPANSTSSP LSSVVGTPSLSPASTRRSSQSLSPNGLSINHLQINSSPLTPTRRSSSRVTNPVLYVYD EADDDVFSHGQETEVYEEDGAGKEVEEDDDDEEEEEEEEEEIEDEAYWERKRAKEIED AAQDGDDRPWSDEENEEERSVMSDDGSYQWQPEEDESDQGGMDIDPQESDGRDGQGIM EDGAGRDDGGEDIDDDLWDLLGGDEDGEERGSIRASTEQDVTGEQEGVDVRDRGWSPP VLEDV L199_006884 MSRVPSVIQAPTPAHVQTYYCTHTRSNRSNIEATVRRLLGDSAD QPLRRLPEHGDLAIPRPHRSGGPKFWVVRISGQLSQGDQDTILEAARKSESAGCQAFI KGTERTTGLSPHHLGVFFRASEIHTPWVTNDTLQPHSNKRVAAARRQCMLGLCLAIDA VVKEKVQARLDQVDRKTCLAHKASNDRFRSAVDQGKLDLRGLSPSDFQDVQVDHVADF FRFGQLATCSAITQGQSEKLHMDNHDDRRLYTTLLVLGRENLDWDHTDGRGDLLLPTL GLALPVFPGDVVFFQPGLLPHRVVALKPEDAKKRVVITMFTCEPTTDYLDMQGIMLPR AKRSWKPRSGQDTCPKCDKTYKDLLDHIKKKHGEDRFTAEEMAETGLWVCSCGKVTAS ERGLSYHRKRYPSHHV L199_006885 MSRVPSVIQAPTPAHVQTYYCTHTRSNRSNIEATVRRLLGDSAD QPLRRLPEHGDLAIPRPHRSGGPKFWVVRISGQLSQGDQDTILEAARKNAVVKEKVQA RLDQVDRKTCLAHKASNDRFRSAVDQGKLDLRGLSPSDFQDVQVDHVADFFRFGQLAT CSAITQGQSEKLHMDNHDDRRLYTTLLVLGRENLDWDHTDGRPGLLPHRVVALKPEDA KKRVVITMFTCEPTTDYLDMQGIMLPHLQGISLGSHSRRKHGEDRFTAEEMAETGLWV CSCGKVTVSERGLSHHRKRYPSHHV L199_006886 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVVCACGRLVLNASGLNKHQLRFNCPSYSPAQRAARYLSNSLTPAPSTASLYSPAQ RAAPYLSNSLTPAPSIASLLEASPWRSTLKTPSRFSSPLSSAHSASGHVPPANSTSSP LSSVVGTPSLSPASTRRLSQSLSPNGLSINHLQINSSPLTPTRRSSSRVTNPVLYVYD EADDDVFSHGQETEVYEEDGAGKEVEEDDDDEEEEEEEEEEIEDEAYWERKRAKEIED AAQDGDDRPWSDEENEEERSVMSDDGSYQWQPEEDESDQGGWI L199_006887 MSRVPSVIQAPTPAHVQTYYCTHTRSNRSNIEATIGWSQVLGGQ DLRSAITGDQDTILEAARKSESAGCQAFIKGTERTTGLSPHHLGVFFRASEIHTPWVT NDTLQPHSNKRVAAARRQCMLGLCLAIDAVVKEKVQARLDQVDRKTCLAHKASNDRFR SAVDQGKLDLRGLSPSDFQDVQVDHVADFFRFGQLATCSAITQGQSEKLHMDNHDDRR LYTTLLVLGRENLDWDHTDGRGDLLLPTLGLALPVFPGDVVFFQPGLLPHRVVALKPE DAKKRVVITMFTCEPTTDYLDMQGIMLPRAKRSWKPRSGQDTCPKCDKTYKDLLDHIK KKHGEDRFTAEEMAETGLWVCSCGKVTASERGLSYHRKRYPSHHV L199_006888 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVVCACGRLVLNASGLNKHQLRFNCPSYSPAQRAARYLSNSLTPAPSTASLYSPAQ RAAPYLSNSLTPAPSIASLLEASPWRSTLKTPSRFSSPLSSAHSASGHVPPANSTSSP LSSVVGTPSLSPASTRRSSQSLSPNGLSINHLQINSSPLTPTRRSSSRVTNPVLYVYD EADDDVFSHGQETEVYEEDGAGKEVEEDDDDEEEEEEEEEEIEDEAYWERKRAKEIED AAQDGDDRPWSDEENEEERSVMSDDGSYQWQPEEDESDQGGMDIDPQESDGRDGQGIM EDGAGRDDGGEDIDDDLWDLLGGDEDGEERGSIRASTEQDVTGEQEGVDVRDRGWSPP VLEDVGWNGSSRLSQSYLSWEDSRSWWNIRRKGTTGWTSWRGNLPMWTDGNCQSHQRN T L199_006889 MSRVPSVIQAPTPAHVQTYYCTHTRSNRSNIEATVRRLLGDSAD QPLRRLPEHGDLAIPRPHRSGGPKFWVVRISGQLSQGDQDTILEAARKSESAGCQAFI KGTERTTGLSPHHLGVFFRASEIHTPWVTNDTLQPHSNKRVAAARRQCMLGLCLAIDA VVKEKVQARLDQVDRKTCLAHKASNDRFRSAVDQGKLDLRGLSPSDFQDVQVDHVADF FRFGQLATCSAITQGQSEKLHMDNHDDRRLYTTLLVLGRENLDWDHTDGRGDLLLPTL GLALPVFPGDVVFFQPGLLPHRVVALKPEDAKKRVVITMFTCEPTTDYLDMQGIMLPR AKRSWKPRSGQDTCPKCDKTYKDLLDHIKKKHGEDRFTAEEMAETGLWVCSCGKVTAS ERGLSYHRKRYPSHHV L199_006890 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVVCACGRLVLNASGLNKHQLRFNCPSYSPAQRAARYLSNSLTPAPSTASLYSPAQ RAAPYLSNSLTPAPSIASLLEASPWRSTLKTPSRFSSPLSSAHSASGHVPPANSTSSP LSSVVGTPSLSPASTRRSSQSLSPNGLSINHLQINSSPLTPTRRSSSRVTNPVLYVYD EADDDVFSHGQETEVYEEDGAGKEVEEDDDDEEEEEEEEEEIEDEAYWERKRAKEIED AAQDGDDRPWSDEENEEERSVMSDDGSYQWQPEEDESDQGGMDIDPQESDGRDGQGIM EDGAGRDDGGEDIDDDLWDLLGGDEDGEERGSIRASTEQDVTGEQEGVDVRDRGWSPP VLEDVGWNGSSRLSQSYLSWEDSRSWWNIRRKGTTGWTSWRGNLPMWTDGNCQSHQRN T L199_006891 MSQSATPPPSTNDPPCELCETLKIACVSHSANCEMCTKRHRTCS LNEKPRKNKKRKKPDSEIPTKLETLKTDIRKKLKRLAGDNETLKAKVEMIGPDIDDQI DEIVEALKAELGE L199_006892 MACKSLAGEWAKYGVTVNSISPGYVATDMIKDPPPGEGATWPKV WMRDTPVDRFAEAFEIGQMIVLMCSQESSTFMTGHDLIMDGGFTTY L199_006893 MCQSCAPKVNGIAATAVESGPLPPLKSQYVVKYDPERVFHAPEY KVLQDGDDELWNGKSNIACAYDEKHDIKMINKPIPKAREGEVVIRVRATGICGSDVHF WKHGQIGPTMIVESTCGAGHESAGEIIELGENVTQWKVGDRVAIECGVPCGQANCDMC RTGRYNACPQVVFFSTPPYHGTLTRFHAHPAAWVHKLPDSLSYEEGSLCEPLAVALAG LERANVRLGDPVVICGAGPIGLITLLAARASGCHPIVITDLQESRLDFAKTLIPTVRT CKIERAWSPQETAGEIKKISGTNIKFALECTGFESSITAAIYSVVFGGKVFVIGVGPS EQKYPFGYCSANEIDLQYQYRYANQYPKAIRLVEGGLIDLKPLVTHRFPLNRAVDAFH VAADPSQGAIKVQIQDL L199_006894 MPAARTGDSPASDEVDQGAEEDGLSKRACTECSRLKRKCDRTVP CGLCIKLGKQCTQPVRRRRTKEYVDELENRLNELQRISSSRSSTIPQIPRGLRPGSPQ SHSAMIGISPTGRLPPGGSARSYIHDPNERSRDAELELDNFISPSSADLSITSNTDSS FSLPPTLSYDFDPSSSSSAALAATTLANTASSSPSRIPSIPALRPSLNTGLSLSHTHT QINPNTNSHPYAALPGKSTLVHDYNTPSSSRFAPPPSHASSSRSNPPTSEVLERQPTT ARGYEWNERHPRRKGIAGYASLSIKPDGQGYLGFASGSTLLRILQICAGSIPLSNIDS DTSPDHTAEIPRRDWSPTITEIDSYVNAYFEFYHAQYPVFHEPTFRAQWNELIPQPKQ IEWDFLCNIVISIGAFCSYKPMYVVDHFLELAISGISAEYLESGSLTLVQAYCLLSNL SEKRNKPNSNSVYMGIAFRQAIGLGLHRELPFWNISPFEREIRRRLWCACVAFDCGAS ITFGRPILQPSYPAESDVRLPHNVHDMLFTPTAKEAPIEIDEPTIYSSIILHARFQQE TNLIYAKIQSTSPAPTAVEFLEMDHQLEEWSKTIPRYLRPSLSSNYPHWLRFGQHKMF WRYCNFRIILHRRMFLERALRGLPLWQDDLPISAGTGTGTGHGTGTVEGMLEAEMDCC MRCQNNAAETITSISDFFQAKPGQENRLEEWYGLHFLFQASFIPLIALHTDRFSTRRP IWESQVQQARSILDSFKVDPTAERCLQIINLLQPTVAQQNQPLEIDLFAEANNWLDDI FQSNPQLGTEFSSTTGSGGDWAQNLMPFADLGTLSSIWPGSNAFEGARG L199_006895 MCAISFRFLDSADNSHSDGGSQAFTLAKEIVADIMVDIQEFSEA RLATILLMAQHEHESGRYGSSWMLIPMAVRMAFTLRLNLELDDSYPWKYRETRRRLMW ATFCLDKMTFGGISEFSSIETRRMKIDLPSPNHNFENDIPVPGRTLGEVQSAADTSTN SQIDQDGLVSRYIRLTDIRSDVLSYTKNLSENASLAWEQDSPFQLCFQRLQQWQDSLP PLLIFSLSNLQHGQEDVIALFYLHIFYHQIHCDLYRMTLPGFRESAPPEYLARAPVGW VNRTRDACYLHAVEIIKKISFMAEHFDHFIPNDSVLIIFVYECIRNQIQYIKITYPGG PSREDHQQVSTGFEMIMDVAGRTVKYFGRIWRAVSPNTKL L199_006896 MSGAASPIAGPVLSKFHPVRRLDILPRPAVAQSGLEDDHQSARS ALSSSGNKGQLPSGTWGDEAECATPSGTADTHPIGRYGTVDHGLSAPSVSPGMADMTA LDGLWLQIDEILGIPYTTPATSFAAEWLADGGQEDLTAANSDFQPVFHFSL L199_006897 MGLAEVNDPNYRVMFMPDQLRYDSLGCTGNPIIKTPHFDALAKE GTLFTNCFAQASVCTQSRCSMFTGQYLHTSAHRSLDYMLKPWEGNLFKSLKKAGYHVC SISPRGDLCSPGVTEQSFSEYGWIEDPPNWPPKFINNRSDAGANATLNDRLFYKGMLT EAESEDFDEMTIRSALQWLKSNPPEPFVLFLPLIYPHPPFQVKDPYYSLYRELSLPLR VRAQDKTGYEPKYLGVMRKEHGLDRASEEDWHEIKAVYYGMINRLDDQLGSIINVLKS SEHGNLWDRTYTLMFTDHGEYLGDSDMVEKWPSGLHEVLVRDPLMIVGPDLPSDQVNE AMCEMIDLTPTVLELLGVKENYPHSGKSLVKTIKENAQEHKPYAFSEGGFLLREEPLL EYASFPYDRKAEVQHTMTEVVGRAVSVRDKEWAYTYRLYEPAELFNRREDPGEAHNLA ALPEYAQICLRFERAIMKWMVETSDYVPFEIDPRFPSVDLEPTKDQVARHSGLDQDGI VG L199_006898 MFGLERITKHYTVQLALCSGLLTLSSANYAFDNQGMAQTQAMDP FIRQFGEYNPKTKSYAIPAYFLSLMNSLVFIGFAFGIWIGSMISARYGRRMTVFTMSL WAICSATIIITAKTKEHMLISRILNYIYIGMELSVIPVFQSEIMPAPVRGFAVGSYQF SLSIGGLIINCICRGTSTLKSNAAWRIPYGFYYIVPAIIASLVWFIPESPRWLLSQDR RAEAKISLKRLRVGKTDLGLEEEINSILLSLEAEKDKGTYTDLFRGTNLRRTLIVMGS NFFLQATGQSFASAYGTIFIKSLNTVNPFNMAVTNSALGILACAAAMIMVDKFGRRPL MILGAFGQFTFLIVMASLGTVKRQTNQSKSVIVAMTSLNGLAFAVAWAPLNYIISSEL PAQKLRDKTQRVGSWVNVFTNFVVAFTLPYLLNAPYANLSSKVGYIYGPLALCASVFA YLCIPECKGRSLEEVDQLFFHKISARRSAHWEAPTIIPDLSQHAKDQQEGRAIDDLTE KDEDQYADANPVLQKV L199_006899 MPSRFNHTAKDDHPNWRKGPEVEHVEWRNNPQPDIADESLVQAE GEDKITPYLVFLILVAALAGFLFGYDTGVVGSALPLVGTDLGKALTSSEQEIITAGTT IGAIFGAGILGPLADRLGRKWSLFIADVFFTLGAVLISSSYSLGQIIVGRLVLGVGVG GAALIAPMYITELAPTAVRGRCIGVNAFFIPFGQVVSSAVGAGVQNTPHGWRILFALG VVPSVLQLSLMHFLPESPRVLLLRGRDEEAAAILSQIYVKATPEIIDLKLSVARSYVE ATTSMQRELTLWERAKRLWTHKPYRRAIIAVSGLQIFTQLTGFNTFLYYSGTLFGLLG FSNPAAAGLIPSGINAVMVFIGMSVVDKVGRRRLLLIFAPIMMAGLVWAAVAVWYMTA ETDHLLVTGYDYSHTMAGLLVGGIVLFVIGYGMSYSFLGWYQSEFLALEIRGSGSGIS TVSVWVANLIVSVSYLSQLETITPTGTFCLYLGFTFVGYIFAYFCYPESKGISVDEIA KLFKDDFGIRKSEQLRAGRLAAVQRMDEKKKNTQSSGEEDKNIEVAHAEV L199_006900 MATYLTLPADLPNHDQGFQPDEDVQHQVHTIAQHWLSQVDRAAV TNDSDLFASLFVKNGFWRDILAFTNDYRSIRSGNIAKAAKARFPVVKARDFTFAAKQP SVEHPFPDVTFVSVHFDFQTETGPAYGIAALVYEDKQWKAFTVFTLLEGIHGHKQKVG ANRARGTHNASLSYDEQLARAREFTDSSPEVLIVGGGHNGLAAAAQLNTLGVSNLVID TYKRVGDNWRLRYRSLSLHDPVYANHLPFYPFPENWPIFTPAGKLANFLESYVDVLEI NVWTQSSLDPKRTKFNEQTKKWDVVINRTHLSGKTETREFSVGHIVLATGLGGGKPKM PAPFPGQNEWAGRVVHSSKHTSGSDWTGKKALVVGACTSAHDLCVDFAKNGADVTMLQ RSPTYVMSVDKGMAMLVSGLYGPHGPPTDVADRIAESNPKIIAKLYHKRLIPQLAEQD KEMLDGLKKAGFNSWLGPENAGFLMMALEKAGGYYFSTGGSEMIINGDIKVKQGEIAS FDPKSSITFKDGSKEQYDVVVFATGYTGFPDTIRATVGEQYAETFNPVWGLDEEGEIR GVARESNIPNLFFLVGNLSACRLSSKVLALQILAQKEGIFGERYTYAKQKADGDIDDA TVFKAINGLNGHPQPVEDKV L199_006901 MKISGNTFVITGGTGGIGGATARSLSSQGASVALFDIIPEDKGA AFAKDLGVGDKAKYYKVDITNSDAVKAAVADVVDTLGNLKGAVHCAGVAIKREWTNDI AESIPNFKRMLDINVTGTFIVNAHVADAINKPLNHPDGSDKHAPFWTSNEERGVIVNF ASAAANPYARVLSYGPTKTAVVGITKSFSDFLGPSGIRVVSISPSIVVSAMTANFSTY FSDDLLKTATFPRVPLTADQITPTVQYIIENVGVNGIDIPVDGGWRLVSLKSGLEGGK DPRELAPGLE L199_006902 MSDEKNYGIETLAPARPAMQSRAASQLEKATISHVEHTGPINRI DPAALHDDTLMVGERGEKLTSFVLMLSFVAAVSGFCFGYDTGVISAALVSIKDDFGHI LADQEKEWISTATSCGALVGALSSGSMADKIGRKWVLAVGDVWFTIGAIIICASYSVP QMIVGRAVLGLGVGTAAAIAPLYIAEVAPTRFRGALVTIQSLAITGGQFVSYCIGIPL TGHNGWRVQFGIGIAPALVQAVAIHFMPESPRFDLLRGNREAALATIQRSYRGMSEDY IAVKFAALEEVVGISASFTNQYNIKARLRLLLTEGKYRKPAITALGIGIFQQLCGFNS LMYYAATIFSMAGFDNPTAVGLIVSGTNWFFTFVAMFLLDRVGKRRILLSTYPGMIAG LALASVAFWKMTGETGHRLVEGTEYPKQWSNMMLGMMVVFIAFYATGSGNITWTVGEV FPLEMRGIGSSVLAGGVWAANILISATFLTLMNAIGPTPTFALYAGICLAGLIFIYFC YPEPSGLSLEEIQIIYNYGFGVAKSREIRREHKLRQQARMDAPMGAQGSATASDDQLG KV L199_006903 MKSRPALISLRTAKSCPSVLIVPFMSPPPEPDPAKDTSNQPPVK KRKRRSHLNCAECRRLKLKCDRTGKSRYVTEYRGMQLTVAQL L199_006904 MGIEVSEHRPDSEGSEKKKAKLDSINRSDSSDRRVSTPAAPPTP PRITSNEQRNSITPSYGVTPAPALSFVNPLINDHPPITSTLPAVNSLQEPTLNYPSDI PVHDPLEDWMQPTTSLAQPSTSAAGKQDTRFEETNPSPTAEPSHGTLVISHTGSSKYL GPSAASEWLKDAEVLEANETPGYSRAASPSQPATTAPLRPHLGIPFAGHLGAHPAITF HSLASALPSYEEAEALIDCYYRYFGWSYEIVSRVSTKRVVENVYRYITGPNQEFRHTK IQYQELGLLFIVLAMGALHNLELRPDDASAAEYMLCSEDCLTKGNFMTNNTVAGVQTV IIMAHYHLETDKGRNGDSAWTLWGLAMRIIQADGEKWNLPLEIVEERRHRRIFWESHS AEIFQANCFSRPYTIPAEFIDTKFPSEKPSIGVSALQTTYHTKKFELALIAKSVLQAV LNTDGCSYHTVVGLYDKLRAFESSIPFHLRCRTALVALPSLYPDASSAEAESPEVNKR DLRRTFEQFSLSMIVSETIVNLYRPYFMRALIECPGDPLRTPYGQAYLSVVERSNVII QIVCGLYALHPSVTARHWWCWYHAFNSAVCLGTLILMSPRNELVPIALGAMEQVIGIY TEVSHSQAAPRTIQNLRWLLRLRQRAAYRMEQSQTQQQSQELPEPDSDTETDAGLLGW RTRFIERAARGNQKATSISTRSPAASGHTPNKDIEGIIKSIPEAIQQHIASDLPELGP LTTSSSDSPSFQTNSIDQLLHQFWDPMMSSQDMNDFVASSMYTLP L199_006905 MAPLPVETSLNTLTLHSSHHAEQEHDQEQGLEVKSNPEEPRPHF APGDGTKQDAGVTRIEGLYLVFGKGWGLYSLWASIGLIAYVYSLSRLTTAYYAAFATS AFGEHTIIGTIGVVNSIIGGVAPPIIAKLADMWSRPHTLTLAVTLYAVGYAMCAGAQN VSTVVAGQVVYTLGNTGITFLNSLLIADITSLQWRAFVDGAVNLPYVLNAFVSGYIVE DINGYSANGWRWGYGMFCILLPVCVSPALIVLLIGDHRAKKLGTAALGASPTRPEWLA RSDELQGKSTLQIILYYCNRLNVLGFFLMSFAFALILTPMTLSTTAEGGYKNPSLIAM LVIGGILFICWAVWDGFYAEYPFMPRRVFNRTFVACLLADFFYYFSSYLADGYFPSWV YVIVDWPDKHYQFFNNTVTVGTCGFAFMFGLLMRYTHRYKAFQIFGLCLRTLGSGLVY LSATKPTDAVLVCSQVFIGIGASVSIIASYLGIQSSVPHQDLAIATAVLNLWASVGSS ISLAISASVWNREVPNNLEKYLGSMYNATERASIFGSIYVARLTEPRNLVKQAYLDSM KPLFLAALITSFCSIIAAAFAKNYYLGDTHNAVEDKVIKFRDQQEIEKELAERRD L199_006906 MLIRKKYWQLCVIAIPIILLFNVFISRSAGPSDASHFPPCDLRD FLYTLDSHVHINTTALFTLAPTTPLIANLLRKAFPRAAYNVQVVPYFRSKSTRYNNDD VTLITWGTYDRLDRLVGLAASARGPVSVAFYLPRDDLIAANQLAELVTLYQTNPSMSS RVDIHLVTADRLLLHNTWRNVARTFASTDWVLLWDIDFEPCTDYQSAFSRFRQANARD AWLKELEHGRAALVIPPFEWVDAPGEEFCPKSKQDLKHLYDTLSLDAFETDNPVLSHA TDYAHFMNASRDEPYAVTEYEFLYEIYGIFRQDTDVWCDERFAGAGFNRAACAASMYL SGMNLYVLPDQWAVHHPHADGEFETRSSEDTTLSWKTFLTDICHSYADSLALQGRLHL ASGNRVLVLCGNLQNPALNADLARLVGVSKTMGA L199_006907 MRLTQFGATMLVCLAGILAVPTKTTGELAPRAFASGSTTCYSQC PSSVDGYALEAMYYNTDYFSQTNYHQCYYGEISCNYYDDGSVFSQWGTENCPTQAPSG GCGATNPDSSTYFKRRSVARPKTNAERIIQARQYKPKKD L199_006908 MGSQVSTARPVPAINPVVITEKESSNPDVASPICSTSAAFDALS VSELDKWSKDFESNPTLALSRLVLSKADPVQSLSSRSALIHDAKIFNLRLKGAGPNGE YPGPRVNQASSGRCWLFATKKYGIIPKSLYPEAFSSTASGRLDSLLTSKLREYSLLLR AAAKKTNSLDDLRKLKARYLSEIFSTLSIALGSPPKPVEKITWEYYDRDDKFHSWTGT PKEFYSQFGKRKGMDPKESFSVINDPRNEYGKLYSVERLGNVWKGNPVRYAVICGIRA NTPLFFGCDVGKASNTSEGVMDCQVYDLKAAYGFTLGMNKAQRLETGESSMTHAMVIT AVHLDESGRPLRYKVENSWSESAGEKGWFMMTAEWFKEYVFQVVVPKSIVDKKWSDVL NKEPVMLKPWDPMVSLAIMSGADGLIGIARLIVRHRMRMVHDDLDF L199_006909 MLADQAVYDPESQKTHHDKVEIDAFTALAIEEQDHDIKYRTLSW QKATLLLFGEYVCLAILALAWSWSVLGWVCGFFITFGLGIVTWYTSYILWQFCMKHPE ARDICDIAAILFPSVPRIAFELTGLMLLLNNIFLIGFHVFTGAKIFNTLSDSAVCTVV FQAVTAIIGVIVSLPRTLNHVSIMSVVSAIAMAIAIILSLVYAGIEDHPLYGYGGNYP ELGEVKTSIGLPGAPGFVAGTNAVLNITFLWIGQILYPSFIAEMKRPQDFPKALASLT ILQLILFSVTAAVGYYYNGQYSTAPIIGSLSEPWAKKSAFAFVLVPTVIIGTIYGNVA AKFIFKRILGNTRHAHSHSLVGWGTWIAIDIFIWTVAFVLGNIIPSMGDFLGIMSAAF DSFFGFIFWAVAYYHLNKNRLWANWKMTTMSIINIIILVLGLFMLGPGLYTSVDAIVT DYAGSVKKPFSCASNAL L199_006910 MATEKHYSPTHLEDEKIVQQEHIEDTNTLDPETGRANKRGANTQ LDDAARLLAEAGGHVEYTPEESKRVLRLIDFYVCLPMCLVYFIQQLDKSSVSYSAVFG LQTEAGLVGTQYSWLSSIVYIAQLICQPLSSYALIVFPVKYWVMFNMISWSIVTIVTC VGKNFTGLLICRLFLGIFEATILPSFVLITQMWWLRREQSYRTIAYQIANSFAAIFGP LLSYAIGKATESSNVIKPYQGIFLFMGSFSLALVPLVWYLMPNSPTTAKFLRKGNDRL IAIDRLKENNTGTKASKFKWSQVWETYKDPKTYMWAGMWFCAACPSGGIGAFGGLITK GFGFDTFTTILMQIPTGAIGITALLLSIYITNRIKMRWPVIAVIVLFPIAGALSLTQV NHKKTGGLMASYYVAYLFSAIQPLLISWCNLNAAGTTKRVVTTATMFGALTIGNVVGP QVYLAREAPYYHTGLYVDIACWCIEFILVVSMGFYLKRLNKKQEARRVAMGLPANLKD ISIMSTAEADAYKIELEQMMASAGVNRDLLNQNSFDDMTDFENPMFMYVL L199_006911 MKTNLPLTGLRTALLHQRAPVPTVNGISKPMKPGGYADGSADIA YALRSVGETVITPVPSPDPLKDLDWSFPDTVVGIREAVDKGANVLWANTGLHSRHAIV EIQEELKEKGVRLLGQNPLSVEKYDDKEWVNRWLAQQKGLEDSFPKSLLYHRGDVGQV DEFPLPAMAKPIRGRGSHGVTRVSTPEQLNKALYVLLKESDAVLIEEYLAGDEVTITV MPPGNYTKGYWALPVVYRFDQIDGVMPWNGTVPVTANSRVITPEEDQADRAYSDAQAK CVLVAQLLEAATAIRIDCRRRTQGGPFTLFDVNLKPNAGGPGRPGRDSQAALTTMSAQ AIGWDWPEFAVNILRTAKPLGEVFSRGQ L199_006912 MSPYTLRWGIIATGKISGQFARDLLVDPSSREVSDVSHQITAVG SRSVESAQRFIDGLKNPGEGDSWEWGSKNGKLDQVKAHGSYDGVYNDPNVDAIYVGTP HNLHYKNTKDALLAGKHVLCEKPFTIDIDELEDLVAIAREKKLFLMEAVWTRFHPIAY AVEEVLKSGKLGKPKRFAADFSMQYDLDSKPDSNRFVDPALGGGSLLDMGPYPSVWAM LLVHRNPHNKDQNPKVVSSYQSIYPRSGVDLNSRWIVEWEGLCHGQLVTDLTSPGLRE NTAALQCEEGDLVIEYPPQKPETFHIIPRPDRYLASVKEKTTHHHPVHQGNHGMSYEA DEVARCIRDGKTESDRMPLDESKVVQRWFDSVRKNGISSTKDMKGTAGQ L199_006913 MSPGAQPNAEAGPSNEGKKRSKQPQLSCAECRRLKLKCDREIPC SNCMRRKCAELCPDGVKESRRQLFDVKATENLQKRLSTLESLLAEHGVQVPTSPDTVN EDQSPKVTGKRRRQSESSHSIEHHHHTSLHHPRPHQHHSGKLIESSSSPRYRSPSINA RPPISATREYPYTSPRDSDSVYWDRNNSDYSRLPPPPPALPAPLQHPGSSPHKSSDMI PGSSLNPAGIHTPRSPVGHSHGTLVLGQEGRSRYLGPTAGTEWLKNQEMGDAHSPTPQ RSPSPEEQQPQQNDIIGGKKISTEQMLAFPFPLSNGTFTMESLLSQLPPKDDAEVLMD SYYRYFAWNHDTVPRRFFQPVFDRIFQNISTKNYRSVHPQQLALLYAILAMGTLQNLE LPPNDPTADEYLLLAKSCLTKGDFLNNNTIAGVQTLIVMAHYLLETEKGRNGDSAWPL WGLAMRIIVAMGLHRDGARWNLPADVVEERRQVFWAAYAIEILQANCFSRPTSLALQY IDTAFPNGPSDHPAGSKSYQTLRFELIQLSARILDTGMSVQFESYDTILSLYTQLCTF ERSIPFELRCRTAMLSLPSEYPDTDTAIKQSPEITRRNLKKTFQQFTLALNISEHVLF LHRPYFVMAMHDQPQDPTRSVYGRSYLAVIERCSVIIQIVSALYEVHPAVSSRQWFLW YHIFTAAVCLGTMVLRNPTSVLSQFAITSIDQSINVYSLLIKQNNTTSMVQNHDWLCR LRKRVFNKVLVAAQSQPQSQSQQDEQDINGRRSSLASDHSQTAVNGNGNGNGSTEEDM DIVGWRTRLIESASNGTQIGINISSSSRPPNQQHMNSDTNHIYTPPHSSSDFDHEQWS SSGRTAGMEASTDLMLHQFWDPMMMNDSNDLASANWWSWM L199_006914 MPRPPAALPPYLTSGASSRAHHGLLVRLNEAASTQEEDEIIHME ISRTKEVLSVRGQSMSKIADTLVILLHCLMLRHRTEEDAEFALVLALQLAEGGRTIAE RRMGYLYLAESLPKGHELNLLVINTIRKDLSSSTPSHILLALQTIAKIPSEDLAPAVI PLLTTKSLLRHKIPAIRQRTFEALLSLHRIRRTTDSYPLTINKLLKSLDHEQDLSVLA IILRSIRHVLETDAQHFGTDEERLYALERCIAIARDHEVGYMGQIGLEVVKTLGQIVE FSEEVSGTIKEMMTNYMRETLDGIQSWHRWEGAFLLEVCQYAKHTPQIYQSILRHISD LLLPDQAKKSSSTSSVLPTPNDHVLVLRCLIQLPTDVWDGVLGEREMGVIMEGVNSVD DNIRKVTIRILGKLSPDLPLMVLQTYLDSIKSSTNLSLPLAMANGLDIYEKTRLGRYE TALRAMEVVEAQSAEDGRAYGEGVLKILRVLEEGNRGRGPIWDEGAGHILGRLEYLPR SSAEATTISLLELLQTGNNSPGDTTVVITTSITCQHLPSAYDKVQEVIQYFVNRIPHY NASIQELILVTLVSLLIKLQSDRLKTSTKLVHKTIQDLREGSSRYLQKRCDQISTVIN KDFLDEVKEKSKSSKLADVLDAIISVSTTHSEKLTPSVETTLSPPPPRANLSASHLRY DAYRSPSRTRKSHERYRDHFDDDSD L199_006915 MCSGADVPQEQKAGWASFIKSLAQMTGDLSSMTAPPFILSPTSL TEFPAYWCEHPNLFAEISEGKTEQDRMERVLRWFIDTLSAQYTTRNEKMGSEKKPLNP VLGELFYGIWPDLSGRGETRLVVEQVSHHPPITAYYIENAKAGVKLQGHSGQKTSFTG TAINVKQSGHAVLTVQPKNGQKEEKYLITLPKLRIEGIIWGSPYIELTDTNAIQSSTG LTAQIDYKGKGYFSGKSHSFKASIANASGKSLQTYEGQWTGKSHIGGSKGPIFLDTTV PKEEVTVRDVSQQTEWESRKLWVNVAKGIRTGNYDEAGKDKTRIENEQRQRRKDETTN NTTWEHLHFTHVDSDSDYQFLSALLHDKLTPAHEDAYVFKPETMDVVGRSA L199_006916 MSLISCHKSAKISGDTKSSPWNLPPGSFLYPQEWSRFIRGSNAL PSYLIPMNTEECTYEPAESAVLTNLAVRERITSDNRTERTYAIFARVPDNPQYEYLWY GSEGSLRSMASDGDRLLSEIASERGIDLKTFQGKKYSVRLTTGEHVDWQHIYLEGQHF TAFTKRNSNGARQYTFDHSKASERLAFKKMAQETSSSIDEEATRFVPRPKVVSQSVEV DGGKFSWTKQSAKMNKSDTTSYDQSPRELYQIEWQPRCGSGKTSVRMAADIETLTANV NTYSEKHPEWSQALSIIKSGHWAL L199_006917 MTSTSDSVLPQWRVDNKSTHNPYQTFELPDTTSLASCTEKREET SGGWKKRYVLRAYDEKSRNQYTWEGFEEDFKRFLTQEDTSEKSKNLMTSAAEDLNLFG DKQISKKESFVTSNNKNVTWWRESKEGCDEKTRYRFEVVDLKGRKRTESLSMARLEDK AKYSIVASTILAKVKGVEASMAPEGKSSKSEQHGGEWKLVLDDITRKSGGTTSVDRGD TTGPALSSSTASGA L199_006918 MPVVPPMDDVRPSSRGSSSQREGSSRPAAPAGKVDKKSGDTPNG KDKEKGWIEGVDYAYEYVPVSQRRQGRKNM L199_006919 MGSIVPFYLPPPPPGYQLVYVPPRSNHHNHIANHSSRCGSTHSC STIPSAGLSTPPTHQNPSLPTRSDTPMSSRSDGVNHLLTDHDCVLRKYEMKMRQQPVQ ARMCGVGEKSDRRPVDPTPIIQLKVMDENGEDITPTDPRSRTSLRRPSPGPNGMTFMQ NPYYFLFACLVGGDENEDELHVIDDGKTRFLTGTPVSSLYHLKDLDNTDAAFFVFPDL GVRKEGRYKLKLTLFEIVDQEVFYCTTMFTSTFSVYSAKKFPGMSKATDLSKSFAEQG LKIRVRKDPRQPAARLSKSKRKSDAADSDDDHDSPRHHHLHHSHQEQRRQHPPPQHHH DHHHQAKRSRGASVGYPSNEPEAVGRYYRPTSSHGEPSTTTYHPSYPPQPPSSSGGYP PGYHPNYPPPGHHMPPPPPPSAFDPYRNHQAISPNYPPGAPGYPSQYYGSNSGRPSTH PSPGPASTTTNSRHHQSLPPPGYGPPEHPNFQQQHQRQHHQHTPSPYYADGYQPPSAP GGYNQRTPSYPGTPYGEPQGQRGGPWPGSQIQDRRDTHPQEREREREIMYVRERELER ERERERERFRGQPQRNRMREGPGPLSPRSRVSPTRSNFHQHQHQPSPTLSAGSNFGRP PSSSSAGALVSPNNVTLPPLSLSTPTSRSRPLQLSGSGGSASRPNSAGLPPILSSAER DRDREERLSQRSASIGLRSASASASRNQSPLPQNTPPLPAQPLSAKTTDRMKLHNFVD L199_006920 MPKPLPKLSPPPEDQPDTRDPIEVALKQHLEDVREWTEWMTNGD PQGIGRMDYGIYRTFEEWVKKKEALDVIDGILQKRLTLGFPYTHKALILAQIIPSDRL GPYAKLVEPFLPPKSPSGPEDKVDMPKFFNPLIASIAQGIHTQATAEIAKQEAAKKAE EEKKKQDEEIERWELWCNLYGRSRADWYPHPGNPQWTYWSWTEIGKEDWWKGRNG L199_006921 MLVDMDNPPPSRISITDLPREVIRLIALKIYDPLELTQQDRLTW GIFSTVDMHQERQDDLASLISLGMVCRKIRREVRRILFTCVRVSGVDWAEEVIENRDG WARYVKSIIIDLTMFDSDPDESTRTRLSRIEANPFTTQSTDISSSNVFTENTIIKPRS CWAESSLLTSLLNSLPSLNHLSFFADASDDSTLALLFASLIPHPSLHAIPPTFLSNSP STLSTISGSYGPSQQSRNPSIYIPFTHRLKSFGWRQRAAPPSNFRQFSQSSTFVSTLH LIRHSHNLSFLVLDADLDELNLVDVLTPLKELQLRRSPIGEKSQLISLMICGPIRDWD QAGIANGFLKSMVNTFDGIKELFIDRPLKKSMEVRETSFEDFTSLLSPLSSLPCLRLL QVGSYTFTTPLQSSIVKHLSRTISSLLVVGLLGEEGETVWWGIWRRASVRGMKSSQEG EYDEYTDTLIKFLGDGELRILEDEYEEWLKISRPPTPPQSVQLVPGLELDLESESKDE DVRMTPRNTLSPIGLDVQRFHALGLSLDGSVISPAMQHDEERGRKRRINEGGGVMEGE GRRVMSLDRLLG L199_006922 MSSLSQAIIQAPPKDGENPANQFREYLERQSRKVKDRRKETLQS FASQTATETQHAGFIPTSGQCEYHNSDDPFPYTSTDPNQSQITYQPVISTFPYIPQSH CVALTSQHSGLNPEVNVDTTPDISVSALNLNSTNAHTDDLTPMLTPSRPLTAFRPGSS IVGHQVLTQNQTQPQKIYLHSGLYPDDPPGFDSDSGSGSDAKNGITQIVYPQSYDITI SAKYPGDLVDPSHQMVISPYCTRTYSGVSMENTAMIESYLRESHGSKNE L199_006923 MSFSLLRSRGLRAATRTAGVRPARSTLPAMRFLQTDADKSSPAE ALPESGDQPFTVALHGESFHSYRCDAPSNEISITKDELVKMYTTMVQMRRMEQAADAL YKQKMIRGFCHLAIGQEAVSVGMEHAIDGDDRVITSYRCHTFAVLRGGTVKGVIAELM GRVDGMSYGKGGSMHIFTPSFFGGNGIVGAQVPVGAGIALAQKYLKKKTATFALYGDG ASNQGQVFEAYNMAKLWNLPCVFVCENNKYGMGTSAERSSQNTEFFTRGDKIPGLQVN GMDILAVKKATAWAKEWVTSGKGPLVVEFVTYRYGGHSMSDPGTTYRTRDEVQQMRSE KDAIAGLKRYILEWGVTDEASLKAIDKKAKEEVDAAVEEAKKSPFPDVKTFWTDIYYK GTEPPMMRGREKEEYHVYSQA L199_006924 MTLPNGTATASNQPNGNGVIRPWAADFNTGSKSFTTLDGKPVDW NGGWAGIRGETAGPQTAPAPITSKSGPNNQEITYLPYPELTCLHCLGSNPPGKLGYVV SYLPVIPAGSSNGQQTTAAGEGQGTEDRLGELPVRPSAPSLGGSGGSFRAGRSAFRSA DSASKAPRARSRAEERVDDFERGRQIEREAQEERARSSSVSWELAGVGKQYVPDGEEE DVVIE L199_006925 MIPEAPPQAAYMIPRPGPEGHVVEAERILQGCYKLCPKLAGPDG QSWKDIEVVAHNVGLRPAREGGARLELEKRQIGKVGSTQLAPGNIRNSLGRKVAVLHT YGMGGAGFQNSLGVAEKAADLAVSYLTHNNPNRAKL L199_006926 MATTKESQTQAQVAIGSCALPSDPDAMEVLPALPEPRDKWDKIH RSSLFQICVVSALAFCGSAMADAISGLGGGGQASPYTVSAAQAASYSATALIALFGGP LASRMGLRLMLIIAASTFAINGSAYLCLCSHNRSVLISVSLSNVKAKWVPLGLNFALC VLCILPTLLIVCQVPEYRQDAKNKPSEITFNGKDDEEQGMDDISKKV L199_006927 MAFPRFSLELYIIAVVVICVFSLIQLLRNPTLTRPSLSLLPKPD YGVNISPPDPAQSENRHLDQAQCMERYPKLYHEADRARKWYQSKGGITKAMVDLSETD GGNARLVILNNRLYVKGFYGGINTRTQAAMAAVYGALLTSTEPLPDIDFVIQTSDAGS GSHPRFALDREEGQRNLWLMPDFGFFSWPEPGVGAYSEVRSKALEYEHTLGLRLDNHL NVLHDSWENKTQKLFWRGAPMVEVRHDLLRASKDQSWADVKELNWGAVNQDEDERKQN NGDLRTPAEHCQYAFLAQVEGWAYSGRLKYLQQCRSVIVAHPLRYIQHYHHLFNVRDD DPDQNMVEVPLPLEENLAEVMEGLIDPRNVEKVRRIADNSWKMMREGYISPAANDCYY RYALRAYASVQTFQPSLEGKAVPYESFVLMGTTHWDPH L199_006928 MGISCMVMKKPIFQNKPNGSSNDQEQSPITNCGVPSSVFPEDQS VLPRPAESLIEVGVHDRDVVIRAATVIPTKQHTQETYLIAKANMPIAVTEQSSLPRVA INGFGRIGRALFRLLLEREDLLLVAVNHTAHSSEHLMTAIMHDSTHGKFRSGADLSIC PEDHPDLLKATLNNPKPSALIFQGRIIHLFSERDATKLDWSSTGADYIMESTGKLTTK EKAEVHIKHGKAKKVLISAPSKDTLNCVYGVNHHVYIGEDDVLSNASCTTNCLAPLAL VLQRAFGVETGMMTTIHASTASQKVLDGFSTKDIRQGRSAMGNIIPATTGAAQAVVKV LPELAGKFHGISVRVPVTNVSLVDLTVTLSTPVASKEALIRPFRAAAARRPIQSSTPH PDGPALAGVLGVSDEKLVSSDYLSSTQSSILDVDATVMLNDRTAKIVAWYDNEWGFSS RMCDLVAYMARRAGEE L199_006929 MSTSEAIDTRLTSHKAQLIAEFIAKHRGHVKGRGRPLIVSMQGP QGAGKSTLAAALVKLLASSYKLTTAVASLDDFYLDREGLDALAATHSSNKLLQGRGPP GTHDIELLKRTLEQVRHRQSNSSNSVALPVFDKSLFNGRGDRSKSTIPINPVHLDVFM LEGWSLGFHSLPEDRLRELWKVGKTASKHEWKSILQVNDNLSQLNTETDSYFDCHISI TPLDWDYVYQWRLQQEHHMKRDNGGLGMSDEEVRSFVDRYMPCYELYGKESSVIESLR LVYGSEREVVKVEED L199_006930 MEPSTHERGRFYIGPNGFPRFAGSASGVYLADTVRSDLTSKDHP TPDPGIEDAFIHRNNDEPRSDETALYDIYLLRSKDMLEEDLLRTRLNRYFAIWHPLFP FLDGAYLLQCFNNSLKLAEIQKMVQSNDPDKRDNGLTFDQISAFEGLTPEQSLALTTI FLVIFTIGGLGIDETGSNVDSASKIPTIHSTSQATMLGHLVVGACQNSRIPDLFGIQA LLAIELLFYVRRTYRPAMHLSGVITKLAYEAGLHRCPERYATTFKEATDRDLRKRVFN SLYVLDRLLSADFGIPLMLNDSDIDTCIPGGPEKHTLSEVDLPNPKPPAPPLSESATS PPNPSIIPPDDHHYRGTKRKFPGENADEVISPDNTSRISTQSNPLPSTALSQPILEAA AVQAKTRLLPAYSISIMARMIGQAMEQFNKSVSHRIIDGNEVLHLRSSLDAWWNDIGL DCEADTETPNTPLDPRRQMSTLFTCMYHSQIVNLNRPALSLPPLQIQHDHALQAAIGS VRIICSTLSNSFFKNNDNLYWPGYVDMVFLGSLILVYGARRERARNGPATWLIRDLRR ALSILEHFAKRWPKSDKFSRVVRALIEREDPAHAGNHTTSAGIISSVDENQVIPITEE PTFDFADCFHFNFDTFALEPESIWAYHVSMPPSPVL L199_006931 MSPIAIHPVNETAAFPEGYATQPERKSTYKIAQIGADGIGPEVI EAGVQAVHAVAKKVGTFNVDFTELDWSSDRYKKTGSYVPADYIEVLKKHDAIFFGAVG APDVPDHISLWGLRLAICQPYMYANVRRTKVLPGTSSPLKNLQPGELDWCIIRENSEG EYAGHGGRSHRSLDHEIGTEITIFTRTGIRRIARYAFQVAQSRPRKLLTYVTKSNAMR NGMVLWDEVINEVSKEFPDVTMDHMLVDAMTVRMTLHPNSLDTILATNLHADILSDLA AALAGSIGIAPTANIDPSRTMPSMFEPIHGSAFDITGMGIANPVGTFWSACEMLDWLG EHEASKILMKAVEQTCADGITTKDLGGSANTQEVTDAVIQRIRAL L199_006932 MSKANPSIAPPVQQEFMAETDFKNEFDHVEAADDYAPTQAGEVS PLHQEIEALKRLSEEEYAAAHAKLIRKIDVRLLPVLFILLILNYLDRNALASARVQGL EKDLHLKGDQFNIAVSILFAGYILGQIPSNLILSRVRPSIYLSVWVALWGIVSACTAA ADNYNHLLVIRFFLGVTESPYFPGALFLLSSWYTKKELAFRTSILYTGSLLSGAFSGL ISAGIQKGLNGVAGLSSWRWMFILEGAVTVAAAIGSAFILPDYPATTRWLTSREKAIA VYRLEKDAGVRDEETMTLFQSFKAAAMDYKLYLLAIIIITKTTAGAVTQFIPTVVATF GMSKVNTLLLTAPPYLFAAFLALIISYTSDKKPERCFHLLTPIVFGMVGFIIATTTTK TAPRYFSLFLMIGGMFGSYNVALAWISSTFARPRAKRAAAYATINSLGNVAQIWSPYL YKSTTAPSYHLAFTVNTVMAGVAVLFCLVLRWCLQRENARMDKSEAEEADTERGAIAQ DRIRYVL L199_006933 MGRPRTRKEYADEEIPRNVPPLASAPPRSMFDPEAGSAYFVPPS APPPQANQVADMFTMMGNLLRNTSTGSFSQNTTPVPNSTYGLQRDLPQSFAHSGYPTN NMWSAQGDANFPSYNSGYGSDIVDLSPDTMYTPAHRQPLSTQNLDYFPGVRGYGNQPG TPTQGIQPMSYGDVAIRSAGSSRPLRPAVGADDQNVRYKPYSRAQSTTSSNRGGSKSS GKDQLTIIFTDPSGSKKNPGPIHINGRRKSDWDRLQDEIDMIVGRSRAVFSTEFPHRS ESEAPPSSWGAIEYHYEGKTSASADLSRGLVRPDDVPRMKGVYQEAILLGKRIESGPK RDRRSRDAHSSTVGRSQGLATDTVQAWASGIPTTTSQSTPSISSIPEGATNSQGDAYS QAESETGTPRPKFTVLEGAIRPMSLDEKYDDD L199_006934 MTLSKRPKRSSTPKTIPLDHSHLVVGSTLPATHIKGRISIVWGK NASISQGDIPRVSFSINHKFVIPVGYQPNDYVLPTEKERIERYEIKINIYHSKYNPNS QVDKLKDDVQNLSEEGYDWLIGLSKKQVSIDTTGMEVVKKAKGPDNGDEYIVEIQAIG ERELEYDEGRKLKKLMPDIPLPSQAPEWFTSSAPTVSSPVHPTSQHQSLDTSNKGESS RLKPLTSFPPPDLARVPSRSSTSSHSSIPPRPTKQPLTEEEEDRQGDKRVRGIEKCKE AGGIDEHPAVNKGQVKESQVVKDVQKETKKGPRTAVQLGPPGYQALINTVTSPVNSKG KEKRRPLPIEPHEIANPAAAIIDEQDRSETVEQSATDPEFPIILHHFPPQTSPKNNLP NASADTSRSIHTADNPTPAEHIHSTPFKAMTPFRSPSITPFNPAQAISSPSYPSRRQS IPAVSQSSPMAFTPRAERERLVDARRQAEEQRRGEVQAAQAESSRAAQARLADVQRLE RALFTNGTEYTPLRHLVSGSIRNITGVVVATKAVTKSFDYLMSVILCDPTRHAGDPSA NEELVVSIFRTRQSDLPINVSPGSVMLFRGLKISMFGGKTKAQAFSSSNNTWVYSEHG KEIKYENQSLMNPPLNRMEVDRMVDLFNWYKDLHQFPYGAGTFGSDTPSRRSSLTPGV PRDAITLSQVSPAIFFDAVVKIMYVVRNNLRKPDMELYVSDGTTSTAYQLRNFHNIQI QGLPNEALFILAIHDLPPAHDLPSLDVGSIVKLENVRSKLYKGALELSWSELPTSDQA LQGWRRRRCMLVDEDDERARVIERRLRALKRGETVDSPAVNTHQPILVDDSHNISSTT NQIYQPNENVHSTLDNGTTGTDRPSRIATHLQTIHTDPIEHPLSAIGDILNNPTIPNK YRIIAQIKSIVPRCPSDSIIQAYCTHCASSLKNGWTWCQSCNDTDGEHSEWRYRFLVI LKDEKGDELAGLVADDEAAEFLPPLPPWSTSTNPNDLRKSERRRVELIGQVYNILQGA KMDGVRTKPYIDMSLEVYHIIKPNAEAENGKKEKVVVARMFGMKSVTQ L199_006935 MPMPGLSEEHDTLLLGSSWLGKKDQHGKTHLFKYCTTPDKQSFI LLTTNLETIYFHSPAPEDIPAVPWEVDHPFLLSTQDLIREQFSDEPIEQVEGLVERIK LMVEERWDEVQLLIEVEDGVKVAYMRMEDFAWRFVLNELTSSQSIPFLTRHLLQPLTG IIATDRSIPLPTSLAPSESSSRLISDPEIMRAIRRNTTKPKVQPQTKTRSSSQIPSSD TNTDNEIVTEGSPTPRKPSRRTKATEEPTPIPSSSSSMPPSSPPKIPHNESSSRQPTS SIPPSSSPPPGLPSSERSETTNTKSKSKMIDQSSSPSAGSAMDFRPPTQTQTQTQKTK REREKEEEEIFEKRKKDLQKKMDKGGIGKLGKRRLAR L199_006936 MSSSTPPITTPEPPSQLSSLASTLDASGSDIPSFLNNLLSPYLP PPLPPPNPAQPPDLAPIDKSLNELLTQLSLLSQDTNSAVEQSIHDVSRTVPRLAYDLQ FMRESANGLSSSLGMVQDRFARQIDLSSGVGKHKDDLIPHGNGVGEVNKTNKSLEKLT HLDKLKTRLESARDILREAESWSTLESELLGFIQNQNWLKAGNRLQEASRSMIVFQNT PTEYEDRKRLLVSLQNELENNLSKALKDSLDKAEIEQIEIFHQVFKLIDREEEFRNYY FGSKSVDLLKQWKDVKLVELSPTQTSTRVLGTDGTSTEEGSIKFSDFLPKFYSSLLTI LNTEVEQIPLIFSPHTAASTLATFVQTTFDALDPSPSARLSAISEFHGPEALPELIWS YKSTEELGVAIQSLIDRMTFNTQGGMLSGGDQMSTSPSNTITDRPPSAGIPAVSPSAS TMTRSSSKRMSISRRFSRAPTISGPQPVDNSWENTLYEPFLDLQSTYATLERRYLEHI IRTDPSLSSFGASSSRSSSKDIAKTLIDRVNLLFTRSEESITRCKEFTHGYGSLGLLS ALEAGLSTFLSDQQKSSGGNQKLRNGGGSNDDLDFEFEGLDSYSTEDWSSFQLGLHIL ESCKEVSTKLNQFEDKLEEYLVHDVGTVLKATQGEGYDVRSTTYGSISLLQQSTLNSI DLHTLINTRPIPKPILPITFRSMNDLIKSSQINLQSIILSPLINQLETYPHLQVWTKP DKPTRKGELHVPTFSLSPTDVISRVSEGLLDLLRVFEIYSKEVGLRFGLDTLPFVEYT VYHGIDLDGQKGKEDIPSEIILSTWISSLSLNLLSHLTSTTLPSIRGLTAGGVNQLKT DLNYLSNAVGALDVVWDDLGKWEKAVELDENGWRKEMRELRGTEGLGEEGERERDIVR SVGRMRGWV L199_006937 MATTRPPSRPRISSRTRLFSSGSDADTSHIMSISKYSWKTLNPF SVEWDDTQRKRLGVFVMCVIVGLASGSNYVYSAYAPQLATKLVVSSTVGNLIGLAGNL GVYLTGPVWGKIVDSRGQRIPLLVGGTCCLIGYTTVHAFFTGTISLRSSSSSEISQFR LFILELAMFLTGCGGSSGLTSAVNATAKSFPDSTRASASGTVLAGFGLSAFLFSTLGH IIYKGDAGGLLVLLAIGTGIPMLIGGIVIRAVPPQDELHEYHAVNDNEDVINGTRPKV VVHHPAEEFEYRSDDEGDGVMSRTSSLELTRSRSPISRGRHPHGHNQVHHAHFDDHLP HTSANSTTKPPHKRSSSLVSLPPTLLSHTPMELFRSVDFWILFMCLALLCGTGLMYIN NAGTVALALGRDGQRVYDKERVAGYQAKQVGLVSLWNCGGRIIGGVVSDFGKNKFGIR RVWFLPIVAILFTISQVSALETTRVQSLWMVSTLLGLAYGALFNVMPMLVLEWFGMKH FSQNWGWTAVAPVIGGNAFNLLFGKVYDSHTIGRIGTEDGSDSESPSNYVASMFVRSL SKRDGGAIPDDGKHDCIVGEECYDSAFKISTIGTLIALGLSVWAGFRRERIARERRKA V L199_006938 MTLASPTSSSTSPATSSPPITPTMPSSSSSYSTTLPLPRPRSSP SLHTHNVNKMNRDLSFTPVSRTPQASQPPIMVALAGWPEPIYVSATNDRRGSTGGVGN MGFEPLSSGQVYDGVAVGPPISFINDLHHNIPNPNKKIKSRPTTPPIEDQPQIKGSPS SSTPTSSIPSTMSETSTSTEVTGKSDKPSTADVIRDKLKARQPPRIKNKTNPIPNIVP TPISAPNLSIFAAVCPHLTDPSLGPCPFKSHPHDVRNMFPPTSHLTSSPTSSSSSSQL PQHVDGTSSLSPQSEELSSPELDKNPQGLTNYFPVPGAGAPKPRSRAVARLNPKSPGS GSGSSSNGKRKGSTFNDPRYGAILHKGRALPVVPSWDTTPVNNGFPINNNTVKGKGKE QQDDEDRMDVDLDGGNDIDNTPMVKMEVE L199_006939 MARPIQDAIVLMGDSITSRQDVPLSLNALLSECYRRTFDILNRG LGAYNTRFYLPLLDQFLLRDTDSPSNSVIRPQQIRLVTIWFGANDAVLPSFLQHVPLD EYTTNLNKILQNLTSRDSPYAVASQDGPLNIVLITPPPIYPDMMGDADFAGQRELENT RKYSEAVLDLGKKWKAKESDGGIWRIKTVDMFDGILDLAGGKGEELIPYFTDGLHLST LGYGVLWTKLSQILESDFKGRGISPSEIEFTVPDWSMLDHSDPNSAVEKMKGPYKRV L199_006940 MDTTIQPTREPNYAPYQKELFLLSQKGSHPEFSTRPDKLEELAK ETLSKGGWLYASCNAGIGWTDRANREAFYRWRIVPRMLVDTTARDTTTELFGHKINAP IAFAPIGINKIYHPLGELNAAKVASELNIPYCLSTAGSQPIEDVAKINGNGPRFFQLY CPHDEDLEDSLLQRAWDSGFDVCIMTLDTWQLAWRHEDIANSNYAFYRGIGAEIGLTD PVFQKRIKEAGLNPENQEDMQKIGEKWIDNVWHGKAHTWEKIPKLIQKWKKISNGRPF LLKGIQHPDDARKAVVVGCDGIVVSNHAGRQVDGAVGSLEVLPEIVEAVGDKCTILFD SGIRTAADVFKALALGAKAVLVGRLYVFGMGIAGEAGVRHVMKSLLAEFDILMNCAGV NRIQDINKSHLRYVEGIGGLSGTL L199_006941 MFPQTITYLLIPLVLLMVFPIISFLYLTLIPPLLVILAFLLVSY AIFYPVYYLYTHPRLIPDMLFNIKRYIINRPRLILSKIEFSLHLRTRDVYPGMYDPGP PFPSLWPEEDTDRYSRLKRIVRLVGVAIKQWICDRLDIPYGYYKEEIIERRKKEKEER GSRGWFSWKKDECGLVDWDNKGLYGYGHIYKSLMNDPQANYVYSPMSISPPPPPYTLQ VRCSCSTTCELHPVRYVLDQESILPKGTIVIPPPMVQPYDGWNQNQQSRSISWNGDMK GIENYPGYTVF L199_006942 MVSSLPKSRAKQSTSKNGNSGSTSNPLNHITKLESTLLGDEYDP NPLLPLIALSRHDDPQIVHKAVWALHRVFIKLIGDGRVGGLNGDLTVKQSKSEITEED NDNDLNEEREVKGWVRERLLEYIEVMGGLMRDAEPALRSSSIPLLFSLLPPLSTSIPS STPLIHIPFFRLVLSLLLNPKASLRGAKPKSKGEGWKVVEANQVEEDEGVLPPDVVKI VIDDFWAKYDDLRWAFFKESSNYVQSQPTINQSNLLSQLLPLVNLPKLPEDINAFYIP TFSELPSGISNTKKVKKVNKKGKTRYKGEIDELPEWMKEYESEASDIESDDDDQSAKG GKGKRKRQRTSTMSIHQSIYSILSHTTYYTNLWENILSMIPLEDHWIRKILIGLHGEY GIMGHFKPERRLRIADWLSTLVDRGGPNAMLAMNGLFVLMTQYNFEYPHFYDRLYGLL DRNVMHVKYRARFFRLLDTFLASSLLSSALIASFIKRLSRLSLTAPPAGIILILPFVY NLFKRHPGTMVLIQRPDDGVLRISSTLGKEGYVDPYDPEEMQVLKTNAINSSLWEIAA LQKHYLHSISTIAKVFGEVFTKPEFGLEDFLDHGYGTLFETESNRKIKNPPALSMGIE TAMSDSLPDLFPSHKGSEGKTDGDVDVAEKEGDIVSQLWAF L199_006943 MPPANGVSTPGSRPGSTKPGTPISSTSNSSLILRKQLLDLQKNP VDGFSAGLVDDDNILEWQIVIMGPADTLYEGAILKARLIFPPEFPLLPPKMIFDSEMW HPNVYDKGDKKGEVCVSILHQPGEDEWGYEDAGERWLPVHTVESVLISVISLLSQDVP DLSSPANVDAAKEVREDFASYKKKVKRLARRSAEEAYD L199_006944 MSSPTSPTSPRYGRHPSTPMSNLDRTLSGDSVTSSTAMRGKHPD YFLAHRPRWEALDQSCESQSESKSKSRSRSGGYRLTIHSKPIFKVGTPFPDSQIMREM SDQADAIYEKVNQSSILIIPKYYVDRDPQEIARLDDILRDVVRQVNRSDESRYKSVVT KVGDYSRIHPQTDKSTNLLTEIKFPNPTEEWYEYGGDQTGKTEKQKEIKRKADFITDY TRYFAASNLVPLTSSIPSHFLQQEHGQDNSESDDQVYKRKVEFMQYNRVPSSTSGGSH SRPSLGRRFSSMGSAVVRRLSSSSSAQGK L199_006945 MYYYGYTGYSTDYDFQPTADDEMNDRPGDDWKVYTVGHFVDHRI VTQVNGRIQTEIFVVDKIMHHDLSELGEDDFQWASDESDLILNNTGISRITVMDPRQV PIIDLIKTQMTNSLETNCQNINGDPNVKFNHATHPSGDYQGFLNAAPQTGQYGSLIDC SKWTITERYENETNQTIKNRYDGNYLLRANQRYRASFRR L199_006946 MSSETVSHQNSQSSSSPSPSTQESSKLGLIFHLITHDSTRGEVY IVDKWFDFDRYEPQTVYQNISKMNEESEGLIQTAGLERIRNFPNLTEDQLDDEEVDTA DKLSSACQTTNSDPSKVFYHKVFHRDLNGFPLSRTFLRATNPAIDHLGNVLRPEWFGN NTDLVNTYNDQTNVEIKRRFDPNFNPTSVSDTKDE L199_006947 MSAEEDQLDQTTQDREDAQSLFQYPTVGHFVDHVTVTQVNGEVK TEVFIVDKLITSDISQFKEAFFQLINRESEDIVRDARLNRITMIDPSEMPFLDDLKQE MTESLDEACQERNQGSNTNLFHKTYFTDEFDDFHLAARPTTDRYGSLIDCSRWEYAEP YEENTNTTIKRRYNPNYMLRANQRYRDSLRR L199_006948 MGESFEEVVPEGSGPGDPIARKLSDEIVPQDREQVQCSLEVKSS TANADAPPSAGPSDASANGSETARPSYIGKVVHLLTNGPLCSSSDSGSRDANTIYFVD KWFDVNLCSPDTVKTRIPVMNDETSRLYGLAGLNTITRIRKDATQDEVDDLQSRMQEK LLTACHTLNDDPSTSFWHGIWSPHSSRTFSRAIQPETDRYGSMIEPQDYHNPQLMTSA SNSTKEQAPSTPETTHDQGRTDEEATAPGPVPASSAEEKGRSTARRVLTLFDST L199_006949 MNASAEAGPSRPSPPRIPPPAFDAASTDIVMEDVSKPISTPVPG GEPDDAVALLLNISSAMEVPPKPESLEVVMEDQSDLVEVEKPAPPDVNSYPYTPPEDK DTNDRPTAPPPSASISNLLTSSNDNSSPSASAHPPTPTRTSPDPPVKTKGKRKRNTTS GSSRRTSSSDHPAHWLGEENTIIRCICGFTEDDGFTIQCENCGAWEHGICFGYMDAAS APEQYFCELCEPRPYDAAGARQLQMLVQNQQRQDRIAAPPQGEVSQVEKEKEKPRSKG GKTKRARTESVLDGELDKDKESGKEHSPGVMGPPASKPKRRQPGPKPRAKQNTLESSS TPGPSSSSFKEQQPILEEPEDDYFRIEPWALEYTPIKENIVRGVLARQIMRNVYKEWV DAEEEMVAAKSRAVHNPSGLPSPTETGILRLSPDNLFPSPDFHILAPPVPPIFLSGPD LESLASSTSIQIVEDAPSFLPLTYAENISKHGIYTRPTIYSVYAEEPINLGSFIGEYK GEIIDCESYRKDPINQYSSLGLPKPHVRSIGPPINLMIDARGYGNDFRFVRSGCHPNV VLRPLLWRSTESESLKLKFGLFASKDIGKKDELVLGWEWDDQHVVHSLRSIVHAAMLN DGSLASPGFSASPKIISSLSHKIDSVLTHIFGTFTACACVVPGTCALAQMGQLVEPKM MQDGLSHANERKKFRVDLGELVGAVRGWRRRELENAEMRKWRMNEGQAFDLGLTRMSS RTSEAQSQSLRSEEQSSDRVDESMEQDIEGEKIAEEEDDHDGDVSVQGPETAQNVQED TVMEEESTLIEKPQPEPEAAPEVIRESVSVPAPALLASTIISPVKSPLTETQINIQPV HPISAPAPQKQMSSPAPPRTPKVERQDSSSSLSSAISSIKPSLADDMDSGSESDSTAA TVPKTQSSDSDADSDIMTEDEEEMGSRLPVVRPNHESEEEEIDFRSPPAKPKSAGNAR KVRRVLSPIIEASKHLNGHVDVDHDMSDEEREVHINKPKKIKLKIPDSSPKLTLSDTK KTSISPGKHVGKSKRGRPKRIVSSSASENEDDEQETTVRGDAPVKKRKKSKDIRSSPK SAKSVKLEKLENVSTSSGPIQKGEEPRAVVPDQVIAPLSSAMEIDEAAIPDLEIVPPV ESQPVPENVVEEEARVPTPQPKEPTPPPPEPPKKVSLSDYLKSHKFRKESQTPVSEFP PPAPAPPKVDDVVTPEVGKNGGATFDDIPGFGNIPSTTTTTTVSPVKAEPPETPTMGG KLNLSEYLPSNKPGTPLDTPRASSYVPRNVSGGSTDYFPSQPQPATPSTAPTAAATTT PSFVPRVNSSYVPRQASLTMENANGPLTPGGLTGISTNSYIPRPNTSEESVNLGSSNS PNNQTVEMPPPLSMREIPPHTPTSNSKLPPTGPKVPPTGPRGLGTPGGLGLGNSPVSG GFRGGAPGGSGERGGGRGYPRGLWRGRGTGFRGGWRGN L199_006950 MSGNKRGAAGGRDLSEFNYGNMSSLVVNQDRSVLRSDEPTGVAE SLVGRINPKEMGSRVVREAPKDLEKKKAKSKLTSAEEVEKSIRRAQEKSTARFGAADV LESVAQMEGLRYKPRTAETREVYELLLGLTHQILGDQTQEVVRSAADTVLENLKDEDL KEFDKKKEVESVLGQLSENTWSQLVNLSKKVTDYGEEEEGGQQTDDRQQAVDQEGVAV LFEDDDEDDEDEGFEVKGRDSEDEDEDDEEDEEEGSDDEEGGEPMDEDDALVLGKETK AAKSKSDKVSPHEVDAFWLQRLISTSYPDPVQSSDFTSKALEYLGSEMELRDLENSLA EMFGYENFDLVATLTKNREVIVWCTKLARSSDDEKHDVEVAMREKGVGYILRELRGGK KAETATGVLEQVPSVPTKATLAPGSVAQPRRVIDIDSLIFTEGAHLMSRKKVKLPEGS FKRQMKGYEEIHVPEPKKREIQEGELVSIDKMPHWTHPVWASVNTTRLNTIQSKVFPI AFGTNEPMLICAPTGAGKTNCAALTMLRCIEQFRDPETGYIDRDSFKIIYVSPMKALV QEQVSAFNKRFSALDIRVAELTGDSQLTKQQISETQIIVTTPEKWDVITRKSTDTSYT NLVRLIIVDEIHLLHDDRGPVLESILSRTIRKMDQTHDDVRVVGLSATLPNYKDVAAF LRVDPKKGLFFFDAAYRPVGLKQQFIGVTEKKAIKRFQVMNEVCYEKCLNYAGKSQTL VFVHSRKETAKTAKFLKEAAMEKETLTQFINPEGSSREVLLAEAAQCKNPDLKELLPF GFGIHHAGMSREDRLVVEELFAAGHIQVLCCTATLAWGVNLPAHTVIIKGTQIYNPEK GKWCELSPQDVLQMLGRAGRPQFDTFGEGIIITNHGELQYYTSLMNQQLPIESQFVAK MVDNLNAEIVLGTVRNRDEGVQWLGYTYLYVRMLGSPALYNVGADYMEGDAALVQKRA DLIHSAAVLLEKGGLIKYDRTTGVFHSTDLGRIASHYYVAYTSMSVYNKHLKPNLTAI DLFRVFALSNEFKLIPVRQEEKLELAKLIERVPIPVKEGVDEPVAKINVLLQAYISQL KLGGFDIVTDMVFIQQSAGRIIRAMFEICLKKGWSAPMRAALDLCKMVERRMWKSMSP LRQFPRIRQEIITKAERKEFPWHRYFDLDAAELGELLGLPKSGQLIESLVHKFPRLDL QAHVLPLTRSLLKINVTITPDFVWDHDIHGSTQAFWIIVEDVDGERILFHDQFVLRER FAQDEHYVTITVPISEPVPPNYYLSVISDRWLQSETRLPISFQHLIRPEPFPAHTALL DLQPLPVSALHNKAFESLYSFDHFNKIQTQVFQALFTTDDNVFIGAPTGSGKTICAEF ALLRLWTKRDPPRAVCIEPYQEMVDMRVAEWSEKFSALEKEVVALTGESTADLALLRK ADIVVCTPSQWDLLSRRWKTRKDVQNIGLLIADELQLIGGDVGSTYEVIVSRTRYVSQ QTGIPTRIVACSVSLSNARDLGDWIGASSQTIFNFSPSARPLPLEVHIQSFNVPHFPS LMLAMAKPAYLAMVEHSKNKPTICFVSSRKQCKLTANDIMTYCLADEDETRFLNVEQS DLEPHLERLDDKDLAETLKYGIGYYHEALSKIDKRIVTALFEEGAIKVLVASKDTAWS LPVTSYMVIVMGVQSFDGQEHRYVDYAIADVLQMLGRACRPSIDSSSKCILMMQQTRK DFFKKFLEEALPVESSLPSYLHDHFNAEIVAKTIENKQDAVDWCTWTWFYRRLMQNPG FYNLQGTTPTHVADYLSELVETTLNDLVASDCIIIQDEMDTLPNNLGMIASFYYISYV TVETFSASIKETTKLKGLLEIVSSAHEFESIPIRHHEDVLLQRIYDRVPVKVAKADYN SPYFKTYLLLQAHFSRMTLPPDLVIDQATILGKVTGLLSACVDVMSSKSYLNCLGAMD LSQMCVQAIWDRDSPLKQVPYFDQDVLTRFKQKGLDSVYDIMELEDDERNDLLRMNDR QLARVAKFVNSYPNIEVSYSVEDSDSLTSSDSIVLNVTLDREADEDEDESGQIADAPL FPHKKMVSWWIVLGDDKTKQLYAIKKVTVKSKLNTKLEFTLGQGEWDLKLYLICDSYS GADQDFDLETLKVAEGEDSSEEEDSDEEMDQD L199_006951 MFACSFQIISLVATLALDITSTSASPILEGRDDVDVDNSIQSQV WLPAYTRIVNRPSLPEGGWGPFYVQETFTRSQLENTLQDDGSNTTTKTDSDGWNRRKV FWYIYRNTGTMQSKPLSQGGQPINPLGNEDILYNMTCYDSVHKRAANGTLFDQQLDLS ASIIVRPHGYTSWEDLKGKAAFYCPEGECIHEDCKGLPVPTWNQSIYDQQNGTNNAIE LLSSRIS L199_006952 MFVEELSSRYTDPTLTVSFWCSEEGHPQPDKSSAEGFSVHYPSY QSIVTVGRPREVTRRTHETLIQLKKIASDFQKRIDDTSQGPNRLKQPWYIPISKLNID STVEEYKNATSEGMREFYMFLKQTHDEVTDKYGEETFLGLLDERGHHEFWRRGKHRLP KFERSAHYQSLSQFCQAVHELKAKTQKQVEEEEGRTGAINHERQPSDQDRSCLSRFLN RIKLNSWSYFRSEEDEILQGPPSLVIISYVTDGSTPGPKARKGQRTRLAKGAKGSITV CRTDPKSFPADNDTNTNSGCVQDNDKDQLDLFMNELKTTLNEWKTYGSEKIAIDSRYG SSYFLDMNEQWEGRLNLISRGAIVKARRSFPESQKDISIVSMSGTELRSIVPDTARRL GVINWAEYFNELTNNNIPTDQLAPLPHARESQVPKMDEDTFECLRSLSKEPSDKTGSR TRSFTVDYKQRTIPTKVADGDD L199_006953 MSTAQIPTTGNSAQPLPVKHLLQNYTTAHPLRSPSPLPPSSRVD PSLTQRLPPATSSSPLLWTLPTWWLFVRFILTKGLHVYFNLLSHFLFGPKRKSWGYRM TFITSFMRNIADHSSLADIVLIRRFISLQYLVPLPGDAVVTPITFKVPLRKGDEIARG FLREFDLKEDGQRELSGEWVVGTDVWKTLKAQRRQRNRRKNSSNTRRNGRSSHLSNQI MINEKLSSTSSSISSPTPTIRPSSTTTATTSPPISPIKTNRSDSTSSYNTPTTDSDSD KVAERVIYYVHGGAYYVGNAATHRLITIGVSKSCNARVFAITYRLAPEHVFPLPLHDV LHGYLRLLAPPLSIPPENIIIAGDSAGGGLSLALCMYLRDEGYKLPAGLVLMSPWVDL TMSCGSWDENAATDVVPRPEADDHLNPVGCYLGPKGISTYLTHPYASPLFGDFQGLPP MLIQSGDSEVLRDEITLLAHKATLAGVNVTHELYEDMVHVFQMFSFLPATTAAISNVG KWVCQTLPAIEEQEGRHESKLGKEVSDEMDSSPRVVAKGGEELDVGLPPNQTEVLQSE LDAVEDYNRLSRVKTPKQSALRLDVGEFSSGETNGPTVDIDSLPSRQGEQGESSRESS DSITPTPNNRTPLPSMDDPSSSSSALELSGIPFPTFSAPAPHTLPRLRRSITNVPMPT PSSIPLTTPTTSDENRRRRRRGITYSSSLHVSPAHSTGIPSNPTSPTPSIRRKLKSTM GSMSMSNGSNGGTPSTRARSKSHSDIFQLVEGYVEQGAANTTTVIDPEGEVISMGVLG EDEDPF L199_006954 MIPLILYASETGNAQDVAERVSRSFRAAGRKITVQSMDTFPISS LIHVPLLILITSTHGRGDPPPAMTSLWKALLRSNLPEDILEDVHFTLFGLGDSSYERF CYAGKILARRMEGLGANKLAEYGWGDERSPNGIEDALIPWLKQTLDTFLSYLPVSPDY SPISSTDLPLPIYSLTSIASSSNSKRKQKEDGLDIPLEGLSISSLPNGHTASEAPTRV ADVLHHESNGVIKPDDWVWATLRKNKRVTKEDWWQDVREIELEFEDEDVEPYLPGSIC SLQPQSDQADVNTFLEIMDLESQADIPMQVEALLEEQPLPQHLPPSDKPTTLRSLLTN HLDLRCSPRKSFFEWLRRLSPDEREQERLDEFIDDPDEIHTYATRPSRTIVETLADFR NTKIPLSHILEILPPLRRRQFSIASSWDAHPGKVQLLVALVEYKTNLKVPRRGLCSQW LDQLTVGIKLPIHISLPTLFLPPNPDTPVILVGPGTGVAPMRAFVETRVKQGAIENTA LYFGCRSEYADFYFSDEWKKYGEMGVNIQIAASRDQEEKVYVQHLIKENKEEVQEWLV DKSGHVYISGSSNAMPREVREALAWCISKDGAGDFTEEEAKEYVERMFEEKRGGEESW L199_006955 MPTPELFKLPYDRVSPKDKQSFAYTTLVKRWPVVLTNVVSAVSN VNHEISMQSDISKEAEEKLEEGKRIISQISQMKYDMGHNAALTPIENDGDINRECYND ELKTYPDHDRRWSTMNWLFAECYVYRRLRSYFASTVHWRDYDPFFEQKAETYKSSSTA IVHLAKAMNQAVEEKDELIKDYEKPGSALEIAFMEMIQADLWGNATDLSLLIDLKYED LQKLQAVGAAAQEEQAKFILRNDLSKAWDHLKTLKGGRVDIVLDNAGFELYTDLILAD FLVSCTPFVDQVIFHPKAIPWFVSDVLPYDFTWAIDSLLDTSFFASHASTPLSPDDLA SLSGLAARWKRHLNSGRFKLSVPENTKLGKATPLGRFWTTQYSFQDLPEIAPGTLQEL RKSDLVIFKGDLNYRKLVGDAWWPTTTPFEVALGPLAGKITLLSLRTNKADTIVGLEE GIEERLNKEAPDWRVSGKYAVVSFSKRR L199_006956 MPQFPFLRSNHDKDPPPPDTSNSQTLTSSPSITSSDKPQFTHPF TSSSTNASTSTVNASSSSPAFLPAVAESPTSKYHPSRLLRRKASLSNKSNETPTFSDS DPLPSNNVSSNTSEPPTSPRRLPSSPREFPASPRGFLFDNNNNNNNNNNNNHREPNEK DREREKFATYPTTRRGSDTSRYIKYGLPSPTTAKRSAFVYNGKLSSEGEKDQAVVIIP SGNINRDRDEDPISILNNLAALGIRSDNGSLSSSLPRVLDGGLGSTSGIGIFGKIMNN GNDQPQSQSQYSSPYDDRRPPTPPDSAKTPFYPTALSPPRRPMPKSPRALHNQHQHQH QHQRTSTPTQSHRPETPPSVGMMQALSAVGGSSHDSHDLSPSPSMNRPRRGSEKPRVT AEWLARKPSFSTPSSSAPANKAIRHRPSLSVDQPPSSSPSNFPTLRNQASSDSPIIPI PVPKRQGSLDDSPKILELATDNENESRTGRPSFSSDTEGLSSDGEIGLNPRRRSLLTG GNHTPSRSGNRKSSLVPGTGTSGGGFEVIVNCIDNRPREGDDEDGDGEIKWEVTIKKQ STTKSDNIVGSSPVQLSTNGVNAQAPLSASSINLSLSLDQPTGKLVFISFPMDIHATP TRKRRPSTANANARINHIVSSPRPSTPPNQLASRVDLDDTLAQSQNQTPTRTPSSRRK PPPPWPSPRTDRPIPSPPASPRDVFTPKKTPSRVGVNGDLLNKITRGDVSE L199_006957 MNASTSTHPAGGFPRIIDNGCLELTSVIGTGAYGVVYLAIDYKY SQPLWRAVKCLRRHGLDSRQKHFQRREIALHRLASAHPSIIAMDRMIEEGDNVYVVME FGEEGDLFAMITDKQRYVGDDELIRDVFLQLLDGVAWLHSLGISHRDIKPENIVCSQD GTRVRICDFGLATSEQESSEFGCGSTFYIAPECLGDWFPDTSSYPTRPGDVWSLGVIL VNLVCGRNPWRIASPSDESFNSFLNDPNFLRRILPISSQCLFVLQQIFTINPADRISL AALRKLVLEVDTFTMGEEELRLAHYAAQDSTPTPQYQTVESLMPLQEVPEIEGEGEGE TWQTEDTVFVFDELETPSLRADSGPLSSPVHRSRSSSSNGGSLPPTPQLLAEGGLTLP QHQYQYQYQNQHPFFEVLKGKTPSSISELRVNPISPELSTVNSPNPFFR L199_006958 MLPPPPASRKSSYIFSHPHQSTSGFGPTSSPIKSTHARLPSFRT AAENVLGLDHEDDSLNSEEEEEGADNDGRERGLEETLEKIGFGAYHWKLLALCGFGWM SDNSALQCIAVILPRVQVHFDLSSKVVGLLSASTMAGMMIGAVAWGVISDLLGRSLPF NATLFLTAVFGIAASFSPNFGVLCIWMFLLGSAVGGSMPTDGTLFLENLPHSKQYLLT LLSVFFSLGAVLSSVVSLVFLPGHSCKTYEGCDIPNGANEGWRRVMFVLGLFNLACAF ARWFLFRLQESPRYLISNGRESEAIIALQAIATFNSNSMDIQRADVQTANEQICMNGE RTEDDKSIEDQEIEGLPRWNNQLEFDNEHGKYGGLGMGKASGSGSGLNRKEPLRMGSD FYDTSASTPGLIENENRFENSFSNANISEEREILFDSNGNNDPQEGEEKKYKNTNDDD NDEFDKWHEKPLVWWRSWLKQMNKLFVPQWRKTVILMWIIWGAISFGYTMFNVWLPSV LESKATGEGDEAIKEALNDYVLYSLAGCPGSIIGAWMIQTWLGRRKSLAICTLATGLS TFAFINVEAKWAVVVSSMIISAAATAMYAVLYGMTPETFGTSIRGTACGTSAALSRFT GVMAPVSAGFLLTISPSLPVFVSAAIFVGTAGCALALPFERLGGGGKGGALMH L199_006959 MSSPSPPPSTPIPKCPNDLFNFDDQCVLVEDADEEIMELYMSLA SASPETTKLAIDDNSGGLGFLNSNESILEITIDLTPLPPFDVPVNGSSVHKRERGQKK KPPQNRSVDNVVVKVQQDLGMLKSQKGDTGSVLWRSSLHLSTQLLRQSTYPTSYPRPI FNSDILGKSSILELGSGTGLLAVLLSRLCGRYTSSDRLENLKLVKKNCEINGIGIEDG SVLVSDKEKEKDSKLSRAKSNENKSGHGHSKMTTIPEIRPKVNLEEIDWIAIFQERKS HPERWPSSPTQEKAEYDLILAVDCIYNEYLVQPLIDTLAKYCKKGKAGGNVVWVVVEL RSSDVLTLFLEKWLTDPSGPWTIVRLSEKMMGHWENRKARWVGWVGWR L199_006960 MSQLLDPILHPLDDDDDHDAPTTTTRRITDTDPEETDRMSSSSA SAAAITSASAVTSLTPSTSAVSSTVRSQNPATPQSSTAKSTILSSAAAASSTSTSSST TSTRPTLTSTSTSSSTSSARVTSTSSLISSSSTKATLSSGSASKSVSSATSSATKAAS ENGKSGIGGSGLSLGALVGIIIGGIVALVLIGIVATRTIRQKQRRDRAKRRSSMFEWP STTMDDEPYEKPRYEPPSQSYAMSDSYGNSNNANGNGGSVSYVTNETSYAPLPTQQQV PQNYMERNNPQYSYNQQPSYPPQQPQYQENIVPPPMAAPAHASMQSNSTGGGLRDGSM VRVNVGFVRSLEDELAITPSQQLYLHQMYDDGWCLCEDSNQFKGVVPISCLQPLSSGG GGVVNGGLAPDMARQGSGGSGGSAERLQRRSSLYREH L199_006961 MRVYSINDPSTTDPFIVTTYVCSSGPLPYEDRTAEKVPISVPCF RSDTFLMVPCSHEQYYDFDDLWKLVLFENYPASSKNPEFQYDYSCRPTFPRIDPEAIA DRFGQDKSKEFQDVCRYARSIEKELIESVEGKGRLDRPWIKSMDQITEKSTPDQFIRD TQKSLEEFTKFVNDTAKESFTVNGKEYRHFVWSQEELLGRYAWSSTKGQTYDSFDHGM SWLKELNRINPTEDLVIDMNALEITDNDDGERGGNERPTFTVVTIPYLEAGIHPQFDN SACKGLVGSKGKLLVKYIHSGSGGSIEDWSAMERFKSRFEKSLRETEPEQDEQVLSEI GPEEFIRRSKEWEQSIEQYVKDIFNQNRSFIPETVWNMSITGSDLDKYMPLTANRLGV VDWAYYRDNVNTFASWYENEYNRASPDQAQNISTSVISKEEEDDGSDYEFSLDEPTWN ALVTLNKFSRDESIFIRDLPQILAVSNHLFPSTASTESSEEAKSPQVSVDK L199_006962 MSIEEPVATYLMRGTHPKGLTDHTQYNDEVSKILATSLEQSMDD HSCGGVLDGIWDKSTVIEGCREGVGRLYGQYPYNRSLFLEDKASLNHVRRFATITRDP SCVNGLIVISKEDFGLLYRGWSEDEPMPWKSFKPDFMRMYVPADGTNIYDATGYPIDG IESEDVRRSTLTQIYSDVWEKGTSDGELPSSKVIKSTVEAHKRRRYGLVCVNNETLSE LDVLEDPV L199_006963 MATTSTSKTPLVPRSKKHQPSWYRRNIARPLSKLNPSKLFHPGK QSLSSRSIYINEELPSEFYDKKGKLLKNKKYPTNQNVTSKYTIITFLPRNLFEQFRRV ANIFFAAINILQFFPKFSTISPGLVILPLIVVLAITAIKDGYEDIKRHQADHKVNHSI VHVLGGENYENMNPMKAKEKTFVPAIPLPKLKSRKAKKAALLEEQERQNGQANEAPPG AEPRGEDHGLSRMRSQVSNWQDDPEAGDAPNEIGWHRTIWEDVRVGDIVKIYDGEQFP ADILICSTSEEEDVAYIETKNLDGETNLKSRNGVPGLSHLDSAQACTQAHLRIDLDAP EVNMFRLNGAAVNLEEVDEEGQHPIHPITLETTLLRGCVLKNTAWVIGIVIFTGADTK IIQNAGRTPSKRSKVERQMNPQVLLNLFILALIAMVCAIVDHFNEVRWNNEQAYWMLY ADTPGDNPNINGLVTFANAFITFQNIVPISLYISIEAVRTIQAAYIYWDRNIKYIKNG VVTRTTARSWNLSDDLGQIQYVFSDKTGTLTQNAMIFRQCSIGGRIYTGDGKPPSHPT LTHIHSDPHQVQKASSGSSDSEDTAQNGDEKGDDIKVALPKEVLAPFHDDELDKDLAA HDTEQSRILHGFFAVLGLCHTVLAAEPEPGVIEYKAQSPDEAALVQSAADVGFVFRGR DHNILKMSTPFSDQPDEYELLHVLEFNSARKRMSVILRKLDEDGRIFLLTKGADNIIF ERLSKDNTQRELKQKTDQDLQYFASEGLRTLCLAYRVLGDQEYENWARDYHNATVALQ DRETQVEAVSSRIEQNLILLGATAIEDKLQDGVPETITDLKRAGIKVWVATGDKLETA VAIGYTTNLLTQDTNLIVVREGRHSIQDQIRDALEGFFGGEQDVTRTLSRVSSRSRPS HEAPNLARVNTGVHSLVGRDNGTRPGGFSLVIDGHALAHCFEDDETESLLLALSTQCN TVICCRVSPLQKAQIVHLIKDNLGVMCLAIGDGANDVSMIQAADVGVGISGEEGLQAV NSSDYAIAQFRYLKRLLFVHGHWSYYRNSSMILNFFYKNIIGIGVLFWYMIFCGWSTT YVFAYVYLLFWNVFWTIMPVLAIGLFDKDIDDESLMALPELYRKGREGRYFGIKIFLY YLFEGFYQTAVIYFFIHYTYVSTTMRGDGYDVYIYEMSTTMVIGAVMVANFFTGLNID SWTGWTYFGILFGPVLIWLFTAIYSIIPPSSFYTGVYGNDVFLFRSAAFWFGWPFVFV IALLPRYIIRYLDQNAFGDDIAKMRLVRKYNPDVNPETHPLLGGKLGEKDENENDDVE GAQPQGEDAIRLERMRSTSNQPQSPFGNSGNTDDVENAQNSGRPSYERNPRSSFQSGR FGMHSQGRGSAVDMSTGLSQEPSRGYGFTMEEGGVAIQRMQSRLSQHSQHSNRYRFIP RMGGQSKEPFQTAPIQQKGGMAKIRERAGSILSRKRAGTDATHHSGGAGGVAESPTKS GGFLSPGGSGGLKKKQSTRQWESQGPDRDEEEGEDPELGRDLGSGQNMAPPEIPRV L199_006964 MILLHRVYTLFLFLSTLFTTIFALQADLAGIVDWHRPLIGEYIL EPTPPIFVEHKDTSRVVGLTKKNVLAVLDVENGDIVWRHHFEDYDPVISFHVHNDKIL LLSGPGGSTARLFSLSTGSLSWEKSVIPYEEQIRGGGILTTPYHLGTDVSFVPSHEGE SGDESVVILSDGKRITRLALKDGKQLWATEVPGAGSTILFKQLVSSGSSIHVLGIQNG ISAQMLLTTTLDLRTSIPKADLGQIPSIVKIPEQALISSTGDGETKVVWTEHGRIRIV AIKEDGSVGKEIKDLLPGKGKVYNEIIEVGTRTRGIILGRRSDGGVDVINVIKGEKVG EFELSTKSDERSDSVYSGIITAKGVILNRVYWSFNMAVGVAQTINIPNVESTDIITSG FTFSYDTASHGTFLHAAVSPTLSDKQLPVLILTTSSGAIQRMELDNPGWVREESLADI KAARFVDLGEPETEEVREVLAEETFVGRLSRHLAELKDLPGYVIRFAKRFTAASYTSA LQVTPLNTTHLHRDQFGFQKLLIAATAKGKVFALDSSTGNVIWSRNLGLTSEKGSEIA VEDIWNVRDGEGGREPMLAILATKTVGETISTVAYHLNAYTGLISGEVDPINHLPLGK TLFEGKALNAFLLPFENCGSKATVLAVIDPSNSLHIFPSCKKVLGAIEGISDTLFYTT QSRSIDRTILRGFIPSTATQGGALNGEVIWQHPFAEGEVILETQPVIFDAIASFGRVL GDKSTLYKYLNPHLQIISTFTPSTKGVSSTSLEGVGKAYVIDTTNGRVVYQTEIDGVV GRGGIKVGMVENWLVVSWSDQRGWKIASTELYEYSDKKGVTPSQSTFEETPVIAISQS FILPTEVKTLGFTTSKAGITTKEVIIVNGKNQIATIHRRLLDPRRPVGKPSSRDKEEM LIPYEALIPVDPKKVISHTYQILGAKTLLTSPALVESTSLLFAYGLDLFLTRGITPSG TFDILSDTFNKAQLLLTLGALTIGILVAGPAVKRKELKNKWY L199_006965 MVYTHSNDSDDSLVVIEQDVVNTGNPGDGDLRATAKDEEYEIIS SDQIDHEKNTVTDWTSGHHISNKGSSTAQRDTTCSSNTGDDTRVVLGRKPTVLYNVGE PGVQSAQLTTAIIPQHLTGSAEELENIKAAYRYRDEIKDRHEDRYDNIPHDALYQFTR DGLNDCVEKTHQDIKETFKRYSDSFDIHSIPCAHQSSLSTVRDLLCTCDSPRRSTVVV GVDPSQYSWTSSKGINEIKRFRLYTRTEDSLGYGSPNAIFGISYIEKSKLQGIRAAER LHANTVGALSQASEKSLPRDWREVAIKLMPSNYSTSQSNPCSYLRVENKFIDWLEKQP FNFLEKIDTIPDDDPATYDTIPFNQ L199_006966 MPFSSSSRSAPSNPRIVTLSTSQDESETSNHHSQDDGPTYTLSV TASAPWHSITLLVHPYLISGTVCKNVPRYRITEPSYTIKSPVEYIDLRIVGDPSKGPL KSQAGYSEAQEFGTHLDTYLKKQPEVSAYMPFPTIQEGENVVDRLIYDTDTVNSAGRD LISQWVEQQYEEWSRFTTETETGTLPFKCHTELDTNTYLNHFPKIPLDDLDGRPTDVE SYKRKTREDWEGYTFHYPKEHNPHHNWVTKKSLPSVIPSKAQELRG L199_006967 MTVNLPTTLPPPSLYPKLLPHLISQLSHSPPSSSTLPALRSILH IIASITLSSPSSGNIHQAKSTIPLQHLLELSTSHPGLIKASLLLDAIIAYPLYLTTIN EILTNAFDSNGDLVEVFRIDIIPSLINRLNLGNTNNNGNKCLDDISKSTMILLSIIRS HDELLALVLEDSENLIKSLSKAYSIISTTTNSNERAVTRIRVKSDILMICKELLGSVP SGHDTVEETMIAFMGELTERQAQGEVMGDGSKLRDDWEALLERDEEGLSKEVKEVLIK ERDGRAKEDRRVQHLLQLFPTLPPHLLLSALSHPSISSLPEGSRATPSEQASPIVEII FNKGEGLPDDLKELKLAIQTLSKGDSIPETSTLDVNGNGTNQSGQKGKVERRNIFDDE ALDLSKLKLKDDDSSLPTLSNTIPDTLRASIMRLVEKQAVEEEERRQALQDANLLDDE DDYEEGYDGVISRIKVTSGDDEEDEISEEDGVKISREPSGTTTPSSGPSDRQRLDILR TAYINNQRVFERDGATRRSSERKKLREMTGWDDGQIEGWRIMLERDPHKDDILSAHTE RMSRNRQNSPPRNNPQQGSSRGGHPNRGGRGGTRGGNGGGQRGGRGGSKSGRGHSNAA RTRGHDKKMSKMGAI L199_006968 MAEAQAQGQRGGFGRGRGGAGGRGRRGPRRGGKKEEEKEWVPVT KLGRLVKDGKIKSLEEIYLFSLPVKEYQIVDIFLPALKDEVMSIKPVQKQTSAGQRTR FKAFVAVGDFDGHVGLGVKCAKEVATAIRGAIIAAKLSITPVRRGYWGSHIAEPHTVP CKVSGKAGSVMCRLIPAPRGTGIVAAPASKRMLQMAGIQDCYTQSKGSTATQGNFLKA TMAALSKTYQFQSPDLWKHVDVGNSPLDVYSGHLALAAKKAAAY L199_006969 MSGSPSRAIRRKLVIVGDGAAGKTSLLNVFAVGHFPENYEPTVF DNYVTEIELDGKPVQLALWDTAGQEEYERLRPLSYSKAHIILIAFSVDTPDSLENVSQ KWIEEVRSICGRQIPVILVACKTDLRDRAMANGTFTPERYIDHATGQRIANIIGARAY FETSALENKGVDAVFEAATRAAVLVRDQGHGGVGASHDHGHREAYGGKRRSEKDDGED KGKCCVVM L199_006970 MDITLLPPTHILSNHSHLALLPSALPKHPYPSSSRHSTSSNSIM MHPYTPKPPKDGSSPFTTLPTEIQLLILSHLDLSDLLTLLRVSRSFYSLGLSPTLHRK LSLTNIPTVIPHILGNHILPSVRELSINLFPFPRSYNGYHPNHLGMLLSQNIKHGNTK YHRQRNSHLGMVKYESVIGPIMRHVKFDRLRILNIPFSSSYLPIEELDPILESLSSNI EKLDLRGSSLSGDKWIELLAWEKFGNLKELDMGFTNIHSLPLPSSSCFTNLRYISLSS CTSLSVETISGFLRDLPTSLEKLDLARLDQIPFQSLFDMKVIHQDPAPDQTGRLIPTG LREIKVVGIDHLTRRDIRTLKKWWEKQRRDCSSTTRSEEREQERKLCLESQLVNWKHS RREMKTPELISRSSTSSSSSSAYSTGSEEDIIDTPSTSYSSREYSGSDVRSNRKIILP SRHNFLQSLSFDSDSAISDGHSIPQKQIPTIPRAKKSSDSQGDHEEDMISINIIHSAI LESEDEDGYRQFIGEVVGGTLDLGNETESRGYIEIDQ L199_006971 MYPEQSIRLRRRGSTSFDPSPQDIDTITANYCAPSYEDTTSPQS DSVSPHLGRSQLPWTGQGQEQGSCSLAEHFRTINEIRDTCRNDGTSGCLVYSNAIKTR RSSRGSIFSGWTSSNAEEKKGAMRSYIDHSRLVDTQATCESLRQVAEKLEELSESTTI RSRDIHGALKGFGIPRGISTYTVDQIVLDELSSCDPVVLTYSVDSGVFTRRPTY L199_006972 MSISTEPDTTQDQEPIPSYHEVSEDPTPVYKFGGDDDIPTTVYF HPRPLDFNLQYNMEPRLPLSRICDTVIHSGTYQDWKESEISIQYYTDQSMRLLYNSLV GHYEDYKAYRRDRNDYEKSIENVTDRWRNRDYTGQPCRLISVDGQTMKDLKSIQKKVN KERSRGCLNYSIGDPKETNASFELMPLIRRWSSNISMQDDEQGGSTAQAQSQVSEESG KTSASIRFYINYSSDLSIVQKDRDDLERLAGSLGKLTFASDKQDMELMAKSRGFSIHK VSKEVLDGLVSCESETRSKE L199_006973 MTPSSWGNVDLISEIFKPTPSDGSGPEESSVILYCPKSRNGTNH SETFSLVRSDGPGGLVSAVTEGLKKAMESAYTKLKQEKMWYDSVQSEDIAKHVDLELN SILQTSLIQYPYSCFGNGTISVQRVSSDNYDSLMKIKKSLYPKGRKGVLVIPRKSHST INKEITDGGRLTELGITNPSYMKDKEDREMALKGLSKYANSTEQSIKGWPLRAIYPLD ERLSKKLREYEDYGYVVLDEDEIKTFRSIMDELPSISGRPIKSYNTHVSEVTDSNATE IPDASNCEPSAEEDMVITNDEDDERYRYETPFVPRNARADDITHALESYSTLISGSAD SCQ L199_006974 MSATSSANGQPYHKSYVLYSTSGRTPWSNPSTQTSIYRGSAKGQ PEAWISYIKAAHEANPDPTGLSSSSWIQTSQGVMDSAKDTMDCLRYDADEEDTAFVPV SQSVLDEYNRLVDHVDFTPGCVGSILCFHDGSTRGYVKPDDNASTLEENANRVRWAMQ ENSSDQGIVAWNVKDRGMMDLPFLI L199_006975 MASVDQLAGKYSSQLAQLQAIFPTWDESDLAFTLQDTKGNVEEA ALSITEGRASQFTSASKKKPAKSKETHQKGHSNRNADTGGWENVNGEGFASRGDRPTR GARGGARGGRGGRGGEPFRGGRGGRGGFRGGRGGLSNVNGHSKTTTSDEFPTTTATTT EGWANQVQQATSAEDQEAENAAIADTSKGEDFSASGGWGDAPAPKELEKAAKAGGTGW QKDIEKPVQVAGSSVPPAAKPKLTWAQIAKPVEKPKPAPPPPAPVVPEPIPEPEAEPT ITSDEPVAQDEGHVAPEALEETPAEEVEALGDAPAPEEVEEAAAAIEEAPAAEEPFTA KPAEDLLPEETVPAQAEASTDAWESDPAIAGSGAQPEWAKTDTQPAVAPEPVTTYQGP PGFNSVVSKAAPGVQAAQQPRSSSRAAQRYKDAEGQGVVLPPTAGSGLAGMEMQFGSL SFGGINGDGVDSPVPAAEPAKQETPAQAAPAPAPVAASPVRSTQPSAPAQQQAAPAPS APSAPSAPFYSQPSSQPAQTQTQPQAQQPSYTSPHQTLQQQMQTYQYLQQQQAPAHSQ SQDQGLHPSHQANQYYRQQDFYNPIGSQAQQQTNEPQGQQGQAQQSAQQAQQPTSSPY DTPFGTFGQQSHLFGQPAQQQTQHTQSNDPYGASHRAYDSYSASGYPRPPVDEPKPAA PAPSHTPSAPSQPPHQQSGYYSQMGNMGYYQQGPYNPYYQYGQAPQAGFQQYYPLAQR NLYGQPAPQAPPAPIQGNKPQPPSSHSPYGGPPSYPSSAAYDDQSFGGGLGRYGDSKV QQQNTPGSQGQAQGQGQNTLAPGSYNSQQSGLHNFLGSSTSTASTTGAGAGAGAGSAG SARPQATTPDDGFKSQSQQGAGARNPIQQTNTNPQAAAQQGFNSYPYGNNAGAGGYGA QDWSHYGAAGHYGSRNGYQHWQQ L199_006976 MSSVAGLQRIAPVPTSSDFIDIVLNATMRKTPTVIHKNFKISRI RNFYMRKVKFTQDTFDEKLGKIISEFPVLDNLHPFLSSLLNVLYDKNHYKLALGQINT ARHLISQVSKDYTRLLKFGDSLYRCKQLKKAALGRMATIMRRQKDPLAYLEQVRQHIS RLPAIDPNTRTLLICGYPNVGKSSFVNKITRADVDVQPYAFTTKSLFVGHMDYKYLRW QVIDTPGVLDHPLEEMNTIEMQSITALAHLRSAVLYFMDLSEQCGYTIEAQCKLFHSI KPLFTNKPTILVINKIDIVRLADLSPENRAFVDTILSDKSVTVVEASTYSEEGVMDVR NVACDALLAHRVEQKLKGNRIEMVANKIHVAVPQKRDDVERKPFIPDSVKHKVKYDKE DPNRRKLQRDEEQEMELSGMGIYSADTKKDYILADDSWKYDKIPEFLNGKNVADFIDP DIAEKLDALEREEEALEAQGFYDSDESEMLDSDEEEFRDAASQIEKKKASIKKISQEK NHLQNRPIIPRKKKHVTLSEFTSGMRKSGHDPLVLEKRAARLMEKKKEAWEAAEARDA AAEAEGDMDVDMDGEVEDSSRPSKGKPIRTKSGMAVSRAPRSNRQMAGLATIGQSDKA NELRDFAQRLPNRLAKASESDRHVPITRPKWMLAGKRKGGKTDRR L199_006977 MADANDLYKDDLYGDLDLEDLDATQLEELVEPPELDPAPATAGG SSSSIAQAQPSVPAVANQPSTGDYSGVPQQQQQQGAFGNEANADQAALDRIRPSDMPD EGKMFIGGLNWETTDDGLKAYMAQFGEIEACTIMRDLTGRSRGFAFLTYKSSESVNKV LDKTHTLDGKQIDPKRAIPRAEHERTAKVFVGGLAPSVTGESLRTFLTQFGPVMDATV MFDKETTRSKGFAFATFADEESVQRAMDSSGIELEGKAIEIKRAQPRGAGTQPGKFTS GPSGGHNRYGGGNNNNQFNNGGGMNMGMGGMGMGMGGFNNNVGGGGFDPNAMAMMYQN MMKNNGMGSMMGGFDPSSMAMMYQNMMKSMSSANPGGAVGGGQGNVPAINPNMAMRNP MMGMGMGGMGMGGMGMGNMGMGMGMGGMGMNNMGGNRMMNQPQQQGGSIRPVPNAPRG PAAMRSGPSGGTTPQSGPGGAGNGAPTGPGAQRYSTQGSARAKPY L199_006978 MKRLFRTSKSPVVDPLPPPTTSSAPGSGTSTPTNHHHEHRWPFG HSHQPEVTPFPIDAGRETYNVNNGNNQSLPPPPAPVPSGRKGKDRGASAGSNPGVPSL LEIQEREQAMRSAQRQQHQQQPHRHSKGYVGSPNAPSPDGWTVVSPTNTNMSLPSSSP STGYDPNYHSSLTEPLTIPNAAFASSNPPSSNASPSSASTHTALYLPPGARPATPPSM RPPYPPPTGSARHSQSSLASLSTAHYGEAGDIISPNTAIGRERGHSIASNTGSLSNRS DHDTINTNSTYTQHTTNNNNNPYGNNNAILNQPITSSNQPSSKLQKPQPHNQGVRSPL ANSYPSPELTSFPSPHPYTASNTQPFPPPSAPPSSNDHSLNNFVPRTEDTPMPNAVNL SRRGSQMDGPNQKERKRGFLGMGWGKGKDKKDNNQVLEGKPLMEESRKSFDGWRNQTE SESQPSSTHGHSTSHGHEQEEQPSRGRILGLDFGGGGDKKKEKDQIPQPNDAGSAIRW LCSMPDPPPANIYDVCDRIHKSEGVEGITKDAARAIRKEFKHGNEAERRNAAKVWLYL MRNVSGKGFRQHASSKKFLSALEPILLAPATKPLVSQPTYKLLTDILSDLTFSYGQEK GCEGLGELWKKVKLPQESEIGNPLPVDHPIFSPEPFYAQRHNQTYSNPQSFPGSRRPS SPSLSQLPLQQTNQGYPPALPQRGASPGHYGGPRYADLPSHGEDLRRLIDECTAAKES ARVLSEALVFTKPEELEHKPVIEEFYRKVFLAHESLTNQMDWAQAEAARSRERHASLT LDGNPNVDNTKQDTPEEQALGSLLEAHSALGEALKQHDDLTKLAGEEKEMREVRERSK KETRMDRNQPMYEQSGLFPPSNQPQASSSRSPSPVPHVRLPSNSTPKVTSSPRNYDLP LQPPPPSQGAGAPPIAGSNNPFRNANNENGRSSRTPSPDQQPHPLPHPPKLSSSPVPT SRTNSPLGMGRLRMGGPRPLPNPFAKGNNASHQSLQNLANGTGTTPSRNGSGDTPSRS GTGDSSNQSHAGVNHGVDDKEIDGDDLPPKPVRPSRKALGKRRAVVDEDNNFDPNDMF IPNPTDPRTQNRDQGQNPNPNGTSNDNEIDNSSEEDYITLEESIKYQQKKKIVYAYDA YEERQKELKKAAEALKISEKFGGGAGGGSGGTM L199_006979 MTLHPKIQSKTSLSTINPPTLELTTDSVILYCPKSRRGKNHSGT IYLSRSDKHQYHLSCIANDISKAMSSAYNRLKMERSWFSGIKSQDIDEGCQKDLETIF QNSLHQDSYGRFNERGISIFKIPNEHYDSLIRLKEALNHEDRKGIVVIPTETSSVYHQ GSMAKYGIASYNTITREKDRISMLRRLSEYSKFLAESTNLDDTTRSKERSKGFEDCGY VYLDDSEIKMFSGIMEELPSYE L199_006980 MILGGAPKCETCGTSVYHAEQVLGPHRKIYHKICLKCAQCGKRL DPGNLVEHDTQPYCSRCHTQLFGTRDLRHANVLPNITPTSSPARPQIPLTPSTPTYRL PSSAQSPRTNLPTPKDFYTPPRNLTPPPAEPATPTDPNVPITRPNFRDARPISVPFAG GSKALDDRGLLRRGDSPRSKVGTRVEGDDACKGCGKRVYAAEQVYSIGSKWHKACLRC TSCKSTLDPSKVSDKDGLPYCKNCYAKEHGPGGILGKR L199_006981 MSNPLDAPESASPQSSTPVPETTDTTSPNKHQQPLAIEDAPHEE VAAQKDEEEIQSLDLGEGNVIKLDKLGPMIINSDGTLSRIQNWQELHPIEQERTVRLL VKKRNLVRLQKLDAENKAEGAEEEEDKLTALKEGGKE L199_006982 MAPAKGLAALREARAKGGRLGQWKPSESELYDEVTDEQYRSIVG DRLDADDFIEDDDQGGYVDNGMDDWNGGRDEYDESEDEDAFEGEDEEFRKARQIKKAK AKARASAGKPLLKSSKPQKAKSSFSDYAKPAASSSSTYRPAPNAMQEDDFMASLLSSV TSASNEVAYRKRKSSPDIPSSEGLHPSSDSSFFSSSGRKRYGIEEDDDDEPPSIWDSK RGVMGKKPRMSDITVVPNKENNDDGDYIMDIDDDQIMVKPEPRDENEDDEDEMQIRKV RPLTAAATTKLNGATSAVRRRVNNSNSVKNIVKPDPVMVKAEPMDEENIAITKPKPTS SVQRNGKPNLPGWSSIQDSLLPSKTSELEEVKASVGSTRPENVLEKDGSLSMFWLDHF EQDGVVLFVGKVLDRQSGRYVSACVSINGIERNLFVKPRPKRVVQGQETDEEVSRTDV FTEFDSIRRKAGIEEWAASYVQRKYAFEDKTVEKGESEWMKVAYGFDQPEIPMGTTGQ TFSHVFGTNTTPFELLVLKRKIMGPCWLKIQNPTLSTKSASWCKIEFTVSDPKTVNPF SETDNSAPKDTPPLTIMSISLRTIVNHRENKTELLCATTRTWEGCNIEDPTPPDQLRS SLNTIIRPIEKFPPGLEGRGKTDRSPFQTVKAERALLNSLLATIQRYDPDVIVGHNFL GNSFEALLYRLKELKADHWSRIGRFRRKGFNISKGGSNHRLLAGRLVADLSSDAAKGM ISSTTWSLTEMCTTHLKIQREDVDPEDTHSYFDHTLSSPDKLIKFIRLCEVDAFFQMA IAARVQLLPLTKQLTNLAGNSWNLTLNGGRAVRNEFILLHEFHRLKYICPDKSPFQSN KKHKQTAVGDDDDPTTEDIVNKPIRGKAKYSGGLVFEPKRGLWDTYIMVMDFNSLYPS IIQEYNIDFTTVERDVEDDQAEEEKIPDVPASDVAQGVLPRIIATLVNRRRQVKGLMK DKSATPAQLLQYDIRQQALKLTANSMYGCLGFAGSRFSSRPLAALTTFKGREILTHTR ELAESLQLDVVYGDTDSVFVNSNVTSLQEAHKIANDFKKLVNERYKLLEIDLDAIFER ILLLNKKKYAAVKIEDNGERKTEVKGLDMKRREFSKVSKDASSAVLKEILSGESTEIV VEKIHELLTNLGEAVKSGVIPLEDFIIFKRLGKNPEDYPDKKSQPHVQVALRMKSKGA SVRAHDVIPYIMCLDESGKGGKTAQAERAFHPDDLRRQGSELKIDYDFYLDTQILQPV LRLCETIEGTERSRLAECLGLDPSRYASSGPGVTDEKQFFTFESQISDKERFKDAEPL QLRCVACESTFAFQGLMDESTSIQHVGISCSACHAILHPASVSVQMENQIRSHISRYY LGWTVCDGEGCGARTRMMSVYGKRCLGLVKEGCKGTVRLEYNDSKLYNQLLYYRSLFD GEKAISNARGSARFEEIRALVLPNTALFAQLVNVTDKYLDKNGRRFVDMKSLFGFMER IKI L199_006983 MSTTSSMAVSEISDRLTDVNLTYEGDAQPGRGRLVLRLRGATPP PSSTSQQADDSHAETQRGSSDVEGCENKDCNSVPSDKTDVPCRSGSSPPDDPSRVFPR EDQGKGRYIIFPHVHTGAHTEKLDLGRLTTTTLFSPVTSILSTPSIQHPPIDEELIEF HRYYLRETQGSEILAKMIQERTDQIWTERHGTSGADQVSTLKIRDFIYNYNDALETSI MQSIANFTKDLYDRFTYEEADNATETKGKGKSKSKNFTYELVDSMEDFKEHVPDGLLE QLQDAKPPLDHTKYKQQLQEEWGDKTCDSEDLTYLRFV L199_006984 MNSSTTFDRNDTVETYTSTVNSPDPNLSPSPNRTVVDLDENLNS KAAGDKLEKKLEDELTAEQKAEQELRRHRSTTVNGAEIKLSQKRKWFLLLVFSVAQYL DIASYSGLFVFTDAVLNDLDILYESSSWIITAYSVTFAAFLLFWGRVSDLYSAKPVFA YGFLLLGLINLIISFMTNKYAYFVFRAISGIAGAATIPSAFRLILAIFEPSELHVALT LFGLSGAIANVTGLVLAGFFGFITAGGQNSAWRWFFRMIAIVCVPFAFAAITLVPKTR GDRCDECTQHEKFKRLDLVGAFTMLVAIILIILGLTLGASYGWKTAKFLVPFLLSWPI FVAFFIWEARLPEGYALIPPSFWKIPNMTLLIVFALGIYPWWAVNQLPLVERFLVVNH ETPIIAAVRMLPQGLAALAVAMVIPPLLQKLGSGKWPIAVGMLLGSVSYILMIFSDGV IENNGYWRWYFPAFILGSGAAMASFLGTNITVMTSVPPAMSGVAGAMLQVSLQVGAVL GLSVQAGLLTLNEGSFVNYANVQASFWFQFGWSVFNMLIIVFFFRPGKAAEGSQQAAK IKGEKGPESTAVIV L199_006985 MSDTKAAMGDTASEFLLNDTTVYAEGNDTSAWGLPQVVSLAFLT RPTKLKQVYSNLPLGPYEIIPAEFERAMRSSFGNTGTTDRASSRMVNTVVLPELFVCG QKPKRGQESRVLSKVFSSKAGEDVDQFLNRSDQNTLTSLRDDYSKRVVASLKNMQLLK DNAECLHVSATSKEEYENYKPTLTLKYDQPYMSLEELYAQEHEQWAGWTVGEGEIYTE DKDGTTRWYIPSLVPPPAALDTNVTTMPSEDGDTGITEHVESEAYRQRRQGCPQIARS HEGCSADLIWRGLC L199_006986 MSDSFYHTIDTASSFWDAVNRSTESLCTVATEYSLSDNRITTSK DNLNSALVSGTSTKSGEGERSEVLRDKTEDRVKCFLATFTYLAGPASLEKVPETHSRA GSYYIKLPTRPFTATKKTVGAEPQEREELDSFYRVFRERLSEDDHHLYNDPDWQKVKE LDFSLIPGESLVSLMTRSARWAISDHRHRMKLWVETQITKKFGDRKPNFDHKQYTDRE SFVNCLWPDRLDDEESIKPMELDEIAQKHQEEWGCMAIGDKPPFKIYNGAGENCIVIP EIKPTTHSPVQAVTSVE L199_006987 MTNTDLLEFNIPRPLSLIPSSATAKTTDRSHKPRSKATNWSMVS GSSQGTAPRKSTKGTSGATKCTLACYQYSYGPLDVDEIPQEHTEAGKSVILPTAKWQF SFHPVYYQATNEESQDLSRFWEVLMKPRLAESRWAPHMEPEWRELPEQRFDLIEDQTL FSDLLTRRAQWIDNENRTRMDHWMTKLIEKNSPNGKPNLEFKQFDSRQEYEKYIAPTT VSWSGESLTLDDIDKLHKDQWRGTTIGEPRPFALVNNIGRSTICVPSIVHDT L199_006988 MEVDTSDLDAQIAALQKLKDDKVAKAEASRRLKEKEQAKVLVGS TPTKASIRDAVKNNSTPLPSKPSQPNFNFEQKPKPKAAPQILPSLPKAGPSRMGSSLA ALRKGISSSPRPSFPTATRSNGFEQRKSNIRPSSSTSISAGVVKGKGKAKEESPELEI EHDDIDEDVKHIRRDEDSLTLIENLALGPKEFGLDPEGEEEWRFVEPNSGVRLSKRVL SHSHLQDHLSGRYFLTPSQIYSVIRLSKDGATYDIPVDGDWIIIAVVAQRGEVKISGT KNAPDDSDEDEEDKEHDSTSLAEALKIDNSAEKQGENSRQQYWKKKKQQTAKERKRVP RKYINLTLCALPPRGTKGETSGDALLQLLLFEADAVVREDNDQDEEARITYRGGSGGA YEKWCNLTEGNVIAILNPRVWRNLRGGSNGPHPLEFPLGLNPSSADSIILIGQAKDLG KCNAMQKDGNRCKTWVDLRQNQVCEYHIHAAIQRGKSSRAEFTASTSSFALTSRPAGT SNGPGGKLGYDPKRKQGLLPAAGRQAAPRGIENGGGGATYVVGGGVINTGSVSRGGLK GFGEEYLSEKLGSRSKSEKRKRHLEEKMAEKALQGLLDREGRGGSTGAKYLAVLDKDK ESKKKKQKKVGKEDNGGEEIERKRPFGAEAIKKIGFDPTSRSGVGRDGEDIQRRLDAI AALRGEDPGCRLERISKKLEEERKAKRLKEVISVDPGPKGIHGQHEEEDEEEGMIDLD L199_006989 MPSSMFTSTTAPVLVPPINFSLVAPGIYRSGHPNRKNLTFLSKL NLRGIVYLENEDEPYRQDSLDFVNSSHLNLYRFDLSKESTLFTPEGKQKLESLLVKLL DRRNHPLLVHDDTGKGTATLICALIRRFQNWSLTGAFAEGDMFAGPAGGAEGVGLGEA GMEFIASFDPKKVNYDRNHKPDWVD L199_006990 MRNMRNREVYVYEEDRRGSLLNMEGDSSGFVGESYGRLIGDQSR KRSLPSTINKSPVIHSRLDAIPPNRALGHKRKLSDKFKNLIGKARRKEHSMLDLDGDM SEHENGKPMETENVNHSTVHYCHPPTIHVPSQSRPSSYLEAAYQPPVVINYPTSGPRV DSIPMHSDHSEVIAAQKQEEQESHDEMDKNSFSEDDKEESEDSWGCV L199_006991 MKFTLLASIALAGLVTAQTIPECVVTCSTQAAAAAGCTSYTDVS CVCTNAAFQNAAGACLIANCTASDQATATALQSALCAGQSVTGGSVTASITASGSASV DASAIASLTQSGESASSAATSLASSASSAVAASASAASASASGSGSASAASGSGSAAS KAASATSAAASAASSAASSANKVESVFKGAMGAVVGLVGLVVGTFTIL L199_006992 MASEASVDALKVDESRFQGEGSDLTLLHWLRHAEQAIESLEPSD LSPTIPSLHAFFLKILLPTAQPTLPKPGRPIRHLVVRCLVKLHQRVESRSLFDFVQAL TRAVGDGGNKNMSANENVARVASWYAIGEIIKVHGANMMSFMGEICTSSTKVLRNTNL SVILRVHAILAFSKSLQSAGKALPDGLLKDLLKSLRNGVQDKALPVQRACAEAFVSLH TNTSLLQLQQTIDMVTPLVLKSLEGADYLTRRSLSRLLAHFLAASQVPGSGVAPAEPS KKSSTKEGEDNSNEPTVMTSAAQDKSSKTLLNTQEMLKYLSVPYNKPHTPRRLRNAII DVYATLFTFLGGDYVEAHYAEIVKHMMDEIVIFQRGQTSRYDTLSTRDAVILLLRDLI GVRLLSEPGQVSAIRELTNSYLKKWQPTPLPGQPKMNNQVLIIALREIAGLLEQLGNA PPSIIELLAEPLVRLLSHESYSIRLAASYTLRRFCTINPSQLPRMLNILLADVTKDLG MLGTPTASKELPNRVIGKSFALSALIAVSPARPLYVSHDISSKVFDLSVSLLKQAGDH EIPIAMVEVQVAWYLIAALMSLGPSFVKLHLPQLLVLWRNALPKPTSKDTSVGERGEV EWSFLLLVRECTLAAVLNFLRHNQSLVNIDVARRLSTLFTNSLNFVNGFATAYAEALK EQANNPAGTQSPVFTARPSLVEREANLRRRVLQCFTTLGPSSATESMQSALLQAAITV FADPENYSGSAAQAAIAAQSGHFTTIWQSTDGYAFGVTSLLGAREGEGGIEAEEAFLN RDRVEMAIESQLSHPILGSLEHDFLELLVSKPLPSPPKPAPPQTGVIDSGVELFAVMF AHQNAEGQVQSLATLSSHMRSSKLEKNPGRKQAVVANTMAALRKSLSNVENAGQKAKR SLGSAQTSDLIKSLLQDAIFDPSPSIRSSAAESIGILSVIAGSSYLSSQVQWLVDQVV NNRVPDSRAGCALAFGAIYSNVGGLAGGPILKTIVNILMSLATDPHPVVHFWAMAALT QVINAANLSYEPFVPTTLGMLANIYMLETHEPDGGSLGSVNLRGDLPAYQVICRLLHA LIGVLGPELQEPGKIRSLVFLLVHEFSEETDEGLAVEAIKCVQQFLMFAPSEVDIPKL VSTFRSHLASTRRPLKVAAITALYQIVQRDAVLISKIGGNQLVEDLFGLLDDDPSIEG VRQVITSWLQQTAAALPSGWIDLCQRIMTRTVAQKQKQNLSQQQNSGGGGGPKFIDDE GESFSGSASASAQASNALSSRWRTQLFALECLHEIIQSVKEHNKLENFNPILAKQMGS NPEHLLFSRIGDLIKMAFSASAALVMQVRLQGLIVLRDVIEHFANSPDPDFESSLLLE QHQAPIAAALTPSFGSDSAPEVLASAVQVCAVFVGSGVVKEVSRMGRILKLLTGALEQ CKSGEVISLGDVDQLSTNAAIMLKISILTAWAELKISSTKQAYLVDVIKPYRYLLGPF WIGALRDYAQLRTDPEMGGGGLSGMGVGLDSGNAVGREVLLPYYEAAVPNLLHAVAIS LSLSDPFTLGSIDGQSFDSPSEPANFKLSVKEEPTANFYILYGLSFESLLKSLGDHSS APYSKACLKMMSSLVRPELSGTRVFESQIFDELCTLTYRIGLSELASVKYEMIDCIST YALSRKGSEGKLDQGHVRRILAIIIFNLRNCISSKELNINSNFSHQDSLVDRTNLIKI SFNNYSKIVNELIDPSQRADLFAVGIHLYLDLLIDESPQGVELVQGSLGCLKGLIEGF VAGQVPGVDSAERVIHGLLGGCLANIDDMRTRVNTASNIKIKNNLLAITLILTALPSG IKVSKNLIESVAYTIGQYLGAGTERPELGLTTIHCSSTLLTASLRLLPSPLGPNSPSA PSPILQHVALNLLGPMINYLSEVVVSHATSSSGDNNSLEGLKEIIKSLVNYITALPEE TKSRGYGILLPTLCLLLDPPGSSSPSGQSQLHTISTGVLLGLAQSNPISFKEATQAMG EGERSELELAIRNAVGGRNSTGGSNTGITGGGGGEKKGIELKFFG L199_006993 MSLDTSSSAIHLNLPPTSTSQLRPPTLITVHPSVIASILTHHSR RPTEADSSPRVIGTLMGSRSENGQEVDVRACFAVPHKEDENQIAVDMPFQQGMMQLLG KTGAKESIVGWYATHPTLNAYSALIQNYFSGETSPHPSIHLTIDTELDPSGKGLGVKG WVSTQLGLSNKPENCAFLPVPVVIKYAESERAALDLLTTAAPTPSPSLPPLPTLSASL GQLSELIDQCLAYVQKVNSGEQSPDPEVGRYLLEGLGRWSSTKEGNEDEGGIKAGLQD TLTVSYLSNLVRSQIELSGRLALLQQAAAQ L199_006994 MSICQSSRDFTIHHCFYKSKTHLKGVLTLSKTLQRLYNNKENLP ANLTTTRDIPSDGDTRAERSLHPTVESDDEGSKGSTSPATPSSDADQVDELTSSHEAS APESASGGGASTMPGTGFTQSRSKAMVHVQPYISDGVQLDKQGDEGWYKWREARYIIG AVADAEAKYDADPVESFAVSVDLRDRLRNRSLPGIEYRPEQSVVAFLSNNTRIRLESW ETDFNKEFEERKKSHEGGPNSELADQSKMQALHAKYGQSEVYKPLNMSRYETQNQQRW QEARLTNHKTENGSLYFRVQGDRREDSGSAAPEEEEHVYFAVCL L199_006995 MSHTSNITTDEVSLINPLSNMTLQWTSEIPTSVRSVSSEHPKAD AISSTVGQDDATKVDGWAGIEWLGRLTWDEKDEPPQDVKDSATKFDCGYLVPQLRNFS VMSVDFSMKSDYSKGSTWTEVNKRFKDQMRERGERASHAAWEAFKKTHPEESENFEIK YVSQEEYANAHPHPSGEHPSPRYRWENKGTFDFDKHTEEVDEFYADGSEQSKGIVLTA GFLKKGAYIDRRVVCSADKEDIGVSGESKAPDTEDVIVMPETKAAIRLTSLPGFSISQ ENKEKSLHFIAQMRERLTSVTQDKSGIVLNNSLAPKDRVYAITANDVSSTSNRVGEVV QAAFNEDKNNANHFAYLHLSPDDYEAFGNRVKISRVSHGDYWNWAKKSNGYGSVGPEG TGEEVSVVLDQSPRLLGPVP L199_006996 MIPEYTKIVNVVGDESQNYWGPGPLQDSWTKEELDNKSAAKGLV KKRVNWYIYKNTGDLVYSQFTGQPLNPLGDEDLLFNMTCYNGVSVDSPDGNLYTQALN LTSSTVITPYGDWTWDTLKGKAHFYCPEGKCVNDECLGVPVPTWNETLYDAQHANETT GRNGDNIRS L199_006997 MIASLSLLLLSLVSATSDSYGSISSRSGVSPELLDLRGADVGFS QYTYNSTLLPPNRPRPPNCPPCFNCQLPAFNCGNAGECNPYDGQCRCEPGFGGQDCLT PLCGALSDGEERYPRPEGELCQCKDGWGGINCNVCKTDKACSAFRPKSGANQEDDNGD EDEEDQMVCYKGGLAVQRNFQMCDVTNRKIIDTIPDNKPPQVTFSCTTGGPSSNASLP SSSYSPFSSLQGGSDILQEDNLGECNFQFWVDRIESFHCELSKCSWQSKDSFDSNQTN YNCEKIECSCIPGRFLCGEDGSVNIDDFLAEEVKGPGSFNCVSGKGCSFEEPAMNQLI NDIFGDKSITLDCDSGECLHYTQVPGYTRPERQDNSVWVALSAALAALIFVLACLLLW YLGRTHRHPEGFGGVKLPEDEAAKLMADHVPATLHFSNISYDLPSSKRVLSHITGTVR PGELLAIMGASGAGKSTLLDILARKAKSGRVSGDMYVNGRTIPDEATFRRVVGYVDQE DTLLSTLTVYEAVLYSALLRLPRDMSYQAKVFRTLETMNELGILGIKDSRIGESGKRS ISGGEKRRVSIACELVTGPSILFLDEPTSGLDSYNAYNVISSLSSLAKQFNRTVIFTI HQPQSNIVALFDRLLLLAKGQLVYSGESERAQQHFEKLGYECPKGYNIADYLIDVTVE ASGEHRNGNGENGKAKLDGNGTSSGARSTDDVENGFGTSSSTRREITSDDTSSDEDGG EGGVLNVIKSKASKLLGAFTTTTSSILPGSGSTTPKEHISPIPEKLASLVLASRASDD SKIVEAEITRIQQGQSPDGSNAIGITERDIDEETRLLKGFQKASLWTQFRLLSGRAFK NLYRNPLLMATHYAVAIVVALVCGFFFYHVTNDIPGFQNRLGLFLFILSLFGFSCLSS LGIFANERILFMRERANGYYAPITYFLSKILFDIIPLRVIPPFILGSIVYGLAGLNAE VSAFWKFIMTLVLFNLTASSIVLFLSVAVADLGVANLLGSLVMLYNLLFAGLLMNYDR VPDGLKWMQTLSFFHAGYEALLVNELRYLQLIERKFGLDIQVPSATILSSFGFHAQAF WWPDTALLGIVFAIFTVGSYLVLELFVKERR L199_006998 MTRTRASGGTSPSKTIKEPLGLSKPTSSSTKTKPKPKEKKAVEK YLPSQSEFMDVAESLKVQVGESIHLEAPKRVMSTESFGWTGSRNGSVTLKNGKQVDVS LSINVVVQNSSPNKRKSVGADTKGKAKKGKVIRYRSSSSSSD L199_006999 MPKVSAKDTKKSAGVQAAAKKRAKKDPNKPKRALSAYMFFVQDY RERIKAENPDASFGDVGKLLGLKWKEMSAGEKKPYEDKAQADKARADKENAAYKANGK AAKKAAPASESEEDDDDE L199_007000 MRITKTQLAGLDQYKYSGVDKSVLSKHVLGPFWTWLVTLFPKTL APNTITFLGLCFVIINVFTLLYYDVTYEGKVLPSWVYLSWAIGLFAYQSMDAIDGKQA RRTGMASALGEMFDHGCDAINTTLEVILCSHALGLNRSWWTVASQAASLCNFYASTWE EYHTGTLYLSAFSGPVEGILMICVIYLITAFHPSGPGFWSTPILTPLIKAFPQSLDLI YQINDVLGLKGYWKLDKLPANVAFMTFGALGTVGNIVTSYSNVIQARRKAGKPILSPL LGYLPFFTHTLILVTWLHAELRGGVSLVHDARLLPFIGYWGMAFSYQVSQLILAHVTK SPFPYWNGMMVYSLFGMIDANALWWFGREPLVQSSPIAANVFISMSFFVALFNYIRFA REVIWQITEHTGIACFTVRHKDASGKWVENGVPDRKGQ L199_007001 MPPPIKLFSSVPSSSKPKKLPVEPLPSSFSEKQAKKAVDALLKH HEKVSAEKEEEELLPKEDHIWLVINTKRGSTRKGLMPVRIQLPHPPLPPPPTTSICLL SKSPQREYKDLLAAKNIKFISRVVGVEKLKGKFKPFEPRRELMRDHEVFLCDERVLPL MPGLLGKMFFEAKKQPIPINLNRKDLKAELGRAIASTYFHPSTGTSYSIRIATPSSSS SSQVLENLLASIPSVISNIPEGWENVLSVGIKTSTSVMLPIWNSKLQGRFDGSSSSAG KSNKDVEMANEEEEEEEKKEPTTTTTTKKKVSNAVAPEKKKKSSTIGSANVAKKAKNE VIGTPKIKSKTKTTKK L199_007002 MGLRTMLRSTLVSLSSLSSSAPIEVITTCFILVTLVYFQLLHAI KGSEFFNIPSASPPPRPVHLVRLSHPPQLDESAYGLPSTSSRLANHFNTATPWSGEDW QPVTVGDFRRVLEANAVEGGYVFDEKIGGNPAGEKAAVVLVKQIVLVKEDESESTGQW ENWLLNDFGVEFGGSKYTYKDLCFDCSIEPTLTQHPLHPSQFVLTLFLQAPTPYTPTL PYLHGLGKLPPFTPPHSNTTFRILTPASTSWGFLPSFDGAGLFSNFGDGLTQSEKEDE DALYGLRNVRWFAYAVRAFGVRFWNLAKNADSADIFVVLLGYVLMHGVFVHLFIGMRN IGSSFWLPVATLVSSTFAFLVALLAAYLLNVPIDPICLSEALPFLVITVGFDKPFWLA KAVLQNPDIAPVPTSPEMSPVDDIIDETGLGLDLGTLHKELAPLERLQRLAEGKVRWA APVAAKKIVVDAVRKAGVRIVRDYAIEIAVLSVGAASGIGGLREFCYLAALIMAVDCV FLFSFYVAILSVMVEVHRIKLIRGNRRAKHLRRNSSHASLNAASISPSPTGKSFSSTD ADGQPKNPMVRLKLLLIVSFLTLHILNLCTTLTEQTALKRHSTHSVPNVTPRAMLDPR SPTLSPMLQALYDNQPPETDMAVQIIPATNVVMSSEDYTPSRMATIDQFMSEWTQLVG DPVLSKWIVVTLGISVLLNGYLIKGIASNSMGGKGPVAAAAQILVGVFESAEKSDRER KAASKSATPRGKLPANYTHPAPGKDGEKTPKGDERPNGNIGQIMVPPAPKVPIITEPS PPIPKSDSSSSLQSMHFGRRSLEECIDIYAGGVGSNNLSDEEIILLVEKGKIAPYALE KVLKNLERAVRVRRAVISRSSVTRTLENSLLPMADYDYKQIIGACCENVVGYMPLPVG IAGPLNVDGELLHIPMATTEGTLVASTSRGCKALNSGGGVTTVLTHDAMTRGPAIDFP SVVLACDARLWIDSKEGFSILKAAFDSTSRFARLQTLECALAGRTLYVRFATQTGDAM GMNMISKGVEKALEILRERYPDMHVLALSGNYCTDKKPAAINWIEGRGKSVVAEAVVP GHIVKSVLKTTVKDLCNLNIKKNLIGSAMAGSIGGFNAHAANILTAMYLACGQDPAQN VESSNCMTLMEPTNDGADLLISCSMPSIEVGTVGGGTILSPQRAMLEMLGVAGAHPTT PGANAQRLARIICAAVMAGELSLMSALAAGHLIQAHMKHNRSAPVTPGAVTPFGGITP LRESMLINGPPPKGLSPVTATRQTF L199_007003 MSEPLLRRRVPSSRAEQTPVTPYQRHPPPPRRGHPTRSLHISLS LLILGFFVVYAQKHQTFYQTKATYAKHGEQLPARYAICSRDGQFIYTVPEDEGVGETQ CVVVDRGEVVETGSLGKIRRNYIDKNPLNAIKIIYLPEGHTMTPGFTDSHGHPLIYGH SQQLPLHGCKSIAEVIRKVEEFVKSNPLKEGAWVEGLGWDQNIWENKEFPTAAEFDSS PVLKDLPISLSRVDFHVEWVSPAILKLLGDDIPDVQGGQVVRDENGRPTGIFIDNAID MLTAIRPSWTDEDRERYLNIMLEDAMSKGLTGVHDAQGFLKEVPFWKRMAEEGKLPIR FYQMLKCEDEDFCGDQVEQITDQESHYILRSVKLFGDGALGSRGAALTEDYSDKPGWK GLMLKPEEVWGPLIKQWYDAGWQVNVHTIGDRAAHVVLDAIEAALKGHPPDTRRNARF RLEHAQIMTPEDIERAARLGVIASVQPTHATSDMWYAEDRLGPERIKGAYAWRSYLNH GGRITLGSDFPVESIDPLKGFYAAVTRLSEDGRSPHGKEGWYASEKLTRIEAMRGMTI DGAYASFSNITGSLTPGRKFDAVIWDDDLMTIPDDEILDVKVKGVIVGGKIVWGSLE L199_007004 MAPLTLIWLAIDRVVDGKVTNLRSKDGKRRYVIPACYEDIRMSN NRNKKENYKDVNYLIRSGRMAMEKGDAQVFYRIFCLMSELNKRNTGSSGCFSSSDLKH LSYDHGKKELSKLVRSDQSSMRHMKNYAWLMESIIPSPTGGFRLGTGTIFMAIPFLGA LLTALMNSMVYIGIATRVSAPRWLVTEIFLPLFWAVFFSFIMPSLGDLPASRISPSRR AARKVKYWLKLRTITSLDERDPETGLWISKHMGSIFPNLPDGDRYAWRYSDGLRKEIF GDDLKHLYKTKIKNSIEDRV L199_007005 MSHDLHVKLPNGKTHTKSKVFYYAKPTSDTIEFFSAVHRGSCQE TTNAGLTLAAQVEQKLRESGRYAPNEESAKHFAEQTISTIRRPWKFSRDYCVTIPMST ESSVKSLHQLTEMSQSVRAPVMVLATHSSVEDGSMNLIKDAGVYISPASEVSEENLRE MNDLAQELKKSEDISAWSANETATEEYPGMNIMSMDWEDFKELERHGLITQSSKEQSF KDRLRSWKRKLTK L199_007006 MSSSQSATSPMTLVYHVYTEPCKSRQVRSFLSMKRNTPDHLVLR SKSSLFRGRFTEKDIENHGNKVQSRFHELVDTGIRMNEYATVQEYVDSRVDLLKSILS FGNYEFRSTDDPSVIPALEKFKKIILSLNMIKTLVVDNRRSHLRRFAEAYMTKKEGSG NNQVDLADELQKTWIGCGVYSMNSKCMEELTKYGILENYVPPSINDLHTMDKISQSDT KVSESEDTAIRGEYQKLGNRDTNTMSGLADADGDNWDEDAVFEEIDLTFA L199_007007 MAPYTPLHDRPPCFTSLPTPPYPRDPPTPRYASPDLNPSIPFPS QNIMSSESEADYSDSHQVSHSNGGSSSYTPRVREDDSGPSRKRARPEEYQEDYAPQYA QTGSSYMQLTGSIFNISPRNPFTSVVGDFIMASAAGLDNIEIEIKLGILTAPDHQGPS RRIRLPTQSEMIVPPDYPLGPFQSTMHPQQHKTLNNLLNQAAQSSVNLAPQQGRVKFS RSKLTDSFHGAGGRNGKVRVSRSRETGEVVEVVKKRRVADMNVYCPGAAFDWRISVNV EEPCEMPEGPPSMTRDKDRATYRHQVCQVDLTHVMSRETPQSRPISSFELEIEILDVP TLLAEGSAGSDRFDEILQNVLDTARMLVKNCDPAPQ L199_007008 MFGNGGDSFGALAMDDDQPSAGPSTQVIEGEELDVDWIQLVRTN HDVDVRVSDRLELEGLPAECNLMVVSNLWDLLIAGGNSDIRIHRLSQFHKVLEGAAKD ASPTSDTIQTISLPARPVWIRLAMNEERLVVATASGTGIHVFKLNEVLSGNTSPYHSF TTDIPSPLLDVIPNPAPSSSDQHSRLVILLADEGLVIADIEDRRLSAPLSGPFTCASW SAKGKQIAVGTPYGKLVQYTPEGTAKAEIPSPPDLESYYPIFVQWLENDLFLVSYAQT GAQPDEQVETCIIHRNKSEFTFTKFFDPLNSMGLASRASLYRHFSGLKTWGEKTKHLA LIVSGASSEIGVLHGHAASEKEPPKWEVLLLEETARGVLPAAKAGVRDDASVLALALD LTSTEVIRRGIVGGIELPDLPPQPRLLAYTQEGTIIPFDVRYPDAGPYSGMISPSDIT TSISSSTSSATQPAPSNQVPVTSSAASPPPAPSTGSAFGSTGFGQTLNSSASAFGSSA FGQASTPAFGSSSKPAAFGASAFGQTSNPSASGTSAAGSAFGSSTKPGAFSGFGQSST PSAFGQSAFGQSSKPAAFGSSSTPTAFGASTTPNSTPAKPAAFGSTFTPAPAFGSSST PTAFGSSAFGSTSTPRSAFGQSAFGQSSKPSASSPSAFGSSAFGSTSTSSSSNVGFGA FGSTSKPSGTSFGFGGSASGQAAKPDETKDKTASPFGSGGSAFGSTSAFGTGGGSAFG ASSAFGQSAFGSKQTPSSSSEAPKPTFAGFGPQASAQDKPASSTSAFSGFGPKADGAH KPGSAFGGFGQQSTSSPATEPTKSAFAGFGSTSSQTSAFGGFGQKKESSPAPETSNNP ETDDFGLGGFASALDKTTDSAGVPGLADSPPGSPVLGTDKKPAGLDDETPPDSPPPKV AVPKATATAPSSSSSFIKPATAFGGAPSVGSFGQTSTKSSTPAFGSGSTPAAFATPPS SSPSAFGSSAFGKPSTIGSSSPSAFGSSGFGQTSVSVGFGKSFVPASTKPIGNINGGF GAFGVKSDGEKKSTGFGGFASNGTSVFGGDADKDKKPNAFAGFGGSGTSIFGSATDKK EETASASPEKPVTTSALSFGAKPATTTPQKEATTDPAPPTPAEQEEVQGYDVPESSEE SKQESTTPAATPERKPPADQSTTPDSTPSKPTEPFSSTMPIDEPAEPVKPPSLEEDEE QTYHVEAGAAFLDDGHATDEEYQDEYEGEGDKEDYEDYEEYDEEEYDEEEEDEEETPL GSGRRRSSSIPPDMSPIKEEVSDELASDEDEGEAEEEEYEEEASKVESEVSTTRSLTK SPPTWFAKPFKTEIPETTDNEPISPTPGSEGASLFARLSPAPSISPKEEKKEPVLPST TPAQPKLPSAFSFKHASKTSSPLAGPPENASTTPESSPAKPPAASGIFGGKTTEQSNK AEDKPAASAFGLFGTKPTGDNKDLSAAPPAFSGFGNFGSKPTETKIDEAKPSSNSGFS LFGSTPSVEQKEQDKASASTTNGTFNFFGTKDVEVPKPASPAPSASDGFSLFGNKTAE SVKAEAPPSIFGAAKTIPAISAGASKPVFGLGLGKPGAPATPSDSPAVTIDKSQPLFG KPVPAASHMPPAAPVVPAKFTLPTRETVAPPSTRPDDGSKSMGAIVEKIILALGDDID NLKAVLDANARYHQSFNANGLPPVTADNLSSHDIIAFSSISQLTNIVEDLRKELADLR NEDNGTELKLAELQSRMLKTDMKTGQADKFLKARQDPSFAKVMQIKDLSPEQAASQTR LRKAVQIAETKIEELEASIAGLKRRAELREQGRSNTPQPALERVQRSVRNIDAAIRDR QQTIDDLARRIGGVRLSSPSRSGSPAPSSLRNTPKKSAIVHSSATARSISFEPTKEIL KEVDSALNGEKNSRLLGRLEKIKFAKLTKLENQTNGNKKPVMIDALPLPGQLPPSFLN SIKSPSQPTKTKVEPASTQIPDSPALPSTPSVPATTNETTATPSPSSTFGGIKFNLDP GNISDLAKSTGGISTHRGSGGGSTRSHTSAAKYVPHQSPGTGTAASPVGGSGIFDFNG KGMDGEEKEKEGNSKPSGFFR L199_007009 MSESLPSQTSLTTAPNIPHPQAKRTKSSALLTPDLPKLPIPPLK DTLKRYLRALEGLQTPEEHQRTKEVVEEFLTEGGEGEKWQRKLEEYAKEKESYIEEFW YESYLSHSDSVVLSLNPFFVLSSDVTPRADPQLSRAASLILSSLSFIHDLRNGYLQAD TVRGTPLDMSQYGRLFATCRVPTDRGCRMEVHEQSKHIVVLRRGQFYWFDCLDSKDRP LLTDREILNNLEAIVKDADKTPVQSVASNSVGILTTESRKIWSSLRADLIKSNKLNAS CLSVVESALFIVCLDDAGPEDLAELCGNFLCGGYKLEGGVQTGTCTNRWYDKLQIIVC SNGEAGINFEHTGVDGHTVLRYAADVYTELVLLFAKTINPSTPSLFKSKLSPFSKSSK QPPKPEDLEDGEEDPSFEIQPKKLEWKLTPDLLAGIRYAETRISDLICQNDSQALEFK GYGGNFIKRHGFSPDAFVQMAFQAAYYGLYGRVESTYEPAMTKAFLHGRTEAIRTVQP ESVSFVKAFCSDHASVHEKVEALRKACKKHTQLTKECSQGLGQDRHLYAMYSLIQRDI QAYHASRARGSSATNGVSGSYNGNGNGPISPSSQSAILFSPQTQVSTLSGEGSVNGDA PTHGQVPGSTAPESERQKPRVPALFKDPGYNLLGTSVLSTSNCGNPALRLFGFGPVTP EGYGIGYIIKDEGISVCMSSKHLQTRRLLQTLQAYLIEIQKMLITLWKEANERPEAFI DHTGVMRDARTGKKVEMDGEEDREDEELLGGFGFFDVGVQAALPQARRRRPTVGKQLV IAEY L199_007010 MGNTPSSHQHGSPHHGRGDHPQIGYDDLISPSPTPTTTHHGHGH RHHPNLRLPMPARPTHISPNSSNPTSPSGSTHNRSGSPRRRKSLELPDLNKLSFTPSA LTPAAPVPTTHTHTSHHLAPSTSAVKNTSPSPGPTTPTGATGGTTNQKKWQQVLGGRT ASPLSNANALGAMSRIDNPPRSAPIAMPNTNVQSRLATEENNPYFPTTAAQDAATARK TPLPIPIPGKETPQSQPLGRPTGLSPPPPTKAPTPLPATTEQNLQPTDDGMVNVPIHW TGGGKNVYVTGNFADNWKGRIKLHRSTHDFSTILRLPPGQYRLKFIVDDSWMCSKLIS TATDDDGTLVNWIEVETPKTEEEMRAEWAMDAKPAVKEENDDESQWTTSIPPALTLYQ YIEELPNHFSPEEYSLFLKSVPYLPNVPAPPTLPRILDKVIVNNDTKRLWDSHDPLMA NQTGYSGAPATLDDNSILAVPNHVVLNHLTASAIRNGTLGVGTTTRYRKKYITTMFFK PTLSDMPTTEAPSQPPSESVSQSQSESVSQPQSVQ L199_007011 MATRPAPPAPNQASGSNSNTGGRRYNSINQHQYGGYGGGQQQNV PLPRQKPRVGQYIIERTLGTGSFGKVKLATHAITGHQVALKLINRAKITTPDMNARVK REIQYLKVLRHPHIIKLYEVITTPTDVIMVMEYAGEELFNYIVSKGKHGMTEDEARRF FQQMISAIEYCHRHHIVHRDLKPENLFLDSRRNIKIGDFGLSNLMTDGDFLKTSCGSP NYAAPEVISGKLYSGPEIDVWSAGVIMYVLLCGKLPFDDEHIPTLFKKIENGVFHIPS HVSEPARHLLKRMLEVDPLKRATIAEIRQMPFFQENLPRYLEPLPEMDRYPALPMDDM TTLLLINEGQADPKKVAEAKGMVFTEDLGIIDPDIVEELLEKISTYTEPMVWDALQRD GDNQVKVAYQLVRDHRRILKDSYGFEEDEDTSAMEEFMASSPPAWNADIPPPSQLQQN GVDEQMDIEEDVDLEIQDIPNAHFDVLDSSLPGYLTPPSSSGASTALATPTSEVPGVD PQGAAEAAARALLSPPVPDPPRRLDKSMSKPKWHFGIRSRSPPMEVMLEIYKTLNVLG MQWKKKEDINLPEIGGAPPGGYTEEVEAALEQYAEDNNGTRPVMGKKPPTKKEVLAQE KSAQSLYHVETRARYGDVIVRMDLQLYRVDDQHYLVDFRNLGYYMVTEKEKDVIDVSR HDGVDASSMISGRTGNTISSSSTNTNTNTNTNTNSNGSSVPKPKDPSGQQSIGGVSGP FHFLEMACQLIAELASG L199_007012 MRGSRSGSQPQLKLQSLNEMAHPSNRELSIFYYHISEKSRVNAP IEPRYVTWYGTASISQIKSSVDTLGQNISSLYERMIQNPSQYGLSANDFIQSTFIDEV DRQFPNDPNQGYRHKLVKESTVNDIRKIKDMDEDDTARAGYMVLGTKQIPTNQSSWYS FSKPKEVITDIGILISSQPTQRSLSYTKKKEMESTLEEFEGFENMSFVPFDRTPAQLE AEAQAAQKSEREKYNTTKTEEDEEWVDWD L199_007013 MASEDTTTPYGWGGTQTHYSSADPVTLRPTGYNSSGTQDEGLPT DPVSSIFYWSVNTTNMMFVDRVTFDHVSWYGTNVKARSQIDKVAEGCTKFRDPNGTCY VASTQFYSGKDEEWAISQDILKAYRNVVVESQNQRSDEEEDISRYFRTQQVAQTTLQD IRRIEGQLEQTKCTPKLANGFLVLGSTIDPMRSGSSWIGTSAEGEITDFKVLVSPDES NGLFYNHKKSVLDKLGSAYWSRKVSNDLPSWPEACGLAEGYSGCEVIKVWHKTLEEMQ ALERVQLAGPMDDNDGTPGGISKSAKDAWDW L199_007014 MSSDLSNETHAGDPKISVLYWSLAEHPSTRQLSLNHTKWYGTDQ SGREGLDKSIQKSENFEAAYHLIDLYNDTMRASQASKLRLKRDIRESVAAFFPSWIEF KPGYTYRHISDGTLVENGTYRQKEVQATTVRDIQRINKMFEENKDDWNGILIFGTTHD PEDKEKYISSSIVNSVEEWWFGVDTANQPKRERERESEITGIRMIVSPLPSSNTFTQK RDILLEFIDQCKIDNVLSYPRAHKAASDHTEYGCEVMKVWEDSLNELEAFEPVNIISL KDE L199_007015 MSDDDLFKRFAALRAPTSQLTDHATQAGSSSPRYNIELSAKRAE EEDEELERIADGRFDGLIVGKESNAETEREVDELARRIANLRGNDVRNGLDDKDSEDR DVEKFLASCISAHSHNPILSGQSTGKTLAKDAREALEDAKQHIPPIRRNEGEEASEEE GEEDQEETEEQILIRALEEASLDKSHDPAHDQVDEEANKDNDQQQNIIPGLEGLSFPS LPTHIPKEDDEEVDEETKKRLDALMGLSPSPIRPEQTQRGPITKTVPKGWSLPGFDMN RDDDTDSWCCICNKDATLICLGCDDDLYCEECWKDGHGTGEGQERGHKAKRFVYKRPK QLLGAS L199_007016 MNATRSLLALTSSFARMAVRPQVPLTSSSRSVITPVGREMRGFA SSSRCEATIQQVLRGARKSSKRKSSVPLLDNCFQKKAVCAKVYTTKPRKPNSAVRKVA RVKLSNGSMTTAYIPGEGHNLQEHSVVLVRGGGAKDLPGVRYKIIRGALDLNGVAGRI SARSKFGVKKPKKS L199_007017 MSEEDYIPLSEGSGSKKDRKERVNTTVFVSSLPYTATTTDLLTH FSYLGPVRHGFVATDKDTGKSKGVGYVTYSLKEDADRAVQELNGSVFGGGNRKIRVSV AGERAPLKERKAAALDQDSKPKTKPRIAAGEEKSGDPNAIRTLVLSGLPEGITKNVLW KKVRKIDGKAELVYPIPAEEGEGESEAANRDTANIIFPSHGEALKALPKLHGHTYKGS LLSCVLKKRLEKLSARGEGKSQSHAGRLIIRNLAWDTTIQDLRATFLPYGPIHSIDLP TLPSKLPPSSDPSKPPPPPRARGFAFVWFLTRKDAEKAIEGVNGKVLKTKSGKQGREV AVDFALSKEKWEEARKVDGAEAKQGGGPAGSGSESGSEEGSGSEEDSDDDESGSEGDE DEESNEDEAEDDEDVNMSEAGSDEVEEEEEEPVKPALPSVDVGSTLFIRNLPFEATEQ ELNTLFRSFGPLRYARITIDKSTGRSRGTGFVCFWKVEHADEAIQEAEKVARETGANA MPLGGGAKNPFALPSVLTADPSSSLVSRLVLHGRTLEVSRAVTREQAGLMKEDSERAR NAGDKRNTYLMREGVIFPNSPAAASLPEAEVEKRQASFNARKTLLRSNPSLYISKTRL SIRQLPLFATDRTLKRLAIYAVREFDAEVSKEEREGLSRTEEMDETLSAALEARKSSK KGKGKERETAVIQSKIVRQTEKVDPLSGQGRSKGYGFLEMRSHKDALKVLRFANNNPQ VGKLMWDWWKEELKDLKDKTEQQLKSAREGKTSKGGESVDELEGRLKRIEQRLSEGDD RSEGGMRGGKTLLIEFSIENVQVVRRRVEKITSHRDGPREPRDKDRNGKREFGVGEKR KSGVIAAEDSDDEGGNASTPKSKKAKFDKKRDGKGAKKEFKAGKSERGPRVEQKEPKR GGAEDVNEKKGIEKLGNHLGSLIGRKRKQRRGGK L199_007018 MPSYKKVPLKSIKTLTFYADQLTNSRRVDPIPQLTCVGSACKSY QPEVVQCTNMGDDGLGGVQWRCDTDLPSSLRLGKVEVSCEGWSKSGDLNVLQGSCGLT YNLNRVNKGLEYGEDPFLPSSWDSFFNKGFNILFYLISFIILYSLTRSLIQRFFPRYT PPRISRFLPFSGPGGGGGGGGGPGGGGGGGGPGFNPGGGGGGPPPPPYTKHPSIPSQN QSAQSTGWSPGFWTGLAAGGLGTYLANNNGDRRPVQRDPYAGMMGARNRFGRRFDDDD DQWDRGVGPSRRAGNEGLGEMRRAAGFGGSSTR L199_007019 MSPVIVSLGNPLLDIQVDPKEGPQYLEKYGLKSNDAILAEEKHM PIYDDIVANATVTYVAGGAAQNAARAAAYVLPAGSVAYIGSVGEDDLKQTLLSANEAE GVQSAYQTQPSPARTGACAVILSNHDRSLCTTLRAAEMFTPSHLATPEISELINNAKY FYIEGFFLTHGIESALEIAKQASSRGKTVVLNLSAPFIPQFFKVQLEELLPHVDILIG NESEAEAYKEAAGMGDATLAQVASTLAAMTKSNASRPRLVVITQGAESTLVASSAASS SPSNLAPEDENPKTYPVPKLSADKIVDTNGAGDMFAGGFLGSLAQGKTLNESIEIGHK LGQMCCGQIGPKLVWPKENVV L199_007020 MLLYFVRHGQTEDNVQGIIQGHKDTPLNAHGRKESERLAQRLKD LKIHEAWSSPLSRARETAEIVLRHHPDIQLKLHDGIKERCLGSMEGRRRKRGEHAPPD AESSHELLRRVSDWFDLFLSSHIPPSPLPSTKGFHKSGIEDVEAPQQQKVVMIVSHGA WLSCLLQLISHTLRFKLSHKVDLTQPCYNTSIMVVDVEYNHEKHKWNGKIERWADIAH LRDMLEKNEEVKEVADDIRQ L199_007021 MPPYPAYTHQRGSSSSSVIPDEDLAATIPPEDVPVYLHHTIEMP SEPVTGDLVGIPPETEWATMYYNISEKDGTFSFRVVGKSHGDQTRNRNQDISYRCAER FGQLVNEQINTFKSFHGTPILGGRATSDSGADTRGIFEHNQSEACIGD L199_007022 MQQNQDQNLSRASTFGSTKSSNSVTTDELRSALGAEWDGIVNSI DQELSRRPTLEEQFNSTDNTILRPASKINKDYYELDTRTLQSSKQWGEYVSSSHDQQG L199_007023 MSSNHGQLPSEGSSFYALHAKGFEDIPNTQVSRQSGHTSYSLEE QKAWTAGQQSSVAPTQDLSRASTLSATAEEFKPGYTATSNNDHEWA L199_007024 MRVKLTLLPPFASAKLILPVPEGCKTIHDLKKHILESISVISQH ASKAKELVLEIDGFELLAGSQVGVIESGDVVSVRLAPGSSKVQVDPVENKKRKAHDKS KDADKVRKKRRTSTSKASKVNISSATRLPIVTSFPPPSQAIPSQTNSIPAKRARSLSS SSSSSSASSSYSSSSDSSSDSELSSSSSYSSSSSASSSSSSSASSSTWSHYPVLTKST TGPSRVPPGEGKPSTKNRNARRRLAKQYKKKQMSQQPPRDKRTSESVVEAVTATSASV SSGEQQIPVPGSMANRNKKKGFLNDMKDKRGVKTVFNDNNEDGEGDMSIGMEGAHVKQ DGSDPNQVNGDAEAVLPFQDTSYIEELGVRKEEMVIPSQMVDLPSNLFVTSAEFPRAP TSPRRSQRRKHLDEEEPLNTHERQDLSDGQEIEMEGVNDEEEMVNADIGDTEETLWQR VEKDFESLPVLSVDVMGSLKVGEMITCKELELDMITYSPALVTKIAKVTQKTDGQVRF EWMKKPLLTYEGYEDQFVGEVDEFMEEEEQEEVILDEGEVAAEKWKLARRDL L199_007025 MSIHPFFVNQTPFLPSGPVASSSKNTIYSNPSSIYASSSNTHSR AQSSASINTLASVESTTSTSSISSTSSSFSRSTPIDRECLRWMQRESDSEHIFGSISS RANSLSVEERDVRRRAMTIEVETTTLSEGEQSEESKIRAIKAERRKGRVGKWL L199_007026 MTTTTEEKLVGAQALSGEDDSRSPSTIPDHKQSLAQEIAAMSPE EYELAEKNLIWKIDRNLVPWMTLLFTMSFLDRINIGTAKLAGLTTDLKLTSLQYNNAS MIFFVSYVAAEVPSNLVLKKFRPSRWIPLIMIVWSIFQTTMGLVTNYHQLLALRFCLG LAESGLFPGISFFLTGWYKRKEASKRISLFFAGAVLAGAFGGIFGYALSRMDGVGGKA GWSWIFIIEGLLSFVIGIASIWMVHDWPDQAKFLTPLEKEMVILRLKEDTGIMQEGTF SWKVVKRALKDWKTPTFMLMYIGCAEPIYSLFSPTIIAALGRFTTPQSLLLSTPPYVL AFITTMSTAYLSDRTGKRGFFLMFWSALAAIGYLLFLTIPIRYPGGLYFAVFLTTCSI APCIATVIVWSGNTFGNHYKKATSMGLIFSLGNSGGIISSQVYRGKDSPRFLVGQGVT FGFSCICFISACVMYFGLRRENRRREKLYGPAPGPGQSHEWSSEEGKRRFGLEGLTKE QLVELGDDHPAHRFIL L199_007027 MNNRSIPSQIQSSYPPTNTFYFPTSQMIRPPIDDRCSQDAYNPL YGLMNHSRSAGMSDPGIYVHYPMPPSDLSQADYWGDQGMSPYWDGVPTQQGSQGISSY TYPQETYGGEQSSDGDMKEELIDERLEETNGSRWPDIVNLMPQRQAIVDELGEVKGLP MKLMKLTESNYDWRKEPHTRPPSPSGEA L199_007028 MSDPYSFHYHQYQSSGAVPASNYNLTLSQPSAVTHRSKCDHGPL PCKADKSKNNLFGYSSRPDNEYNGWPSAPPVSYEEARSNPDVPDFEGGPRWTGRNRYS HLKEEEVKYGAEESSKPSQVPTPVVQDNLFAQIGQVAPGWDPHQVTNPTVSTSWDQFT NSAYDHNSSAQWQAWIGTSQQSHEQAYNWGGYSNPNQPPQNAPSQHSCAPVAGPAPTN YGSMQPHDYNTVEYSQGSTNYQQDTNNTQGGLVGKVTGLFNESVFKR L199_007029 MSFPSGSGDPNDPRTWGWSDSSSTPGGQSQGFGAGIGNDAPAAP PFSTTSAQWHSPPQDHSHAYTPAPNHSDPYWQQGSYQQSAATHQSGFSGPPGHHAYPP QQTYGQPSNWGQPTHGSSYPGPSSPGTAYSYYQSNPHMYWNDGKPSGILAQAVQSTRP AGYTGAWPPKDAASAAIALGAVLNNKKQSSAQSGASGQFNPQGPPGYGSGGFYGSASG YGGPPAGQGW L199_007030 MAPNITEIESTSHFDGIVRSLPPNQLLVIDFHAVWCGPCHAIAP VLEQLSNSYKHVKFVKIDVDRQAQLAQRFQVRAMPTFKFLRGGREVDELRGASPPQLN ALVSKHAGTPAAATSTQASSSSYTVKSSAPSESTGSLLKHIVSNGLSCLNESSDHPLS SIVGPNPGPKGTSYLESDADAELLISIPFQDQVKLKSISIFSAISPSQAPKSIKLFIN TPNIDFSDAESLNPAQEIELTPEQVKGEKVDLRFVRFQNVRSLHILVKDNQEDEETTR IDSIDIFGTTGDASTEKAPAGQAAGGGSMMDRLMGRA L199_007031 MQSILHPIIGPAGPESKDLTGTVSVITGGALGIGFEVARFFALY GGHVIMVNRKEEQGEKAIKDIEAQVKEKGSKGSVEWVGCDLGELKQVKEVFGGLAKRL DRLDYLICSSGINSNQFGLDADGIDRHFGVNALGHYYVINLLYPLLRKTAKLPGVEKG SVRIVFESSEMHRFAPGSEDSVSRGRGCHFGSEEEITEAGKELGPIELYGRTKLAMIL YSKAIRDKVIKKNGDDIYILAVHPGAVNTDMQEQWEAAYPGITGKITKYLTLAGGRDP EQGSYSALYAALSKEVVEKDWNGVYLSDPATLGKETAQGSDLNLATSLWELSERMVKR ILGEDALESWSK L199_007032 MAIPAPPVVANPGLTEVIAPAAAPASKDSTPSSPESYDDKHQDQ LYTYQYGSGHNSVNSSDDELDAKWYEPPDSYESKHRWDPRATWTPEEENKLRRRLDLK VAFVACICFAALQLDRGNISNALSDNMLADVGLTTKHYNYGMTIFYLCFLSAELPSQM ISKKVGSDIWIPIQMMLWSVVAIAQVGINGKSSFYATRALLGLLEGGFIADTILYLSY YYTAAELTLRLSFFWISYTATNVIGALLAAGLLKLRGHGSMEGWRWLFLLEGIVTFLI GVWAFFYLPASPTQTAKWWRPKGWFTEREETIIVNKVLRDDPTKSSMHNREGLSLVDL WRSLTDYDMWPLYLIGLVAFITPSTVQAYFTLTLKNLKYTTLQTNLLTIPSWILFAIG NFTCAYLSKKLNSRLLFILVQPVWHLVFMIVLVTLPDTTGRWVKYAILTLVQGYPYCH PILVSMNSMNAGSVRTRTVASSVYNMFVQAASLVASNVYQPSDSPYYHKGNRVLAGLS AASIVLVLLAKLWYIYRNNQKSKIWDSWTVQEKEDYLRTTKDKGNKRLDFRFLH L199_007033 MWWEAGNSAHVGHYNQRETPEAREQLRLEVEAKESARQKKAKKA VEAYEKNRKKWQKRLTHLKMISAIVIIGIFQRKIAVVCLAGLIYYVFAMEITEMLKPK SKQVDDDDKPAKKRKKIPTTPGMAMTYIYEPNGQSVMEAGAIPTKAPSHRLMTSLDSR YAW L199_007034 MLFIRSPIPSSHCSSSTSSSSHHLGYRSPTHTQLSLRSPIFLSS SPRKRPLGVHSPADQLNLSCSSRPLKKIWDRLDITDKNNGGQPGTAVGDINNHNREKG VNAPLNIIITKMDIEMESDGVIIPTPSRSFSSSSSSISSIHTTSRPILGRLDTSSSLD FEPGLTVRSYPSSSSINDMTSSPPKSSSLPPVMHLKRNPKKLSLSLPALASTSTTAYN STSSPSCSTPSTVCPTPTYSTAESDSKFGTPYTPGPPKTPALAMSLGRSTYRGNRRPS LLSLITNPPGIDDLPPPTPGAGSHPYATMRLKNKGRARSQTAIDVLGLPNHQERHSTF PPIDEQPSGGLSALGYALPTTASPEDQTRSYSGSSPTTSTSTVSDDASASTSSTPSTS PPLPTAFTFSMPLNNYYQHPGKRQMEPYEDGPIEILPGIFLGAEESVHQFDTYAASSS KVRIINVAQEIDDPFDTTNSSSTSMPSSSKGKGKQKMKLAVHPGDSTGKRPEIEYCHI RWSHGELGLADIPPTAQLSHLIDLPEEEVSPTAGGEEIWGFWETIKWMESGRKSGIPI LIHCQCGVSRSATLAIAYTMALAAIGAMPDILGGIRSMQDAYDFVKSKSSWIGPNHSL VFQLVDFARHLTQILSAHPAQLNTSFPSNHDAELTEAEWARRRREFEESENGSSSPSI STPSEGEEGGESCISPEEADEEARRLDEEMLLRKSRR L199_007035 MIPTILLSTLPIPSLILLLLITLYHLTPLLNMLPNLTPSLQKLS SIIPHPKKSRNLPREFFNLPPRPGSPSSHKTNDEDTSIRGLLGVRGSLMIILLVEALV SLATGWAYLSITTTEGSEEAANWALVATSLVPLPSTLAFLSLFTILSKPTLYHRHAPA TSTSTIRKVIFKDGGMTHSTLLPRILPFSILFTTITIIISSVQPSCGGYVILGYTSLC LMIVLGSGTIGMWRMITKPREGLIRLRGESRMSLYEKDRLRSRSCTPDSMTDSTYRVS NELMGMTQEEGMERVRDTSSWLSSPSRPPTPVSSFDYSSPHGTVSTASTKATFKTPRS KPSNSSFAASASFAVPPSTSAFTSTTTLVTPEHQSRSQNDISGSAAEAVINDQSWLSE PTNTPSSISAWSFPPSPASPQPAITRNRSPSPSSVPLSPDEEGRDPNLPRPGERRLPV RPSDSTTYTHTIGSTSPTSGYFDASILGDYSPDPFNPLPPRGFASLTSYPVSPDQLQS QSSLITRVAALQSGDSLANGIVPVKKGSSTWTLQSAGADAYKTPDHKMSRARKVLSTA ERRAPPPPPMPVDMPLPPTPTLARSSILFEIQGKDSMEMLLGNSDWVEVEREEEALED WGRGGRGVGLVAVAGIVLCYGLSLPLLLNGPKDMAIILYLISVLLPSPFLALISYLLR YRPMPVSSGVRSKKSSTKTTSTAHRSLALLSESQLSLPLSISPKLTPPAPKRASTMNL ASPTLSKMIEPKPSLTTFIGSGRRHTVYGGLTLAEMQGDEDMRKTLARRSGDVWISNG HAIEGGGFISRATEMLKPVPAMRVLEDTRRRNDDGTMKKMRGGVVSMLAKRASSLFHS QTRDDIELGQFEDADGEPSFDKGIAPASPARSGIAISIIAPSPEKRLSKATAVTRTGS SYSTGEGENEPEIDASYGTAEIGMAKRGRMSNGPMFIFGKDKEKRTENGYELDWLTAG VLPGLVPSIKIRNDVRIEPALHSAPPGQTEHDHGHEDQHDTPKVRQRPLSDMPISPYQ EGDSSYVTMPSFRDSSFKQSTPHGRKHTHTRSYSSSVDFTLPSDYFTAETATSVSREL RQRNAMTNLGLELGRSDTVESSRTMTHKPSFGLPKLAKDDFEGEIRKSIDDLSQRPNF DEQDCQEHQVSSNGIDLPPIPTTMTLKHKPSLSRVSEVTEEPTLALSNNSVGQQEIGN DTSAIFSQSALEDMHLALALGTTMPSPLRQGSSNNLQPKMSDDSTHTGDLSIVHSIST NDENLEEMERMMAMDTPTRTEFVISPPPGSTSGASGSGRDSRASDRSVSTFTSAYTTT TTTTTTNDSPLPPVPTLPLEYRQPAYPVYSHPHPPPLNTLNVQGSSSVLARQISMPNI GTHPPVQSLLPKARKSTETLHSTNSTSISSSVIQTPNNREMNHQPSKKELKLVKALEE RNNQPRSQTSLGFNENDKEKKSVVEKRGLRPLTLLADNSSNANRRSSVGMGLRGAGQV EGGGSKGLSISSDDNDIATRSKSSLGGGKMWVGSGKENVRKGSKISAGSGTGVGVSGI RGLRA L199_007036 MFFLRELTHTILLHPSYFGAQLEDYLRQKLYEDVEGTCSGKHGY IISVITISDIGEGKIIPSTGQAKFKTTYTAIVMKPFKGEVVDGKVINVNKMGFLAMVG PLQIFVSSHLTHGDMKYDPNASPPCYRSNDEIIQKDTKVRMQIVGCRVEANDIFAIGT IKKDFLGQIRED L199_007037 MNEKSDPNAIPTTKEQRRTSTTRYLKLSRLPTLQEVLDRRTRPP LDLFCFYIFLQRESAEDALDFWLDVKQHENMCKAYFKDIKRSGRTIDEEWPEFANYAR TNGSHFSPLLSLSTSATPRQPNSPNPAQGDFSPRSNQGEFDSPTLGGTTRERRDTESH GNAPRSSMLSPAPSSTMNELGMGRPQNRDRPSMTPSGKMSLRGKFGGGHVPKTKAPTV IARDRAIEKSALIAGAERIYLRYLLPGAEREIYLPPSLRIHNFPISTSDSASPLIPDL FHAQKVYIFKALEQDAFPRFLRAKAFGNLTPLGSFVRLIVGLVCLWGGFVLAFSLIFL DWKPRLTRLWTILPFIVALNLLLSSYYSLSPLLALLNRSETTPFRHIKVKEPYVRKLL TIRALWIELVCILITAILVVIFTVVPGHRL L199_007038 MIPIRTSIRQRSNRLIPQYSSPSFLGLAPIRIRSFVPQSKKFYT TSPPRPVSSKSPLIQRYAPSLHNLSVKTGVPLPSMILSFMVLHEITAILPIFLIYWLF STLGVGLGMVGWIMDVGHQEGSTQAHIQEGEEGEDESVVRRWIRDWYVEGENRIGKIG KRYGIFGYEKGVKDSKEGEEYTDGVLVQSGAGSGAATKVADAIAAYVVVKALLPLRIA ASLGLSPTFARYTLVPLQNIFKRFRR L199_007039 MKSRRVGLARPRIRPSMPPESQSLSHTQESTQQPSIEVRPSSPI TTDLVTSGPSSSQPVEEPSLVSGTSSLGQQGQSSLVEESADSGLGWTQSQIQQNKKEI DHKHTREEGLQGIRERSEEGVITSPEIPSPGPKWNTKSPSPARAVQRSSPDPEVDVDQ LEESAPNRSIGGLEETEVDTQTPHVQTPEQSQPPSTPPSRSHNLPQPPIIPNVTNPTR QLRAVTSYSPKKLTPNSRKRNGDLTKITQAHTAPVNFDKPMVFPSSRNQRRKAESLES EESDFAKELTTTKPRTPYEHFSGIMERTSHHHLDTIPDRSNLQGPSAISTEEEEEIED RPPRSPRVPVMNDGDTDRGGSDEEEEDGAINWEVSLQPANKSSTDTDDHQGSTPPPLS DQADAHGDPSPHSSQLQINGNQTRFNQIAGSESQPSQQMDFDNGHLFSDADEGYIGYP ESQPSLPPLAATQARQPSSSALDSIHEQPIPEAVYEPTQPDETQQIAPQLPIDGDETD NDVPPKSPSPPRPIMSRAASNISTASKISVPSHRQLSRKPRSGDDSFISLVKLDPPPN LPPTVLPPRASALPPKPIQSEAALALPSSSPFKQSSLHRTSSEPPAPQETLKNSLDKE SSSIESKATNDMSSNNRVVSSPNDQLNRVFTPPPGNPSSPFRPPPTPSSPSLEAAGQP DAPALSTVLEETQKNSASLPPARPVKVSPKQYTNRSKRKRISSTPPVGYNSDSSTDSS SAPEDQEDNTYRPKPAYKKRKKTYAASNTSSKSAATPDTTVIPSSKRKRKPIVRSSSL SSDGESSSSAPEDLEDNTYQPSFLPKIRIKTEKGKGKANASAPSSTASSRPPITKKAK TNAHVARLSPTVSTASEAIVTPQPIPVLAAFFQRYYPGKATWTGKAYKVNFEDGEKRD HIKPDQMRKLMLKKGDRLEACTESEFPPKFEVAEDWDGDLKGVKCCSVEGEKLGRVKL NLFGISNKVIHASFGGRLFEDPHQQAKKPNGMFRPAGDRMTGRISVPRSPVKRVNGHG NGSPAAARRSVSPTRSTSETLKGMLFLLTRGLPHDQAEITSAIRSQGGKIASSWEELF DRSSPGECGFSKNLSGTPFVILMGEGKEGTIITPKVMVALAKGIPVLSSRFVDDVVDQ NEKVDWTSYLISPGFSNHVEHYMSQVVDTHWGEDEWELHRAGPIRRPLKGKKVLFVLP SAKYDSLKRLIPVCAYSMGVEEILLTPNLKSSETTIQDNKWDYILFEDREYKDKVIPT WLSSEEDRLCNIHWLKQCLIMGKALPPSLDVEPIQEKDKKKDK L199_007040 MPIAVPVSPSKLDKMDSIPITKVAQQVEETNKVLGAGGKELPAP YKSTGNEALDTLAFLHLLEQLKVQKRSGWIREGVKEAESISDHMCRMALMAMMIPQDP LRPLDIPRCVMMALVHDLAEAHVGDITPVEGVPAHVKHQLEEEAMDSFLNEMLGGEGN KDARERFRSLWDEYEARETPESKLVKDLDRVELALQAVEYERSQDIRTLSPFFVGSIP NLEHPVIQKWAETLMEERRQLWASRGREKEEQDGLGGAKVGDKVKKVNGV L199_007041 MDISTALSALESLSSSTSTSSSGPLNALIDVQFANAKSRILAGE DPKVVITELQKNVKNGKKEVEKGLKGWYGALGNVGKEVDKAFPPILGEISDAYSDPPL FVEPEAAEALDRAILESLGRRGIWDAVEAMEEETSLSYLPSKRELSTQLQYILTSIRS SNLQSALEWCTENSTFLSSPPHPSSLPYHLHRAVFRSIKDKREAISYAQQYMMGYLPS QPVLKLITGCLYTGQSTGKKLNGHNVHEDEAEAEGEGELEELAKMFQNEFCRRYQWSK EDPLEVTVELGSRGGTLDKIEKARRVMGEHLGNVRKWDELPMEVPLPPSRRYHSVFVC PVSKEQATDTNPPKILTCGHVIAEESFNRLLKGGRRTVKCPYCPQETSQSVAQRLYF L199_007042 MSQQADRYSQQNPNPMPARNIPKPPETIEYICGDCGAKTAMKTS ELIRCRECGHRVMYKPRTTRSPIRSSLEYDHQAVLSGRKGFRVV L199_007043 MNELSKYLNEAQAELKSTRTRKEKESRLMRLTENYQVMKIHLIK EKISNTTLVREKESLEKDNKRLRMELEEVKESMKKIDREIKETKDQDVKEKAKTRELE EQLAEEKDWIVKHRKVLHSRFETINSLEHQLRFRDEEITKLKDTIEKKEKTQGALRER IKSFEDKLLEMRRRVTQE L199_007044 MINSDSHSCSPPNSPSWYPGLNVLKRSIGWSDNDDINEHQSDLK SKRSRHQSSNSSSSTSSRLPSSQVNLSSVRKELQHAEAQLIQSEKITDQAIDQYKLAK RDEESREMKRDMLKERSMRIRFEIDKKRSEVTVEEIQGRLGEREEMINVLDAKVISLE KDIIKCKEDNKTLKVEYKKKLKSRANAMSEKDVMISSANDKFKVLETDIRKLNADTEQ LKSKHQTTIDNLKSIHQQETNEVNREHSKKISSKDKQIKEINVSIDGYKKIEIELKVH NANLKKFVQEINSRSSELRE L199_007045 MNSSSSATSSQPVPHLTSTPSKSSRKRARSTSPSFTLRSSSPSG ILPLATATKMEQAIRDLRAAEQDLDIIKIQREDKEKDLILSQKDYMGIKMKVRMLRER MDRLSVEEELETLQGKLKEEMDSAKHFEQRARELEDDNVTMRKAMTGLQDTYTTKMEE MERGRMQDMLDRDTKINEITNSLEENKKVEGDLRERNVKLEERLEELKELVHRPISIG SFKILTSTEEEGRKKWTDIGM L199_007046 MALPQASLEEKIQALKKSLAAKQGEIRYLGDGMEEQDIIIQKME QVIEKKERVINAKDKTIEEKVIINTHKESVIESLRFSAKKRRNELSNANGYTPEARGG ASNRK L199_007047 MAPIKQPDLKKKKRTFFKSRLDIQLPIVKDDNTAKKQEKGKVIV PIKKSEEVVTALRSNNPEVNTRTGKTTGVKDSVYKKVKVYTSKAKSKAQAIVGRGTRS IVRSSKNSAIGINNKPIRTVSVSSRITKSLTKIPKAKATPKDMDKDKKTNVIEITRSV KGKEEAIPKTKTSPKVVNTTRSKSFTLSKPINQKKKHKMVTAKSIKATKEILSKRILS TYKSKSQTLAESQKQKESQIELETRVKSSTGGSNSKPLKKEYMTAGFYCQDPHPPSTK QLHNKILSIRKTESKNTKNQSKSKKVDIPVGRSTRSKQASSSTTTKKTNASALKDEQK VSVSFPPLPYDHGYDLFFNQEHEFTLPYNIMKEKLDGKLDGKKKPMAYSKISKNIYPE RQKYQTDFHAICKCSPESKCSDQCINRLMSYLCGKDCPAGDECTNKTLRKRKAASYKV VYTGSRGFGIVLTQDVKEGDFVMDYRGEVITMDTFMERIQNEYKGTKNFYALAYDQDE VIDAGMKGNDARFINHGCAPNLEVRKFEIAGDGLEEYEVGMWALRDIKAGEELFYDYN FESFGVAAQSDELRTKCHCGAPNCIGFLGRKAGEKTAKGLAAELANKAKTLTIKKSTK KSSKVRKNTSMGMTLQGGTAVMGLMEDTPSIISDSEPVSSQSSVTLKTPSESSVDVVI GTVSSGTSTVDSSSKTSGKKRKSEVSTTDVDSNIIAKKKSRKSEPAPVPSITAKKAKP RKSEPIPALEASPSNVSTSPTQTKTKKYKPRKSEPILPSQGKILNPRISMAEVREAAR IKKAEIVKARRGAPKGWVILPLGTSTSTSVANNSGSGGMGGRRPPRDRLSLG L199_007048 MRVTSYLTLPVLLLASSALAESSRTASQISQDAGKLLAAGSYIE AARAYGEAIELDPTSYVNYYKRATAYLSAGRHGAALDDFDQILKINPSFAQAHLQKAK ILAKEGDFEKAQSELKAYGKSKSDNESEELIHSVTLAAGASKSAHKARKNKEWHVCVD HATKALEVGPNSAELRELRVECATELGDVQAVYGDLSRLASLNPSSTLYPVKLTYISY FLLKSDLAISHIKQCLHYDPDSKSCKKLHRLIKNFDKDLAKIRNFVEGNVFRSAIKIF EGESGLLERFETALDSAMQPNEEGVIYLDKKFRPKETSEMRLEIYGLACKAFIGTGDL SNKKRYKWCEITLELDENNVEGLIARGEKLLKDENYEEAVRVLEKAFENTGRTRQDVL NRVQKAQRLLKQSRQKDYYKVLGVPRDADERTIKKAFRKAAKIAHPDVGGSEEKMAAL NEAYEVLSDPELKARYDNGDDPNDPHQGAGHNPFAHHGGGMPFQFFQQGGGFPGGQFP GGGGGQKFHFQWGG L199_007049 MHNLPSHCHPLLIAKTLSNLTFAEIATQIDKPEVWTASLFYGQA VTDEETAENLYKVLGENSFIAEYNHNYLKSPGAKLTKEKFINGLIGRSESSLGVKGLV DREKGMEMPPKDPVLYRLYEVLLVYGYSYKAIIQEKFGDGIMSAIDFRTSVERKPDPK GDRVVITMDGKFLSYSSPEAWKG L199_007050 MSFIPLLTTSLKGFAAFWSLVLFAVSAAFISKSNSYFGSGPVNA SNFAAGNALIAGGILFLIYLGVALFFIFRFPDHILISVMVDTIMFGIFFIYFLASTAA LSTEASFFSRWDDRDTWASLGNAAVGLGWVMTFLVLGIFLLEVIYTLKHFGGEYPTWR TPFNQLVAYGAPGSSNKAGTETGVASAVPMTTTTPNVATQHTYQSNAQQAYSAPASAP AGQQATGEPDEHHHIITPYTHQGNGQGQGQQVNEKLSPYQGQLPPGAAA L199_007051 MAASMVSSQPEEISAAQYVGFDSITRQIEHKLLKRGFQFNVMVV GQTGLGKSTLINTLFASHLIDSKGRTEVDTQPKQTTEIHALSHVIVENGVRLKLNIID TPGYGDNVNNEGCWDPIVKYIKDQHSAYLRKELTAMRDRFIPDTRIHCCLFFINPTGH TLKPIDIVVLKKLAEVVNVVPVIAKSDSLTLEERAIFKQRVMAELQFNQIRLYPFDAD ELDEEELQLNERIRDMLPFAIVGSEKSVIIDGKPVRARKNRWGVINVEDENHCEFIYL RNFLTRTHLQDLIETTAQIHYESFRSKQLLALKESSAKNQQSAATASA L199_007052 MAAPPPEIYLAEGFDPTSLKVAQLRSILLSYGHGYPSSAKKADL VQAFEQNVLSQASALRAAASKKIKPSNKGIITVSENGDEAPAVPAKRPRARSRRTTTV DPEIEEPAVAAVEPPAKKPRASARSRKSVSIEPELKKATTTQRKPKKESVPIQEEDAD DGVEAEIEDETTPAPKSTGGRKSLPPPSSTPSLLTPATDRRRSAVGSSSSATTELRTP SVGPEIKPPRSSRKSEPVKVMDNVAEESEKEESPKKPIKPQTPRKSVGDESGFSDFNP FQSGSEAAADKERRRRRKSSLGLETTKKPARPRFSEPAPRATTPTTPILRRVGPSREN LRTPPSEVKAAMKRELDAAVQYNQAVENKLNQITTRDADEPTQVTVESHIVPVETNSL VRKVENQVATIPAIRTTIPLSVLFLLLLSLLANFKSNSSSIGYCDSGSNTNDIILNRQ SAIDDVQACIARKASLELDNHEAAKQVHCDVKDLPLIPFLPRPTSCTPCPPHAECEDG AIEQCIPEYILTPHPLTLLSPIFDGLPGMGPEAFPPTCRPDTAKKRMIGGLAKELEKE LSKGRGLIVCNGLGKDDGRKGEGERYGVEENALRERFAARRDPKFTREQFDEIFESAL KDLVEHEDVIESIDVHGKSWYASSRTDLTLSCRAKLEAKDLLDRWKSQLGSTAAVIAA IMYLQSEVKRRKAEKYRAEELAQVALKRLQDQEQSHYVDPALTPHPFIPPDQLRDLVM PPKGSTASRSRLWTRVQELVEANANVAVREKEVKGELWKTWEWAGAAVEGADSRHVTW ES L199_007053 MKSREAGVISEFKMITATSEKDASRFVKKYKTLEAALDAFYNDP SSASLSNGSGSNKGQEKKLGEIWEKYIDSSDPKLVKIDGTLAICEEIGVDPSSDPVLF CLAADLGSKVTGEWEKEPFVKGIASYPGNIDSLSSLKNYLPALRKKLNTDPTYFKKVY MHTFTLAKGQDFGARTLQLDTALDLWTLFIPPALSSSPSALARSLDNRPPEFTEEEFD LWIEFMKKKGKAVSKDTWSLLVDFIRTIDKDFKEYDDEGAWPSTIDDFVEFVRTRRTS K L199_007054 MSRPGTFRSKSSDKALPSLPAGGPGLDCSGVPRSPAPSSTVGLG LGLELNPKSSKPTPSHFIPLQRLPTTIYTSSIPPVSSPSIISSSPSTPIASSSISISG YPFPYGNEHYSNSTSTAINRSTSTTTLTQLARPPDSPSISISTAPPYISSSFTPKKKK VGFGQAESIRSEKVMLSSSISSTSTTSSGKKPDVPLGRKRSSGQLLMGIGKGLNRVGS VMRRNTDSNVDNTSPNKKGHGGGSGSTWRKGRRRKTNDWQDGWEKVDRIGEEGDEGDE GIGRPFNVGHDLHVSPDLSDLPEQWLSSLKAQGLTESDLILISAARKKQHEAHRLPLR TTSRLPQAPLSAPPSRLLDAPRREMIAGPSSEDSHQMGSSGLLKKFSFEDRSPTTPTQ AGTSNTHIAGSSPMTLSPIEMPNHNQLPSESLQTTPNKYDRRRAIESFPVSAAGSSDL NHNHNHEIGDVFNPHRNSTISALPGEGTDFTVPTRRNKRFSSQLKGFRESTFGLGEED EGEWGKSVLNSTWLSSSAKEKGKMRNDRIPVPPEPLFPLSSSPSQIISSKSGHRTPPS HDPIEEVVTPKSPPPPARPRKQPSVTLVPIPNQYTKQPEEDEDSEVEIETRKSSESFG VHYNTSIAKSKSSISIGSELITPSTSMEQGITVREKADSDEGHEHERQGECTFGSINE IKNKDTDNCDQPIELISREGLVRSYHSNPHISLPASAIHSRSTTPDLIPSSSNTKLKP NLVHQQSYSTFDSTPYKVLEEDDLLDGLDRANPEERASIALSILSSRTSASMQSLHEL SQATVRTAYKLPPVGEVSPITTLSTSNPFINKSTTNSNTNNHGNEIENDKNNEDNETN DKHNSTNTNRCSSGGLKLIDDSSESGSISLVSTSGWGSEENDGEGEAKDAMDALGEAA RKLRSS L199_007055 MPHTLNTVTLTESNIGDTYASFRKIADKLCPTPRTILGINFGQS YASIAVIDKEGHPQCIANEEGERQIACAISYVGEQVYIGNGAKPHLVKNGKNTIMGFR NLLGHTYDEVDHTTILTAPLLADSQTPAYTVDILVPPAPASKPASNPRSAAASGAATP NPAALEPIPSTKTITVPEVTSLFLSTLLNSATDFLGTKPSACVISAPTWFTPAQHDAL RKAAEEAGIAVLQVLDEAAAVLVGYRVGDAGENEKRDKKVVVLDMGETSLAISVIQVS EGQYTVLNKGRDDKLGGREFDNLLLKHFSKEFTKKTKVALDLPCGPDASAADKRADAK LVLAVEHTKRSLSASSGAATCAVESLKDGYDLSSSINRLRFDGLASGVYRQVGNKLTE VVKEAGLDLAEIDEILLAGASTLFTGLQQHLSLLVSPTTPVTSTIDPSEVIAIGCALQ ALHLETLEDGLKVDDVLASVKEKVDVTSQPIGLVLPGQQGDELAAVIVDSGAPLPVRR RVAIPVQGVNGKVALELWEGKHEVKIEKIERPPLEKTDDDEEDEEDEEEEDEEVKTPI TKKVKALGGVEVEVKGDNQLILEVIVQRNGGLNVKAWQEGNEAAADSFEI L199_007056 MRLSLSSTLLALPLISAVNALHFYFESNEKRCFMEELPSDTIVE GHYKAYIWDEPANLWRMDQDVGIHVAVEELSSGHVVVNTRGPPDGRFTFTSHEPGDHN ICLHSNITGGWLTNQHIKLYLDINVGSSRPDAEADSSHVTTLSSKIRDLNNKVADIQR EQRYMREVEATFRDASELTNSRAVWWSLLQIGVLIGAGVWQMRYLKVYFEDKKLR L199_007057 MPSSPSQNPPVLESNNNVVQTTATAQQNTRALLRSLEELRDSLS TRVEHLSNAVERLRGQAGELERALEGESGVQRELRDPTRSRQRARDIVDAYENRENPS PVPPPAPLIATAATTSTPISRSLSRPITSDEIHTLLNRASASAPSSRPNPANDVWMTR AQNIEERIRRLSETARDLRSRSTSSINENTTERDRDRDRDRDRPDDLLRGVLNRAREL REDQDRLEDGIRRLSIPTPEDGDGRARTPQIVYPNLNRRQSRQGTTGIMSISRSRSRG LTPTNIRQVERQPPPEIVRSAPVSPASTIRPSLDSTSCTDVAASPGPASDGNDGSNNH ISPLPLPPQDSQLTINRINLLNQPVPPRPSARLSNTDLMDMARSIVEGISTSSLTDLD PPSTTNNDITPLHRRNARRDSSLTFRGRRVEASMAQDQGQGGAPPRSRNDNEEMTEDE VLRTWPFLAQILQHPFTATRTTTTANGQTNRTGASTAQSRGESPMEETVRLMVDDRER RDRYRSPRPAGSSASNIGEDERGLRRTNPSQWSSSWSARDPDTEDDQDDRQLVEEHTV VVIDMTTDPPTEQVLPRFLMSQPQRRSTEEQERIRDDRRLANERVWESRRELESMGIT GGPNAPRSRANPPQPGQRDRDTLTSTSSSGLGLGLEPALGVEAVTPTQGISMADLENG MEGVMRALIIDSDSEDDTTDDSESDNLSESGSGSLSGEGTVFEGEGERVERGNNHGQS TSNTRAPNPINTIIDQRIPPKESFDTDLHLWPSHYNLRYQSESEVVPQREASICLQTA GYQQD L199_007058 MSDVTYLKSLLGVHPDFPKKGVTFLDIFPILRDPVAFETLITHL LHHIITTNAERPDVIVGLDARGFLLGPIIAMRLGCAFVPVRKGGKLPGNVEVVKYAKE YGHDEFEMQSGAIKDGQKCIVIDDLIATGGSASAAGELIKKSGGKTLEYVFIVGLPFL KGHEKLDAPSYWIVEAEDQTIPSISPV L199_007059 MYETTFEDFEFILWTILTPIATYTFFTAPCSSPWNERLCWHVLW ALAFWLNRRYGYPEIEDPPPEFCYYREPIVLTPRKRTQNRSRRIPKDCLGMNGLEDDI RGKDEFGFFSFATLR L199_007060 MKSPSLTTPTLSSSSIRSPNLAPNRAPSPNLPHRPSTSSNRSLK RSEADFEAALLDPKSTLFLSAGSPIGEDDNDPNANTKLKKYNDPNAPLPVEKTSFEDD LNNLKNRGLGILMESPSSPTKRNSNIGVIPPTPSTIGTERRHNRRASQMTNDSGYQSS GYSDTTTPSRRRASKSLGIDAELGEKPIPKQSSMAPKRRSIFRSPGTASSPDLATLVR KAKEAKSATTTTKIDEYITPSSAGPTTTTTPVKKGSTNRRPSVSNSIKGSNINPPTTP SQAESSTTSRNRESSEPNPKVDNEHWQRYGNMMGGNQMVVIAEGSGTIGGVGRSRTQS SDEGFKSMRSKARGVFGKMFGTSKDHHLPPITPPSATSSRFPDDNSPRPPVPPVPSAY AANRRKAPSPPGSPPDAFTANANPPPSSRSISASTVQTQRQPSPVYDGRDSVTPTKNR TVSGNSNLSTDKPLPAVVEPEDGPRRTPSTSLRVSGHTRQPASAAYDESPPADEPPLK PSASPATQAVYTFKSDMAGMLANIGQTEPAKELGLPPDSIRLARNRTISTETAREPIK NRFPTGLGRTASIRNTTSHLSPIPNQRTSSLPVGSSQLERVVPQRTTSSPSPNASLNG DSSLMPKQHAISRSSSRSSGRKSSTSKSPVHSTVPSPNRSPSLSRKKKSCDEGGSPLV PSSVRLISSPKQQESSTISPLNLTSEGLAKSSFINSSPWGKQTPRLMSAPTYSNNELS KDDQLEVVDTPPETPRTTHEEDEEEKGRRLACEFLEDDFVSVPGEKVAEFLGGPRAVN GIALKYYMQYFDMKGQTLVDSFRDLCQKLHLKAESQEIDRIIEGFSTRYFECNPSTVY GTPGVVHTVTAAMLMLNTDLHIAELNKHMSRADFVRNAMRAIHESMPAGDRSSTPDLI RDDSSSMKLGFGSNASMAPSFVSVRAKTPANPPSTQRSASAPVVVNAGPPRTDSTSSI GTNRTETKARGSSTTVSSFNYNKAWEVEAENALREIYASVKNDRILLPISGNQGSNGN RQSMISISSNGPFDRSRTVRSPSDRVNALKRGSIRGMQGLLNNPYGSQWSASDGRLSP TPSYATSINEGLGSFAPTLGFASNLSHTVIREQDDEIRSLDSQTSDDTTEDMDDDELA LLGAPWAKEGLLSRKLYWEAVNKRAKKNDWKQFFVVISKGELYMFTFGEKGGGGFMGG SVGGGNWLENANANGQISLMHTMSVALPKPGYNANRPYCFSLATPSGETSFFQAGTED LVSEWVSACNYWAARKSRQPLQGGVSNMEYGWNRISSTEGEQRQRDDDDDRASVKSGR SNLSKLNVSTYGRRNNHNNHSMSNPNDKIYINDWKPPPPATMPSPLDEESQLEALQNY IRALVDELEGHKAIEEPMNKLYTSGSKNHTKARENWKAKSHYIHTEIFKYETYVEALR NAISLRVKKQGEKKLEKSLARSMTSLHRKDSQDDANEEEEEEYEITTTKKNGTHNGHD EEDDDDDEGYGTPSGNDTIRR L199_007061 MSSTSLARTPSPKSSPTINPVSPLSPISPWPPIPPSEDSASQKP QDDLPNSVDVYSSIAILGTYLLFALYLFWAFSPGDSSWTSWLPNRQWSIIVPCWLMMV VLLTYWSYAALTIYRTPDWNSIDCITDPYAGIPPIEPIDSGTEQQENEDRRRAPYYFD AVGDKASSQAVDLPVDLVNRVLYPPRKRSDA L199_007062 MLESSSPTLTWTNVLIGLLFILFDSLLSLVLGLGIGGSLMVAAG RCVLQLSVMGLILDKVFASNNIWGVFGIAALLNVLGAFEATYNKSKRRFSNMFPLILA SMLSGTVPISVIGTRFAMSQNPFWKPDQYVPIIGMILGNAISAIGIALNTVHKEFSEN KDKVETYLAFGASRFEACKPVGKEALKLALLPTVNQMSVIGLISIPGMMTGAIVGGKS VEQAARLQMIIMFMISASSALCTLLALFFSLTTLVDSRCRIRPDRLDSRKPIFYRYRD LAGEKIWKGLKGLKCWGRKESGTEEERRGLLNGGHE L199_007063 MTNSLVSAIDGVITYLAKLVDTPKINYRELVILSTWVQTAFEVY ILRRQLPCYERPLPPPALKQHVSKETFTKAQSYSKDKTRFALLKLIFDQFLGWGLIKL GAYAGLWNFTGGLLSKIGISPNRVTTHSLAWMTVLTLISSFPGLPWSYYQTFVLEQKH GFNKSTVKLWVMDTIKTYALAAVIGLPVLAGFLRIIDWAGKSFVPWLMVFLIGIQLTL QIVYPTFIQPLFNKLEPLPQGELRTKVEELAGQLGFPLKHLYVIDGSKRSSHSNAYFY GLPWSKHIVIYDTLIKDSTVDEVVAVLGHELGHWYYAHPTKLLLVTQLHLLLTLTIFS IFINNKSLYASFGFDPSLAVAKPQPICIGFMLFQLVLEPTDAFVKFLLNAETRRMEYQ ADEFAVQLDKKSDLSSALIKLHITNLSSPHNDWLYSMYHHSHPTLPERLNAMDNYKGE KRLKLKGKETKTETEGAEGKKDL L199_007064 MTYNPLHLLTLPPTSIDPKPISTVISIDSFSDTIWLGTSSGTIT ALCTPISLTRNVQFPAHGSKLSAVAQGGYLNMAAGINMAVKELRITDRDVWSLTEGGV SGRKRGGAVKWSVDDPSRTLRSMAPNPVNSHEVIAGGTGPLILANTARGEIVRKVDIP NAPIVKLSPSLSSRSVLSASLSGQINLLDPRTGFKSQSSIVPVQAHTGGLNGADVQGN LVCTWGWTHMQGHPLPDPLVKIYDIRTLRPLPPISFPAGPAFALLHPTDPSKLVISSQ QGMLQTVDMSTGSTGSMFQQLDINSYVTSMALSPRGDYLAFGDADGNLHLWTNHDTGE TAQLDENGQLVLPTFNGYDGIKPEWPDQADPLPPIVWEDTTPLNLIGMPYYSEPLLSN FPPDLYGTDASPFFNPPLPIPTSVLNSMKMVDFVGYATTPKELKGKRYVIPSRPGARN VHKNTNVNNQNGRLMNGTNGRRNSEPRFRSEKDKLEKKNGAKATKKTKKITGGGGGGG GNQNLMNVDDEHVIEDDDDDDEQNAVMGDGEIPKYYRKVEIKYSKFGIEDFDFEYYNR TAYSGLETDILNSYTNSLLQAIHYISPIRAVATAHICVDCKKEHCLLCEAGFLFRMLE DAKGRNCQASNFSRAFSATPQASALGLMDDNDKSTAPYGSLIQNFNRWLLSTFSTESI VEGETFDIRTKDIQDLSLKKSDEVQKASAIDQVLGVQIKTTNTCRSCGFVSERDTTLH AVDLIYPKKTTTGTGKPKFDDVLKSSIFRENTTKAVCSNCKSFAPLDSKRTLNNVRDQ LPPVLSVNAMMTSNDLYEIWKNDHKSKTRFLEPSVQLDVDDGQKIGYEVKSLVVQIQE DERTPAHLVSFVKMSNDSGSNWIMFNDFLVRSISEEEVFNFPDQWKVPSVIFLQRVDS SNLLDLNGLPREIDKSVLFKDVSIAWNRRNALIKHKVLEENELPKPGTLIAIDAEFVA LQQEEMEFRSDGTKNILRPSHMSLARVSVLRGQGEKEGVPFIDDYIHTSEAVVDYLTE FSGIKAGDLDPNNSPHTLVPLKVAYKKLRLLVDLGCIFIGHGLSKDFRTINIFVPPSQ VMDTVNLFTIPGRHRKLSLKFLAWFLLKKDIQTNTHDSIEDSKFALLLYKIWKGYDDT DDLRGFEGLMNNVFLEGSKTGFKPPIDRPPSPNAFPPLPAPPTQTPAQQTGGGKQQKS RKGSNKNNNQQQQHSNWNSENGESAGGGGGGGRGRGRGKPPRQW L199_007065 MIKSNHHLNQTNHHYISSKSTLTPSDDITATTTPKANTPAGITI IPPSPSDSFYPPPIAGPSKPPRSPISSPSTNSQGAATTTALDNGDRDYFSIKSIPSFS SSTTSTNVDRTRNPSSPSKRLRPHTITRSQSHYINRTPNTDYHHHRQDKNVNIPSSTE KKHSLPLKRLSIDVNHNPYNPYDQDSYSSSRTTGSDVHTPTQEYGNNHITRRRPSLST PTSSNPYTSPRSRQSSLLKSPPSAPASSSALGWLTGNRLTLPPISTKHSKSDDEREED EEEEGSLEDRTRTVRKDKYRNRDWRMNMSNGGLPKYGESSGSGSTSTTSTSTPFISMT PPSANEIASMSPPLPVNNGYVYTTNISPPRTSILPSQAPLASPSNLQPLPQDPYNILP LSLPSSPFVSPSPSRAPTPGPSPHPSLQDLASEYATAAAAAQIQPSGSGSSPRTSIST SSSRYGWTTGRSDSRSSEEDDYIPPHSPITTSHKSHRPTISIPRSGWWQRTLSRSIDN DISVSPKINFDTSSSSSSSRIIRFPIPTVSASKFVPTGTRNWGWLFELIQDKISFTPS NQSTNNNGNGSGVTINRKNSISAKSRNRERDRLMSGHSHTRSNGGLTMNARRRSVGPN QKVWGSKWLARIMVFVPTEPWSISLFLLFFAAFAVTLTFTLKHILNPDKEPLPWRQYC ASNYPTLYSLQDPSLPSPHINSNVNSNPSFPSSAHIESVPLNSFAPTSSKPLTLMPLT PQHPAWPYHPHLTPPQPQISSTNSSIDPVGVLIGVFTTDAGVERRHMIRQSYASHWRS RREGTEGVRIRFVMGRPRKRFEKAVQLEMEAFNDILLLDMDENMNSGKTHAFFSWAAE NATVPQWEYPKIQNEVQQSDAHSLSPVWKGERKPDYVVKADEDSFIMLGELEKRLRVA PRSKAFWGYLVKNTFMAGECYALSFDLVQYIHASPALRTLTRGKEDKLVAKWMNMHPE REQIVWMTERCWIYDHPKAGTVYSHGFLFPSTVAEVRMENTTGLSPSTLALRGGAESA NSYSSVSKFGVAYRPLSNDMTVSQQVEALVEGSSLSLLRDSLSSSSTSKNDQKYQQTF SKPASAIGESLKEKINKVYSTRPTRMERFLGDENEMSSSSTVVVHYIKKNEWFIETMV ALLGVGGSSMEYDGDVWHKGVGTGLGALERRKGRVVDSRSVVHENQVGQIGEGEEEGG EREHVKLRKGDGL L199_007066 MTDHHHHIRGINDGNGNGEKHDITTASRTSLDSHRYVANTEDSK MPDTMPDHSKLAAEQIASMSPEEYAEAEKKLLRKLDLNLIPWMTLLYLLSFIDRVNVG AAKLVGLTKDLNLSSLEYSNASMIFFVSYVAFEVPSNLVLKKLRPSRWIPFTMICWAI FQTCMGLVTNYGQLLALRFCLGLFESGLFPGLNFYLTGWYRRDELNRRVSVFFSGAVL SGAFGGILGYGLSKMDGIGGKAGWAWIFIIEGLLTFMIAVASFWMIHDWPDRAKFLTP IEREMVLVRLKQEQGLAAEGGFNWRVIKKALVDWKTYCLMLMYIGAAEPLYSLFTPTI IAYLGKFSVSASLLLSTPPYVLMFITTIGTAFLSDKYQRRGFFLMGWSLVGATGYLLL LTIPIRYPGALYAAVFIASSAVGPLIATTISWTGNTFGNHYKKAVAMGLVFSAGNSGG IVSSQAYRNKDAPRFLPGHATALAFCLLNFTMAVILYFGVKRENKRRDLKYGPPPRPD EVFEFEDPDYQARWGLQGMSRKEIVELGDDHPAFRYML L199_007067 MTKRRTTNYHYPTARVEEEDYPGFTSTSFIQVNEEDNDDYHTLR SPSKRPRRPVLLDSGFATHDETFPSITSSDTHHPQGRSTERSFSPHLRPLSGSSHDHQ DTEKAGQPQPSSPISSIQSFRSISPSPLWSPQPGNTINIDTADLNEHKVYKAGCTGTG LEPDSEKGVAGISLLPPPVSKSQLPDLPLCVSLPDKVQSMVLRILSTLVHCI L199_007068 MEKKDQEIQFRTQIYHESVLKKDNEILELTIKIIKLERQLLDLT GGSQTSGTLVRDQGTVPRFMEGVSNRFATLTRRVKVTPLDSASGRVSGPQQISQRLIE FWEPTRVSDALPRHPTPRIDNEQDIEAELRLHLEDVEKSAEEEEVEWALCNMEEIEDI VSAYQEDLEHVQIRKAFEHQYREKEDDIGEWSEEESIGDDEIEDLLAWAKEEEEEDLP IEFQNPFADHWKGYFGLVPDIEALRDAMKPEEGEMVLFEGDWIRYTTGQESDGWMEWE DDGEFGVMDDGCYW L199_007069 MTGTCTLHHFQLVQPVFRRLAPQTSLLPHWEYETARSTNGVNHG PEGNRLFPQRVDSAPSKQVRLSFPPPDGPTRSTATASASDPHTQYRPPLRSLPVDINR VPPTSAIDPYHTHSSFIISPSRRDRSASQSTFHPFSMSTSSSALPTPVSLKSTTGVEQ LLAQAHQKVDKLSRKAFWTNQELEVAQREFKALEDAGEVVRLRNQLQDANEGIDSLKS DITAKSIELNRHWSSNALILQNEYVAEMDKKDERIKYLQVKVGILENQVADRTNTIVG STHPQTSDKAKPCFAPGRAPRGILRKPTRIVCQSGGSAPRPAKTSSDDLLAIQEGPAQ TRAVPSREPSQIVNGSKRVEGSSRWNLDHPSHLTHDPNPAEGDWSRKRKARQFQWSAN HPSSEASQRNPEATYSFDIFDQLPDLCDLDTERDVGPEEGDEVLVNGIKMKYLVEPEA EKKPHGLDNINRDIEAHVKEDADPRDPIEEDVLGIEEWDGFGEQDWDGFGVQDLEGYG VADDDGFGVADDDGFGEVDLEGYGCEEYEGFGVEEDDGFLIEEDDGLFVC L199_007070 MDQNHAPPSPLQQHRPSSSRHANQGSTNAQASSSIDNKLKNPVG LHRQSGATCASPTSAQASLTPWSGAQEPSSSSSSTNPNQPSTMAWSHESEEAAVERWR AQTQSTSTSSSTSTSTSGSMTWDNTNTQPLASSSRCPSPHHITMDMPSTTNESHSPQP FQPSSSLLTSEPLNRTSSANPSNFLHIRDPPPPRRKRNRATSLPNATPVFKRRKSKHD TPTPSEIWKRTYETGQPSPTKIQRQKAAAAELGRVLRSNRQIKIGTQEQHCVVACRQP AINVNSLRTLDATEILKNPQLRHDLLFDSLAFRPVNLPYEIPTKPAYAEILTGGKTPV VDPRASNFVADMYWDSISEELTTGCRCVRWRMPKNQEFNHQLIEKLERIPQCLCGRWR RDLTENEWWSRNAVWPSRLPELIRTLREILVSLMGSTTPCPNHFAHSFSKEALEAHEA VCPTVTHALVPELYAALDPEFLTIQARRGVFDLQLFAKLGEAMKVHCAPVRDEMVDDM VRTALSGDVAKGLRKCFDCAEVMKLDIANHQVHALRPYLWDNANQYEYNAFQALLAQN KVTLETSKTRQWINAASRQVLSAAEPRLRTHLIGRCDCKNNMELVIRSLTSGFLDLVC GPWDRDRTSWPPIVSRRALGCNGIPVLDGLSKPPVDVPEAFKMDSRRLKEFHAEVVDI AISHMILLAFRNYFNQYNGKVSAEVLRLNLDKARKDIEWMNDNFSVIGPTCGSDADLA FQLASRIVRPESPNSSSEETARGLPSTKEMKLISNLTSTFTEFFEINFSRDSDLFMGN LNRLKNFLNKILSDILLGYRLNPTSTFFDSNVNKCLEKKSKSSTNISTVTVIDGPEVA KDEDDEIKSYPREIRERYELFLSKCKQEESDMIKSFGFEGVTGPIKDLTVRMIKIVAF NLSVFGESYASNGILVGSDRK L199_007071 MTHSPAEPTHADITPPGTSTSTPTAAPSKLEWTIRQGTPEDASA ISHLMIETFSRSFGHSCTPDELEKYCQTTLSVEGIKKDMENPLCTWLLAFASAVDTDE LLGIVQLTRESFESCLTLPNPIELQRIYLSYGAHGTGLATELITTAEDKARQMGFESI WLGAWEDNQRAKRFYNKMGYREVGEHVFDIGGSKQRDEIMEKLL L199_007072 MSDDEHHETFEAAGAGASKTFPMQCSALRKNGHVVIKGRPCKIV DMSTSKTGKHGHAKVHLVAIDIFTGKKLEDISPSTHNMDVPNVKRQEFQLLDIQDGFL NLMDSDGNSKDDVKVPDTELGQQIESDFEAGKDLMVTIISAMDEEQAISYKEAPQGA L199_007073 MTAPTPEAVLHLATLHTLAQAGFASTSQAASLTLSTVIPKYLKV LATACVERASLAGRGKVAAIDVVDALDDLGVNVDELIDWAVVQSGDYFDDTSMGGLQD YLQEGLSVEEGIAQMKLVPEEELEQDDEKEEREAEQQHDELRGDEDVTMDQSREDGQV KVEPEEELEVEERPYIYRHKSPDFSWLPPLPSTNDQSQQGPTSPGTREPDQPSSFEAI PLPAPSQSIADRYRRPISYASSQLSQAHPFHDPPQPRPSSSIPIPPAPSSLPTLISTY ASIASDPSITLRQTDLRRQATELLRQTISTVDSYSPSPTLTTPIPPVRASSIVPSHSD ILPQKLLPVNPNSNSNANGGLLSSMVHQIHSPNLPYTLRERLTSLRPPVVQNRNDQPI FYNDPVRGPDESSLMKFKGKHNANTKTEGEDGVGGEEGKEIWLKQTWDSGPRGIDKWS KPFLPKGKKVIIQREGEKKPRMDPEDIRRIQEQQERELEKEKERMKEQENGKVKVNLR LPNFNGNSLNDTDQKDGSVGQTNGNMSTNTNTSISPNTSQVTTPGIKIKLGGGAGAGG SSNNSNGNQKLSISPGLMMPSTNNEYPNSVNPY L199_007074 MEYVGQPQPASNPTGVPPVPGQVQQPYGLTPGQPAEAPKKPHLY VGNLSARVTDYILTEIFAVAGPVVQAKIIQDRNFQHGGMNYGFVEYADMRSAEQALQT LNGRKIFDAEVRVNWAHQGNQNKEDTQHHYHVFVGDLSPEVNDDVLGKAFGAFGSMSE ARVMWDMNSGKSRGYGFLSFREKADAEQAIATMNGEWLGSRAIRVNWANQKTQTGTAT NRGPGGMGSNGGMGGYGGGMGGGSPAPSNFGSTALQYDSVAQQTPEYNTTVYVGNLIP YTTQADLIPLFQGYGYIVEIRMQADRGFAFVKLDTHQNAALAITHLQNQLVHGRPIKC SWGKDKGADGAQGGQGGHQPYPMQHQQMGYPNQYNYYGGYNYGQTGVPGQPGQPGGHH TPQHAHGHGQVGQTGVPGVPGQEGAQQPAAAQGQWDPAAAAAYYQTGGWGNYYSQGQD GAQNQTQTH L199_007075 MVVLAASICTRSGKPLLSRQFRPMPRSRVDGLLAAFPKLIPVNS QHTTVETNDVRFVYQPFEELYVLLITNKGSNILQDIKTLSLLVRLISSLTPAMSEPAI LHHAFDLLCGFDEIVSLGYKENVSLSQVRNVLEGESHEEKIQEIIARNKEAEAKEELK RRAKQLELQRREQQRLNQASSRSSLPGGYGGGGGGQGGYSSVPRYDQPPQQEYRTSSP AVSSQQQQQSQKPKFSGSGMKLGKKGKQSDLINAALGGEQDVEMDEPVYQQQNEPEPE VAAETEVSADVLEKVEQDSIHVTIKEQLSLTLLRDGGLESFELKGDLDLRITDAAQSK IKLTLSPKDYSELQFKQHPNVAKFTGSDKVIGLKDPSRSFPVGQGLGVLRWRMTSKDE SNVPLNVTVWPQPRGDGTSDVAVEYELEAQHLTLKNVVISIPVPSGSLPSVTGEADWR LSGNSFVWTIDTIDSENSNGSLEFRCQGEADDFFPVSVGFAASGSLADVEVAKAVLIE NGEEQTFSQERILTVDKYEIV L199_007076 MTGMRSSGSRSGFGNGPLLLILVLCIIGQLDLVSANEHSSSRLE RRSNHTRGKRQSWIVEEIDDVDNQWRNRERIKKHLDLDLDADVGLLDGLFDLAASPSS VSTTSTIESSSAVTTSSTSSTSSVEGSAKSTLAFTTSTIESTSTSTSTSTVEPTSTSS STSSIESTSTSSAESTSSITTEAASTSTSTSISEASTTTIDAVGNTIISKSKFKLKLK YNLNFQVPSLFKLNVTSTSILNFQFHRYFLSCSISSSHFRNFHDGQWRWRSPIIFASA KTSPPTHLRHTSRSRLRSRNPHFANGHKWTQIPTSSTWSTEASISVTPTSASLSQSIM ESASSWSDPATITSSSAWETSTSTWSSDNPVVSSSAESVPPWSDSSVQTSSSTRSESS TSPTTAQWDSTTSSSTLTPVKPPSTWMDPSIPSDTSTLSSVSSTSDFSTPTTENTETV ESSSTSLNSKPSSTATSAASSSSPNATSLVENLSTSTISVAWISSSAASSSTWSDSIL ATTLTNPDGHVTTSVYNVSTSSPSSLPDPTSQPSEIWSASATDSSLQNISTSGLSVTK TSSYLEVTTASTSISEWVSSTTSSVVTTVPDGQATSSTLVSPSVTSTLDLPSTSTQST AWFNTSIARFGISTTIAKSTSSWASWNESTPFSSFGNWSSVSGSSTPAITALGVSNEL TSSPWDQTINGSDTVSPASSPWLIANSSASWNFSSTWTPSLTSTFAFVATSSRISILE PSFSLTSSITFSPSNLTPSSVSSFKTLPSIPLSSTFAIPSTTMSSSSITDSSAILLAP AFVETSIANTATSISIASETEPATSTIATSSPDSATAAATSETPLISVGASTAASVGT SDTLSAATTTQPAAEAIPSTTSSEEPDSSEVATSSQLSSNEAATTSDAPSTSAAETSS SSPAETSAATSELSQPPTITDATTTADLTSTTPSVETSPVTSEVGSSATSTTEPSFLP VTSTSEESAQFTSNASSLATETESSLTEPTSSPSLTTDVESSSAPFTSVASSAFESDE PTTSSTPTTSESLGNGTPTTLTQESTTTINGSDAATSSGVSATATANASDEVSSSTSG NETSATDSVSAIPSDAISSIYTATSTPSVNGTGVSTFVPTSTESEWSSASVTASSTSS YNVTSTTDEAYTPTQTWLIGYTQASSTEEWTSESTSDTPTTTGTKTTATTSTPSVATI PSSMPTLIVPANSVANDATAGSGGEDDPIQDKTLIAILLSADYYPWWFVVNSSDATSQ LLNTFPTLISNALEIDTSDVKTYGLQVYQPAAWDGDKTSLLTQYMSYIPTKYFDTLNA YIKTSSSPLYNQTGIEGALAAQINTAFPLAASSETAPQSSSTTSGSSDSNRKRNIIIG VCVSIGGILWIGLVYWIYKRVKKSNDKAVHKRLSEHMSMFGDHRPMSEVYAQSNWNGN SRRVSMAPSIAASEVDDRPSSFYASPFENDRSMREQQRLERESYGASSSNYSGSGDSR SPTNPNYGPSVFGTSWFQNPHQQGQSQGRSRISQNPFEDIATRSYLGTSTSNMNLNYN NQPHSPTTLNSSIGSQQGAKRRSAVGKPVSKALISNPTLQANSLEFRDYGTLRE L199_007077 MDTVKSIVDRATGGESATAAPGGETASKGEFAKPGDFPIESQVD RAVGIQDDMTKKPAPATLQEGDEDFTQYKAAKKLLGKKTIVTGGDSGIGRAAAVMFAM EGADVALVYLPEEQKDAEKSKQLIVQAGGQCLLFPQDIRDEQGCKRVIDSVVQAWGKI DVLVNNASVMYSIPDITDITTEQFDRTIKTNIYGTFFMTRAAVPHIPKGGSIIVTASQ VAYAGPPMLVDYSMTKGAQVAMVRCLSNQLLSKGIRVNAVCPGPVWTPLQPAAMSEDQ MKEWHNSPAPIGRIGQPSELGPAYVFLASQDASFISGQSIHVNGGAIVAG L199_007078 MPPKRPPPLPKSLFAPQGPLSPSSAPLPPSPSTIHPEFIIDTHS FIKKIEPTPDPIYDGLDPSFPRPPVRSAVQVKMDVSAEPAQAVLGVKPFSIHPTILNL TLVTPPSITNIAKGAVDIIVPSTFPLSEKEWDLLEEAVNALDGCWGKPEGGGSTPVTG ENGKEPGKVVISGILPPPLTKTSTPLLNSEAYNLHLARLANLSLHANVYLKALPPVVD IVQGKESEGKWWDDRKELERVLRMYISPAIEAFGTHRIIFGSTPALPLADLTKVSPIP GDLEQPISNGEWYSVLRRVITQLGEGKEELTGVMGGNAAKVYRLAH L199_007079 MPPYTPILLQHVNLPVPKGTLHLAQEFYGEVIGFENDPVPQLQR DILLWFRIGDGPQQIHVAFENISPDSKIISSRHPCFQLSSPEALLSLQRRIYGHKQSG AESSALECDQPGEENSGSKGVEYPTRFFVRDYAGNRLEFSAPK L199_007080 MHFPAALSLSVLSLISITTATAIPPSTIPSSSPNPNLSRRTGDD GAFRLKLLSNTSHCLGDVLGEGYVRMPYLRTGNCDEGAEKWAWNTAFPGSFTVYNPSN AFALGSVDPIGDDQNVQLTEPDTSNTGQKWMMDDQGRISVGDPSSTSTPKYCLSAIEG IDIDKVTKDSIRLYPCVEGEEDPNSDIKQRWTIDFNTQ L199_007081 MTSNNDNPNKILLLHPPILNPSSFLNRLTGVDDHDDAEDHIRWT IDNKYYTADVDIHCAPLSKTVDDVLISNSRDIDVILYVFEDIPASLPPTLIKLLSTPR DIALAIRSLLFQSSEQCEDEQEDNSNLEENEGSANDVVDMLEEVGMEFIDEVNPLTEE DDERPMPPLEIIRQTLMTHLWPSMNRKPLNIANTSELPRPSEISNLTPPPASSSTLPI PHIFPETFQPTSSSQIPPIGPSGSSFPDLEDLKREIAKANFGIDFDDIDKLDRLNDDF DFDDEDEDFGPAEEEYARLDDWLDSDDEDINNIKSNKDGIEPDGKETIPPKQDDLASV LESISKQDQQILHPHPEPQPQPRLEGDWLDTDDKKFDPISSDLPSRSTSTALPQQHVQ EGDAEGFEDDFDVDEFTEYQTAPSTQSRPHQRQDNLENTDMTLSMDPTPLLLHLQSVR AELAAVEDPDERRFRAGKEVQQILASLGMGEMGDDDLGLDEI L199_007082 MSTIRSLPSSSTSSYLPPDVPQACVRQVIVDLLLKRGFEGAEAG ALTDIERLLEHHVNNLFEESLELAHLSGRREANAIDLVAAQEESGWGVKRMKRESKRK RGKAPEISYDPSSSTPPSPTFPTLSSLLDEQQGEEGEDIKPDFSSTAKAKARGIKPAY SQDWFPVLPKKWTMVNEDASDKGNDTPNKEDQNHNHNQPIQVTSALLDFIKLTATERG DIPPELGVVNYNRVDDKQDSSPLDGLKGVTAGKGVKRKWGVKGVSARS L199_007083 MTNTSNRSTHPLHDLSQTIHATLLSPPAQFLLVSSVNNDQWCIC LFNTTKSVSKVWSIERPLKQIADHGEKENIEEAIRQGLLHVDCGTKRQVDLTTLNTID LHILIQPNPLILNLKEGDIDDHISKLMNATYKLLSRPEGKSKQNGNTADSDVEVRELK LQLSQRDAEIANLNSRLSSLKATIVRATASDVNKKKVQQSPQKQKPLPGASQLQPNQK RRKVVEDEFAGSSSDEDD L199_007084 MSTAPIKPRSSHGPIRTAILGTGMSLSVFHQPSIAHLPEHFVLH SIYERTPKGRLDPLTESGKLRDVKVVRNLEEVLEDTEVELVVVSTPNNTHYEYAKRCL NSGKHVLIEKPICPTYAEAQELYSLAEDKGLILGVYQNRRWDSDFLTLRKLLDDNTLG KITELTSSFDRYRPLPSTYTPGTNWKETPGESNNAIYNLGSHLIDQAVVLFGKPEKVQ GRVWDSRGIGMDENFEVNLFYPSQTITLKASIVSASPHQLRFLVKGTKGTYTKYTLPS SHPSLKSFDSPVDHEGFDSEPEDGWGTMWVAKEERDGTDFTEEKVPAISGNYKALYEN LYESINSGDRSKLSIKSEQVLTVLKIIELARKSSEQGRVLHFE L199_007085 MPTPAAEQLKAKLSSGAAAEMKATNPAFIPPTQPLTPGNQLPTS VPLPVLPAVESAKRLAAFAAVDRHIGLQHKVIGIGSGSTVPYVVDRIVAQGYQANKDR VFLPTGFQSKELIIKAGLTLGDVDQYARIDVTIDGADEVDNDLNSIKGGGACQLREKV LAEAADTWVIVADYRKNSHILGTTWTQGIPIEVAPFAYAKVLTNLSHMGSPHKLPNGK PGLSLRMGKMKAGPVVSDNGNFIIDAPFPEEMMKDPLDLLHRIKMLTGVVEVGLFCNM AKAAYFGNEDGTVLIRSNDGSVDKISSVPNTPELKAQSDGAAIP L199_007086 MSTLAYPPSSSSSSISSYRPASPLSRPCSPLSNASASHSYSRST SPHYQHTSKAKSVSSIPTYHSIHRLTQTQMSLDQAGSSNSSTNTISRSSSLKHRPQSP NFRELPNSGLSRSSSVRSCRSKKFISETQIQTQPQSPSRLPSIDCVSYFPPFEDMGST SSSDDAETARDHGLPSRLERKSSHHSHHKKGKSLSSIAGIMSASLSWSLSGLACTSPT TTSPNHQNKDGNDDKVVEALTKRFSSSSSTSSKRRVLEPFTLTPLDLETTTSHDGEDR CKMHKRRMRSEIEVDLSLVRSNSLKEKLHVRGNSLKGGRDSMNDEDLVENMLANTPSR PSAPSTRRTRPNLRLPIPTLPNWRFPLGPSPPVTCLSPDIPLEAFSTAIDNPCLLTPS RTTFSQSHSPSTGGEEVEGDEVEVEVIDCGLSVSASPSPTSPCSFGNGPSTPPKDHIA EDKVSIHINSLSPWSNSKETKSNMDVDLDLRRIESRTSELSIETIKIKQPSNGWLDVT PKLSKIVKRDN L199_007087 MPLNYSKWDNLELSDDSDIEEHPNVDKKSMIRWKQRDIHEKREA RKLQIAKYKSELSLNSVLRPRIEAIVKGVSEKGLDHYRSVQRRLKENPSDEKPDTGSP NQPTYDMMISQLLSDVYRESSFIVDGAQISKGGVVLDKDGKAINGTSEHKIPEWANEM NIPEQKKKGLEIALEQRLNWHIQELDKRDKVVKDELEKEEKEQAKKITSEGIHDGWSK SMVNKPKPSPLDDKPKLPKSTQKEKTETIEVLNPKSVESKPAAEEENDEDEEDFGPLT PSARAFSNIPIGAFEKSFAFIQKDSSVLTESTHDSLLAEAFDAERRGDKDLAKRCVHQ SLLINYCRQLGRDGVGLFFQKMISHNPKSIEMFLQDFNQTYSRIAQRTAEMIAEEAAA GEREQIQLVAEDPSMEIGFNLPEGPPPDDLRLEGEGTEEMDIEQVKLFLQRKWDIFQN FPESLRNALKTEKLDEVNKVLGKMKVAEAEEVVELLQEGGMLSFSEKGVRDMTQGQ L199_007088 MSTSKSSSLVNPTYTPVPSPPGGFTSFQSFYPFYLGEHSLPLTR RLHLVGTSIALTSFARSTLSLVPIALSYAARSFPPSGGIHALHNQGFITSLGLDVILA KWSVNTQFLELEGIGRWLLGGVVGAYAFAWIGHFFIEKNRPATFKYPIWSLRGDLKMW WEVVTLRRDF L199_007089 MLSRLAVLMSALALLSSAQAAQTFVGCVLQATVALTADYATRTS SQSACNTRCLAQQATNPSIQYSYFVAGTVLGNNCYCDATGSYVAASAYILPSGDTTTD CSALGLGLNLGLLATATDLSTTFAFQGCTNTLTGVVINLAQGTILGGAIVQDPQACFA RCAGNLNAYFIPIVPSVTAIAPTYGCVCDPSGPGALGACGLLTFFKYTHSASASQQAQ ARKREQLALNGKAESERRKSFCPGKMTSCLIPGVEDSWECVDPQSDLESCGGCTHGEY TSDGSINSTATGTDCTNMPGVLMGGSTCTDGKCIAFACKRKWTLQNGKCIRGLSK L199_007090 MFPMALAFLIAPMVARAASFSGCMSSSIPHKSHSGLQIVNVEGT ECIDQCTASGFEYSYSYYEEIDSVHYCHCDSASSLHEHKDSLRPAYQGERCYEGDATV YHLSTELTFTHCAHSLTSSAEHPILGLIVNTPAQCFEHCSSSGAYLLPPPTGLKDGQY ECFCQQTDIKEEGVSSFCNSAAYRRFDAPAKSSRLVFQSAGNDIQKQKPLTSS L199_007091 MSISFAAQDLQPTYQGIISGTADYDWAIFNQTGNELKVQATGSG LDDLEEEFNDGRIQYAFARVKDPSSSLPKFVLISWLGDGVPENRKGLYNIQSPQVQDK FLRGAHLVVQARSELDVTPAFIHKRIQESSGSKYSSSAAAPTPSAPAARTAPSYRPSQ GFGGAQGKPSAPPARQPAPPSPPPPAPAVAPPPAISSQAKPTATAIMSAATPAPSETA KPAYEDRIAPVGTAYEPVKLSAPGKLGNRWNPAAQQHSEEEAAPTGPSLKDRMAAFSG GGAASAPSAPQPTGKKLTWSERQAEAKRQREEEDRASAAAGAAVTGTQPSAPSWKAPT APAAPPAPREPSPPPAPAAPPPPPAASRPVPTAPGGIPAPVSRSIPPRPASPDSDEEK EDDDDWGAPPPPPAAPFRPEPVVEDGPVEEAAPPPPPPPPPPPPPAPSADPQIEELER LKQDLTLEEAPPAGPPPVPQDSRPKSEAAPPATAGQRAKVLFEYEAAEEGEISLREEE IITQIEQLDEGWWSGTNSAGQAGLFPANYCELIEEETPAPAPASVAPPPAPEPEAAAP PPPPPPPPPPPPPPPAAAAPAPTSAPEPEVEENVMIAAYDYEAGEDNEISFKEGDKIT NIDKVDPDWWQGQCNGKEGLFPAAYVVHPSEWPPQ L199_007092 MSSNPQSVPPTGLSKSAAKKRAKKAAKSANSYIEERSISGEIPI TNASNNQPPSVAPPLPPSTAPDPLDPSLFNFSAPGSYPVDVQYDNNGYYDEVDVPLNP HHQHPNSFTGSYSIDYNLSLQNGSALAGLSAPFNITHDDLISAANELYKRMADPEFGA DDTYWSSLPPHLRQFIRDAVPFNGTISQNTPGNNSGQRTMYQMAQQIVQAASHGMGLS PGMGANLMSGVNGIGARQFNQPSIGEELGFRRHPDAKDEEYDDEEDYEVDEPEYHAPN GDAPKKKNKKKKKKNAASKAVEPPPAPVPPPTTTRPQQQPPPRQPVPPQPPMQQQQHQ QPALNPPPPPVTPAPAHPPPSSRAAGKQPMSNTNAPSSNPPARSARAAGKAPASAAPA HNTHSGHNHSHPPASSKPTAKGKAPAAPAPPAKIWTQSSAEDRENIRLFWLGLSEAER RDLLRIEKDAVLRKMKEQHRHSCGCAVCGRKKVNIEMELDKLYEQYYDELRSYAAEQR AAANGIKPPPNGAGPFPGSVEVDSSGVITRYDHRAPDPMGDHDHDDLDGEDSEEYDDE DEYGDEDELDDEEIGSDEADVGDDLDDQHPPPPVSRTTTRPPPPSSKASQPRPEGGDD FLSFGSSLATIKGGILTIADDMLKNDGSKFLEMMEQLAIRRSLREDQNIRDMQEETDD EDDESKDEPLTDAERMEEGKRMFQIFAARMFEQRVLQAYREKVAKQREEQLLRELEEE EDSKRAREEKKAKESQKKKDKKKLQKQKAEEEKAAREAAIAEEQRAAKARAEEQERER HRKQEEERARREAVKKAAQEEAQRQATERKKRQQEEKEREEEAAKKKRERDEKAKKER EAREKEAKEREKREKEERSAREKAEKERLAKEKAEKAERDRIAKEAKEKAEKERLAKL EQERAEKAKKDEIERKEKEAAEQAKALAAQQAQREKAKAEKAAAERAAAEKRAAAAAA AAVASVPTPPLPVGVHSVKSPRNASTPQTTPPVTQPSPVKAARPPSAPIAAGSSSVIG GRTQKTPQPYYPQPIPPVGVNAFQRMPVPQTFSAAGFRGGPSYSGQSPAFSPPQTNGP SISPNPPPRGFAPEPSPPFDHSIRTAPIGMGFPPVKPTTRIPSVDEAFSPSTAPIGST AASSSSRHVSAGEVGSIGSGPVPGPASLISGSSALEDYRPSPGPIGAIGAIGAAPGPI GRPGPSSTGFLDQPQTHQGGSSLLRSNSPAQPEQVFGSAALGGDDEIVQPQQRRNLSN GGWDVPVAAAAPGSGRWSSSPSIWGSSAPGNTDSVIGGGAPGGGASSWGQMPTIGERQ HQSLSSVSTSTGNGPPPGLVGVGTGVGSRQPSFGNFSIGSIGTVTPAQTTGQPPPQSM GAYNNHNQNLFSPNSQHQLPHPHHH L199_007093 MNNSNLSLGYNRIAQPRTMRVTKCKLQSLTAPLKPRTSDIHPTP YSWISTCTPGSSTGSLAGISIAIKDNISYDLAPTSCSSSILKDYNPPYTATCVQNLIA EGAHIAGQTKMDEFGMGSQTTHLPPFYTPVHNPASPSPDEPPRSAGGSSGGSSAAVAE GSCWAALGTDTGGSVRLPASYCGVVGLKPSYGMISRRGVIAYADSLDCVGVLGRDIDT VEQVFNVISRPDQGDVTCASSSIRLTAFDISQRQLPHKGIEGLRIGIPSEIDQKMSSG LLDYLKGEGAKVDEVSLPSIKKALPAYYVLASAEASSNLGRFGGGWFGSPLERQSRTE GESGEERRKRIRTEGFGREVKKRILAGTWALSADEFNNTYLKALHLRQLLRKDYQNIF RIPHPLSPSSASQNQAGVDMILHPTAVRTAPVLGANEAKGSNEYQQDLLTVPASLAGL PSISVPAGKGQDGWPLGVSLTSQWGMEALIFMLGRAVEQWSKGQ L199_007094 MANAGPSRRRSDGRKPHEIRPLTIEIGELDRADGSGRFGFGSTS ALASCSGPLEVRLNKELPTRATLEISHRPLEGVGATPSRALITTLESIYPPALRLSLY PRSLIQIIVQSLSSSSAPTSSTGRYTESPEIYIDTEPETTEKNVWPQPPTQSALAVDK KEKSPNTSYSFSSRAVSINSATLAILDAGSIAMIHLPIAISIASLNDDDGLVVDPSAE EEYNSKARFGFAWSFGKNVSLRENQSQKDSNMDVDEAEGEDMELIWVESEGKFDKEKF SDALELSKIACRQILSEIRAKLGETLESKRLQ L199_007095 MSNAGTTTILPEEMGKPLEESLDHLVENSNTVSLGGGKDVLAPS EQRAAETEDTQADAIHSHGGHSRKWLKKFFPSEETMDRLFSMEHMGNYVIDRVTGKKI FETMPIYVRVGMHLLFVSGCSYMSYSSVEKLLENQSIKQGKIYDQTGPEVREHIETFI KTYELPLEELLVKDLDQYPTFNSFFSRRLVPTARPITSPNDPSIVISPADCRMTVFNT VDKAKQLWIKGKQFTLPSLLTGDDDTETRFKEIQNDSTAAISIARLAPQDYHRFHSPV EGVVGDIKDIHAVNPQAINEDLNVFTLNKRSVMLIHANFGPGRESVPIAFVAIGAMLV GSIGWSKKPGDKVVKGEELGWFQYGGSTTITVFPSKSGVAFDDDVVRNSENGMETLVR VGMEIGKVQP L199_007096 MAQGGSKSIKSKSQSGGSARKKAGKTRPGKRDVAPKDKHRIAER VQKKQLSSKINNNIEKQMVNAASAGKLTIMRNSGDLEAGAGKDQGKGKGKA L199_007097 MPHREPSFTDRHLHHHHHHHHQHHRKDAASLSRRKSKDQGSTTP TNGHGTVTEESEPLAGGSMDVHVRVHSPEQFWHELELIVNIPDSPTLAQLDGTLRMFV TFCAAYHDKYLTSPSDIQHAIELILDSELFTFHYERMVGIIMSDAQENTNPHDLYILY HVIWYYGQRHPSLFRSHRKWRKLLPTLGEVVGLEIDEQNFVLGLPPIEARLRLPATHL MYEVCRVQKLTSEELSQFDDTFIDHLFDLVELTRDLQDERLNYAVIKLIVALNEQFMV ATLPSKNHPHPTTNNNPIDTGGEGNGNDSVKVFDEPKSSNQLLLSPESISNPTFPNNT RNHHRARSGTTISTAYHHDHSEEAKKNNRVLVVLMRRLGSSKTFGENMIFMLNRAENT PDDLCMQLLILKILYLLFTTPGTQEYFFTNDLRVLLDVFIRELVDLPEECEALRHTYL RVLYPLLNHTQLRSDPYKRPQIKLVLNSLIANNHIKEVNATTTRLVERCMSEQRKLER SHSAENLRNAVRQESTSSTISLDSLQTALPPNCTGKNNTSIYTSRDPVRQSSLNDISA SLTITGKDRPSSQASTYDRSDTATPPPPGAGAPSSPGKSGIMRRKPPLPPKHRKFSNA SWTSFDSDKEDPQGVISSSPLSTSGILPDKEKNIKIPPPIIEINHVPIQQESADTGKH REREQSVKNGWITFSA L199_007098 MSSSRIEILNDGGLRHDARRPYELRSTSFQLDTHPTAEGSSTVT QGLTTVQVSVFGPREPKSRSTASHDKAIINVEVGVVPWAQGGGGKRIRGDKRLQEIGA SIRQTFEPVIMTHLYPRSEIFINVQVLSADGGILPTAINATTLSLISAGISITDYVCS VSIGLHLTQPLLDLSYLEENDLPNLILASLTNSGKITLAQMETRLHLDRFQEMLELGT EACKVLKDEMDQVVKDNTGRLVERMNVRVGGGVAGMTVDQ L199_007099 MLDQIPSEIISRISYHLSLSHSKSSTTFQDIPPLNLLLSCRTVN QAISPSTNPRLYGKLFRALFDVAAPERRFQTVSSQKKKTKKRKINRTIDGEDDESYGD KLKAQELAKELKKRVECLRRLKEMVDVRDVTDIEEEGLWIVYLMLIENDGKNIKHLIG PKATVNLLTFLELYHDQHFLAAAVEPGYPAETVGRSLAMWVAWLVGGSGPPDETPEQR EERMFVLRPYVFAAQQYPLYFAPWVLPDLPLSHSSPSTMNSIELDNHVNLNPFIADLT PKSRSVVIEHFGRSISLCPPFLAHAAILRFFYRRLGEDGDLDSDTESTMDIDMVTSPF MALQNQHQGAVGVRVNDLVDGIMPGTQGIILSNPASRAPTRPTSPTPPHGFNPQNGFL VGNSKIHDLDFLRLKSCYDPYHTKGLRSTNWRNSWEGCWEGTFSFFDFDAFREMLAGH SRALYEGPYGEQAQVWRLTETYVRKQGWVKKVVEQDEDEDEDEYLDSEEEEEEVKGLP LNGPIVNAGFPSTEAPNTYSNLASATAEAETLRQTIQQQIEAIKGYEIVPPEELEDML DLNEEEQEEAGLEMLLSGTGHSAWGKFILKGRVRNWDGMASLVKEYAPDSRGKWIYRG YVLAGDIFVGRWRDTYTPETFVGYEGTFILNRR L199_007100 MSLPRSLSFLRQGTGGQILDIYLDPLCPYSAKITRSLTANVLPL IKKGGKYEGKLSVVTRLYPQPFHYYGPFHVEALIVFGRLYPDLFWDYLTAIFETQTEY FNQPSTTFTPSEARDKLTHLATDLLEKKHKVDGPKSKLFGEIREKLENKGSPNGGTEA TDDIKYLVKVGRQNGIHVTPTALLDGLKDDSVSSSWGKEEWEKWISEKVGA L199_007101 MTSQSPKGFKYVIEHMEEDDENTKALPEWVKLEYSHMLQLVGPS STVHFTSLSSSSIPPLTTHLSAPVPTSSKEDPSIHLVSTSKGHPTTLPILDLLPTLDP PIPKSRVCLLDPKAPKALCPEDKEVFDVFLYGGILGDDPPRDRTSELRKLGFEGRHLG EKQMTTDTAVGVTKIVVEDGVPLDKIPYTDFPTITFNKYESIEMPFRYVVNEQGEPIL PPGMKEHLKADLNRTLDDF L199_007102 MDVSHLAHLIRRSRSRSFAAGPVGHANIVVKSPNDSGIVLEKKA AGEYGHVTSPTSTAGAATRTVGQAVQITSTQRQAAQAATIGTTRSSVSSTATKASTSE GSAKATLALSSAKSTIAQSSSAAPSTTSTSTSSSTSTSTSTSSSTSTTPSTTSTRTST TSRSSSTVHSTSTSAVRALTSSTTSSALPSSTLNAAKSSSSSSSMSTGAVVGVALGAI VGVVVIGSFVGWLYRKYTARSYTSKSPWSKIDDDITPYPPPNEKYSDQPADDIYGGAA APVIGSRRALALARENAYDGSLRPDSETYDRGSNHAGFGAGGLAMGMASSPTYGYDAQ GRPYNPQAGATPMSYGYEDQYTPYYDSSPQSADHRQLAGPNAHPYAMSMPTAMPMGRP AAPSTAAEFALAEDYADEPLTPGLAYTGDEPRTPTSQIGTAFAPPANGSNYNQNRSRV SLTPQAPRVMSPTESLTVHDTVPAPHLAPPPPVASASSLSSNHIPLPAFAPLSPLMDE FAFKRQSQPLAMYEDEKTAQKRMYTEVATTAGIVEPTSPYSAGPSPNPQLNESTTSTT SSFSAPQANTSTETMRLPELTVNPPEPYVHGQPLSPLKEVPTPLSTASTGEPLLNPFD LPLPTRNPGSSSLSAPPYSATSSSGATGYPSTIPSAAYPPPSPGGMSVPGSVTDSPRW VNDTSSTSRGRTVSVYEEDDAYGGI L199_007103 MNPIRPLLRSSKLRSISSTSTSSIVNRISRRTLVTPTEPVRASV SNVTPEHVREDPGELDGGDVVANSTEGGKEMFGFKLNPVETKTGGNAKSTGRPIYLDM QATTPMDPRVLDKMLPLFTEQYGNPHSRTHAYGWEAEAAVDEARQHVASLVGAQEKDI VFTSGATESNNMLIKGIAKFHQGKRKHIITTQTEHKCVLDSCRYLSTQGFEVTYLPVL PNGLISLNDLKAALRPDTSLVSIMAVNNEIGVIQPLKEISDTLKEYAKENKTQKALFH TDAAQAVGKIEIDVEAMGIDAMSISGHKIYGPKGVGAAYVRRRPRVRLEPLIHGGGQE RGLRSGTVPAPLVVGLGEACRIAKNEMKLDHARVKELSDRLINGITSQVEHIVRNGDA SGYPGCVNLSFAYVEGESLLMALKDIALSSGSACTSASLEPSYVLRALGAAEDMAHSS LRFGIGRFTTEAEIDLVIARIVSVVNRLRDMSPLWEMVQEGIDISKIEWSQ L199_007104 MPNSSKAVLNGVLKPKDTLTPHELLRLDLQAEGFNFGGEGISHW SMKSKNTTRDGDVNMIEEEEGPSRPSHYEGARRADEYWFQTKGVKLVESEHEVNLSST PTQTPATATATRGGSTKVTSAQSLWATIPSERQQSIKERWTANSADT L199_007105 MANIGARGRGRGGGINRTPAPGTVRSTRDDNGGVQATGGVHTYE TTYTSTFRTNGNSNESSLPGSEFGSSPNFSQEGFGQFGSFPDMPPMPRCAFTSMNNLM DHFGGSGGFGAFGSFGDDIPNPSDEMNVSSGGSGVSQSPQSQPAQGKHHEDKTMANNT TSGSETASDVAEGTRSRTLSPEKEAKSSEQGPASTAAATEASTSGRSMQPTVEDDLED WEEL L199_007106 MMSQPERTVQGSTQNTRRTTDYRTSNNTAQSGGSIVFGMPGGPR FQRQEGGQDTIFFGRTQLNGPIVIHDDLTFEADGDVHVSSKEAGSGTQAGTESIKPKK KKRRGGRHHAKRSGTASSAVSSSTVSNPANNEGGESTSSKGPTDDGFTASKALTPDFQ VGIDGETADGASQNRGAATLPSIQDDSEAERGGWEKV L199_007107 MPHLQEWSNITASGRQMQINGHIGSPAFSRFGTHPRTYSSGTSP AVGGRQSEVDAGNTREDGSGRTSEVAGGTATFRSFSHNAADASSPTPRSSGWSRWGVG RMLGTHTEASRRLDDTERGNNTLQDSGSGVNSSHANQPTSSEVDDRPSSEMEAPSSTP EPEATKPEMDNVSETAATSSDGEDTPDSETGFDFGNVPSIDPRSHRRNQAPTSTGAED HPSSMPHSGGRRGHYYGSTVGRGATVYVGDSGSGVDPNARNRHTYNTNAEPGANVLPA SDLGGRDLLMEALRARSRQGEH L199_007108 MSSNNDRYDKTMRSLAAAMGDQDRITHPRGFGRNPRQRRRAADS QDEQSRQAIYSATQYTYDIERQNEAERQTTQSAQDAGAANVGAPQDAEDTESWAGDST TPAGTETPPSEDEDGSNTPLEGSILPLETGPISEDGSHAGTEATPPHSEDSTTLFGEF AQRSDHGSESGPDAGFQQGPGPHRFNVGDNNYYVGHIGPHARVRRVDNTQDVTRQIND FFGSSRGPAASGSTAQRSAPTNDTRMPQQSTNSRSPAPSGAPDTAFHSVFGEILNDRI GQLQSARSAQEPGRNTYNTDRIGGNATVQMGTRNGETGVFWGRGGHSADQAPSQPGTA TQPNGDTGIADASSSPAARGNYRRPAVEEGSDNGA L199_007109 MVSTTEKPDESTLDAIRQRLMETGHWDRIQKLLRNQLEENGWVD DLKDLAKERARAQETPNLENLIKEISETARGMISENTRRDVAQEIEAVLDREVDQA L199_007110 MSILSTLGSFQAPLTLLLIIFGPSLLPRLINLFRPKPPSSTPKP LRPPRTLTLKLILGIHTLWILKHLVLPPYDLFVNNNLPISISNSQIRYALLGPEYDPL TRQVHPLLELLMTRLKIVDNRILYFKFGHEPMMECVWCQTPTDYLIYSLPNILSWYLL EATFLGGMAWKWIAGPEAPHRTETWRTTFGWILVVAATAEGGIKWGWDLRVVEGDAPH LASIIHTLRSIFLLLFPLVYTFLPLPATPVSSSILASIVSNTTSTLRLTSLARASIQR SPILRETWSLLGKRDAERKEIARRDEDVRRIVRELKLDEGTMRVGAGDWIREGWNGMV RVDPNPAAHAGT L199_007111 MATIYRTAQRMAHESPVIFWSLAIGFAGPIMVLTVPPIRKSFGY KQAERIPTTFPVPNRPRRAVSGYEDP L199_007112 MAKGKNHDRKANPGFGKQKLKSGSASGEFTIKKVKGENFYRDAK AASRVKMLNGGKAVRDKDGNIVQAAAFQKGEKEAEPGRVRPDRRWFGNTRVISQTALD HFRTALKEQKSDPYSVLLRRNKLPMGLLEDESKMGGKRPHIVETEPFSNTFGPKAQRK RPRLDIGSLEELGESSTAADLAAQGEASQLDSADLGDVYHPTTSTAREPIYQKGTSRR IWGELYKVLDSSDVVIHVLDARDPLGTRCKPVVEYLRKEKAHKHLVYVLNKVDLVPTW VTARWVKHLSLSAPTIAFHASINNSFGKGSLIQLLRQFSVLHSDKKQISIGFIGYPNV GKSSIINTLKKKKVCTVAPIPGETKVWQYITLMKRIYLIDCPGIVPVSAKDSDTDTVL KGVVRVENLATPAEHIPPLLERVRPEYIERTYGLEHREGGWHGESGAAILLSAIAKRS GKLLKGGEPDQESAAKMILNDWIRGKIPFFVPPPAKEQQQTTQGQETTLDAAQAQEDK ETTEMLEEQERSLGKILGEKRVKGVEQPISKIPIMTKFLGDDNRRYRDDEDETKKDVN MGENAEEDDDEDEEDEDEDEEEDGELAWDDIFPGNAESSKFAGLSEEADEAEEDEDDE DDEEDEDDEDDEGEDGEDDEDEEVAISFSAPSSKKAGKRKAVEVEDEDTPSAKKEKRM TTNKKKSENFYTHANVKNRNRERKVPKNPHKRHREGDEEPTGKKRPKSKKY L199_007113 MKYLDYPILNQLSSTLSSDGDSDLQVNARFEAYSVKPVGKEKRM FKEREEAYMSEQEGMDEMSFSPEMREAGLASCFGRLDEKESRKVHFLLVSTLNAAFPD HDFTSLRPDHFTRERSAAQVLSHLSGSLLSSSGAGTTPIILSQLASFTPPTAHKSSPV ISPANSSPNLGPTVPYPDLYRILNDVIPMEDCEVYSWFPEPEYDPHIDTSTVPSEDED EDLLFGQDKMDLDDTDNDPSWGAGGMDMELDVDEEKLHSVPMMRQNSTSGGGAAAASQ AHRRSSESALIIPNDWDLSKERKVNGLLWSVNYFFYSKRQKRILFLTCWCRNRPSHPI PQVESAFPVQISASFSSPSSSSFEHLVPLVNSAASRTHRYTHHHSHHRKSKSNLRTIS SKSGDNTSSTIPIRGMERPSYSNQIAPSTPRTTSRLASSAPGSNTGFMGTKSPMTKMM AGGFKPKQTPARAAMNAQQQQQQQQKTQDGPVDIGRTRERSGSTTPGPSVGSTSALTA GLRGNIHGLGAEKGKRVKV L199_007114 MSSQPLHPLPPFTAETAHQKVKAAQDKWNTKSPKLISPAYTADS IWRNRDQFFQGTEAIEDFLTKKWEVEHNYRLRKELFAFEGNRIAVEFWYEYSQTPYKS SQWYRTYGLEHWVFAEDGRMKSRQMSGNTIAIKDEERWFKDGMDVNEGEVPRGHISLK E L199_007115 MSHGTPSPDRNRPLDDRKVTGYDPLIPPALLRHDLPVPTVANKT ISSARRTAASIVQGTDPLSRLLVVVGPCSIHDVDQAKEYASRLRKGVQEGRWPGLEVV MRVYFEKPRTTVGWKGLINDPDINNSFAINKGLRIARQLLCDINEMGMPVGCELLDTI SPQFIADLITWGAIGARTTESQLHRELASGASFPIGFKNGTDGSVGVAIDAMQSASHP HNFMGINSQGMASIVKTSGNGDCHVILRGGTHGPNYASEHVQKALSTMRTKNPGAFAS IMVDCSHGNSSKNHLNQPKVAADVAGQIAAGEEGITGIMFESNLKGGKQSSDKPRDQL EYGVSITDACVDWEMTVDMLDNLNKASLARRAIVDAKHANGNGEVPAVKKLKTEE L199_007116 MIDLIHFQTEKGGNPDIVRESQKKRGASVELVDEVIEIFGAHKQ AQFEKEGAQRELNALQKEIGQIKKAKGDASELLAKKAELDKKIADLVTKTNELVTLRD KKAGLIGNIVDERNAVSMNEDDNAILRVWHPEPNHKGNSGTGLALEDKSEGILSHHEV LYRLEAYDTDRGVKVFGHRGFYLTNDGVDLNQALISYGLDFLRKKSYKKIQPPFMIKK DIMAATAQLSEFDEALYKVTGDSEDKYLIATSEQPISAMHMDENIPPQNLPYRYAGYS TCFRKEAGSHGKDTWGIFRVHQFEKVEQFIICEPDNSPAELDRMVETSREFYESLEIP YRVVNIVSGALNNAASIKYDLEAWFPFQGEYKELVSCSNCTDYQSRSLNVRLGFKTKD TKVGFVHMLNGTLCATERALCCIVENYQTPEGLRIPKVLQPYMQGREFLPYTAELPKG TTSQKQKK L199_007117 MDSPLLPQFNKQNLDDVSLLEDPSLLADLSMDSDVHSYASSSNQ NHQQHLFTNDQRTLGQRPSIFSPTSSSSQPYSYPRQKTVNVQHDGEETPVKISKPQTK PRFSLFAPTQPTTYDDEDDHESEDEDQTIHHSNNPQQRDDEAAEDEAQQRQRRRQLSL DNNREDRLRSSLYELRKMNEVFEGVIGALEGVRGHNQRLAERVRQTSALLDEYTAIMG QAEHTQKLLLNTKWTGSTDDAEAIAAIEQARLQAVERAEEESRRAAEQARLAEEERER RIIERERSEASSSRGARGRGVPRGSGLRARGTGIPRPSIATSRPTSSTTGTKRGTTST TTTRGGGGLGGQYNHVKSSGYGPR L199_007118 MDSEKHVHISSPKGPEDASNIADEYPSPERTHATLNEHIPADEP SVHQQEQESSAQDAENQQQQQQEQEQQGNDHHEDTDMQTELVNQVAAQALEAAVAAEA RANSSTRTQDQNQSQDVDMNIQNQHQQSQINGIGEDQIRQPQQHHPRQPTFPKSRLSS VSTSSPQYTHGLPISRSSKQKSITPKLTPNEQIAILREAYAKNPNPGKKQLEYLAEKT GRPWNKIREYFRQRRNKLRGLEDLENMQEPGRASGWLQVAYRQAPPTASITQLSLYNS YKHRFDPYSITTPLLGGQELIQLACATFPGCEMAKDENDYVLKGLKEKEKENNDNQNE NGNEGENQGEQEEWEKGMEGLVEPLRAGSWLLSSFQHQNDPNAPSTLTQTDLYTSYAA RFASLLISAGQSESTPSQEQSQQQPPPAQTQEEQDHEADMRAFEEAGLNDGANDQDQD QDQEQEQEVIVDGDGSHQHQSLASLLPLSDQPSSSLAPPPVQQQQQPKKENRLLNPFE LINLTRMTFPKCEPVVDSSGKFVIKGLERRLGHIPGSREREREMFNFALYNESKPGEA FVGLMKRKLGMLNSDPSPTSTPRSTTRDEDGERESKKTKIDEAKQNEEMQDRNKNQNP NQDLSEEDKELIQGLKRFRNSQLGDQVRDVCISQ L199_007119 MATLLPPPKRQKSNYAKSLEKPVAPEPEVPIPSIVVQFKSSEDG SNLGPAINLPADTGRDALQMLVNKLRGETEDPLPYAFHLVPKVPTTSTSTQSARVQIN NSIQLDALKTPGTTFSPEDVFELWCEPQAVFRVRSVGRCSATLSGHSSPILCCAHSPT GKYAATGSGDATARIWDMDTETPKWTLTGHKGWVLCVEWDSREKILATGGHDGQVRLW TPSTGQAYGQPLFGHTKWITSLAFEPLHLVKSNSPSPRLASASKDGTVRIWNTSTRTI EFVLTGHAASVNVVRWGGENVIYTGSSDRTVKVWSGVDGKLIRTLNEHAHWVNTMALS TDFVLRTGPYDHTGKVPKDDEEAKTLALERYKNVISTQPETLITGSDDHTLFLWPDQA SSSFSTSATPKKPLARLTGHQKQVNHVAFSPDGRMIASAGFDNAVKIWEGRTGKFIAS LRGHVAAVYRVAWSADSRMLVSASKDTTLKLWNLKTYKIRIDLPGHTDEVYCVDFVAD KVVSGGRDKTVKM L199_007120 MSTQPASATCPSYMTQDLSNQPFPPNSQKRLTSTPTLTTDDYDR LDGLIHHIPWSTSILTSSVEIHEDVVWWIVRLWTLSVPRITSYISKYEGVEIDLQDED ESRPQDSHDGSITKLDSNEDEQQTEHERRGGGDLYDEHTRQLFIQAIREAQKMVQTQQ SQERTKNVEIEMITFSSKTVFDPLNPTHMDVIPSVDSLVHAWTFGKISHDFENDIFKV TIQTDQGDLVLLQDTNNQERSELQDMHADGIVEKPRTDELSYWFAKLLEHVRDHPISP IPIRTPTRGPKFDLNPLTSACRNAINTERRKIKELKRKYDEMMNQDINSTLSTEEEIS EQQKSEERWKKVNDWMESHFNGKKDREQKRIELERKTVWERLKSDQEKGKVERRKVDG QQQQEVIRPVKLSLFVGGSISDKITRSRSRDVVDTFQQTDGNGLSRGIRKTQSGTNGI MGSEGPILKKQKSVHFDASAKQ L199_007121 MPRSESSTPSSLSLIRSSRARSNPYPKIQPKTRPSPKKGDNSQK VKQEYFDDMEDRTSDLVPSSSPFPSPSSSTEEGEDELVSEFDLGMDEEKPTFSDSDFE STRPKKKFKTKTKPDTPKKSSNGGTPRKTGGVGRAWTGEEDWILFRELHPKVGKPDWM GVANKVGNGRDSKSCQNRYALISKKLEGVIKSIGGA L199_007122 MPSLPLTIPSRPSVSSTSTITSSSFEERRISQPTLIPSSPNTPF IVSSSSSASTCSSSMRRSSSAPHPRRGSSLPSIPYSEEVEDSNTSGPRMDILQFISST IDPSWDEIENSPLSMVPSILPSSTELDVDLVTFLREAPGVVRSPLSDSVTSFEDIAHI GNDHDEEYENGMHMDIDVDVAMNKLALVTPELKQVSLRTFLEEENSRYNTHTHGQGQG HGHGMENSPNPSLSISEIYGLGGTSTKLKLNTSSFDQLDNEYNTENQLTMTEFLNGSI SRPPTTRTSTSDHSLLSRSSSTTDKSRSRASTMNSSTASQKHKRVVSLSNKSLPLSLG LGLHVNSDTNTNNGNNLDPNNRHHSHGVGKVMKFARDAFKIGNNGSHRS L199_007123 MADPKYSPHQIGALPFEQEPRYFPSQNQPQQSYHSRFRYHAQPP TQASIQLYDSYFPLQHEGVSRSATNFSQAIPISKQQQTNNVDEVVYRYSSEFDRIVRL PGTPTVGIYAQDGLWDEEDDLNTNTKSSAPGPSRKIAIIGGGSKSRTGTVYSTTGSNE KSWTWRRPSMSQLHNSPSMSVEEQVPVPLPVPPKGLEKKKSKGLLRGKGRKGELFVNV AADADESFEAPPPLPIPATPASFITESSPASFSSPTFSPLNYSSSTFDTPATLPTPPQ TSTTNSSTNKAPKSGSSWKRGMQRIFKSKSSAALRDASTKEASLSPPPMPKIPNLPGP SSHALGKPFSSSTPPPGESRRYNNLPAPGYLSTPPSATTESFVPPLLPNFPDDPFASS LDLTCNNPISSPLASPKPRPTLRHNSPSLRDLKNLLPNPTKPAILKAKSFATLHRRED SRSIQKEQDRPGQAQSSKLSKRMSSLVGLNIFAQAAQDNSDKLEPLEHPPTQASLAAP IESPPLLPPHPPYFEGASRSPSLPSITTDSSSTPEESPRISMPPSAPLPPVPASTSST NLAAPLQRSGSGAVLLPRSRSTSMSFKSPPTSSSFFDLYEQLGIWPSAEKEKKEIYDE PQEMDTATETEIEKEQAKALEEDKENITPPAVELRVEEESNRSMDVAEVQEPLLQVNM PRSDTISSTASWNVALNSFPEAPGGDVLDFGLPYVADEETIEPVSHSTQHVHDRSDVL SIVAVAASSRNSSHQTTVNNSMSTDRRDSGSTVTRATSVGMEYLNSSFSSKGRGRASG SGSSSRDSSPERERDNLTDREESEASSSEDDDVPLSKLHPEAAAAQVQRRETRRKTRE ARKARQAKMVERRKSEKTQGRNPGGEHNWDGEGGIPADILTRKLEGVLMRRAEREAAV QHAGPSVPQGLKAHRSMREHVPPPTLDHVVRRAQSQGHAAHPLPTGWKHDHPPVPPIQ TAIPQRTPQTHGVFNPESAISPTNTSFGRIPSAKGVDPAFVQAMRGQVYEPTSQPMGY GDRSRQNSIATSVSSRIPAAPAGTRASSRQDDLSAQVTRSNTNATQYSIASSRSRAHS NGVSPSTQKDESAALHARSITEPLPQASAQSHAHTHHTVPAPAPASLPAQRVHATVSA FVSALNGKKIMLDLTSTTTAREVLVNTYHKGDLVDAAVGMSWVLCEIFAEMGCERQIR EYEPLLPIVKGWDQTAKFNCFVFKQSNRGMPTWARAVPTTPPMLGQWVQYETKKGKWT KKWLETRGGQVFLAKNEKNKDEIHINSLFFDIYAVTRGYDSPKPSTFIMKRVEPASSF EDPSDYAHVFSCDEGLAFKLMAAIYDAKSYAISQTNPTMITSQLPTPTQTSTNHGKRP NFTSHHSNGPPTHSQHQPLVSLVPDDKEKKSAFTGKGLLKI L199_007124 MSFDPVIPTIDLSSDSPEKQAQIIRDALGSVGFFAVLNGGPKVE DIDALFEYSKDFFSLPMEEKEKYLAGQSGSGYTKLLSQALGEGKRDHKETFSYGKYCG IKEQAMPYPFSSLDEIALQTIRRFYKDCHEVSENLMELFAQALELPEDHFKQSHSFGL NTAMSLIHYPSLDVEGENQLSEKDIRAGAHQDWGTLTLLFQSTNPSLPAQPGLEVHLP KSAIHKTQDFTPNLTTQPRSNDPNSASTNLDYANHRSHLNSDEYEWYPAPVPPRGGFL VNVGLAMEYWSSGIYKATVHRVVFPNSPSSHSKQEQENEAGLVDRYSLAFFVQPDDNV ELNPILPGGKIDYTKKAITSGELFNTKLKESMDRSKSIPIPDKPE L199_007125 MPDSMGLDDSVPIKTLLVTVFESVVEVFLLCLAGYILSKAGVTD KATQRKLNVINVSLFTPALLFSKVAYSLTPSKLKEMWIIPLGFVVVTGLSAGVAWVLA KLFKLKKSQTAYAMCAAMFQNSNSLPIALIQSLVIEVPGLKWGKDDTKDQMLGRALTY LVLYSTLGMMLRWSWGVKLLSQADDEALEVEEEVHRPLVHDHTVQSPEALAPHPGARE TDPFFTTANNINHDEEDERRNPTGQFIVSSPSGIVEEPDSPAQPFTAPGSAHSMHPPL VKRGSTAGQSVMSRRSSTYSQHRKKLNRTESGREFWGLPEQPKMHHIALIEEDSSESE HEDEEWGTLTPSLRRRPLEPPRSPFQSLMLKVKNRSKSSLKAINDFMTVPMYAALLSI FIAMIPPLQAQMARIKPLEQAIKGAGQCSIPVTLVVLGAFFYTPPEVKPGSGTIHLPR SEDKKSSGFLGYIKSFFGHDEAYSSNDKSKSYPGENKTVFVAVISRMIIVPLLMLPVL ALIAKFDPFEAAEDPVFILSAILLVSSPPALTLAQITQAASGDAFERLISKTISWSYA VLTPPLTLVYVVIGLVFGRL L199_007126 MSDQLGPQELPAQTHEPSSKEGLEFVQDGYFRHSDIVFKYLDKL SPLHAQAMGQLINGHALCHPSHPLRTPGDGGLTLYVGTDVLGKPRLLWKIQQLQYLQY YIHEMRLTTPSWIEYHLKRAELLAEEAGEEKLVKLESPSLEEGKDDWVPLPSYPCRAS DMVTIQPIKIVSSGFLKELSKQVTKDGKTVERYRRQGIPIPTFDPHRYEALRDNFTEG PGLRRGPEKSVKSDVARQEAADGTGWGGFPLKKENKVDKIEKEGFSDVQPSASENHVE FDVATPPPADKVDRSTSPSISSGSSTPFTDIGASYYYHCQQAFILAISGGLLASFPDS QQPVAGEEDEEEGPFTIPPEIGRSLFIALSVTRWEKDPSIILEIGYCAIWWEKVPDEL KKEDGMNHEEMRDMGHFIVQDHLLQKKNGESQPDYRDSYLFGDSLPIEASKIRTTLKK KIKDLSTKAGNGPIYIVTHVSEGKELDFKDIGLDVSLTDGDLQPDGWEVPPYMCAAGC GSVFIINTASLFGSVEKVPSVPVGSHHFAGRTKKTLEATALTMFGSDSNRRPDKCGNA GNDAFYTLAIFVEIMTGPTLPELRADYLTNCLPSSHSNAESAIEEGGPEEEVKVVRTV TLTPLGEASTTEQGGTPEVVAASERLKGIKVEDVEVNCEDNESDDDEFMEDEMINGIF YEDEDGNLHELSD L199_007127 MDIQQASDGGLFLLERQLEEYDNLDNLLEDVGQLTGVTSNNVLL FMEDGREMRTEILEEAWNTAGPSSPSAAQRLKLYLFNRETFWSDAEQWAVQFQEDVIL PPPLDPNQAGSLAHIQHPFLVAHDHLSHLQSLYQAQSRALEIAYSNLSHHLQPLINEF QKFSVRAEKELQTEESLIKGAKVDMALLPKLSINPVLLRKKKEGEGDERIKTIGDFVN KRKMEQVRDSCRTAHEEHVDRYNTLAGQLDELALQSDAEMRAFTEQSEAVGREFTEGL ARLEVAISQLSELLGSGAEDVAQDLVELDQAMRDDLIALTGVKNEFTLEIHLHLRQVA QFQSRITQIIGPLAALDADLRDKVAFPHLHRLRQLPFAYATVVAEVVRRKEYSRLLLE WTLRLSEALSRFTSTEKTRREQVQVEMISQLPFGVVGLDENGPRVDISVITGAEGLSG VKFGQEEIEKLVLWVDSLKIDEEVLASLEEGDENYLATLQASIESLIGKVDFTSDELD RMIERSVLTSRDKPRSASNSRMTLNLSTQLRTVNQEKAEQEKRLHEMEEAHQARLREL EDQYQQRLAVSQTRQAELQDELVRLRTDLSEEMLARQALSAELEERSREQEEKYREQE DQSDFIKGLQAELTQEKDRATDLGVRLQEALLDVDGLKSAEQTLIAQLQELQEERTRS LQTLGDAQLTAQNLESQLAGIRAELEATSQQLVQAQADRDLALKNQSAEAERMMRDHI AEADGDRAVLEHQNLTLTKQLEDKKVELEEKVNAIKNSAIRQVDGLKAELSFTKAQLR EVQRKETVLIDELAMAKDSAMAISQEKTYQSDVSKDSIALVSKYHETCSRLLNAINNS TTISGSNGGMQSQIVGGNSVLKTHHSTANLPLSNSITSNSNSNNHSNKDELRESVLIR SLETAQNFDLVTFSEAVTKTIGLVKKWSKSCRQFRDMAKNKISFTNFAKGDLALFLPT RNAAARSWAAFNISAPHNFLKVTDAMQEQIKTREWIIARIIKTDEAIASGGDSPETNP FGLADGLRYYTHHVEEYNPHAIRPSRRSTSASLHPNVEKNTSMSQMLSSTHRTTPGVA EPISGVDMISPTKTPKARPRMGSGYFPPMMAVSEKEKDREEVSDSPEPEDDISAEYKL DRNQDQDQDEDKDKSVSSENPKAKELPKSVNQSNPAAVETGMSTSTSTPLPPVPTSSP TVPKPSSQPIPPRKTHSRLPSRGTTPVSPPLGSGSTRENFIPGSIGRPSSVASSSAAS SYPKGLTLGPSSGKGALAPAMATTTSTDTTSSTSDDKMNAAVVKRKESNTNLNFSGGG GSAFSPDGNRPSPLGPLGIGEGERSRRGSRPSLETSGIGSGSTSPNKAGSSSIIGGGF NALGTAPTGGSGTSTSTASKLIRGFTIGRKSSLNRDTSTNPDDHGDTEEVSSKETGTV RKSSIGGRTQTPSAMDILKRFESGSNF L199_007128 MDDSMEEEGDSLFSVPGPSHRPRALYQTPPQSIHPSTSAGSPVG LGFHTSVVPQHLPEMEESFEQPNRWRATGDRGASPPDISTSTSYDYGQQDEHEQEQDE EEEEEEEMGEEGSYEASESSSAQYDPDADPDRFAQRLDELAGVLEIGEEESKAIRWGL PISKRQKQGPDLPLADFRKLINHHLNTTEWRYTSSIPAALPIPGRSGEIHSIGGGLTV DAGDIHPIRVLGRGWAERDEWIEMDSGSEDMQLEYTGGL L199_007129 MPDDIPHFSSLSVGEDSSRWIESIRVYNDLNENNAKDDNDNDID NDKEDLFESSHQPPLSTIPSRPSLGSRSSSYSSDSDTSLPATPLTPKFNCNIKLPSEN LHNHQDQEYTKTPKLKTTIKNDNSRSQSQETPKTIQVTSTPRTVDRNYRKIVFQETPK KQIIDRVQAQTGQETLGLKTPPNSKLRNLVRQPLLEPQPIILRPTTFWRHHPLSPHCL PKHSPSSRLIRRSTLIASPTIGIQHPDPDQETTRICVGLAGIDLDIDPRARKLSLVPI L199_007130 MLLSRARGAVVLLSGMNVDARVVERGNVGNQGSPLLLGPQGGSA TPPSANDSTSKSSDNDTQDSDNNNDDNSDSDSVSTSTSIASVSTKTASISTSTSTSIS TSTSSSISTSSTASSNTNSSSSPTHTSENRLLPPDPPKQPSSVRYLVPVFLLILITLI GFGYRKYRKRRKRRSRSSMAGKDFEELMKNGNDPFITSADQFNPYRGWKEIPSKDDDE DDGIWNSRMDDDAPQIRFIDEEHNTVRLEGSRAPTAGVNGGLVRSGQFISASEKGWGW RESWNNFKSARGKDNRNQFNTLSDLEEGTGNVDGDEVDEKQTMKLVKSNKVSESITYT NILPTTRGVSMGQHDYHEVAIEDELPLSPPREGQIRQLQDQLNSLTYQKEIPPTSTTP KKANRLERNKSPNNNRRSKSIKTDVNTGEFSPGGMPEAPEWIRPRSVSPTNLSILSPP MQPHLFFHPSPLPTKQIEPSIISEYSENGTISTLPSTQNTPQPSPILSDTDVKMPRIP STASGLAGIDSFSVVTSNKMPPVASGVNEKGKGYVSSGLNPISNSSPRKEKGGLSPNN LNLTLKRSTALQNLSNPSPTRNKPSTLSPDVIIDGPKISKKSRVEKKELKARNEVEDI LKASWSDRALISPPLGSPTNQTFADQLRSQGVPGMMSPGLEQGGNGIEQRLALLKGVD I L199_007131 MSFLSGLFGGSSEPIEKEPSASAELFNSTTFRSNVQPSSSSSSS SSSSSSSIPQPPTDQPALPTQTAPTALDAFGTAFDPARLHPLAGLSENLELLQLDEDK LNDIEGSASVLPSRGWTDDLCVGTGTTYLSGLLIGGMWGAKEGLSRPLGNNPSMKLRI NSILNGCTRRGSFTGNSLGVLAIFYNLANSSLDSLRGRHDTYNVLGAAALSGAIFKST AGVRPALVGASIMTAAAGAWSAFKNTV L199_007132 MAPKGSNSNSSAGPSTPREKKHKKRNAKERSVETSDRPIKSARI DEIDNDDDVEEEEENETAQAGGDAMDMLDSGIGSSSKNGDAMEALESGQDVEGATVVK ADEFETEAEREVEASKGLDGAAVGEEGKMKLVHQVRHQVAVPPNYPYIPISQHKRNDP PAREYKFTLDPFQFVATSCIERNESVLVSAHTSAGKTVVAEFAIATCLKEGRRVVYTS PIKALSNQKYREFLETFGDVGLMTGDVTINPTASCLVMTTEILRSMLYRGSEVMREVA WVIFDEVHYMRDKERGVVWEETIILLPHTVRYVFLSATIPNSMEFAEWITSTHEQPCH VVYTDFRPTPLQHYLFPAGSEGIYLVVDERSNFREDNFQKAMAALAAGQGEDSADPNS GKGRKGNKTRKGGAMKGEKSDIYKIVTLIMRRNLNPVIIFAFSKRECEDLAMQMQKFD FNTPDEASTVQQVFENAISGLSDDDKKLSQIEGILPLLKRGIGIHHGGLLPILKEVIE ILFQEGLIKALFATETFSIGLNMPAKTVVFTSVRKFDGKDFRNLSGGEYIQMSGRAGR RGLDARGIVIMMCDEKIEPDAAKGMVKGQADRLDSAFHLGYNMIINLMRVEGVSPEYM LERCFFQFQNSMSVPVLEKQLKEAEEARDVIVVEKEDEISEYYELRQQLKEKGQDFQA VISHPSYSLKFLQAGRLVEIRDGDKDFGWCVVVAYNKVINPKGRTPIFTESDPPQKQY VVDVLTKVASGTASGNPKDRSSSALIPPSGNDQGEVAIIACSLTTVQAISQYRINLPK DLRGQSEKNTAFKAVGEIKKRMKDGPPLLDPIKSMGIEDKSFKELVKKIAILEQRLGS LPITTSKDLPRLYDLYDQKQESINNVKQLKRKINSVHDILQLEELKSRKRVLRRLGFT TSDDVVEMKGRVACEISTGDELMLTEMMFGGTFNDLTPEQCAAVLSCFVFQEKSEAKV RLKEELAAPLRVLQETARRIAKISNESGIPIVEEEYIQSFKVEMMDAVLQWCKGAKFS DICNLTDIFEGSIIRCFRRLQELIRQMGQAAHAIGNTELEEKFGKSMELLERPNTVVF NPS L199_007133 MDMREIIKSLQSHFTPSTNEAIGAFEQSLPRDTYQAFSLGEDPL TFVFSAYWADDTLYESRTFKAESDLTADFVRYEKAMMSGEMACPLED L199_007134 MTSTTMYDPIITSPPSLFPLLPPLHQHQRRHFYLCGDETLSWES EWYTASFFTSARASYDSAASTGPVQPPTGIYQNVPECAAILSSQLAEASSSGLSAASV SGATFTDGGHIITTDVVSTFTSDGSTFASTVLRTTTIPITPSPTSTQTFSSSEVATIT PTAPASAITDPSSINTCAGDWDWQGWGVVAALGSGLIVGWIMWLIWLTLRRKLPGIYA PRRWAISQEFRPSNWTFITFLLPFLRLPSNALSEGASALSVLFAGLKLSALISLLALV GVLPIILADVPYPSPDSAATSNTLRLMFSSPSLGARSLTSTIAPAISSARVRLIIILV ILAVLACGGGMFVIARTYASLMKLKKGFESKTCQKMEMIFISSHDAGGWRGKSEEGVR RLLRDWCAQMRLNNEEKEVDVVGVFAMPDTTDLRQKIEEREQVLIELEVAETNYITSF KLTHTASEGDVLEPIGWNEGEPESQSTKNSSPVRPTPPNDFVAPKGFYKIPTVSHPQS QERLNVPVPPSLNLEEAGEPTDSKFKEINRDSAMYGGRFDIGQRIKMDQTGNWVPDPS PQSEQTNEFDTSPDTSHEGPTSERGRNSSDPPHSDEATSGMSTTPIISSPTSPGPPVS PTSPYSPEELGQRPRIPTRSSHRVSKEGTSPLAAHYATIRGTRARFKELNMEIEGMQK QKFAEIASTTAGIKGWIIVGKGVRWLPHAELIEGCTKEDILWQNAGAPTRKKDQRTFW LKVLLSGGVMSIILVPFLALSVGTAPGFAHYIGLMKPIATSDGFGSGVVEGLVPAVVL SLVVVVALLLTEGFSKDVKCISRSHQRFLAYRAVFYLLLWITVVWTVLVVTLEYAVQG FAMNVQEARTVGDGAVFSTWFVFVLLLNLGFVLPPLYLLQPLRIFKYLKHRKKAITPR QKYRLYTSPSYSPAIGMAPCLLAVFYASTLLFIFPLLAIPILVLLYLSFIANRYMIEH VFVDSSAGYTGTVLALWSVRRFGRVLGFQPLLYGLILLSRNEWAIGGVSIGVAVITVL LSEGLTVLRFKEKMRKDLNGNTRKALDELSSSMQDTKKDDGNRNGRNSRQSDLSLLNR VTALLPGFGRLPDHFPLPIPTERMDDSIQTERCSYHKPASRSGQTAEGHQYFTENLNS IKGLIYPLEMLIPIPVIWLPHDENGIAQGEMIELGRYHNLSAIVDQPDEIEPQGGSDD KTRRAKGKGKERGERDDGEVNSPLLVR L199_007135 MSDAASRAAARKAKILARGNTGLAKLAQTARGEEAQALYADDFK PSPSPSPTPRTETPPPITTTSSSSTSAKPTTKPSWVPPSDPTSTSSRTNPNANANLTA EQQAMSAQLEAMMSMFGGGPGAPGAPGVDGEMPDMSRLLQSMMGGFTPNGEGNGSQRL LGDLDDPAGLGGSGPGGLPPNLFGGGGDGGEMPFPFPGMGGMGLGGPAKKTKSERYFP LIHFISVVVLSIFTIIWWEPKIKKETSLLTAIEGDWVKRWSGLGYRGIGKFGNVEVVP IFWAFTTLELILQTSRFMINKSPPPPHSLISNFLPLLPPKISRPILTISRYLSLILQT YKDGCLLVFVLGMTVVVSQWLDGGKVL L199_007136 MTGLSSDPDQWIAHIRQCKHLPERQMKLLCSRVRDLLLEESNVH LVQSPVTICGDIHGQFWDVLEIFRQGGEAPETSYIFMGDFVDRGYYSLETLSLLLAYK ARYPDKITLLRGNHESRQITQVYGFYDECMQKYGNPSVWKACCTLFDHLNLAAIIDSS ILCVHGGLSPDIKTLDQVRIIPRAQEVPHEGAFCDLMWSDPDEVESWSVSPRGAGWLF GGKVTAEFNHVNSLSLIARAHQLVQEGYKHMFDDSLVTVWSAPNYCYRCGNSASIMQV DDNGKTDFKVYGAARENDTDMKNPALRRMQTPSYFV L199_007137 MSAEASSSGISKKSKKNKSKAVEASNGDGDAQEVDKNKRHRKDK PWDTDDIDHWAIPQFTAPSATEHKPFLEESSFALLFPKYREPYLRSIWSNITSELDKY GLACELDLVQGQMTVKTTRKTWDPYIVLKGRDLLKLLARGVNAPQAIKILQDGIACDI IKIGGLVRNKERFVKRRQRLVGPNGSTLKAIELLTECYVLVQGNTVSAMGSFKGLKEV RRIIIDCMNNIHPIYRIKELMIRRELAKDPKLANESWDRFLPKFQKKHLSTSEKTAKK NALLDRQAETSSTLNPNSIPITSTTTSSSTTAVDDKPKRTPFPSKKKTYTPFPPPQQP SKLDLQLASGEYFLKPREKEVMEKRKKAEKQMEVSAQRKAEREEAFIAPPEKREEGVE ERRKKRKRAKAEDLM L199_007138 MEATSSSNHNQPPATPSHSSLLPSLSPSGALEQTPAPLHTLPAH LHSQQPPPPQPRLGPAQQQQQGMQPPPPTTSSSSNGQNQHLTPAQQLQQQHQNVFGNV MGQGPNNSPQPGGTAQAKVYASVYSGIPVFEAMIRGISVMRRTSDSWVNATQILKVAG IHKSARTKILEKEIHPGVHEKVQGGYGKYQGTWIPFERGQELAAQYGVSSYLAPVFDF VPSPTAIAALPVIRTGTPDRAGQKTPSSSMAGYTPSLMSGNRGGSSANGRVISPFPHG HGHPHAQAGQLPPPPPPQFTPSNGDQSQMMGMPMGYPGQQPQQMMYYPAPQQHMYPGQ GDNKRGIAMAMTPSLSGDGHHNPSLGPAADINNLGLPPSGAEMYIDQYGQPHPTPSYQ PINYTTDTDMGPPPAKRQKSEDGAYLNGNMEEQQHEPPQQEDEGEDVDDGASDSSDDL RDPQSLPSSMRLSNKPVRPRPNSNTSKTRSRLLSLFSTSANDEGEDDVNVRQVFGLGP DELPDTFDIDMVIDNQGHTALHWACALSKLSIIKQLIELGSDIHRGNFAGETPLIRSV LTTNQFESGQFYQLLELLSPSIKTLDHSYRSVVHHISMVAGVKGRAASARSYMANVLE WVAREQQQQQITHSMNGDHLNGSSGSDTISLKTLIDIQDVHGDTAINIAARVGNKGLV NVLLDAGADKGKANKLGLKPSDFGLDIESLKVSPAEAIVSSLKSEVPKPERKSRDVQK NIAAIFETISSTFSTEMVDKQTKLNATEQSVRVATKALADKRQQLHRAQVKVGELELL NQRIESLKQILEEDSVSTSEDWTGRKLLTGENELPLAFRPIQSTDQQQIEDQVKNENI EDDQDDDEIKLPERIQFDSTGGTNTNTDSLIRLRRINLWQDRILSMMNDKIQNLENQN FEKNFRYRKLISLSTKVPVDKVDGMLDGLVTAIESDGQSIDLSKISEFMSRMKDTQNQ TPTQA L199_007139 MDFDDLTVDNTYQLGGSDDERERAKNAALIEELDRKKKFRKMAV PTDDKKVRERLRAYGEPITLFGEGPGDRRDRLKYVQEQIEQARGTDRMDVDEGSDESS DEEEEEGEFYTEGSDDLLEARRKLARYSLSRARTRIARQRVEVGLPLGKIVSLRKEVF SELKTFNNLGSQFGDDRPLSTIRFSPNSQYILTTSWTGDSKIWDLPNLNLVKTKKGHT DKIGGAAWHPQATLPGGIGEDGLNFATGGGEGDVKLWSLSGDKPIATLSGHENRVGRV AFHPSGSYLGSAGFDGTWRLWDVEKHKELLIQEGHSKEVYALAFQDDGALVSSGGFDA IGRVWDTRTGRTAMVLDGHVKEILAMDFAPNGYQVATGSGDDTVRIWDLRALKTQYII PAHKSSVSDVRFFRSQGEIDSIAINGELPLSGDVKGGITDNTMVIDQDQSNDIEVKEE DVKPNGLTGNQRREEGLNKSGLFLITSGFDCNVRIWSADEWNMIKNLPTDAGKVMSVD ISSNGKFIASASYSRSFHLFGGDNSL L199_007140 MFTPNIPLVRGIAGPSKLTLTRTSPRVFVRNASNGNGQPHIPVI RTLGQLRRWRKEARDKGLEVGVVPTMGALHEGHLNLVRTSLSRHPLTVMTLFVNPMQF APHEDLSSYPRTFDRDLALLQSILPTPPSPRQMQSPLIVFAPTPDVMYPLKGELQDLR NHKGVEVDVRGWGEVMEGASRPQFFKGVATVCTKLFNAVEPDHAYFGQKDIQQALLLR ILVKDLLLSHPTSSNLHILPTTRSSTGLALSSRNAYLSPSELKVSPVLYDALNAAKGL YESKDTAEGKDITGEDLIAASTRVVLDEQERILSENEGVELQLDYIELFDKDTFEPIR GPIGENREFVIAGAIWVGKTRLIDNLLVGWETS L199_007141 MAPDKVECPICSLLVAETDINLHLDLQCRGASSAAGPSTTPKFR TKGSQSSPEREVIDLLENTPTSKPSNSRRSSNKSMPVASIFNSTAKRKSSSQDKPIPM DIDKKGTGVSSEEGKGEKKQRLNPLIANQPLAERSRPTSLSSYIGQTDLIGPGSLLRS RIEAGEGVGSCILWGPPGCGKTTLARLIAKTADADFKELSATSSGTSDVRQVFEQAKN SLKLTGRRTILMIDEIHRFNKPQQDLFLPYVENGWVQLIGATTENPSFKVNGALLSRC QVFTLSPHSPESLQEILTNALSSLPPPIPHLPPDLIPFLADVADGDARQALNGLELAL KEQNQDEKEKQKKRDEELMDAVRRGLRKGYDRSGEERYDMISALHKCLRGSDGSAAMY WLARMITGGEDPLYIARRLVVVASEDVGLADSQALPLAMATYQACQVIGLPECRINLA HCVAYLAEAPKSTRSYTAYKRAEALCHQPPLPGVPLQIRNAPTTLMKKLGYGKKYSYD PDYKHPVYNEYLPHTLANHSSHSPYPDEHILKSAEAELKEKSWDEDRLSEWEWRINGN KEWEGRSTLKE L199_007142 MSPTPSPPTGGSTKRKTLIPETSTPGPSASASAITNPNTDEDGF TKVPTKEERRKMRKLSKHRPSFQFNVNEFRYGKKIGIAHVRDLVLYIVAEGNKPSWIQ IEHKSFISHTVLLFVPGLLPSQLGLDQIPTISCMPFSTVPTPTTTGSGSSTDPLPPAR VPIIPKLFTYACPTRAPGDDRKLHSVLNSLLMSPIPDGLKKQKEREAKQLAEAATSSD LPPFLYLLTPHQMLDNDYPIPSYISPSDTPVIPGLDLSTLPPRGSTTSMSNGNVRTKG NRRDDPWLEIPQADGPPEDGKWPVLAMDCEMVLSEDGQELARVSILDFDSGDNIFDEL VKPPKEIIDYRTQWSGITPEKISSATHTLSSIQSALQKIITPHTILLGHSLECDLIAL RIKHPLCIDTALIYKHPRGPPFKAGLKWLAQRWLKRDIQQSDKGHDSEEDARTCLDLL RMKMTYGPDFGNTMENMEPIFERLNRNKPIKTSAFIDYSNPRTSLGAKATTAIRCTTD DEIVDKILENVEGHDLVFGRMMELANVQGWNDRGISTDQFSPSDLDTSLSRFSERLTR LHDSLPSNTALVLVNGHSNPLPMLKLTAKRQNWERLMKTLGGTDNIPKDQRWMTENER ELERVTGEAREGMAFFCVKS L199_007143 MSDVGRESLTDKVTSAAKPDSEKSYVEQATDFVKGKLDAAASVA QPQEEKTTTQKVGDALSGDNRNKDIA L199_007144 MPFFQSFQDFKGLTNSINPLNLFTSEPSPDSATPTPNGTPKPTA VAGPGPSTTAAAAAAKSSLPVRQPAGLPTTPSDEQANPLGRPVNSRSSSNNSPAPIRP SLKHTNTSSSSPSSSDSSDSTGRRRTSATNVSIADPEVSGVQGERRRSPRKRTSSRPA SSGSGMTNVSGIEGEVRSRKRKNPLDTYIIVKPPPTSAKNPLNLQIQLVVKPSRPKRD RSASEISSRSGSIAGDGMIASPNASPAARTVDLPLEESEDSSAKETITSSEPVSSTPP SATTDKGLPGSPKSSSTESEVGGAGLRRSSSIRSSISTSTAATGSSAASGKRIEPMFN LAVHNVMQPTVVTDAATDVKVAKFHKRNLDITGVGVLEPSEVWLPTHQSATLFASTSR QHADDSHPAPRQRPLSLVSLTSPVSPTLSRSDDGKSGVRGSLDLKGFKMENLRLGQNK ADGESKTRQFFGKVFKKKTSMGDIGPTKRKTSPSASFSSYDPPKSASSPTHDFTGADT LHPNMAAVPRGSIPAASEALPSAGVGAPTFGTAPLVVRRRSSGAMITADGAVTGLTSH VEPPPAATSMERCQSLPIFPSNRPVGYTWSVKKWAKKNEEGWAAQLKAAANAGLEMVG GNAAGEEEEEVLFEWVKLRVPANAAGDEVLRRFSTTGVISATRNRSRTRATSVPPTSN DTININSPNQSRTSLTLQPARGEKEGSPFPPSSPNLNSSNQNSPFGSPRLDPRPEPVR RISASVSPSRRTSSTTLDADNDIPQPELTADEGEDSDPEDSETPWTCSVWVKRTGQRQ LLGTLTPAPHHPKVIGILKIPQGLDPVSLTDLQLKGNNGNQASMIGHGYSQTIKKIKD NIALSEENLKDVVCVTAMWLVAREEFNGLGKKKSRRGTQG L199_007145 MSIDINWSLLNSSEPTQDKDQGIGPSTSNNSFSSTNLDNTNNDD SDVVVEDALSSSLIQLLNEQLSTSKRPSFIGPITVTSFSFGDLGPELEIKDIRDVWRV FDQGDEEGDELLAEQEEEERLRMLEKEERERMERRGVISGLEDERYEYVNLDNYIDQE DMTSKRHMRDGSRNRNRNRSHGHGYSQSYGKSHSQYAGTTPIPRSISNPHTLSIADHQ STSQSHSGKSYIPFPFDPNSSTLNNYHHQHPNQIGITPSTSSLSMSMFSPGLGRRPAS IAGSISGHRPPSVVGIGIGVSSLLPPASAIHSIHPHPHQDQLPPPPAAPLTRDHSYSL PPSPPALPPKGLPAPSSSNNVPSAQIHFNLKHKSNLNLVLLTSLQVNYPSNLFMSLPL KISITGFTLQSDLVVAYSSEKNRLHLTVLPPQDDQNPNNTNQDYGYPYGYGYNKRNSM YSNQSIGEKIIPSLQIESEIGHSDAHVLRNVGKVEKFIVDVIRKTVVDELVFPNFHTI AL L199_007146 MSASGNRGGAGPSQGRGRSSRFEGVTDVCSLGATLAEDTVLATL RERFLISQPYTSLSPSNLISVNPHSYLPVNGDASLQNYVAEYYRIRVDDETSRDADST TKKDRLGPHIFQLALNAYYNMRRTRQDQIVVLNGSVGSGKTEMRRLAIKAISEVSVAA PGKKGSKVGSQVANAEFILESFGNAHTLTNDNASRFGNYTELQFNDRGRLEGLKTIEY YFERSRVSQTPSSGERNFHVFYYLVSGVHGEEKSFLKLENTSSYRYLQSRVRRTGTDD RQRFEQLKQAFKIVGLSNRLVAQVCQLLATILHIGNLQFETGGNQHEGAIVTNYETLS TVAEFLGVSQEALSELFSFKTVLMRKEIYTTFLNPEQAESVRDELARTLYSLLFSWLN EHINQKLCKDSFGSFIALLDLPGMQNNHGPVAMSNSLDQFCFNFANEKVQNWVLHRVH ESTLEEASKEKLTVTRIPYFDNSECVKMLSDNKGGLVNIMDDQARKKRNEGQFLEAMG KRFTGHASFSLATQSRSGGATFTINHYDGPVTYSTENFLERNANETSADILRLLRGNT TGSQAVSEHLGSNNPFIKSLFSSKSIATQAHPRNDDEIVAVQQPVRPMRAPSTRRRKG RALKAVSEEEEEEEGEDDEVGGGNDGGNAGKALYCIAGQHWSALDTLLQTFDQAQPWL IFCLRPNDSQLPSQVEIRSMKGQIRSLGLTEMAQRLQTSYEVRMNHFEACDRYAEEFE VRGILKGPSDVDRLQDLKRVLGLNDNQMAIGANRVFLSHAVFHRFEDRLRAEEKDEQR HRREDMEYLDEKERKVDPFSPYHRDVSPSASPALGYSDPYLQNESTVDLPLVDHAQPL RQDSPDDFDDIRGFAPSQVTSQFADSASNVGTETYAPSRNMFRDFDHKDEKDVLDVEP QEGETTEEYKESIARRRWVWLCTFLTFWIPGFMLNKIGGMKRQDIRQAWREKLAINMI IWFICACTIFVIAALGPLICPTQHVYTLSELSSHSSKNDPNNVFTAIRGEVFDLTQFA PTHLTAVSVVPTKSLMQYGGLDASSLFPVQVSALCDGVNTPISPYVTLDSTNTTDVFM QYHDFRAYTNDSRPDWYAEMMIMMRHRFRVGFMGYTKKDIKKMASSGRAVAIYDGLVY EMTTYIQQNGGGLKAPNGVELTADDQASRRFMADQVVELFTYNTGKDITALLDNLAST AGQDLVNKQKVCLRNLFIIGKVDSRDSPQCQFSTYILLALSIVMVSIIGFKFLAALHF GSSRAPENHDKFVICQVPCYTEGEESLRRTIDSLVRLKYDDKRKLLMIICDGNIKGYG NDKPTPAIVLDILGVDQNNDPEPLSFQSLGEGSKQHNMGKVYAGLYECAGHVVPYLVV VKVGKPTERPKPGNRGKRDSQMIVMHFLNKVHFNAPMNPLELEMYHQIKNVIGVNPSF YEYLFMVDADTTVDEMSLNRLVSAMMHDKKIIGVCGETSIANAKQSIVTMMQVYEYFI SHHLAKAFESLFGSITCLPGCFSMYRLRSPDTHKPLFISNGIIQDYSENRVDTLHLKN LLHLGEDRYLTTLVLKHFNDYKTKFVRDAYAQTVAPDSANVLLSQRRRWINSTVHNLA ELVFLDQLCGFCCFSMRFVVFIDLLSTIIAPVTVAYIGYLIYLIVREGKSIPTLSIIM LAAIYGLQALIFVFRLRWDMVAWMVFYIAAIPYFSFFLPLYSFWKMDDFSWGSTRLVV GEHGKKIVIHDEGKFDPRSIPLKSWNDYENELWDQESIHSGSYMPPNKGEYDSRPGSA YGYDNYDARSRVLSPFGSYGDLKDQSRGGSMYNGVGKGVPQLPYQNRDLIGSPMNSNS HLALGGDNRSVFGDNKSLYGQPMNVDQRSLHAGSFYGGGGGQQPMLDHRTSSYSLHGN TPQMGMGMGMGGIPPMGMGMGQQGNMIGLDHRISSYSSFNPPPPPQQHQYQSPYGDSS QYQQRSPLSQHGSRPVSNFLGDAGIPPSASNDNNIGLSLGPEGITEAQLEVSIRRICA ESDLDTLTKKVVRKKLEEEFGVGLGSRKDSINRIIEEVLAGE L199_007147 MNRTVLYVSGFASNLRARDLAYEFERYGRLIRCDIPALKTPTSS PFAFVEFRREDDAEDAYYDMHGRSIDGRKITVQWAKRPPSSQWRHDGYGDSRDRRRSP PPRRRSPSPPPRRRSPSPRESRRGGDHDDDDRVKERDYAGSKRGRSASPERNGRDRSA SPVSKLRESRDDDRSRSRNGDESLSPVKRSDRDDERERRDD L199_007148 MTAERSHQMKVNIKHSGKTHPVEVDPSKSVSIFKDAIYQVTGVP QDRMKVMVKGVLKDDADLSKLGLKPVIGTAGPLPSAPTQQITFLEDMDQDAVALAEGN PPGLVNLGQTCYLNSTLQALKTIPQLNVALDSFSSSSSSPESKVTNSLKNLFSGLNQT ADPVPPFSVLSNLRLMAPQFAETDNAGRYSQQDADEAWTQLLSALKLSLGSSSGANGP IDKMMGLELTSKLQCAEAPEEPATTSKERQLKLQCNISINTNFLVSGILDSLNQQIEK TSPSLGRMAVYNSESRLSRLPQNLVVHMVRFYWRRDIQKKAKIMRKVKYPLQLDTIDL ATEEVRSQIQPVNSAVKQILKERDDRAKIIKRNAGKATSDDATKEVDIRREERKKIED LVKEKGIDGETNVSGMYELYAMVTHKGASADSGHYIGWTRKESEVPVASGEEEWYKFD DDKVSVVTADKILSMDGGGEDSVAYILLYRAVNI L199_007149 MDAFTAIFNNFAASAAQTSEAPRDSENHPGGPLAMCVIA L199_007150 MVIYDEADRLFEMGFDVQLREILSRLPSTRQNLLFSATLPSTVA EFAKAGLVNPLLIRLDTEHKVSPDLDLRFLSVKPTEKDAGLLVVLRDAIKIRSDSDKE VNQPQAIVFVSTKHHVDYVSELLKAAGYRTSHIYSSLDQIARQQQLHQFRKRFTDVLV VTDVAARGLDIPIMDHVINYDFPPGPRVFVHRVGRTARAGRKGTAWSLVTRDDWPYLY DLQTFLGSARLGDDAAMLKAFPQDSISENIEYISSGLDEIAPHLQSQREVMRKGQAMF ERSRGKASAASYRKAKLLGRLLSGQTFTLPIDPSLVDSDNPSTIAARNRLVASIAAYA PNETVLELGRRGETDNSILMQKRRKLVNRRKKLAAEETSTVDDKPQLSCDKNDMLMAK SFRDPNFFMDHSQSGAASERGYSLQSGASLPEALSATTLDMTADEGSSARAQKASQLS WDRKKRKFINATVGSDNKKMIRSESGALLPATYSTGRYNTWKSKKRTTSSADSHDNHN QGHNIDKSRGKPLIDPSNAKTIPHRGTGLLSARAIRQQREQVSKRKEKSNRPSHRGKR R L199_007151 MDESINIPSWADQSGSELVQSSKPKGPGSQWKALNVGPDMIRSL LLRKFKNPTPIQRASIPGALSTPPRDILAMARTGSGKTLGYLIPLLERLGSTHSPSTS PRALIMCPSRELAMQILTVGKDLARGMTKGKGKETESPKWALIMGGESMEGQFEKMSK SPDM L199_007152 MFTCISCRVAFETAAEQRSHFSTDWHRYNMKRRVANLPPVAAES FNEKVLERREQNAVRTDPRSLSCASCKEAQQSVSHANSNSHADQITSQNSSQPAPHPI KVTHTATDTDSEDDSEEEEDGDFSARIAKARRRIQPTDCLFCPANHNSIDDNTKHMAK SHSFFIPDQDILLDLPGLLSYLGEKVAVGNLCLYCPNGGREFGSLEAVRKHMIDKAHC KLAYEMEEDRAELADFYDFAGPDEMAESDWEDMEDSMSDVMQEDAMPDKIKQQRQISL APDGLSLMLPSGRTLGHRSLKVYYSQRLRPFIHHNTSTSAVSAAKVALVRQRLADPSL ALVPVAGGHGAFGRGQELMKARNAGEAKWAKRQGRSFKDQRLKEQFKTKVGYVHNNQK HFRDPLLQ L199_007153 MENKVKPQPRVSTANLSVGLPSGTYSAAGGTPSWRSGPSPSPTP PVAGMTPTTPLPPPTNAAPRALTERESQLVKHLSRLQFFLATAPTRWMGGDDSSVKAT SPFHSGSLASPHPNLNRFLLPNGEHVACVFWNGLYHITGTDIVRALVFRFEAFSRPVR NMKKFEEGVFSDLRNLKPGTDACLEEPKSPFLDLLFRNGCIRTQKKQKVFYWFSVPHD RLFLDALERDLKREKMGMEPTTVVVGEPARSFRYDPKRSLFEQFAGKQPGLEESINPN SRIIDPASTATTAASQEHVLEESAFMEDTEHSSPNTSVNNTAKESQNAPSSIEMNHVH SDRLTSGHDKDLLESQSNANTVLFSRSLLKGSPAYKQGRRKSSREKKHRQQRAGTITT NYDTGDDDSGSDSDRLHRSEYSFPSGDEGPVSTSNYIQPPIYPDIRGSPVNFNNANPA HSGQLRPGPANGSLLPLSNTIPPSRPHPGSWSSSFLQVAPTDRPAPSSSYTTFPSTGH SAHHPLGPAAPASISATGVPSKGFSCPLLSCGRLFKRLEHLKRHVRTHTQERPYECTR CAKRFSRSDNLTQHIKTHEKADRGERMKTEASESTEDDIAILLEAEVDAMAARESRGY STSTNPSTINADHIYSLAYGENPTALQGHFSPARLPMLPPSLSGTEEFGGNTIVNMHA GRTPSVRPDWSLGNPVPPMFSNVADNPLYNKRHRSMTPNLPPSGRTSLTEQQHVSLQP SSSYLGASRYHPYASSTVNPGPHPYIRAASLDPSAFLSRTPGHDGYTTNHTSQDPLNN TSKESHPFASFATTGDVTNSNTPSYSLGDMISGADEVLPTITTRFQGGQSDDQSKDKE QNEWTEL L199_007154 MHDASIIFFAGLCSFLVILPLPLQWRARNSGTILLITWLFIGNI LSFVNGLTWWDSIENVAPVWCDISTKLSVGLTVGVAASSLCITRRLVMIASSTTVTFT QRQKRIALCIDIFLGILLPIIVMALHYTVQPHRFDIYEGYGCQVSTWPSIPSIFAVSW WPVLLSLTAAVYGVVAIKFFLSRRLQFQTLLRSSKSGLNNRHYVRLMALASVDILLGL PLTVFSLSQTVIKRQPYESWAIVHYNWSRVDQYHAYQVLNTAGNTGAIVLPRWHAPLL SIIFFLFFGVSIDSINEYCRWIDWFKSKLPPWLVQPNKPLPIFVPKLGSTVARPIAGD WKEPSTLEESIIGETQSDKHMRGSQTSGLEGVTVAVRVEREVV L199_007155 MTTLQFQPLNSQPTPAFWTALTTHKLDKARLSDDEQQITGWLEE GRQVEDHSNSSSSGDPTYVGIDGNISVGGNAFGESSESTPAASIPVTGILKNFNTVED FRKTETKKEIFNQVILGSFKSDQPLINPFLLVTFADLKKYIYHYWFAFPAFVSSPPWR MDQQGLTPIQETDLRELRQLEARLSTTENHKVEAFLVKGEPGSRLIAPLSTYQTFYSD ALSTEVTIAFHDPSSSNTNPGWPLRNILHYLNQVHGTTQVKVICLRQGRASRQAIVTL PREQHGTPDTQVSAVGWERTKEGKLASRIADLGPMMNPIRLAEQAVDLNLKLMKWRIA PSLDLEIISHTKCLLLGAGTLGCYVARNLMAWGVRNITFIDSARVSFSNPVRQPLFRF EDCLDGGRSKAVCAAERLTEIFPGIIATGHSLTIPMPGHPVPSSASEAACEDIRKLEE LISQHDAIFLLMDSRESRWLPTLISMNQNKIVINAALGFDTYLVMRHGVVNVDRHATQ LGCYYCNDVVAPTDSLTDRTLDQMCTVTRPGAAPLAAATATELLVSLLQHPLKAQAPA YEPGATDGGHEAALGSVPHQIRGILSQWRTMIIRGPAYNQCTACSPLVLNAYRHGGAK WLLEVFARAELLEEVTGLDQLHIESEKALDNIDWSDESGSEDESTT L199_007156 MVANQNVCLDFTVRVAYLQALLDRQSQSAATKDQETSSSLPTNH ATSSRRSHDGSFFATFDLGSLMGKDSSKSPKYPEKLLKIFDSSLQRIAMGQEPKYSNQ RFRRTVARFWSSTWPDKTFQRQMKESRKIEDLILAFVTSSTKTLQKDEELMDGAWKSE LSLQVSLFLDLLYDCLVSLGPLSPELASRLQSYRNRLKPQDTPSKTDKDAEDRSKERN DGDTLSIARKHDGNDLMGPLLDTVATLYQLRREDIRRIITDIENTCTEQAALDDLKKC LKSLNTDMPYLYSPRDFPDPGCWNTWRTSEVSALSQMMLNMMQANSSLHQTADRQSPS DLSLQLDTLNLDAGEYQFTYIPPDPKATYRELLDRCLDHDLELLKTLPEDEDVSLGIL SHEHVTLLQVCAARWRLPLSFRTCAFFSAIVDRYQQGDVPSACVYEAQSMVERSQLDT PTSAWANSDKELFDRTLYRRDVCFLQAVAEGLRCPKGYHSEEFLEAVEDWYTLNVSEE QQRSLSTISTQIMDNIKSQAFNTYIDHASRLLDQEGSKTCTFALQLGTWIEKEAKKLD KRFAAPISSEIDIVPLVLQQHLTLWFRDLEDTILAQTHEALRSNMEEMFVLYRKSCKL SDMGNAFLREMKYVLRFPLPPLFTGLVNLWLEETALKTKDWSDQALAVDSFEPTSPNG PSSSVTDLFDSFRSAVHFLMGLNWPDEQQLAGFATRLAKIISFSINDYCQKVEQLFAQ DMRQSETVFTAAKQKAWLEKAKATLASLQGERKLQAFFNFTPQSCVKLNNIEAARQQL DQLYDQMRVDDLSAYDVAEGSAQNGHQAYLFTVKIVLAEGLTLESSNKSPDSFVILSD EHGNRYAKTRTIYDDTDPRWDESFDIPVKGSAWFMATVRQRNITGKHDLLGRAYLRLD PSQHVDLLSKDVLLPLDTRGHLLMRVAMEGERDDIQYHFGRAFRWLKRTESDMVRTFV DKVSRLKCGKPLTTDDSGSTAHAVPSFHQISPETEHQWPN L199_007157 MGSTEYTIPPTKEERHRGPTDAEIETAIHPLFDYLDTNNHTLAS SLSHDAMQMVMAKLWKQILMTIEALIVPPLSDKPSRMRALGDGELDIALKWLKFLRDF FYVGGDASGVPLSTLQNAKFNEILSVRIYYDWNTDDLMEECIRGFQSTLKYRATKPSK SLLSQRNLGTIRARKSAKRALPNSSSNTEMIMRILRMRQGTQEFLAQQLQTISVVKLE NPKKGRNSQSRFSR L199_007158 MSYNLASLTPSRPAPTPPAGGNSARPSNAHSYSSQPKSSFSSTS YASSFPTISPTSALSVTRQDGGRNEAVRAGLASVKEEGLRAFMWSKRWLVLGGTELSI FKSEQSSSPVFILPLSDIQDVQRVDMKPFCIELETKDKLLYFAFRSDDEVYAWMDDIY NRSPLMGVSGPTNFVHQVHVGFDPISGGFTGLPPQWSKLLTSSAITKEEAARHPEAVL DVLQFYTQQQMGQGAGDYQQSPLPTLPTQSRTASAAATRFEGVGLGGQQPQRERGGFS NNEAPFVSTKPGPSPASSTPDNRDPIRPLVAERKAPPPPRSAGPSRPLEPQTDRKMHA KPDVKQEPESKALPPTTSAQPQASTTRPERRISTMNEAQIMEKLRSVVSAEDPAQLYS KIKKVGQGASGMVFVAKALTSGKKVAIKQMDLQQQPRKELIVNEIIVMKESQHPNVVN FLDAFLVRNSELWVVMEYMEGGALTDVIENNKLTENQIAAICLETCRGLQHLHSRSII HRDIKSDNLLMNAQGQVKITDFGFCAKLTEQKSKRATMVGTPYWMAPEVVKQKEYGAK VDIWSLGIMAIEMIENEPPYLDEEPLKALYLIATNGTPTLKNPERLSQDLKHFLSVCL CVDVSFRATSTELLKHEFLQLACPIRDLAPLLKFKQAPVSSKSLMAVIYS L199_007159 MNGSGHHSSRRSTLASRPADLRDSRLSTIGGDGGFMGRTPQTVR NMPSSVRRNSVFASTGRSRPSMAPGMYTNLPLRDPRPIRDKGFQAHCMRNVNEFLVSA RYPAPITSKTLVSPTAKEFQLIFRFLIDTLVDHGTGWSKKFEDDALMILKDLKYPGMD TVSKTAFTAPGAPQSWFGMLGMLNWLVELCKAHENWNDGHCISDPILLAPHLLPLDHP HLEDRLLWDFASKTYDQWFDGGAEEFPEAEHELELMYDRMSITAVKEIDKLEATFTKR NLELQQLHAQEPPLKKLEDEYLQLMEDKTKFIAFIELNKQKAEKTRQAILKIREAISG QNQDLAGLRSELSSIETAVAAQNLSPDEVNRMNHERESLSRGLDDLRAKIVEASQMAY DQEMMVTKSMDRFETLLADYTAFCHQLGLLTRSADIIFRDNAEFDYKIEVDLGVEDLD DLRTSGYHMRTTILQGLQSLRERHRQELIDLSDNRIAMEDYCDRLGQQVAGQMEAART LEVKLKMINDQAELAQNKMNAENTVTNKTIFKLENDVTAMMAATQQGVLVSQSQLEST RIAYTELRHKATILQDSLVAQIGSHIDVIIKAKEHSANSLRSIKALAETQ L199_007160 MARPLLNIDYPSVEERIADSTESWIKDLKSLFDNAKDRFGDVCW ESEDLSINKIWGHKAIIYSRAPKAFKERYFKIRSNSNGSTSRLLSPALLPSRSTSRPT SPSSNYFLQPHSYNPSFSQLSLLTANSEGTLRPGEDVLQLQSEEIPEMFLTQLEWLYT GEGLGDVVHWIDTESESDNTSSSRPLRPSSTSQGDLKERRDKLGQDLTYMWRSKLYAD VRIHLDHLISSPSSGENTDDSDGSSVDSLSSTAVFTSHKFILSSRSPYFASALLNHSS FRPTTNGTGTSDIHLPTPPFTPASLHFCLGYIYAGHLDFSNRTFDLTTSFAIHRAAAY LQLDSLVAEIESRIVHDFAHGLDWDTCRCKKCLVRIPRIWKFAISPDVAGTAITLEHR AKVYLTRSWTESWISSKEIGLCDLTERDMLVKSVQESVHPQNVISNFQAMNNIRNKLN NALRTRIGGKRDWISNVEEMVNEVESKGLRMLLDHFPAVVGGREFSELVNDTSFNLDT LEYVLDKVTERVGSGEGYREAPAIYQSLVTFSTSKNENGNSLQPRNTPGSRSQTILRN TKSKILSHINRRWMQIRDVDGFRNIEPSILREISVEIDVPLQDLIGGTNLPFPPHANG FGNTNKTTPSRSKASIAAADRFTSQTQPEPRPHTNGLKRLRLHSSVSTSSSTGSLSRA SRFSLDNRPERSTPSVSSTRTITQNGSTTSLNSQRRPITSRMVGETATATTSASRLAN PPPPPLTDSNSTNTNTVTTDGDKRLTPLAPKITSPRSVASSKRSAATSIGYKPSPSVS SLRKESTSTSSLSPLSTKSSASPIVRPRISNVSPKPRLDSQATRMVGVDRDTRAVRPT QLKPKTNPPSLNNEPPLASPKPQARITGKPWTGPSIVLNIGIPCIVSHLHPDGRSRLR FQACVRYIGHMEKSKGPWIGIEGNDISKLGIKTLKEGSKDGVKYFDVTEPKIDENDNE RSRSKVENSTSTLRNRKISSSLTDHRRHHPRLLQDRDKDKAKTSSKSQSVEVLFVRPA EVVFIMTSDQT L199_007161 MMSGESSASPVPSQITQSSGSGSGSASGIGKWNNISTTGHGHGQ KSAPVKAACLSCRNKKAKCDGKQPVCGQCAKKNLECVFVKSRRGGARKRRPAIPPTAL SEFLKRLDTLLMAPGLDHGPSRDSEDGQIDPNEDTTNIVKRFSSREEVFESYYTDVHP FVTVMPPRTLLKTILPTLLTDSPFLLAVQTILVLAPHANDPNPTSTRSKRLRQAASVS FAEQTMALVNSMVSNGQLNIECVQATAIISLWEWSSQGSVTRNRERSTQAIQLAMQLG LNELDKYSSSSVPVRPNENGKTVEGEDWRKDMARRTWWTTFVSQLTAALVSGNQPIVG PDDPRIHVDYPVCSAIDHSWSNFLETVKSTIRVFDLVISVYFPQLASTSESNGVEKDI SSAGGLFSASDNIQIQGTQEDEIRQKMYNVDKQIMDLIKKAEETAVIDLVPGAEEEVA RNQQLQARLGLAVLHIHIHRWQAFPEVSLFSKKICGLPQAPEFTDDGNNGMATPEYQY SNQQQLDGSLPSGDIQMPSYNDANQSYNGYVDPSATQYDQAMYNAACFDGQTFQPQHQ QQQDEWGNSFDPNEVYQYGIEDMWAPETYPENLPAPWFAHPGGAAQLYAPTQQEPIHY PEITPGASIAPIPTPPSSTFQRTSVTPSEDGAGDRRRVSTGSTATNKPHKAWGVDEKA DKVLPPPALQQLEVFPPGISLARCATAAHTIVRLEVLHRSAVIAMWDGPPKWPPFCSC GLVTGAYAFLLLALAVQAENTFSGYTNSRSEEVEALLTNVKVILAGLEAYGTMWAGID AMAGEVRAALEAATRLPFEVSAQIESATASPSTQGGMQE L199_007162 MPIEPITIPNSPRTPSALLSSLILSPRPQEGISGMTIPQSLMEM LSPILPSSSFPFGDTSPSNGNGDDNGIDSPNFPLPLSPSPSRSHATRGSRRGRGGPQK YRSLTSKESKQLAEILLSTFKPHKRNQKELMRVSIDLASKLDDCGIKWDKQRRVMGIH LRGLFEMGMKMRDEKAMDMYVQGSFVTTAETPHPLDPHPLPIPLSHPTQSQPSSAPKH TIQAGLLSALPINIDSSPSRTSRHSQNPSINSIKSILTRPVNGISAVNSPSQPQSILS PSTHGRTTSNHTNIAGPTMRSPVFAMPSSSQYSSFGQIRPGFELHEGLRVDAKLIERE RKIQKWRERKKRVGLRIRVDNHKSHQSLTATRSAISTCHRSAYSAYSARTPRIGYKAF TPVGAGRAPHTPHTRRRGTPHTPCNATTATRRGTPRYPYDYRAASGNRSRCGNDTPRY TTFGQLQREVPHQTGRYQTNLIQHQPYSAISFRIPSPPLSGMIKSAHSITSAYKYQRK KRFGLGLSVNLNLNLKLGNRNYTFGNVNVGKGKVKRPRSGRKMGFGGWELR L199_007163 MTTNLPLPQFYSQTLSTLQPIFDDTLPLSDPSTQSTLTSALDNL YLIQRMINSLGVFSENESIDEVGEKEIVFMSLNWVIGAAEEKGGLGGRDDRISTLQRA ETAYASYLELLNSYGVLSSEEQAESSATASGQSSVPKDPAKKREAKIRQYKREKELRE NIANSSPNQPESSSSPITFLLSLLPSTSNRPSVISTSTGSTSVNQEDSDEISKSTTIL ILRLLHSLTIASLSSISMEMELLSNAPASIVPLADRDPREIRREEEDSTWKLDRQPSK YKPRELISGNGRVLRPFTILPSTSNMSDRERLKSGVFKQSWRLPTMTIDEYLEEEQRR GNIITGGGQASYDAPTESELLELAAENDGSALAEEKAEQKRLKDENWARFADDNKKGA GNTMNKG L199_007164 MAIPPEQSSSASQLEAASSSASSDPAKAEQIYRDILSKKAADED ELRDQETALVKLGALYRDHNKAKELAQLVTDSRTFMSQIAKAKTAKLIRTLIDYFPPS SRELQMQVTNDNIAWAREEKRVFLRQSLEIKLVGLQLDSEQYRTALTMTENLLKELKQ LDDKIILTEVYLLESRAAHAIQNLPRAKTALASARTTANSIYCPPLLQAQLDLQSGAI NADDKDYKTGYSYFFESFEGFSQIDEKDQRALKSLKYMLLCKIMMGLPDDVTPLLLLK SAAPHAGKDLDAMRATAKALKERSLEEFKVALRDYQEQLQQDPLIRSHLSHLYDTLLE QNLIRVIEPYSAVELSWIAQEVGQSLQVVEEKLSQMILDQVFYGVLNESAGTLEVYEE PVEDPMYNTALDTLKQVGDVVRSLYDKATGGA L199_007165 MDESALESHYNSWTAIGQTSNQLILYHPPSHALQVQPHPSSSSS RIESSSNAGSSSRRPLRLLGNLSDGEVPIESITTVPHCPYCSQPLPAITTTNPPPHPH LSHEADDPRGRLGKQKYFRILEQAHEGSRPPSPTPSTPSTPRKRYRTPLMEQDEDDEL DEADFPARGYYDRFFREECKLGMGAEGSVFLATHVIGGNVLGTYAVKKIAVGRSKSYL FKMLREVRLLEALRHPNIIPYHHSWIDVTRFSNFGPPIVALHVLMQYATAGNLDTYLL TRSHTNQPRPDLSAGDIADSESLGQLPKAERIKAFKRRRQSAAEGTAGKGKRRMEEMR GVLLLGMEEIMKLFGDVVEGLAFLHANSILHLDLKCSNVLLHWEEGKLIPKALISDFG TSEEMLRGKRERTGHTGTMEYMAPETLIQDTQGNWRPSDSHADMWSLGMILHKMLFLH LPYPDTEDYEALHKEILAYPGFVPTTEIIQSLERRHIPRDLLVLLSKLESLIPEDRPG AEKVRAGLKNLENKIRSTPSTLSSKAGELVRRFASPWTFSSPPDDQVERDPPQSYSPV KTILALPSPEQERVVEPFVTGFNSPSPITPNAVKVKRVEELSRSVKDRLNRRTGVKAI RGFVFIIKVISVQPSIVNRPIPLPYIVLLLVLAIFELVGETPIGWSVLFGAVHVGVLA HQTVIN L199_007166 MASFFNFSGSPVEIEIKLAGEDERRQVEVKGDKDKREMCPVYYD GESVTGQVNVRVKDGRKFQHDGIRIELIGSIELFYDRGNHYEFVSLSQELASAGEMRQ AQTFDFTFKNVEKQYESYSGINVKLRYYLRVSLNRTAKEREIWVHSYRMPPEANTSIK MEVGIEDCLHIEFEYNKAKYHLKDVIVGKIYFLLVRIKIKHMELSIIRRETTGAPPNQ YNESETITKFEIMDGAPVRGETIPIRLFLGGFELTPTFRDVNKKFSTRYYLNLVLIDE ENRRYFKQQEITVFRIP L199_007167 MSSSIYTKGTRVWLPDPHTGWVAGTVSSLTLPSDEASSSECTMV ITYEADANTTKTLKFPYSVIQAASADAASGNIQPSTPPPGQDQLPPLRNPPLLESSED LASLSNLNEPSVLHAIATRYSRHLPYTYSGIVLVALNPFSPLAIYGPEIIQAYSGRKK GELEPHLFAIAEEALDCMRRGSGKGGTDPTGAGDQTIVVSGESGAGKTVSAKFILRYF ASVDDPTKSENSGGRRREIGGDDDGMSEVEKQILASNPIMEAFGNAKTTRNDNSSRFG KYIEILFDQSHDIVGARIRTYLLERSRLVYQPESERNYHIFYQLLAGAPSKERKDLSL SSNPSDFAYMAGGGPTSTPIPGVDDSKEFRETQNALSTVGISVEKQWHIFRLLAALLH IGNIKITQARTDAVLSDDDTALSLATNLLGLPIADFKKWTVKKQLITRSEKIVTNLGS AQAMVVRDSVAKFIYSCLFDWLVGVVNESLLGEGGEGAKKATKFIGVLDIYGFEHFKK NSFEQFCINWANEKLQQEFNAHVFKLEQEEYVREEINWTFIEFADNQACIDVIEGKMG ILTLLDEESRLPAGADASFANKLHQQLTKPEQKEVFKKPRFNQNAFTITHYAHDVTYD VDGFIDKNRDTVPDEHLALLQNSSNEFLREVLDAALTAANTAKPNGEQTKTAASAGPG PAKRVGAATRKPTLGSIFKHSLISLMDTINNTNVHYIRCIKPNEVKKAWELEPQQVLA QLRACGVLETIRISCAGYPSRWTFEEFAERYYMLVSSKEWSSNTDYKGLCSLILQKTL KDEDKYQMGLTKIFFRAGMLAFLESVRTQRLNELVTLVQKNVRRRIAYKHYQTLRIST IKIQSWYRGILARRFVEQKKKDSAAIKIQKIARGYLARKSYTEIRNAVIKIQAVVRGH QARKRALEERTSSAVLKLQSLFRGLAVRRKYLAQIRQVVVLQSQWRRKLAVRELRGLK AEAKSASKFKEISYQLENKVVELTQNLQKRIADNKELSSKIKTLERQIESWQSKHDEI ATKAKGHEAELAKPTVPLSQFEEALAAKAETDAQLKETAERVAEQEKEINRLTAELSA QAAEMEEKQFTIDTAAAKNIEDQNTIAGLRAELASTKEQISRHNTLNALTKNERQREP PTSPTQPHGLRALQELGVNSERQPSSSRRRNRRHSTTGTGPSNHVRNLSDEITSFKKN NASNPRAVSVMFPPNGPVRPRDSSGLPLPTVLDNASDEMIRLLEDEAPLDEDVLQGLI YQLKIPQPSLHNTPSAKEVIFPAHLISLISNEMWKQGMITESERFLANVMQAIQQHVI SFKGEDIIMPGVFWLSNVQEILSFICVAEQDAAQGYGPGFDSADNSNIDFETYERLIG IVKHDLDSLEYNIYFSTMLEIKKKLTKMVIPALIESQSLPGFITADGTGKMFSRMLSG MGSNAQPTATMDDILNLLNKVWKCLKSYYMEESVMQQVVTELLKLIGQIAFNDLIMRR NFCSWKRAMQIQYNITRIEEWCKSHEMPEGLLQLEHLMQATKLLQLKKATMGDIEILF DVCWILSPSQIQKLISQYHNADYEAPISNEILKAVAARVKPDDKSDHLLLTPETDEVG PYQLPPPREIAGLETYVPAWLNVPVIRRLAMHVS L199_007168 MTSSASPDSFQLSLDQVISHILHRQQTLDTLPTLPSPTSIQSTL SSLPTSLPEKGLGTSDTTSYLIEELLPGILQAQNGPRYYGFVVGGTTPAAHLADILST SYDENVQVNLHQQTASTAIEQRALELVLDLLNVKRDTFQGRTITTGATASNILGLACA RDHLLSRSPHLPPGYSWARDGPPSSPTLPSPPIVILSIHPHFSISKAASLVGLGGGPR VIQTMPSDPEDELTFDLEALEGRLKAEKEIGRGVIVCYGLGEVNTGGFGKGLDRVAEL SRENGAWLHVDGAFGGFAGLMPELSEYTKHMDEADSLTLDGHKWLNVPYDCGLFYTRH VSSLTNVFQPPSASAPAYLASNPTLATTPASNDQDIPEGTVLPADVPSPLFVNIENSR RFRALPLLASLLSLGKEGYKDIITRNIRFARSIAQYIDQSTYYNLLNPSPSYLSSKDG ETPIIPSNIVLFCPTAESPFSPSDPSSSVKLTKAINDTRKLYVSSTSWRGQGAVRMAI SNYLTEEGRDLKIVLDVLEKIGKGESVVFTE L199_007169 MSTGQSMNNDGFYHEDGICDWSTSRGQPPSLSDKDIHHAMLGAQ LYTSISRNTTLSKRERNADRDLLQTFKNRNYVNSSGSTAAEVYGRLEVKYGLGPPEPD TKLDVDTVGIGRSSEETEYPRPGMVQRRVSDIEFTSGSQIAPHYASSTMASNARRSSV GSASPSSPSSPRFSFSASTGSSPSSPRARRYSFIFSGVTSGTDGLGITGLDTALEESP DEA L199_007170 MSITSSSNSRHVSNLTNGTEAEKRSTPSSSTSRRRRTTPLERVV ANGDDDLGFAKRSFSILRGTSHSDTTSNANHNSGTDRSRNNSLHSQ L199_007172 MLLNELVKSGPLAKIWLSAHQEKKLSKAQALGVDVGESVDAILT QDAAQPLRSSGPLMLGVVRIYSRKVGYLFDDCKEARERISLAFRPGIVDLPEDQVRAS KNAITFPDVRNEFDFLDWTWTGPSFTLPETTSAAEAPINLPRSREFGAYNFGRPAAPS IYGGSTAASRASQDLGESALDSNDFSGVDLGLNLEGEGDITMEAGRDVMTPMSRYSRE RSLGAKQRGASRESVGLGSIQGGGIGGIEPVDLGLDFENLDQPLPLPELEVPEGDRTR RETSALSTPPPLSPPSIPADIAAQIAAVPQAAPKVKKQRLMQADAELELPDEQRDLSA ILGEERYIPSDPDAARLQEIMADPSSHFLPIIRIGGENMIFAGPQGLAPELAELFTFP SNVLRRSRGTEEEGERASKRPRIEGEEEEDIENVRRVERGSEVPFEMPSGYGMEGMGG DDSFAFQPENLPYEEPLLTTPRAGRVLPREREPSIAYSRAESIAREIQYGGEAGEFTL SMFDSRTSAAAREEFSQLSQSQLSTPTKSSEKTTASGFSKNTSMAMGLLRKELDAIDE EDKVVSFEQLADKSTKRAASSFFFELLVLGTRDCVKLEQRTPYQDIKVRGKDKLWPEG EGVETVGVTA L199_007173 MLPLPSSTSKRNRTYFPSLPANHNHNLSSSQQILQTLRSRTRLT NLAVFLLLFSLSGSLILNLNYLLITPSSTFSSTSSSRLRNGKVISKGWDDLATLSQLR SGIPLSIETTIERDSRYNDLDHLIMVPGHAIWLGHDASKVNENDDWILEPMQKGGSVR TYVKHIQRGVEELKKDNKALLVFSGGATRVPPSPPLPEALSYHNLAHALELLPSTSIP AEQGESKAPLSLNLRAATEEHALDSYENLLFSIARFKEVTDRYPRRITVVGYGMKERR FTNLHRSALSFPLSNFTYIGINDDLPDLSKHYAGELKFGFKPFLNSPTGCHPPLSTKK LLRNPYIKYHPYHISNPQLIELFEWCPPLQDHKELDSLDDYRDVYGTSFSGRLPWNSD GSVVDDEQVMWDRERD L199_007174 MLSPAQVPLPSSPSSTTASRRSSSSAPFHPSPLSSPYTAFRPRL DRNPTSLTIRQEVIDPFKEGSIISVNQPVGSISISPNSRDVCLASRKGLYILDLVNLN NAPRFIPQGGTWQIADVQWSPHPSTSNLILSTSSQKLLVWDLGAQKSLKKSIDAHARA ITDINWHALNPNLMATVSMDAGIRGWDLRCWDRPFMRLCAWGAAGTQVKWNRRNEFIL ATAHNNLVQIWDNRKGSVPLTTINAHGSKIYGIDWDRRDREKLVTCSLDKTIKFWTVP ELGSSSSSIIDSDPLTNVNPPDKPTSIITTSYPVWRARNLPFGRGVLSLPQRGEQALE LFAPDDDSPVERFEGHENVVKDFVWRVRGGDNASFDDRDFQLITWGKDRTLRIWPVER GIMEKVGYRYGSTIDMLVSRRGAADITYTVDPSASEDVEDNVKLPPPVVNPSNITRQK LTQNQNKVNEAGMTRGGNKVRGMDQLEWLTKVVKTAPSPEASTVPSRIGSVSRNPSRS RGQSVEGTRNEWITLKDELVLLNKLFPRPKINFEKIDLAQRRLTMSMQGPWANGDRMA FIRIHWSFPPNYPFGPEIPTFELERNPTVSPITRQIIVTTIQERRAHNRQCLISTTGF LLGSHERIGRRLIDEESDSESEKGVENQRLGNVPMLIRTCGATFGPNGQLVCFFPKQV ILPRTRITSRSPSITRDINPSPMIKAITALARLQNPHKRNSVRYKPRLRKFENVAPTQ VQAGSTMTIHDVSYYSQLHAGLAKVYSTTSVENNLRHALDAKKLDHAEVWSTLRGVLS DPPPPAYSALPPPMAKVGDIRRQRYDWEKSMVRKKKVVDQMFTILLAERNFQLLALVS CILLNHERTTYIPPPAETVISRSPEQDYFTLPRFTHSHITPTRQRTAGGGGSLPHSPT TNSSFRNSGWSQMLNPSAISLRGALTPKERSSFSDLPFSKNQSQIQTPGSYSYEDVSS PTGGVFIPTPASGSGIVAVGGTKKVESPRLKDKDKENASGIVRPKMAPSISASASPPI ITPLKSSGTERSYTSETNTNKHKVSFGSASPLAKGSMTRAGTAPPPSTGHHTSGYNTP AGYDAQTPNGSTGGAPGKRMITGCAIRLDFPGDDSPPLSLLSEDIRPQCELWKLSYAD FLLRMNLLEVRTELMNYQFTKATDLWSVSKAASGVKVLEEKRKTGSISLDDNGLHSHA QVCISCSGHIEGSCPSCNKQPKKALCSYCRLPIKGLSMGCSICAHKLHSKCFKLYFLS PITTPMTCPSCSCSCLAHRGISTPYYSIFINTKPSPSITRGFARGNIITPVSPPSLNT SEGGRGRVTYASLAKLGSIREGLGITSTHTNTNTNSNATLSMDRSTSNSGIGLGGALG LSPEGDDTAGQGQGKDGQKNEGLLARARWGDGGLLHWKGHG L199_007175 MSHYDWPLSPIYELPLSSSSSSSSFEPISEIPESYTRTSLSISL SICKARSTVFALLARQDDEPQVTTAPKYRMKRAKDLLTLRRVMPLLTILLITLTFSYL TIRREPGVDWESHGNGYEKRGGVGFKGLDEMVPTGFVE L199_007176 MSRDPYIDVKREIESTLSTLPDLLSTHSSSDPSSSTYHETQEEL KNTLQILEGDLEDLEESVRVVEGMGDRWGISPDEVGNRRRFVERVKKEIRGLKSKVTP TKGKGKAKAKSDKNGRYKDNPDIDLERGYNDDDGDDLEEAKRWEAEQQQLLVKRQDDT LGVISGTLHTLASQAGLIGNEVAEQSEMLDDLGNRVDSTDTKLRKVQKTMSDFIRRNE ETKSGWCIGILIVILMILLLLVIIT L199_007177 MAHPHPHPHPHPPAGMPGPGMGPGGPPGGIPVNPALLTLLDSLP HKDIPFALQTIQLPTPPNVPPQQGIVVTCPEHKQSSCEECNVDFNALNYMHQFLRSAP PEAIPPPPNVQPPPQRAEMIKNLKEQGNTAFKSQQFPAAIQAYSKSADMALSRPPWEL AALSRDETAIALCNRSAAFAFVNAWANALADAEAVVILKRPWTKGHFRKARALVGLER FEDAKQALIDGLQYEPNDKELNNFLNEIEEKLRQAESED L199_007178 MATYTGALGSKRKSDLVEIAESLGISDPEARVADLVKTIQAHLD RNETSLSKDARYKGLYYKKRASGTHPLDSDSESPTITATATDVKSQIVSTAKSSRKSI NKAIDKVQATIDAANVPLPESPVALSKIQDKAIEVSQALVPSVEVQRGLSTQLHTVSN SLIKYTKDGQLRVDHAVRHLRDLLSTPQHLVLTSLSVELVFLLTHVIQFYDHTYYFRT TVGESGTLSSLFNVFFFWLPSATLNIRLPEIRSFGLADVWSAVAWWFFSTVLPPLALS TVVSFVPQKGTARHAGAHTRYQSSHPPTPTSDPLAFALIRLALLILPLTSAAPTAFVD ALEISGNLQGRALAAGLTAALLLAEKLY L199_007179 MTSSSSAPFRRSEEIGETNPLLNNQGLPSSEEEGEEENDRPGIS RKTSPVWQFWNHPPFKRHVRFASEPDYRSATTATTEYDEREHDEEEGLLPVSMNGSGN VPLKPHHHHHHHPLYQKYGQWAMYFVLLLVGAIIGAVFSRELMKTNTGLDDGPMVPPV WSLPPPTGLPRNDPYLVTASNGAVASEDKTCSELGLSILRDKNGSAVDSAITTTLCIG LLNAFSSGIGGGGFMVIRIPHEYSHTFSEVDEMIKEGEERVIAIDFRETSPAKSEKGM YGAEKAGRVAAQVGGLAVGVPGELRGLEMAHKMYGKLPWKDVVLPVAEVAKGWKVSRE LARRLRLFGEFMLHSPTWSAVYAPRGQLLVEGDFVQRINYGKTLEVIAQNGVDAFYEG DIAESSVKTIGKAGGIMTLDDLKNYKARAYPAIHSSFMGKEIYTTDVPSSGGILLAFL KLLEPYNIPLTGGLTNPLNVHRLIEGMKFAFGARSEITDPAPQFGGNLTRFREFYEGD WADEKRGMISDNQTHEIDYYGLQHDTPIDHGTTHLSVLDKWGGAASVTSTVNLIWGSH VMDPKTGIIFNDEQDDFAVPGAADAFGLWPSPWNYPQPGKRPLSSTSASILLTPSTFS KPSSIYAIIGGSGGSRIFPSVAQVLLNLFSGMDISRSIEAYRVHNQIVPPLTTVEVGP EGSPKGLIDDLKNRGQEIGEFDVNIGISEVQAIVVENGTIYASSDSRKNGVAAGY L199_007180 MSNWPKVTAENPLGLDDPSLLIQKGLIDGKWVGTESGETFEVND PASGKVIGTCPDQTVSDTKNAIDAAHKAFSTYKTTSPAQRQAYLAELHRLYMANINDI TRLIVWENGKSWNDALAEANYAGSFFSWFAAEALRTNGETIPCSVPGTRNITIKQPIG VVALLVPWNFPAAMIARKLGPALAVGCTSVVKVPSETPFTTLAIVELARRAGVPDGVI NVITTDKHLQDVGKEICTNPLVHKVSFTGSTRVGKLLAEQCSGTLKKMSLELGGNAPL IVFDDADLPTAVAGTIASKFRGSGQTCVCANRIYVQDGIYDKFAQALAEKVGQFKVGP GFDEGVTHGPLIHKRQADKVDEHVQDAVSKGAKILVGGKRGKGTEYIPTVLADVPDSC LIATEETFGPVAALFRFKTEEEVIARANNSEVGLAGYFFSEDVDRVFRVSEALETGMV GANTGMISQAVIPFGGIKESGYGKEGGHQGTEEYMITKLVAIGSRIKQ L199_007181 MVLQGYQTTQAKLANGEVVAQNDHVYVSLPWSERDGTPYNIARI IEFLPPNTSPKKGSRAVGSGSELMVRLSLYYRPPDVSLRPINDFRLLFAAIHTDIQPL SNVRGRCYVRHKDRIEDLLKWKKLPDHFYFVKFYDPYIKRDFEVIRTEAVTNIPLNVK ETLLSRYEYLVTEREMVSDLTEAFRSCCVCEQWASYQESVRCEACKKHYHMKCLNPPL AGKPAKGYSWFCLSCSIQRHKDVESEKYRFTMNGSSTTTGKNKQKTKEKIIATDARPD VTFRGWPWRYFGVFTTPEDVLDPDDAIFPRAATRVGSKYQANVPSWEEQEKPHVPGVV EVEAGPSRHGLIERGFDPGERKYESSLTLRSRPSDDLNSYMDEVRSFKLPVPSYDVER LNLAIDSYTTMGREKATQFMRRTKLADFKPVHFSDKETIIFEAELERNGGLETHETAK ILNRTPAEVLRFSYIWKNKQLFKENEELRLHHKVSTSHARQNKTLGAPSLGKIRAANT DHSDDEVSLYGNDFTKKKDKDVKCAACSTRISQVWWRCPRTVQGDAMCEDCGSNYRKY GVISFVKSEDSKKEKQKEATLKKSKGDASGTATPVPPPPPKLPPCANCKKMEPKAMMA RCKNCTYSVHAGCYGIPSQDMGPNWECDLCINSKSEENHLEPHCVLCPNDLTPMTSKI KKKPGQQADFDLLSALKPTEGRRWAHILCSTYVPEVGFADPSRIKTVEGVMEVARDKW ENPCTLCNQIDGAVIGCTDCETLFHPACAWLSGLKMGFEFSLAKPGRHGTVIVTKFKD SEGVMGPGVWCKSHDLSERVIYDLWEIDPEQNETALQTYISSYKAIPPHDSFPLLRKA RRLEHFLPLVEIQQNQDIICADCQIDVSPLWHDVVGSPTASVKDERMDIDGEEQVVPN GNGKRVRVEDRKKVCHLCSFRYL L199_007182 MSEIEKIDGFTEEEQLDFEAELQEGYAEIEDKYAVDTQQGFENV LVMDNIPIVDDSKKQKLVDRLRQLFAKAGAPIEEENISMPWDDKAATNKGFIFLTYPD AQQAENALRALDGASFGKSTLYVNRFGDIERYANLPVGEGELPTGWREKPYVEKDHLR SWLGDSAGRDQYLTFRDQDVSIWWNGRNGNAEPVKVDGKPLKNNKWGELYLQWSPLGT YLSSLHRVGVALWSGPKLDGPIGVNVLRFTHPGVRLIQFSPCENYLVTWSEEPLDNFE NHPNAALRETFGPEDEGNQFVIWDIKSQRVLRTFPADKPIQGEDGPQQVAWPSFKWSP DDAYIAKCNVGTGIAVYELPGMGLLDKKSIKIEGVQNFEWCPMSEKDFAARKAGKGKE CSFVYWTPEAQNQPARVSIMSIPSRNILRAKNLFNVTDCKFYWQNQGDYLCVKVDRHA RKAKSKKATFCNLELFRVREKDYPVEVIEFKDYVPQFAWEPQGSRFAIVSSNDPNYGQ GIPGVVVKYNIDFYQLDQKKGDFIPIKHLDSKIANTLVWSPRGRHIVLATIGSSQKFD VEFWDLDFVVDERRETSEPGANVTMLASGEHYGITDIAWDPSGRYLTTHASAWRSSPE PGFCIWDFKGQQLVHQPMDKFKQFLWRPRPPTLLSKDQIKKVRKELKEYSRTFDEEDA AEENRGSAEKLAQRQRDISEWNAWRARNNKKLDERRAQLGKEKKVVVNQDHKDDEKVE EIVEELIDETEEVVVG L199_007183 MLVRAALRTSRLSSLATAQRRLASSLVFLEHKNGKLNDSSLNAV TAAQSLGSDTAGIVVGSKSDVDGVLEEVKKIDGLTKIYTAASDSYAHSLAENVAPLLA SVLPAKNISHIFAAHTAVGKNVFPRLAGLLDSSMIADIVALESSGDVFTRPIYAGNAI LKIKSSPKDKFKIVTVRTTAFDKAKTGGGSAAVEEVEAASGDASTKYVSEELTISSRP DLSSASRVVSGGRALKSQENFNKILDPLADALGAAVGASRAAVDAGYADNSLQVGQTG KVVAPELYVAAGISGAIQHLAGMKESKMIVAINKDPDAPIFQVADVGLVADLFEAVPE LTKKVEGAKA L199_007185 MVSSEHRHRPTLKQTNKGFKSKHSSKGALKTAAKGKVGGSSHSG KNTKNLASSSKKARLNANAQKRDLKRKTVVEDQKFFSTSSGGGQVPRIVSVVPLLPSI SPRRFLANLLPSLGLPESELEEISSTLTDRGTYLIRAPRFKTSLQINLLPPLSLYPTL DAALISDYVVLLLSSVDEVQLDGEAILRCLQGQAGGVEVIACVQAPVANPIKPDTKQL IHKSLLSFTKYFFPSVPKIHSSDTPNEAALLARAFCEAAPGGTKSEEGRAFIVAEGAD AIRWTGSGVQGDDGVEKGRLEVIGTVRGGALSADRLVHLPGRGDFQVEAILPAPPSSL AHRPHQPSSMSVDAPSDPLSTPTENADDLTAVNNPDLMANEQTWPTEEEMAGSEVPTA GSSTGEKKRTKRVPKGTSAYQAAWIFDDEDDEDEDDEDEDEDGDMDVSGDELGGVAEG YGRDVYDDDEEEETEEIELDERKEEAHRDLDPEREEEEYEAYLRQREKAQAEDAMFPD EIDTPRHIPARTRFQRYRGLKSFRTSPWDPYENLPIDYAKIFQFENYMGTKKRIEHDG IQEGVKAGTRVILVLKDVPRTVIEERDASLPFIVHGLLQHEHKQSVLHFVVQRNTEYD EPVKAKEPLILCVGPRRYVIRPLYSQHVRGGGKGVNNVHKSEKFLRPGAATVATTFGP ICFGKTACLLLKDEGANQVPSLVAMGSFLSPDPTRIISKRIILTGHPIKVHKKTATIR YMFFNREDIDYFKSVELHTKFGKIGHIKEPLGTHGYFKAHFDAPIQQMDTICMSLYKR QYPKWSEPFIPPPVAQITEKVEDEMDVE L199_007186 MDELFFFFVLFCGLFFLASIIYCFLSTCLGIQIRRSDIKEAFSL PTPAKIRARDEERRRRMEQMGGYEMDDIGYERVGGMSSGAEEFEMMRRGGPSRGFF L199_007187 MSFLRRFSSAASSFIPSIPNAQGIPASHVPRRPTETFSRTSKAF IEEDDIDEKASRASWELSDNESVSSKGSGSSKSGASFATSSGSSDLDKKSFDQSDDSS SDESDDSILDRGGRPRDRYDMMVRHLWNVAERQGWFRDADFDGLVSIRVKKRVLRTYP EPKNSKRGARELGRRIKEWDAAISTLNPEVAMKITSKVVQAIMARCAEDAIEITLDVN TRIQILDDLTQLAGARKHQFAAFVRAEACLVVWADEVETLIPSAEALEQRMIAYVWSG RHHELKLLEPEDESEDEIDEKGADEEGWVDRDAEREKELADADGDVDEEKVGGKEGDW EMRDRRPVMLYAPLVSGLAMILTFVFIGSGIRNLIKEVMLDGSYARMALIATSPFGYL LSIFFSICVMGNLWQIFGPVAQCHQNSSFYSGKAPTRMTGRLPHITIQMPVYKEGLEG VIIPTVESLKKAITTYERQGGSVNIFVFDDGMQLWDEEEQEIRKAYYDRNNIGWTARP KHGKDGFIRKGRFKKASNMNFGNNLSLRVEEIMDELRPAADEQSDPNWQWTDEDEREI YDEALATALEESQGIAWAAGNIRIGELILIIDSDTRVPEDCFLDAASEFAQSPNIAII QHESAVMQVVGHFFENGITSFTTRINTAISFCAANGEVAPFVGHNAFLRWAAIQDAAF IDADDGIRKCWSESHVSEDFDQALRCQMKGWSLRWASYSNGGFQEGVSLTADDELNRW QKYAYGCSELLFHPLRKWFTKGPITPLYRSFVWADGIPLHSKISVLAYISSYYAIACS LLLSLVNWVLIGLFDDVLDLFYLESWQVFLTCIVIFCGLSNVSSALFQYRLNTNSLGN ALVQNFKWIVFFFFFFCGMSWHLSTALCAHLTGYNMQWASTVKEVELSHFFKEWPAMW KRFWDIWIVSWVMILGVAFMASPLVPMGYRITNFTCILPLMAQACSHFLYPIVLNPWL LLFQF L199_007188 MGVFGKAKPAYSPPELPTHDPVPARATQRRVSLFPSLASIGLSE PDPNAPIDPVTRGRTFSGEQQRGINGRKLSQAIVPAWHRKASLAVARRDTNPVNLRLG ETTANNQDGMNKAFYKSKLTIDDGSSEEGGFTSDEDLAPSRLSVTRRNKGKGKAKALD TLPGWDAAPPLKGRLKKGKGRDKKSKHKHRSKRVMRRLDQNLDAYNAYAQNGLVPLTR SANTTRDEAAALNPPPMSIGNTILEEDEYMVKSISQPMSLNTGAQDEQPRAVLVGDGF DALDVMADHIFRTGVQKKKWFKAPRMGVRRNDAATGVTIRARTGLYRTFPVDYEALKP FEEAITRLNPEVAIKIKSDIVNTIMDTYINPSPSMTELVIDENTRIQILDTIELLARA RKHQYAAFVRSEQVLVVWADAVENVIPAAEALEESLIQFVWQGPEVNVKFNLVMLQDA KDREEMKEQERRDSQNSNEKYGIDGVVLPELTADDKGSIKTKEEDMDPEDIAKREMQR YWRERPVMLIAPFSDGVAIMLCLALISLGLRILIKEYILDGQPLRFVLMIFSPALCCI ASFAAMCVVGSIWQIFGPVRQVTQKSKYFSGIAPKRHMGDLAHVTVQLPVYKESLEEV IMPTVESLKVAITTYERQGGSVGILICDDGLQLLSKAEADKRRRFYFDNNIAYVARPG HNVDGFIRKGRFKKAGNMNFAADLSLRVEEIMDDMRPLAQEKKDPEHLWNELDENDVY DAALAQALEEKQGKAWAAGNIRIGEVILIVDSDTRVPEDCFADAVSEMKESPEVAIIQ HMSGVMQVANHFFENGIAHFTRSIQHAISYCTASGEVAPFVGHNAFLRWSALQECMSV DPDDGINKIWSEDHVSEDFQIAVTLQIKGYTVRWATYTGGAFEEGVSLTCDDEINRWQ KYAFGCSELLFHPLKRWIFKGPITPLFNQFMWSSIPIHSKFTICGYIFSYYAISIAWV LSVANYFIEGFALPVDGYYLPSWKVTLVCIILFTGLCNVAFITLRYRLKIPNCGQLAF DQIKWIPYFSIFFTGMSMPMSAALVSHLVGYNMTWSTTVKTVEKSNFFLQLPIIWRRF WPQLSFFGLCVPMMIITSSSLMPAGYRVSSIEVYVPMGIITASHLLYPFALNPWFLSF SF L199_007189 MTSLSSSLRPQQVSHSPTSSISSANTTPTSPHFGHHVNPVSSRH PYASSHYLEPQPRHQSSLNSISTSATSSSSVNIIHPRPPSPSLLHAPPGQPFMVYLKG WGQQELTAFLNLYRCGQYASAFQRHDIDGKVLLDLDMAALKEIGIAKVGERVKLLGGI KDLRKRAAGSSRASLSRSSVRSGSVGSVATPPSESTEHISSPSLPDPNQSQSQQQSRL AQPSSTSSSSGSTRRLNTSRPPPLDLQHYKSSRPLPQAYQNNLPSAASYRSTTTTPRP ILGGQQSVSSRPGLPSQSSSNSTVTLANANSSSVPAPNNSKQLNLRPPPSRDPGRRSP SPIHVDSSNFASRPLPPDPSGSHQSSAAEYASAFTQQHQQGRQTPTWSSSEQAHGLPK GPAPGSGSRAAAARANEPSHRKSPSVTQIGGTTPKQGSPIKGKFPNIMGLGGRSTPQH PFAAASRSREELVLERQNSENLTSSTGSLASKRSVTPTPGYVVGRTVSSSDRTRNQTG NESLASTNTISSSGSKHTPTYDDIRRKIVKFVNAEDGSTKSVDVSNVVSGVQVLEMAL RKFGKWGTGVHASTDTESDEDGERLEIEGWGVYAESDPDDNSTPLSEAALLGICLSHR DGTSVREKGLVLRRPRKLTNRKNMKDYLGEAPPQPMSPTSPTPFSGPRFGDHANLLTP IKSAASKKMNRASTVSVMSGLGVPMPEVPPSPSTTRSPSSASFLRTKKKSVYNFFGHR PPSELISNHLAEYFPSAKRKDVEKARHSMLRMSSGPGIKRGSIAPSESTGRLSFDSNF APSVKRSSVRIADPMAEVKASPPRRSTRPGSRGTMISSPPPAGTIPEEESEVLGDEHP PRLSVSNDDGRHTRPTIDGESDAESLASVGSQPPLLPPFQPTGESLAESLVDYSPKQQ HHQNRPKSIALQRRGSEESTRSRFSMLSQLRKDRDKSDTASMLTVDEITAEVENRRAS TITFEDSDEEDEPIIPPPPLIAPGPGVPQDQSEGDSDGDNESEETETEDESEEETETE TETESDVDEDDEEDNEHGKAFTSTGSKRIIKWIKGALIGAGSFGSVFLGMDAHSGLLM AVKQVELPTGSARNEERKLSMVSALEREIELLKELQHENIVQYLDSSADGNYLNIFLE YVPGGSVAALLSNYGAFEEALVKNFVRQILTGLNYLHEREIIHRDIKGANILVDNKGG IKISDFGISKKVESNLMTGPKTNRPSLQGSVFWMAPEIVKQTSYTSKADIWSVGCLIV EMLIGSHPYPNLTQMQAIFRIGSQTPVPEIPPDISPEAADFLRQTFEIDHNARPTAAQ LLEHPFIALPKNARTNMISLADAQRRMSMAMASASQGLGGLVGRA L199_007190 MIPLRAISRTRLASSFLSRALSQRLSLASRSFTSPSNPRLSPPA AQLAESDSPSYYHHAPQDSSPQPLEPHIRIENSPYPGRTHPLLHLPSPLPTDITPNPN SPHSNLYPSTGVIDSISMISICLRRPEHVPRAYQIFKQLLEDSASGLRRVPDAEVWAR VVEGVAGLGKEVEGFAYENWRKRAERLVSQWEGANGAFAAKEPAGMEKGGLKVYQGWF NGIISAQSSLDPLVPYLHHPSLPVSALLEGLEPSAVPLACDALIEAARRHNMTQLEDS VREFQGLEKQRREEIAREIIDEVKPVLETSGKSKKSGDLSHLTPEARFAITNLRSTLS SISSSSLPLNRQRKLEEASLQAARAELEESSKRLQNAGDNPILQRSQLQGWMHGWLGL LTAELEQRISAMQAELPPIADDKYDVTTQKYSASSKMKRGVLLMYLQLLPVDKLALIT ILEIMRMSGSGGIADGMKALRGMLAVGKAVETEFRAETIKNVAGVDSHHWLRTIDPQT QKPNRQLVGSVWRRIGEQIKSQGSAETIESSSMMMHDDLHQVWTPSWSQMAQLGVGSE LVEALLKVAKVQRTAKNPITGEEVTEEQAAFTHAYEYIRGKKLGVIKLNPVVAARLAS DDVGVVIHPKHLPMLVEPRPWTSHTHGGYLLHSVPIMRFKESAEQQSYLRQASREGHL EPVFHGLDVLSSTAWAINRKVFDVVLAAWNNGDAIADIPASEEKSHYEIPEKPDSRDQ DPLKRSVYVEKMKQVMGQQRKDHAERCKFNYNIEIARSYLNDTFYLPHNMDFRGRAYP IPPHLSPVGDDLCRGLLTFGTKKPLGEAGLKWLYIHLANVYGFDKASFEERRRFAEER QAEIFDSADKPLDGNRWWLQAEDPWQCLATCFEIASALRSPDPTLYESALPIHQDGTC NGMQHYAALGGDVRGAKAVNLENGDKPADIYTGVVDIVNKVIEEDQKKGLDVALLIKK PLGRKVVKQTVMTTVYGVTFVGAREQIAKQLHARGDFAQEHIFLVSGYIAKTVLNCIG DLFSGAKAIMDWLTQSAKLISRSVPPDRVHDAANNLTTVLRNGKVKSRATKEFMTSVV WTTPLGLPVVQPYRKAQKKQIMTALQTVYISDPNAPSEVSPQKQATAFPPNFIHSLDA THMLLTALKCRQNNIAFASVHDSYWTHASTVEPMSDLIRDTFIHLHSQDLVGELRQEF IERYGDHRIPVNSARSISTTAAKRKEQAAIRQKQMSAVLGELGEDTNSSADAEAEFDE KTIDLEADALSSSAEEVEAKAASEMGISVDKLANELSGTGTKEKLELKTEKIGKTTWV RFGDVLPPCPPRGVFDVDRVRESAYFFS L199_007191 MKTPRTGLLLLVLALRSARATDYSVDVKATQLRRSIPEPTTASP LTSTTVPSHVATELLGVVAVDPSTTTPSSRSTRHTASMLGIYESLLNAETTGISAERQ PSSVPGIVDAQYPDSNPSRYSTSYIFAAPAGNYTHTSGSATAGSLTSISTIGASSTSD LAAFEQSTTASDLLPTTSVNTGEGSSSSLTATLTSVMGTYQAPETNPSPISLEDLTSS LVGDDVPLTTTATTNNENPGSGVVAYESPSTAITMVSESSFSETSISLFSSGTVPYGN PTTTSTTTPYSDSAMSSTTAMSATPYSDEDEEECIDTSIIPLASSLNATAQSDARCLS SGGDCQAFVTSLDGCQDDSCACGLTYQAQLCAQCLSWEEAVQQFNLYLSACSLRGIVQ PTETIDAECEDATGTSDVLTDVLASQAASAISRTTGNAGSTTGGIAAQMPNVSEQAVV ATISTTDTNGQPTSIITYLGSTSTSTAFGSVVSQDSAGQSTGTSSSALDSAHTFFSTS VDSTCQRDCELWLELAQTCTNDDCICTPESLDSAKSCASCVISSNSNDQMNAYAGFTR ACITATTATAALGDSTPVVGMAAVGTSTTPTRSSGGFNPFNTEEASDTGASKTRLAEH QAADGIATVVAEDSPASSNAFECSVRSLGWVITGLTGGLMIALAW L199_007192 MTNSNTFELIANASSPADLLTHLKDLKNGVIGNTWKKVEVAEDA RLLKLLLSLLEYPSSNKDVDEPMALELMSETAVIIGALANVGHLTLQPLLLSSTPSSL LTLIISLTTSSPTHIPDKQVDRILPHLLRALRNILVSTADMIWGHMWGVGAERKVVGT GLVGEQEIADTRGKQAVGKGWKGEASKALSLVFEPNNLSTLLSLLETHSDPQILLPLY QLLSRLVALPSHRSALLSYAPGPSTSTVELPFIIQHLLNTVIWLTPSSGGSFYTTRKP NTKLIEASLDLLAALVKGQPALSIAIRSWNLYDNTRNIEEEDGARKSEFIGILLELVS SGPANTRIAAASCLTNVIKADKGIRPTDRLRSTAINYQLLDEIIKLLQSEGMEERIKL CFILAALVSDDAALQKAASEKDCPSRLIGILISAFQEEEKGEIGSDLASRSKEATFLA LASLAMQHDPTRTLISDHSPPVLPYLFKALSSPSYGVRAAGCQLARALSRTVSLLRTS LVDSGVGEEIIRLLRREVEASKEIELNEEQISDRIWTVEVAATATICNLITDFSPLKT VLLREGGLELLCDLTRSPYEPLALNAMWAIKNLTYHAMEATKIMVTTTLGWDRLRVLT SPSTIQSLRVQALEITQNLFADSSVPEITRAVENFGETELFDSIIQASRESQDADLRI PALYVLSNIALGNEKIRNSIVGRVEILEILSTSLNSPNDSIKIPTLRTLRHLIESNSN SKNPNPNHRSKPRQQMIDIFQPYQLKYRLKELVESSLNVSVKEQSIGLLDVLERERGN GGNGGTSSGGR L199_007193 MDPTTSAHVDPFAGPNSDLTSKQPAVTDTSGLTTSTVPSSGASN APHLGAPSSGFNNPFVSGPPVVPTDPIKQDYPDTQVYPNTNTNGQQDFADIHARSAGA GVGGTTSDSTSQTVTDKAHVASNKASETATQAQNKASQVSSQAQQKANEAATGIRQRV RKLSVDLNNAADHPAVKNVKGTANNYIAQLREQLGRSQTVRDLEKRTGVDRVVLVVGG ILGYILLIPLNVLRLALPITDLLTILPATYLAAVVLDKPDTTANDQKVKSLLSFFVVL GAIQTVESLMAGFLERKIPQYYTVKLLFLAYLLHPRTQGATQVHERVFRPILASAQQS AKSAPPPTSGYSNNGSTNTYGAPKSSSTVSTPPTSKPSLSTVSSPQTSGFTTMAASIP LPGESENITREDARGQGYSVVSELH L199_007194 MAEAHRPYGEAESDDEYDFDYERYQRSKLADSRKANTFGGPEIH SNYGSDLRKTFLKYADRRFSVTGHQFNSEGGRQVDCPPSTNPPSPEQSATDLPGNHDN HSSEVGDPPDYQFPTSSRSDGTLIAQGHPDTHNWQDAQAPSHPSYQYPHATHMSSPQD WNRVPFSGHSGHPHLPPSVNTYQSIIPDPHDSRTVKEAVYRAKIEALRPDLRHVEAVK NSYRYDYRKATGYDLRYATREREQAEFDRVEEQFYGRYPEAADR L199_007195 MPTHALTAENPSSLSQRSTPVELEATTGANDPPIDQTSVSTPSS HDDQEPANPKWNVQAAVFKPSSSPTCDTHAEPHHSDVSEYRIFPHTLEGSNNIDTTIY ENRAEKQLRAIYGHSAAYNPDQPSYRGDTLEASRQGMIWTGVCTNDVGGDERITKNSS HHASPSGYNNSPDDNQLFSSHASSFQALAGLAGPRGVGLSYAPVRHRQSFRQKHQSNL HPETLHKEQEEVSSFPGKVDDSFPSLSGPTTKTNTSPSPLPVFSSGGNTKSWANIAAS PGRSTKAPSKFEEEEKTDHHKVPSATSVPRYTGESWAKVAAGTQERK L199_007196 MASYQTSTAGPSRPTYPGFPVPSISPSPSPIVEQEQWQHPNGRQ YPMQMPQPRGVSVPASVNVSRSASAASGHGPTLDGAEGSKRNPLVDLIDSERIYVEQL SLVIRKVAAAWSRKDFPPPKLDAMFRCVEAVYRANRAFGTKLKEIGPNPSSPKALGDL LMRWIDDLEPAYHKYSTNFLTGFDSYQPVTRNQLLGGILQEISVSCQPTPPLTHWSLD ALFILPYTRLRYYKKLYSRLLRSTKEGRSDHKLLVVANQRLEDLVNIVESRLEADVSE NDNDESQENRLSGNVVAGGVAAGTAPSSREPSWSEKERISRTSSAMDSSMESHTNRIE DRNSGGSAVTNMTSMTQSPQRRPQIQVSTNAASSASAPTSATAPLSDLELRIDSERTI DLFSMTTKKCKLQMNPPSLPFDRSLRSSHDVTVYFTPNSTGQQVIHRRAHIFILSDLF LVAEWMEASEKASKAQQVAREQPDRVGHGGPMPEMWLSYPPLAGKHLMVEEGQQANVL TVMIMKKETFVIHAESDIARDQIMKDLLDCIDFASGATRPSTAAPSPMNPDPRSPSIA STENRSNESSFPPLRYPSPFSNSSSPATSPRPGDQPEGPPMPLGGNALLSQMSQISLQ PGEAIAWPRGPTPPQSMPPPQGIPPYNNASSSGAPTMAVLPPRGASLRPRVQSNNMQS PPQQHAPQIPPMPGMGFDHTGMAGAPLPRSPSGRSVQSAPRLYMNGDLPPVPSIRPSG SHMSDQGHSGSGLPGGPVIPPFAQNPNHLIARSRSLEPLRAPEPPSARFSSFENHNVP NNTGMGGVGRSSPLTGDQATGENDNGDFEGDEDEPVDGEEQEITSLTGPTVISAQMKC KIFLKQEHQKWKSLGSGKLKLYVQKQGPPLKQLVVENDSSKSQIIISTIILTDGVERV AKTGVAIEISDKGKRTGIIYMIQLRNEKSAMGLFESLLQGSDRAILR L199_007197 MSQGETVSTPSSVSRSRMGGAVKPRSFTRVTSNGSISEPGQGNR PSIKNSPSESSRRKPTFTTSLNYPEVLPAPTYFANEGELQRSQRKSKVNALTKLDRAG TPIQLNSGPTATSFLPSSAQIQQQPQPIAGPSAQRNPLHRPVVVNPPFNPSSVRQEAP RHPAPRNTPRLFEIDECPTYYPTKEQFTDCMGYIASITDDARPYGICKIVPPEGWKMP FVLDSETFRFRTRLQKLNKLEAASRAKINFLEQLSMFHNQSNNKEEITIPTIERQPLD VWKLRKEVNRSGGYLELDRTKTWSKITDSLGLKSTWIPQVREAYMKIVLPFDNYSVRA KSASVSPLTPLNGNTNGNVKPPAFSTDAPPSPSQPRSVGRMGSIKTSPRTRTSSRMSG ASLGVDPQSLPLSAAPLSDVNGLQAAAEIERPSSATPSLTTFTIKVPGFSNRDGSESE LSDAETSSDGSPKKGKDGTPVYKKGDICEICRYGHTAEKILLCDGCDRGFHTYCLDPP LSSVPANEEWFCTSCLLSQGDDFGFGEGEDHSVASFQARDASFSWHWWNRHRPVPSST SSSPSRTNSASHVSPQMPSKPANPLARNFGKVTVTEDDVEREFWRLTESQTDTVEVEY GADVHSTTHGSAGPTMETHPLDPYAADGWNLNNMPILPDSLLRYIRSDISGMTVPWIY LGMMFSTFCWHNEDHYTYSVNYMYWGETKTWYGVPGSDAEKFEQAMKSEAPELFEQQP GLLFQLVTMMNPGRVKEAGVKVVACDQRPNEFVITFPKAYHCGFNHGINMNEAVNFAL PDWLPDGREAVMRYQQHLKPPVFSHNELLITITLYSETIRTALWLKDNLSVMVEDETR RRNQLRLTFPSLAETQTEEDGSEEQYQCSVCKSFCYLAQITCTCTKLVACLDHADQLC SCPQSKKTLRKRYTEAQLEEILAIIAARAAQPDAWRARLYTLLGTPRPALKSMRALVA DGEKIAYPMPEVQSLRALVDRANSWVERVSLLVTRKSAGRRRKGKKEEDEDEEMNIDR SPENLSALLHEGEKLAFDAPEILQLRQTLLNIQNFQSEATVILSTPESTLDLEKCKTA LILGESLNLDLPEITAIANIVNRLSWFRKVEEEVDDRTLEFDDTVKLLDQAEEYGIPE DHPTIAELKKRRQRGAEWLNAVDRLFNAPRIKIEEISELIEGKELIPVSTDKMRQLES IRKTVLNWQASAKNFLSSNGSALAASRLCKNVSIASAPINRVEIPEIIELQAELEHHN QWQWEISSVLEVPIAKVASTMNYLKREFETHLSPEDDEPNDEFVCFCRGMSGAVMVTC QNCLGEYHPKCVGISPKYANNPYQCEMCQRLLPGLSPSLNDFGSVVVSERWNFKIVPP EFKVAKEIVEMALRYSTVILKTIDPLDETCQPYSKDTEKIQHTIRKIYNLPLLLDATN IHTGERVVYIKWLFRRLQDCIKYHNGLSSTSIGNGNGTNGLNGTAGREKRTRGRKPKL IITQSYPREFHCICGQPESTYDNERFGATIECAKCNQSYHSYCVKAPPELLAQIHKTI DEENGTGGNKTFWRCPCCTVKEAKYYLKGVEVRVQFKEQVGTDQYIDYRSTINEYAEK PIMVNLRHSTDVVLLECSKFIAPILPEDFVRDDIDEGGEGSSRKRRKVRPSDIHPTTT TAVGEDHVHTPSSSTQRMFNGNGSGPQTAYPSPVTHPLPQPQTARMSDPVPTPQYLEP RSNGNDHSNGNSPTPPTAVPSPPVPQVYPPQTVTKSPPSMIVPNSAPLVKSTSPVNST VNSNKSISPRQPPPHVLPAAEEPAVSAAEDGLHYPPPQSLTEQSEVPGTQNIPEVPPL RINIFNRNEAQPQSQPTVNDKDQPLQQSGTERPRPSSGSISPQKRKMLLEAENIIDLS GDEDEDGNGDGNVPQPLPTHSPRERERSRSGSGSGGLQLNRVRSNSRSTQGSSVHDAI VVVDD L199_007198 MTSPSSSSLPFGLSTLFKPLSPSLARTRLKSNLFILFLFQLVKG YFSAYITIRSIIIKLSDGYLQGRKGREESWGENAIYVIEFLISSILIWNIIESTISIQ YPQEYIPPIRQNLILTPSKVSSPLTRSYSPASSSTPSSSSQSQSQSQRLLYPSTPTRN QLISSTSSNLNQSQTQSKPHPLSNSTSKTTAQIFNLPYDNSSPSKSGLFFEKKDSPPD TNTQGGGVGGDFVLVDREEKDWVDNVLKGVRGKGGKVGL L199_007199 MAIPPPLPDGQHPDPASVPEAAPEAGPSTPKYHLSQTLSAHSRA VTALRFSNDGETLVSAGADGYLHFWNAHSGEYLRGFRAHKTGINDISISPDSLYISTA SDDNTSLIFPLHPPPVPRPQNANEPLKTLIGHTAPVLSISFSPKSNLLVTGSFDESAI IWDVRRGKILRNLPAHADAIWCVGWDHEGAMVLTASADGLIRLWDVNSGQCLKTLDND TNSPVSYASFTPSSYFLLSSTLSSTLRIYNIHTSKVLKTIQAPGVYISEKYPCPAIIF ESPPVSQEQEQDQDQDGMDIDHNDNGITNGNMKKSKESNPALIVAGSENGKIVIWDLQ SKNVCQVLQGHLNTVVAIAVHPNGRIIASGSLEPEKSIRVWRVD L199_007200 MSSMAEHPSLVPFSFDTDNKLNPNLIFPSPPSSETGGLDFDHFD SFTHLSPRSILLDALPIGEEKVQTPKVSFDLDLDQSPVASTCTPTSTTLISPKSSNIE IPSIPQLAALNSINHSDADTAEALSLHHLQRYHHYRALATGVQEDQFDALFASCNNSN LLMPGPGEFNEASLYKDTSNNNNNNMLAYQPQPGPVPYYGVSQQVSNWGQPTGSFTYH PQSSQAALHAQAQAHLQAADVARVQAQQQRAFASPTYFVPSSTRSSFDSAVPQFAPYP RPPTSTLSANSPPYPSTPVYAGVPDFTPIQMSKTSTSASLPSFVSQEPSPVVRRAVSN NGSEHEGEDELAEFSPPPRTGLEELKPMIPGMPIPNAHGGGRGYVPGQTPDDPKKRHK CQICGRAFARAFNLKSHIQTHNPLRPKPYQCPHTSCKRGFSRLHDLERHRQGIHSDGP LVEAKRQGVSPAVARAQNRMQKRAESGSLI L199_007201 MSAPPPPRYTFTNQPVQAVPPAGPSSSTTTSAITPGHEFQETTS VCLEWRLIGLKAMYESTRGEQKSKCIKSAVFGDADNLWEVLWYPNAGTSTQTAGDHVS LYLSCVPTGQERDSCIQNKWVRKGLWWFKFEIRPLPLSSTENTNRSDASDHTFAVKTA NWGWQAFAKREALFQHPQVLQSDSFMIVCTIQAQPQPPAGVWLGIKRVVPKELVSSVG SLLDDPLYSDVEFVIPPRKGSRSAPRSIFASKKLLRRCDYFHAMFHGGFKEVEGIYEE YDSDDDISVLSDSDMDDEMILAESSSSYNGEANSRSHDVQSSLAPDPDRERDLHLTTT TSRNSSIRRPSITAREDDTSTSSSSEAVPHTKQEAEEEDGAAVGNVTIESEESHQHQQ PKKDKEEKTNDDTATKRKEPSLISSTNTSNRSGSKPSTSTRNKQSKVPVGPKKTRVVI RDAAWSTWWNVLYWIYTDIIYFAPLSSSFEHQIPRRSSSTTINNAGINPVNSTSADAE NPKTRSEWISRWMYERDIDPPPPPRTSSSYTDDEDDEEDEDEDIYVLGPRPVSAKAVY RLADKLDLPALKLRAFQHICAGLTAGNVPAEVFSRFSNTYEDIRKVQVAFFLKHWSEI KKSDTMTQIWQQIRNGKHVGFEEVWPLIVSQLDFRPATS L199_007202 MSDSINDPAAAAASGPSGPIEEVQVDVTKLTALSPEVISKQATI NIGTIGHVAHGKSSTVRAISGVQTVRFKNELERNITIKLGYANAKIYKCQNPNCPPPS CYKSYPSSKESNPKCERPGCEGRMELLRHVSFVDCPGHDILMATMLTGAAVMNGALLL IAGNESCPQPQTGEHLAALEIIGVDPKNIVILQNKMDLVRESEAMEHCESIKKFVEGT TARLAPIIPVSAQLKFNIDAVVQAITNIAPPNYDFTADPRMVVIRSFDVNKPGAGVDE LKGGVAGGSILQGVFKVGQEVEIRPGLITRDQNGVCTCRPLRSRIVSLHAEQNHLQFA VPGGLIGVGTLVDPALCRADRLLGMVMSSVGKGPSIYTEIKAEVFLLRRLLGVKTDDS KKAKVSKLVVGETLFVNIGASQTGGRIMAVKGGDVTIALTTPACTEKGEKIALSRRID KHWRLIGWGKVRSGGTLAEVVDQE L199_007203 MVKAIAVLKGDSSVSGVITFTQEKDGAPVTVSGDIKNLSPNAER GFHVHEFGDNSNGCTSAGPHFNPHGKNHGGPDASERHLGDLGNVKTDGSGTAAVSITD KSISLFGPYSIIGRTVVVHEGTDDFGKGGHPDSLKTGNAGGRAACGVM L199_007204 MKWSNITLLLLAYVSLGLGVGAVQIPLLKLKQNDQTTLSSDVTV NHLAEDTDAGIIERVEDKEIKWECDIRSWVRAPDLRPSSVIPAETRLSANGSDCKDIE KWEVGLRFKERAIVKLKSKSLDTNDFPKKPTFPKLNDTAYPKYDFNDIYFVGGNMGRD PWDEYNLQMKEYNLAIKNESLWDVHGSERVVFDITQQLPYTPKDATKVNEIHAFVVQV PNTNFPPVEKHGRGFMSGGNGDHLLNTETLMEYYHLIHLSNGTTLDIPAGRTGFIPHI KSSPKKHGDDHWSTDIDLDTNRIQTGEEQDDLDRKFGRGRYGPNAPDCDKGNMANFHL QVGSNITEIIQGRNITLKFTLTRTGNGSEYPAYLQVGAGTQRNVTWAYNFIDSNDEYD ALLGSNGMKNRRRFGPPLNMLQLPSKRELENMRDSKLHRSMSYSLSDSRFPLGYGQSR YDIEMNDEVGKDVYQFQLEVTIPDDHFPSFDSTFESYRSMLEFRLTTIFLCEPLDPYT AKPEDNKTEDDEWTEYDLPSKEYKKKLRVGTHLTHTGSIPINLTLTTRHTIVSEAAPA PTHYLDSDALGPVILLPSQQRSQEHSRLGVTQEESKDQLRKNRYSSARHERYRPGNIG GGFLHAARLWQKKESRDA L199_007205 MSTPASNSNTDDNTQTTTDGTSQGNVPERQDSKASTGSSYCASE RGQEEIWDEAEFTFEPGNISHAPTWGTDYNEVRDDPGPDNWAALQQQQQDAQQQQQGG ENSAQGGNN L199_007206 MSGDSAAGKPVIEEDVTPSTSAPASAQNPITTSPPKPSAGPSSP SKSIESPHPSQPTPSHSRSGIIDLTSPQSSPSRRQSTTPSLTSSRPAPASPASSRPPS TQFDSTLRDRSGSLSASSNRRVSSSSASSSTAKGPNSKSRSRASSKVRPKSMLGTNIT LPESSTSTAPSEDPKNSSTLPIPEHNEQGPSASTSVSASQMMESSWGDDTPTESFQVI LPSVIIRDFAYPSTDERFHGRGVIEDEGNASESNSNVFKWPWKGDPAESSSGGAGGGW GGFGFLGGWRNRSTQDKDDRPTFEDDDDEDDISDDDERDDQYYSSPAQSDSGFSPSAS TSSYSYNILEPLSPSIEPKGYFRAAYPFQALSSGEMNLQEGDLVNLVGRGNGDPGWVI ARWVNIQNGKIIGIDEKVGLVPESYLERVEVVED L199_007207 MSGISSLLPSTTVTPPATEPSAMGGRASKSSFGAESTMSLLVDS LKDRLLFAVPKKGRLMEKTLELLAGADIKYNRAHRLDVALVQNHPIALVFLPAADIPR FVALGSVALGITGQDVIAESTHSEQIEELLQLGFGKCSLQVQVPVTGPIQTVEGLSGG RIATSFEVLAGELFNGKDGVDSKTGKQTKVEYVGGSVEAACALGMADGIVDLVESGDT MRAAGLHAIHTLMKSEAVLITSKTPHPSLTPDLQSLIPLIKSRFAGVLASKKYVYASY NIERKHLDKALVITPGRRAATVSPLETEGWVAVSSMVERKEVAKVMDELEKTGAEDIL IFALDNCRVGV L199_007208 MHPGVLHRRFLNDLSTSLFSFVLPLLPTSEELNVKEEVRGLIEK LIKTLEPSARLLSFGSSCNSFGLRNSDMDLVVLIDDPNATIEPGNFVESMASLLERET NFNVKPLPRARIPILKLELAPSPALPFGIACDIGIENRLAIENTRLLLTYATIDPARV RTLVLFLKVWSKRRRINSPYRGTLSSYGFTLMVLYYLVHVKQPPVLPNLQRIMPMRPM EEEEVMLEGRNVYFFDDVETLRREWSSVNFESVGELLIDFFRFFSHDFQFNNSVLSLR AGQLTKESKGWVNDIDVGGLNEMARDRNRLCIEDPFEITYNVARTVTKDGLYTIRGEF MRATRILTQRPDRAVLALAELCRERDDDLHRAPRSASPAPRALSATRGQFTNPHVNNG YRSHSQVPFDRFGGGPMLDAPNARRGQQNDDDFPEYSAQDLWLQSQGSNLGGVGGLGL GFDDLGLSERGGRGRDKGTRGLETPGGAYRGAPTSRRSASAYEGGNGPTSGTISAPLS PHRLYAQLELGKGLQPHSSSASASGNNPSSSSSSAWPGYDPRLHASPGMPMDRISGPG PSRIGTQVGGNGNTRSPNSAPPAPNGRSQGLQLGGVPEGNLDTLPAFKPFDSTPAPLI PPPPGSVKSSIKPPPSVRQSQNSAVAQSNRNLTKAKEQDTPANFISPSTLLSPANESA PLPGVGVESLTNSFGQLGVGVGVGAPPPPAKSIGGDGPKVVGEGNEHPDPATQKL L199_007209 MAPPPLSHHPSTSSTSSDEPIYIPPPSSKAGPGPSTHRYIPKRS TVEPESLVILDDDEEDEDEPVFVGTKISNLVQKYKLNHDVLGDRVRSSSSSTSRSPSV GPSTVVSNGKSSKKETKVNSRSTSDTSSRKGKSKGKSKINQPITIIDDEEIERTQEQR QPSLPLLPDLALVPLPVPDWLGRTAILLPLDNCVVCKIRFKKTDSGAAKWRHISTCRP PLYRPPNPPPDLKHLINEGLLQVQSKSTEPTSLLDLHVRRSDSLEDVSSPSNKGKKKS LLGLRSFTSVKASHERLEDDWEKQVRSRLKEFIGDSSPPREPEVELGSIPKTPSPNTS PSNRKLKGTETRSDTEDEMDLYLPSTQSLGESSLAQIYAKQSPSGSPSGSPSRSPITP VDSPNRQSEDEDADFDIPLPPSSQKRQFSQREGLDFYEDGNDLSCMDENPEEKGEGEG EGRVGDRTKKPFRGWGDPRVDGDLSYDEIDNDERLFALGWGEIASTITPSPTPQRLLG PSSIYSTTPTPRASTSTMRRREQFTTPTPAQIVYTIPSSSPDIEVIHQNEDEDNWGDE AVLSWDGAGGGSSHEERDNEDDEAISVSSVAPSEAGLDEEEEDKEEWGRDAYLEWAWD EDDVNEEDEAEGLSATSDDEHTRDPDKENADENEGVGELETTAQLIQRGMPDYSTWEL KKLQKLVTGYGFRTSNDHQALEKIAMECWKAINPALLHPAAHGKPKSKTSSTSRKSTE KDQTEMADRQSERERESSISSADVPLAQVKSNKGKSKRTAINVKDSQEEPLTSPTPTQ RKAKAKAKAKEKEKEREEPKGKTTYEALSKMFYKLIMDDHELYLRILRYEPISFDELI SKSIASGIDKERRGWKKDLKRYLDLQSISFFTEDPTGQRRRH L199_007210 MAKKKKTPPTEAHPPTVHSIEPPTEAPDPDRPPSQHHHHDHEHH HHHSHYARSVDSEGNVKETKTEIVEIKEVKEIPADQIDQGEEEKAPSNASKKSKSVKA PTAASTAKPPSSKPSTVKSPSTKAPTVGPPSTKTPTVKPPSVKEPPPPASPAPSHKSV RSSHSHHHQPPVIVNVTIPQAAPAPPPAPIIIPQPAPAEVVEQEEVIIDEPVTSTPLV VPTPIKTPTITPPSPKPSTIKSVKSKAKSIAPAPTVVEEAEEPPLEEEVTEETKVVTT TTTTTTKKRPPSPPKSPEVISTDNPFVENIDEPPPPPKSTKAKSVAPPPPSAAAAKSI RSKPKTLPPPSPVPTIHSIAATPFAHTPMKLPSLFKSSPGGSGGSGKKKRMKIIETTT VEKIIVPVDSDEEVEEVIVEEEVDTAATTVGNATTKGIGGARSNALTATPGRRSGTKA GLRPIPMVDYQALIQSTSPPSVKKTLPSLPPSGTVAGPKTIVTYSAQLDRDSQGNEHL HARMRDHTGVFKGPSPSVIPGSIHSPPPPTMPAPSIAPQPQGPKTIVTYSAQLDRDSH GNEHLHGRLRDHTGATPAAPTLAAPTAYAQTTYVPAATTYIPAPTNVAPPPAPTLPTA TPGSVPEGPKTIVTLSAQLDRDSKGNEHLHAKMRDHKGVTKGLELDTGEGLPLETEKG RKKREKKENKLAEKLTAKEASKGLQGNPYKPIGGGVPPPKSERFNGGGGGVQPPGMGM SMGLGMGMGGMGPPYPPIQPALYPRPPPLVATRPPMFGPPMMGMGMGMPMGGMGMGMG MPMMGMGMPMGMSMPMATPIPGVVPGQPGMGWYNSLPGRFGRDMLGRDFAGPGGVGSS QPYRPPDPSALPPMPFGLPFIARPGQEGFDQYGRMLPPDLPEGWDGWGRPLVSTNPAP NAPPGGPGGPLPPPAPAAAQAAGVPPQPTATQTQQMPFSSSYGTSSMTGTGSRSMSST LSSNGTGRPDQPPCFDRPPAKSDSYFRYDAFQPFSLPCSSLNYPHQLHLPPELVSHDV NEEDWSRFIDDLSKEALTNARHPLHHHARGGRGMGPEPVLSEAVHSLLASWAVAFFSP RGIKIYAATEESNERILPPPIEPPPLKRGYSHADEWSDEEYSSNGEYNFDDLSEDEEE RHQRKKDMYLPRIERQWRKDERLIKRRREKRRRLREGELRLSRVVGNWEIHFICSTPT IWQQGARPRGYGEPVIRLRR L199_007211 MLSRQPFAQNLLRPLSKPSSSFSQSSTLPRLTFLQSRGLATSSD PYDVVVIGGGPGGYVAAIKAAQLGFKTACIEKRGSLGGTCLNVGCIPSKAMLNNSHIF HQTQHDLKNRGIDVSEVKLNLPKMLAAKQSSVKALTGGIETYLFKKNGVDYIKGEASF ASPSKINVNLLEGGETQVEAKNVIIATGSEVTPFPGIEIDEERIVSSTGALELKEVPK KMVVIGGGIIGLELGSVWSRLGAEVTVVEYLGAVGAGMDGEVGKQFQKILQKQGFKFK LNTKVVSGQRDGDKVTLKVDAAKGGKEETLEADVVLVAIGRRPVTKGLNLEAIGVETD KRGRIIIDDQFNTSAKGVKCIGDVTFGPMLAHKAEEEGIAAVEIIKTGHGHVNYDAIP SVVYTHPEVAWVGKNEEELKAAGVAYKIGKYPFAANSRAKTNQDADGFVKFIVEKETD QVLGVHIIGPNAGEMIASATLALEYKASAEDIARTCHAHPTLSEAFKEAALASYDKAI NY L199_007213 MSGAGTTFPSTSAYTFELPEHRYDVDQLAKSVEAALGSKGKGED GDASSSANHFHLHTCTIESSLQIPHAEVFYWHRGPQPNPAYELYTSLRSKLTDNLEPY NTLDIASADDIVRPNYSPPAHHAQSGPYSQGIKVHLPGTHKKKPLAELESLPRSVNRE WIPSSTAWDMQNWLHALLSLDPKYEPMRKGGYRDDKRRFDLQSNLKICWLPNDSDDGV EIKLLLTINVMMNMETIFEPLPEIGHDMLGLILHSLIPSPTAKIFRRELESRAAALND FYACLKPAPDLPFNYSANQLQPKEMVSKLLPFQTRTVRLLLQRELGLDQKGNHDPIGF WKGYDFGQKDGRVAYRRLTGDVTLVGGPGQATKVDRKGKGRAIEASPERGSDDLTHEE EKDVPALLNLSGIRGMMLCEEMGLGKTVEAIALVLLNRHPLSKPRIVSVPTHSQLSDS LTISSEPHRASTNKSKGGKSKKREKEATPDPVPIIDLLHGTPGMEIEEVKTWVEGEQA AFADRKSWDDQAQLNVTEVATTLIVTPPSLLKQWVSEMQRHAPTLRVCVYEGWKSLQK GVEKQRSARMKAAEAEKKRKAVAFRDQTRNKYARNNASRRVKVEVDVNGNDEEEEGTL QVTQRQFVEYVRAHDIVVTTYQFYLLTYCPFSVSQDLKVALPAPPRSRRSTANYKPNE RPRSPLVMVEWWRVIMDEVQLAGDQSDAANMVSLIPRKNSLAVSGTPARADIKDLMGS LKFLRVPLIPYDQRIWHRLQQASMRSAFEGLFRTIAIRTTKKEVSGEFNLPHQTRYVV PIELSEIELHYYNDTLDRFRERLRLPLYPGEPRPEDWVLDRHLFIACLRNLRQICTHI QVGQMGEGVVPRAERRLHLGRALMTMSEALEKMRNDHTQEFLLDTRKQTREMIKKAQL LILDEKDEVRYLTAINIYEKVRTILDKQLAPVREHLKELLGGREDTAELDRNNTPESH QTQQEKEKALAITTARQTIREILIVLHQAWFFEGDVRHMLKQQEEEINCYAQADSIRK EILKQPLHSANLSVEYLQRQLGQKAALHDVAELTTEETDNRGGILSNDTINQLNDLLE IMNDNAYLVFEWRAKIIALLSSPIEGDSMEDSAENAKAGQSTEVEDPEQEFYAEALKA QGEVEAYLIAHAAAIADRREFLLENRTTLEEFEARQKKQRTTKAAMTAVAESTLKDVP DELKEQTALLMAERQAFRDARVEKGCERPLKGLLIDLYGVASGPNRHEEVLIAQRMAS MLKAYIAKQTEYVDKLNEELDLFQATFNRRVKYFAALQEISDSVTAPEYKDLAMSINK HSAEIERLEVKLARMVVKGRYLQYLGNKDRDHEDIREDCIICFGSSDDTQAVLLECGH YFCSSCYKEYRKSPMGRKCPSCRMDIDGKEVTKIKLSSHKIDTTSMDPGSKAKDGDEM KVEAEVGASAQLPESQDEEVSAEYIEEVERERRNADLRRLKMMDMDKIRDVRGMDMLG EYGSKINFLIKHLLYFRSREPDARHVIFSNWSDSLNIVMQALRANDIKFTSFDEGKKR KDVVDKFLKDKSIKVFLLHAEKESSGLTLTSCRVVHLLEPVLRHSFELQAIGRVDRLG QDKETSVYCYATLETVESRILSQGVRNGTSIYLDEENADQHVADMPNVASAANKGGDV GGDGNEEDLLGLIM L199_007214 MISSTSIPRSSSPLRPNDSSSSHLSSKSEARRIALSALEGLGAG RELEDHRRSPPEQVRDPEGVLLKFIEHLTSTENQDFEPHLTAIGYELEALLSIYGGSA VKLSFASRPSSIISSSSLTTNDLPPSLPTSSSVSSRMERRRDSQQYTDALWDLEIGFT PGERIRYEITLPIYEEGESLDGIDPTKLPEKPPTMRILVSLPPTYPNSSPPQLQLLGR YLGSFGIDSGLFGDITRTYISSTGVPFVPGDVCVFDGLTHVQSVVRQWYISHLSSLQE GESARDAERKQNQNQRQAVEEHHLIRGMSTLDINSSEREREYHFPSDTGEIPESSSSV SRPPTLRSTFSYSSSPNDSSDELIDMSKLKIWTSEPIVDRKSTFVGHAIRVTNEREIP LIIHELLNDKKIAKAAHPAIFAYRLVREVGGAAGKVYESDYDDDGETAAGSRLKHLLD ILELENVLIVVTRWFGGIHLGPDRFKHINQAARDALELGGFLNDKKEKDEEKSGAKRR AGGKR L199_007215 MSSTSSRTSPIELSFAHVFTLSRNPNKSPPVRSSHLPLSSQTSP GEPSSPIKKPTSSNEVEMHIESIQEDDEVDEFAGLETIGFSQWEREVPNFKSKFDHKQ EKEGETSSSCQNQPRIEEEIDELDESEYGLEMPLDLVQDEEVREQVAIHSPIANPSSP PLRTPAFSLPSLNSDIPSGPYPQTPHSPSHSNRHQQANPEFRSSSPVNWTPSPLSSRG KKRSLVILSDDSDQDEENDQTIVIPHKGYSSSPNKKGKSSKVIQSGDDDDDDEDEDEE EEEEEPLAVQKRRREKGKGKEILPSHAEKPINIILELEAQVPDGPTLDDLFKDDDEQA IYESNEFNYNEGGYEEEEDDDYGDFPFDEVDLDFPDTNKRKTKSKSRSPEKQKSKTLG NDLFPPDEQEGDLEDKENYDRSFSGGHGSSISILDKFELIKKNEWDIPLISDLEIKWQ DFYKNHWRRGVDKLNAKSTTTAKITTRRDEVGTIRSDDESEEEDVRPKKTTAAKRGGP WGWRGRGRGRAAWRGRVARGKARKK L199_007216 MPKQRSSKLSFDSGPPIPFGGYAFNAPSANASSKDRPINNGSTF LARNKTERGRLQGLVHTMSNLGDNANPITKTSGWTEKMKVWMVNEGGRRIFFLLWIFL HIMAFAFALVHYQLKDNFVTARSSFNWTFSGARGAAQVLHIDIIFILFPVCRNFISLL RRTPLNDVIPFDKNITFHKQVAWMIVLFSLIHTIAHMRNFALLAIVTNTGIVGFLEFN FITGPGATGWIMWVALGVMVWFAMEKRRRAHFERFWYSHHLFIVFFVGWQLHGMFCMI QPDRPPFCSAGTIGVFWKYWLPGGLVWISERILREVRARHVTYISKVIQHPSKVLEVQ IKKENTTRRAGQYIFINCPEISYWQYHPFTLTSAPEEDYISVHIRCVGDWTTAFAKAL GANFDAKTTKEEEAKGGKVVTAPTNKVLPRVMVDGPFGSASEDFTKYETILLVGAGIG VTPFASILKSIWYRMNNFGKEKKTRLSKVYFVWVIRDFGSAEWFHSLLQAVEAEDREG RIEIHIYLTAKIDEDKMQNLLIQDVGADKDTITNLRAPTHFGRPNWDKVFESIANKHP DTDCGVFFCGPAVLSRTLHQMSNKYTSPMGCRFFFGKENF L199_007217 MSLAPRKRRTERQDSPSGLPQTVRTYSGLEEEKRRSPRPVPPDS SVRSEYFFSWSTGLILTVLAFIIRFWRIGHPSQVVFDEVHFGSFASHYIRREYYFDVH PPLAKMLNGLAAWVVGFNGDFGFEQIGDDYISHNVPYVGMRSFCAIMGSITVPIVFGI MRESGYPAGIALLSAALVAFDNGHITQTRLILLDAALVLFMALSLFSYVKFHQYRYQE FTNWWWFWLLSTGGWLACTLGCKMVGLFTFLSVGAAVIWDLWEILDIKKGHSMSYVWR HFFYRVVGLILVPFLIYLSFFWIHFKVLKYSGPGDSFMSPAFQETLAGNELLLNAQEI RYFDTVTIKHKDTKQFLHSHADHYPLRYEDGRISSQGQQVTCYPHNDTNNHWQILPTK EIPDSGRGRVVRHNDVIQLRHIVTDTILLTHDVASPLMPTNQEFTTIAPDDEDRKNDT MFKVVITDAHEGEPWRSLSGHFKLVHVPTKVVLWTHPTALPDWAYGQQEVNGNKNQQD RTTSWFVEDIIADGTGNDFKNRTTHVEPKKTKKVAFIKKFFELQILMLQHNAGLTSSH PYASTPVEWPFCLSGISFWTDSETNQQIYMIGNLLGWWTCAISLSVFVGIVVADMLAR RRGLDPIEDAIRNRLYRNTGFFLGAWAFHYFPFYLMQRQRFLHHYLPAHLASALVAGS VLNFILVEVVNYPISFPGPKTRLRPAVRAKLGKTGWGVVIGLLVLVVGMFLWLAPLTY ALTLTGEQVNRRKLLSSWTLHFEAKKNH L199_007218 MVSITGDSETGTTVLPSRYQQQHQAASSQSQFSSQNGTSSSLIF RPEPESQPTDVSPTCSTLPRVLEPLQIPQPSLPTEISPTTPHSSSSTQTVNPSSSSSN SGRRGSLAVWKIPQISESSTSSKTKLDNRNTSGSNTPTSEIHKSQDKKTNMRPSSSGS RKGKEVLEMITLPITDASAPLGAKQISSTAEERSKEDMAMAKWRKWVVEQPIQTVPVD HSPIRSRRSSPGTTATPALNTTASPRLSSLSSPRNGMSSRQFSPAGSPLEPVGSGGSA GSSSSSPGGRHSNFSPPVKSMRLGSGSASGDYIEVFNTESVMALRDVELAIDEEVLER NERMKSPHGIQNRRMSTQPRISELPNPFADIAHRLGSRRVRPIVLELIQALGHYLDAV WCITYPDRPCPWIIGVNESPIPPSVRRMTIAHQTHEQSQNSLQDPQMTWKSPMITAVQ EGKKTGHVIVPPTVKDVKFWGDEVTFAIRDVDEVVGIYKGVGWAFGAAMRDGVYGTVS ADNVLGMKGEGGGMARLLNDLEEAIWGDAQPRPTDLSYDLPIDFDPYAEPDDQELLMA VTSPRHAAAGGTSSNTRSALTDFFGESRSSSRESGVNANAPLPIMEEEIDALPDLVQP DDQLEEEEESDNDNDNKSDTLIKSPNLSSSASAAKRPKYKHNTRSNSTLIIPGITDFE GSEDLSLEELGKRRHREWLENQRMGGDAW L199_007219 MPRYVNPWSSYRHLSAIEKLSSLSLRLKFPPETNERIPIRQKIV FPSSPPQIDDGPKIIWLGHASVYLLLPLAIPAEGREWRGILLDPVFSERCSPVSFLGP KRRIEAPCRVEELPRVDVVFISHDHYDHLDEASIKSVQKHHPAAQYFVPQSLGALLTK FGIDSTHVKEMNWWDEDVFSLSLNSPDSQGSSPGLKVERTVYMSASSPIKSQLSLSGE ECQALSLSPVKEEQHIDEVPFSPKSYASPLTPSTLWDTVASSSTNKRVDDTLKIVCCP AQHNSGRKLFGKNKTLWCTWWIEYDMPNSQRWKCFFGGDTGYKSIIDGPTCPAFPLIR NRYGSPDLALLPIAHGSVLPYLQSLFPFIKFDAQRLTSAIHCSPSDAITLHQELSAKI TMPIHWATWSTEIGTREIARYLYSACRQSNVNLKWQDQDDGSIPNWYQGVIVNDIGSS VGISIGNGLICCAE L199_007220 MISTIPALLGGGSLASLSTNIRLDDILYLAIRMASIGLLAAAVR QLFGNTKSRVYDAIFPTAHITYSDPAFEWIMSYLAQSPESQRQIKSFRLITADARQSK RDDANRLRTSSKKSEGLSVARKDATFVDDVVGQISPVNEQAIFINHKGAYLWITRKSK GYANYDPIDKEEHLEITGLSVQPESIKQFIIDAHAMFFKKADDELLIFNVSPYTGNWI DPIHRPSRSWSSVILPESDKAPLLEDVKNFLSVEEKDWYGARGIPHRRGYLLHGRPGS GKTTLATAIASQLGLDIYIVNPAARGMDDGKLNKAFRNCPPQNMILIEDIDCVMPPRP KRGDSEDDDEDDSFDDKDVGGADPGKYGLARSTVTLSGLLNAIDGVSSQEDCILFATT NHPDRLDFALSRPGRFDVQLSFKDATFDQAKALFKHFFPLSDFSNTPKSESTIDDEKV IEDEGVIRAEGELDELAHRFAQGIFHPTPPGRDAENDTVEVEVEFGLSMAALQAFLLT HKKTPRLAACKAQEWSIGLRKEHEDRERKKLAKRAEREKAKELKVNGSATPENKKAKE SKG L199_007221 MERTISRPSIRSHRSHSLRHLSDIQDYVPQEPVEPTISTGVGMI ARSQSRIDNHEERKDMVGVENIEPKSHYVFRFLREEKPLPSKRMVGVMLAVLFLVMFL AGWNDASQGPLLPSLQTYYSVNYLVISTIWLANFAGFMTSGITNVFISDAFGFGIAAP FGAAMQGLAYILICWGSPFPLFVIAYIFNGFGLGLQDAQVNSLVTRLENSSTKMFLMH AMYGFGATVSPFVSTAFVQHVPNHVYYYFAVSLGLALVTVLALVMVFRGRTDNQVVGK RQLEVRVNKDGTHTEIDPASTKHEGSGGKMKQILKTPVVHYMAFYMLIYVGIEVTIGG WATSFLIDERAGNDNSGYVSSGYFGGLTVGRVVLIPVTKRIGNHMSIYLYSLITLVLT IIIWFTHSIVGNAICFSLVGVFLGPMYPIVMNVVVEILPGELQGGTIGWIASLGQAGS AIMPFMVGAISERYGVWILQPFTLAFTIADILLWFLVTRSWRKHVKSVDEHPSSSDTS DEKKDGEGCDQLSSSDNQVTSDVNGNGNGENAKNQL L199_007222 MPTAGHPPRPCPSLPSQGTIHIPDVLDVLMQPIRRDKQYLEWDG EAFPIPEEMIIRNWDLPQGYTRESWADWEPENPFIAERHYDHDPLAPNEGYEQDEMEE IFGRMAERKMAEEDEGHIVEAPSTDPATDLSVEKGIPESTTPRLVTSTTEIHIANILL SPSGGQLVPKKLSFPITVSAKSGQASNTISYDSTAASRHRLVSSQGASTQAEDPSAVD RVEEIVQANTNDQLEEGAINDYIELDPDTAELADAVEDVSPGCSLSAPRVSRTSTTNF IQQQPISTSEDEPREDHNSQSDDGLGSPTPAAKETSTPTISPNYSQTHRTQITQEVLL PALNIETEAVTKPSKENVDSPTASSTPSSPRSPHLVTPGTDTRVSQDREEGIRVLQRP PQFSPTDESDTDIPQIVQPLIKANTSTTEHHIVQMPSTPPISPPSESSPLPTYATDPS HSPRVSRSSDSSSLSPSTDSTEDEAIISIAPRAPASFIDIDKSSDDLIPPDLTLESLQ LSEISSLEMDSASGVPLPAKNGTHKILDNFPLSESDPLDNMAGTGISEDPKHGAGSLA TNQGTRKTTDTNTSSFLEQDTSKDDKMEVLMFISGQKKSENPNGHDDGPILINIDSDS PVDDDQQEAPAPFGEDHEKSHEEQGMIEAEVPESPMTIIELNKGDAEEEEGFDEDGEH ESISETCYIELTSNANVAEIIDDDMTQDDRPAEATLIGEDQQPECTIPKPKGKPGRKP KMMPPPDPEYITSSDKRTYPRRATTAIPSLRGRGSTGRSKCKRAPGRPPMKHLNGQNK DTLDENACEEKRGKSRMLATSKPTTMRMPSTSTSRRRSMTMFTRTQSTQTAIDGPKRG RKGKTKALESENSMQDEETVDVDTSAPTELESKRLPSTSSPVQQPPIRRAAQNANAAF KIQDGDREVASRIKPAQKRKQPLPPDPSKSDSDSLSQRHISKKVCRGETLKRWTLVED ACLLRCWDGKSVLSPAIISQACDALLDPAVESLGRTHEAVKYRLRQVYKNPDLHERAK AFNESRPSASA L199_007223 MLTSLPSFSRLNQLAGPDPQQQQQQQYQQQQQIQGYPGQGQQPL YPQLPGLPNQPQIQQYGYQPSSLPLQPYTVPSGPSYIPPSNAIGPYNPQSSSLYVQQQ QQANGYRYPVPTSGIQSSQTQLSPQPLESVGKDEDPIYGPLGRARNKIDRALTGDNEI STDLADGINHPLQSSEPYIHPPSNAAAFKSAKVTKRTPLPDALHQELNYKHLTAKMGL FEDIERAWFTVDNKLFLWDYSDGRDFSRYDEQNDTIQAVGLVRARKDVFVDEITHVLI ICTSSKTTLLGLSRPPNSREINLYATNLSVETPTAMIDIKGTAAGRIFMLGANKDVYE LDYSSDSSWFFGSSTKVGLHNRSSGSLANWTPGFLASKTKEGIESFALDSQQGRLYTL HTAGEIEFIDVSGTNYQSRARYTKLKHDLNRNQQNGNITVTSLAVVGGHESKRACLVA IASNGYRVYFSSSPSFWPICFRAPIPSQANLPVSSQSFYSSGTFISVQHDNTAPMPQT QLSIAVPHCGRQSSLRENLESYESPAFQEWTTTEIIPSQVWTIVELPLTNPAFSPPSL TNPNGIALSALPRQATTQSREFLVLATSGLFWISQPRPIDMLRDDLDLEKDAAINTVR LQFGKSQLAAMSLQLGATNDLKAVDLASTISTILITSGEPIIKDGAGGKNITYSGRHD GLALIIARYLRPIWNVKVTVPAGVPGRQILAVTEATLLAVQGRLEKLRRYLDEHPFQR YQAEGDAKIAWDQEDMSIHGLEVLLKQAVEAISFVLLLSDYKISDIILRTDPQTQQTL SNLTFQGLLTSLDGKDVARKLVTALIEQQIGQELGIDTLSEILQQRCGTFCQPGDVVM YKAEESMRRAEGARDFGEKNESLAESLRLFTRTAGSIPIPRLQEVSKRYRNMQYTVGA IELPLKTAVELDPNDKAVDYVRDGEHPADPRKALFEARKQCYEMVIEALGMFDELLDK ATAQGNASSATQKRDEAYALAIASDDELFHFYLYDWHVDRGLQEQLLEFDTPYIEQYL KLTINNVEDRRDLLWKFYARREDYLPAAEALSSLATRPSPMVLHDRLYYIAQALTSAK SAASLGSEDVEFTSRLQEQIDVAQVQMEVARAVETHPDMSADEKNDVLAKLNTDLLQL DELYQNFARPLRLYEPILLILKTADTRIDDVCEAVWRQLIGSYRLAGNVAMSDAIVGF TRRYFPSEAAPLDIMIPVVYAEAQGCQGGQAGWASSALLEGGVPLRDLWEAAVGLYEN SDDDERDYYAEEVSVIASRWINKKDEIPAAEVERFASAYLLRTNGAPMNEAKRDTRDR LTAAKQAAVRY L199_007224 MSSLPPLYPSAALIATALGLHGYRKGSLSLDGAIAAWLVGYGHL ANPIKVFGITMIGMYLIGSRATKVKVDVKAKLEDGPDPLKPSGNRNWIQVLSNSLPGL IAALLYRFGPASQLDKSSVKLALHPRAQPLIYTSLGLNATILADTLASELGILSTNQP IYLPTLRAVPKGTNGAISPLGIGMSVLGGGMIGLIMGLDLLVENPVSGNELGWMWELV GVGAGLGLAGSLLDSILGAFLQATHFSTSTNQILTDSSKKSITDEDVKKIGYGINVLS NSGVNFVCGLVLSGVGWWYGSR L199_007225 MFTIHCESEVAMEGRSELIHGLQFFRHPDSAFHTVRNTPAQATP TLTHSPRRSTRSTSLNTPPDPVKADSVISSPVHAHHLSHRLSQFQLGSVAGQNTIGGS ALYHPTYMEKIRNPELDIDPSGPSDRLAAAPPRLPAMVESVSQISPFQPFELTEFPSS DIPHPSPVLRPPPKSAMQTVKITKYLCPGYLWDFWLGKHSQYGEVVVKLVYLPDYPCN NPEFDDYVPDEQVVKEALKEEDTYLGPLADLQGEVVPRYYGMYHSVPDGEHIAIMLEY IEQPTGGEPRLAEEERKHRLYSAYERLHFRGVLHRDIDFRHILMNKRDMTFRLVSFRR SCRKSLKNEDDVASLMSEYVVVRVSIGWERDEDIANGDIPSCYTSRLADPAAFLAELQ AAHEVPLDDWIVEHNRKLSEGISTF L199_007226 MEVDKGDVFVRAGGENALQGLLFAEEGVILSQLFALNPNDGRSK FFQSLPPKLMGAGWYHGMTKNRLKTTKCRIDLSKRALEARKAQIQRRTAAEKKKDYLA NLFEDGKLTRSGSIPDHAFGRLFGDAGIVLSVVEEKRMYPGGLKDVLRFLAHYGELRM FLGSDTVPEQMSAVEGDVWVGSPQVGPWYAKVFWQVYAECNSMNAGHFVITDRFHSIF GRCNDDGLVKILHIFTHCPLDQDDIETPLDVYHQTQVRPAGVKSGPIPPIDPMSPYDS LHSNMNIIEAYTRILYYAGENHIHGPGHPHS L199_007227 MFAVDCSTSVAREGRSELASGLQFFPHPESSFQTIGRSSAQPTP TLTHSAKRSTSEPPPPSILHSASVLAMQTVKVIKFLRPGYLWDFWLADHSQYGKVVLK LVYLPNYPCNRPDYDDYVPPEEVITEALKEEGLYLGPLNKLQGELVPKYYGLYHSVPG SYHIAMLLEYAGHAIGPGEVDLDEEWKEKLYSAYQRLHRHGVVHRDDLKDEDDVGTLM SEAVIVRTRIGPESLDEIYCDSLPAAYYSDLENPESFLQELRDIANAPLPDWVIEHNR RLLDLRLPPSDDIIWEDCI L199_007228 MSKLFDSIRQITTHPILKHTILLTLIIFSLVFIHIIGLFPSRTI RPLRSPIESSSHDITILQYFPSIEQGHDDWQKEGRNIRSYSEGSLRKYCEARGYRYVR SLAGYLSEDKGRDESLVVLKSALEMLERRDGREKWLIWISPQSVIINPLIPLHSYLPP TSNNGPLIISSDLKDSTLILRINDQTMEIILDVIQLTRSEQDRSERSFREVLSGYINS YDGLREKVQSIPQEWFDSTDLPEIKPTDHIQIPLIYHFPDEFMIYRSKRQIQDVLAIP RRIYDQTEKYEKDMLRFYGKLVNGLDDQEVKGETEKIGESWWTRI L199_007229 MSDPEAEQRQLKRRMLEEQLRILDDEERHSRTTMSNTPVTASGS YPPQWAATISNPSSSRHSALSLSIYDASHSQQGSISGNLHIPSRASSSSWTDLSNQNR NRQYRSQPQSSSQSHRPSSVSRSNQQQRAHTQPQARSGHLQQQQRHDDGQYQNQNQNQ HQSQPSPYSSGSTRHHSFRDLDRSSTGPSSSTSPQFSSALPTPQNATFSSSQTVPLPS ERQLHDWLRDCMGQVEGLDTDWRINGKRVDMYKLLASVIGSGGSTEVSSRGWWFMLAK LLNLADDLTPQTVKSSIAKQLQELFLQMLGGLEILWDKTKGTEEREAIPRSRENSNLS GSSTLPTPVSATMQPGIYSQHQSSAFSRHASNREREVPSPQQEHHYVDPSKLTLPPKS AKLLSSQQSARGGESTNVQQQSAISTFNANSAHSNTVNSANYNPRHSPSSFARPTSVA SNGTQQSPSIPSQIPLHQPTPSHPPPHRLPDPLTSQNDSGSERTQTSSSSQSHIPPPA KSTSTDMIPSPSQQSSRTVQLRFANKSLGEYQVPDLSSFKELATSNVLPLPPLEPDSN VQKMTWSSDPLIRYSKRCHELGHSVRKLKAGQASRQISPEELVFWAKLLVIMAGNPSV IPPPVDDRPPSNPPPTTMPNPASSSITTAGPPGSSTNPVALVPPPNDPNTNAHNQGDQ MSTSSQNPHAVGQPTASQSKKRPRKSGDDTAGGHTDTPTVPKKRGRKPGVPNRPKQPI TITVESQPVPQSTQISPNQNNGVPQAPHSQATPLSQPPTDIVSGTQPTQIQIDQASAA LAFIGPAKRVPAKRGRPKGSKTVNRKSLPKQSDGQERPQSDANIDPTILVLASQPESI PSYSQYDSLAQLSQPPASFQDLFRAEHENEGFHPSLFAGLAEARPSASAPASTLPFST PSRPDQDPSQALETNSVSDGSNRKPLTAEQRADKSAKEKARRQRLKAIQGILAPPMKY KKPPIDPRTRIPLVPNLSPKRRIDLSSPDRASSAQIRAQDMGPTRILGRTASALSNEL SAGSASQVEKTQKYKKKQIVAHDDRATGKFSQAIAANIDIMNIDPKFTQDDGYEPSPA QVLELARALVDSIDPIPDTQVEGEEELHGANDVDAEGEAGSEIPHSRIMQSKKKKRAR PRKEKKMVSVGQSQQGSTVLSTSARKSILVVEVPSSKKTARVKFDEEVDGDELDPEAE IEAIQDQREESPEYEPSPELEDDGEGEQDIEEIEDEDEDEKGGRRSNRKRDNTTTNKP VFAKIPGNSGRGDSSVVGAASSAAKARWDRIKRRSIELSPSTSTRGSTRGTPQARPSI RRSARERRSSSVVPIPDFQPWSGSAGGRSNSRLGSIRREAQPNPFTKTDTKSQRRVNS VVFSPEPESEETLEQLEDEGEDELELEEDQEDEGDDVGFAIEIDSPRIVRKRVEKKRN VSSPVDRAKVVIPISRRRREELISRGHYNAFRDDDSDDEISLPRRAQVSLRPMKLIVQ RGSRTIRPSSPEPIPLRFMFRPGPALLEPFASILTEHSLSNRVHEYPCLWKGCDSILA SEKLLKRHVENRQHAKQGKEEVSTERWSWNTRRTTEREVVKGKWFYRCHWKGCEEPCF TSEADLMQHLSARHISKVLKCPYEDCELTSLNVSHLSRHVMKQHDSPSDRPVPLANVS IPLPPTSPPANSLPEMARADELTTQRVLGSAHRSAFYNARVKEKVASHCFAGPDPVIH VEHPPHMLEIVDDEDDVIDSTGKEKRRRVEVVVEIPLSKRRRLPEQEKRDRVLRMMNS IDTATVLSAQQDQQHNNDNQEDQPQSHNVIDGVDNGSYSPSYAYDTAPTPWIETDALM IEDELVLGAPTPAPFPMSWNDQDDDDDDDVEEEEDRNEAGPSGVERDDEGQYLPLFQM PGGDDEEDEQEANAELQEEEEEDDDDLFREFAEAVQAQAEPEVEAPEDSEITQQNGMT EDQQEIDNDTPSRIQHSIIKPNMSTSVLFSPSITTPAISAASNAVATPVPQRKGPISF PFLPQSRPSPIPNNIPSSTGVGTNSPLRFGFAERFVATGLPSTFNVQDEPPTATSAVR TSGSSSKILL L199_007230 MASIRMQPVLRGKGVVGRRSILATRSAHQLVVTSSPDPSHTPKP IIKYGPPTGGRSSDSGHTVTVFGCTGFLGRYLVQKLARQGTQVVVPYRDEDEKRPLKI MGDLGQIVPMEWDARNPDQIAECVKRSDVVYNLVGRDWETRNFKYEDVNVKAAGLIAE VSASLNVPRLVHVSHLNAHPESASAFYRSKYHGERAVRDAFPTATIVRPSQMFGYEDW LLNAIAQYPILFKLNEGKTKILPVHVMDVAGALDLMLNAPVTSVASTFALPGPVLHTY NSLQSLISSITLNPISTAPTVPKPLAKAVATALNRGIWWPTVSPDEIERKYIDDLGIE AFQESSDAAKVQSGWAESYKPEMKGIDGEEVKGWKELDMTPDPIEDHAIKYLRRYRAA TSYDIPVETGKHKPPKAYHVLP L199_007231 MMASRPWSSLTPVQALVEQCCDPTLPTPNDVANIELAEMINNRK ANSAREATHGLLPHINSRNPNEAIIALAVLDHLVKNCGYPVHLQISTKEFLNELVRRF PERPPMVVGRVMGRILEMIHEWKNTLCVHSKYKDDLVHIRDMHRLLSYKGYRFKSFDA ARAMASANPNEDLKSPEELEEEDRQAKSAKLQELIRRGTPRDLAAAQELMKALAGAEP EKQPDYAAQTLTELDKVQSKAILLNDMLNNAKEGEKVGLEGDVYDQVAAACRGARPKI QKWIEDDDGSREGMMDRLLLCNDLINTALERFEACKVGDWTKAQAVVEANNPNKKAAD LISFDAFADDDQPSSSTLSTGGGLALPADTSNNAGMTAAGLPFDLFAPSPSGSPSPAA GPSGSGISAFGSQAQHQRQNPMDFFNTPTSSSSFPLQQQQPNYNGGFGGFQSQSQPFQ QQQPNYGFQQQPAQPSPSIPGYSLQPQQPQPNGQNQRPQQQQSQQTQKKDAFADLVNL MD L199_007232 MSMSIARSIRALKSGSSSKLSLPKRTLATPVNTNLYTPVLPAKI PAVLHLKSGQSYHGHNFGSENSKFGETVFSTSITSYTDSMTDPSYLGQILVFTSPMIG NYGVPSNSSPPGFPGIPYLESEKIQCTGVVVSDVALKYSHYKAVESLHEWCKRYDVPG ITGVDTRAITSLLRDQGTTLGRLAVGDESLKPAPASSEFWDPSTENLVAQASTKQPYV LNAEGKGPRIALLDFGTKANILRSLVKRGAVVTVLPWDYDFNSIRDQFDGLFLSNGPG DPKQIMETALRVRETIDQWDKPIFGICMGHQVLGLAAGLEAYRMTFGNRGHNQPVLAL ASSGSINAGRVYVTSQNHQYALKLTEEFPEGWAPFFINCNDSSVEGIISTPESGKRVW GVQFHPESAGGPLDTIEMFTDFVNECQFGRSGGSNMIAGEVKVGGSDAKAASEISATA L199_007233 MVSITSSHHLKNVTFNHPPVQTEPHVHVDPHSNLFLWYILIIVG LVLLGGVFSGLTLGLMGLDTVNLQVLAISGTPEERKQAPRVLNLIGKGKHTMLVVLLL GNTLINTSLPIFLDSIVGGGLIAVLGSTLLILIFGEILPQSICDRYGLAIGSTFTPLI KVLIVLMYPIAKPIGLILDYILGKHSDPVTYRKAELKTFVSLGVEDKLNEDELGLLGS VLEFSNKKVGGVMTAREDMYTLSSDRIVDEELVSEILKKGYSRIPVYDTRTSTYTLRS LVSYDPTDLHPASSLVSQVLPQCSPDLPLLEAMAYFQTGRSHVLLITETPGEEGKTLG LVTLEDVVEELIGKEIIDETDVYVDTHSRIPVIRSAYKPRKTKGLKKIYEGQLTRNRV SRSFANSRSGSTGYMYGSVDEGSRSVDQIDQSGEV L199_007234 MATQLDLLIIILTVSLPLLYLFRESLPFIGGKPFADSTQNGSAP SKAKKVEEEGDPRNFVEKMERAGKRAVIFYGSQTGTAEEYAIRLAKEAKSRFGLSSLV CDPEEHDMNLLDQIPSDAVVFFVMATYGEGEPTDNAAGLMELISEPEPEFSLGESKLE NLNYVVFGLGNKTYEFYNEVARKLDKRLSELGARRIGERGEGDDDKSMEEDYLAWKDP MWESFSKIMGVEEGGSGDVADFLVTEITDHPPEKVFHGELSPRALLAASAANTPSGGY GIKNPYPAPVLASKELFTVGGDRNCVHIEFDLTGSGMSYQHGDHVGIWPSNPDIEVDR MLSVLGLDSQDKRFNIIDIESLDPALAKVPFPTPATYDAIFRHYLDISAIASRQTIAF LARYAPTESAREKLTAWGTNKELYAAEIDGPALKLAEVLQAAVGDSVDANGDNAFSST KWPIPFDRIVSSIPRLQPRYYSISSSSKLHPTAIHVTAVVLKYQSAASPVHHHEPRWV FGLSTNFILNVKHAHSGANTPASEQDNALESVTMKKVPSYKLAGPRNSYVKENVYKVP IHVRRSTFRLPTSPKVPIIMIGPGTGVAPFRGFVQERIALARKAIEKNGPDALKDWAP MYLFYGCRKSNEDFLYKDEWPEYEKELKGVFRMKVAFSREMKKPDGSKVYVQDLIHDL RSELAPLIVDKRAYIYICGDAKSMSKAVEERLTQMLGEAKGGTAEVEGPKELKMLKER NRLMTDVWS L199_007235 MSPTYQIIDQYNLTISFLITLAWQMLGFAIAWTFKFDKVTDFTG GSNFFILALITLTTGGTYTARYVRSNIK L199_007236 MLWAARLAGFLLFRVLKTGSDTRFDDIRNHFFKFAGFWVGQIVW IWVVSLPVVILNSPAVSSPLRSGDPFFGKATDIIGIILFAIGLFWEAVGDIQKYMFKS SNPPKGKPCTKGLWYFSRHPPYFGEITLHWGLWLLCLTPTTNGALPKSAKSAQYAAIL APLFTMVLLLFASGLPTAEKPTAKKYFLMSYPSSSNSTSAGERGFSLPEAENDIWSNY KSYLKRTSIIIPFPPSLYARFPEWLKRSVLLDLPMFRFDEGKDGREAWEEERRKRGSA L199_007237 MGIKGLTALLSENAPRCMKEHEMKTLFGRKVAIDASMSIYQFLI AVRQQDGQMLMNESGDVTSHLMGFFYRTIRMVDHGIKPCYIFDGKPPELKGNVLAKRF ARREEAKEGEEEARETGTAEDIDKLARRQVRVTKEHNEECKKLLALMGIPVVTAPGEA EAQCAELARAGKVYAAGSEDMDTLTFHTPILLRHLTFSEAKKMPISEINLDIALQDLD MTMDQFIELCILLGCDYLEPCKGIGPKTALKLLREHNGLAGVVKFVRGKMAEKEQENQ VIASQHDDDDSDRESEEGGGGMMVNSDGEEVPVSSPVKKSPAKKKKKVTSSGMQIPEH WPWEEAKQLFITPDVVKGADLDLEWKAPDVEGLVEFLCRDKGFNEDRVRAGAAKLAKM LAAKQQGRLDGFFTVKPKDSSTSKPATGGKRKGDEKDKGGAKKKGKK L199_007238 MASILRLTAQRAAPSFTRSLTVSAVKMDLVQDLYVNQLKSYKPA AKSADAHVGSVRTYSAPKAPSAPTLPSDLASELSKFDAEEPVIGGSAPKATATATDAE GGESAEEYLKFLEKDLPKADAHH L199_007239 MEDPSGWSLTESDPQVFTQLLKDLGVKGLQVDDLYSLDEATLST LKPIHALIFLFKYVEPSASESEGTSGVEVDPLDTGVWFANQVINNSCGTVAALNAVMN IPTQESQHAEESIELGEELGNLREFGAGMESLDLGHLISSSPHIREVHNSFSKSSPFS MDPSAFPEREEEDAYHFIAYLPINGILYELDGLRRNPIMHSPIDEENGDWLNNARETV EGRIATYPPGSLMFNLLCIRSSPLPRLQRQLNDPSTNQTEKYQIQDQLEHELSKSNRG QLENTLRRNNLLPVVFQLFKGLGESGLAGKAVEEARAKGKERREKRLAKGEEDD L199_007240 MNAAQLLQDSLSPNQAARESATQQLEAAARDNFHGYLHTLAVEL ANESQDLNVRYAAGLAFKNAIAARDAINQPQLTERWLSLPDTATAPLKQSVLSTLGSP QHRAGAVAATCVSAIAAIELPVSKWPELIPQLLEFVGNQENQGLRVATLQAVGFICEV IDPEILSARSNEILTAVVQGARKEEPSHEVQHAAIQALLNSLEFIRDNFEREGERNYI MQVVCEATQSPAVTVQVGAFECLVRIMHLYYEKMDFYMERALFGLTIMGMKHHEEPVA LQAIEFWSTAYEEGEQPLYVSKGFAKAALPDILPVLLELLCQQNEDDDEDDWTKAMAA GCCLELLANNVGNDIVQPVVPFVEAGITQSDWQRREAAVMAFGSILDGPDPEVLAPLV TQALGALIGMMQSDPSLQVRDTVAWTLSKICENMLQVIDPAVHLRNLITALVIGLNAS PRTCNSCCAALNSLVVQISGDADLLGDDVQTSPMSEYYSGILKELMPIAERPTNHANS RSAAYQTISVFLGSSANDTLPVVQEVAVAMLARQEALLGMQNQLVGMDDRNNWNDMQI NLCVVIQSFIRRSPSMAAPFADRIMTNLLGLIQASGKHAGVLEDAFATVGGLASALEA GFVKYMDAFSPFLFSALGSFEDWQVAQAAVYVGSDIARAINEALTPYAERLMVALIDL LRSPVVQRQVKPNAITTIGEVALAIGGGFRPYLDTTMTILSQAGSTSAAPGDEAMIDF VQTMRESIVDAFIGIMNGIKDSDASAMQPYVSGIMGFLKTCWLDEDRSDSFSTASLGL IGDFAEAYKGSIRDELLQDWVSQAVTWGRTRSGNKRAKTNAAYAQQAIKNASK L199_007241 MSEEDKQTMPPPSSSWTTAPATSQNPSGGTTDTQFSERSQSVLS QSQSPNSDSTTSAKRPRIEIEETETFHSSELNSSTSTKPSGQTQSHGQHSNFGPSIIP STSGGSLRSSAYWHDDMNVNRQRYPPQHSPYTQPLPGPAQLPLFPFPTSMGYPPPPPP LPHPHGPDQPFSIPSSTFNTSLKYTPIVGLAPVVTQSSSHSRPPTPITPHAPGGFPNP DSNQDFHPSPLGDGLGLAYTNYPHPQHYVHHPIPTYIPPSIQSQNSGSGLGPLMTTSI LRPPSTAGSSLDSPTPIATGTAGAYQPSPSPPLQSVAVPSSTSNVQDSPIPSLEQLQS TPVTTVKPKGKHKGIPGPKARIPTEAKIAIAEHVISKGVAMANLDELAQMTGLTKQQI KSQLVDNRQNVRKQLSEFARGLQ L199_007242 MATTSSSSKGQMETNPRGIPKAPFVADVDEYVGGKDAEIQSTMK KFEETTAKYRYMEISLQQRRKALLGKIPDMEQTLQVVKFLQVRRKKASGEPIEEEENN ADSDDDDLDDLDDLDDEADDQKKEDKPLKTLFELNDTLFAEAEVTETGEVGLWLGANI MLMYPLQEAIELLTNKLSTAKKSHEETVEDLEFLREQITVMEVNFARVHNWDVKRRRE KGLIGQQSGLLPSQRKQGNDDDSDDDDRD L199_007243 MDTQIEASTSTLPPVEEVPLSLDEYIRYGRQMIMPGFGLPAQLK LKNAKVAVVGAGGLGCPALQYLAASGVGTIGIFDHDTVSLSNLHRQILHTTDRVGMNK AESACITLSANNPSVNLIPHPVPITPSTAISLLQPYSILVDCTDRPLTRYLLSDASVR LGITLVSGAAISSAGQWAVYGGSTKAGKRRACYRCIWPSVLPGSGGKCEEEGVWGVVT GLIGTGMAGEVIKLITGKEDQEPLLHLHHLCSNPLIRTIRMKGPSPKCIACGPSATIT DDLNVYGYESFCAGAMGPEVDEETGLVEGREGERINVKDLSALLSSDSQISLVDTRPP VEFGICSLPGSINIPLPSILKDPSSIPSTDEVIFLCRRGNDSQIAAEALRKISGEKRI RDVKGGLRAWSREIDPEFPVY L199_007244 MIRFIYLALFVFPFALAQFGGFFQHGFPFGGHGPPVHEQQQDFT RRREHRGWTEMDNVHCRAGYVCPSSLACVPTPADCPCPYPEDIKCVIPDNRERDEGEG PPFVCVRSTGGDQASCDKVMEYSKPI L199_007245 MCPTPDQPITSNGDEEMVPIINDGPHISSSTNGEIKRTNESTSQ QPPAVKSHKGLYGRASDFLSNTSNWSIIESTLREGEQFANAFFTLETKIKIAKMLDEF GVEYIELTSPAASPESRAHCEAICKLGLKKTKILTHIRCHMDDAKLAVETGVDGVDVV IGTSSFLREHSHGKDMTWITKTAIEVIEFVKSKGIEIRFSSEDSFRSELVDLLSIYRT VDKIHVNRVGVADTVGCADPRQVYDLVRTLRGVVSCDIECHFHNDTGCSIANAYAALE AGATHIDTSILGIGERNGITPLGGLIARMMVADPEYVKGKYKLSMLRELENVVAEAVE ISVPFNNYITGFCAFTHKAGIHAKAILANPSTYEILNPADFGMTRYVSIGHRLTGWNA VKSRVEQLNLNLTDDQVKDATAKIKELADVRTQSMEDVDMILRIYHTGIQSGDLKIGQ SAVLDRLLEKHMPSRDSSPNGSANGNKRARLEGATA L199_007246 MTPIISRSLIPSCSSTLASSSRLFTRSLASHAYMPPSPTSSSSP ASSLPIEATTTTPTDLTDEQRQLIDSIIRVDQAGELGANWIYRGQKWAMDVKGDKETG KQVEEMWENERHHLTILSHLQTQHRARPTVLYPLWQALAFGLGASTGLLSKEAAMACT EAVETVIGEHYDDQLKALQPLLNPTDPSSPAPHPSLPLMADILKEFRDDELEHLDFAV EEGAQKAPGHSLLSAIIGVGCKVAIKVCEKV L199_007247 MSTTADLEKDPFYLRYYTGHQGMHGHEFLEFEYSHGRIRYANNS NYRNDSLIRKEMFVGPAVVEELKRIVRESEITKEDDVAWPKKNVVGKQELEVRIDKEH ISFETAKIGSLADVNESSDAEGLRVFYYLVQDLKCFIFSLITLHFKIKPIQQ L199_007248 MKVSKTLVITALALAAGGEAKLFGKDKPVYEDWSLDQSVAFLKE QGIAVKDSATLAEIQKQVAENADAAAKWGAAAAGSAQGHYEAYSEALLETWSESQLRE WLLEKGVVSPSSNREALLVKAKQLASAASTAAYGHPTDQAASSVSSAGYGAASSISSG YYAASSQAAYASAKAQKKLDDAKDYVFSTWDDNQLRTWLEEHDVISTPAPTGRAALLN NVKVAYLKATSPIYEAWSTSNIHEWLVEHGIVHPEPTARDKLLDLMKDNYYEAKDTAY SSWSESQARDWLISEGIITDAAQLKKEKYEKLLNEHYTRSKNTVWSGWYDSEIRSWLV DHGYLKSDAEAKRDELVSLISQKYKENTNAPYLAWPDARLRAQLRSYGIDDTKFTSRP SLLHEVRIHYVQSQNKVEQILASIRETISGGVEFAEEKLAHVLELLTASKLTAEEKLD KAYASVSSVYEVAVSSASSAANYYGASATSAASVASVSAYSSASSASSAAVSAASSLS SSLSSAASSASSVAAKSANNPSLTSAASVASKSASSAASYASSSASSLASSASSVASV YAHSASLSASSASKSWSLSAVSASKAALETSASVHSSLSSAYSVASKSASSASVAAAS SASSASKSASSYSASLSSVSAKASKSAKSEL L199_007249 MTNDKPAGGYDPTPLPPSNGPTYIVKITFHSATNLPVADFGSGS ADPFILAQVKTSHKTRHSHDPYLRWRSKTIRKSLEPSWESSWVIAGVPADGLDLSARI YDEDPSDHDDRLGKVELHTGNIDEGWKGIQKQEYKVKKTGADLRAYTARWVCTVVGKK ELHARLTLSIEVLGKTRKEDDVGKAYTISNFWWIHYSPLIGRLAGVKGKNDKGVEKYN FQANQLQLTGPVPNELYHRYVEFKTFVGGMFEATGLRGRLLNKALHHQHERIYNFDRQ TKYGEDQDSTQKELTLKFLEMCHYDQGGRIFTYVLTLDGLLRFTETGKEFGIDLLSKH TMHSDVNRYIAWSGEFLIRRLAHPDQSSTATHPPDPVSGGPPEDDPPKDPSRYELIID NDSGTYRPDKKLIPVLTDFLKKNFVGMRVRVMACDDDKLSKIKDDQRKVKSKEGDHMV FGQTSSVSSLADGDGGSISSSDEEDLERRARAQDEAQGEGTTKGKLDKGVEALENPKD TLKEAVDDVKDKSGRTGKKEREKAEERDDQA L199_007250 MPNSFSSPPLRQSRSPGSRSTSSLSFHSVDTPPLPQWDTPTSPY TSMTRSSKYVPSPHRAAPPVPPLPSSSPATGSFGVPFSSASASASPIVRSASSSSSSS TASSSSTTSQRLSTPPPPVRTRRTSRSSSKLLPALPLSIATTPEHHHSPYASPAGSVR SKRVAVDYTSDGIGLGIGLSDEVQIVVSREGRRSRAVSVSYGSRSNASSEDMACLPTP PITGSSPSPSSMYDRTPSRSSSRPTVTVPDSGGTPPRPARRATSAYPSPASASASASN SRRSPLLAPRPISLTPQSQSDLVPPQTSFSRSISPSGDSISTITVSPASTPRPFFTDI SSKPCVTTAPESCSALASALEEPSSSVPLGGRKRDSAQRRLSALRGLVANLDFNQPWS FSPNTLSEETLFSPQSEFEETQEDNQEVSYFWTDSTDHQDFGAEPPSQGSDESFIMTS SSEEVLASPVHSISHPIRYARYDSVKTVIQPDIAESHGWPEPKPQPQQPIRQIGNSPS TLTLDSPRIDFTPVRRNSASSKQPNSTPPRRPRQFRSSSELLSRTPEPPRPVTRARKE VFEVASSGYSKSLEPVSPILPVTPTSTWRSSLPNDEIYNRLLENYGPMEIKRQEIIWE MCETEHTFIKSMRTVLRLFATPLKTPQGNWIDGIPGKITDLFDSLECIAHAHGVISAT ERDMRRRSDILDVANFVSTFKAWVSRLEVHEWYLIRFESVVSLVEENVRDPDSVFGEF VRMQMKEEVLGSMSLGSMLLKPVQRLTKYPLFLKRLLDATPHPHPVHPEILSLLSTTE SIILNLQATKAREEDFEQLQALETRLIGLPENFHLAIRGRKLLGQGQVVRVPPSKDLS SAFGARARAGSMHSSRGSISSSVSSSAPSTVSSMSPWDFSASLTPSRTSAFSVSSNGS SLYSGPPSRSNSITKPSSSFSSSPSRPSINRSPSSTSSFMDNNSYYASSRPSTPSSTK NRKKEEVLTLLVFDDLVILGQSVQEKSGLFGVGSNKKKGSSTLRVLGEMEGGIGKVVE VKDWSGWNGYSNLFSLTLIPISHSARYPSNPITTAFTLPSYHSNGTGMGVLSTSPSLR SLKSSTTSSSSSTSSHSGSNNSNIHGGLANLNCPILNSMSTILGMLGQVSTSGMGPGR GSEYVIEEKEVLAGDLVKEIPDEEEEGDGEVHELYREMQGEWSGMGMGYAT L199_007251 MSAEIKQLVDKTIKENKAVVFSKSYCPYCKRAKSYLGEDTSDIA ILELDERDDGSAIQAYLKELNGQSTVPHVYLNQEFIGGSSDLLKLSHDQIKQKISA L199_007252 MPLPTSGSIPLIPPTSEHPPHPAYLIKFPEEVWQTLQEAGSGGL EVIVTEDGKMILSIPNLPPIPLDPRSTGIPSELHSYNPSSSTLSLAAMASTRLNVPLT SASTARAADKLKAQNEAIERERKERAIRVEGSAPPSKKRTAAGVAAAAPGVSSAGMGR TNSSPQMGSSTSAPTQGASTTGTGTGTGQMIPLKTRVMQLLALGPTTVQDIVRRVGGD EQNVMRVVNVVGRASSTHPPTYTLLPNQYSKIKLGPGQWKYTYAEQQQVIRLAREAFD ELELPFDAEEREELDRKEAGLENGGGYHSASAESSNGSQSQVKEKPLPTVPQNGLSIA PPSRNESPAPSASGTTNKTRSTRKSTNGGGGTGGTTAAGPQSRIARERAKFMAEKQRS TSLTNTKPPDGTASPGLTPADVKPAKDNNKTKIEDKKEEKEKVHGSSKNKGKGKEVDY SSSSEEDDFEEPLRGRLAAKSNGKEKERRDGLHDQGKSKDRLSLAEKVREKDKARERE REKERQEQKERLTPNHKKRSYSSSPDAGGEEEEEGEIRGRPIIKTRRNSSPTSKRKSP PPELKLNGANTDTSTKSGPSRSTAVSKDNHPVSAPLPNTNKKTNGINQLDRPDQEALR DRYEELYPAYQQLTKKLSRIHQAAESGMKVNNENEDDNGITDENELNKLVGKWQKWHN ELEGIRRWFV L199_007253 MLRNSLSILFALLFTLQLISATAINRWDERLPCKTNAECLKKGL PLRKPSPVVKRGALHPRQSNTVSSTYRISVFRGQGVVGFLDKTPNANGQYTMSQDASQ RIIVSEPSLTPGGLSISNYVSNNGYSYSTLAAQIGPNSGGSILSTSAYNYAVITASTS SFDSTSGPATPPNPSYTLYGVATGSTSPLETNTWYQTAAGSDNLFTNWVNSDGTTLDR PQIMYDTVNANFVITGCATCYQAQFPNNNAYAVDFARAKVTT L199_007254 MTYSKSISGLLLFLALGEVTMIQAAYNSLLFTEDFFPLINSRLD PIVNPGKVSGHVHHVVGGSAFSASMDYASARQSKCTSSNLNCDLSNYWTPQLYYKWRN GSYTAVTGDGMTNYWKYPLTNVDETTPFASIPDDFRMLAGDINRDDYDPNKAVSWLCV DAQYSYDYTDYIPMDRECLTLRPQLHFPECWNGVDSYKEDNSHVAYPIDANPEGGVCP DGFKKIPHLFMESTYHIKTENIGEGYEWYPGCFVLANGDNNGFSFHGDWLNGFPTNFL VDAFDQCYDEGVGEFIKDCPYITQFRGDIGRDCVTEGDVINELVGQHFAIPALPGNNP EYNSSKYSDNYPKGSIPGYTEQASIVKASDATGGFCVTGVCTDYVGGDVVVQDAAAPA TTAGTGTGTGNSTAGMSSSITEVPDATASGPTTADSATAGTATGMSSSNSPTATTSDL GLDATMSILPISSIEPVDASESLPTLGAVETSAAPSLTTSHRWGGSRWSRRSGKRRL L199_007255 MGRPTPPPRLPSLPSNPRPTHSVSSSTAPPPTTPRTNSETPSTP RRNLPATPRSHVPPRTSGSTFGPSGGGTSSSDLNDSLGLDDIADSAGEGLPAYLEEAD ENEVTVVEPTGGIEHVSTPQADQDLDQPMQTDEQTHGDIAGRQGGASRFGRWREWVEK RAVERFDSDPDRQARRNVRRQLPEPPQPPSYNDTLPSNYHPSSTSTSSPAQPLRYLSA GAKPTILPDSSLLRIDYGQSLEPHSRYSINCAYPVPNSNLVILGTSHGLKVLDTDLME DTTRNIWFNLPVWEIHPLSLSTSTSKGYIIMLVGGAEEISKPTLDSKPKRNSGTQVRI YNLRSLISLAKYSSVQPASYFGIDLSSNKGKEKGKGKSRDKDSVEWTMIDRTSSISSI SQHQSKDDLDKAWSDDYTILRSGKPHQNQSQGDIMLITSYISQSRIFVAVGTSNHVIV HGAFPPVQDHGSQEMLEEQIRFTASRTFYLPCQPQHISFLQLPSLPDLPISPSLSSLP SGGGGGGSVLDDSASLFSYDDRASIRTGNSNGSSGSNNPPAFNDNVENAENRVPSLGL YVSFGSKACLIRVNDSTVLDLKLKNSTGSTSVMGMGMGGGSSKGDWGGSETLRLKGGG EVYVITRGKETFLFSAPFDIPSQSNTPLYTVLWPESPFSLSASIEYATSSSRQSPDEG EDVNIRLISTSYTGNLHVQHLDFSTGRSGGGSKVKCKPFGSSVLGNLAKVIMTEQNPQ EDQEEPNGKERDGCWVRYRRKEGDWRVVKLERE L199_007256 MTQPSTPPPPAYDPPLPRRPSSPSALPSPLTSPVSAPATYHHSQ QIRPLLQRSKPSLLDELLPTPTTSSFDRNDPLPHVIRRAGSVAVIAIFLLSITFMAST SSSSAGTLRNGSVSGLREVFGLGSQADVGQWIGDLPSTNNENEQDTTLGEGYSSDTDS ETEPNDQVRPDVDFDHYKMLKTLPPGTIDVNSAGQRLIIIGDIHGSYDPLIRLMDDIS YSPTTDRLIHVGDLIAKGSKNNEVLEWMRERRILGVRGNHDQAVIQWRTWMEWAGGSD GDWQAYVDSLSSDDEKAVKKELKKQRKEFPDGWKWKGEHWEIARNLPQNLYLYLLELP LILHLPSLHTIVVHAGLLPSNPLKSSSADIQPLVQFSNLTSDMDEEAIRNSEEVSIIF NVPQNTVPWNLLNMRGVYMKGKKKGKVTKSGKKGTPWSDVWKKEMKRCKGKGKWLVDG MGETEAEKTHEEDDKIELQDEDRKRQKPGSPTVGPEEEGDLGCSPVTVIYGHAAGRGL DIKPFSKGIDTGCVYGRQLTVLVLGDLKGLKGESVRVGDHQGILVSVQCGEGGI L199_007257 MWTYHGLVVLLATLTSITAHLGIATSPSSSGNLHRIRRDIGSNE VIRGVNLGGWLLTEEWITPSIYTNGAEDEYHLCAGLGKKKCLSRLEDHWKSFFTRDDF EEIKAAGLNSVRIPIGYWAVDVRDDEPYVSGQYPYLIQAVNWASELGLSVLLDLHGAP GSQNGQDNSGLIGPVLFPTNTSNIERSINVLKNLTEEFTKEIYGSTVIGIELLNEPRL SNNFSMSQLKDFYSDAAQAISDVKGDMNVIMHDAFWGPQYWSNYNPLSNSTSSKSNNS IIDTHQYYAFEPLNNLPHDVILEKVCNISKILKNPDLGMLPVLVGEWSLETGHSPNSS SSSIQNKDDSREKRTWFRLFFEAQLASYSPSSIGDQPSRGWYYWTWKTDYDIDTWSYR RGVAQGYIPKDVSNSSTLVFPILDNGCVDSSFNYTAPKNPGSDAIRHCPNGLLAVSMV IVTISCSCIL L199_007258 MEARYHIQNFTDGSATEPHWGYADRIVPCTNDAGSCEYLDVVYH SHDLGMLYSGILWSAIIGGLLLWGIIHRSHTSNRITRTINTFIRKRLLPDIKFGFGRY FFGRTTRLQVLVLACLTVYLTIFSFVGIRYKIWKTPVKNYPDLHNTRSSIGPFADRVG ILAYALTPLSILLGSRESLLSLVTGVPYQQFNFLHRWLGHIILLQSIVHTIFWSIVEI KLYQPQPSTAKSWITQTYMIWGVVAMILLLLLWGLSLEWSRRKFGYEFFRKAHYVLAM LYIGACWGHWEKLKCFMIPSLVLWLLDRSIRFIRTFLIHYKILSNGKGLFETIQAEIK HCDDEDVIRMEFDNPNQLDFDIGQHFYISFTDAKRGVWQSHPFTPLSLPGDKHVYLIR AKKGETRRIMGLDTSTTPIILTGPYGVDIMRDLGNEDNVLCVAGGTGITFVLPVLLHL SKYGLTQGRMIELIWVVRHQRDTRWIENELEELRKDGNIKVTIKVTREIAIPSSSTGT GTSTPTAGSVSSKDLDGLQQNQEDGNGAMLSVSYDKELYAECPCNPPVYTSSSSSSTT PQLLDRTQGKSGKIVDAEKAIEEGIEPHHRPDLRKAVKEFVGRTINGPTVVYVSGPGG MISDVRDEVALCNDAGRLWDGEERAEVRLIYDDRLE L199_007259 MDMSSSSNSTCQMSDMLWNWDVIDTCFISSGWHNTTRGAFAASC VGVVFMAILLELLRRISRDYDQLILKQLSTVSIKLQNHRPRRATPLQQLIRSLIHVAI FGLAYLLMLLVMSYNGYLIFSILIGAGIGKFFCDWLVLGVESTTNEEEPTVCCG L199_007260 MNLKTYRSAGSIEADELTPEEKKDVTFHKDIWTADSCHKPSGTH LEDEDPADLSLERDPDLVWITVPAHFNIDNQYITYSNEMQTHSIYAAVGIKVRLPPAK NRSPIGGLNSHNKRRRED L199_007261 MPPKETDNSSYRSSSHLGYGDFACGGFFSSTDSARLSQLRLFYE TVKDSVVVGSPKVVELPLDTRSAIALYETGELSSFGRGKELVYDEGYRQAREIKSPRF ALSADFLVDSAIPTILMKKLNYTSPLSFRINKMNMYSQGGFFKPHVDTPQGKDHIGTL VLCLPSHFRGGDLVVSHGEATVTFDWRNQVKDGDIA L199_007262 MSRALDRIPALLTPKTPLDRNGGEGEKADLKQSGDTEGHSAQLP HLPQNPDADDSSSSIDIEQSEGVTKIEALYLVFGNGWKLWTLWGSIALICIAYSLSQM TTYAYAAFATSAFGEHTILGTISVITSIMSGVAKPFIAKCADLFSRPWALAISVLFYT IGYIVVASSQNVGAVAGGEVIYTLGNTGINFVSSILLGDITSLQWRGFVNGLYSLPFI PFAFVAGDIAASINAYSVNGWRWGYGMFCIIVPVTIAPSIAVLFWGDYRAKKVGALSL ASSSYARKRLLSGTTQARKTPIQLLIHYARQMDAFGLLLLTFAFGCILSPFTLNTTAK GGYTNPSLIALFAVGGILFIAFCAYEWKVASHPIMPRRVMNKTFICACFIDFMYYFSG SLSGTYWSSWLYITKDYSAEHYTYLTNCLTVGLCFFGFLAGAVQRYTHRYKYLQLCGL SIRIIAQGLVYLSASKSTAGTTATIVMGQILISLGGGISVISSSVACQGSVPHQDMAL AMALLSLWTSIGGSIASAIAAAVWNKQVPAKLALYLGDTHNSTQLAEIFGSILVARTA EPRPLVIQAYNEAIRPLYIAALVTSTLSLVAGAFTTNFYLGTTHNAIEKKEVVFRSAD ETAPEVVAAKAREVEEKIAAKLAEGEPRH L199_007263 MSDPSSSGSSSSVAESIAKAARTAFEQSQLVDVSERDLALRAIR EVLEAKKDEVLKANKADMEAAEELLSQGKLSKSLVSRLDLSRPGKFDAMLQGISDVAS LPVPTGQVTFAKELGPGLDLHRVTCPIGVLLVIFEARPEVVVNIAALAIKSGNAAILK GGKESLRTATILSQLIAEALSKTSIPPTFIQSVSTRSEISSLLAQDRYIDLVMPRGGN ELVRSIQNNTRIPVMGHADGICAVYVDQSAVEEKALRVVVESKTDYMAACNAAETLLI HSSLLSTLWPRIASELMKNNVCLRCDPSTLSAIQDIPESSKFVTASTEEDYHTEFLGP TLAVKTVDNVNDAVKHINSHSSHHTDSIITEDEKSMSIWCNGLDSANCYVNASTRFAD GTRYGLGTEVGISTGKTHARGPVGLDGLVIYKYMMRSRSDKGSTIADYEKGENRYTHK DLVKSEPPF L199_007264 MSVFNTLPDWPPSLTPEQHSHLILLSSTYALSHGFTLLPPSSNQ PPTSAIAAPLSLFPTPFPRDLYALAVSLQPLYNALYARITLDWDFLDRVMGGSVSKVD TFQGELWRGWKSIRNDLIQPLQLGLFRSDYLLHDGEEHGISIKQVEFNTIAASFGALS QRAGEMHKYLSKATNGFFSISPHLSDPSNFPTNEPLKNLAAGLAEGWKAYGNKDAVIL FVVQDGERNVFDQRWLEFELLESHSIPVIRHTFSELSTLAQIDPTTNILLLPSPLQPS LPAREVSVIYYRSAYTPTDYPSSAEWSTRLLLEKSKAIKCPSMALQLSGAKKIQQVLS EPGVLEDFLLGDHRPDVGFGKGAGSLTQEDVDRLRLTWIGLYPMDNSELGKKAYALAT SQPEKYVLKPQREGGGNNIYRENIPGYLEQLAEEDKNRIEGEPEKKEGYILMELIEPP KGLKNLLVKGGENKSRLADIVSELGVYGVSLFGGKEVVNQTAGTLLRTKGRESDEGGV AIGISSIDSPLLVD L199_007265 MDDKAEKSIVPPQTTPSNGYGQTVPVQDEGDNVVARLEEMGYKQ ELKRNLGMISVLGLSFAIMAVPFGTSTTLNIALTDGGPVTILYGWIFVSLVSLAIAAS LAEICSVFPTSGGVYYWSAMLSTPKYSAFASYLTGWLGTVGNWTVTASITFGGSQLIL AAATLYHEDYVPTAWQTCLVYWGALLGSLLINIFCTKYLDKLNTICLYWTGASVIIIV TLLSMADNRNSGKFAFSHFDASPSGWPAAWAWFVGLLQAAYTLTGYGMVAALCEEVKE PAHQVPRAMGKSSVGKSELTCAVLSVAAAAVTGLVYLLPINFVLPDITPLLEVASLQP MPLLYKIVTGSSGAALGLLFLILGIWVFATIGSLTAASRCTWAFSRDGGIPGSGWWKV VNPHFGIPINSLILSTVVCALLGLIYLGSSAAFNAFTGVATICLGCSYAFPVLCSLVR GRKMVRNAPYSLGKFGFIINLITVVWITFSIILFCMPTAVPVTDPASMNYASVVFAGF STIAAVWYAINARKHYHGPTFSAVRVDGPR L199_007266 MPTAISSPSSISSPRPRVISKKLSGSGSTAATPSGSPLQPSRTI NAPRKSSSLVMLMNDDAAEKAKRRKSAHFGELAPSAGEPKERPGLGTQGNGKRTVSAL AVQQASSGGQTMAQRRAKRLSAVEPAQPVVSMEVMNTNFEEWMKLATDNKITANNTWN FALIDYFADLTLLRNGPDDQSINFQKASCTLDGCVKIYTSRVDSVATETGKLLSGLAG GNAADDADGEGGDADDEEGGEPKTTRKTTSRSEATLAKSFAQLQVKKFDLEFTVDPLF KKTCADFDEGGAMGLLMNHLGVDGKGRVVFDAGDAGGEEEEEEEEADEEEEMVDLEKL RDFIPSVETVQDLYISDTLSAFKFSSDPDSAVDFTTLFNLKDSYNDEEYQPSYADDEY DEYAPGYMPGGGEAHDFFGDEDYDMGPTGGFDDGASMMGDDMDAQEAYSGQGMGGSLA MAAPGERLGPFDPRRQAGHGELVMAFGNGGDDDAEGMFDYFDKGFGKSWAGAEHWKLR KVTRKDAATPASGSTAPTKTAKPAKAPFTIDFSSPSTSATSSKTLFAPATKSSITLPS TRTASSRSNKKTSAGKRREEWLLPDDMHFSSRQLLRLFLKPKFSLRMRRSGAVTQLAE NANGEIDENFWAQAAAERADAGLEGDGMDNDSQPIPFETQFFHDDMDDGYVDDGLDAG DSANMYDSNEAEDLLQGSQNIELKKSRPENVNFAKKAKRVDVKRLKDDIWTGLKSLVP AEKDSSEDETELPKTPTKDGNEPVKTFDNIITSLRSSYPAEKMSEISTSFCFICLLHL ANEEGLKIETARYDGKENEDVGCQGLVEGYEGDGSLFGFGAKGKRLDQGEKKDRIVGE LQALRVYKDHTAGRAA L199_007267 MSTSAAKVRPPFTLKVAQTKDEIEACYDIRIEVFSVEQGFPLDT EIDEYDPTSIHFLLTTPIPSPPSEQTLIPSLSSPTEGEGETTEKPIGTIRYVPSLSKL TRLAVDKEYRNYGLGKVLVDGMHKWIQDNEDKLEKGKIVQKEGGKKTIKVKCHSQIPA IPFYAKMGYVAEGPEFDEEGAPHQLMVYEVEI L199_007268 MARGGAPTSSRGRGKFKVSRGGGRQFSRDLDPRLAEKPSSSEEE SSSEEEEEDEEEARAAAHRAEVAELAPEMKEVNLKLGNTELAEPESDEEELSRAERRA RKKAEAQGKTKKTVTIQEKDESDEESSEEEVSPKQQQQQKKKASAPAVVQQSRKEREA AEKKAAQDKYQKLHAQGKTTEAKSDLARLQEVRRRREAAAAQRAAEAEEAAKEAAAKK EKLAKKI L199_007269 MTNTVTVSPASVERVKGDHWVNDKGTAFKNPWKTFTSFGAMEVM KIIPTMAWKAWTNKDPAAKNAKKLIPYIQPTYGFDLPSTELKVTWLGHAASLVEMPAR EDSEKGLKGRGVRVFFDPVLLDVIFHGVGQKRLSTNVTKIDELPEVDAIAISHNHYDH LDLPSLKAIFANQKSKFGKHPKLFLPLNNYHVVSGLGLGRENVIELDWFEERQVLVDG VGEVKIACTPSQHNASRVGWDKDNSLWSSWVVKDTSSNASVWFGGDTGYCITTEESHS LEDLPKEVCPAFKEIGDRLGPFTVGLIPIGAYEPRALFSAVHAAPIDSVRMFKDTKCQ NAIGIHWGTFQMTYEPFLEPPERLKTAAQQVGLKEDDFVVVALGETRGYTV L199_007270 MFARSLFLLTLMVSPVFSATHTVNVASNKSLTFEPSEVKAELGD IIEFRFLAGNHTVTQSTFASPCTNAGFNSGQIPGNPDSPTSYSILVNDTKPIWVYCAT GQHCQNGMVMAVNAPTSGNTFSAFQANAMGGGNATTTAGSSTGSTSISASLSSSAAAS GAGASEGVTGSGTAAAADPSASASASSTNGTSSSTSSDSSAASLEINLVPISLVTLLA LWFTLV L199_007271 MAPQLFTTDATNTGSTNQPTITSQQSSSPIESSAAPSDVESTSP SPSPSSDNQQSASASPSPSPSSDPSPSPSNVESSASVSPSSSPSQSPSPSASESASAS PSPSESASASEQQSSSASATQSPSQSASESASASASQSDEQSSAQSSTTTSINQPSLV SSTAESTYTSAVQVTTTDAEGHTVTTAPSLLTETATSTDASGQVYTITRVVHNPSGSL SASGSSSDSGFFSNSGAVAGTFVAVGLVITACVIAFAIFMLRRRRRQRLDRDVAAAAA AAAVAAHHSSRSAFDDDEEQQQPSMTQYGGYYASTTPGIDIHGQPQPDLNGGYHDYED PSGGYDPYAINMQNLNPQDRMSTATAPGLAGFGATAAQQNYDQSQHQYDHDQYADTPD YSQPQSHGQDDHQQDGGNTNGYYFDPKQAYEYADDEDPYGGYDQNRLSSQGPGMRPPR PGSAGSVTRPGGEERGLRVANV L199_007272 MATPANLPPSVQKVEKMGEDKIQDLVLDNADSTESNLRYAAYLR RARDIVRAGSRYTAYTSDVGEAFRPVVSPWVVTAAYGVSWAYLIGDVSFTTYKSSQFG PTPLEAANMSEPTRLAMVAVKRSVFQGIASMALPAFTIHTAVKQAGRQFAKSSNPTLR RWGPTSVGIGIVPFLPYLFDHPVEKLTDLTFDKIEETFFSGNPLPDTPTSTPVKPGRP REL L199_007273 MPETQRQHYETSPDRMVGKYSAINGGSKPPNFLQKLYDFLSLEP HPCPDIIYWASDSKQLVIAQPDRLAKEVLPKLFKHDKIASFGRQLNIYGFSRLFPGRQ FKDSQGNISDASVWAHPTLNRLSTPSELLSIKRRAPPKLIRTRRLANGEIIRTKAGPG VIEKARQIKEAMSISKNRERSSSSSLWNKQSQPQSQGHDDHGENMNTTDQSNMNINHH GVKRNITLLSDITEYSENDTTGIPNDNGIQSSADTTTTSGSIWPHVDMNGSSIQSPAR ETSSLHSQKPLPNHLLILGERPYSSCPASIHTSPTHRHTSLPFESTYSPSQFNTLTSS INSCSDPGPVPDLMMKTYKPNLTIDTNAAAYYGYTNSPLNTNEAYGQLQYPAQPTANL PPRIAAPAAPIPPHLLQNRTRQNQFQAHDQHQSPNFSINTMPLSGITSSVMLENHNVG ISDDTHNELLSSWDKMQYTWPKTCQPQPQPQPMIKPMIIGNGNGTIDPRWVSPVGSEW STPSITRLSSPSNLSLSLSFNGGLSSRNKSNETSIPIQSYPQTDLKEDKEEKDEEGKS IPPFDNGMKWFE L199_007274 MQPPPHLFIPPASGIYYPPVLNDPITPHSSYLAYAPKTTPNGPY RPLAIPSEGRDENQARAESPRGVISVPSSRFRKSKHRAGSNHTTVRVDQPLTATSTLD YPPALPPKPTRHTLKPDPDQSSSRTQTQQASQPALRPSSPTSKMFGGRRANPLPPRPP PRHPRSPDEDYGYERRPIDASAPGWYVNGGRADSPRPHTEEFEYVYRPHAQRQEREYF RQRVFADSRKSHFGILGNRSRVGDVEDRRSSSPPTEDDEVEVPQIRRHRQIRENDVSG PSMSTGRVRGATPAPVPPIPSTRVHSGTSRPTRRSATSAPAAAPTTRPRPTAPRASVS VQERSTHPTTPQQRPTRPDPSQSTMRSTASAATPPREQRLATTTDLRPAPSPTIALAA SRDTQFEDDLEEEMTCPICMSIMVGPYQVTPCGHSLCGGCGVQWIQTRVSTGDRVNCP TCREPVDRANPLTPARTLENLIRKWIDNKITTEGEWEGLAEFKEREERVKECWRIHKE HSPEGIIPPSLLRPVPPGIAVVERTPSGSRMPRAEFPIPHVSHMMAHMDSVFNIFHRP NLLPVPEDSSERRERRLPSDLAFRINHWLDEHPGIMDHRFHFGLEPPASSGSVSGRQS GRPLPRLDSGTQSPDFDHYWRDLFEGIHGEGESDEHNPVRRRR L199_007275 MDLSTLGSTLPPGLADAERDMGDKFRAAALSITNLYKSSLGYTK QAYNVGYSAALADVLSTVQSSIGAGQDAEQTLSRLMDWADARQAAISAFAAEDTDDLP APAPITKRPTAIPRNSHLNPINRPASAPVPSQTSTTFKADSQPIASTSRNVQPLPSTS NTTTPSTNTLESPSVAGYQPTPGGVMSSSPMASPSNNHHRSNFSALPKPSKNLPSRYS QLNLNASGSGTSSGHVPSTTFNPALPSAGVPFVSFTNNTNATDEGPAQNYPTGTKRPM IDSMEIDQVIPIPSPSTNTSATSVPVAIQTPPNRSGRSAKRRSMGNNLGTNDQDNNEK DKDKDRERKRGNRRGHGNGPGTSAV L199_007276 MSLHLDYAEISRQARKIVDANRARSIIDQVISDPSSKQKVKYTR STTGCLSCRSHKVKCDETIPACLRCVASQRKCEYPPPSAENKKRKSTSGAGSNSNSRG GRRSTSARQEEDESDGMGMTTGQKRVRRDDEFHTQTRGESSSTRIADDRDSPRRQPVQ NIDGYGNGRSPKSMSLIGDDGSKVDPSPTDHSRLGGPSPMDILNLTSTPDFSFLNDFI PFPHITPPSQPVAPPPPQANGPSSSAHNHIFTTSPSNATIFTNDLTRPQQDYQSNPNT GNGGISAPRSSTHYQSHGQGQSQSHSQHQNYQQQQQQPQHTLPHFENFSKSLLLNRRL IKVNNNGNSCNGSGGSQSDDGKRSLTPQMQMLHPRLQMVESILQAYALDEALFEFGPS HRHRNLSNNTLTAGVIDVLSNAFPSPTARMLFHHYCNTASRILITMGNIGPNPLLALC TPVKLLDTNSAASAAIRMSMLSTGIAHFIHETGSSLKNTELAVTLGKGQWERMRGKLK EISGKFKKAALSNITLAASSERSPDQVDSILAACTLLCIRDVISADPTWRDNLEFALN LITKKGGPQVMLQGSEYTFTRRYLLENLATHDVFASFITGKEPSMLGNYDSWWFDSVE TSQTRWEWESVERSFGISRAMVDLVARIAVLDSQKRRLGLSLKGDSEEMWDVGQHFER ESHCLLLELDIWGNSLNALPQHVRVTCGDYIYKYMAVVFILADILEQPTSTPRIVKSI DHILELISEASAMRMSVMLIWPLLIAGVFSLPAKRQKVVELFHALSDDYCEDLEVARE LLNEQWRLIDSGQGKQPWDQVMNKLGKYVLLI L199_007277 MAFASTGLPQNYDRQSQYAGTGLDRVVSHPHYTEEHEEDTLPAP TSEHVHQHIGVLARQMSRVSHHNHHHHHQHHQHGHHDSDNTLHEGDDEEINPFEYREG SDLDPFSDKFDSKKWTRGFMRAKEASGTTRRSGISFKDLAVHGFGSDADYQKTVSNLP LAMIGGVRDFIGNRKRKVQILKSMDGVLEAGEMLVVLGPPGSGCTTMLKTIAGEMNGI YLDEASHLNYRGITPKQMYKQFRGEAIYTAEVDVHFPKLTVGDTLAFAAEARCPRNLP NGLTPKEFATNMRDVIMSIFGISHTVNTIVGNDFVRGVSGGERKRVTIAEAALAGAPL QCWDNSTRGLDSANAIEFCKSLRTNADYLDISSVVAIYQAPQSAYDVFDKVSVLYEGE QIYFGKTTEARAFFENMGFECPEQQTTPDFLTSLTSASERKPKQGWENKVPRSPQEFV KAWKSSQEYQNLLMEIEQFEQRHPVHGERYKEFLESRRAQQSKHLRPKSPYTLSYGGQ VKLCLRRGFQRLKADPSLTLSALIGNFCMALIISSIFYNLPDNTSSFYSRGALLFFAI LMSAFSSALEILILYAQRSIVEKHAQYAFYHPSAEAIASALTDMPYKITNAILFNLVI YFMTNLRREPGPFFFFMLISFACTMVMSMVFRSIASLSRTLTQALAPAAVLILALVIY TGFAINVDYMKGWARWINYIDPIAYGFESLMINEFHGREYACSAVVPTGQGYESATGT ESVCSSIGSKPGSMVVNGDDYINTAYQYYHAHKWRNFGILIAFFLFFTAIYMGATELI TAQKSKGEILIYPRGKVPKSVEKRSADDEESQSDGKGNHLSKQITGADRADAPGVIQR QTAIFSWKDVVYDIKIKGETRRILDHVDGWVKPGTLTALMGVSGAGKTTLLDVLATRV TMGVVTGEMLVDGKQRDVSFQRKTGYVQQQDLHLETTTVREALRFSALLRQPKHVPKQ EKLDYVEEVLKLLEMDAYADAVVGVPGTGLNVEQRKRLTIGVELVAKPALLLFLDEPT SGLDSQTSWNILQLLKKLQEHGQAILCTIHQPSAILFEQFDRLLFLAKGGKTVYYGQV GKKSHILIDYFVRNGAPPCPTGENPAEWMLSAIGAAPGSHTDVDWHKAWLNSPERQEV RNELERIKLTASSEKHSNGNTTSSKEDKAAYAEFAAPLNKQFGLVLTRVLQQHWRTPS YIWAKAVLCIASSLFIGFSFFKAGTSQQGLQNQLFSVFMLFTIFGQLVQQMMPHFVTQ RSLYEVRERPSKVYSWKIFITTQIVAELPWAFLMGIIVFFCWYYPIGYYRNAVPTDTV HLRGAIMWLFVETFLIFTSTFSTMIVAGIETAETAGNIANLLFMLVLVFNGVLVPGDS LPRFWIFMYRVSPFTYLVEGMLGVAVAKTEVICAANEYLQFTPTAGNTCGQYMEGYIS AAGGYLLDPNATDKCSFCAMKSTDTFLAQFGISYDNKWRDFGLMFAYIIFNIVAAVGI YWLARVPKNSGQEKASEPEQLDNTPVPAIQEKRITESDHTSSTN L199_007278 MPRPSLPRHILLRRSARSLPLQPPRTIPFRSITTTTSSSSPSPS YPRQNTPSTSTSSFSTINASEISHFSSLSSQWWDETGEFKLLHRMNPTRIEYIRQKVA LSSPEGEEWTFENRHSDFERESKRGTGLWLNGKRCLDVGCGGGLLSESLARLGGQVVG VDASESNIGIATTHAQQDPSLSTKMQNGELRFVHSSAEVLRDAGEKFDVVCAMEVLEH VDQPGEFMKSLGEMVKPGGHLILSTISRTPLSQLLTLTLAEDVLRLVTPGTHTYRKFV KPHELRKFVYSDMGGYGTWHRNEDASDIRTEEVGETRGIVYDPLAGKWKLWGGVEGSA WKEVGEGCNYMYHARKRM L199_007279 MASIIPTALRSNAKIAYVRPVILARAPSLPPTCSFTRQASSSSS SFGLRAPAPETHPLSYKDGTIPYRTVQLVDPSTNHLLEPQSLRSILSTYDQSTHSLVL VNVDKEIPIVKLINKLEERKKERDSEEKAKLKKRMSMEEKEVQISWQSAQGDLLHKLQ LAKSLLEKGDRVQIVFANRKRGESIPENKKMEIVSMFHEELEGVVGKRWKDDDKQRGL WVVFYNPLEVTRNQVQNKVKEQETNKKLEKYQKKEERRRKEEERRAKAEARAKAEAEA EVGGGVGKGGIM L199_007280 MSQTTVIRHAPLVGYPENSLYTVKVIDGTIECVDEEVSGKYVNG YDESTVEVIDLDGKEWLSPSMIDWHVHTKLAALHSNRLNLQSCQSAKEVLETVRLALQ DPKYDPSLEKNLVGINMRNSNWPDEDLIALKRLDELSPDRPLFLLYNGYHSMWCNTLA LKAGGYDPKVHTGHLEEQEAFNMFPKLTTASEEVVDGWILGEAKKAAAMGVTEIVDLE MEHNIAHWQRRYKSGHDYLRIHVGMYTQHINDAIKLGLTSGDDVPSTDGLIKVGPYKI VTDGSLGSQTAFCHDAYPGSKDNHGIYYYPPSTLKEMIENGLKHNFRFAIHAIGDQAN HQTLKTISEASSKLRSGSTIEHAQLLDFDVDLPVFKQLGLIASIQPSHLVDDRDLCHK FWPGREHRAYAFKSIVDAGIPIKLGSDAPIAPMNPWEALAVCITRAGENDEGNPFVKE EILDLETAWKASTSNGKSKLEVGDRADLCILQSNPLKQDGAGLRAMKVNGTMLGGRWT HKTF L199_007281 MSVEYSNLNKAKRTRRSKVKSFMDRLPYYFSHVILISTWIMFML FITLGEVLLKRREIGRFVEQSILYNSLLFLTLISLATTASRSPGVPDQSLAPPIKQLQ DQGIRSGRSGNQLIQKNAMEEYPPEEDIDDDDDNVPLRYLRNAQWVNTRIGKDRPSPL PLPNTRTHPPPTGIDRTEEEGGEYESATDSDSGSEFSPFPLSAKSPFVPSTAVDAGED DEDVLEDLRLTSTTEGTEDMSASLLRSESSEKIRGRSLMAKSNNGETRWCKNCNGWKP DRCHHCRHCEQCVLKMDHHCPWVGTCVGYHNYKPFFLFINYALLLAIYATFEAGYETY RFFQDPSEAVPYRAVQMEVNQTLDTASIAANDNWSEGLGISPAVFMMLTIMGGFMSLA VGGLVVFHWYLVFNNQTTLENITHSYPSALLDETPKGAQWKADHLLTRSERNRLKWEA REINVYDLGWRKNLKILFLGEEKDITMLGMIQALWPAGRPSRYDRRSGHFFAYNPHKF EELRDLTMELRYGVVPEGQNKERSSMDNTVDGDEGEGVVDQRSRDQHDEGYELDQVAE KGLHEGAREERRGREGDVKWFEV L199_007282 MSDIPMDSTSNPTGAATGGVSQLPINLFTRSSSDAIPQSTYFIP SSWRRYQLSELINKVLQNNAEQGKKPVPFEFLINGEVLRGSLENWVKRNRGNDEETTI DVEYVRSTLPPQEVGRVEVEDWVSGLSLSRNGYILLSSYLSHLQILPLSSASTSSALY TLPLPTSLGATCCTWISPSSQDKDILLAAGGVDRLTHVYTIPSLSPDNTTAPRELYTL HGHTGPVSSIVASSSGQELISGSWDGDINFYVLPSTEPEDHQIPSEPLSYLPGQNNKK RRKLEKENPREPIEGLLDNDSTGVGGWRRIPDNVFSAHKDKVGGLVWDKFDNNKFWSA SWDGSVRGWDLTMGVNDVTRQGPFDKSALCIDQFAANGTLATGNMDRTICLWDTRQSS SLISLTLPTTSPVPSLKTHPTSSFTLASATYSGIIQIWDIRSPKHSLFSVSNANRKKE DARKVTKNGKVLGERLLAVDWNGEVLVAGGEDGEVGIWNATGA L199_007283 MSALRRARRSTYILLALLLSISLLSPPSLILAQEVEEQSGPEET SISDVCAPLREAQSILNSLAPSPNAHLEHKLTSTITPFSSKGWSDGLGWGHEGPLSTT FRLLPRLLNTLLSPKTYLSKIPVIGTDGKQRKGAKIGRARRERIEKLLGLMEDAERNG CNQVYGVRGELRMFPPKGMKQDLQASYNSYKKYLEHTADPEAQFMVGFFHATGLGGVE QDQGKALLYYTFATVQGYRPASMALGYRHWAGIGVKEDCMLALDHYQTAAEQSYQTFL SGPPGGLTLPLTNTRLSDRVGGIYGPHASWASTGSNAHRPAIRATMASARGETEKEIL EYYQYHSDRDSHIYTVRLGRLFYLGSVYFSHAGVSAGAEGVGEIPRDYKKAKEYFLKV ARNLWPKDFETDGTVSKRRKLSKEQEDGIREPAMVAAAFLGRMALRGEGGKPDYRRAR LWYERAAELGDREAHNGLGIIHRDGLIVPVDKQKAFHYFQASASQDLAEGQVNLAKHH LERGEVVLANAYLEVALRHGATFEAFHLLGKMHAKNVQSADGGGVNVGNGRPGLCGVA VAYEKLVSERGNWEQDFVGEADKAWLRNEKDNAFLGWYIGAELGYESAQNNIAFLLEK GFKLGDSTFKFNLGLEHDHEEGGGREKISEENKEKALTWWIRSANQDNVDAMVRVGDY YYQSPESYDRAVVYYQTAADTQTSSMAYWNLGWMYENGHGVPRDWHLAKRFYDLAGET SSEAYLPVMLSLIKLYAKSWWIDIQTRGAIPGLSIFEPDSNTPQIQISTWTRIKSLFT DIPPVVPQRPGFDEEGFELVADDTERQDNLEEEGYDDYSGSGSGWTNRPDDVDGDDLV EDLVEDLILVAVLCGTVGLIWLRGRWAQQEREREERRRREGGAR L199_007284 MQALRTLPILNRPSRPSSPAPPTVQSTTVSGTAVVPNGDGKPRS RSLSRQVADKVSSLQHHNANGTANLPATVAPSQPLGATGKKGLSPPGSRPVTPRSSAS PLPGVAAPAGTVPEPAGIQAKHMDVIGLRLNEVVNKACAGVDFKAKKGFKKGNGWSVG EAVVKELPFPPNDAYLIRAVLRTAVKALSIYTTRLETLLLPALTDPAFGSALNINAQA PAAHPLNPSQYFVLSVAHAAWETCEVLEQTLETGNWPRFVQETLRPVMDKLDLVVSKV IQPLMLGLKRDLVASLSRNEGTSPSGSKVVGLASIPAPTTAPTPSVTKEHSSAPVSRL TKEISSGGTSRQLAIPPCLQHFANRVDGARKVFELVAAPCADDGEGWITGVMVAVIWK GMCIVSEKDLGGSPNRPPSPGSVAKALAGLGKEKETTPTVVASPSLGGVTAKLTSSLS IIPSRSHSRPPSPPRGAQQLHPATHALMSLEGLVKRLVGGLVQAPTTPSAPQDPNATE HIAREALHEALEALASFRIISNAMYKGASCSTRVLASTRRLRDDIDDPVEESLDDAME DLPSVTLFTILLRQANTALGGLPLGTDEKSAASSGGYKIRHPAEIWGWTVVEYERQVL SGFSAAEEWGRRFALAIKADLERVLSQLASQSVGFAEKPTREVLEAVEWVKALGVACE ARVGVKFGGCV L199_007285 MGPDRTNAVASSSSSSASPIIRQDEADRKPKATRSRNGCLVCRS RRLKCDLEKPECKRCVNYGAECVYPEKKPFNPNIVAEKLAKRHGNNNSKKKREDSSTS IPKDEIQDQAHNSSNNPINTPTNLISSTPNSNVNPTQTSITYTPQPPLTSVPSSSAHS IWIPHPTQLVQQMDSVELLMALCRDTRMGQFFGGPLDPPEFLRKMFPVEEDLRCFHHA LTYSLSILVVEEEPNPWVEHVARLFLVPSGEAPLSTEALKQGMLAMGAIHLSVLEARG STTSSSGRTRELGLSYRGEAIKLLRQAKNIPEEVESDAFLAGTVILSCDDVLGANPHW REVIRLALLAVRRRGGCERILFPDNPYPDVTDVPDKPVSPLLRCLIETMTIGDVGRSL STGDPTVVLTDSSTWWERLAPVDPSEHDSCEGTWGMHRSIPRLMTRVINLTYESADLD RQSFFDHPNQPFIPSNPDNHMAQLALAWLEEKRRWRESLTFRINELRTDMANWIVTVP QTITRKRTKDGSLATWHAFHILIMRDLLKLPREEETIQKSADTVLEICSQVGDKIEWM NFSLLITCTVLISPTQRQKAREVLRWFRVQCCYEIDVLESVAEECWKRIDDGLDDEAC SWREILLEMGCSVLLG L199_007286 MATLLPSKLLGVTTSIVSPTSTPSPDSSSSSPSPEIIKSLSPHG GAPLTGDSSLIPSKYIIDEKGPNSNSNSSSPSSGQSSSFNTHPAKISPSAPPIHLTRA PPSTLLDAPARAAMSLPNGMTASAQARAVRGSSEARTPEYEIDEDGLGVPSSYKNGSG GEERTPLLSPTPTYSSNYNLNMKPSLSRSSSTGSTSSNRGVLRRIFIDRSTTPSQHLT RPTFPPPSLSTYSPIPPKPLTLLAKLNLFINQSISIILSTYFLIFVVLWAFTAECCKA LPKWVWPDRPKKFPWDDENYWKKEGKKISKDPSDYARQVGMDIEHQTVETEDGYYLKM HKVIDPKAEPRSDGRGGFPVLILHGLFQSSGSFVTSEDRSLAFWLAKNGGYQVYLGNT RGVFDMGHRNFSRNDPRFWDWTIRELAMYDLPALVEHVCRETGYDKIAFIGHSQGNGL AFISLSLGMCPSLGKKLSVFIALAPAVYAGPLTHGFPFTALNKMEWSTWKRFFGVLDF IPLMRWAYDYAPARLFASLGYIMFAFLFGWTDANWLHRRKTKMFRFTPTPVSSASIFW WCGKGGFADRKCTLDDSLERWFDNRFPPLSIYHGGRDYLVLAEPLIERMENKEKDVKV IKVTKLDKSEHCDFYWAAEAVEWAYLSFMDDIESTRPKYPDEEESPKEGTETNIDGIE DGSE L199_007287 MESLSPECTPLKHRYDSCFNAWFEGYLQPALAAASSSPSSSSSS TSSTLTPMSPTTPQLPLSTTSTPPPQINQTTQRKPLVTSWASAFPSRRIFARKSLSTN PTPGAQANGQPVQEEEHHWYDFALSEPEPESETVSEAVEGIDTKGKSRAQIKAEEYQR NCGRFWEDYQGCLKNAINQNESLSALLETAREEHPLGSLDGLKGTPWDSKADFTKEQE L199_007288 MTDFKPPAEERPDLTIPTQEPDPVLIVVMGPASCGKSTVGTDLA NALSIPFIDGDSLHPASNIQKMTNGIPLTDDDRLPWLALIRSTAERKCKEEWEKHQGD FSQFEEHGIGRAGIVIACSALKKWYRDILRGEVEARPPPEDDLPPSHSVANPEHKVSH PATTALRTYFVYCEGSPELLAQRIASRKSHFMGSSMLASQLATLEDPKGEEGVVAVDI SLSPEEVAKQAVQKVRKLFGYE L199_007289 MSRRGPQPSFISKSKFDILGDDIDNEEEEEEEEVEEVPAVVAPS ATEAPAAPLSKSARKRLARLASKTAENANAVVDAAKETVINAADSIPVPSTQQNGQAT TSESAPTSTERETVTPPSAMKEESRINGVQEPAPSASIPSEKKEEEASRPLLPNEKSI SSSTSDKSSSVPSSTNFHPTLPETLPGKTGDKLPSNRKRKQPQDFEPSGPGNTPTPSS PSKLGVKFEDGVAPGEGKEGEKTITLPPKPVVKKDRNVIERTTWTFIMIGGFIGLLCM GHPYMILLVMLCQTLVYKEVTALFDLRDHGANKVDVAPGEKGDKWSKTLNWYFFVVCN YFLYGESIIYYFKHIVFVDAYMIPFARNHRFISFMLYVVGFVGFVANLQRQYLRQQFA LFCWVHISLLLIVVSSHFIVNNILEGLVWFFVPASLVICNDVMAYVCGKLFGRTPLIK LSPKKTVEGFVGAFICTLLFGLAWGTFFMRFPYMICPARDLGTNVLSQVTCRPNPVFV WRDFEFTGPARQILSTVLGHEPPSIPYAPFQIHVLVMATFASLVAPFGGFFASGFKRA FNIKDFGHSIPGHGGMTDRMDCQFMMGLFSYVYYSSLIRIQYVTVGSIMQTVVTSLTP GEQLELLADLKRFLEGQGVKA L199_007290 MSTSVMFDQSQGVSKRRAVDNQDFMAVILVGYGENLYPFNQGTN VVSKALLPIGNVPIINSVIDWVFAAGLRDVLIIVPPSFYTSVAEHVTEHYSMATHPKA RIDIKRTTEGEKDDENDDESELGVKGGNGREGTARLVRRFKNWIRTDFVLLPCDLSPP SSLSLTSILDKHRSSPDAVLTSVFYEPTESVKDGEEKVLVALDKDTSELLLIQPLEGM EDDLELRMALISSHPTLSLTTRLSDAHIYVLRRTVLDLLSTRRSKDLDSMREQVIPWL IKGGWQKGLGRRWAPILNPPRKDPFAAALARSTSTNPSSSPYSTLSPGSSPTSDHTPL PRTTPGSASRSGSGSQIDSLQNSMIIDPEEDVKASIRKDVVGWKCKVLVTAPQPPPVE SQQPSGKGSHKQGQGKDKGLQNVYEPDYLIRANSLAGYWELNRKFIKTLSTSSGALNN LSNISQNKQIQQSLEDATGTLPAISPQSQISPDSLIGEGTRVGERASIKKCIIGRHCN IGKNAKLTGCVLWDFVTVEENARLENTIICSNGRIGEKSQIKDCEFGTGFEAKPGAVL KGERLVAGQEA L199_007291 MSHPSSPSPSSPPRLDNSTSSLISPPSFPPTPSRTTSQLSLAST ANAHLSPPSTTEISPRRRAHSNPNVLSRRDRSVPSTPTKSKNSNGLLNAPYGSLALRS RTSSSTATPTVASSSRSLFEGLPGSAPGSGGNIDSKDFEGLSINASASGNDTPASSRK GKEKEKEKQVDEGVEGEMSLSIGDVRKGLRELVRRNTVSAAEVRRNDRVEDPKNELKD TKDDPDPGPHVIDGITKHSISRYMPRRYYVLTNAGKPVFSSHHNSPQDNITELMAIAS TLISIFQEDDDKIRCIIKGQTRIGFLLKPPLYLFAVSDWGEPEHVLRSHLEYIHLHIL SVVSSTQLSRVFQRRSNFDLSRLLEGTETFLNKLTDRSQFDFSYLTSTLQPLRMNPAS RDMAGAALMPPSKFNDLLYVLLIADGRIVTLLRPRKHAVHPSDLHLLLNTLASSSTLR SSETWLPICFPKFNPAGFVHAYISYVTEDVGLVFVSADREAFEDLRGWKSIVMEKLDH DKTLTKITESIPLHPYTVSAIGCPGLRHFIYKSRTYIQITQPEWEAPYTEDSIDRKRL ITLYQKLHDIVHGRSGQTQTYKLVYIRTEHEACLAWLTKPFELYLTVSPQLSKSAVVA AANTVAKWVLAEEGRVFLKDAPVF L199_007292 MFSPKGSPEFSIIPPNISTSPYKPFRPSKKPVSTFGSLDAFDGS NAQAGPSRIRAQSGFDIPLNLPDPFFTQHNSFTGNQHDAVDIQQRVEDAQILKQSKEQ LGSYTCRVERCNAILGNYEILLKHVDKHLQKMHAHAYQEDPRDNDDDNFFFRRRPKYN CPWGNCKQDEYDIERLKRHIEVAHLEPELRCPFKGCNDKLYKAGYGISSVSHHFEKHP LPWEESSSQPSFRNSYLPVNPPPPLPDIVLPAYMISHPPILPRGQNQPISKTRTPSPP SIPIPSIPRYIYEEDDIFIPSTPKSGKTGSNKSPPTFRKMEHPMLPNRNDDTIPFFPL CGEAISYDSTGTFSGSIFPSTVPPEGDEDRERDSMGNFIVPSASEDGAVYVQIKKKLP NRNGIVRLGRPRLGVPADVFEWNRKRREIRLKKEKMKDSKGKGKATNVTSDEKMDIDG LQGTAVIAEGKVRELSLNLKKWHPDLIRSSALRYASRKTRRSVGVDVWKEVIRFENEG RYWGEL L199_007293 MSSFPVSSSRRSFWRDESPAITPGSPGPSRLSKRDVENYLSFAT HLDRTEEYDLSWRLSHPPDAHMQQKVPIPVAAESERGIEDESELEDLDAEVETENQEG NHQSSSPNKLRRVDGPGFAPSYQDVSGVLHKNKKRKRDDKDKERERFKWPLSTAELDK QSEKDGGIGIDSLEDTIKSFAMSYIRLNGLKSPYTDHKQSQGEGEESLSNQIFDPDLE QEMESSLPDDFIKSTKEYLNRMLTNLAVIRPADIGKKRRQMGTIDWMGVLSAASLDKD FEPLVKDANGRLREMYKVDDHDLLTHRLGILHRPKVNPEASILDCLYDTVLPKTNNAI RPHQSQKELENRAEKRRLKEESRLARLSKQPSSVPANPKPKRTKRKYTKRD L199_007294 MPHRPFLNKDQVAKIDQLEQAYTSQDASNNFACSGIFPPHAVNI DTLALYYQKPTEKKTRGSIKSVTFPLTQETARNIYNTGEPSPFGRGNELVYDLTYRQA HELKPPHFALTNDLLSACGLLTLLARKLDYEIPVEAKINKLNAYGEGGLFKAHKDTPQ SQDHIGTLMICLPSPFTGGALVVRQNGSTITFDWGKQTEDGSFAWGTRITISYDIFLG KNAADFKQSTFDTRLVPIIKAFKEILKPDFLPEGGALALGLTHGYPMMENEPDTDLSR KLKSSDAMLLAAIKKLNLRWDYFGVYDYDRSDYYEEDSDEDDEGDQDDPEALKIDTTF RGTFG L199_007295 MSTYKPSEHDGLKQDGTPDKRVSSEHGFGGSDGPDPHVEGQKGG SVSGTDNYKPSEHGGVKKDGTEDARTRSDHGFGGSDGPDPHVEGQKGGSK L199_007297 MLALPVNYGVMRWVIASKFDYVSGKIADPAGQWTGQEFKSYNTA GVQYALVGPKRLFASSVFQPVTYGFVAGAVAPLIIWLLHKKFPKAKFALWNTTIFFSG AATFYGNLSTGPFTTFIIGTVWNFYLFRYKRKFWNMYAYITGAASDTGWNFNLLFIFL FLGTTGAVMPNWWGNNKDSIERCFALKK L199_007298 MSRAENPEIHPVELAAQRGEALLTPESDKDEKFDSINSNTYENN ESKTLGYDHEAEFGQAKLNDFAIRDDDDENQYDWESDEFKNIPEIVRNTVSFEDDPTL PVITFRAIVLSALFCTIGSIVSQISYFRTTSAPFPVFFVILASHPLGKLMARVLPDYK VPLGRFFFSLNPGPFNVKEHVRKYLSLIGIAANAGSQGQWATFLPTNAALYYNITMNP AIALFFGWGASLLGFSFAAMVRPILIDDPQFLFPLSLQQVTVYRSIQGTTELHLERSR KQMKVFWLVFLGMFIWQFFPAFLFPFTAALAPLCWFASQNHKVNFIGAGRGGIGLLNI TLDWSNITSTVITYPYSVQVIIFVAFVITTWILIPIAYFGNLWGSPTYNIMSNGVFQK NGSAYPFNSLLYTDASGSQIFNETRYDEIGLAYSGAQYLWEIFMWYASYISSFVWCGL FLGPNIAHVWRSWRARKAAHNDRLSKLIQQYPGLTWWEWVLLTLIPFFMLLGVIVTKK LYMSTWTYFVALGFGAAAMLPMSLIYAVSGYPMKVGFFNELVYGYMIDAKGSSRHPLG QLAYRIISGNVWYDARTVLEDQKLSRAFR L199_007299 MSNSQGRKRQPSTGNAIAESVKRQVKEVGDTAQDAVISGAWGYP LYGIYYLISHPALIRPLLPTLFKGVLVSVGVVAALFSFTYLPQVAILAFVSGPLAFAL AVPLVLGESYVVINFLTRALLVNQAGVDLFDAVLLQRGHLTLVEHGRQVTSGGSSGKS KVLGTLLMKPLSRFSTDNVVRYVLTLPLNLIPVVGTVFFLGFNGFKSGPGYHARYFQL KGYDKVKKSELIKKRRGAYTAFGTMAMVLNLIPGLSILFTFTNAVGAALWASDLEKKG KTTASDLHDARKNGREDEVEVVLPNAGETSRRKDL L199_007300 MPSDTLQLLQNLLLTLNIPINPPSLSSIPPTLILLTLESILQQK LDIPKELRSCNKAEDEIGLIKCLLGILADDLLNIDLSLINPLKVLTGREKEMELVVMA VIVVAKRNGTVVTTSRGKRVGDGSRLMKSAHEDHISFAYDNGYDNDRSGLPEPLKPDR SFSSPIDTPQDVFVVPPQGRDRGDMYTDSQEMLYTKENHDDTNHNDNDISKISDEFDP YLTPIHDTFPRGISQEDGSHDGHEGILNDGRERDAPLKHSYSSTTSASSSISGKTVLQ YMIEEFGLEPG L199_007301 MPIPIHDDDSQIQNGSNSASASATEHAYAFPALEHFRVQNIPPA AYYIPDFITPDEEEYLIRKIEESPQPKWKKVGTGRRLQYWGGTMSKNGILLPEALPDF LTSFPNVIERIESFLGVASSVKGKQKMQLDINQVLVNEYHPGQGISPHEDGPAFHPLV TTLSLGSHTVLDLHHYVNPTCPSPPMIPSSSSSTAEDEGKTIAAIPMAHLLLMPRSLL VLSSSLYTSHLHSIDSRERDTIIRAPSDDSTKEEGEGVLVSNTELLGDPDIVDTLSKD GRWIGERGRRISLTFRKAEKVLKGGPAGVLGRSLGLKK L199_007302 MEALYTHILPHLPLPLQEIVLNPPSFTNPQSFIPVIKLLFPYTK WILVFSAVYILWSFLSGIFGMFSRVLRFSMKIGPIIGLIAYLMNSSGQGSMDELLGLV KQYFGLAPQQAGGGWSPGIASLASLFTDQSSTKSTRKDKKNSWTGSGIGKGNDPISSR TRNQKNKKSSTGGDTAGDIFENLVNQATNEENVNAVQDFVKSSLAKAAGLDWLFGDNN KKEEDKSKKWKSR L199_007303 MSGFNIYSDRLTLRHPSLGQNQGQGQASSSRHPSSSYSPGLCNE PIMVDSDDEDDDRPVAQSSGSRPLNGHSGGQRLGGTVKEEGMANMEAREAIQVALSKL DKEIKDVEAQIRPLQELHTSLTSERRSLETQLRTMNNLPRHTNTDSTLAKVGNASNSG LIDYQSSKFEFSTQVSQTLKRVFHLGDFRLCQEGVINAAVDKRDIVCVMPTGGGKSLT YQLPAVMGRGLTIVISPLLALIWDQVRALKEIGVECVMMTGATSTPEQNEIYERMKAG PSGGRKEIRLCYVTPEKVSKSKRLISTLEKVNERGGLNEAHCCSQLGHDFRPDYKKLS MLKTLFPRIPIQAVTATLSSKTLPDLLKILRLGPITDGRAAKSTGTVFFSAPLFRPYL HYKVLSKPSNAKSAIAAMGEWIQKNHSGQSGIIYCLSKKDAETVAEELREWSGGEIKT GIYHAGVEDYEKERIHVRWREGKVNCICATIAFGLGIDKGDVRYMSKSLEGYYQETGR AGRDGQDSDCVLFYRGQDAARLSSLIYGDVDGSSKLQEMLRFAQDLKTCRKVAFAKYF SASAHLSASAWDAPDALSSSSGSTSTCGICDNCLRDPSSIITKDVTVETWKILKVAQY VQNEGGRVTLANLSDLVRGLGGGLFGVVGGGEGKKGKRKLNGEKEKVDLEDLGGKITL GKDDTEALLIHLVLLGYLADSYHATAYSVNVYIVPSDMAVRLTRLRLEDVQAGRSVKI ECTFPASEPKKSKSKNAKKSDEHDGQEDGQEYEEEKQEVKGKAKKKAKTESKAKSSEK GKSKKKVDEKGNEDGMEREWFDIDFDDIDGKKTKSNGKATGGGRGKGKKEELEELEER DDGEILSEDDEEVYDDYHSWGGMLEEDENGWQVPVSTVKGNKNSRIVSVSDSD L199_007304 MGKFRGKKSARGGAAKPLNRDEKQNDWNAFVGADKSNAGFEEYY KAQGIVPEGEWTDFMESLKKELPVTFRVTGSRAHAETINDIIKKTYVPNMQNVEFEGR KYEPPSQLSWYPGQLAWQVDAPKRVVRKTEPFKNFQRFLVGETEVGNLSRQEAVSMIP PLFLDVEPHHLCLDMCAAPGSKTAQIIEALNPHHTASTGLLIANDSDYKRTHMLVHQT GRMPSRGLIVTNFDASLFPSIKLNEGKTLQFDRILADVPCSGDGTLRKNLEIWNKWGA ADGNSLHGLQLRILERAMNMLKPGGRLVYSTCSFNPAEDEAVISAALNNKAGKFHIVD VSSQLPELKRRPGISSWKVGSQPQSNGRKLVWYDSFEDYKEAIKKGDEKENDRGKGLP KTLWPPANAAELNLDRCMRLLPHDQNTGGFFVCVLEKKASPEPESASSSLKRPASSPP PTSDTKRVREKSPEPGSSTAATPAETTPVPSTTVKPEGKKEKRDLTFREDPLSYVDPE HAEVKSIIERFKFKDTFPRNNLMVRNDYGDPLRTIYMTNDIVKEIIQNNDYTRLRIVS AGVKCFARQDSSQRTEIRCKWRTPMDGILEVLPHVGEGVVISATLVELRVLLEDHYPP LDNFADGPWKSTLLQRDMGCEVVRFEAGQVAGGELALPMYLPVWKAKMSLSLMIDKRE KSILSLRTFGEDICKPPPPQKDLSKKAEEANTKTEGEGDVAEIAEAEGEGDAGMGIAG EVAEGDVVVDKKEGEE L199_007305 MTDPSPSQEAGPSRERENERLIVKRRRTVKARCQRTDSGSNSTC TRCNRLSAECTTTQPQRSLSLSSSYNHHKPSSHKTIDDNHGKTSHHHSDITPRPPVAV TIQTTSLPDTQPIPHNSISLSAHLATEPRILGFTSLSSLRDEIAVSYGKRGNEHDQRG DDKDREIGGGRGLRREMKLIERELSLSVIEQLLSDFATHVSPLNPILLSHEIFSPARM SVVTISSVCAVASLSRTVPTSVFLAAKNRLMDLLDQSDVLKVASVANIQALLIATSKA ELLMNQRQSSGGSLSFQRCSSAIRMAQELGLHRTDIDFPPDINTRRRSAWRSCLIADR WLAAGYGLPQIIDLDDCDDVSVSDEEETNPQIMLQHELYNASTLLGRVLKEIYTPKVL ARTNDDRIEGLIMAIDRCRFHVHESLRFNPAPEVGSVVFELSILTVEALFLRGVTSTK IRRPPHVTYRPSPGRWRSISERAQKLVVWIEERGDWLLDTSRIGLYGLTFCSLMMFRD HTQTHSPSALQGLKLASKATTRWAEGNGETTYMTQGRKNHAQIIRTLYVVARDGVVGR STDNSAGERLHVVSPLAEGKNQSTSISTAYETHISPTTSTTSPTSILNRNTTGTMPSE LEVGHSLLQLTDPRRPIEPQQEESNDSQDNTFSLYTESFPFCGLGANTEEMAWSMPPM DNWLSEILEGEANGTGWTF L199_007306 MNQTEVVPALPSQPGAELPPHSHAPPDLEDVQRELSLEDSPDDK SENDKDLKLDEAELRAQDERELQGGQVVIRDGNDVARYVVSTQDDGDAALTLRSFVIG SGLTALAACINQIYFYKPVSVSFDSIFLVLIAYVLGNAWALAFPTKSSIERRFPKQAS WLAPIVHFINPSRTFGLKEHALSSIMSTSSGNGAEAVQVFAAEKLYYANPSGKAVAIL TVFSASILGYGLVGLMRSIIIHPSQMVWWKSLPMVSIYQTLHRDTKGMNKRRVKMFAW TSIGAFIWEPFASYIWPWVNGISIPCLASMHAPTKPRTIIKNIFGGANSNEGQGILSI SLDWQYVTSKYMALPLIQQANTWVGLVISYFMCLVLYYGNAWNAKHLNFMSTSLFNEK TGKAYNQSAVFDSNNRLIVSALEKQGLPRLTATNIWYNLVAMMAIGALITHISLFYGK QIVKSVKEAREGSESDPHYLVMKKNYKQVPLWWYVAVLSVGFTLGMIAVCIGHTGMTW WSYLVALGLGFVITPFSLILYGLLGTSVATNNISKMLAGAVLPGRPVANLYFSMFSHE VTVLAVFLAEDLKLSQYLKISYRTMFICQTYSSLLGAVLNYVMMDTITTNKREILLDP TGSQVWSGVGVQSLNSNAVTWSLAKYVYTFNNDGYGIIPLGLLIGACIPVIHWLIAKR YQKIGPITVSKVVTPVILVSSAVTYAGSTSVQTSRILTGLFSQWYMRLRNPAWFGRYN YIVGGGLDAGAKVMMFILTFAVNGGGGSEVPFPTWWGNPKTSSKQYADYCGTG L199_007307 MPVQLSETARKQLDEALDAYPKAGKPGTVVGIVNKEGQMVYLRS AGAKNAVTGEKLDDDTIFWIASCTKMITGIAAMQLVERGLISLDEPVSKFVPELAEIQ VVTDSTPRTLETRKANTPITLRMLLTHTSGWGYSWYNHHILNWVKQNDNEVNGHGFWV ELNNKTNEVGLFFLQFSGKQLEMPLVNEPGTKWEYGINMDWAGITVERVSGQKLGDYF IENIFKPLGIDDSYFDFKERPDLLKRLSPMHKWDAETDTYSIRDFPHLLVDTPNHRGG GGLHTTAKSYLTVVSVLLNKGKGPNGAQILKPETVEYMFKDHCENIQDKGGLGTHAVV KSTDPSRANTDLEMLAGIKKGWGLSFLLNTEDIPNGRKAFSAEWGGVANLFWMADPTS EVGMIIFNEVLPYGYPEFFQMEEQLQHIVYSDGGLVKV L199_007308 MSIEHESEKDLHVNPDNTTHHPVQEVAYATSEPVKRHWYRSVTC QAIITGIASFLAPGAYAALAATGAGGLANVEIGNASVAVAYALIVPSALVSTGFLSKF GPRLTLAIGAAGYAPYAAALYTNSAFKNQWFLVVGAIICGLTSGLFWVSEGVIISVYS EPSRKGRMLAIWQSLYTLSTIIGGFINLFLNLDVKVKGGLKPKTYLVFVALNCIAPFV SLLLSNPKQVQRRDGKPVTGIPDQGFWRESWLTIAELKDPKIIAMCFLWSQSLFIPSW TSTYLAKHFSVRVRGMSSIVKPSLTIIWFQVMGFYLDNKRTSIRKKLVYTWGFLHTVI LGSCIWLLILTVRQDKQAVAPSWDWSTDGFAAAWVPVALATAAQGTAYGYMYYMAGYV FPRGADKAQLSRIIATLRSAESGSAAIAFGINATKLSLHKTGYINLAFALFCVPCGLY VLNYVWKQDKLGAYDEERINEEKPAVAQETP L199_007309 MSNSAAEGSRTKKVRRRAGPFKRSRTGCGTCKRRGKKCDEEWSD EGFCQRCIVGEFECTGRSEQVNKKAQTSENEVSSRRDSSVRRSSSGSDAIESLTNHHQ FSQVRTAPAPDLTPPTVQPILSYDTSNASNAPIAGPSNHLSQNETQPIVDNSNVLGNS GLWTTPHTTQNNNLFMDSMNFFLSSSNPQPLYNWPGQFATQPSAFLTTNIDGSLAIDS QPFLWNNQTTNDFLNDFTTPFTTSVNNDSSKQTVNHHHQLNANSRVLFLNTEKPTRQG VSLAEIYARVVESWLVGIPSTTRDYARARILALNDNNSVMRNVRFAVSAAYIFLFAGC QERSDNPNDPQPKLVELAYKGAGLVDGKSTSVSQSGAEGSEVISPGSKDGDKEGPDSA LKKIRIYVDHVSTPFAADMESLKWTEDAVRELKEIQVTDKAQLSDLLWGVIDLQLVEF IRGGAAPSYNMLALGDRLVRQAMGSVRPQIVLSSLRASDSFSLRLYALSDISRCIVHR GKKTIFNFWSDINDNQSEPATHSDDEEPWATYLGLPDSIVILLAEVVNLCAELSSSSP SSIKQQADELETALKSWQSQTFSTLNSVDSTALISRTIAGELWRLSALVLLYESVHRV GGLHPVLRRAQSEILSLLDSIVRLPNGDLWGFIGLPAFLAACLSISDNDRQRSMQHLV RPGPERMWLDNIALVEKVWEETDQTGKLPDWHDKMMREGMSVAFF L199_007310 MPVATKFKKTSNEIPTLVSVDPSQPGETQANIHTRWHPEIPCVA TIPVGQAFRVECLDYSGSQVLNDDNADDIIHLDHDSDHHLSGPFAVPGAQPGDVLEIE VLDVQPFQDHNWGYCITAPGLGSLDDERKGKETKVTKSIWDFFGNETSSRHVPHVSFQ GRPHAGVLGTAPSHEILQQWTKRESELEVATSGGAGCSLPKPRGAYVGQDLPDELRER ICREGARTSPGREHGGNIDIGLATRGSKVYLPVYIPGASLSVGDTHFCQSDGEPTTAI EMAGIVTLRVNIIPQGVHQLRMASPMYRTSPSEPIYRDKLCFTGLSVDRDGNQTNQDG LLAYRNAAFQAIEYLESFGYSREQAYILLSAAPIESRVVATANRPNFVVSLGIPTEIF DFDISPRINGITDEEKKRTITGPALPSIKLNGETTNGHLH L199_007311 MVNESDQPYRPDFFPIAQPAARDLQRPTFSRSHDVRGGNVKHVR SVAWSCDGRRIATGGEFKEILFWDTKLKIDARASTSLPSSSKTTVHNGHVGSIAWSPV EPNILVSGDKGSSAGGVIAVWDITSPSSPLATFKIPGDVLHISFHPSGRHFAVVCPQR NRDEVFFYWLNTVDGVEKWERREDIALGGALMDIGAEEINSLRFTNSGKLVCAVSNDG SINAWIYPTQLQVQAQEEQQVEEVPEPRIIESGPSTTTSTPKLPTDEETAEAVKSREG SREGSPENTREGKEVAEDDVEMNEADGEAKEEEESKPLQNGEEGKEGDVKKSEDEKPL EDSGDVEMTEASTSQTVMQNPSENAPNASSVAPSRQPTPPLPPPPTKPSEKKKAKQLQ RFRHAVCHSASLLGLAFDPQGRYLAVGGQDALLSMFDTRDWICERTFDVCSSAIRHIA FSYDGEYIALGGDDTYIAIVSVYSGATVAKLPVHGMVSSLAWHPKLNWLAYSYSGKIA SPIWHIVQQET L199_007312 MSFRPLITLRPRSSIPIPIPIHTRSLSTSLPRYRPSSSSSSSGI AATVSQTLGSLQDSVNDLAEKFKKAVQQSHSEQYEGVEERGLRMLIFGKPGSGKGTLS ARLVKEYDIAFVSTGDVLRKEIAAGSEVGKKAEAVVASGGLVSDELMLEIVKTELDRL HGRSWIVDGFPRTLHQGELLDSVLNQENRPLNMIVHLNVPDSVIMARISARWVHLPSG RVYNTTYSAPKVPGKDDITGEPLSKRPDDTPETFSKRLQAYYESTAPLLEYFAKTYPS SLFSLSGSSSDEVLIAAGLKTPAVQGKDQPETDFKTFSSTLDPNSSTDTNVNEIEHTK TMAALDQLWPQLTNLIEPFNLRRAKKTLTKDGRELTEDEVKEIRKEADDLKDPNEIDV NGKRKAHVE L199_007313 MSSPIGTPNGSLQPPLPQQVANLINGAASGRSSRASSESGGNRI RKDTIGYKSSPFPAKADQQANVSRILAESGFMPQELVHGEVDWFYNHLGIENSYFLWE KPETIADHVLALFSAKLLAYTKHDPEKLVIDLEKITPEGQEKGREGAVFIHTSKAGVT VTDGPGGTVEKRIDSLFFDESSPEKAYRLETYRSTGAISSTISQQLRCYFVSRCSFPT SAPVKTAEGTTEIRSVSDSAFLEKASENTLEVYQHVMNEVERRYGPVIEMFEVEDSRE RRLVIGYKMGGTRKFFSALSDLYHFYGLYSARKYVEQFSNGVTIISMYLNPVPNTRAP PIEHSIHQVVREASLLYCLPDNPFFSVADDDDSPHAVQEATYAYVGWIFAQHFCNRLG SAYLALKNALDESNPDHAEVLNKIKTRFREETFTRDSIKEVIQNHPDLVRMLYINFAM VHYPAADEASQLTPTLSFQRLKTEQPLTDEQLYQKIRRTAANQHAVQILEALLIFNKH VLKCNFYQPTKVALSFRLDPNFLPDVEYPKKPFGMFFIVGSDFRGFHVRFRDVARGGI RIIRSRSKENYNSNVRTLFDENYALSSTQNLKNKDIPEGGAKGTILPDVSANYKQCFE KYADSIIDLLIPGKTPGIKGKIVDVSGRQDPEILFFGPDENTADLMDWAAQHARSRQA PWWKSFTTGKSAELLGGIPHDTYGMTSLSVRQYILGVLKAHGLNEKDVTKLQTGGPDG DLGSNEILLSKDKTVGIIDGSGIIYDPAGLDRRELIRLAKGRKMIGEFDPSKFGPDGY RVLVDDKDLKLPSGEIVPDGTQYRNEFHFRVKCDLFVPCGGRPEAVNISNVNQLIDAE GKPHFKYIVEGANLFFTQQARLFMEKKGVVHFKDASTNKGGVTSSSLEVLAGLGLNDE EYLDLMVFKDGKSSPFYQSYVRDIQSKICENAAAEYTCITKEWSRNKGTKSRTVISDQ LSSTLNDLQNELEVSDLYENIASRKNVLSRAIPKTLVDKVGLDTLMQRLPEQYQRAIW SAWVSSHYIYECSLQASNVDFFHFFSKLSA L199_007314 MESLSWDYILKYVLLGDSATGKSSLLIRLTDDRFDLTEPTLGVE FGSRIISVGEEGKRVKVQCWDTAGTESFRSITRSYFRGAAGALLVYDVTRRESFDHVT SWLEDLRKYADENVSIILVANKIDLCTSTPAPLPSIQYGHPFPAPDTPLDTSLSRSPS PAPAPDVPPSTTQPKDLKLRQVSSMEGALFAKQHGLLYVETSAKEGWGVTDAFEWTAR EVLDKVKKGEMERKKPGGVKLNETRQNKGGRCC L199_007315 MPSPTPKPKLHIPLAEKSSPFFSKPYNPSQLSPIPDNSPETKSN LHSDSPQDSSEGGDADCQTPLLPPSPGNLENGGMGSYPFPSYQYGGLTRLKIALRKGL PSSLIRRVLLLILVVGLMVLGLHHSDVRQMALSSSYSSSRIPNSDDLSTNDDLSKPYK PKRPFKYPFKLSTPSGVKHKSIYSNAASEGKREIDIASDPLPLTASLRQRLDAWRDAP GGRGEVEGEVEHGGFVQWNLEQCDTIDQQHNTHMIQHSANIWASVNRTSLHDYRMNLI NHMEGVLESGEHENYGEGRGIVMVAGNADTLQRVKWSLGMLRSYGSELPVQIYHFPSE RPNDDDPIRDELKELGAELVEALGQTRDAKKNKSYHLKALAVVQSPFREVLYLDSDSI PTRDPAYMFDAPNYQRLGIWATPDYWKTSANNPIWSIIGVKCRNEWEMETGQMFVDKK LHLDVFLLIKYMLENHEFWFYFSDGDKDIFRWALLALRKRWAVPGRWVGAAALPSGTA SGDFCSHTMLQHDSWGEPLFVHYNLLKQIPSGVGRGFSWGRTKQLPLFNTWPATPSTA RLDEPSRKPADDNKPGLGDVDCDMLADAQEDGSARAPAKEMIMRRAARERGVKVKYHG GWISALCIDLEYIDPRPEERKEADAERKAILEAQNPSSEDTPKSEGSEEEEFVGTIYP DWEQSPIEVVQWKDDVNLVDFERKIYDLGFKPSGPGF L199_007316 MSKTQDLAALLSDSDLFRSQGYINGQWVSASDGATFPLTNPATG AKLADMPHMPRTQVAEAIDAAKAALPAWAALTAYQRSAYLLKLHALMEEHVQDLGTIL CVENGKPLAEAKGEIAYGASFMQWNAAEGLRTYGQTIPSPYPGTRNIVIKQPIGVCGL ITPWNFPNAMITRKMAPALAAGCTVVIKAPAETPLSALAMAVLCERIGIPAGVVNVVV MDKGDREAAAGLELCENPKVSKISFTGSTPVGRLLMKQSSSTLKKLSFELGGNAAFII FDDADLETAVKGVIASKFRAAGQTCVCANRIFVHSKIYNEFASLLAEKVEALKVGNGM DEGVLIGPLVNVRGVEKVERHVQDAVSKGAKVLVGGSRIKSENAEGESCFFQPTVLVD VPKECYVASEETFGPLAPLFKFDDEDDVVNRANSSEVGLAGYFFTKDLARSNRVAERL EVGMVGVNTGVIAQACIPFGGVKQSGFGREGGPGGINEFQIEKLITIGGI L199_007317 MSTRQHNIAVIAGDGIGIEVTASTLEVLRAVQKKLGGFELKFDE LDYGSARYKAQGSYTPEGWLEHLRKSDAIFFGAVGDPDVPDHISLWDLILPMRQKFQQ YVNVRPSAILPGIPPRITNAQPGDLDWVIIRENTEGEYAGQGGRTHVGTEWETATEVA VFTRKGVERVMRFAFETARKRPRKLLTVVSKSNAQRYGLVLWDEVAEIVSKDFPDVKW DKMLVDAMTVRMVTKPKTLDTIVTTNLHGDILSDLAAGVSGSIGIAHSSSLDPTRKSP SLFEPVHGAAFDIMGKNLANPIAAIMSAAEMLRWLGEEKAAEIIEKACKTSIAKGQTT GDLGGKLKTDEVTDVVIKLIEGQ L199_007318 MPSVVFDVVGTCFSYDNGAEALQARLGHKLAKYGIPSKLLFYAW VCGTERDYSYLSQIKQYKPFFEILSNTLTRVLFQAGIPAEDLEGFFTAEDVEYIREEY KKLKPRPGLKEMMQTLRDGGFEVWCCSDANVDRVKGYFDHAGVEMPLDHVLSADMVKA GKPEPAVYKFAREKAGSDQPGEVSVFAASHAWDIAAAKSAGFLTAYTTTYELDPCESI FGTADLVTPDLVSLGKGIVEKWGKK L199_007319 MPSVATTSEIPLLPSASKPLPLTTSTQGLQDIADKHITKGLGRL RDHVFKEGKGLRVLTTDNQRLLDFTSGIGVTSLGHAHPDVTAAIVAQAQSIIHVQCAI GLSEPYVQLVESLLTMMPDPSLDSFFFWNSGSEAIEAAIKVARTKTRRNNIVVMQGGY HGRTSGAAALTRSKTSFFKGTGPLMPCVYTTPFPYWHAMGLPKETPEEVLVEQAILGI ENLLQQQTAPEDTAAIFLEPVIGEGGYVPTPPAYIKHLRQLCDKHGIMLVVDEIQTGF CRTGKTFAIEHSGVRPDLMVFAKGFANGMPISGIVTRSEIMSAMQPGSLGGTYSGNVV ACAAALATTRYMRTHDILGHVNARSEQIFKGLKEIQADTENGGWMIEEVRGQGLMIAI EFKDPKSKLTGKHSRGDITLPGNLNTLVQNACYDRGLLVLTTSIYPVLRLIPALILSE DEVDEMLSTMKEAVKEVAKAVEGK L199_007320 MSEEQSNPLLPVKNRLESFWLSERDPVLQNARTTAELPRNADVV IVGSGLTGAMIAYHLYSEAEKMGRRVNVVMLEADEVCSGATARNGGHCKPIPFIGFRA EAAKHGQKVANHLLTFEAAALKQYADLVQKEDIDCDMHVTRAFDVCFKPQDGAAAKKD YEARKAAFPRDVAEQDIRSVDDPKELELLTGIQGGHFGASYPAGHLWPYKLATSLIHI AIDKGLNLQTHTPVLSMKKSEVYSGQWEVVTPRGSVTTSQVIVASNAYTSGFLPEFKE LIFPVRGTACSITPASSHSHGAHPGPIKYSYGFRHGAGDVDYMIPRQGRGRIPGVGDR SIILGGAKSRFLGDLSQWYNNKQDDQYMPGAKEYFEGFMKKYFVGWNGNEHGNVDRVW SGILGYSSDLLPYVGEVPDKPGVFVCAGFTGHGMPRIPGCTAAISSLVASRIKNGFIT STAQKAFEDSLPQPYWLTQERYTSRVNLIQNMMNGGKTKGALQNSDEAVMVDKAVRAK L L199_007321 MAQYEEDRKSEVVEVPAHAHLPGMDTTTEVQVVPTPAAPKSRWD SIYRSSLFQICVVSALAFCGPAMADAISGLGGGGQATPYTVNAAQCASYCAVAVISLL GGPLASRMGIKLMLIVGASTFAINGSAYYVNSKYGVQWYLIFGRFLYGAGFGFWYVAE AAIILSYPEEGRRGKYLAIWVGARNLGQLVGGSISLARNAKAAAAGAIATSTYLIFVA IEAVGFPISFLISPPHKVRRSDGVPILLAAKQPWKTEFLLLWQCVMSKQMLLLAPIGF YSYFYGGVLSTYLTNYFTVRARALSSFIVPSGIIVFTSIFGRFFLDNRRWNQRLRAQV GFAVFMVPSMACFAWLIVNQTKFMKMSKAPKYDWNSSGWANAYIPFYIMQICGYLCQT YIYWLISCFTADVQGNARTGGVFRCVEAVGQAVSYGINSNVKTKMIPLAINFGLAGFC IPFTIAIIQKVPTWREDAANRPIDYDQEEGGKNDELRG L199_007322 MPPAPTISEIQSLYHSFQTVSSRFTSYNFNQYFLRRSHQTFKPV LQSLSPTPGTESVQAKQLDPAELSKWFEEQKKELEVIKRAAEVNRMFKGPKLVVEHAQ PITAGGGEGAEASP L199_007323 MPSHPRQKRHIRSRTSDEESNIGLIKQHPTLSRSTTSATNQIPI IKNPEISKKKLIGWRVGIIICWFISVTLLYLLTCSNLLWRNTWSVVKVHLPSNEWGSV EGKAKEISDNVLNLPTERQQIEDDNKDEEDDDEEEVTDGGWLTVNMWGWCLQDIPKTE IICSGENMLFDLEELLGEESRSSAPSGDDFNFLLTHGLIIHGIAMVTAMIAIIPISIT TLRIIRARQPTVQSGWFEHGTLLTACTLCLIAYIIDRILKTSVKNDLHDHRVLSGQAL TVTGICTILLLITFLVSSIPPFYFHMKRQSQLVRYWEDLEDFDEALADEDESEKMEKR KRPRKVRRSRTKRAARALFGSRDNGMQREGTLSRWRSRRKKRRDDSYRYRDRHRDKED ERRRYDTKRRRRRRYRDSW L199_007324 MSGFTQNDQVAINTIRALAADVVGKANSGHPGAPMGMAPVAHVL FSRFMRFNSKNPKWINRDRFVLSNGHACALQYILLHLAGYKVSMDDLKSFRQIDSITP GHPEVGVTDGIEVTTGPLGQGISNAVGLAIAQAHMGAVFNKDNFSLIDNYTYVFTGDG CLQEGVASEACSLAGHLKLGNLIAIYDDNKITIDGDTAVSFTEDVEARFKSYGWEVLH VEKGDDDVAAIEAALKEAQKTKDQPTIINLKTTIGFGSLKQGGHDVHGAPLKKDDITQ LKKKFGFNPEETFAVPQETYDIYNAAAEKGAKAEADWNALFKQYSEKYPKEASELTRR VEGRLPEGWEKALPTYTTSDAAVGSRKLSETTISKLAEVLPELVGGSADLTGSNLTRW KNAEDFQHPSTGLGSYAGRYFRFGVREHGMAAICNGIAAYGGLIPFGATFLNFVSYAA GAVRLSALSHLRVLQVATHDSIGLGEDGPTHQPVETAAWLRAVPNLAFWRPADGNETS ASYLVSILSQHTPSVLAFSRQNLPQLANSSIEKAAKGGYVLEEVENADVTLVSTGSEV PLCLEAVGQLKSKGIKARLVSLPCFEVFETQSREYKLSVLPSGAPILSVEAYSTFGWG QYSHDHFGLKAWGASGPYDQVYKKFDLTPEGIAKRAEKVVAFYKKRGQPVFSPLISAL DDISDE L199_007325 MDIEQFRKAGHAAIDQICDYYSNISNVPVKAQVQPGYLIDQLPR EAPENGQKFDEIQRDFGKLILPGITHWQSPSFFAYFPSNSTFECMIADLLSSSVSNPG FNWICSPACTELEQVVVEWAARMLGLSKEFWGSSGIGGGVIMGSASDSAFTAAIAARE KALRRLSLEAGVQAGDNGQIEIPDEIRQEYGQKLVVYGSTQTHSLGAKAALMLGLPFR AIPVSIEDQYSLRGEDVREAIEKDKKAGLVPFLVYATVGTTSTGAVDRIAEIGEVLKD YPTAFLHVDAAWAGVAYALPQYRESLRLKEVNEYADSFCTNFHKWGLTTFDCSIFCVK NRKDLTQALDVTPPFLRSKEADAGTVIDYRNWQPSLGRRFRSIKLWFVLRSFGISGFQ KHLERGIEHRQKLTEIVERSNRFEIVTTPNLSLLVFRLHPKDPKDNMEVDDDKLNLLN QKLHQRLDSRYDIFLTQTVLHSKERNIFCIRLAMGGVNTTFEDVKRAWEIVELEGDKV LQEDDLV L199_007326 MTNAVASSSKRPAPSSSSSPSGNKAKKPRPSTSTVDEDNDNDND DEDEGEEITGDMDDEMKARIARKEARTIRNRESAQRSRNQRKAHLAYLERRVVELEAE NRALKGDSPASATTPTSAFRETSPAQSVISLANDLGIPTELVNGTGVKLSNVAPPPAD LDINDMEEDVKPVINHTPSPVPEIVQQQTVLPMSVEIIEINQLKAENAALRERVSLLE NLVKQVVAVANFSGLQSSSTSSQDTKPTVDSIVDRPSIETVSPTTSNNIDWASFISAP VVMPPPTTAGLDSTLSPPLYPSTLTDIASRPQSGNHGHSSNVNVVSNGANPVARHPAE VATMSSASPSQGVEEDKALQRARGNHSISSTNNNNTHNSTVMTEERIRLVARLVIALA QQRGWITQSSTVASYPITCGMDKPKTYLGKMKSAR L199_007327 MSSTPTTSSALGTPPRRPAKRDTSSLAISTINNNNINSNGNSSS AAASGSGSGSGSYVGSIKPRDPIIERALQLSTFESNYSTEDFISNLSEKLINESKADP GPFNPTPFLQTFSPALDSLLALRQQVAERTKKMETDVRRAEREYGRRLRELDGGFEAI GNSFENLESKITDVGRTAVRIGEQLDTLHQTRSTAQSTSLLLSYYLSLAHQTSITSPD GTASTPLEVLFATRTSREGRTRLSVILRRLMAVAKDVADNASTALNDAEIAMTATPKE PNGDSATPTTANTDAPPTSSKIIGKRRSEKEKAERVRDEIERYCEKFEKEVLRLFDRS YRKGDPRMMAHCAKTLQDFNGGASCVQIYVNQHDFFISKDRLLEEAVKNDSAGDGEKP TDLWTTVGDPDAPPPTTEPGMDALFKEIRVTVSQEAQIVKAVFPNPSAVLQVFLQRVF AQVIQQHLESLLSRAATISTLAVLRILHLTHSTCSTLVDDLKTYDLTLGSSSQGPSKA STSAGGPLATMLDHALEEMFVPWLEGARYLESESKNLVELYAGLLSRFTRYHETVLKA KPNSLLDKVVNQLATSSSAATSSSTAQTAAAAISKYANLFTSKTGGTSTPSGLPAAHK PFGPNAAHLGINQGGGGGTKSAMLTNGTQTPAGEARRDRQDGLRGDLVNKGLEDKVWS TDGVLTIDMAERMLKWHAEAVGRAVELSPSADIGKNALALSKVLSEAIGRSFIETALD SAFAKLENQESRSEPDLQPLTVIKPSDQICHLWQRYTSTALLPLAGNTVSIRREMGTI NSHNVVRMEGKINTVIQKALDGIVSHLSYLLTKQKKNDYKPKNDELSFARTNTEPCEL CCEFLVTIKDTVNEGLSGKNAEAFLTEVGVAFHSLLLDHYKKFPVNPTGGLMLTKDLA SYQEAMSSYSIQAINDRFDMLRQLGNSFIVQPNVLKSYMTESHLGRIELRLLKPYLQQ RSDYSQFSRSLQLDDLSSSSTSSSASTANIHDESSLSSSVTAPLSILNRNSSFRAKGS RLSGVAGVGMGKLKEMLKEFDTLSPEEAAIARKQQIQQRSNYQPMFYMGMH L199_007328 MGAAESSMFNSLEKNSNFSGPELMRLKKRFMKLDKDGSGSIDKD EFLQIPQIANNPLAHRMIAIFDEDGSGTVDFQEFVGGLSAFSSKGGRDEKLRFAFKVY DMDRDGYISNGELYLVLKQMVGNNLKDQQLQQIVDKTIMEADKDGDGKLSFEEFTNMV ASTDIVKQMTLEDLF L199_007330 MPPSASKQKRLAEKAAKNAEKGKTGSSRTTPSGSVAGGSTPLTS LSANGSTENLSLDAAAQMKKLTMATDRSANGVLISDPKGRDIKIDQYTLSFHGRLLIE GAEIALNYGQRYGLLGENGSGKSTFLESIAERDVEIPDHIDIYLVRGAVEPSDVNALD YIVASAKEKVARLEKMAEDMATADEVDEIGLELIYEELEEMDPSTFEAKAGAILNGLG FTQAMMAKPTKDMSGGWRMRVALARALFIKPHVLLLDEPTSHLDLGAVVWLEAYLSTY NHILILTSHSADFMDTVCTNIMDLTLKKKLVYYGGNYTTYVRTKAENEVNQMKAYNKQ QEEIAHIKKFISSAGTYANLVKQAKSKQKIIDKMEAAGLVEKIETRKPLRFNFEDVKK LPPPIIAFSDVAFSYSGKKEDYLYKDLSFGIDMDSRIAIVGDNGTGKSTLLNLITGAL SPVEGSVNRHTQLKLAKYSQHSADQLPYDKSPVEHIASLYHDKFPEKDLQFWRGQVGR FGITGSHQTSPISQLSDGLRNRVVFAILAMEMPHIILLDEPTNHLDMDSIDALAEAIK AFSGGVVIVSHDFRLISQVAEDLWEVKDKKVINLTKEDISIVDYKKSLAKRSQAQIEK AKLISKSATKGVA L199_007331 MSQYPLSPDQVQSMLSYLDLPPSDFLPLPPISFLTQHISNLPPS LLEHFTFLTPKQLTSIPTIKHRRLLYATSNPKPNILGVSQSRLRWPLLWERLGGDPFS AINENSQSAIEEEQWVSEGFMNDPNSDREKNQQVKKLGGFLRVLEEERESENVRMAKR MERRLDNQGEEFDDSDSDEDENGGQRNGRETSGNRIEVKEDQKEVERVFEKRILEIFL DGMDTIDYTSIDFHEPPGGDPIALQDEEDRYFDDEEPSRTPNGHDQGAGQEDIFQSRL KEDGKQTQNGQGEYDY L199_007332 MAGRLARTLRLHTFPGQVLFLSTVSVIGGWGITQLGEDEDRHRP FASRPSRNRGNSMQGDLVDAIQSGSGISGRAGGRGGPTLADALTAERGASLWWSYARD SNSITERLQSRNQRSTILVPVDKAIVSLKQKPHLYSGSSSTSSSSIMGWVTGSNSNSH SYQDNTEKFLKAHIIEGSPQPGKVQTLLDGYSIEIIQNPDQSSTKISNLSGKGWKIQP GDIEVLGIKETSNGRIIYINKVLPY L199_007333 MGRARDQQHPENPGGPVNDSTSTDSDPGKTYDPSQSPDHRSYVR HYPFTQLDQPTIPTMPSTHQHVDQHQPIRFHLLQHLFSSSRPIRTRREIERMIMVDRI EASTIHGVLLNMYRNPLSSPRERRKVRIDLNISALAVARDDKRIRVPVLGTTMNEDGV RHLVVDEANQNEHYEYLFSRPQDPKDPEDEHLSFAQIAKMGAILLTNIVTIIQQPMDD NIRSLLHAVWHGLNHFVHLVLNNRMVMFEDDLFMFLQQVDPTLTSALHPFHQPGSSHQ HPIDITSNPEPAEQSVLPIPDQVHDTLHVNHPNHVAVQEASSKLRGSMGREEEAVSGL LSLADPSYSHSRTATQPSTTPSGGDHQQLARPNPYRYWKIHEEPHAVEVADIPSSTET LIRQTPGEYSRYIKTPYLTPSKRHADTYTENVIDGVTKRLKIIEGQEGVDR L199_007335 MDAASTSILLFLFSPTFSNYKVADISLAAFGRKEIELAEHEMPG LMYLREKYAKEQPLKGARIAGCLHMTIQTAVLIETLTALGAQVTWSSCNIFSTQDHAA AAIAATGVPVYAWKGETEEEYLWCIEQTLAGFPEGKALNMILDDGGDLTSLVHEKFPQ YLSDIRGVSEETTTGVHHLYKAFRDGKLKIPAINVNDSVTKSKFDNYYGCRESLVDGI KRATDVMLAGKVAVVAGFGDVGKGCAESLRSYGARVLVTEIDPINALQAAMAGYEVTT MEDAAPRGNVFVTTTGCRDIITGEHFEAMPEDAIVSNIGHFDVEIDVAWLKANAAQCI NIKPQVDRYTMKSGRHIILLAEGRLVNLGCGTGHPSFVMSCSFANQVMAQIALWTDAK SYPLGVHMLPKSLDEEVARAHLAQLNIKLTKMSKVQADYLGLPVDGPYKPDHYRY L199_007336 MPALPTPTRSNTRSTPKPDLNMSSDDPSTWLNFSLPPRSRAGVP GSGIPGIPRRSRRGEGWRGGPMSREKFLNASFKFVLKPTETISYGAHFADPDISLHWP HILQVLVPTFSAFSVAQGYVSTEKHDSHDLGSSYESHDLEGMGEEAAERRRRMEEERR GRMCPICLGKPVAGRMTKCGHIFCFPCILHYIQLSDIPKSARCPICGDTIHSSYLKSV KYLDAAAMLKASRGEDDDDDQDNGQSSCSPNAENATNDSHGHGIIGVMDGFEETLEEA KAVDSHQISEDKGHQIHMRLVQRPQMTTLALPSSSTWPSDAIPPHTAPWHFLPDILTY SRFMLSTPEYMLSELQRELTELKGEWDMLKGDELGREFVKAAKEKVERQMQKVRAELV TELVKRSERVAREAWGEAVGGSRREKERKRERDRIAKEREERQKSEQEAMDIPHEFLA NSIPATPIPSFDNSANINIPPNIEVELNPMPTPTPKKSRRRGGGGHGPNPPPAIPPSP SYYFYQSSLGANVFLHPLDIRILLAHFKSYSLFPPTISFTTTGYDPGTINDELRKRCK YLGHLPIGTEVIFVEADLEPIVTKEVLDQFEQPLKARRMKRKDKLKREDKAKLKWEKS EREKLPIDLRSTPSAFRTGGSGQDRDFALALARSAVEFDPTQNIGFQPSSASSSTSNP LHYPIPGTSPSNGSGIWNTNGGDSQSRATFAHALHNHTTSSIPVQRRQEIDWEVEAAW EAFENMSMSRGSRNQPEDQDADPTIASTAGTSPTSTVRESEKGGGTGGKKGKKGKGQK LVLGGGGARRA L199_007337 MVKFIVIGGGGKVAQYFTEHAVKEGHEIHSVIRNDGHSDELKKL GAQIHILSLEDASVPDLTSLFTKVNPDAVIFAAGAGGKPPGPEVIDYQGAVKVYDAME SSNRKRLILIGAVDVRTRDKGWPEWYDEEDKKTSERVWKAIPTYLDAKLKAEIELHKR KQIQFTVVRPGGLTIEPAGGVQLGKTHLKQTSRELVAKVILAIATSKGTEGLTIDVMD GEGSIKDELDKVVEDGTDAWTG L199_007338 MTIHGLWVISKAGGLVFSRSYSDVLPPQPVNTILTLAGILHGIH AITAKLTPTPIPGVPVGGLESFEAEGWGGKVFLTPTGTKFVLLHSIPQTELDDLMKRI YEIYSDAVMKNPFHTPEMPINSSLFETKLQTLMSGVNA L199_007339 MSDSTRGQSIIPPHLLSSIPTSAIPIPPPDPISLKPPQNAIKSN IPTFPPTSASGPSTNPPKKSGTISMSSLPTNVSDLLLSSLLPPNLPKLPPSTSAGGST KKVIGSGVPRELSTQRESLSLPLVSNNFRRFMTRVGPIFWLQDRIEEVLFWRKPIWTW AWMMTWSFVCFKPRVLLLLPSLILILILLHTHEKTSPLPSLLGISIPPSNLTDRKTVP GSPDLSKANSGNPTSGGYSTTTTKDSEGETVEKPTVAPKESESGVDIYMNLQAIQNLM GLVSDGYDYLAPRLTSFFAPGSNQSTINSSSNLPITFTHMILICLPASFLLPLTPSFL IPYLLLPIGLLPPLIFHPNLTSYLLALPSHPIVKRVRSYVEVILLDDKLSDEIGNNKK IDKVEVWENQRLDPKFSSSGSSTTVAGSGKTVDISSGSWGGKFLRASDRSPWVKVDTP TTKWNSTTGEQSTLPSGSGSGEFTDKEKEKEAKMILALKDGWDWIPNEDWRVDVCGLW SENGVDEEGWLYTDDSWQNPAPTPYTEAEIPINDKNIPGGQVQMPGLALRRTTRRRKW WRRVYEV L199_007340 MAPVGTTPDYIGYGYAALLALGGIMGGVKRGSKISLVAGVGSAI AAGYGANRVSENPADVVPSLVTSSALLLLMGYRFYQGRKFMPAGLVATLSLLMVIRYY NLKL L199_007341 MASTPSSNPADRRAAALAAIEARLRPPPSYEEIRPESSTSSSSP IAPSAVPPPPPPPPPASTTIHDAPPISPPLRQNPAAQAAQARAAAAAAAESASCQNTE THWVPTEKEDRDTRIKFSRLLDRGIVRDNNYKDSADAVETLLKVATNIINSNDPKYRT IKASNSTIKNKVLDVKGGHDYLIALGFRTQTVQFTLNYVFSATIKKMHELKIGAEVLS DHLKALQERVELSRQSKVYGASVEAARKKAALADIEADREAVRARAARERIVREAREA REREERERQAQSEAEMMVDRDDEERREMTDAVVRPSSDRDENEEDEDEDEGDEGDGEL PSYAEDRESRGWGGPGRRLGG L199_007342 MCTVSPSSTPFPTLLDAILHYYPLTGQSSLPNPLPHPLPSPSKT GTAPELRRRRTSASATTLPWPTPTRRSGSRFLPRDLQPQLNIPDIHAPTPHPHPSNLR ADLHQQTGDPSHLSPSLSGRLDNKENMFPSEEQHSLPSSPYSSSRHAKGSASPSRPPK LSALSPLKTFSPLQPVKSALPSTSASSDAASISGRSRASSVTRSLSRRQSLIAHAANW GAGGHNEPEHPDAANLFSRLTLVKAPAADTNIRRHNRSKSSSALAPFTSFSVASDSPA TSNRGPRRSFAASSFGGMSPLPLARSPMQEINTSLHRVGSLHGLSDADNKGIQSKHYS PDEVVDIARQLSSPVMVPEGGFKGAELKRRKSAGSSVRPGSKELEKPPVALEPVEYVQ MDEDVLLPFVERPKEVNELISHPANEQLFKLLKAAFPKDPARPNWREIEPELWNWEEF LKHLTKIDRSESPDYDWIFRARQSVRARSVALWEKLGVCLGCDGDLMNAGSEDDIPLS WGGLGLGDEGEYDPTMNQVWIEGLEAVDPNSPSKAEKALAAAFGEIVEDEDEQAAAGM HALLHPGNAMGIIGETESEEGATPIQSQFTTAQKAGNKDKFDPILATSPIASTAHMRR LPTTPPRQSKKDGRSKSFVGLQICTSPTAAKDQYVPRSPSSQLQTPVLGGSATLPIYE RGPGSPLFPSSFSSLSAEPNLGRSASVAIHGAGRPLQDDLRGFSHSNANTSGFGPRGL MRKQSGAGLSESAITFASESDYAHSHGDGH L199_007343 MSMFSSFSFKSLDESPKPRTIHQDDPTGPYSEEEDVFDQDHEFD SYHSSSGFGSGRQSRSSLTALAEDDEEEEGNRKNGESHLFLSYLD L199_007344 MSSSKVVSIRNGKRTPEISELKSCGTPASKITITVDLSHLHEDT AYKSTTLKRSERTPQRDPSDLSIRILHTARNRFFRRVYQVDYTSTISQSLVMGQSVNI SRSVEQLFRTKTDGCKLCSSSANADINKVYELWCNKVTVIYESTNGLVSCDDALHIQA APPSLIMVKSTNNEADITSLKRFPGKYREALAEIQNSGAWKLYCEGCEAEGHAILHSD VVIPPSLADTFNKLSPIVWRRDTT L199_007345 MGGANFAKKENNVIGLTDAQLRAVAEDVDPELIRIGHEVVSRQK QETFAQAWRNHWGAGMWSLFLSCALFMEGYDTGLISTFYGMPQFQAKFGKPHNGKLVI PASYQGALLNLGKVGQLIGLIITGTCQERYGSKKTYIWGMVAMTASIFLAVFSINLDM LIGAELAMGIPWGMFQTLATAYAAEICPIKLRGYLAAFASVGWGGGGFLASGVLKGAL HLNGNLAWKIPFALQWIWPVPLALGCFFAPESAWWLVRKGRFEDAKATLVRTARQGFY AENEAEGYIAYMRHTDAMEKLEAKNGSWIELFKKGPTLRRTEIMIGTWIVQVANGNMI TGLTVEFLKQAGMSTKEAFNMNLILHAMGIVGVGLSWIFLGYFGRRPIYLSGLLSEAA CLLPIGILGFVEKSNASLKATGGLMIMINLIFHFSLGPVCYSIVGELPPSRLRSRAIV MGRFIYVVCAIIFQQINTRMVAADGWNLKAKSGLFWVGCNAFCLLWAFFRLPETGGRS FTELDILFANKVPARKFKNTVVRDEAAEYGVQGKEAVDVVQDEKEAVEDVHVEHAGNN QRTATHTLT L199_007346 MTSLIPLLTSLPLTHPSFSPLLQSHKPFSHPSQLPQSSLNKLLN RLNSTILSRDDSSDRRAACDIAELVIRMDEEGYVMTTYGKGWVGTCLGVISSPSSSIS NIPSYLTLMRTLVISSSQYPSFEREVIHPITGKLSVSLGRLFERGISENRPEWDIILD LLSIVRQFIIHAPAPFRPLLPTLKPSLFNLILQIPTPTNPYPSIPDEIRKSASELVAT LHVTAGKANSPQSWGMEIREALGGFGRAMSGLTTDGWEEEPIKAQPPNPPSALPELPI DPLGRLPVALDWAEGFTEVILALLRYPTARPVPVPIAQIVSAGLRCLAITLDTSTVPY ISPQHHAALLASSPRIWTIGMQLIGGVAIACGDHLFPHLGNILDHTVWLAERLPASMT DTQIQLLKFHHLLLTIFPPAVVSLEYPTRLLRLCLTRVQPLLENRTKSDISSGNVGGG GKRGKKRARNAEDGLVGGLEGREGRTVGVDEVKVIVCALQLVPLLHPTPLLSPSLLTF SIRLHLSLHLSIPSLGGILSSSSAQSQLRESVHDVLEKAVLMTEGEGGTGRGWKSLII SVLDQHSESLAPILHPSLPPLMRPMPPLSQLHFFVKVGEEERKERIDMGFGITDDDVV EDVRGQEDGEEMIVEQSVVKTSTKTNSSSAQPTTAISRSVVTSSTTTPITQAQTGPST QKHVEVESTLVPATTPLVVTNQTTAPVTHLAQVEAFATSQSSTVVSNFISQPSSSTTK ISSLSEEKESDLGDIVMLNQDEDEDEEGIPELDSGSDDFDEDGDDEEEEVE L199_007347 MPHRSSSSTSTYSSTPTTMSATTYDPTPLSPSSHRQLPKLRLML STSTTWKPTRGHKRHRDDSIQVEGEDQPREEKRHTIKLKFRNPTPSSSIKKEPIPQTT LTSTPTYISSFGESSGSSARMDIDTTSRPSTPTPSLNYDTQSGPVTKRPRSYSNSSSI IGLLVDETDTTPSSPIDGNTSTSATMIPSTPNLSSSNKSHSHIHIPSPLASTPILGVP LEPPSLSRAVSDPSPSSSFSRDIDMNPSPTFTPGPIDGKLTKTRHKLFMAEVEALGTE MNNVFKLGYGRGMGRALGVGVGGRGPSRLRSGLQTQTGEHKDWKSMEVDE L199_007348 MSPEIILHHLNASRSERIFWLLEELSLPYTVQVHIRGPSRTAPP SLLKISPTGKAPALILDGQTLTESAYIIYKLLRLPDVQSNKDQLDVQVDSTDDDVFWS HYAEGSMMNLFQASAIVGATSDAWVNGMVVGQLDEGDKKAVQGYSGFLTTKYLGPQMQ NTIDFAESAINKTQHGWFSGADKPGSGDFMMFFAINSLFAGTRAGAFNVGDGLKGWYD RVLERPAAQRALQRIKEEEEKAKSKM L199_007349 MTESPPAIDDVSIGGTAVPHRVESDKTLCEDENRSIQGDTKDST HQVANADYNNRLSKATPISEPNMNNHMISARMRQSQPKSQSSNQSDLEQGPTDANNEY KKNPKNHLSDKSIGFQILFITITCATQLIAQGQFGMLIIPLNDLGPWLGTEDAGQMSW MAASYGLTLGVMVVVSGRLGDILGPKLIWSIGCILGIASNIGSGFCKTPIPFDICRAI AGIGSALSLPNALAILGRTYPPGKMRNLVFAILGALAPSGFLIGGAAAAIFTVLVNVR WIWWFTAMFTFVFLISGLLILPSDRRTSSSSSSTTSQKIRSFDYIGTALLVLSMGLFD FVWNQSALVGWEQQYIYILLIISMIGFTLFYMYERKIDTRALIPPEVLSRQNLLVYLT LWLGWMSFGTYLLYTKLFIFNIRGHHNALIITAQMAPLMPGGIAAALLVPILIHRFAG HKIFLIAMISFFIGDLFAALAPVHQTYWGLTFFSLIIVVFGPDLSFSTGQLIVSNSVE HEYQGIAAGIVSMITNYSLSIGLGLAGTIERYVKGPENESPEDILKGYRAAFWLATGL AGLAVIVVALFVRMPKQSHGHEKDKEKPTELGS L199_007350 MSSTWREFMSWNKYTQVASRALRQALTETDRVAAEKRAAIGVRY QLWENGQGGEQKYVVPPAKDASSGTPPV L199_007351 MSSSFNWIGETLLRTLDNGDDKNDTSPLPPGSGPLRPWFSTQLI LSLAIGLTSFFTFCFLRTRWDVVYMGRTKLKDFSPTPAHSPDAQSSSGKTRFFGWIRP TLRTSEFTVLQTVGLDAAVLLNFFRMAFMLFGLSAFLATMVLIPLNLFRHGSTDSAPD PGENSTISYTTLYINAIANNTTPITRPSLYDILLDPTTSSTIHLIFTYLFTALCLSFF HNNFHRFVLSRQSFGLHLIHSISARTVLVSNLPQHLRGDRALADYFENCGWMVESVSV CREVEPVRKVLERRTVALLKLEEAWVDWVGNPVNKLIKGYSSNVYIKPPLAGSLSTSP QRTEVRLIPDLEDNEEEEETHQGPQLTSASANGSSSSDTELAQSSTLEEGEQRAHVHI HTTRPRPTYRPNWFGNKVDAIEYWEKEFEKADEEVKDLRKRGRFEATHAAFVTFEDAK DAQTACQVLHYPHHSEVVTELAPEPRDVVWSKVSMPNREAQIRDFFVMGTTGVFFLFW FVPVSSLATLLSYEEIKKIMPWLAKIIDASPRLAAIVQNSLPLLALTIFNGLLPFFLE WLSYRQGFKSRSATEYSLLKKYHLFLLISVLFVFLLTTTYIAIVRDFVDSPMKIPQKL ASALQGANVRNFMVSYVMLQALGLMPLQLLNMGPLLTLGYSRALSTKTPRDYAEANAP PMLNYGWVYPQALLVFTITLVYSVMSPLILVFGAIYFGVAYLVYKYKLLFIYFKPYES NGEAWRITFARTLWALVLFQLFMTGLFSLRQFFWASGAMIPLILYTLWWSWVMWRDFG PLSQFLALSSICEVKRGEEPTGVAGVGDEGAVSRSQSNLNHRRYAVNDETLYVAPSDR RTDYSQPPMNNFYFGVLNTGRRRYDHPALSGLLPTPWLPAKAKFGDGAKEGGKRSVVL SLRRKVAKKLQRERGENGGDTPDSGNGSGSGSGIPEGWSTGQSLRPSKSSTSLKKSSS SPGAGGSRSGSYGATGDSLNPWRDPTPPPSELNASGMLRKKISFDPGSGVIALPEHNI WGDEEEDDDEENNGEDEPESPSTYYHSRNRARTLSSSRVLDNVPPSGSSGGGNAGPGQ PGSGIQL L199_007352 MSHRGNPASSTGGASYASFSSGNRFGPTANIAPTTSTPSATNSS ERDAALAKGAGPYGAGGIEDDDDLDDHLHTFTAAERKDLSTPFDITSWRGWANALTLL TLAAGGVMLFAGYPIIAWYYGNSNSSGANTSGYNLGGINGSGQYPSITGLPSLIDSDT PSDSYTKTGSDGNEWTLVFSDEFNKDGRTFYDGDDPFFQAVDFHYWATGDFEWYDPSA ATTQDGHLVLTMTQEPIHDLNFKSGMIQSWNKLCFNKNAHIEVSASLPGVTTVGGFWP GIWTMGNLGRPGYGATTEGLWPYTYDTCDIGTLANQTYANGTGPAATLTTGSNDGPLS YLPGQRLSACTCTGEDHPGPNVGVGRAAPEIDLIEAQIILSESRGEVSQSFQVAPFDD HYQFDNSSANFKHYDTSLSYWNTYLGGNFQQAVSTLTRVPTDIYYNQPGSNKQFTTFA VEWQAFPDKREDGYIAWYSDGEPSWTMYADAVAANEKVEIGRRIIPEEPMALIFNFGM SNNFQAVDFDNLIWPNYVRIDYIRVYQRTDTGSIGCSPDDYPTADYISKHAEAYNNPN YTTWAEYGGTFPKNRLIDTC L199_007353 MSRSHIANMHSISSLPSVTNQELSTPRSVPSPAYGLNGGACTPP PRMSSDRDRERPRDSGVRAIGAFFSTPYDSDLDSDDESISTTTNSNTTRQPVAIARAR SARAISVNVNKATAKGYKDALEAKIKSQAGELDHWEDDQDNHDGREELEPPRSPMKRS EERGRQSDESGRVRPLTVVEFPEPTLQPNQGHGRGYPNSNAPPSYSNSNSSSGYPRTP PRSNPNPTTAPLRPDPRIDTSGLSPPRTILLPPPSFPISPLLAAPPQLHFSPLSPPSS PFASPGGGGHGRADSVSNGSIRGFDIMAEKKALFREGQEELFTPFSTRRSKPGRMGVN GKQTKSAFLASGMDFWKRFSVHVRLDEQEKASKNENAWLSKAQERRGRIKKIIWVVVI LLIIIVAALVAYFVTRPSPPPASIATSI L199_007354 MSDVDSGASPDLDIDDPCAISNDDSRRGLRIGAIFIILVTSLLG TLTPIVFRHTANVPTPVFDFAKYFGSGVIIATAFIHLLAPAWDELTSECLTGAWTDYD WAPAIAMAAVYGIFFAEVAAYRIGTRKLEKLGVNYSSHAHDDTDAHAHTHAHDPPLSV DTTVPAPPHHIHPSASNIQANDDTDPQLQSAAEKQVRKADLESNTDIDTVNQLPSAAE ASAQLVAVAVLEFGVVLHSVIIGLTLGVTDEFVTLFIVIIFHQMFEGLGLGSRLASLN LPKNLGYTRWVAAAFYSICTPIGVAIGIGARHSYNGNGATANIVSGVLDATSAGILLY TGLVELMAHEILLNPRMMKARDLKLAYVFTCMLLGSGLMALLGRWA L199_007355 MSSISTAFKVEDIFGVKGKIVVVTGGGTGLGKAITAGFANNGAK VYITGRRKEVLESTAKEIGGDIVAIQGDVSTKEGCKAIADAIKAKESKIDILINCAGV MRGWKSSISNHDDPDQVADLLWEGHDDDDFNYSNSSVYFITAALVPLLRQSDFPSVTV IASIAGLANQRAMGTVSYGVTKAAAIHLGKLLAGRLHPMKIRVNTICPGIFPSEMTGK SETGEGHEYNLAEGASKAAKRSTAGRPGLPEEIVGPVLLLSSRAGGYMDGALLTVDGG RLMGAGINDGLRLAEDTYI L199_007356 MSTTHSDPNVPLEANSLFSVRGKVIVVTGGGTGLGRMMAEGFVT NGAKVYITGRREEVLDKTVKEVRESCGGKGGSISSIQGDVSTKDGVRQIAEIISSKEE KIDVLVNNAGAQKPWKNPIQDHNDPDAVERLVWEGVDDDDWNITNATNVNGVYFMTAA LVPLLRRSDIRSVIVIGSVAALANQRSVSSLTYGVSKKFWLIALAGSKKSALHLAEML AGRLSPLRIRVNTILPGAFPSEITSKLDQDGNRVLHPPAQKAALRSPLGRAGYKHEIV GPALLLASQAGGFMDNAVITVDGGRLMNAGINDGIRMPEETY L199_007357 MPHTKDSTLSRKTIKSSDGAPTVTAELSGYLRHLHHPHDLAILG FDKETKQAKIALVDKGLHPYMRGYRESFEGTHSVETKFDFESRGRALIEQMNSEYKGC KTQSLLEKNKFPFEVFSFCSSAKISCSDALMSDSLGHVYRAPKSFKFTEVRRPTPSYA SMVASRKAWCDDCGSYRRMEVLPNERFDGYLEEAETDKVNETDMEGSSEREGRSWWDT ASDLWDRSRRTIGLASAYASREPSLW L199_007358 MASSLSGSVTFDEIQPGWTVKEGSPSVRASFSASFAHNHQRSGA DDTFHSTNEDSETMTPSSGTITIVLDPMEVTGGLTYDKILQRLDAKSREECMICSKSP ASTEPTLSHQFRTDHKGLRIEWQTNNTIMGCPNIHIQDAPSSYKVEMTEEDFASFEQW KDISITYGKAVTATKSFCESCSELTDMSVFRDVVKARSKSVRFEDETLKWQASPC L199_007359 MSIYKYHSIPLENLDDARQSASAYENEYEYELDEVDNMSSDTKD DVLLPPRIYDEEVPLEHDKDKDKDLHQDPDALGVGHQVNLVEVPFATTPDGHRLTVGD TLPEVKAVALETDDPDEPCETIRAYVLGTIVASVGVALNVWFGARQPGIYISPFLAQL LSYPIGVALAKLLPKTKFTTFGRTWTLNPGPFTMKEHALIVLMATVSFPTATAVDVII AIRQPVFFNDPEMGDNKGFQFLVVLSTQFLGFGVAGLARDSLVYPSAMTWPLNLAKLS LFNALHRRKVNEYGVVTLPKEDEDEQQDPPVHGWKVSMFRFCLYATTASFVWFFFTAF IFPSLTYFNWPTWINPTNKKLAIIMGSITGLGLNPIPTLDWTYISGAGLTPLITPWWA TVSTFIGASIGYIIIAAIYFTNTWYSAYLVPNSNQAFDRFGAYYNVTAVLSADRTLDV EAYRAYSPLYFGAGYNVVITAYFASYSAILTYALLNHWSDLKKGYQTGVRRVKSMMNK KNKDQHEDTIHHFPDYDIHYALMTRYKEVPQWWFLVIMVFSIVLGIIMCEVYNTTMPV WGIFCCLAMVLVFAIPTGIIQAISNMQMSLVILAEIIPGIAIPGRPYANMIFKLYGWV SLSMTLLYVLDQKLAHYLHLPPRATFRAQMWGCTISSFISIAIINWQFKAIPDLCMPG QKDLMTCPYYTTFYSSALLFGVVGPERMYGSLGLYKHTLWGFLAGAVLVAMAWAAKKR WPNKITRNINVPVIIFGVMYFAPYNWSFVWAGVPLAWFFMSYVFKRFPAWWNKYCYVL SIGLTVGAAISGVIQFFCITYPGGIMPSWWGNTVYVSGCDALGCPLKEMPEVGYFGPG PGEYL L199_007360 MTASVHLVVLIHGLWGSPSHLSVAQEELEKAWIGNENGQKVSQD VDGPSDDPIITSKIQNRNKENGELVIMIAGGMTSQLTYDGVDVCASRVAYEVDQKIEE LEGEGKKVDKFSVMGYSLGGLVARYLVGLLHSRQPSFFDIHHPVSFSTISTPHYGIPK YNTLLSTVLCWLGARVMSRSGEQLYVVDKYSDEDQRPLLEIMADPRSIFYQGLERFDK LEIYASAINDNSVPYPTAAIEPVDHFAQWEERGISVDSDEDGIIQSWTPNDNGSTAED EDDKSKNNKNKSGSGFGMRLGTLPPVLRYRFPFNYIILLLFPIMLPLIIILILTRQSL DTTRSKRRLQLLSRTSSSGSSSSQIPSSSGLSIQALRDGIRRIERSLESDLVENQELL DSPALHSHHPIGDGMTKDRRGESGTDLKIILKDSQMRMCYWLNQLPLEKYLTWWPEIT NAHATAIVRDSHKFPVHERGRGLIKLWARNLLDKPKQ L199_007361 MLNNRRLSHTSTQPLAPTDLNPQPLQRGSGIEYLAESSKSRRSK AMGVVNKIGRALSITKSKDRKSSISEIGPLINPSDQGHLVTSDVRLTPKPLPPRFMGP VMVPLRDDEWINKDKRRAARPPIPLRSHQASNPRPSTQSEADTLYNSPWNTRWNRSRH ALSVFELSSSATSDSNRVHTHPYVDRDVFQQPSRPGLSIPRTPNPIRNDEFHPHTNVS AKELVTFNRPLPVIPKDTITPQRQSKRKPVPVTSSFFNESFESLIDKTTPTSVEESWQ PLKIVRRPSSIMLEERIKKLQPKDTIHTPPTPEFRQLLPSPPPSGSSSPELSMVDLQT FEDPLITDLPETSFEIGQDNLFDEVLTSWNLPPLSRSNSLVLDKIHPSTRPDRKKEED QLSRSTGKLNLESRGYRERDAEIRLVPTFYPSGRSFEPIRSTKSSDMLRAVEYDNAAD QEEESKGRRKVSGKVKKAVEVFEGRRDPSESPPTPWKEMAKLLRPKKSDSSIGLQEET RQMMSEAREKMSRPKIKSRSRTKSDDWFGSFRKFR L199_007362 MSALRPYSRPHLFSRAISCQHFPCRYAQTASASASFDSESSPSS SSSSSSAQYSYTRRKANDENSDSRKGKGRSNSLADTYVFPEKGRLGGPPDPFEVMALE RGATESEVKKQYYKLALLLHPDSSHPSSSHDHFSTLNRAYTLLSTPSSRNAYLKTGYG WNSLSQFTPNRPPGDYSMHEEIMRRAKGGASQWERRSYKDSSPDWGGFDGSKGWKNFN DQTMNFDQGTFYNGTGEERYMSNPRFLFVLGIVGSSLAWMQYHRLGSATETHRDLLDR QNTDASHALAQARYEAAIHGKTRREQIRRRVREAEIMKELEKIENGHGHQTLAIAAPP SHQSQTTSNP L199_007363 MKSFNTIPFLIISILTILNDNNIIKVDASPTSYRGRSPKLRVNF SGFNQVEKRQNNGTAGGFSWGHDPMRGVNIGGWLVLEPWITPSLFLDKPDWVVDEWTY GVYMSYQNDTMGEIRNHWNTWFKYQELKDIAAVGLNTIRIQIGFWSVIPLQNGEPYLV GAYDYLKLAVTWAASLGLKVMIDLHGAPGGQNSWDNSGIRGVREWFYKDTNIERTLDA LTIMTSEFVKDEYQNTVIAIEVMNEPFPNNPNEVNILKSFYQAAYSRIRDSAQGKRVT VALDQAYQGLYVWENFMMDPDYWDVAMDTHIYSMFDLNLLSMGYNANLGWYCSQVDYL RQSNNIHWTIVGEWTPANTDCAFWLNGKGRGARYDNTLITSDPLQFPGDCSAKTGSDP AKFSAEYVDYLARSFEIQSWVYEQASGYVVWTWKTEQASDWSMQTGITYGWVPNPITA KPHG L199_007364 MASATTALPSSSKPHPPITILKRARADVPLAQNKFLKKTARGKV LNILRERYLRDDIPCGFEGCKLCVDFPGYRPVLPTKGYTQHTKYGDGQWLVIDTNIVL HQIDLLTALPPSLPLIIPSTTMRETRHRSLPLYNRLQQVIQDEDRCIWVWWNEERRET ATLPKEGEDQDKESINDKNDRAIRQTLHFYPSHLSQSTSKFPKLILLTDDRRSREIAD GEGLLAISARDYVDGMLGEERERLVDLVVGGVDEVSVGDKKGKRIYQDYLPQDTLLAG VKTGRYHQGHFNANQYNYLEGTVNVPGLNKPVLLVGREAMNRSVNGDVVVVEILPESE WKAPGTEVVDQDLALRDDDADDDESPGLAEKEIEKSKAEKMDVDEETKRKPKDILPTG RVVGVVKRNWRAYVCHLDRSSLSEAALTSLSQQTVFATPLSTSLPRIRLRTRQAPSLI DQKILVTIDSWSVNSRYPDGHFVRSLGKVESKEAEQESLLLEYEVPYRPFGKAILNCL PEEGDQWKVPPKAAENLEWRDREDLRDLIVCSIDPPGCQDIDDALHARRLPNGNIEAG VHIADVSHFVHPDNPMDSEAASRGTTVYLVDKRIDMLPSLLGTNLCSLRPFVERLAFS VIWEMNENAEIIKVRFVKSVIASKEAFTYEAAQLRKDDKSLNDSLTQSIRLLNTLAIK LKEGRMKAGALSLSSPELKIHLNSSESTEPIDVEQKQQRETNSLVEEFMLLANISVAA KIQETFPATAVLRRHCPPPKTNFEALQDILQKRKNMTLDVSSSGALAKSLDACVDPKE PEFNTLVRIMATRCMLSAEYFCSGSVSKETYGHYGLASPIYTHFTSPIRRYADVLAHR QLAAAINYTPLHPSLQSKSHVEKTLNVVNKRHRLAQMAGRASVEFYVGLALKARGEKT GNADATSGKSSREEAFVIRTFKNGLAVFVSKLGLEGLITFKKDLHTFDPENYTITIPS SKGEISVSVFDKITVDISIEKDQNTQRGKVKMVMVGPVSSEDL L199_007365 MAPPVKQPEKLRIGFIHPDLGIGGAERLVVDAAVSLQNLGHEVV MFTSRHDPKRCFEETRDGTLKVHVLGSSIPRSLTSTVPLTIVFSILRSLLLSILLILS IHWPEPAVFFNPLAPLKPFDVFIVDQQSVSIPLIRLMTGTRVVFYCHFPDKLLSGGWE INIDENEKKVERKKTGLLKRLYRWPIDKLEEFTTGQSDIILSNSNFTSGVYSKVFPSL AKRPPRVVYPCIDVDNYQTSTQKKGKGKGKEKVDPEIVLIASDRPTLLSFNRFEAKKN VALAIRSFAKLRDDGLVSDDEFDNLRLVLGGGYDKDELDNLTTLKNLETLCNELSLRH HTISSTDPIPIPPMYNTQVLFILNFTNKQRSHLLTSSNTRGLLYTPSNEHFGIVPLEA MSCGIPVLAVNSGGPTETIIDYEQNPDEGTGFLESPNPTEWSKALSVLLHLSDQDRET ISKSARKRVEDKFSLNTLGKELEVASRDAIAMGNINEVLGDILIWASAGLIAFAALGL AVTIYVLNE L199_007366 MEELTSAEAAASQQWPSAPVYDFEKNPASSIRLDAREFEAEVKG NFWRSARWCMDGSATLSTTEDRTIRIHTVNDDSSFETRSFHQPDAIHSTLWYPSATLS TPETFCFVASIRDTPVRLIDGTDGRVRASYPIIDHRERFIAPHSLAFNHTGTKLYCGC ENSIEVFDISSPGYDQGERLKLIYAKKEKGGQKGIISALSFCPDHSGTFAAGTYSSSS SVSLYSEDTGSTPLAHVEGLVGGGVTQIGWHALNPTIMFISSRRSSSIQIYDTRDLSA PLSSFERSGSTNQRIGFDVDPWGRYLSSGDETGMVRIWDISTMDIKPIFEEKLHQDAV GSVQFHPFQPLLLTCSGSRKHLQNEYEDDDESASSESEEESEDESGSEDRATSGVQRG YPRPFDATLRIWSMNGQAGRDTTNNELSTAPA L199_007367 MEGLGVRQAAQRIQQVASDDLEVYEEPEVIETPAGTRTRRSKPS PPSNFSGRWPAGSTSTPQESKDLPHEQMTALRRDLHKQRKDMQNRKSEGRNAGTGSPF NPGMNRMDNRNVGVSPTTKHTTQDVNRSRQQLAGPSSNITTSVTPSRQHQTRVALGKP QRIPAHLSQPPDASSTPRAGPSKNRKQISSPSKLRTPEEVIDFDTLESDEDHQVVPSS SRGASPHKPSSSDHRRASSTDYTKRSAQAYNLRSPQQPSTGKGKEKMRDNGYHANSSP TKGSGSGLPLQPEPESDPIRNPNGTFKADMNRKKIYEVPDDDDDPGDGPGKGQAPKHK SSNQWQRSLINDTISRSGEKTERKPKHSRMQGKDGKVPLQHAQKPTPARQTLKDRMTR PPDVIPSAFGHRNLTRPTSEQASADVTMAWLVPDRRLKVNAMQLKNDALMVSGTVGPS IEWWDINLGDISQADTCNAKDCPFMILTVSTVRPLAERSIVKLLAAGGSANYQGIDAS PSICLSLKPGESSKTLIDALGVDLVHLEKKRNILDVRACETLRSSCNIQSRDTRSRAD EARRQKQKAELQKEVEGPGLRPRGPRKSSAKDEESSRPPKSGSKDKAEDNSTQTKLVF PPAPKPQPRRSIRNTAKTVHDLEDSDTGQPENSIVARPSKPSRFIGDRNELLFPYPIT GRADVNITIGDAQRIETEEFLNDTLLEFGLRHVLFNLEERRRDQVHLFNSFFYERLSN RAKRPQKGETFWPGYESVKKWSKGKDIFGKRFVVIPINENYHWYLAVIINPSGILRPK PIEDDSISVIHQPGARATASEHDGEDAANVDDQLRTADPPRVTDSDLEDLNSDTEDQP SRHPTPGPSKPLSARLTRPPNSKEEEQERTNDISMMSNDPLDCISNGDGEGESSDLRV SQVSSGVEKMDISSENGQEEEVDFVGGGAPILTSTMMAVQEQNNQIRNASQEPAGESQ VKKTQARPDVQIIDSQDTWILTLDSLGGPHKAVGNQLNQWLKYEARDKKGIEYEPVDA LYHEAKVPQQGNFSDCGLFVVHYAEKLLQNPNEVLRFVQRRPPFSNTPEREPWVNEMN KAWRKDDTEGLRNEWAGILGSLADHYKIIQGSKTDEKQSKDVSSNSNQDQGMEMEGII DTSQSESVTAIGASQFPPMADTSSTVPEDLIPGAFPTPIEPVVPTEPEKSASLTPPPP MSRGASNTSKKGPSWATRSPTPDVETRRDRSRSRTGSIAPELRGRALSATSTTRSSKS PEKDIPVRHFPPNPTRTAKTPGRSSIEVGRVHQRVSQFEQTERDATTDNRVGSRESEE RENRLREVEHLRPKRTPLQEISETTPASSSRVTAALSFAFSESEDKNHDVLAGMVDDP IEASPFANLGKGAPRQSSPRRSVNQLASSPLNATSRNRNTDTSDHERMTVDMEGISNQ EEEEEQEEIEEDKNFFAKFSGSHQRSDEGIKTPASNPSTEENEPIENLFPKDKSPVVH YKYGKNGKNKSVSQNQVNLPSKRTRSTSNNAISGSISKKNKKDDGNDKGDGRTKEEAI TIDSD L199_007368 MPAPPFGTASAYTDSFPAPPPRQVTVTAETCFNLSVFRDIVRQY RKLDDQIIIRLNRAQAQLRDQDRLGSGVEHMNGSEGMCAKIWTEIMAGWAHRQTLLTY CSTTVKDSVNNKIQVDQDPKVSTPRWERGIKEEEVLADQLESEEGIEAIIRKRTLDAF KSRCPFFSPPSSDQTSKQWWDLADHGKKGRGPDIIN L199_007369 MVASGEKKGKVILAYSGGLDTSCILLWLIEQGYEVVAYMADVGQ EEDFAAARAKALKCGAVGFHLADLKREFVEELIYPAVQCNAIYENVYLLGTSLARPVI ARGMIEAAVKEGCDYVSHGCTGKGNDQVRFELAFYGLAPNIKVIAPWRLPEFYERFAG RSALLEYAAKNGIPVTQTAAKPWSTDENLFHISYEAGILEDPNQTPPDDMWKLTTSPQ KAPETPEQVHIEFSKGLPVKVTFPADKKEVTDAVDIFLTLNALARRHGVGRIDIVENR FIGVKSRGCYESPAATILRVAHMDLEGLTLDRNVRALRDQFITTQLSQILYNGFFFSP EREFVTAAIPASQKTVNGLVRLKLYKGNVIVEGRDADEGLYDAKFSSMDEMGGFEPTA TSGFIEISSIRIKAWGRQNIKRGQGGVSPKDVYHRE L199_007370 MDKPLPASQTKHLTNLSHPLPPPHSNISVNLRQISSSSGGGGTG TTGTTGTTLWLSAQILSLYLSSILGTSTTSNNKNNCNGVRINRDDDDQKKQKVVLELG SGIGYTPLVLANLGWKVISTDIEPVLSKVLKPNIEYNKRIVGNGIGEIEVKELDWLYF DQSQNQNNNDIQIPDEMKYLGKIDMIIMSDTFYSLSLIRPLWNTLLYISHLKDDDKPP VIYISLERRDSLLIDHALETGKKMGFDLKKVNKSRLTKEIQSSGWGWEGEDWDGVEIW KCRWKGK L199_007371 MPGPLSPYITPPPSLPDSVCSFRRTSLDDIDPEPHPHPFQYRRL SLDDIARPKHHYNLSSRRSSLNDISQPAQHHFFRRHSEIFRPTLNARVRLPPLSAITD CSGGEDAEGDDDDSRSIGRADKRRRVTRGGKSVDIVPSPRKDSISRSGEDGGSTSPSN QPSLLPNRNGRGNGPPTLAHIKPIGFAASREAKRDDGTGSQALPSPVVMGFDFKTIDE DQLKTVRDTISIKEQQQALIAARRREVAQSQPSTPKELTFKGWAPKDPENPIPTPRES FSTAAGPNGPPPPLSAGGGVGRRREKTRDKVEKMSIVTSATERDVVPGSKSAPLNQGL ASQQASPREPPSGSQTAVPPHTLPPIHGYGHHSLNGLTDPRTAPLRRGGEEHEFARQQ GPSSSYYNLPQTRPLARPYDTQRGPSTGIPSERRNFSVPSTNLQPPRYEIPSPRRISN ASTSTAAQHQQQSNSPISPRVSREIFLAPFNQLYGLLSSTDSLRYNLQDLHNRYEVLF QQQLSQMTEFKSTANASNTLLGNLQQSADSLKEMVRYEVERNNSNKDREIEELRERLR RLEERADGEKKD L199_007372 MLVHSTPPLPPRLPPIHPRTLSNSTATPPLNRNVSSTALNGQQQ PQQQHIQSPLAPPPFFRRTSSLRDPPPGGSRSPSIVESEQDFQDEDEFMAHQTRSTRR KVSRRKDDKV L199_007373 MRCRVCLKAGQSLLDRPTSSKIGARVFSTYLSTVKGSSINHHPP LSNVAAPYETAKMGWNQRSNPPLQRYIHTETKNEDAISRWTELGISRPLAERLISSYP HIIQPTPAQKLFLLAVGAGKEVYLKDDMGRGKTLALALSAMNIALRSHSNTNTTQNGV KVMIIVPTPHLAQQVHQHLVNLSSTETQESFTLIRSNSNHPSQFFAQTSAKSHSKLDL PNKPIIISTPKDLQSYDLSPSSSPSLRYIFLDEPEHLIGPIPSRHSTSQMLVSHPLFR HPPPIVSTLNDLLNILPAVPSRRQKEEGGILDYSERRDEINTIWVSSGMNKDLKRLIK IRGWIRKSNRHVKDGLIDLDFTQGASDKLKDVRKRLLDAVEEMITKRSSTQTKENKKV AGIEDEGGVGKANIDGHEQIEPEHYVLVIDSKDGSITSLNLHAPILQDDLTKETVDEN GEDEVIRKREIPIEMIETLSLIHATTSSPSKGYSLILPPEGISLNLLSEELANLGISS LILTPDLVDISLSQLDELQRQNRGEGEEEDGGLPLLLGTRSSIPGLHLPELQTIYLLD GLDIKGLSKKQRKSGGVKDRYGWYSLVKGRLGRLGTKAIGRERQRVVSLVMVGTEEEM RLRELFGKNENANGTKTELKDWDMKGLEDALSEMMHVEDVPAGLEMEEDVGSQDMMKF GQDQHGLNGQGMSGEEAAQADVRK L199_007374 MSIRYISQKLAQQIDEELMSASGAFSLDQLMELAGLSCAQALSK SYSVESHKRVMVACGPGNQGGDGLVAARHLHHFKYKPTIYLPKPGSKDIYKRLLKQCE NLNIPVLKDVEEFKDGLKESDVILDAIFGFSFSPPLREPFDQVLNAITSTTIPIVSVD IPSGWSVTDGPQPLYTEKDSTQTIKTFEPEVLVSLTAPKEGVRNFKGRHWLGGRFVPD DLANKFELNIPEYPSVDQVVELPSARQPSKQ L199_007375 MPSPTTTVHSGVGGRTSVESTKAPLPLPAHNNGLPQTDTTSSNN SDGKKPKKEKKSHAPPEGHSGVHAPMELPKWRFWAIFVSLMVCIFLFALDQLIVATAI PKITAEFNSLTKLSWLASGFFLTLLGFNLLYSQWMNIFPSKHVIMFAVFIFEIGSLVC GVAPSMDVLILGRALAGLGAAGLFSGGMIIIAEMTSLHNRAQYFALFGVCFAIASVIG PLLGGAFADHVSWRWCFYINLPFGGLAMASIAIFQPTRPPLGREKTYEGYNKDMFWKV VKCDWGGMIISMAWAICFILFTQWGGVTKKWSDGSVIACIVLSAVLVPVFVLYEWFIG TDRQMFKLRLLKRRNVIGASIVCFCVFGVFMILVYYLSITYQAVYHTSATSAGVKLLP LILLQVAALIISSRIIPKIGRFKPVIICGPILLCIASGLFYTIKPTTPMANLYGFQVI LGVGIGCCLQNVMVSVQHELRREPWLISLGTGLTVFVGFAGRIVALSMGGSVFENMIQ RHLKSSVPGITEEIVMAVVNDATAVWNYVPDEMRPAVLQAYTKTLSQVFIIGLPLAVI ALAGALILKNDKIATKEEEEAAKRSAKEKEALEAKNEEEIAVGTAHAGDASAAVESSV PEDVQGAEREVDGAAVLAEKEGRSAA L199_007376 MSPTQSFTNTEPLPRLRGPPGVYSTFRGTIRHEHTPMRGFSINP LTGFGAGRLSDEGDTVFTNTVQYDFSNLGPEDRFPFTGCLQKMILDTELSCASCRNGV PSIHNVTCRPQVDFKSDNALMMCHGCGDLQRAPSWITASGLSQDVTYREAVRSLSPGD CQECGEESYWTLLTNVHAPPSSSGVDGTGSQTHTEKKHGSSNEDETYGTAGDTEVRNN DGETAEQASSKAWKPSPCLPPIGCDFFTSSLNDSDPLS L199_007377 MSDTTTVQPIVLITGANRGLGLVEDAKNAVKDLTEKYGIDHIDV VIANSAVGTQSVPILDITPEEFTEHFNVNTRGVLLLYQATRELLLKGDQKNFVIMSSI LATLSRPWHKVGMITYGTSKVALNYLARGIHFEDESLITFTFDPGHINTRLGQKASEF LGYPPPHSVEDTAPKIVRFIEDASRAETSGGLWRV L199_007378 MKFALVALVASAAVAAATPNLLAREYGDCRDTEGSCPGGSCVSS DSSNADAGYICSFPDASSTTSESSAASSTASSSSGSYGDCRDTEGSCPGGSCVSSDSS NSDAGYICSFDSSSASSTSSAAATTSAKATTNTGTAPTTLSAAATSAKASTTSKAAST TALASNATTSASNSTTASTSSNSSSGAGLSSTVNYAVAGLFAAGLYVAL L199_007379 MPREQDDKLAQTEHDQYHSAAYAAAGEAQMSFTPINQIHQHLCG LHIYSHDPTRAVKAHHYCTHLRKDLHQCVIYDSDDKNARLIGIEYLIPEDVFITLPED EKKYWHSHKYEVDSGMLMLGTKSLVPNAMTDVAERPAMLELHRTYGKTTHTWAFDQHP DLPLGPPQIMMAYTEDAQVDKALLAERDREMGVDTSSKRETRKGYLIKDNLERPPAEG ADQIWSKGRKGQLEWVDQE L199_007380 MSVPLPQGYMNSNEVFVDQSQPQAGPSNYARPSSQPQAPLPNHI QGPPPQTPFYGINGHIPPGYPTPMEHVSHIQQLPQQQQQQFMAAYPPTMIQHGQPGMV YPGMEMNGSAIVPNFHFGSVPQNGPWTDEWVENGIAHEGQDMVYSNLEVKHRRRTTPD QLKILEYWYDINPKPDNALREHLAAQLGMTKRNVQVWFQNRRAKMKGLAKKDEKADGE DQQKTPEKSSTIVSPIQQHQQQPVHRQMLLPPMGPPPMARRASLANGEAAKIEMFVAK RAAAAASGQNRGLRPPGFVSPQRSPLMGQASAARRQSIPYPTPITAGPTGGPGPSPKI SPVVRVMPSALHLTAMRNNTRRASMPGAAQLISSGPFTPPRVVTNQHQVGLPRELGTI KDDDSVQNGMIVNGQQQQQVIYDQDTDFTGTYITPPSTSYGPSTSISPMSFLPTDSSN GSVFDPSIPFSPNSPLPNPSFSFGTEGHQQQPQQMHHQIPILDEAEAARQQQLFLAFQ QRGRMGSIASVGTYTTDNGNTTDMEDAGLGDWINQDNTNGMGAAVGLGEGQGQEGPDG FDPDARRASAPADLLHQIGIMGLNNVPTPLRPSPLGSHFTPDNYHTEQTAYGMPQSGM GMTSADLDPSPISISSMPSSSSESVSPTEGNNPGFQQFGQSQTQTQPQPHQPVRSISL QQFPSTHTQTQNQHSSSVSPYSEHSLPPSSSAPYIQQQRQQQQQPSQIYQNQNQPYPQ NGMTFINNVNRAPTTTTAHHQQHLQHGNNGENKDDSSFLENLQNNGNNGEPVDILV L199_007381 MDPNAEDRPPTIEVVEIDVEAKRQPVSGTAVIDGENIPLPIPEG EAPRGSQAITSESIPIFQPPMASSIFRTALGQDPITPPRSTGKPFLPNEHNESNDDPE KIRQLHEEEFQRRLRGEYEQAQRRVGEVVSENMNRPLRLTSIRLSPIPKTTRPGFLNS LLSPFISPPSPTKPSFFNPAPPTPTNLHEILLSTKSLVAYLNEFGIYDMDRVGIRFEP KRGGDPDEVEMVLALREKGRLFLKAGTEVGGGEGGGNVTARIRNLFGGAETLEGNASI GTKTKSAYQVSLTTPLFASPLLSFALSGFSLDRDNSAFASHRERTQGARAKLSAILPW GTHDLQYEMVNRAIDHLTPNASVSIRELAIPSTKSSISHTWTSDTRDDLWTGTKGRLL KFTHEYAGLPGSSEKAKFFKSTTMSQLSRALYPGSQIHYSISSLTTMLFPLFPSHLGS TYLPDRTYLGGPNSVRGWAVGGLGRRDGPDSLGGDLSWALGLSVFAPIPKKEHWPLKL HGFINGGKVVTYDRARSFADNISKLYQSPNLSVGVGLMYRLEPIRIELNFSMPLIGRK GERTSRGLGVGVGIEFL L199_007382 MTESTTDEEYLAAVARMQERQMSWGRPTRSNSSGSISSAGDSIT TSPHQLNLTALAPQQAGGNTSGGSASASVTGGSASGRGRPKGKGKAKMKEEGSAGGMA SGSGPGEHKARKKVAKACLACQKSHLTCDEQRPCTRCVKKGMADQCVEGVRKKAKYLL EGEERLAARPRQASSPSPPIRPHHQHQSSDSQIQAQFNLLSNVSIPQQTQTIEPPRLP DDVWLSGPLQPNDPSSIGVSNQINLNDPSRSWNTSIQPQASAFTGNGNAANTEYQMLD SLFGNLSPIFPGIDPLDEAGRQMSMDGMNASTVSSTANNVGFDQSWLNPSPGATNVNS NQYTVQPTPSSTGPSVSPGTYSDMSPINTTWPNQNQNQGQGQGQGQTWNNDPSQMVLD GINQNQNQFQQQQSNISQQNLQNQTQNQNQNSSIGQAKFGKASTPAEVYRTVVKPYDY TQGYHILMDYLTKNFEQHETLRVARALASFRPSLIALQMPMSEEDEVFLEKSFQRTLI ELEKLISYSATPTAVWRRTGEVCYANPEFCKLVEKSDSDLIGKRTYIYELFSNPSVVA YWENFSVHAFENTTQNFFQPVGLTKSNPNGGITLDCTGCITIRRDVFDLPSVIIGQFL PIPTDM L199_007383 MSGLEDLFNSPTRPPPPRSPIRSRSITPDNLPIRSNENPLFFSP GAPSEYDIDIGFSSRQRDVPLPGGQNNRTTVEGAAQIDDDDGRYRVHQQGDFEDPFAA LNATNGQDEEEEAGGKRKRTVAKVDADRLTSDRGIPALCRAAKKFKVKGKGHETQDLK NLLNMYQMWAHGMFPKGDFQHTINRVEVICRSKRMESALKGYREAFYPPPRSPSPPPL TDRGLTPPSASQGDDRSTDAQAREPLFTSRPAIQEEDDLGPDLEEMMALEEMERDAQN QSQNQNKKNTLTSTATFVGRRVEDQDGPPPDLEEEDEWEGLYN L199_007385 MTKQILLAPLAILPGKDQPQPTTIEIDLDSGVITSIKEGLVRPT EEVGDVMVIEIEEGKVVLPGLVDTHVHLNQPGRTEWEGFQTGTLAAISGGVTTVIDMP LNSIPPTTTMEGLKVKRAEAEKVGVNCDIGFWGGIIPGNADQLVGMLDQGVKGFKCFL IESGVEEFPCVDEKDLLKACEALKDTNALILFHAELDSTAHTHASPPSPDPKEYSTFL ASRPESWEVDALSLILKLARRYSSLRFHIVHLSAASALPSIRQARSEGVKNLTVETCF HYLCLTAEEIPDNATQFKCCPPIRNSSNRLELRQALIDGEIDYVVSDHSPCVPELKKG DFLEAWGGISGLGLGLSLLWTEFQQDEKVDLGRVVDWMGSAQAKQVQLEDKGGLKVGN KADWVLFDPKKQWEVTTQSLLFKNKVSPYIGKTLRGLVEKTYLGGKLVWDHSKGVDGV AYSQGNLL L199_007386 MSSNVEANLPPTNEGYGTHEYWEQRYANEADGRTFDWFLSPTYL LPLFEELTSISTSTNNVKGKGKGKDTRILTLGCGNSALGEVLYDNGWESIVNIDYSKI VIDQMKDRHSVSRPKMEWLEMDILDLGFEDESFDMVVDKGTMDAMLTTKGDPWNPDEK DIRTCTQEVTEAIRVLRKQPGSKFVYFTFGQPHFRKRYMENRPGFKLSYREIGPPEGF AYFMYILEYVGDKEQ L199_007387 MPLSPVSVVVGTTIATAIVVIGTGYAFKKFVYDPHLSHHIEALI SQHQHQQQQRQAIPISIPVDSEEVNLVSTRTRGLSTSTSYTDHHTSLRRRNINNHREV HGDGDGLTYELKERTYPQPKEKEDGYGGASTFELDESQSNLLGESSNPRPRAGKLVDL VDDGDLDHGHERSESPQEREIKEVIFSLTPTPLRSNSRTSTPLQRSGHVTPEPVNPFL SPEDTHVSLYQPNDDDHLDPRTSSTSFSFLSLSQASSPESLPHTSLIPHHQQQQPQLT RDAYVAELEDEIDHGTPTLADGSNEEEEEMLTLSDIDVVSVSNTEYEDAESYTPVSRS LSRNSNPAQVDPSYGVVNEMGLSYIPLSVPSTRGPMSVISVDDSASVGESDWDILSET GR L199_007388 MSVVQAPLSPGEGGPSSFFSSQPMSASMSNSTSKGSSLFAEDYS LSSPSHSTGSNSPNHTPGPTPRASTIGNDLSNSINIAFPPKPFMGLPASVSPGNHTLL PTPPTSPRRADNFTAPPPPIIRNKPSFTAMDPLDEELSPKPTPSSSVTTRGAKGYHTY EACMEGALGHDTKSSTYKVGTEKAYHHLNRASELFQLAIELRPQDKKAMLGKSKVLLN LATNYQPPRVATQSLRDAVTTLRELVKLAPLSLTARETLGQACGSLALTLHELDVNVE DEKHIWDSEIGQLARESLQFLEDVAGDKMDRMRDLGPNEAAQQSPAMAEMFLSLSSAA VFVSSLAIDLNLVDLHIELAEQALDQASNMATVAAAARIKSSTSSANLITRVQLASGA SSLERLRHTFHLGVDLDEDDFRALIQDMSMLATECRERAAKLKGSKASAAATLAWEAI RQLGDAKTLYANLLRLVWRKRGPRRRSTSKNTPIGTPRRMSTRNGSLDAPTIHEEDEE EENGGTPRKDSDPKSIGRRESSSSTGSRGQGSRKGSILGAVSEGAEVSNFHSARGRLS RPSISIPQTGRRGSWLPSAADSGGANGGRARRRSSLGFGSGSSMLPPPSPEVRSRRIS SIGGGGPLVGPDGISAWNRKASVISLGSEDEAQGLVPSSELARSAWQLLEGAVKQYKL ALSVLNGSDLPNAQLAKAKNETLTAIAYCSLFMASLAPRLQVAAEKRTSLLVTAEVYS TWAAREVGWSFLIEGTQAAQLADRRTNSWRADEAGKRAVMLLVRAWWHRAVTTESIDV NTKTSAKDAVETVIRRMKDKEGATAGDVARMRYWLAKQEGDMDGAESLFWRSTARILR GGSGFVMS L199_007389 MSSAEKTVLITGANRGIGRGLTESFVKKGYKVIAAVRDLSKAPS IEGLTAVVKIDANEESDPAKAIEELKTKGIHRLDIVIANAGIGQNSQPVREASLESYD EHHRVNARSVLVLFQQAYPLLKKEGSKFIVISTGISQNGFQHFPNTGVYGSSKAAVNF ITRQIHFEEPHLTTFMISPGWVDTDMGNRGAKAAGLSEPPEKLSVSLPQMVDLIEKSD RESRGGYMWNYDGEKWDW L199_007390 MPDHQKSVLITGANRGVGLALSRLFVKRRYKVVAAVRDLSKAPP IEGLAAVVKIDSNEELDSAKAIEDLRAKGIYDLDIVIANAGIASPLAYMRDTNMSAYD EYFRVNTKAPLSLFIATYPLLKKQGSKFIAISSAAAQNSMEHVKTNGTYGASKAAINY ITRQIHFEEPHLTAFTLAPGFLDTDMGIAGAKELGLGYPPEKFSPSILPLPDSRTELL INTDSVLGIAQMVDLIEKSDRESRGGYMWN L199_007391 MATPKSYTEPTSPSSSSSTIKPDPMSSPPNENTALLPPDPHSSE TRKRWNLFPPVRRVFFTSLLLSMTFAFTQTSLIYAFRVMTCDEYYKTHEWDGSHGDRC SIPQVEGKSAREIAIMSTTTTTSTIANLFISGWFIKHFGVKAAMFQQTFWAALRNLCQ MYALKTGGKAGILIIQSTQLFNVLGSAGGYQIASNVFVSLLVPSEERTKMFGVLTGVI MLGSSLGYTLGGLAYNTYGLLAPFQCAFGLLCFCTIFGSLFLPYLPPDNHTKEPSSSA DVTNEKKKQSFLAPLKIFIPKKVETPDGKIKRDYNLLLLGAGAFVSVLATGYVHVALQ LVGTDAFGFTPGESGMMLSGNLLVKAFFLSICFPRIITWGRKLLSHRTDIPSGPATDE HPETPLEAEEPDDMGAPREDQARQPTDVQHGSTFDLYFLRWSIFIDGLLTGLTTLSTK GWHLYLAAGVLPFASATGSACKGVTLDFVEADQRSDALGAIALIEKIAQVSTISLFGT IFAVFSEQGRPTLVFLANGAIAMLAFILLLFVRMPKPKDDTGRIVLPA L199_007392 MASEAQVDLKKTVPVEEEKKVEEKGLNNDTLTKYTTAGQALGEV LKKFIPSITAGKKVLDLCVEGDKLVNDTVAPLWNKAKNGVKVGKGSAFPTSISVNNVV SHVSPLPSDPEVVLKDGDVVKVMLGIQLDGYAVTHAETVVLSTKAEGLSADVVKAAYD AAQAAMRTIKVGNKNWDVTEVVEKVAKDYECAGVEGMLSCQHEKNVTDGKKRILLNPS PDLKRDHETITFEEGEVYGVDILVVTGSNGKAKADPSRTSIYKKADINYQLKMKTSRA VFSEIQKKAGAFPFTLRALDDEKRARMGVQEAVAHGLLKPYDIVQTAAGTLVAEFFFT IALLPAGPLLLSPQPVWYSADKLSTEKKITDESLASLITQPLRAPKKKNKSKSNGNGE AKEETKA L199_007393 MSEHSSQAPDLDNLFIPNSTPEMTGQPQVASIKECDNGNNGAST KRGKTGCITCRLRKKRCDEAKPICATCSRLGIECMGYGVKRPKWLKENDNAKKTKQSI KQIVLSRRSQKNKMVHREHHTVGSSISNTDAASIPVDLGDDGDADEDEDGQTRMDADV DTDSQAVLPDQSQSQSQPREEQTIPHFWEMGPSPKNTNTISTPSTSNHDILPWNLPLT TSHIPIPEDANTIQSTTNFLQPMSISNPSYPVSGYLADPSIFPPVTTSAPIIPGDMNI MNMNSMIPGGVPSLNDMSMEALLGFLFGPPVPPGFVLDPNTNSNIPQAQAQVPQNSID QAISLTPFFNIPSATPTPSPSPSASPFPNLTYLHHYLNVVLPLQYRIIGITITMGDLV GSLALRCNEVLTSVSSLAALHMVAQRSKKRLAKIEEETADVEEGEEQGEGRRSAQIRN QFTLLDEDDEGYSIMDRYHEQQQEQQQETSIEDDDDVKVAITSHRKTLERLRFISSKD LVAEEIILSVCFAISYNVFCGGTSKKLKELISISRRCLSAALYSSPELGLTSNSSKNT NIPGSSPWSKYPILLESMIWIDIISSVTYNKASTLLPMYRKLLSHMPYDQFGNNGKPL VLMDKMMGCDSTTLLAMCETIALSEWKEKAESVGCLSYKELLERSLKIEKLLDERSWR ESHLDINSFSFSSTSPTSLDGDNGQTKAMEGELRRVMSDVFYGSVKVLLAVTVNGPYP RVPEIASAVVETIEALNRLDIQQQSNVQIHRAVVLPITIAGCHCETEEQQAFFRNCFT ALGHEAKAFGNTGSALELMEQVWKKRSAGIERVDWRETMYELGWKSGILLI L199_007394 MTSSISTSTSAGSSNPSASADIRLYKNRASYSLQAALSIFREAP IAHIAFVHPGDPGDKGRGKTVMNVPLITVIVLEEDGDEDDQNDYSVYLHTHRHSGLVE AVLDGKHGITATTTKIDGMIFSPTAHDHTLNYRSATLHLSSGRLLDDEVGSKEHEEKR RVLGIVTNTVTGYDRISSVGVPDDAAVKRTTVIKFKIEKISCKQRYGGFNGAEEPQEE APKGKETDSFTGVVPCWTQWGEPIGYGRDAKQIKDLKETKSTGGREFAEKVAWADDEI QIEGLGKKRQ L199_007395 MPSQSNLPGASISTTTPNGALHKQPTSGFTVSKGDWGHKAGQFD IKYPKGPTDGGVTEEIFGTKVQDPWRSLEELDSEVTTKFVKEQNKLSIPVLTDHPLRH KLEKAVEGIYNHERMDTPQLQADGYYYWNFNKGNWPRDILVRSKDLKNHFGKSPLQDD GDGPEIFFDLNKQDTENVSMYAHSFSPSGKLWAVVLQNSGSDWQTIRVFDTTTKKSVD VDVGGSKFTFGVTWIGEEGYIYKRIIGYNGDDSSLTDGAYAMFYHRVGQPQSTDVAVL PFTGEFHEHFVGKAFTVSSDHSTSSTKRTFLTFDIYRNTNPETELLIVELPGGPREVD GQIIAELVKDKRKWVTRGFTGETRYIGSIASDKHFFYSTADGHPTGRIMTFDTDDWDN TPADGTLPFKEFVPVDAEGHQLHNAQVIGSKLLMLIYLKHACASVTFYNALTGVKLGF TNAVETKGNIELDPNTEVPVPEEEFEIAKDSDSKVIIPEHGSIKPISSRYNSDDFYFS VDTWVAPSYVLKGKIVNDVQGELEVDISSINTTTNQEDQENLICTQVFYDSHDQTKIP LFICHPHDLDLSKPHPTLLHAYGGFCAPMIPHHDAFFASFMRNLRGIVAIAGIRGGGE YGKRWHDAAKGIKKSVGWDDFSYAAKYLQAKGLTIPELTSIYGTSNGGLLVTACSNRY PELFNTVFADVAITDLIRYHKFTLGRMWMGEYGSPDDSSDFPTLLATSPLHNIRTDCK YPSILVTTADHDDRVVPGHSLKYLAEIQSKHLPTKDNNVILGRLYENAGHELSAKSLE KKVEEVTDRLIFTLLTMKP L199_007396 MEPPPPYHAPGYLQSTKSSSRRVSTASSASVSSPRPRPRAGDFF GGVSQLSQQELDRDAINAGRSVRRRSPRTDLPPALGTTTRKRTPPTKAPTPPPPPPPE PVPCPLCPEFAGEDVFQHIRRNHRGYPFQQSDFPSNSVVVCKDCRAILKVGRTALTVH KKNCKGKEERSSRELARRQSGGIVQDSRRRSIGVNQQLSPPTPRPRPSPPPQPPQQQQ QQQQQTPPRLAPPPSPALPAAAPLPQPEHLTRDISDLDWFRR L199_007397 MTFKRRGGGRNKKGRGHVKFVRCSNCSRCVPKDKAIKRFTVKNM VEAAAVRDLSEASVYAEYALPKLYIRLCYCISCAIHAKIVRVRSAKPGAINSRKNRLP PPRAVFKDGKRINPAVAAAVASKVAQGEKTA L199_007398 MAPKPTAIPTSARRAKRIIQSPSGSSSEASTPAATANVPVPASD RSLRARNTLKRPVPPDDSEVEEVTSEEEEVVEVDEEEGEEEEEADEDADGDGDVDMER SRLSSAVASEDIPLDDEDAEGEEEEEEELEDSPSKSTSNASANASSSALKIKFKIGGG ASSTNSAEKRPGRAAAKKSAKKVKKVADDEFAESDDELLLFGEGADGDEDAEGSIISS RRSISPTKMTARQRAKGNKDLQETLLQLPNEVSGKKVILTEAERLQKREETARRRKRQ TEQKLQDEQDETINRLLRAQTSKSRSKLDQPSPALGEGELTASGQASPSKKQPLSNMI RWSSTLTKDGDILLRVGAPKEKDDWISLTPAGKEGKDRLMVREIPTCGVVGCANKRIY RSTKNFDIGGCSLDHLKAVEKI L199_007399 MSNPNPVHSSTNLFRRLSWQTSVSISIRIADGEPGAGIGVDKYF IQAPRYTYLPLLIPEIRENLVELALDDKQLEETDEKDWWFEEEEDENQEPGGFAGQGA CRWHWPIDLLSIHSYISRPRPLPLPSSSSTEPKQLKLILHLSKPPIDRLLMPNNIETC KTQWLNQIKEADFVRWRNTNKVTGLRKTELDNGWDGIVQDDYEAYLRMASRILPLPIA PAVGSNMTSPNPSRPPSTDPSGSTAKAESAYSTRAIPIKIYLPDNAPVIQEVVPPIGS DGKPTTLLSVLRQHLHLLFPSRSSDPYSLAFPIAQGILIPEQSEVAWLAACMCGADGW LRIGICLRAQ L199_007400 MSNTEYKFEGWAGFGPDSVEGNLKFIEYEPKQFAEDDIDIAIKY CGICASDISTLSEGWGELKDMWPQVVGHEIVGEVVRVGSNPQNGIKKGDIVGIGAQCD SCLQCHYCNKNKENYCSKGMTGTYNGKFSRTSEGSKSYGGYANYWRGPSHFAIPIPKE LDPAEAAPLLCGGGTVYSPLIEHGAGKQAKNVGIIGLGGLGHFGVTLATALGAEVTVI SHSARKQKDAEELGAKHFLITGDDEAKAFKGHERSLDLIICTSNAPDMNMPAYLSLLR PGGNFIFVGIPEGGQVPTIPLFAMVMGNVHIGGSLIASPQTLKDMLQLVADKKVKPWI QKWSMDKVNEAVPSMIAGNARYRYVLVNEKNGGKL L199_007401 MSSGKGGTKRSRKAGSGSRPASQARLGDDLHPKPHSDSSRASSV ARSHAGATLDEFFDFGGDNDSSAGLGFSPLEAGGGDGDNPELSFDLGGGNSESHGDAE EPLFSDDFWRNNSYTSIGQNATSDTSIGMTATSTSASGLRESADTAFLGYTAQEEEDT LTAIRLDADPRREGAPTDHPVAIRPLEEYVKPITDSSQRAVFKQIVKSHLLFYTEQEL TCTFNHKLVEETGCVPRKFRSQCYDREAKERSKFFLEYLRDHMDTDRRTMQFSQDIAN NTDDATLPKEAVMRIIQSTRETLYPHFKTHLAFHAATRIAISQSDLDVSREEQEDIDA AIRASLQDSRNEAAGEGSSTGIPRR L199_007402 MQSKRHHTRTDAASSKHTKQEGKDRSRNASRESSAAGSTPQAFA DFLSADLSDTIPDESNEFESSLHFATDASNDDALFDFSLGQAGAPSGNASAGETQKCL QVMKVSVTSPRPPLSNAGTPDIFSDFLVDPYDPSSTRTTESTSKEGRPSTDVAPEVGT DQLVLPPVPEGYGYSVDDPRRRFELNDKFEALLDKFTESSTLCKAHAGSYSIKPCPTD VSKRTPSLSIIWSLATLHIGPYCILYLACRHDDFAELIDIRSVPSQRSGKNHAYFVKN RLDEKFPGSADSVRNTITDDQVVPVFKNEHRKFNDRSTDWKRYVPQQEYDDFNLEEEF AGLSLGSGITNTQPEAEQGKSEVFDPEQFLLENPNLGTNFFDTTGSSQYQYDASDVHG DVATTAVLHPPVKPTMAFPLPDIKDRLGQGYDPEEIWKEFTEIANKGLNEVFLCSAHS RWSASADCYISECPSSNRRRTAECGVYTRNFPVREWIRKINYKYSEQGLPARANGDKE KLLIDSICTLVERFRFPLEEYPSNMYTQISAGVYEEYQHKKEALDDHITYTRTDTHQT YLRDYEAYRLAVSTYTREMRLAAVRQYPQASPSAASAVGHTHHSTYEYYAHNTGMEEG DYIDPSLLHR L199_007403 MVRKDRIRLLFHKHVHSNAKRSISSTEPAEKQLPSLPPAPPIPS PRIPIEIIFQIVRYCSSTPDTLCKLALVNHEISELALRLLWKDLSLGLSSKHPFGHTP RLGSSRRYEHSRNLTKVMNCYFTNYNYLTRLSLIPPHPHRYSNVTYGYDNLQTVKFHL GTLKGIGGKGGWSERKSTSEDIISKNYAKKLPTNFNKLIWVGIPEPYYKDCWYASWDE SGFRPYINESKSDRCRGGPSKVIFQLDEIYTDSMITFVKETFLRARFPKVEELVIMFI PRKIIVTYKQGPDKTYLPRFSPRQDSVSFILDLVNLLNDLDVRYPNMKITLVDANCLD GLWLGIMNDVNITIDRRTKERILAGRMNYQGIYGTATTTDRPKITEEEFEKIMNDYFE SRLMRQAKRSGMKVMMDAGEIVQDDAKIANIKIERKQKLMSMREYVKASRDWEDELDM EDVKAYL L199_007404 MPLLKRKPVILAPLPPLSAVLTPIPQQSSDPAAVKEIALPLDGK DDEEQLDILLSVFRGGMNGVQPASSSTSASAQGVNGTSGKGKKGRASLANGKNDEEAQ NGGHKFGPFWKSSDKECWYIPETGEIFTDYESFCARRAFYAQPIFQCEVSGKSSLSYF TALQSEQKEVRQLHSRFPRQLKKAVLSAVQFQIEGKLDTLADKIFERFHDRFFDEEKV FVDVDGDKYLARIVKTFPPRSLATTSSSSSPFKPAQPILHPYATDLSLPLEEVNEKDD PSKYFYNVRLIEEGYPEGIAAEYASANGQSNGPDDEEEDGKGEKWMGSTMEVQCDKIS RDRINFSRAMLKRFIRDCVVRDTAVYSPWTVKPSVAARYGIPTEMTEEIRQGILNYKE RQMDKRKREREERLGLNNPAPAGDADEPKTKKQKKEEEKKSREEERLREKEAKERERE EEERKKKKALKYPAEDYLVEWSEEKDKPAGRIEVRPQLQTLLPFGDQFEKLLMTWSFL NVMGKPLGLSPFSLEDYERSLYHSDIYQGPAPLMTEIHSVLLNALVADLSAGHEPVKT LSSYGKEPDNDTDYWEGKKGATTETLTPVVLPLAESWKSKVLSVKEGRKGWEGSLIGC LWERATLEELPNYLDNILHLTYEDKPAPTRPTWSTGPSTSATNGTNGLILAKPEKRYN SLHHSHKLDIIAFLIELVNQTEQIREFMEESTFKLTEVRKDQVEVKRELRRVQAEREA LEPKEKPKEEGEENGDVSMEVKNEGTDSPDVSMNGHTNGHISISHDRDELEDSSSIQD DISDVESDHKDLSAIGASRRKAMKEKALEREAESNLRNQKLAKEREELRIKKLEGKHI ANEKKRLIEEEEAINLKLKHLEYDFRRWIYTLRSKPLGYDRFGNKYWWMDGLGSSQIF NSDGKVNLGTGRLFVQGVDLEEEDILRNLAMNVVEEEVTKEYVDERRNKEEGGKLDAG QWAIYDYPEQLQELMNWLNPRGTRENQLLKQLKMWQYEIELGMKRRRTLNGLDAPADG DENTRKLRPSRKVNQGDDEKEGYMNWKNKRSLESKV L199_007405 MSDVSPPTTAATNKRPASPSPSADSTAQASVKRAKPDGESKSDT EKKGESNGNGEAGEPSKKKMDEVEMEGADAGPSNTLAPAPSSVPAAPVKSEAPPQQIS MRSLIVTQDASIIIGRGGAHVNEIREKSSARVTVSESIPGNPERILNVSGALDAVAKA FGLIVRRINDEPFDVASVPGSRAVTIKFIIPNSRMGSVIGKGGSKIKEIQEASGARLN ASEAMLPGSTERVLSVSGVADAVHIAVYYIGTILLEYQERNPGASTSSYRQVGARNGP PGGGGGGGAPPQFNNKDPNAPPPPGMQTQQIFIPNSLVGAIIGKGGSKINEIRGQSQC QIRVTDPGTAAPGTVANPEERLVTITGYPHNINTAVSLLYARVEQERAKMLSQDRV L199_007406 MNTSLDRDEYEIDQSSLQAPPLDHNNLTMDHSLPLNHPTNIPSF IHFLIQHLSFSTYLSSTSLLTLQRLNHQFFHLITPLFYTKLKIDLNDPRNPATSISPY NFFPNPKPPFSKYKSLALGYVEDLEWINCHSSQCKAFLSLSDNVNTTTTTTTTTTVST STSTIVDVKVKVDGNGGLTLPILSNCRITLPAGRYKSWCGIDNLISTDIKTKEDIRCG VLRRLRANKVIVEIERFGRIDLFGRKDLFEHDELVCRIGYVGEDATLLREKEWPTTLN NEDQDQDKSTDERVETSKQLEDFGVESEQEQVITQSTDLQFQPIPREFIQSLDILPSL NANNTVRNLTMIFLSPPRNKIARKLTSDDQRTDAQRISHHLLALASTLCSTKSEKTFE KVRLVNIGVLLFYKYGIKREESDLRNMQDEIKNKLVRLIKIRVGLGLVDDIMSKVEFV LLDEYLKEEKKRTEQVRLRAKSGQKNVRLLY L199_007407 MFANSLRQGLRTASRSSARAFSTLPARAAARPTLGAGVAMGAAV AGFAMYEVSKSPILLESKTIAGEKGTATERSFVMIKPDGVSRQLVGKIISRFEERGYK LVAIKSLTPSPALAKEHYSDLSARPFYGGLVKYITSGTPVVAMVWEGKDVIKQGRRIV GATNPLDADPGSVRGQYAVSVGRNLIHASDAFDSATKEIGLWFAPEELSEYEPIAWPW VMADN L199_007408 MSNTRSSTPDSLFSEHEVKTAKRTPPYIPGLWVFPSYLPDDIAR NALTHIASADLFSGGERDQVMLFEAPKSLSTKSSLPSYIHDLLRSLNTLLKSRLPLDT IDRLFDQPLARQVILNLYPPGEGISPHIDLPNRYADGILGCSLTGGCVMTLSKDREEH RVYMPPRTVYVLSGEARWEWYHGIEGCFEDIVEDEHGKGAETILRDLRVSVTFRWMKE GADLLS L199_007409 MSLIDQLVETVSTLAPITLSVPTTPIFQVSRFIHHVTTTPIHPV YFPYLRFGVIHAVRVTTVWAGLKKGKKRGGRLQDLFGYLALAWGGSTVISLLLSQPPS WLISPTPWIIYPLIYTILVPTGLSAYIVDTCPTLLFGIIGGLVDGLTRGTTITSLATL LSSSSIGSSAISADGSINLWTYALLSLLAISSGGLIVGVLGLNEDEWKLGTPGLLKGG LINTLDAWSASLVGLLWLILTNQNSSLKPISKFIQSSLPHELKSSKSSSLSSGEKVAE DILDVPHARAICVMVLEALLATKATILYARGSKTKEANKVDKKTELFILDEKDNETTK VVKTPVKVGSSKPTPRKSPRAKSK L199_007410 MSKSSPTDEPFQYYNPKLNRDCKGTVILDDSDSIAIMTIPNCTI CLTGEGDRPSVKMTQNPLTNSIFANLDITSTGDSIIVNNEELGVDACIHSKYLVPQGR GVASCSTYQVSGLGEEGLNGLYEKWRNREFPTCKQVSVKYTFKIPDQEGYMTLVTSPL FESSDDGGIDREYGAIPTRENTGRKASRTCALMPLALFKQAKDGFEAVMTGRTNASFE L199_007411 MSSTTTTIVGFMGSTGDAETEDAWESPKTPEGAISYHYTRSGLE ETLKVTVPLRCEYSDASGPLKSKEIQGIPLYGSGLTMLGVISDAISTKSYAETKSIEV PFVECFGEKCKKFNECWGRLPKGVSQAIAEMGDCSFIDVGSLETTEDTQEEYVPLTYT FQRTLGLEVDRDWVVIHPEGQEVIV L199_007412 MSAESYLVIGGCGFLGRHIVEQLLARGEAQVSVFDIVQRHFDSN VTFYIGDLSKPEDVQNALYKSKATVIIHTASPAHGLGRAIYEQVNVVGTRTLLEASVE PSSTVKKVVYTSSGGVVYSGKEDITNVDERVPYPAVLLDAYNETKVAAEKMVLEYNGK NGLLTCAIRPAGIFGPGDRQMISGFYQVIKNKQTKWQIGYNTNLGDFTYVGNVAHAHL LAADKLGSSYHYSQLRDPISSIDISLGSHRIPTSSARPIGPNTNPSPEDLAKARKFDS DQVDESDLRPVLRTKMDQFSKESSLDENDDSEEESIPIAGQAYFITNGEPIYFWDFAR TIWKQLGHVPPYLIVLPTMLGLILATLAEMFSKISGKEPGFTRFRVAHATQQRYFDIE KSRRLLGYHPIVSLTDGMQRWTEWYQGELEKQKLAIEDEKTK L199_007413 MMCIKHVLIYFLVLPIPAASPVFLLLFVASAFIAIRPCGYCLSL LAILFLSTSPQSPFLHPSLSSSSSSSRNSTSNYDLPLNAPTPNRTWLNLNGGRYSSPN LVGYQLMDKAITPQQSGESSSSSLWKRIVAWDLGQSIKPQSLSEREITGNLIFDRIIQ PYLRPSPSPSAAASIAAGEVEQIQWNRRELPRNYIDLSWKGIGFVVDFNTKRTNEGIK WEIEEVLGKEWVRPTREDNLRKAEVVTHQEQEKEEVDKQSGNVKEETREAQGDKKKFW ERIPLVGSNW L199_007414 MPRSVHSPLLFECAWEVANKVGGIYTVIKTKVPVTTKEYGDRAC LIGPLSYKSAPMEVDAEEPEPGTPFALTIKSMQERGVKLIYGRWLIEGNPRVLLFDTG SCYDRMDEWKTDLWNLAGIPSPPNDHETNETIVFGYMVAWFLGEFSSRETDTAIIAHF HEWQAGLAIPLCRKRHIDVTTIFTTHATLLGRYLCAGSVDFYNNLQYFDVDHEAGKRG IYHRYCIERSAAHCADVFTTVSHITAFESEHLLKRKPDGVLPNGLNVVKFAAMHEFQN LHVQSKEKINDFIRGHFYGHYDFDLENTVYLFTAGRYEFRNKGVDMFIESLARLNHRL KEMGSKTTVVAFIIMPAATNSYTIEALKGQAVTQQLKECVNQVTARIGKRIFEHAARY SGEHGTEVPNPEDLLSKEDKVLIKRRVFALKRNSLPPIVTHNMADDANDPILNQFRRV SLFNQSSDRVKVIFHPEFLNSNNPILGLDYEEFVRGCHLGVFPSYYEPFGYTPAECTV MGIPNVTTNLSGFGCFMEDLLETPEDYGCYIVDRRGQGVDDSVNQLTNQLLSFCTKSR RQRINQRNRTERLSELLDWKSLGLEYVKARQLALRRAYPDSFDDDEPDFTGVQRVGAP LSAPGSPKMRTGMMTPGDFATLTEEMEHLGTQDYMGAKSWRGINDDDDENHYPFPLVM KPRNRSDSLASAISGTATPSGGRKLSEKDLAKADAVLSSMGQNGNGVNGH L199_007415 MTSSPPSPSAINKPNFGPSAPEDQPLRNVNGPTTTTTNDNEKTA NLSNSPTRTFNSRKLSTSSQRDKDGFTPLRVYESRDAHNKKMPEAQVANNVGPAKAIA AGMIKPEDGQKKLEKFKYSFFSPEIAMFRKLAFKMIIGTVVITILVMWMTLPFYWGSL WKSNRYTDKLTVRIIDRDGGEIGQAVTQGLLAQTNLRYFITSPSEFPDIASIEDDIVN EGAWASIVINTGATTDLNQARLNGNASYNGSSAIDVFYAQARMETAVNSYLLPYMQQA LGGILGQYNARSAAQYLQANANNATAINALAAAPTTVSNPVWYTLFNLRPYNQPVAQA ITLVGLIYMLIFSFIITMSNNAVREIIAPFLTTRAYLIYRIVAPLCLYFVISFFFAMV NLPFKIHFGAHFTYAGGFFLWWFTLFLGMGAVGLSTEFMITILGPRFIAFFLLPLIIA NVSVVSLPHELQPWIYRYGVAMPFYNASRVVRTIIFDTKNDIAENLGILLAWIAVNII TITLTTWLFRRKSVNQHNKEVGENEMDNLERIDEA L199_007416 MLPRSSLARTSMALGSAFSRRSVLASRTVLRPTPVSKCVSCLST TQSLQSLLQSRGYSAAAQPKHDDDFFVTEPIQSQSSPSTSTSTATPSSSASTSPSTEE DGFFAPSLTDRSSSTSTLNSTSGVDSKTGPSVELVPFESLKGKIDHDTLKALTFKPFQ LKAMSEVQKRVLGLMPSLGGGKLRGPAREAAEAEGVVIEEQTSEAGKEREDLLVKAKT GTGKTIAFLVPAIDARINKVNELIKTPYPDGTLPDRAAQGRNERAITRSHVGTLIISP TRELATQIANEALKLCTWHKEMQVRLLVGGESRHRQLKDWKRGRKDIVVATPGRLKDL LSEEEVKSAVEFTDLLILDEADTLLDMGFSQDLNHIISHLPKERQTFLFSATVSREIA AIARKSLKPGHKVIDCVPKNESNVHLHIPQHYTIVPSAADQIPHILRLIAHDQLLNPH SKVIVFLNTTKLTMLTATLVRELKESLPKDINVYEIHSRLDQNQRSRASERYRRDTKP SVLITSDVSARGVDYPGVTRVIQVGIPASSEQYIHRVGRTGRGGKEGGRGDLVLLPFE EGFINRLHKIPIKPVPTRDLSAEVHALARNADEEYADKLAGINEAITQLLPSLDSEAI EEVFTSMIGYYMGKSDQLDVNPHEILQGLKDWSVEAAGLQEPPYLSPGFLQKLGLGSN RRSGGGGGGFGNRSRGGFGMNKSRSTGGGGGFGGSRDGAHSEPRVRSGNLFGGGDRSG SGGFSNRSGGGGYGDRGSKGGSFGGRGGRDY L199_007417 MIANYSHPTKTDQIDNPQDQRDVRQFIQRIKYPKKGDFLLSAHR GFRWDGVPENSRTSIKRAVEHGMICVEIDIRLTSDGVPVLFHDPTLGRVTNIAEYMGR SDGYSPFTGKGYSPLIEQTPWKGCIEDLKLKEEHGEICDKGVLDFKSLLDLIEEEKLD IVLFMDIKCKEAMPIMYEIMKDRKNAAGVPALEWCVWKVFVHMYGHPQELEEEAWWQD AKRIGQPVYIPVYEPWPTRQIEDPLKSIKAFSHHPNVTALEIGLRAPGGYMQDLLDYA TSPECPLKSIGFFAALGDLWRYDHKEINFDLGDYKVPWELDEQFSHLYFRIDNPPQPH DALLIEGGSPDGHDYRADLARYKRLGFTWTITDRGEELKSKGLITQ L199_007418 MSTSSGNINGSNRIFTHDGKALQPHYRSIIDKLGNVSQKRSARG LAPDWVTWHTDAGDDKMSDHDDLVAGMNIASLATMGIVDLKGITITGLHRKHNASIME GFMRSLGLPDVPVWYGTEEFDPNDHDKDRLARTKKRAATMTSKDPITKWQRFHQIDTD IRNSKKSDIEPDDYITGFSRICDEAKAQGRKIKMAITTGHQTVNSLLTDPETATKFTD QVEKVIFQGGMLFNDGKIVPAEDARNNFYNISACREFLGRLQASNMPTDCYTKNAATA CAIEPPFLSELASTGHPGGRFVHELASSVDATQFFDTLNDERLFSKNFTTDLFLKFKT TLSPEEAAEKKKFFVDKWGYQDKSTMTKEGYTDYLSDYSEQLGDSVKAVPYDPEVIVG LMDEEYLTELGVLPEYTKDQDSELEETFKLYGKSRTDCGVNTRNMKDTIIALAGHITL VTEPEGSEPIATGIRRRLTGEQATRDSEEELTSCGSDENDCFSCCT L199_007419 MTKRKVQIQLECPDNFGSPFPVAVLRRIFTHLLYIHRSALAICC RVSRSFSQIASPILYSRIGISSIPERDSRADHSLDRLTVNGREKPTTRKKKSLKCARY VTVDAHDSSILCGIGRSKKLSFPELEVLTLCLTIDSTGPILHKDPIVHPPKRKQQECS LLKRITPTPKKLVIDGANMTSITAYPLGFPKSVYDNLEEITFICPPIYYLPDPSVGGF PNWSDLPLKKVNWMFFTKDDDHYWTLGRHHLNPNKQKYGSGRFTNEYWSFGNFLLSFP TNIEINIINFGSIHPYTVGSVYLDKQYNQNLFANEVRQGMFAEVQSRARRHGPVQNGK YTEEDLKTNLDVELAKAQERWNTIRWVGMKEYLRNNDWEGELEPDEVRVWLNSK L199_007420 MSPALNPPLTPTHSSPITNLRTGKFRLNSIFHNQHGLKKDVGPM NLSWTGEMDEKVIVQSGILNDTHKVTMLNILSLVAITPTMATTEDGKHSTVESESVIE VFPYSLKGTNRENCTESLSKKFESNYLAKVLLSDRADKGISIKMAANDKSDEYIMNDR LKDIFQADQKVNGVEGDSHKDGDLSWDDLLYGQGCMKLFSKGSMIVEMKDQILAATP L199_007421 MSEPDRPTFDIAVPSTDPEKKDQDKPKANGDIKGKGKEDGSKED DVSDMSEEDLQLKAELEMLVQRLKEPDTNLYLPALESLRTLIRTSTSSMTSVPKPLKF LRPFYEEMGKIRDSWDEGLKEQRSLLASILSVLAMTYSDTGKRETLFYRLLSESTEAP GLWGHEYVRHLAAELGEEYNSNYASEVDADLGGVEKPEGLKYTTEQLKALSLELVTFF LKHNAEADAVDILLELENIQEIVELTDDKNFERVCRYMVSCVPLLVPPDDSAFLETAS KIYAKYDRYPEAIALAVRLNSPSLIRQYFEAPKNPVMKKQLAYFLARAQIPLHWVHTA EGTESEEEEQPPTQEEDVLDCLGNVKLSTHFRNFGKAVGVEEPRALEDIYKSHLEPNR TTQTADSARQNLASTFVNAFVNAGFGNEKLMVNAAEGQSWIYKNKDHGMMSATASVGL SLLWDTESGIDHIDKYTYSPEEHIKAGALLATGIFHAGIRTESDLAYALLEEHVDSKS LSLKLSAINGLGIAYAGSGRKDIAEKLLPHVADETNTMEVAAMAALALGFVFVGSGDG EIASAILQTMMEREESQLASEWTVFICLGLGLIFLATQEDSEPTVATLKVIEHPMASI AETIVDVCAYAGTGNVLKVQQMLHLCAEHADKAKKETSDGDAAPVAAEGEGPAAAAVA DAEGDVNMSGETTAQPVTAPTGGEAGASAAAGGESEGEDKDKEEKDKVDSLKYQAFAT IGIALIAMGEDVGAEMALRQFQHLMTYGDPVIRKSVPLALGLISASNPQLSILDTLSK YSHDSDLDVAINAIFAMGLVGAGTNNARLAQMLRGLATYYAKEPDCFFMVRIAQGLVH MGKGTIGINPYFNDGQVMSRTAVAGLLATIVSFTDARKFVLNKHHWQLYWLVTAMYPQ FLITLDEELEEKPVTVRVGQAVNTIGLAGTRHGISGFQTHQSPVRIGTGERAELGTNE FFPYQSVLEGLVILKKNEQYDAEDAQN L199_007422 MSLTPDQTSKTQSGAMTPGAIATELSKLDLPAPSGLKLTLPADP KSPLSAGAGAGPNSALSAGGNSLFSPVGTDDTGDISGPEPEALTVARRKARSESVSEQ LSTTLSEMKLPQPERIFGPSDDQNAQDQGSEKKQGVSPEEWDKVKLDDEVPEAVKEPK RMTHSRHTSRADAAVPRTPTIKEDPEPSSSSHAVAKEQKVTPFDVEGEVDADGKDLGI DYDKLTKRFGASLISQELLDRFERLTGQKPHPLLRRGTFYSHRDFNLILDRYEKGQPF YLYTGRGPSSDSMHMGHLIPFMFTAYLQRVFNVPLVIQVTDDEKYLLERDIKKQAELM KKIKGKKPLDLLRHYKKMGQDNIKDIIACGFIPEKTFIFSDLANVGGAFYENVVLMAK TITQSQSRNVFGFTDSDNIGMFHFAAVQATPSFSNSFPQIFGARDDIPALIPCAIDQD PYFLLTRDAADKLHYKKPALLHSKFLPALQGAGTKMSASKENTAIFMTDDPKKIAKKI KSHAFSGGGATQEEHRSKGGNPDVDIAYQYLSFFEDSDEKMEKLAKEYRAGTLSTSEM KAACIEKLQEVVAEFQKNRAEVTDEKLAYFQDPTRKIDPRPKPKEAAIAAPGA L199_007423 MFSNEIARLRTLGVQGLLFQALNLLTVISSGLMMWKGLCLVTNS ESPIVVVLSGSMEPAFYRGDILFLTNPADVPYEIGDITVYKIPGADIPIVHRVIESHI SNTTQLLLTKGDNNPGDDITLYNGIEWIERKHIIGKVRGFLPYIGYVTIAMNDFPQLK YALLGGVGLVMLIQQET L199_007424 MPSAAEQTLENQNEEELNSLHSKIKSLRSVTIDILDDANRQNDQ TNSFTSFASSLFSTSRHHSRTMASTSTLRQYRTIAYIVGAIVVLWLIMKLWRSGPGPS VHPIEPEY L199_007425 MNMDSFPRRPLMHWERIHDTPSSSSASLQPYPQPRSNEIEKIDN SGKSHKMKSRSFADLAEVFGFGNKVARPSSRLSEILPRPKSSASLERRSSYTKRRYVP HHLPTNVIIRILSCCSDDVLLNCLTVSRLFFSLAGTILYQHLTLESPKDMWDRLVGST LLYENTTGKISIGRRRFKDRLLRHTDTITLHSHGDDDGDCQDSDSEETEDYLGNLKRL SILSHSQSHSRNSSSSSVFSFGKRSNPTTPILITPPISCPPVSLSGVMPRLSTLRIIL ADAYDYHLLFCPRFGSACPLLEGLELERLIIIGARSPLVVLPTAFPSTTIETSSPMGL TPTMTRHTPTPTTTSPALASPPMMGHINTVSTKIKSSGGLPIGLSELTIVLPTGRSYD SKDYEGYHNIFHYKKTLGSISKLTIVFLTSPSSTSTSAGKGNGYRTEDKQSLWQVAFY SSRNYNPTWTSYLCLAEDLAKACLAVPLETTIEIVGMENIDGELLNMGIGTMKERGKS GMIMRERIKRQIEVRLIASNKEYEVNIKNQYLKFRDLDDWLDCGEGRRQLGEKGFEEL GKEGYREFR L199_007426 MSSTEQQPSAFNALGSYADRIKDANGNPTKPTSSPAPSVPLAPN GDTIKTDSPSPSATPSSSSSSSSTAKSRPMKLSSASVEPSKETEQDGTWETVKSSRQR PRQQEEKEKHGSNSKNWRDRSNREGQGQGSSQKQKTGDEAEKKGGQGKSHKKHGHQAT PSASATPSSGAATKPATTISAPTKPAWGALTQSAKTAAVSSDAAESAKENGQQSQTVP SSPSLNGTTVTANSVSIPPSIGSPNLSSETASTSTANASVLSKAVDKLEEEGSWRARP KSKVDDTPQPAPQPVQPRQAAPPPAVNAWEMRKKSMAPPATTPASAPAPTSRTPSQAK PAPNQSAKETVQKSIPNGHVKVEGTSKHKKKTAARATSSALPPPIHDAALWPDVHQAA EVAKAGEEKKDKAKDRLTNEETSVTEDSAISTGTGKKPKWTPIPASELLAAVDQVAEN NRRQSRAEANAKKRASASKAENEASGSANKVGKAKKSVVQPGEAKKGGARAGRAGSTS ESRSSSIPNKQSAESTAGEITLEESNNDDSKKVIVNGEVENPLSRQTSKQSKAGSPKK GEQAQLPSTNKDASPHLRFGSGPLQSRPMTGSNTAPLPQHGFNHNTNSTLPRVPRGRD GRASFNGRGRGGFRSNSAIAHPHKMHPGFGSPPLGGTSGLPMDGFPNPNANTVQRGFV NMGFQSFYPVQGYGQTTAGPGIYDPMQAQYGAGAMYRAGLPPPPMPQTVVPNLDATRF YVLGQIEYYFSMQNLAMDFFLRQQMDSEGWIDISMIASFNRVKSLTPDVSMVKECMAL SSLLEVKEESVRLAGPDSSRWVLPDARKSRFANESNTNNPMSPSQATEESLSADQSLV SNNDDSARGTSSTAADVENALMKSSHAAIPAPAPAVNGVVEVGEKKEGQVEAKGEGSV EADEEKEEV L199_007427 MRQLKHHEQKLLKKVDFLNWKQDASLREVKVMRKYHIQDREDYH KYNKICGSLRSLIHKLSLLPAKDPYREQRETEMLNKLYDMGILDVGAKPSDIENKVTV SSIARRRLAVVVARLKMAETVSDAVETIEQGHVRVGPTPVTDPAMLITRHMEDFVTWV DTSARKRTIMKYNDELDDFDLL L199_007428 MKRYDDDDDNGGEQNDTYQAISKGGISSFAFRTHTSQAQAASND WDTGFAGDLASEITGLVLRQAELTDGRSESVTTRLRVFVLYILNG L199_007429 MHALQIEEFFIRSKILPAAANLRHATINPAKMLGQKGKLGVMAG GAIAELLVLSRNPLEDIMVLDRPELYLDAVIKKGQVVSGKV L199_007430 MPLIDARRLRRPRLLVLLLLALLGLIAVTHRRSVNRTETEISIE EAEYRHSSLGWCDVAPDLCAEFGEIELRKVMNYEGSGHGLARFLAKARRGQGFTVGAL GGSGSDYFAMCFGHHVPEDVDLVLVDMAINDGFLERGAESYEMLLRHLLALPNRPAVI NLQVFALMFQTIATGGDIHQGISSYYDVPTVSLRNLLLPIILQNSSYGIPYFDHPPGT TELDREGLGTRHISAKGHGLIGRLTNAFIDMRMGDLNTGLYGAELESRLVPEIPRGQI RALQRFNAYEVIPAIPPKCLSAHSRSPTLIPSTNKGWRELISDDKKYLIADQPGSIVS FNFVTAGGLVQLSVYRSHNAGLGTAACWVDDMKDKRVIIEARWDDLVSIGYTVTIAND LEDGDHVLTCELLEETKDPQKKHEFRIIAVIR L199_007431 MASAQVMIPTPIPDAVQHVKISPPLIQYKPLHPTFGAEASADFS SITSELVDEIKRGLARYGVLVFRKTGLDDARHVEMSKLFGDLDDIAPFVGGLGQKNRL SSDYLFDVGNVNPDGTVMQPGGMRDLLLRCNYHFHADSAFNPRRAGISLLLAHELPPP GLGGETEFADTRAAYQALDDARKEEIHDWVVCNSQLNCRRNANIDENGKLNPLLDTDE FDPMKHRFGKHRLVQEHEPSGRTNLYIAAHAHHVEGMPLEEGQKQLRELLEHAGRPEF TFKVTWKDVGDLVVWDNTCTLHRSVPGNYAGKYKRDLRRTTVHDMSSQAWGLNGQGAT WRSGLD L199_007432 MGHNSDKMYVTHSEHASGGHTASSTGKRAETGKSEFLRLPFDCC ALSLQPFKNPVAVIADTAPGEQPRADVFDLLNIVPYVRKYKTNPVSGKSLDTSQLIKL NFFKNAEGNMHDPITYKVFSPHIHIVFLKNTGNVFDMASLQLLAIKPKTWRDLVNDEP FKREDIITIQDPQNLASRDLREYDYVKKDKKVSDDDVAGDPLRGINMDAAGGASKVLK MLAEKTKADQSPSATPPPAKSAEQEEKKEGVIAKRKIEQLAYNASNFSSGRAAASLTS TSLNPQVKSERAMFDEEEFMFEEMSRPTKEKDRLKSKAYATILTNFGGLNVELHGDKA PKTVYNFVQLAKSGYYDNVVFHRLIPGFMVQGGDPTGTGRGGKSFWGEPFRDEYNEKG AYKHDARGVLSMANSGPRTNSSQFFITFRETPHLNGKHTVFGKLVGGEDVLDKIERVA VRPGGDRPVKDIVILGVNVLQDPFEAYQERLKARLARQDQSDEAMRKRALAKEEREKD RTTWLGTDLGVKGESKSQKEKRKIEDLNGSGGVGKYLSTANTKNRGTQGGVIPEAIDY SAGNEKKKKTKGGFGDFSGW L199_007433 MNGQELLAWAVFVSYFLLIFLSFGLVFRSILSGSHVSKLFQGRA FYFLRTAVGALLCTWYYMIKFLNWSYRYHSKLNQTNNIGNWLVHTPLFEQAWTIVCTG RANWWWSSWICTWTIVFTAIVWTESGRRGIKYPYAYMLLGQLVAMSVATSLFLSAVFL HPRIRSAPRSVPVYIAFPLLMAFIPTYLLPRHVNTDKFMNSLLWLHGALLLPLTSSSS SSIAENATARIPFSMLYQMFLATAISIHYPATKRLLGVLPPGQSVFDKLYKTIFTHPA QGSISLDVIWVAIIVFSWFLLSGSMVSRIIKVSMASIAAGVGIARYAGVNWGLIASVL PILVLLAFGTMGLWLQRLRSKNTTKRKELLEKMGMPERTVIPGTSNKPPSVSGDKLVV GFWHPYCNAGGGGERVLWSAIRHLQQTEKDVLVLVYSGDYPSASKDDILGKAKNRFSI EMDPSRIHFVPLPSRYLISDNYWKRFTLLAQSLGSVYLAYEGLCGKDGLWGDVFIDSM GHGFTFPTVRFISGSQTVIGAYIHYPTVSTDMVKRVRERTAGGVENAGASKSWLRTQI KLIYYWIFTNLYSVSLLFPQHIMTNSSWTQAHIQSLLTKARGSFLASILLKDETTIQK REERGESKIEDRARCEVVYPPCDTKEFVKLGNLNKRRREIVSLAQFRPEKEHAKQLHA LAILFEKYPQYRKGPQSVKLVLMGGSRDAHDEERLDGLRRLANTLEISDNVEFVVNAP YSEVVKRLGEASLGLNTMMDEHFGINVVEFMAAGLIPVVHASAGPVMDIVVPFNNQKT GFHATDAESFAEAVHQAFTLSPEQSLRMRKAAREAAVKKFSEREFEKGWQLGWKRLKG LVKRDRDDE L199_007434 MSDVVKAGSEMMPSLKMAGALTVRALDTLPLPLLVVVFLACLAL LQWPIAPTPSLLPTFTPPTLEKDRLSPLPMIENKPLFAFTPLTPLTAHLQIYAPTATA SPTPSSNPMKQSGRNVRRGSKQLLLREWRLNLGVVEEDEGESNTIMNVNPIQVV L199_007435 MEEEEDDWLEPPTQGGVDDPLVNQEFNRLSNKFSDAGYREGITD GKLATLQAGFDEGFANSVPLSRRVGSLRGKAAALLAIHLNSTSPSATHSSKDLEESLR ELIKTLGRVKRDEILPEDRERIQHEKEEHEGDEDGFELDRNDKRDMEDLENTLEMLGG SSNRGKSGSAKEDGEAVIKGLEDELKELEKAVLRR L199_007436 MNCPSKGQDTFPNEIWEPILHHLNHMDQPSLLNCMRTCSKLATI GKVILPRNLVLRPDLRLDLRPKKSIRHRRSKKVIQEEEQDELDSDPEEDIKIELMEQT TFLTVHSHKESLCRLNYKVYPNVKTLKLIFKPRSTPYHQSPLYSPCAGQPKECYLLKN LRPTRVILDDFTIGLTAFDCEGIPRGLYRDVEELILRCGPIPVNDLRMHKMERFPVGL TSGRLKKLVVILPPRDGRLENTKYHQTNQNMYNLAKFLLSVRPGIASIVIVNLGGYLP GTADTNGPWNHPYDLDLAMCQYLWEKRPDIHSRMICRLVWAFLNKTVRFISMEEYLWK ENWYGVFRLGEVQKWIPYLV L199_007437 MVKTSTFLAALPLVIANAHAAIVEQWWNISYATANPDGLYERRV IGVNGTWPPPAITATQGDIIRIHAYNGLGDPDIGTALHSHGMMFNNSNWYDGAVGITQ CSIPMGHTLDYEIDTSIQTGTYWFHGHYLGQYVDGLRSPLIIEPKNRTGRSDDLEWDD EFTLVVSDWYHRQHLDLLTNDFLTWHNPTGAEPVPDSALIYLVKDGQYYPSPEAVSSG EATNDNLSIPFEAGKKYKMRIINMSALSMFLIAIDQHDLQIIEVDGVEVEPYPIDVLT ISVAQRYSVIVQAKDTADVNYAMAVMQSEEMYDYIPDELVLNNTIQITYNPDAPPAPE AVYDEMVDLDDTVLVPLLKREMAPADIEFVLHANFDTYDDGTNRGNFNNVTFQEPKTP SIFTALTMGNDSFNNAVYGQMTNAFAYPHMANIQLTVYNWDAGPHPFHFHGHEFQIVQ KSQDVTSDDPEDNPPLIEGQDNPIRRDTVTIPSNGKVVLRWRADNPGAWFFHCHIDWH LSSGLAVVFVEAPEKLQENSSVPQPLIDQCKYWGLPTSGNVVGLNSTSDFTGQPWGPF PLVIGWTPKAILSIIACTITALIGAFTVIWYGKDELGQKDVEEEIKKKLQAKKDKKGL VRRITGRS L199_007438 MAPSRGSSLSGSNPHSPPTSSLEPKSGPHPLSLSTPLSRMSSPP STAEQRYDAADPIIPIAHIKSNLSAQHQASTTEIDMDQHQGEKSKRGRTEVIREEEEK RNLTNRSSSLSTSPTRLASGCSYGPKINDEFLQGTKIKLEPELLSSMNENRDSEDYRI LWVDFPPHSPQNPLYFSQTRKYGITIVATLFTMMTSMNVGAFSIGMESLTADLGCTRE QAAIGLGIYNFGFAAMPLILAPLSEEFGRRWTYVVAVILYLLFHIMIALAKNLSTMLL ARVFQGCSGSVAATLVGGTIADIYIPADRGLPSAIFAFTAIAGSGLGPFVFCWVESNP KLQWRWIWWIQAMMIAALIVPIFLVMRETRESIILRRRAKKLRKERGLNDGGRYTARS EVGKVNFTEAMKTSSLRAITFLLVEPILLFFSIWMGIGWGVLYTMVTGLSYNFKSVYG FTTNQVGLAYISITIGALFGFGFNFVQDAMYRRKVEEKGIEARLYAPMVAGLTFAIGC FIFSFTSTASISYIVPCLGIVVIIAAVFTIYISAFVYISECYGSYASSAIAAQSFLRN SFGGAFTFFTLQMYDSLTPRWTTFTWGCVALLLSTVPFIAFYFGPKIRSKSKYSKILM KEEQERIMREKEILDGMG L199_007439 MPPQRDMQTRRAARRVDENAPPAAGTIAARSRPTSSNSATLAAK ATTATTSIPVLKRGVSASSTTTLKRSAAKNGENGKVDLAAKRRAALGEVTNGEGKKGL SSKEERKPLATTQTSSQTIPTRRTTRTTSSTSASVAAVKEEKVTVTGKRKATVPSSRI PSRSRSATSASTSTTATAPETKPLKERKPNVDEEPSRKRRKTSTPPPFAEQEEEEDAR PVDDGLYDQDGKEILLSSGSKGAVGLRSPKRKAKDEGWTDLDAEDEGNPSMVSEYVVD AFNYMLSIEDQTMPDSDYMDKQAELQWKMRAILMDWIIEVHAKFRLLPETLFIATNLV DRFLSKRVISLVKFQLVGLTALFIAAKYEEVICPSIEHFLHMTDGGYDTDEILKAERY MLSTLSFDLSYPNPLHFLRRISKADGYDIQTRTVAKFLVEISCVEHELIAYKPSQLAA AAMWLARKCLDRGEWTPNLVHYSNYSQEEIVECAQAMYRYVLDPDFNDTSSFYKKYAS KKHMKASVYFREWAIAYSSANEGKELSSEI L199_007440 MASVITIHLHIRSTGYLLALTSFTPLLLGFESPQATSDKLLGGA MLFVAAFVLVYYTIWALLLPFISSDSTLHSLFPAREWAIKLPLFLLLSGISAIGLFFS RVALSEARKKAAKGGKKV L199_007441 MSSNVKVIIVGGGLSGLSAAHTVLERGGNVILLDKNSFMGGNST KATSGINGAGTQTLGIPDTSAKFFADTKKSARDLARDDLIKVLTYKSGDAVNWLVEKF NLDLSKVSRLGGHSEKRTHRGGQQFPGMTITYALMEKLEDLAESAPDRVKVLKKAKVT KLLNEGDKVVGVEYEKDGKKFTEQGPVILATGGYAADFTGDSLLKKHRPEYYDLPTTN GDHCTGDGHKMAMAIGAKGIDLEKVQVHPTGLVDPKEPNAKVKFLAAEALRGVGGLLI DNTGSRFVDELQHRDFVTGKMWENNKFPIRLILNGQSSKEIEWHCKHYVGRGLMKKFN SGEELAKEMGIKREALKKTFDEHNHYAKNPGTDPFGKKFFSGGDFKMDDIYHVALMTP VLHYTMGGLEIGTDSDVHDSNSKPIPGLYACGELAGGVHGANRLGGSSLLGCVVFGRV AGDAVSSYLLSTLANERAANRLSNVAGHLLETKIRVDPNSKNVNLEFSWADNDNSGSQ SYSSGGAGGQHTEVHGTRQGAQDASVPANSQPAKEGDKTLAQVEQEKLPEPKEKQKSG GKTKGEYTVEEVGKHNKEDDCWVIIDGEVLDVTNFLADHPGGAKAILLYAGRDATEEF AMIHPPNAISKYAPDTVIGKIKA L199_007442 MPKATTSTFRVNPTSAATRPNKKNGQSSSTAAGASGGAAGGARN HLFDTARFGQHILTNPLVAQGIVDKANLKPTDIVLEVGPGTGNLTVRILAACRKVVAV EMDPRMAAEVQKRVLGKPEQKKLEVMIGDFVKADLPYFDVCISNTPYQISSPLVFKLL SHRPIPRCAVLMFQREFALRLVASAGSKLWGRLAANVQLYARVEHIMKVGKGNFRPPP QVESSVVRIMPRDPPPPVKFEEFDGLNRVIFSRMNKTVRANFKAKGVAELIERNYKTW CAENGHIIEDGFDIREKIDTILLDSGYADNRAAKMDVDDLLKLLAAFNVEGM L199_007443 MHELTCLSYRLQLETLIPILLPEVLSHILLFLNISHRSDLASCA RVSKRFNQAATPILYRHVVLHPDQDLGMIFPQFSVEGTNRRKDLLKHVQIITIGDGRD RYFWSLSELCEGWKSPGLDTIRVACGYHPPPYALSSMVNILKPTKIIIETGVEEVLNR LHLTLHRSLVFLDNLKERVTVIFEMGIEFYCIPSELTSPQDFVYPRDFSLPKIDQVKK LAWIFPPGREDLYEAKYAYLIKTSILLSLDAEMILVHRSENINQHSDGKIMRCHEDLD SGSTKSITQTLLLKAVHSTTRLIDEISKGMSIGDYIEKTASELWYPDDDDHSPTRS L199_007445 MLVRPARLLHQQNKLICCRRTRQFTSTSIVHPQSQLIHHSSSPS IDESFNEFIREDDMGMGMGPKKSIKPGRSKGKGRMHDLVIVDDQDLQSSSSSRYDSHR FGLVQEEQYSHSSTSSMGQREDGEGDYILERREERRSPAAVLGSKRIGVVVLPEALKN GIQRQIDQLDDPRTLRQSYLDLPSSPSGKMRDEKVDHRSSKPRKTIESELAKASAILP GEYGVVRNIYEEMDRRLGKGWLEKAGQEGEIKEVVEFSGGLGAGVWATVDALKDTSSS ENLRIQLVHSSRHGLDLAQKITEDIPEQAAEIHYYRKHHTFPSSPSLVLSTFLLSTLP TQPSQQSHLLQLLSLDSPYIVLVDRSTPAGWQAISNARSFLLDQSTSENPLHVIAPCP HDGVCPLVATDDKCSFSQRLQRPSFVRKTKHSNRGEEDTGYCYVVLARGARPISGSSE EAHLQGMPKSALGRMGGVGKEEAEKARLKKDGRSVLREIEGHEGILEVVNLPEMDPTQ NSHHTETAGSQEDRNDMQRGLRNEAYSWPRLVAPPMKRSGHVTMDACCPDGNIQRLTF SKSHSKQGYHDARKSSWGDIFPHTPKATPVVRTRGVRRLSKPIKDDQILSDLTAVLRE EGSEMDDPVLADELEELAKLGINIPKAEIVEDASGRLQPVNGSTTQGPFGQSGQRRSF SSFSTRRPSIQTVGLSPLLQYRFMSARPPPRAKVTLSTLKKLSMAKKPITVLTAYDFP TALLSESCGVDMTLVGDSLSQVCLGHTSTTEITLNEMIHHAKAVTKGAKTPFVFADLP FGSFEVSLEDGVRNVIRLVKESGIDGVKIEGGLEIVPLVRRLSEIGIPVMPHLGLQPQ RATSLSGYLVQGRTSQSAYDIYNTARAMQDAGAFAILLEAIPDKVARRITEDLDIITI GIGAGNGTNGQVLVITDVLGTYAQEPQPEEEIDVVEPATQLALNDVNVPSTPSIASNT TISVNTNESSDQEDLGDIAKLEQPRKSLHSPKFVRHFGNIGDLSRKVISSYLRAVREG DFPNHSESYGMKKDQWEGFLKLLEDRKGDK L199_007446 MKVLCVAEKPSIAKSITEILSGGRWDTRNSPHQYIRNYDFDYNL PPPLGRGRGTAFTVTAVLGHLMNSDFDDDHRKWHSCDPFTLFDAPILTTVSKDLKKVE QNLINEARHADMLMIWTDCDREGEHIGSEVATVCRKVNRNIIVKRARFSAIIAAQIHK AAREADDLDQRQADAVEARMALDLRIGAVFTRFMTMGLQVRIPELAEQLVSYGPCQFP TLGFVVDQYNRVQSFVPETFWYIYVGIERTDEDEEVRTVEFKWRRNHLFDMDIAAILY EQCAINPEARVLKVETKPTTKWKPLPLTTVELQQSGSRLLRMAPKRVLDIAEKLYQKG ILSYPRTETDQYDRAFDFNSLIQKQTYDNQWGQYASRLLNGEYQRPRNGKKNDKAHPP IHPTAHAGNLEGDERRVFELVTRRFLASCSKNAEGKTTTVEINIADEFFHTSGLVITQ RNYLEVYPYDKWSDSALPDFQEGEQFTPSVIELKEGSTSRPNLLTEADLVGLMDKNGI GTDATIAEHIAKIIERQYVLEKQEQKTKYLVPSQLGVGLIEGYNKIDFDRSLSKPHLR RETEHRMQLVCDGAKVKREILDQTIDEYKEVFIKAKRDFEIVIESVIDYLHGQGEAQE ALRAATQARRGRGGARGARGGRGGRGGGAGGAPQRGGGGNEEDDDDDGEDGGPPRGGG RTRASGSARGSRGTLTRGTGTRAGTGSRGGGTGNRGTTRKRNYGNDDGNEHDNGIKTC ECDLPAVSRTVQKADSPHVGRQFWTCSKSQNEQCGYFEWADEGGNASNSGGASSARGQ PPVKRQRPSSRNDNRGNDEDVRCNCDLEARFGTVNKEGPNKGRQFWACPNNPKASCKF FQWADEDETTAGGTSGPSNYGNSRNNARGGGGGVGVSGECFKCGQVGHWSNACPNEST SRGFGGGGGARSGGQTGECFKCGEAGHWSNSCPNDGLGGPSAGGGSGRGGRGSGRARG RGKGRGRGR L199_007447 MPSLSSTRSIFSTLRSVSKPIQPVVSRNIRMSSSVPVPKDFNSC LYQSLAEADPEVNKLIEQETWRQFSGLELIASENLTSLAVMEANGSIFTNKYSEGLPG ARYYGGNEYTDILENLTRERALKAFNLDPKVWGVNVQPYSGSTANFAAFTALINPQDR IMGLGLPDGGHLTHGYYTAKKKITASSVYFQSFPYRVDPKTGIIDYPQLETNANLFKP RLVVCGGSAYPRDWDYQRLRKIADGQGAYLLSDMAHISGLVAAAEQNSPFEYCDVVTT TTHKTLRGPRAGLIFFRKDKEADLEARVNAAVFPACQGGPHNNTIAGIAVALKQAAAP EFKQYAKQVRANAAAMAAVLFKHGYRLQTDGTENHLILWDLRPIGLTGSKVEKICDAA HITLNKNAVAGDTSALVPGGVRIGTSALTSRSMVESDVEKVAEFLHRVVQIALKTQEE AGSKLLKDFVKAYEGQGEASKLIVELKKDVMKFATSFPLPGVPDTTKIVRPEGVDL L199_007448 MQYVNLGKSGLKVSKLILGCMQYGSGQDWMIPDHEEGIRQLKYA YDQGINTFDTANVYSGGESEIILGKFLKQHQIPRESVVILTKVFGTDGKLEDKGPAGW TNQKGLNRKRIFASVQASLERLQLDYVDLLQVHRWDPETPFEETMQALHDVVQKGWVR YVGMSSCWAWQFQLMQQYAIHNRLTPFISMQNQHNAIYREEEREMMPMLKHFGIGVIP WGPIGGGILTRPYEEMASTVRGAGRPKDGRGEQPSDKLIIDKIEEISKNRGCTMAEVA VSWSCQSPWVTAPIVGVRSTERLDELIRGMNLGLSEDEIKDIDGLYKPVAVRGHA L199_007449 MSTINDTNTNLVCILSGTALAYTLHHAYKFDGCRCLIPRKKEWF RALLTWMLLMCEIGMLIWGAGWAYVKYHLGWMYIPQMGTMPVPTQMYTEEYKALNTPL TIWVCTSFSLQVSLNAEEGLYWYHLMRALHRPRTGKSWFRSPFFFTWIGISMITPIAI ICTAWIGYESMESQMGRMFVAGGAIEAIVCVAASTVVFKFPRFLKDVRLSGAGPEVRS RLHFYHQANKVRTFFRALFTICVLTLGIDALTKEMKINLNHIAADLLHQLSFGSYFFA NIISVMVYLPRDYNTSAHPQQIMVGQPPATSPFKYPASPNKHRTSQTLMSLLREGGQW DEEDDLRIALAKGDGSPGELGYHTPWPQGGDDELGVYQDGRKARRLTPLQNWTTPFGI PSKQTKAGYPLSDVQRTDFSRA L199_007450 MSGNKVEDKPEVSHVEDGDEPLKQQGAHHTSKQVKHGDHALKYL GDERVEVTEEDNVRIRRKTDKRILSILIWVYFLQILDKTVLGYGNTFGMSKDTGLVGN QYSVLGSINAILQLAWQPFSSYLLVKVPARILMPVMIFGWGAAQACMAAAHNFSGLMA CRALLGLFEAGCLPLFSIMTAQWYRRSEQPIRVAAWYSTNGLATIVAALLSFGLGHID SEKIAGWQVIFMVCGIITVLSAPVVYYSIDSDVSTARFFTEQEKAQCIERLRANQTGT GSNEFKWSHALELAYDIKSWMFLAMTLLLNVGASVTTYFGPSLLGQFGFDKYITALLN MPFGFLQFLAILSGCYAAQKFKIKSAVVAVYVIPVIVGLVLLYVEGTASVFKTAPAMV GYYLLSFLFGGNPIIVSWMVANTAGQTKKALIMSIYNAGSAAGNIIGPLLFEDKDKPH YIPGIKAVLGIFCALLAVIGFEVIILFFLNKQRQRQRVAVGKPKYIKDTSMSNKYQAY GTDDPEGVLGQNALLDMTDFKNEEFVYVY L199_007451 MPPHKSTTSAPPLPLPTEILSLVFAYLLDTDRKSLARCCRVSHS IFSIAAPILYRRISISNVLRRDSRVDHSTDRYTAAGKEKTNTRKKKLLRNAEVVTFDD HHATWCGNKTFKYPKLKILVLNLALVGLGSVLHSNDILEKQCSLVKCLEPKKLVLNNM SLINIQTFDMFGVPHKLFGFIEEITLIAPLLPVKPRTIYGGFATMPKLKKLVWIFRTV SPGPRWVPGIHDLLGERQMSKLDRDLQALASFMKGIPVDVPTFIVNSGSMHHRYVGED TWNERRIQDNFANKLKQAMIAEKLDDWGHRNEANQLGIEERRNIAIGKADSIKILTMK EYLENHDWTGEFDYREAKLWLA L199_007452 MPAPSFNAQSITELIALRAEQQGDVPAVHTGAAEFGEKLMTLTF SDIARAVDRLAAHYAQLNIQPIVSSGEIPPERVIAVLTSTAIDETLLEIALAKLGLTA LLLSVNNSTAAVAHLCKTTKSTHLIYGTKFEQTAKDAQAHLAQEGINLEVVPETRYPL WGPGGVRDAKIPQYPARLTPEQEAKRTCVVLHSSGSTGFPKPVFISHYGLIANAAQSL PKTGFSALPLFHGFGHFSIFRCFYHGKTFTLMPPNLPLTSANICRTIKNSPTPPVQHF AVPYVLKLLAETEEGVETLANFEAVSFAGAAVPDDLGDRLVQAGVNLISFYGTTETGA LMTSRRADYVNDKAWNWLRAEGPIEKYLELIPQGSDTFEVVVRDGWPAKIMSNREDGA YCTKDLVLQHPEHKTWFKYIGRLDDTLTQTLGEKTNPVPIELAIRGNSPLIAECIVFG DARPQTGALILPSEQGKDLAKDKKAYIDAIWPVVAEANANAPTHSRILPEMIDILPYG TEIPVATKMSILRPACYKKFGDIINAIYERFEHGTGQPKKDISSKPEMEAFLTETILT ALGEKASAGLTPTTDLFAYGVDSLQATRVRNVITKSLELGENVNLGQNIVYEHPSVSA LADYLLSVKSGQNASNSPEVAHKTMLEMVDRYASQLYKGDTSSTGPADAQINGHSGEV IVLTGATGSLGAHILDQLVRRPEVAKVICLSRAKSHEDSLKRVQDSLSQRLRKLSPEA QSKIISFASDVNKPDLGLAPEQYELLRLQSTAVIHNAWPVNFVLSINSFDEHIGGAVN LLNLTLKSPKKIKPAFFFSSSVGTVQGRPDPIVNEDFSDSPVTAGGMGYGRSKWVVEK IMERASKETQGRVGVLRIGQLAGDKENGVWNETEAWPLMFRSANTTGTLPFLEEKPSW LPVDQAGQTISEIVLNNLHTAQPSSGNVYHVLNAHLASWSDILEGLKKGGLKFETVDR YTWLEDLAKSNSDVTANPTYKLLNFYQNRIGNKTERPHMEFKVEKVEASSPTMKQEVK AIDSDIVALWVKQWKSSGFLQ L199_007453 MATRHHHEDAADFLPADPIPAINTNFDKDLEAGKATTEHVENAS IAEKQGAERDIHYDGGDDAAKPRTGLRKLLRRNPSMEFMREVAEANETELDPYEVKRV ERKIYWLIVPALFIDYIFYYVDKTTLSYAALFDFKTDLHLKGDNYNNLSSIFYIGWLV WAIPGNLLLAKFPLAKYLSINIFLWGVFLMVQASSKDYGDMVAFRFVSGMFEAVADPC FVAITGMWFTRRQQPTVIGYWYAGNGVGIALGGLIGYGIGHIGGSLASWRYEFLIIGA VCSLWAIVMGILIPDAPHTAKWLNRREAVVTMSRKRHDHHTVEKRQLKWDQVIETVKD IKIYLYFLLGFFANVPNGATSNFGTLVIKGFGFSTLNVTLLQIPYGTFIALMILMAIF VNHKTHHLNIRTLLMAGVTCLTVIGFALMAFTKGIAPRLIGYYLTGSSNAVFVLALSL VSGNVGGTTKKVLASAAIFLGVAVGNIVGPYSFLTSEAPVYRTGIIVCMASRAAEILV ILGLRFCFVIPNRNRDKKFNEGDEAYNPDVQVFVDLTDKQNLHFRYVA L199_007454 MRLSPPAPVSPRPTLSKSIPINSPEKPLEISHWKEQWKNLVQFL SVKQHVDTWCHFSHPRQLDLPNLKVLQIDAQRNKDNSWTSSDFHRWSSNINNQFCPLL KGLRPKVAIIRNVGNTPFEAQCRGVPIRTWTEVETLIMISSSISQHEHLIYRHPPPGL INLQKVIWICDPQAFRSTPSGPLLQPLISSETSIYTGYVLLSHLLQKFVDVPITIVNL GPSARYRLYSSVSADLEDGELTSEDVFRHNLTHLVENTSTPIRKDDLPRKQGLIGFMS LEEFLEKENWKDWFEEEEMMNWVDIMGKHNGQEEIEG L199_007455 MPICGITSLLPNEIIREVINNLSSLENNTQIPTLASCCLVSRAF REMTIPLLYRHIDLTCEDDTSRNDHYGRRKAYGHEGRNSVPAFHQPHFIPQQMGYLRK YTKSLTINYHPHSRCSSHPSFDILDLPNLITLQMDLGLNHRPNYLQRFHTGHLPNTQS TSNLVHYLPDSSLRL L199_007456 MSIGKRQDDIEKQLRDEVWKFRMQYPYYWDLDKNELEVRMNNVR FIDVRWFVDHVEWWDWIEPSVLADWKKVMDGLPK L199_007457 MREIYLKETDYHSNGISPRTWLDVDTLILVSHSLNCGRYGYHYL QPDLPDLKRVIWIFHPNALQDQNQVQPDEDGNWTPIEEKLMFDLSTRYPKIQITIVNV GPGRHYRLHSERDVEKTGRTHDLKAEDILRKNFPTHLGSRIDKNGEDGQKLFKFMDSG QVGRG L199_007458 MDFIKKVAQEKLSDAFNGDDDNKQQQGGYNNQQGGYGSQQGGYG GQQGGYGGDNQQIGGYGGNQGGQGGYGENQAPGGYGGDQGGYGGQQGSYGGGDSYGNT GGEQYNRPHGEGGAGAGYGGGSGGFGGAPQINENTAVHAANQYGSNGNENSSLFSTAM SFLGNMNKDDADVDEDKVQQQHQEAYGQGNASGMSANAIGSAAALQALKAFTSGDNQA AKSSGGGDMQSKMIGMAMSEAAKLFDQSGGAAQGNKQDAVTSAGQTIMKLLIKSQFSG TTGGGNSGGLSGLMSMASKFM L199_007459 MSGGQSYYEFYRGSSIGTALTDALDELITQGDIPPQLAMRVLQQ FDKSLTECLQKGVKNKTTVKGHLATYRLCDDVWTFVIKDPQFKMEGVGAGAETVTAPK IKIVACKSGDAPEGKKSGAKSNDFA L199_007460 MIIPPTSSSSSSSQSEGKNGIYRLKRGTKLSEIPPLPPNPAVPD LSSLNGPFQLAEYLSLKIRNDPHDVRGLIDVPVGDKSMGGKAPDKNVWIYEHLRRLPI DLTPLITALLPICTRETCPEMKAHEWLYLCSAHGGGAESCSAIDYILHTLDSTTALLN SSQNFPSRMQIPPSSVSHFPSLFRRLSRIFSHAYFHHREAFQLSENETSLYSRFLGLC EKFNLVGNSLLPIPRDAVVLSKANEEKDSSSSDEEDEGRGRNYGSYKEKEKRTQSLDR SLPPTTIQSPTKSISSPSKPPGPTRNETLKPDQTTEQGYGTIRDNSEVPNIPSSPLKF GTGKGKNTLGRGKQPRATMHWVDQAGEAIPPLLSSNSTLENPSTTSTSPGKNTNKNRD RSESIDTVIHLNEEPDSKSEATTSQNNSQDQAVTLPDELKEENLENIKTPLEKESKQE ESSLAEEEKLEAEEVVKEGEEEIVPKDEIELLEEKGELSPENTVAPLAPSSSSSTETQ AEEKKVHSDLSGDVQEVESELAQSEKGKEDNEPQEAKTEIEGEVKGESQGEKKDDDDT EIPKSVQNATEGNSTATSAGTETTKGKNKKKLSPLSKPLTSSSSSSGTNVSIPSPIKG TGKGKLVPAELSSPSQSIVDTEDKEKKEKEKVEEKDIEKKE L199_007461 MKLQQYINSFIEPLHHEEPAGLIRLLSLHNKTSRGLTDTVGHID ERRLNNPGHSLPEPWDGIAKRHCACVYALYTKKDYQEAYTHQNALLSLFYRWFQDQSA WVLPVLYVLLQDLRDLAEQADQVTYSSTGKMPSLEECTRTVSKAFSMCATDRTFKGSE SRRNGVYHVACLSLKCYFKVGKPNLCKNIIRAVTSDSKTPPIEEAPLNDQVTWHFYIG MLAFLNGEDKKAGEELEWASLNCPVDAHRNQELILTYLIPLHLLRGSLPSRRLLSLHP RLEELFLPFINAIKSGDVREYDERLDWAQQRLVGMSTYLVMERAREGGLRMLFKKAWI ASDKSTRIPITTFQIALKIHGVDVDSDEVECMVANMIYRGYMKGYISHEKQMVVLAKT NPFPNLSTIVR L199_007462 MAVRTQFENSTDIGVFSKLTNAYCLTALASSTNFYSVFESELAD VIPIVHTTIGGTRIVGRLTAGNRHGLLVPSTTTDQELQHLRNSLPPSVAIQRIEERLS ALGNVIACNDYVALVHPDIDRETEEIIADTLKVEVFRQTVASNVLVGSYCALSNQGGL VHPKTSRSELDELSSLLQVPLVAGTVNRGSEVIGAGLVVNDWCAFTGLDTTATEISVI EATFRLQGQTSAAVINEMRDSLIDHYA L199_007463 MNIQYPSPNLPNEIILRILQNITHRPTLASCCQISKMVYDIASP LLWENLRLTPWSDRRDSSGERELSDYRKDHGKLNNRTRSVSKMRNGVKKLTLEYHSVD WCGIDRPTRLNLPNLHTLELVLKDRHTFHFGWPSRTSSNNSSECRFLKNLKPKTIIIR NCVLSKLRLDTPSMPSGIWEECQILILICSPKQHISSTTYSRWNKLVEMRHLKRVCWI FDPMIDAPTSWDPSIDEHFQFDETVATPGPRLFHSSDSDSMNLTLLDPLRSPETDVEI RFMNSGCIYSALNETRRSEFLELCLRNGTLKEEDLTSGTPESRAMRFQNAFQIEFQSL IARRFERMMSIDRLEEDKKEERRKLINFKSLQKWVEEDEKEWSQWIDRDDLVR L199_007464 MEVEWFDLPHELFKGTEELFCISLVSRALDPIVNGDIWTEQMSN LKKMFWIFQPEAFDPSRNYKSISNCFAGHDYRDDLIQLAIDLSKTRLVIVNSGIIQLY DKDCRKTTVEESQRQTEIFVKRELAKDIALFRNWSPERTKERLESIEFMNLDDFMEKE EWWKYLNADELEEWKAMVDDVEKRFVVKAETDPADGKEVMND L199_007465 MPFVQSSPILPVELLHQILSYTSQGTLASACRTSKTLYGIASPL LWKHLVLGPWKVHEDGKVIRDDKNKEILDENKENCGAIKSSRAEGQAMGTIVKTFSLY HHLFDWCQSIDRSTLQLPNAHTLHLYLSDKYRIHEDLKGHLCRLLRGARPKVIICHNA CLRVLDFSAIGSRRLFEETQTLFFVSCAHQAEKCQLYPHVFRYESTTLKDIFWLFEPQ SIGPSARSNSFSLGDFVRDIATLAIDFHQTRITFINSGVIGLLDTPWRHTPNKIHQKE IARDMKEVLEGKLKRSQNWSEEEKKQRIDSIRFMDFDEFLAEEQWWKYLDSEQVSKWK EVSENQFRKRHDFSDGADIVDEGDTVNH L199_007466 MVGIVKLIAGCTFGATLVAGLGEKKVIVFPSDIDSTTTTTSSDQ QVFSSTSQKHHDHFLISSRSSDYSAPLLLSSKDDIAIHIAAQSFAHDVYRVTGVRPHI YNDSLPHHVERAIVVGTPQNGLIKHIRDNEYSDELIGKWESYDIRVTDEPLHNVDQGL VVVGSDRRGAIYALYTLTEQMGVSPFHFWSDVPVRSQEAIAYLSSEVLAHGEPTVKYR GLFINDEHPALWSWAAQRYKVRWGEPAFLPDMYEMWFDMMLRLKSNYFWPAMYASMFD IDGLNVTDGFPKEPIAGPNQVLANNMGIVMGTSHHEPMARNKPEWDREGKGAWDWTNK DFMVDWWTYGAERAKDKETLFTLGMRGDGDMPLTGASNELVENITSVQQDILARTHGK DINDIPQMWCMYKEVAEYYLKGLKVPDNVITLFADDNWGNIMTVQPPGKDHKAGSGIY YHVDYVGMPRAYKWINTINLVKTWEQMTIAAAFNTTSIWILNIGSLKPLELPAEHFLH LAWDLDAWPINSVERYLELWAEREFGGDTSKEVADIMMKYSMYASRRKAELVNSTTWS LNNYEEAERVLDEWQSITDRAAKIYEGLPEDTKPAFYQQVYMLCLMQTNLNKLYVSAE RSNLYAQQGRNSANLYAKQAIDAFFHDAELTDTFHQMLDGKWDHMLDQAHIGWNSWLE PIKDIMPPVSFNNPSVPAREGIPIPELGYGVITNIRVTYENSKGSWPGNTAFNCPQGE HCHTPELLPMDPFGAKSRWIDVGSAGPKSTSWKVTSEDWLIVEPRHGKTKKDGSLDTR IRVSVDWDKAPENGYATFHLVASDASEVTILVPINKRPAPPKEFKGHVEGDGYVAIEA GHVSSNSSKDEYAFHEMIGYGRTRSGLEMFPRTTQNFTIGQGPKLTYDIWTFDDSNEE DVEINVQIGPALNFLGANNTLAFGLQVDDQPPKIFNPIPTQQLGMVADKPWNKPVAIG AVPNDWIEIVKNEIRDVKTMVKLGEAGKHTITIWGMTTGLAFERILVDFGGIKERGYS YLGPPESVIV L199_007467 MSNPQQRSPILIAGAGLSSLLLAQSLLRSQIPFQIFERDASLSF RGQGYRLRLSNEGLDAIEDVLGPDEFQRFYDICGKTGGSGFTAMNAVTGEEIEHTVPE KLGSRDNLIVGIARGEMRKFFLEGCEEYIQWNKKVVDYQDTPQGVKVIFGDGSESVEG SMLVIGDGLKSKISKKLSEGKIKHFDTLAIGIHGQAPTTAFKGLGEGVFRLIDKSVEG EGDEGEAKFFVITNVRSKDMDDPTLQFGWTMAGSPGLIKAPNNDYTIIGKQAADIAKS LTSKWHEKLKPLFDQMVEDEAAFWKITCSSPSGVPVWTNHPRITVIGDAAHSMTPAGG IGANTAVRDSALLGRLIREAGGYREGLTREYEEKMRVYASEAVAISYGLAEKQFGIRI DEETSPTV L199_007468 MEDAQYSHQEDLIKNYWEELELAAYRDKQSKAKNKKENPDTCKP DEEVDNDDDDDDSEYTSFDFYSIEEFGEKEEWYRWFGSEELIRWKTVNDEIVGKKQSK SRGKK L199_007469 MPLKKKSKSKATKKAVDPPASTPALTTTIHNLTQPIPFSDQVIQ RILSHIPTGCRGTLATCALVSKQFNRIATPLLWEWLDLTSATTNTDDVTEDTHEATPF DTSHWKEENLAMVKVLSIDAHEQHWCSAIERFDLPNLETLRLTINRAYSGTGRIHSVQ SSPPPSQIKSSSSSTSQCPFIQNFKPKTIVYGEAPPSSFYLDPNNLYPSIWSEVETLI FLIPPDGANNHFVRNKDLNKLLPKLKK L199_007470 MVIPSEIIHRILSYLSAHDQGTLTSCALVSKRFDQIATPFLWRW LRLDPLHMSNDDDSPTLDISTWGQHLQDMVQVLDITTHDGDWCGSAKVTSLHLPNLKT LRLHIDTGATGYKEIHVEKEEEGLKSSCRLIQGLRPKIIVHRGKTNPKPSFYLDPKYF CPTIWSAIDTLVYLVPPTQSSTHFLQHRRRDLSRVLPKLEKIFWIFDTTSMDPDAEGR QGSLFGPEFQLISDILINNPQIRVTIVNIGCTQMRLPRRRRTSYEDTIGHYSQLFDNF YSDEIEYSSVDNNYYDDTQELNYPYLLTIEEFMKQEEWYEFLEPQEFEGWKWMNGEIE ERIPTWED L199_007471 MPCKRSKPSNQSSSHAPPPAPAPPLSPLIWSHLPIEIIRNIFSF TTDRGTLAAWTLVSKSFNQVATPRLWNSISGPPPSIRTSRWGPDILNLVKIYSITNHN EDWCYRYRKKLSTIKLPNLKTLRLSLDKTRVGTPTHHFYTHPTDCGYAGCQMIHNIKP KAIVYKERESSSFIIDPKRLHAEVWAMVETLILLISPIGTGEHYVNSDTLSRQFRILR RSFGYMILRSLKISIHKRIQVKSSQRNTESSKVCWMSTYF L199_007472 MSNTLFSPTLTSSTNADDIDMTLPLSQDKGVDNFESLFNFDEFE SLGDTSSHTHSPTTASPNYTPSPLPLPAEEPITFNPESFDFLGADGAMDFPLDFSFGN EAKAGPVIQEEIMQVKQEPVEFSFGEASNSQSPVTEARPMPVPQPLLQQNNTVNPDNF VGLPVDQQAALQQLMANLINYQQTYGLDIPAPQAPTQAAAPSTIQPSMIFSSASPAGF TAPAVPSVASTSQSPVKQSTTLSTPIEADEPLRSVDPQEMVSPGPSRSTRQLSVASSG IDDLDSKIDRLVPLNTIFSAGKGKGGKKGGGMSSVVRNEDEIIDDDESWRPSPEEYKK LSSKEKRQLRNKLSARAFRTRRKDYIGTLESHIQDRDVVIDEMRAELVNSRSENQDLR RELAALKASTMSILHPETANKSSVSPAMVNALAMSPSLASSPSPVNATAGPGPNTIRR SNTPLHTFNPRKDLPASIKGSWGGNDNMFGGGSTICHTLFTPDLVLPPTTSTPAPLRS LADLPRVNLNPHLNDDVPVPAPRGNILGGIGNGQDTSKTFAAWSEDTPFSLRSMDSYR MQMWSRLAREAAADKANLAGDMRPKFFVEVKEEPPVSPAAAAQAAVVAAAASNHITTK LANSFFSAFQGTGSKLDTDKLAAVVTGQAKLKVVSSSEVDLPAPQPAQVAEGCHDDCL SILMGGLKLQSGPGLRSSGVGIGARENPLGALCGFFGMPTKA L199_007473 MKEKLKQVKILTVHHHSSYWCRPDKFPSFFLPNLITLDIQVDEE YYNHLHDRTRTPAPTSNSSCPLLLEFKPKNLIVRMNGLERVIYGYGGVPSGIFDKVDN VFLIPRVGKGDIAYFDPYEGLPRPPPSRIKSITIIYKIAPDCTTSAEVSMKQRRKGNR YANLQKMVEDLGDSEIILTIVLQRYLSEGVEEEMQQAIETHLDGLPEKWWPQEKKEKR KKMVEVLSLERWVEERRRWEVQFDEHEVWDWQDEGGIL L199_007474 MELELGAPLTRSTPDTTTQQLQHKRSFLSKLRRTPSSVSTSTSP YGQPVHAASTSAVITPSTRPISTVKKNQVGVPIMMGINRSEEIFGPSTSIKHGFGIED ELEWERVRTLPRVRLVPPPEEQTEPIMLYPPPRPASPPPVLPHPFLSPPSSPPTSPPR LSTRPCSPPQSPPRRPQSIYSQSPTWAGMADGFVLPPPKFSRNSTGWSSTAFGGGNVV MPKKREQVDEDRRKRMSEYVIGSPPRSPPAIVVSGMMRSLESQEEIAANKRMRVDHEE QEFESDQRNPPQNVLDDEKELTASPVDMDIDQIPTPPPVSEVDINIAQSASVAAIPSR STTVPVPQLTATPSSPAKTPIPKAIRRSRALSLSAAFSSSSTLKNVQVPPLPDLPSRP PIRKQKSLKKFFFRSSTLPDAVGASASAPPVPGIPLQERKPTEENKPPKEEKEKSFKG KKILQRARSKPSLKIDVKVAKPSSSSASPSPTTPALTTGKADISTSSSVMTTPSSAPA QHRGLSKRFSLSNMSQAFKKKSHSTPVSATTSSGSPVPMVPDIPEAFKKNKDSRDIKG KGKGKAIESALPISPRKSKTLDTDNNDDVLTPTGLPRRSESLKAIQSLTFCQEDDSAV EESVDQPISTAGVITDEPQSLLPAFEVSSESQASSTSTPVSHSDILSESPIQSVKSIS TVDIDDVDNDIDHEEEDDEHELAHAQLMHVSPRTRRDPSQSLSLQEFLSSPPGKTSNI VIVPTKGLRRSVEAVVMLGNPMLMNLQSPPSSTDDHHVEVSREEPEEEMKGKKKRESK LFERRGSETESEAEELKTPLEESTEIFPDQPDLQQEEEEPTPKASSSRMTNERQRDED DCEYDYDLESLPSDIVLVETPPSLPAVPHSSETSTIMNVTPVNMKNLFVSPEALLKRL HSSRRPNQATPFQHTKKGSASKDRESLILLGDIPLPPLPASDSKEFSSLSKEMQLRSL KFESLGLDFQQWNEWSEEDIDRLIKHSESTA L199_007475 MQRNFENFEDKYQYDGSIGISPSQIPLSKSRLSELFKLDTLYLR YNDDQWPTSLHPGDHTVPCRLLLSLRPTTFCIHVPTLEFISGFHLPDNILNSVQHVIL ISGPRLYAIPEGQCRFTEPVLETLRSIKSLTILFEPFAPSPVMGKSPMVSNPSLFNVS FISSVTKCANIHTPVHIVNAGYIERIRHRGSTKSIEQLHQESMEIIKEHIELTRVDPH GNVVNWSKSGIQKRKDNLIFQTMEVWIDSGGWEGRLKAQEVMRWRSVMSKV L199_007476 MAPSKKNGPQPNPNPIRISPGTPKSVTTPLISSSQPPQSSPERT IIRNHISILDLPIEVLDQIFSYISQIDLLSCCLVSKRFHQHSTSHLWSHLKLSPSSKD CNAKGDATRLSIYRSTLDKLCYGKSRRVNDLAKSVKHLTLDYHDETWCRSMKGALRLP DLRVLQINLASGCSRTRYAFHQYSAGERCKLISDIHPSTIIIRDCVTSENPLYCPSIW PLSLWRNVHDLFFVSSDYQSTPKTDMDLGFPEMLLDRLKSITWIFSPINTSGRSPSDA ISKYKACSLFPLIFTLKQTEQVPFTIVNPAHLGRAKGIHQRTVDYIKYQFVKSATELW YGKGRD L199_007477 MARSTRTKIKDKSTTSPASITKDETTSSSNHPTLLSHARGQPTP LPNEIVLQILSYLSNDRTSLLSCSRVSKTFHKLSSPLLWHHLKLSPFFAIPRLVSNNG EGVYRKIEDPQKAQCGSIGTGKKDLKPLLKHVEKFYLESHTPEWCYHGYNTSLKLPNL QILELDILSMRKQDHRKIHLSDPTLTSRNQYNPNCRLLRDLTPSTVTLRDNFNKGLDF CKGSIPLSIWKCVEELILVSPVRDIPCLELEPVAAPIPLTLKDLERLTWIFHPPPTLD GGEKVMYALDAPHRPDLYNAIQIITLIIQLKNIQSVKLVNPGILASLIEENSGATKDQ LHWESKDYILCKFGAETRKMGWTEGKIGESKDKIEFLTFEEWEEEQGWVGRFEKNEVE KWKEAMRD L199_007478 MLISLLLLSTLPLALANPQPNGPSPILPAEPSALASSSKRGITA TLSHGAGTARRAKGYAKAKRDVPPQRLRHRQKRHSSSNLQIRADDEGLPPSWLLWAGA RIDSKYNSGSGGFSAAYAKVIEKRADNGEITLTNHNLDTSYSATLNVGTPSQSLDIVL DTGSSDLWVASDACTTGACTSMDTYDDTSSTSKVNLSSSFSIEYGSGTAAGSLVQDLV TLGGYSVASQTFAACDEVSSGLLSTGVSGIMGLSWPALAYSKATPWWVTLAKSSSWSE PLFAFHLARYRNVAGASSVEADGGVATFGFLDSSIYSGDVTYVSVSGSAQYWQIPMAS MTMQGETVSLGSSNMAAIDTGTTLIGGPESIIEAIYANIPGSQRMTGSYAPYFAYPCS TTVDFEITFGTFTIKITDQDFNLGRYTSDTTMCTGAAYVQSLSSSSPVQWIVGDAALK NTYTVFRYNPAAVGFANLAGAITSSEAAASTTIPDASAIAATSVAATTSKSSSSASTT SSSASNASNTQASASAGSAHVVTATDDTSASATSNSDSSASATSSSSGSTSGSSKGLA VPAFTVVLGLVGIITSFS L199_007479 MSEMEYYIGFDVGTGSGRACLVDKNGKLIAEHSEATLTHRSPTD HRIFEQSTSNIWSSLSKCCKTILASSGIDPKQVKGIGFDATCSLAVVNKDGRPVSVSR TGLSEDDEKDENLGREDAEKDVWNVILWADHRAEEEAEKINSTGEGVLGFVGKTMSLE MEIPKTLWLSKHMKSDKFKNSMFFDLPDWLTYNATSSLARSACSLTCKCSFVPPGAKM VHECDGNKEEVSKDGWSARFFNKIGLEQMVENDFEQLGGIPGKNGLVLTAGQPVGKGL SKAAAESLGLVEGTAVGSGVIDAYAGWIGTVAAAQGEGQPKPSLEDASSRLAAIAGTS TCHIAQSKEGILWGPYRDAVFPGLWMNEGGQSSTGQLIDFMMQTHPAYPKLVELSKKS GKSTFELLGDRLEEMMKEKNAPTLTHLTKDLHFYPDLVSHIPAMIVNKINLTDFHPVF IARSFCGLSGNRSPLADPRMKGSIVGLTLDDSLSDLAAKFNVTLEAIALQTRHIVDEM NAKGHKIDSIYMSGSQAKNGPLMRLLSTVLQMPVIIPPQPSAAVVLGAAMLGKYAYDI SLERQSQEITSQEEAKAASEKDKNKLWDVMVSMTHPGKTVEPRSDELGEKERKLLDVK YKIFREAVEVQRKWREMIAQAV L199_007480 MRFQLATALLLPTLALATPINPSSEEGKLAPLSESGEHIDDAYI VVFKKGIDANQIALHLSGVEQEHGADPLYSFSSNGEVDTGGIKHVYQPPTSDNGYYGY AGKFSANTLNTIRSSPEVEYVERDQIMRTQDIPHGVDDWLSSAISSSDDEGDEIASEG IATEKGAPWGLARISHRNELKLSTFTEYHYDSHGGDGVTAYVIDTGINIDHVEFEGRA KWGKTIPKNDVDKDGNGHGTHCAGTVGSRKYGVAKNAKLVAVKVLGSNGSGSMSDVVA GVLWAAEAAAAEKADAAKELAATGKTKYKGSVANMSLGGGKAKSLDDAVNAAVEAGLH FAVAAGNDNKDACNYSPAAAEKAVTVGASTLGDERAYFSNHGKCVDIFAPGLNIFSTW IGGNQTTNTISGTSMASPHICGLLAYLVSIHGTETFNALNIQDETTSATGGLYAQAYS LLPKLAQAVLPTPETEVNVAPVPSKDTLTPAKLKKALVALASTGKLTDLPAGSPNLLA YNNATTHTK L199_007481 MPPKDSSSNIPTQLTAAIKKVRDALEKDKEPGWIDRVQAWAAGK KKLEALLLDPKFSYTVFHEYLIWADENLLSLVTTPSAPQFFSFFYTLLDHLYIRHVKP IVDDGSGTTPITKINETMEKEDTPTGMIVRVGKLTSHIMEDILQLYSDDHTGTHADKL HTKQWIQLVTDLLLVCMTTGMGEDILQSSLCELLFTIASDTDHNQGKAILQDPSVLGF GKLGSFLFRSEGENVPWYHGRVLFEAKPSHPSATGTSIWLLRIIAALLPRFTGGENLE RTKAIQRIVTSQQWDKSIVKEAFAAIGRMTPTSKPFEIMNIVNILMKDTTHTRPKGFQ VTSFTVDGVNQLTSNKSQRYLNQGQIIIYLDDWGLVCKAFDQQDSEQDMAFRIHRILQ LVRSGGSGFDFTYQKETGDGHLRVHLSIVPEQAQEFYGLVVTKAVKDITPYKVLVGDS NIDINDALSNVPQIDDADVQAAIENLNSSCPNVLTQTIEDAFTSVAEERPRWKAATVA ASHVSQQITSQVSVKDADSSVNTTGEEIESQADYVEVEREEERKDGEAGGDDEGQDLP PLSQQIAMKPVNATTRSAKKFGKSTASGTRPFIKSKTNMDNDSDVSEAETASYQKHPP NGTSKSSEPLLKTVLNKASASQRGGGFKVPTPRSSAVTATLHDQIQKGLSTTPATIRT AKATTTTSNATSQRKGGQDDKRTRKAENSPRTRSAASKASVPSGSPRKPQAKRRSQFQ EELDNDEDEAPEEHAPLPWEVRDNQSLSKVTTRKQRLSDMKGKSVASKLTPPPAPPPS PPLPGSSPPQQDIPMNEEDTRPVLLDTVEKAVFAVHPSTRSYIDNAKRVTIDRPSQDL GTSNVDAAAQVGSSLANNFEALKPTSNAVDQSSSIRVQHAKTSGAGNGTDGASRAEQT TADEPIVNTKIGSARLKGKSAAVSKVPPDQAASSSRLERRQEQLSPASKDEDSPDESP ESTTRPTITRKFVEALFEQLRQDEEEGEMRIKKKARIALLPSLSAEEEDIQPAKPQFP SKSRSIRDNGHEQRKGKTRKSDESTLSNSNEESKWVRRRSNSAEVKAVSEGERMELKV TSEVEQLMTKGAKRRLESPMKQVRLAGGQFSKLATKLIDHLHNESKNHLKGFHERCKA TKEKYDAITKNEVGSVWDECKGINEEMDGLMRVDRERWGLKDVLFE L199_007482 MPERPRLHLDFNSPVTPPPIYSPYFTPTSPPSSFTSTPTRRSST SSTSSSFDSPKTPSTPRIIMGAMVFTPEPKTINGNAIGEGDESDCEEGEMSLEVPGIV LTEPPRPPSPRLPTPPPKQVVLLAPCSLPLPSQQLTSMSSSKDSKIKTPLSFSSCQQS QGGKPQFSNTFLKLLGHPHSQPSSNAGSKTKRSSLKMILLLSVMILGVWHLWSTLEVG LTIGLVEEASLIL L199_007483 MSGATETQQVVDAFNSMSIRDAKDDLAHCVPRPDFGRQGKRITV TANMFPVTFKKSDMIVYHYDIDIDVQSTIRSRDSTGGRKQYKELKWKIWKELCATAPE GQIRDGLAAAAFDRERNFYTIFKLPLSGAVANFKVELKDEEGQAPTQGGRQNRVFNVK VQFAREIDLNVLLSYCQGQAFDPETRDMVAMGKAAINTLLRQDLYGRFVPKGGQGRRF FALDDAVPMSGAGLVLNGFIQSFIPSQSGLPAVQLDTAYGPFFRSGDLLGIVTEIFMG DGNGSGRRGGRGARGGPRGRGGFGPGLGNSSARLADILSRRYSHEKLKKLFWGAKYTL TYRTTTRPCKIEGFTAETAAQIRFEINGHNSSQKKMHPDLPMIVTGKDRGRKLIPMEF VQLEEFNGIPFTAVSSNQTAEMIKVAAKAPDHRRKQIMGWRGKLDYSRLPRIRDWCLE VSPNMMKLQARILDPPKVNYKGKAVTPINGAWNLNDNKFVKANVPLSAWSILCFDRSL DDGGLRSVMGKLMSTLSRNDCNVQGREPPIHRRDLTNLLASLSAAANLASKYGGNVYP QLIVIVVPFKESGLYQAIKRIATNELTKPVVTQIMLSAKFEQGLSMYLSNLSMKIDSK LRGVTHAVPIPISIDKTTMLIGADVTHPPPAGKDKALQPSIAVSVAAVNGDNNMFRPC IRVQPGRREMIDDLTSMMKDHIKLFETRTGSKPKKILFFRDGVSEGQYDLCATIEMDR VRQAFKELDPKYNPKITLIICAKRHHMRFFADVRRDTDKTGNLLAGTVVDTDVTHPFA FDFYLQSHAGLQGTARPTHYVVVIDENNVRFVMQGLCNDLCYSYARSSRAVSLVPVVY YADLIAWKARDFVYPPEDTSDTASVVTGSSVTVQASFDPQQLCK L199_007484 MGGGSQQEHDAVPARVLNPPNVLYGQGKSLRANFGGWNLKAVQF SRPGKPLKSWSVVSFDDRCNVQDMRKFTSPFTSVLSQYGCKVENRQPDCFTWDPHGKT FLGGIKGGLQEAARKAYMKSKLNPQLIVVIMPKKDAPMYKAIKANAAEGLLKPVVTQC LQSAKIKSDRGIDQYCGNVAMKLHAKLGGITHVVNHGIEKNTMMVGADVTHPPPKDGL LSPSIAVSISAINGENNKFTPAIRQQEGRVETIQDLENMMYDHIVTFEKNTKAKPEKI LFFRDGVSEGQYAHCVQYEVEAIKRAARRFGKYMPKVTFVICAKRHAMRFFAAKDEDR DRTGNLPPGTIVDKQVTSPMVHDFYLQAHAGLQGTARPTHYVVVADENKYTADKLQKL VNDLCYTFARATRSVSLVPVAYYADIVAEKVRDWVYNEDTTTEAASTAPSSGSGGKRE TMTFDPLKIKKRIEADVEFNNVGWYM L199_007485 MATPKSKPTGNPGDLISGMENLAIGTTRGMQETLDGCPPRPGYG TAGKAIDVHANMYLTRFKNEGALVDHYDIEINPVVKVTGQKKPRALLQQVWDQMVKEA KGELKKALDAAAYDQVKSFYTPYPVPMQGDRCEVMIALKEDGKDPKSDSRRFKAVIQF AQKVDLQTIVDYGHGNKQTEQARATMLVAIQAMNVLFRQDPAKKYTMSGAAGRRFFSE EGGYPLSNGGILYRGFQQSFRWTSSQFPALQIDTAYSAFIEPGMLPEVCAKLLGMGGG GGGGGRGGRGGRGFDRGGRGGRGGPPQQSGHVPSIQDLNPGQIRKLNEYLRMAKFKVT HRSTDRVFTMTKISSQPAEALKFNLTGKDGKPDRQVSVPQYYKEVYNRQVTKPRLPCV LYGKNNYIPLEFVKLEPFNKIPMVRLTADQTAEISTSSYHLGSTWSDDSKHYSTCCQA SSRARSNNQLLAIEA L199_007486 MSSLNPLPHLGPHLPTFLLSTLFFYGIQYTSHKISPRLVAKYGE LDKRTRTGWATHVVSMVHAILVIPLAFRCLSSPSLKSDPVFGYDHFVGHVFAFSSGYF LWDTLDSLMNSTIGFVVHGAACLAVFMFSFRPFLAGFGAPFLLWELSTPLLNIHWFMD KSGLSARYPTFFLANALIFMLVFFLARIVYGGANSLLFFRTMWNERDRIPLHLHIIYC SGNLALNALNWSWFSKMLEKMYARIQGDEKVKSPEGGKGEKEPLIQDDAKEGEDPSQT KKEEEEEEGSLTLPANPPSPIVEKGDRDVL L199_007487 MSSTRRVAVILGVGPGLSTSIAKSLSTTHSLVLLSRSLPDSLPK LNLPSTIPKENILALSSDGSTSSLQKAFGDLKKHWPEGRVDVGIYNVNEKFNLNGFLD KKEEDLRRGLESGVVGAWNLSQSLLPLFLSNSPDPTTGAKGVLLFTGATMSLKAGGKF SSLAPGMFARRALSQSLAREFGPQGVHVGHVIIDGIIDTQAVKGMMGENGSKRLQPDD IAQTYLSLVNQPRSAWTQELDLRPDNEQW L199_007488 MLSLLITLALPMVWAETTIPNTGDQPQSFLFGFTSSHQVLPITP SCPSPLTLSALTPTNEQTSDPKAPYTMITLVHEQLIDGTGIQFERLYSASLDVGDMSK VKDVRHPWMNGTQFIACIWGSNGASGGCQDLYTVVPSEDTFDAYATPTSTCRTSNVLE SWVPAANETLDVEVVGPSGDVSWNAWPASCSDLQISPKNGTPPYTLLVAPAAHPPVNI TTSTLPINYTVRLTHGQAFMLGLYDSSGKSWAFGPLHAGASDDLACLAVATGQQVPQK EEKIGVSVLAGSVAGAFVFGALGAAGLMWFLLGGKKRLRKSSSEQNFYSDPRPASYST PTNSFISKQLTPNGNSLSPYLDSPEFDTPATLYDPHVPGPNPQSYPQGPGSASHDRDN RVFPRPPLVSMSDDYSGDSYRENIFMGQIRERDRRSSVTSGQGHGHVQDPSWTSSTNS RSGRKQNTPKSSPGIVIQNPSSPRPGSFGSTSHHHQNDEPSSPSSGRGPRHVYVVHSD GMNGDLHIQLPDLNSRVIELPPDYRPGPEHENNDNNGGLSQPRPQAQAQPTRSLPNIP VVPGGEGLVEPARSRNSLNANEMTEDELRARAEARMREKTRRFT L199_007489 MASSSHPLLPPLSGKAFFIPSPHEEHNFHPHPSLQLATQVTLQS AGVGLLVSAVQNALDKHNAGAMGIFTRTGGTIGFFAAMGFSFSFVQAITANIRETDDA LNGAAGGCAAGFLAGVRAKSLPMAFGACAGMGTLIGTFNAAGNALTGTNRKLLPRPER EELRQAFFKHPKHAEEVA L199_007490 MKLLMSDYQVTLVNNKMSEFTVKFHGPAETPFAKGVWKIHVELP EAFPYKSPSIGFMNKIFHPNIDELSGSVCLDVINQTWSPMFELINIFEIFLPQLLRYP NPADPLNGEAAALLMRDPKAYAKKVESYVERYATPEDANQAGDDDDEDDDDEMDTPLR KRTNGHLNGNGNGHTNGDSKKANGNGTNGEDLDAEEDEDEDDTMSDMGELSDEDDIMG KMDD L199_007491 MPSTPFFIPDTSPLFNYTPSNSWLGAYRAKGDGWDQTFHTTASG DAVVSINVTASSIRFQTSSSSSISIDDKDGDEECEAEYRINGTDWSDACQKDSDKYVK EGLPWGLHMIELKGKNGGGIEFMGLEGELPMYTSGRAINRTIDNTDSIFNYTNSDQWT LLSADNANAAYSNFTLKTMYEGEGLGGFFGGSMAGTTTQAAKVELTFRSEAIYVYGSS GPNSGTAQISLDGQIQQDISIKNAWETHGALLYVGGGFNPNVSHTLSFVNNQQGEQLV IDYALLTIPQAEEESHVPLIAGISGGVSALLILLGLCWLFMVRRNKRRTKAAKLGQQN VQYAFANGIGKDGPLNSGKSFSTSTLNDWRRPPSSLLSPNTPASGQSLNNTGEYIWGT GRPAGLDLSSTRSPNTCAPGINNLNSHGVQPHSQPIPPPFNSPPPDYPDYVPYQPPAS ESTGTGTAVSTSGKSAYRPVNPRSNTAPNFSSSASPKLAVINPSTPLTAGGWAQSANR AISPSGSLGNRKSPLIDRINPLGSARNKPEYDDIALDNHYPRHQNQNQRPITPPPIPA EYGDYTPTTANTPYSRYTTSPSTITPSPSSSIGVQRTATRSSIMSNIPKLTSVREAIS SIYNRTNSTGADPTLRSLTTTGGEIGSGLNTSGLPTESSDNTLKTSKYIQQQAEEQEA LRKGLSVKSNKTTGTMKSWFSNLVFAPSTSNGVNVGNLPSLPSTPILPQAAVRPDSGI FPLALNGSEHSIPLRSESGNGTPVPMVPSTATRSFFGGVISSPSTSKTLNGSWGNITP KSDGSTPFIYTNSTGNGTLPGGKPIPSRSTTGSSSGGGYGYGYSNTGNGMGNEMFIEL NPNIPIMGDSRPGSEWTNGPRLY L199_007492 MSAVVSPLPHQPFSNTELYVPSGSVSAHAELPPAKPVSEPHSIP FPIFQHRHTSPYPTPQSFSALGPPTAVNMSSHMLGSVAAPSAPKGSPVSLPAITPEVS PQVPLPPTPDPSPPTSKMLSPTMMIKLAPPADDSPYEISFLEHHPSHVQLSTESRFGS NVTPRRVPGVLNSDQTSAVETPPPRTRSKSGLEPIPQTAPAKVSSEGKAPRPKSMGPP PRPRRSHTAYPAPQSVKMQESRSADSRAKLRNHIPPLPRQASHGPIGSQGLTRALSDA SANTPPRIGSISLSANNNDMPPPDLGGPDGLEAKVVLLGSQGVGKTSLILRYTTKIFS PTPAPATIGSSLHTRKLIHDGTRVKLQIWDTAGQERFRSMAPIYYRGAHVCVLVYDIS DRQSFQDVRSWLEELGRTVPKETVIFVVGAKIDLSKDRVITFEEARSTIRTWLKPSPA PEPTIVLSPPPRSVFRASTTIHRNASPAPSDQNLNSAGPPVRSHSYGALSSLGQSTPP VPAPRPTETSRQPSPGLPFPMPKTTSANSARSKLTPVKVKTSSSPPSVRFLSPTSPSK LAFPSLQSPIKPTSATFTDPVGPQITPASAMMGHRSSRSSRFSISGVLGLSRTTSFSG AASSLAQLAEVPTSPRQSHDSSRTSDSPRPRYDSTPLSNNPYENGYGSRDRRKSEDWS SRSWKMGQGPGAAETLGEFGDGVKKKQSGELLVTPLSASTGSGSGSGSVSASGFKKQQ SSSAGGNRSRGGSLGRDPRLYGDGSEQPFRGAGSRQEEEEHGEGLAWGVDVEGIRLGE CSALSGQGVEALFKSITSLLVEKKDKIERERVLRKKNSVMLVDPTNDGEVNVEGNDKG GYGCCA L199_007493 MSYEQTSNRRSKLLNLASKLPIPLSHGHNERIRRSSNSTTLLNP NSPTHTHNSQYGYNYRASSPTNSEGMSSFAHHGNEQTVNYRNDDDLIPPVAPFVPHEG SLSSRRSSSSLLTAGGAEKDKDMKNRQSSLSLNYVPAKFTKLHSPGDRTRYRKAKQGG GRDAFALNAQRMGMPGTVDDDEGVVFQIGKGGLKKKKPKLRWNRFKWCLFVANTVLIA YGITALVSAILVWLNVFYQSDVIRVGNRTELIISTIAAAVIVLTSLIGYAGILLNNRA FLAVYTLLLWICLALMVTPGYMTYKQKTFNLEGKINSQWSRALGSEGRLRIQDALRCC GYFSPFVEATVSPLCYARSNLPGCKAKYLHLERHVLSIWVACSFGLVPAHILIIVTSL LCSNHITYRFGKGLTPKRYRLDLGSMAVIMDEYAGQIAAQYGPNVAAAALNRSSVYLP NEYDSGSADGSRRGSSVNLHGSASGTSTGLAPPLTTATTRTTGYNSAATASTRGISLY DPTNPRSSFDHVRSGSDASLANTDRNVNTNSRYYDSEVATRGSSTPLGNNANNESVLS FSSDDHNNRSRR L199_007494 MSGPALVRSAESSMAEIPIDPTLLAEDAAAEERFEAEQEAGQSS DEGFSELNEWSGAEDDYEPDDREDEQPEAGPSRTGNDDAVFDRLAGFVSRAIPSGASG DLGGRDFQREIALADAEELPQPARRRRIQKPRRIHKPSHEVTRLLGQANFHNLNEEFQ EAIEIYLEVIRHDPYISAAWASLATCYEDLGDPEKARQMRFLGAHIDNDEETWKELAY QFKQTGQQDQCVYCLRKALKFNPSATELLFDLGIIYVAQRQKTRASDVFRKLVKTEYF ARHFDIIMEFHQVMIDMNQRPFIVQVMREAFDWHIKTFDAGPGSASAVSELIPNTMTI ERVIDLVDDLMILDDLDQALEVVRKGQRWLQGRKGLKNWDNFDKEDREYDPPQTPRYN PETKEMEDNEGFELDVRLRHRLALIRLRLGDYEEAMIHVNEILTLDVLTYHALFVELG EALMKCELWDKALDCYASIQECEELPDSTDEVYNVGICHHQLKDLQQAQDALQWVVDN APDNIAARLRLANVLEDMGKKAEALDLVSDIIRTRAHREKDKHSIRRPSAYMVEDDQA TRAEKSANKKLTKRILEDQMRSQMQNLWKDVQDAERGIEEGDIGALDRFIGAAGTMIE NYRLNRGNFSKSRGVVRVLKSRKFKRNDVDYQAREMQDRLERVLGFEDDEGPIHITYR RTEFYGLNYAEWLTLTVKYCCVLMVKSEEDIAMDILEHVIWSGLFHNRRCEVALRLTM IACGMRLRAYDKITDNCKRLAQIYQFDPSPLLLMLNCISSGGLKALSSWGSMSVQNFV SREMKTFDDAVSDFNTLKITENPSSSAKEGLVMKLHYNAPTGRWAVNRGGKFDDDILQ EQDEHDDEESNENDNDEEKKKKPDLPKKPTAYWYILHGQQMLTNKSYQSALFYLFRAY EIDQYHPFICLLIAQSFFGRAMNRQSDNRNYQLAQGMAFLTRYRKLSSADPITQEQVE YNYGRSFHGIGINHLAVSHYERVLKSIEKRMENSLDPDAIRSSSLAYQSAHNLILLYA ISGNHKLIKEKSKWLAI L199_007495 MSTKAIREFDAKQLVSYWLNRSPTPIPTKSDSSLSAAQVAQVEW DPVTKQLSPPIKPGQGLPDWVFTSKLVGKPDQLIKRRGKAGLLCLNKGWEETGAWIEQ RAGKPVTVESTTGTLNTFIIEPFCPHPAETEYYVCINSTREGDWILFTHEGGVDVGDV DAKALKLLLPVGEEFPTRENLISTLLANVAPAKQDVLADFLIRLYAVYVDLHFAYLEI NPLVVLDAAEGKPAEIHYLDMAAKLDQTADFLCGPKWAIARDTVTPSAGSSAIKADRG PPMVWPAPFGRDLTKEEAYIQKLDASTGASLKLTVLNQAGRVWTMVAGGGASVVYSDA IAAAGFAHELANYGEYSGAPTEGQTYEYAKTIIDLIVRGEPHPEGKVLIIGGGAANFS DVAATFKGIIRALKQFKEGLLRHKVSIWVRRAGPNYQEGLKAMRLCGESIGVPMKVYG PESPITAIVPMALGIQRPASAATRDVTPIASSPASPSVNGSTPLPGSGEPAAVGSVKA DGSREQPNDHIVRFETEKLGSRPWFRPFDEHTRSFVFGLQPRAIQGMLDFDFSCGRKT PSVAAMIYPFGGHHIQKFYWGTKETLLPVYTSVGEAIKKHPDVDVVVNFSSSRSVYAS TLDILTYPQIKAIGIIAEGVPERHARELLHLAVKKEVIIIGPATVGGIKPGCFRIGNT GGMMDNLIACKLYRPGSVGYVSKSGGMSNELNNILSYTTNGVYEGVAIGGDRYPGTSF IDHLLRYEADPECKLLLLLGEVGGTEEYRVIEAVKKGIIKKPIVAWAIGTCAKMFTSE VQFGHAGSMANSDLETADAKNRAMKAAGFIVPDTFEDLPEVLKATYQKLVSAGAIVPK AEIEPPQIPMDYQWASKLGLIRKPAAFISTISDERGQELMYAGMRISDVFKEELGIGG VISLLWFKRRLPAFACKFIEMVLQLTADHGPAVSGAMNTIITARAGKDLISSLVAGLL TIGDRFGGALDGAAAEFSRGVSSGLTPREFVDQNRKANKLIPGIGHKIKSKTNPDLRV TLVVDYVKKHFPSHKTLDFALAVEDVTTQKANTLILNVDGAIAASFCDLLSGSGAFTE EEAAEYLKSGTLNGLFVLGRSIGFIGHYLDQRLLKQPLYRHPADDIFINMQERVVFQP GSA L199_007496 MSEPHQDKVEEKRDEEGNPQIQDAEVKVETTEKEEGKDESDNVV QDDKDETSKGVSVAVADAKAEVNNEPEQVKDVESQPQRVREKPSPLTLPTDLLNTHEA DALHPPDSPTTSLITSLRTQLSLLSDQSIQLNQKLISSISKSADLEDELHELRAQHRS LTDHAGELEKEKNKWEESMNTGLLVERSQIKDEMQKLAQGLVEEERRRGTAEEKRREV ENEVDDLTAKLFDQANAMVATERMSRAEAEARLKSTEENLAAAEAAVRDMQLHLQSLP PSAVQPSATTLPPHTAAAEGTSSISISRKYLSSHVPYSEFLNFIQHLRTLRPIKDTSK NTFPPPLITNLLTQPFLARTIIEDNDTTLRLDIAPDLSWLSRRSVSQAIISGDLIVEP VSTATLLSSTSSSIQEINCSLCGKSIFNHHQQPHSPAGTSHFGPPPVHPQRNSNSTAS RFSLKPFFATTTSSPSATNSNSTPNVPSPSQSPISSPAIGPGGAVTSVYVFRIAKPQL QTGSNSGDKGDSKLYPLCRTGWCLERMRATCALWHFVRTGVIQVIWHGDDGSGTTLTT ATIKENNQQVGLGSETTKVNPAEQDDPTSERTIATANGNGDEQNIQRPPPLPQRKKSS WALGFKLSDKSTGGWTRGWKSSGGTTNSPPGSPGTGEEKRRDSVGSLGAERDENGRVQ TAGGLGLGEALNIDEKEKEKEKEAPVIQEPSSLSTATANETNQSTETEGKQDEDSAKL GKEQEQDGEGEGETGMQVQPPPLSRATSNISSINTSETGFHTPKGGQADLHSEDGHDN VVEEEEVKRDEQSTPHPPSRVDTDTKTEDRVIELASPASGTASPTGVSAGAPPPIPRR AAGRNTLSQLSGGTSTPVTSQPPTPVKDRETNERQDEDEDELSILRELRDELEQRKSG DVSRDEKVDENVQDKQQDKNVEVDNTQDGQGEDNHKDGEQKQEEEEEEPPFTPVNLDE KFPLSPLQQQTFPSSSTSRPNSHSAAAAPPPLPPRHPKTPVLQLSNSTGTEGEKRYFT VGDKGSDSWEGKAWEKIVKLKEEMWKSRIGVLDE L199_007497 MSSTTSRSLSPSSPSFIFPKSSPTFTSTSQSNSDTTSGGTISST CVETNSTSSHSDTTKANDNNHNHIRGEDTIFPFPSSRTTTAKSFGAGWKSSSSTSPFN LIQMPNDLSAHHAQTFLGPIRDKGPLETRSRSPSLPILPSQTHTPTIKSFTSETAAGT HHQPSILYPINTKNLPNDFELYTPTSPNSTGYPFSPIDDHINPIRHHPSLSSIDIELD DHHHTHYPYQHHAGPNANVRSFYPPMNISPISHHQSVPNLPYHHQHQGQVPGLFRRPS ANVRFQDDDIPTPLSMQDINECQGEVETDVKRGLRRMPAFNFGQMGARNKLMSSKSMP AMPMLGEGRNVFIHRVNNDLTEEQLRVYASEFGEVVSVKIPSRTTRPHAFVMFKRPDQ AQNFIVHLKMKNVECEFGKEDYQVQNKALEDPNSANLYIAGLPTNLNYEELAELLSPG KICSWKPLVDEAGNRRGPIMARLQTRVQANDAIKKINGKYYQGMSERIQARIADSDEQ KHFKRHQSMSRDRPIVVPPIEITPYGNAPQDEGDDLELLQTRDYLASQLEAINGHLAR THVRPRNIVFPNPEVPFEDDIVRPSPVPIGQIPRGWGHRHTSSNFSIDSLGSWPDWTG TWTRSSPNQNNTLAFGSSLGHPQAQPRQQSLSLTNQHNNEASIAALSALHHVKSSPEL GGRSDSASKLLWNKDGA L199_007498 MPQSSPTLLTPYGYSSGTCGYCSPAGKRSQRSTSSKYGMVAEQM TPEFYQMLIDRGWRRSGDYLYHPDMARTCCPQYTIRLSSTNFKGNKKHRQVVNRFNRF LETGQKPGEAESSTTMNVEDKAQAVKGKGKGKGKGKGKSNESLKRDLSDDLHQYEIGY MQRDEEEMLAHRFETRLVPAKATSETFELYKSYQVAVHKDKPEKVTMRGFDRFLCGKT LIDTPIKYIDDVEREIRNGQLPKTYGQYHLLYKVDSQLIGISVIDILPNCVSSVYFIW HPDWAWASLGKLSALYEISLAGRIREKGIKGMEWVYMGYWIPDCQKMKYKSEYTPSEL LDPGTNTFHPLDKTLETFLVRNPKGYFPFTKVTSSQSTLDDDGRDAKESTGPDKDMIG PSDNPEEESEEESEEESDEESPSTIPNPPPPGFEDVSRITDEDIEDVLLLLGRNKYTK SGGKLIPISNLEFRNQQAMYTEIKEFLSAVGKDNVVSTSGEISGKAVLYLG L199_007499 MSETIEFSQYVVERLKQAGVKQVFGVPGDFNLGLDYVEADPDIE WVGNANELNAAYAADGYARVKGGLAVIVTTFGVGELSALCGIAGALAERVPVLHIVGA PSTKLQSKESLLHHTLNLPGSFNTFSTMSAPLSCSQALLNQIPPKTPTTWTEAFDKTL SDVLEQCRPGYVEIPTDAFHAKVSTEGLKQTLPHPHSAPPPESVAASVSPQETQSSAT SSLAAASTTAPVRAPSDDVTAHVVEDITQRFKNAKKPVILVDACAGRFGMAGEVRKLV EGCGIRFFETPMGKSLLDEHHPLFGGCYAGANSLPAVREEVESADFVLYVGALKSDFN SGSFSVNIDPKITIELHSFTTTIGYAAYPTTDIRHVLPDLLPAFQEVVQSRAASPVGG ESVEQKVKAGRVESIVPEPKGSEIKHEWLWPRVGKWFADDDIIITETGTSSFGLTNVL LPSHSTYIAQILWGAIGWSVGACLGAAMAAQEDDRDRRTVLFVGDGSLQLTLQEIGTM LRRGVHPYLFVLNNDGYEIERQIHGVNAKYNDIQLYDHQLLLPFLAGKSCPTPYQSYA VKTPSELNELLESEEFNRPDKLRLIEVYMPRGDAPQGLVSQAKLTAEANRQL L199_007500 MSHNPPSFLLPYLDKYPIQASALLTTIYDLTLSVGWTDTRLLEL GGWVALIGHKTKSDPLRAVIPLPIHTTSLKPSSLKSIFNALSSLSLENLPEPFEKLAP TMDDLKLTIHQQSSTNEIPDDQTVQAEEGSILDQETIYTSIVTPDSTVVYYKISKGIK KPNDIPDE L199_007501 MAEEEVDWDDDWRGAGQPHPEISGNENGIETENGNGKDDDDVIS LDGDGVEEVAEAEPTPSRNKVPPTGPRRGINAAPETSTENGGSSPLPPGWTAIMSKSH NRHFFFHKESNTTVWEKPSNTNAKSKEPEEEAQPQSPPLATTQGNALGTVEETKTRDL PSTGQAQNSSSGDNLSSAQNSRLSKQPPSGPARRDIPPQQDVRGGTEGKPHYDKYWAQ RDTSSHPTGQQTQTQDRRRPRDLSPGANRSGYDSRQGDRDYKRFKGDDERRPGAGEFR RQQGPPSITTSTSRPADIRDSRSSQAPSTSAPADDPRTRPYNGAYVPPVVKYSNQTRP RSPSPPPRGSSAPYRPPPSSRYPRDSRGPPRDEGYSRSPLPPPSRGHDYPSYPSRGPH RPPPVNEAEIERAKIREEARKAQEKLEFLKQAEARLEREMSEKRNDPYASRGPGPRRD GPPPYDSRSGPPSSYDQDRRPYPPREHSSRPTEPSRYDRETSYGSSRGYDSRPPPASR GGYAGSTRGGYESRSTRGGYGYDTRPPPRGASPPPFSGRPRSPPPPSGGRYSDSRRSP PPPSFASRLGPRSDHGYGGGDRDLASRLGPGRSRGNELAAEPMSDRRSPPPPPPYRGA GARPLAERMSIDRDRDRGGR L199_007502 MSNPILPLTGGPGAGPSSASIYSTEGRSQSTDWSALGANVGMGE GRSRGLPLVLRRLTKFRSMDFELAFWQLTYLVVAPRRVYKQTYHHKQTKNQWARDDPA MLLLIAGCLAVTATIWSLLYRFTPLQTIFLILKMVFRDFLLFSLGISLVLYLISNKLL LSSSSQQSSMIDENKVEFAYSFDVSVNSFFPFFLTVYVGLLPLVALVVRDNWICLWVG NTIFLIASVQYIYVTYLGYVALPFIARSELLLSPLLPLFGGYLLSLLGFNIAKFTLEF YFGQAWK L199_007503 MPRASGTERPLVKLDFKEQLIQSGKRETTDALLKRIKTLHQKLS ALEQDGVDVRSLDPVRKPLIKDTILHHKDRGVKAYAACCLADLLRLYAPDAPYSESQL RDIFAFFLVQITTNLKLSTNSTQPLQINRNKSNILDNQASQQTQTQTQRVTDIPYYTE YYHLIESLATIKSIALICDVPESDTLTEGFFTGFLDIIRPDMNKTLIRHLRDILVSLI EEAHQIPQGVMDCLISQFENYASRPEVLSFQLTVDVCNQVADRLKRPIYAHFSEIQAS HGRDPSNNDMKIITESHELLLTIYKFCPDLLLNVVPLLEDNLKVRDEDRLRQLSVKTL GAIFAQRPGAEDPARKYPSAWRAWLGRKVDKALPVRLAWVEATKEVLVNHPEVRLELQ GNLIERVEDSDERVRAGVCKIIGALDYETALHHITSATLQAISGRMSDKKSSVRTEAA NALAKLWNLAYSEIESNNADAIKQFAWIPEAILGSTRRGGTLESRWQLASIFKHSILP LPTDADDEQAWVDRLIFVTSRLNDDALLGLKIITGLPEFAQGNLPFRAFVQACEDYNG GVIAEGGQDAKARLNFIIDAMARSYFGDVDKAKRDLHAFAASNEARLFKLYKTCVDVQ SNLSSIIKARNELLRKVHQAHDDLLQTITSLVDISTWNIVNQSSIPPLIRRISKPESE ASSTAAAKLLGIIAKEGAPMFKNHTGQLTVAMMDKKNDQLVELALQALSAVCKIYPEV APAEHRPVERATTVALEGTQRQAKFAARFLARSKEPQACEKLVASIVKGFNKTSGTEQ LLTHLRALTELALSKPQALQTKSDEIMTFIMNEVMLAPSPSADIESGDEWVEIEELEP LDRAKILGLKFLTHRCLAFARDEEAEKILKPVLSLLISVLDNEGMINENTKEGGFARC HLRLQASTCLLKLANARVYDRAMARQTQFDVVVGVIQDACYMVRHLYLKKLNKVLPTQ RLSPRWNITPVMIAMDPETENIVAGRGIMQKIVVACTNFPTFDKVERIEMPLARLLHF LAHHPDLHWTDPEEGGEEGISDQQNLKDMASFIELYLDCVANRDNIGLLYAIAQGLKG IRDRVGDNSKPLWTLAELAQVIIKNRADKHAWSIPIYPGHLKWPKDIFHHAENLEDKQ KVQRTQYLSEEVRNWARGLGRRNINPVSQPVRKIENNNTSPSHGNGNSNKRKSSSTTT KKTPKKRRRIEESEDEDQDSEDEDESDEDGDESGSGSEEDEGEEMDEEGEDVLGRGGR RGAKTKARRAVSGKKKSKKSKSKKEDDDSDLTDLSD L199_007504 MLLALSPYSTYAMTTPRSSSPLNPNPNVRSSSPLSSPTPGSSSS RYQSFSLPSPSSYRSAPSGAAQLRSPRYVRPSKRPSLPSSSTTTTGSDLFSEGTTPME GLMWREKFSRRVEAREKRKADRNNELDKRRGLSGSVKREMSVEEEEEQDRKAQEDDEE IFRRLVILQRKKSQHASLVSHEIETGGSDPLTPEFWEDELEELQKEERELMNRLDDQS HADHQHQNQTSSSTMNNNQHVNQEESDEEWEIQAALAEQEEMELEEINFADQVEKAYY AHQSQSHQPSDNNVHTGNMDVDMDVDLDSQVNWDAFDSMDIE L199_007505 MSQRIHLNPNAQRQQPMMGMQGGGYTPDPISRPSNSASYGAGGN DDLLGKVQAYTSKVEDMIEAYTQPIRPYVPAMARFLIVVTFLEDALRIMTQWSDQLWY LQKHRHFPWGLSHLFLLINVFAMLAGSFGVIGKKYPDYSVFCLLGVVVAQGLGYGLLF DLSFFLRNLSVIGGLLMVLSDSLQNKKKLFAGLPSISETDRRKYFQLAGRILLIFLFI GFIFQGSWSFARVIVSIVGLGACIMVAVGFKAKWSATFLVVLLSVFNVFINNWWSVHS AHPQRDFLKYDFLHNVLAIVGGLLLLVNIGPGGFSVDEKKKVY L199_007506 MTTPPIVILDNGAYNIKAGISGVDWEPRIFPNSIARSRTEKKVY VGDEIDSCRDLSGIVYRRPFERGMLVNWDAEKIVWDRIFSPQGLNINPSESSLLVTEP YFNLPNIAETYDQMVFEEWEFQSYFRCTPAALIPYGGLFEDETGIPPDCMIVVDVGYS FTHVIPLRDGQIVWKHVKRIDVGGKLLTNHLKHLISFRQWNMIDQTHVVNDVREQCGF VSMDWKKDLELCKQNPKKNPIVQEYVLPDFSSRSTSRTGYIRSGPNANPPTENEDKQV NGHSRKVEEDEEQILVMGNERFAVPELLFNPSDIGLKQSGLPETIAYVISMMPEELRG MYWAHIGIFGGLGNIEALGERLERDLQALCPVDYEIGIYEAFDPASPPYVAATTLTTS EIYLSTYPITRAEYLEHGPSICRRRFGGPAYNVNPPGFTSGEISGEIDEDERERRYAM GLESIKGRGGKKRKEEEEVISGNWGGRRRRAGGML L199_007507 MANPDAAAREALTIVGVLGAIIAFSLFTWAYKRNKKSAKEKDKD RDDGKKDRKRSRDDDVKKDQDRERRDREKDRDDKKRKRDEEKRRKQDERESNKPPETP ASTKFDPSKQSDSDSDDDGNGPGGGGSGSGGPGGGTAVTPNYLNSSFKVTPDFTLNVR SSSDDQPYTVRYHGDSTPTPNTGGGRGGGGGWYPGDGGGGTPLGGMMAFPQTASIPIE QSTAGPKPPFSPFVLGTSRTPYGFMNQLENQPILRQPKTPIAIPNYKPYVDIPLPSLV SSSSPLSSSLESEVISPLTPVEIRTAFMPSEMEIDPFTYSSAKPAKSHFSAKDLHHDI DDLQDILQGREREREGVDLRPRRLSELLGVPGLGESLGPGGDDRYNPTMFGDLARNSS GEGMVSPDRAGKNMRGMSPIDLNPIYIAEDGEKVKTLSPLKADSPEKIKEKAKRREKE RNGKTWDEVKGRYVSPRTVDLPTPLAEEAKKAKRRQQEIDNALDDHERAKTKTKKEKE KIPISPERVRNRERDRVKKMKKQRVKVIDRNTGKIRNEEILVTDLSSSETEKVSSPRK RRAKVSIRGSASEGEELYEKEKARKKKREKLRRKLKGEEIEDEYITVDEDGRRIKKKK KKRALVSSDEEDERVRSKKKERKEGYYSDEEDVRVSRRKAKAKRDKVRNGRGGVELDD EDEDEMLYERYTDKTGRPRLRKIPHDDPYQEFYRKRSKAKAGNAAGGGIDDYDEDYEL DRIQQGGQGRPRRQVRQPIYQDGDIIPLQNVGRDYQQSQSQVQPAIPADPIMAQRIRV DQSGLEDEIARENPSLGRAQREELARLRLQKMDAEHEKDNSFTRGRKLGQDGSRVDEE EDEDALGKTNRDGRFNDVGNPHEEVTRPGLQTKAQHLQDELEERKVEGPGRRRVVTSS ENAGEGIVTEERLKQNEEIVLGHSERVGKAEGVETVKAESPRLQVPVKPQGPNERNRK QFERRERRRVGLNDESADEREIEVDETSGTAHENDRSRQEVFELPAEPIRARNPLTTE NVFDAREESRPGGSDHHSRIDETVLENDRLLHGSEHARRRSIRENDNDSRSEHDGKSQ VNVERERSPTIRHGIRVRQQDELASSPPAHARRTEIEVEEVEEDMQHREKRRPKPLAL EAPKATRNAARADHSEEVQTQYRRKESPDGSQPSPVRGSSTQDGEKAKRRAEVLARAK LEHRYETWEQIISREVNSHKSVDPADRVHVREKSMRRWMKGKDRMISDNPRRSEEDPL IDVVQGVVKKYESKMQRDSQSESVPQDHQVRGKTSRNQLMEAGEPLHRRQQARKENSP VKQQVSSQHDAREEKRRRRERSMDDVDTTREILDLTDLTDEQVHEYERTGKLPSRHMP REIHDDTERAETKTVKPALRYKAHRDDDNEDEDQPRMQLASTGPGPIPDEDADIGAPD GVRTAQKMSKKKAPLVLFDKSEYLTERMEDNLRPTNDYKQDFSLYEDDPYEDAPVKAE TRPIVQPEKERIGPIIKDIVVDEKRRNERRDSGYVSDEGKEAELELSERPIPNKLSIG NKDTNDKDLFEHTEDVKPVKYRFEERLGKDEKAHVPGETGYETNRWPLEGRDPIEEFH ETLMKENQHPLQVHHAFIPDHEPRGDGRTEEVFSDDEPNVTKPLNIPPKRKGTKPTKS VLRTDDMREDQKEFQDEMKMENTRSDMRMMGHRGRMDVKEAKKREKAERRKRVPDDFY QSDADDDEESPVRGDRKAKSDQGRSPPAISPLSPNSRWIVGERAKIREERAKQNRQVI DDYDVSEEEENFTLKSKRRDEFRRAGRSEKEEARGERETNEGRRTEYGQASRGGRGAS NVTEDDDTEEIPEGDRSRREARRNRKDRKDPDQVESSSNDRKARQTRLSDQEDVNETL VNDDRERSRRRRQADDTRPDRSYNDQASTKRKPRKIDNENAKPDSDMQNTKPDQLDLK DNGSIQDDDTDWDEEDQSNVRTQRPEVEGSDQGPEEPNRNFRHNGRKTGAQADSDDLD EEDGTRNQDTKTCQTNEETREANREQEQDKARAEESRRKEDDQMAKRQQESIEKRQNR SKSSTHRDDVDNDDAHMRDYNTSRISWSPKTHFRSGWVILRNAPIWSSFSAIGFILYV EITRQLFSLLAISSGSLTAATSAPSSGLTKRAGSVDYLGLDLQLTQTWFSNLFSTNGV ESTSFFVFISLWNILCIPLLAYLIYSTLEKASNPHDKESTKSWLWRKLKDTIGSSVQV ILMERRLRGFRSFIKRSSLWTMIRSTIFICQLIGTILVFRQTMSLAYLSATGSTSTTF SKSADVVSTITSLSEVASGLTNGQVFGITNFIFLLLLLNISISWYTLLHSKKTAAQGS RSILKWISICMITTTFIVCLLYFQEIANYLIQSQNSTNMEGSSGMIVLISANFMFMGL LPAMGYVVYELGKMWDRKFPNGFFNGRRGRGGSGGGNNSFCWIG L199_007508 MVEASSDQITSIPCHLSGVTRVCFSPDGATIFTGGSDCLVRIHK ADQPDSEPGFHDNHTDAVTSLTCSRNNLITASEDNIARIFSYPQNEFTGFLTRSSGVP IRWVSVDNAGERVAVCSDDLLVKIVNVQDTTRVSLLSDNNKSVRSATWDPTGKYLTTA SCDGKLKVYDTTGSAPLCLKIMEGVIGSSESESTTSCYASWHPSGNYFAVPTRTNDIG IISREGWSKQTTFSHDGPKSPVGELAWSPNGKYLASSSSNTIYIWATETRQVVAKYTN QEGAISGLCFSPKSNLIAYTSLDGSFHRWSNPIPSNLPNPYSTEKEEAKKLDKLLDDE FGDDNEVDMEEKGEDLDDNEDDLFGDDGWIVNDDDGAPAGYGKDDEERKWGSGRMEVV NVTKAQAPFTPGSTEFKSKKRYLAFNMIGVIDVTDQETHNVVNVEFHDKSARRGYHFQ DHNKYTIASLGEQGIVYASKSAVDSPSTVYYRPYDSWASQSDWSISLLPGEDAIALAA GGGSSAEGGMGSVIVATSKGYVRFLTASGLQRYVWRLGEDVVSMAAGKDKVMVVHREG GTSLDGCQNLRYSLMDLDTFEIIQEGRVPLPKKVTLKWLGFTSDGVPAIYDSAGLLST LDRHRRPGQARWVPLFDTTSMKKEGRQENYWPVGVTSTHFPCIILKGSEKEPWFPRPL IQEVEMHMPLLNLDNQQGKLEESLVRGHLSLSTFTDSSDPDAEYLIKEKEVALDKEYL QLVQIACKADNLQRALDVARLMHNPGTIEAAAKVAAFYHLPGLQERIVGVKTEKERRK REKRNKPRISEYSHAPSPTPVPSSSNANGTSSKGFTSDFAPRTANARRSFGGVNRDAT PVSSAPRDTFIPETPGDESESITPAMENGDDGGSVSPIAKRRKLDDAENEGFAVPQSK KKGEEYPFPAANAAPKNPFAKKPAGSNPFAKPATGSKPLDQVKSTSFFDRVDDINSSG VSKASMKTKNPLKSKGKETPGTGKQTTLFGAGVTKRPAPIPNSMTTADSFVSTETEDD YEETQREDEEEETFGSRPGILEESNIQETMSPDEMEVE L199_007509 MSFTSIVPRVTSRRVTQIYRISISQFSSSSTPSAASSSSSQPKP KSKSSKVVSSVPAGTKLTGLSILKDKPDPVALEDDEYPPWLWTLLEDTSKAHKAAENQ VELHKEGDKGFDVNKEKRKLKNLNREKIKASNYLKSTT L199_007510 MILRHRYATSCSIPIVLFVLFTFLICAPHILASPETQPIYIPRS SQSYNKRAEPNTKGRPRPNKPEYVAHPAGDLVCRPFGECEPCPRDELDQPFCFPFGNR RLLHCIPSSSAEEAKNGNHVGEVPAWEACGKVVRKERQDFWEFVTANLLFLIVALTIL WARTSALATEQYRQLAARIGIPSGGWT L199_007511 MAVATQKMPKTPTSVQGGSIKTYYQNKIEAAELDITKKTQNLRR LEAQRNALNTRVRLLREELQVLQEPGSYVGEVVKVMGKKKVLVKVQPEGKYVVDFSPD IPISALTPNIRVALRADSYLLHSILPNKIDPLVSLMMVEKVPDSTYEMVGGLDKQIKE IKEVIELPVKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCRFIRVSGSE LVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSSRGGEGGGGGDSEVQRTMME LLNQLDGFEPTKNIKVIMATNRIDILDSALLRPGRIDRKIEFPPPNPEARITILKIHS RKMSLQRGINFRSLAEKMGHCSGAEVRGICTEAGMYALRERRQYVGQEDFEMAVAKVL KKNAESNMSVNKLFS L199_007512 MASTQDHAGPSNPRAREGWHTTTLKIPFYTPRHAQIAKQALEVD KEQNAVFVHRELDVEGDTLIATYSTTTIRLLRLSTNSFLSSLDLVIRTMTSFAPDPQD PITSDEELERIRVEANRSTGAGGSIELKGDGVGAGSGEEVK L199_007513 MIKLWSVKKDEEAANKKKPRMTAAQLRVQKDLTELELPSTMTTH FPDPADVLNFTLTITPDEGIYKGGVFKFTFSISGGYPHEPPKVRCTQKIYHPNLDLEG NVCLNILREDWKPVLNLSSVMIGIQYLFLEPNPDDPLNKEAAEDLRRNREGFIRNVQN SMKGGTVKGETFDRVLK L199_007514 MAMAFGASRPVASSCRSMLRAITPRSGLKSLSSSVPSPRSGFRF EDRIRSTRQRHACRNVRISISVRYYSSSNHGREKKILPPDQQASYDRLRPIIDTFEAP IDWAVAYGSGVMKQAQVKPGDPPSLTDLLLSTPSPIDFHTLNLRQNPTHYPLHARLMG AKGIAHIQERWGAGVWYVTDVKINGISVKYGIISTPSLITDLNQWKTFYLSGRLHKPT SSLIHPSTSQLQEAFETNLKSALSLALLLIREERFTEDELWEKIAGLSYSGDPRMGIP GGENPQKIRNIVRGPGAREGFRNMYGRYFEEKGLRWVEGEKNVEGKVWTGDGEGLLCK PTSPEYHIELFNSLPTNLRQSVYKHFEHRQAIGTSEKEALKQVVQDTKFNSSISTELR NIIHTPALRQSVKGLFTAGFTKSFWYALAKFRKWLKGRGGK L199_007515 MSFGFGASAAKPAFGGFSTPNPQASTSNTGGSLFGSAPAQPANP STSLFGSTQQPQQQQQPATGGGGLFGSTANQPSGTTGGTGLFGSTAPQNTNQPSTGGL FGSTAQPQQQQSSGGGLFGSTSAPAPASGGLFGSTSAAPAPAAGGSSLFGAAKPAGTG LFGSTNAQPAAGGSGLFGQSASITQPSTGGTGLFGSLAQPQQQQQQQQQQQQQGGSSL FGGFGQQSQAPKPAGGLFGGFGASSTTQQPQQQPQQQGLFGSTNTNNNNAFGQQSTVM GASTSTTINPFGVKQEPDIETRIKQIQGAWDSNSPECRFKYLFYNVVEEGTTSRYGRP AGANDDAKWAKALRDNPDPNCMVPVLATGWADVKKREQQQENLASVHQQRVKELQAAL SHTRQTSLSASIRLSNLQAQQTQLLHRLIHVVSQTPQYVPIIQSSAFKQEEAEMQKQL ESIKSELEGKGKNSIRPSSSISLNNNGRSTPVGVSKGRLLGQVNELWGQLEEIRRKRK LRNINGGGGYDGNGEGYNNWLSDEKALAEVAEILSTQQMALQKLSGLVHEGLEDTDVM RQGLGMISGNER L199_007516 MEVLRSHLNNFKEEMREDMHTLSIHTQAALGNSKLKHAEFELDV TRLANEHQEQLKGLEESMKGYMGDMCDVKVRIGEIEGKVLADEDKGKTEDVSSIFEEL KEGLARLAQELDEHIFHDADDIRDGHFSIEERFTNLEEAHTEECKRLL L199_007517 MDEVHTEISKINGRIDKLQNYVVELHIKQNDELSARIVAVANRV DGLKREFKIFVDQVHIKEINRVLLAAGRWAHPVPTLKGNYGPEKLETWNDGRWTLYVP DVIKNLPKEQLDQWLESYWIGEHSRNFTFLKDVPVHVKRAILFYFIGGKCDPNFFPNE QKAIHF L199_007518 MSVYVPPPQMSSHNPTPITQQGYQHAQPQQPHGQHSQSIFPQQH QATNGGYQSSTPSNVTSPTHSTITITNGTTIPVVHPSTIQTITPILHGKPVTATASAN GVIGVGGTPVGPTATQLGSVSVLNPVNPVPGSTAHSGSTSVFDKSGSNSVLEHASGAT SILLEPKSNQGQSETSGGVIVFNPSSTSSGIKADGGPIVLAPPGGGPIVVVDSKPNTN TTESNGATVVFNPSGTHGGNSSIAQSGSNTVFTSSDSAIARSGVLPIPQEIIDKVKWE TEACFYVPIAAKELAEKGVINLPLNEDEKTKAHQLLDYCLFGEGANLYRNVLAKGDAL RFREKLDSFEKKAEHQSTDINIGSIKDQLKFELKNELLAQLKAELGAEL L199_007519 MPIRPSTYEPHVLSLIQSTSYPPLPSVHSLEEIRGHLLDHNKAL SAAAAAASGSNLGQSKKEEKRKRKEREDEERERAALEANEKAGMRLEALERARVESQR QKAASPGSVRVKRERTSLSPAPSNASSASFRPGHGHGTPITYGGHVKKKKTKRVMDSD DEAASQARDRSVTHLSPPPQLGHHMHPHQSSTSGLKLKLSQSHPLSHSKHSRPPTEPS PTPPITGPSSHIDFSLPSVPVRPLIPPRPGVQKPTKPGPKKQSEVDEDFSNAKAPSQV AFPTFWSGVEPYLRDVREDDLAMLGFKADAPESYEIPARGRHYTEIWDEEDGNPPGTT PRLPVPNLRQQQILQQSNGPPTLPHFVPTTELRDETLVDEQRGLGSLTERVVAAVVGR LGFGDRKDKNDNADKQDTSALEAEMADREPAKVDVVDLEERMKKELKAVMLLGEHDEY DPSNREDDEITSALRQSQRLLVQQTALNEARKSRLAEIAKQRLAYNEYQNLLEGIEKS IESGWAKRIKKYGSTPNKKNAHASGSSSKPPVPENLKKLVATRQHWLDTVGQLMKERP RGQVVGIPSSSIYEGIGEDEEKDERSVEDQIMGLMGDEMDIDENENGNDN L199_007520 MLSSKTIDSVVQDTISNPNYVVDQPQSQAMSPVPQDRDQEDIQT KDLEGIPEGLLDKAEGITVPTVREDGTKSPAARNKRQKNVAVLTSGGDSAGMNAAVRA VVRQSIARGCQAYIIREGWEGLVRGNATDPTPFTTPRRTPSASANQSPVLQPTKSVSF SSLPPSKQMELEKATHELNAQAEEDLEKDRVHFTDPAGVAPLSNAPLSFGFGELLKDG AGEGDIEEMQAHGLQSMVIADDEDEKGKSLKGRYIVRVGWDDVRGWLGEGGTLIGSSR CPSFRERSGRLQAAHNLIKYGIDCLAVCGGDGSLTGADKLRGEWPSLMDELLATGKID EEQRETYRHLNIVGLVGSIDNDMSMTDLTIGAPTALHRICESIDSIASTASSHSRAFV IEVMGRHCGWLALLAGVAMGADFIFIPESPPETDDWETEMCNLLQSHRKVGKRKSIVI VAEGALDRNLKPIKPDYVKDILVDRLGLDTRVTTLGHTQRGGRPCAYDRILPTLQGVQ AVQALLEATPETPSYMIGTRENKIIKVPLLEAVAETQAVAKAIENRDFATAMSHRDSE FREMLQAFQISSSLAINEEAPKDKRLRIGIVHVGAPAGGMNAATRQAVRFCHNRGHTP VAIYNGFEGLLDDNVAELSWLRVDTWTTRGGSELGTNRTLPSIDLGSVAAGFQRHALD GLLVIGGFEAFHSLMILEQNRANYPSFQIPMVHLPATISNNVPMTDFSLGSDTSLNAL VDACDAIRQSASASRNRVFVVETQGGMSGYLATMGALAVGAVLVYTPEDGISLKLLQE DVEFLTKRYSLDAKGKSEGRLVIKSEKSSSIYTTEVLTKIFKEEGKELFDARSASLGH TMQGGTPSPMDRTRAARLALRCMQFLEQHAVPNSQTSSITHRGGSGAKHKKPSYSTET ATMIAIRGSKIVYATMEEVLKHTDMKLRRGKDEWWSDIKRLAEIMGGRQGLISS L199_007521 MSLEGKTSQVAIVCHDGNDLRTEQREIPQPKDGEVQIQVAVTGL CGSDLHYYLHGANGIFKIREPLVLGHEAGGIISAVGPNVNPDFGLKVGDKVAMEVGVS CKSCKNCRKGRYNLCKNMRFASSAKTFPHLDGTLREVMTWPAELVYKLPPTLDLALAA LAEPLSVVLHAYRRANLQPGAKVLVIGTGAVGLLACALARASGSTTVVAVDIEQGKLD FAKQQNWTTGTFCLPRGPRVSGLEALEAAEKSWKALKESDAVQSVEGLEDGFDAVFEC TGVESCMQMAPMAAAIGTKVLYVGMGTKVLSLPCGPSLLSEVDLIGVFRYANTYPDAL ALLASGKLGDVGAMASHYYPLENAKDAFEDLKRGRDANGKTVIKPMVGNLKLVGK L199_007522 MSWRIGKKIKDSGFLGSKHHHSNTASTVTPNDISRSTTPTPGNP HPDEVAKPPVAKSGMLKIRVTAAKGLSLPQGVPVPAPIQAALSSPTASSPRVPTNLKA GNRDSLQRRQVWWLPYVVLEFDKNEVLVDALGGDLSSPVWMYSATFDVSRISELSITA YLRTTQPHQEQDASRKGGEEGDDMGNSDLCLGGVRFTPDLDVNKTTDEWINVSGGSGA FHVQVSFKPQQGQPLTIDSFELLKVIGKGSFGKVMQVRKRDTLRIYALKTIRKAHIVS RSEVTHTLAERTVLAQVNCPFIVPLKFSFQSTEKLYLVLAFVNGGELFHHLQREGKFN ETRSRFYAAELLLALEHLHGFNVIYRDLKPENILLDYSGNIALCDFGLCKLNMSASDT TNTFCGTPEYLAPELLSGHGYTKCVDWWTLGVLLYEMLTGLPPFYDENTNEMYRKILS DPLRFPDSMGSEARSLLTQLLNRDPARRLGVNGAQEIKDHPFFAKHIKFQKLWNKQIQ PPFKPAVASAIDTSNFDEEFTSEVPLDSVVDDSHLSQTVQQQFEGFSWSVSPLGESVG RY L199_007523 MDIDSPPSPFNPDVTQNSPHKHLELPGFSSSFPLPFRVLFLIGL AQLLWAVNLHVLHVLGLDISWILDLRDDPDPPPLDNSETEEDSIELSVPSSLPKTISL DDELEPDTPIRSRASERIIRPPSNKLHGPVYKLFLLYSAWCGSGWVIFRILTDGVEDE MERWRVIPGLLWVGILAGVFVPWRGVGERERAGLRRAMKRILLPPLDAPIFFCDVILA DILTSFAKVLGDMWISACQIWLGEITHGRVGRSGWAGWITLAMVSLPYLLRFRQCMLE YYQSSWTSSRPLANALKYFSAFPVIFLSAAQKTVVNEIATQKGISVQELGEMHDRWFG EHRLFRLWLLAVCVNSMFSFYWDVEMDWGLALCEVDTWLGPKGGRKETALGILNSPLL GGTRSGPRRGSNEGLVNKIKKFWNGSRNNTINHQRSPCPTPNPTFSPETSSRTTSLSL SPPLSTARSLFAFGLRPILLLPDPLVYYLFALIDLVLRFTWSLKLSSHLHTISEIESG VFMMEALELIRRWMWVFIRIEWEAVKIGELARFGRSGGGGSGANGGAGASTLWEDKDE DR L199_007524 MNRVLLQVQRWAFPIPNLKGDYNPSKTPNNGKWKFIPSAIKEMS MGDLDKWLTFYDIGEDCKDFGFLKDVDKQGKQALLYNFIGGSNKPSYFKE L199_007525 MSDPTDIPIPEEVYNLYLRTLKDGDPIHPHMARREVHFPSLIED WTTFWNPFLRDKTLAEISSTDEFRALNNFMLTLLTAIEDIDKDKHDASASDASSVGST SPPANSQHHHPSPPSRDGLMGCKSKELDFKNFAHEHTESEETPTATIRQQQKALDLLA AKVNSQDETIRQLKERLSRLEAGSKPASFTCQPASTESSKINSDPSTEVMTDQAKHAP KILPFHLNTSDHPISSPNDVNDQGNLPTETKTSPGSSFSDEGYDVVAVSPKSPSGNRT TIVEEPKAVEGIQPGAPDPLSPETTTGNDPVESKCSNRDNTEVPPETSSLDTSPLPPT QTVVPSNDGPASNFTRELAKQLLLLKVRIVKLEEDHNASQLENQSLKTEIENVRNSQP LTRKDRKGLKHLQDEMNKLRIIQNNDIKNNLFFKDLLEKINERIEDMEHMEPGKMASL LNNLNYLKGRLEVLEDKLKLQERRVEYHADDKIRIREV L199_007526 MPRSPSPARSRSRRRSYTRSRSRSPIDKIRRSSHTPEEENFSPF LIRIFVTKGKHVPLVEFDEGNIPLRDEFQVYGWKSSTPSSLIRSLIPSFPPPYRSPLA RYSFRHIYVDASARGLYRSKDLTSFTGRDLFESNSKNTKNGEEKDKMDLDLDDIPQAQ SSSRRKIEEKSLDDYGFVTGDLLSISLYVPEPKLPASSTRGNRDRERDGAGAGAVNGE PKGRGSFGWDKTTGNQFQSTEEDHWQRGQPLPPQDFRGGAGAGLSIRGGRGGGGGSWR GGPSSGPGLGIRGGAGGGGRRRSPDYEADGDRRRSRSPPSRERRVSWGRRN L199_007527 MSEDRKSRWDDPSASSSTPPQANNADASDAAARAAAIAAKIAAS LRPGVQGNELMKQEKKDEGDFVKDIEVNDLRNRYVLTKGSTQKQIEEETGASVVTKGV WVPDRTKMPAGESPLYLHIVATSQSILDAAVAKVQELIDQELGPLIDQRTLVARNRAL GLPPPPEAVPAGRQKWPEEKMYIGLESMRNFNVRAKTVGPGGMFVKYIQAETGARVQI KGQGSGFMENDTGRESDDPMHINIAAPTQEQIDRAKTLCNDLLEVLRQEWTKARDSMN NQQQHHGGYQQQGYGGYAPTPGGQGQGQDAYAAYYAQQNGQNGTPSSQPSATPAGAAA GGATPAEGTDAWAQYAAYWAAYGYDVNDPQFQAWQASQYGQQGQGAGQAPQAGAATPA P L199_007528 MSFPTLRLFPSLPRSLTTKNLRFLPIRQFSSTPTYRNANPPSSK DPSHPYLHYHPNSTHLTLSFLPDPPVKRSKTILGYLPLGDATLDDFREEPGFLDTLHS AIQSGLELGKSDSIKYEAESRPTDGWIHVTDERAIPPVGRIGETEDLIGSVYVQDGKI IASTYSPLPTYRIITPNGVLTLPKGLDEHLIDVLRKIDKEEKGQ L199_007529 MSVSTLLRAMVISMPYLMWLHLPLHVLAQSTTVSRSAAVSASTT VYTGTPSLVFGSVPTMTACSTGLILWNVYNEDPSKVNITLYAINEGIDQSIPSPSTTS VAATTTITSQTSRVASTAAISSQVALASSPRPTSTATTLSSAATSVAPNKRSVNERTI LDLNITLVTQYANHGWGFNPVRLPEGRYYILGVVDDDRQTTNKSNVFSVVESDDTACL SAFKSLSASATGTATNNNGVPTQKVTSILTTTNGNGTGAASGSSQEGGSATEKNSLGG GAIAGIVIGVLVGLVCLVLLFMCYRRKRRNERNKIGNARSGHSEEGGFIKFGGHKNHH HKMPSDTTAPSSDLNHKNPIAMTPVHARADDSFRSDEKRGSLNSIEQDDVEDLPIPLP LPVVTPTTATSYNTTSSVDGGSDRRRSDPFTTPTLGEIPTMLPIYSNDEVRKLGYPTD NSGVGITPPQPVILANVRRSSQEESPRPGVVGLGITGSGSGAGLKSATSLTSQSSSPY HQQPKTSPPVTATAGQPRSEPGKKRASLQGLGSPSASNTSPDPNTFEIPSSGSGNNTT PPSGGGGGLSRSTSSRRKPVPSLGPELRTELARQGSLKDLKNTKEKNAAVDENGGDGR RRSYKLMPDPPIIQE L199_007530 MDDDYYSLNSILAENHKLSCSFTLDVEGLGYLEGGTENNIHQHS KVELPFWLAQTLSLNEFTTFPLPPPYSNRVKAALNASAQSVKLSNLVGGNGWWYRWGR RIADVLDDEPQADLLNTLLKAFINRLPALQDLSAHHASADHTLPESSTSTTETFRDGM EGDERELFAIGQESGKMTRNWYDSNGGRKGGR L199_007531 MWSALALTTLLPLAIAINVPQTYINTAIARTIELGGLTTQITTQ YNIKPLDNSPGEYHLALAGENDVEPAWWEVSLGGKKLEGRLLDESPPTVAVQLGKTKK DEVITLSLTQVLAHTSTPLPAEIEQRDPQYLVWNTNSTYVDSWYPTDVERVKIRAPHP SILSYSSVPETYTRDNTITKSGGTLTLGPFHSLPPTLGTNKIAEQKPFSVHYETKDPV IGIRNLQRSAEVSHWGANLNIQDYIELVNEGPKLKGHFSRLAHQQSKFHASVPAQILT GFTLKLPPTAHSPYYYDYIGNVSTSHFRQGSTPATVQKNKKVRTSPRIVEGNLELKPR YPILGGWNYSFTVGYDIPLGDVLKTDSEKNRKVLAVPFLTGLKDVVVDNAELKVILPE GASDVQVYTPFAVDTIEHSIHKTYLDTTGRYAITLKKAQLTENHAQNVYVTYHYPLSA QLQKPLTIASLVGSLFLLGMGLRRVNYSIEKK L199_007532 MMGITFYNDFGSFLGLSLSKLSFIRIPFISGRSGATRPVTIKNI DLKETRSKTQYDSYRPEFNVNDFTPTDTDFNNSEDSSLVAIWQSLSPEEKMAQFAYEG STHPYFTSFVPSSIASTGTRLNTKSDPTQFEDHSNLDSGNTTPTSSSGISSSSSTSTS TLCTSDTSPTSAPHPTGSERMNALFDDDDAGIEKMILSLTSDVDREGQERGVKLILEE GRRRWAIWKEV L199_007533 MANSGGHGINPSAFASSSSDIIPYAPGRYYQPGHIIGEEEDPPA SAAPSSSTDRKSRNKLHFFDNVNDPSSGTEEQEQEPSSRNPWEEGFRSVRETMSSIFS SKRNEPNMDTKRESSIPPRPTVEDYPEETKNANDSWGPSWNFDSSRAGTTNDRHTREE EPERGRSNQRGAERFRPGYEDRFDSTQSTNRFPRQRSPENPKQQRSATPFTKDILSSS ESGSDSESNDEMPSNDTSRHYYMSGANGPGDSKDNRFHNFFSRRKSSYNQPQPQPRGD TYYPQMSTEQSTSPFIGRIRNRLKKRRSGDDSTGQRTNIFGSANTSQYPHYGGYPLSP MDPSMGYMGQPSSPWMTPSMDHFYGGYGEPPMMDPSMMMGMTRSMMGMQPSMMGTNPN IMSYGSGFWGWGPSPPTYNQSIGSHPGFFPAGPEPIDNRLSRLTAARVAIEAKAAAAA AASAATTTPTGSMAASTTPTNLSQLLGGSAHSHPLASQLSSLGGQSNATDPNSRWPEP RIANYQGRRIEIPPFRTLQEAISAQMSESQFGPSFGNTQQSDLNSRSMQDRLVTLQTG TGQSFRVSVPAGRSVNEVVDSLRMSGQLTG L199_007534 MSSQKVLITGLNGFVAPHIAVEFLNNGWNVRGTVRSNSKKDSTL NLPYLKKWADQGKLEAVIVEDFLTSDWTEALNGVDAVVLAASPFDMTLTTYEEFARPA VQGTQRILEAAAKISSIKAVAYVSSTTALMDYFQPMSPYQSKLVTKKDWLPWTEEDAT KEGFASPQWYCISKKYAELKARETKEKTAASWALSTYVPPGIYGPVQQVNDAKQLTSQ FGSDLSTTTLYTVLCGGEKAPLPPDYNVQYVDVRDLAAAIYAGITKKATGRYITAGDE IVFQKLVNIARKLRPDLAKFFPKGDPEASELVVDGAYKYDTSPSQIELGIKYRTLEDT VRDTIARFEEMGAYKSA L199_007535 MPQSSYPSDQSVEDLLKSWEPGTKLDLSLGSSYNTKEPEFTNWF AQSDNQQRSSSPTPEWYDRSLTPGSQAPSLSSSDNSFSDRSPSTPVVFPVVPQNESPS FDAFFPSQSGPSSNRGQPSSPFVSEDRYTGSPSPLQHKPSVESAEQESASHTEPRRPN KTAQLQDWDFQWLRPPRTSQIRSDSAQSYASKSDHGGSPVPTSPFPSFHEGSPAPSFS GFSGAWSPISERSTPAPSILAGGRNSSAYTGLQRGSVTHGRGYRSSSSVASSGASSSA SGQSQSSFKLRPTLDPNSAYSRLILERENRPDVTASGVSEDRVLPSLSAWAKQRAKQK GITNRGYHRGLDSVKSKFQSSTPGLNTIERTDRETEAFWIRMEQHSAEQFQELKESRA NRSIASKISSGIASRVRSVFKRFSHDHNSCVNRKDNNNVEMPSNVESGGSDKKRKWFA NRKRNKVKSNSSIATTPDSSTSTSTIFSPVSPGYEVSPSIPSINSSIRSSSSTYTVSR NASSTRSRISTSRAPTLMQDDDWLGGGGGLGINIDQLGRD L199_007536 MPAFRKNQQSSSDNGSSSSSPSPSKGWTKEQKRQLFYHVLRNGE RDWGNAVDGETGHQCNDQWKKTLLPQITKACGF L199_007537 MADHPVLKYVSAGAWSLVEPLFSPRAKDLLIKLIEFMENDVLPS EKLFHAQIPNSPEARWASIPPVLEKLKVKAKELGLWNLWLSGGDFQGMAGGEGGGLTN LEYAVMAEIMGHSAILAPQATNCSAPDTGNMEVLARFGTPQQKEKYLVPLLKGEIRSS FSMTEYGAASSDATNLRNTTALPSSSGKLSVKGHKWWITGAGDPRNVIHIVLAVTDPK NPSPHKRHSLVLVDPRSKGVKIVRPLTVFGYDDAPEGHCEVIYDGVEVDVDSGVVGGK AGLGRGFEIIQARLGPGRLHHCMRSIGIASRALDILLQRVSDPNRKTFGKHLREHGTV LADIAHSRAEIDSARLLVLAAARRIDIGGAKGAMQDIGIAKFTVPSMALKVIDRAMQV HGAEGVSEDTPLAYYYASMRTLRYADGPDEVHIQQIGKNELKRVEGLRQRSEKVKKES DRLLREDGKLKAKL L199_007538 MSTATASQTPPPAELRERRPSPVDKLDPAFEPTTPEKEESPLNK LAGVHNIKPTFEPSDRHIPDNYVTYTIQHQKYLPPVTWKTLLQNIQWISFLALTITPS VAIYGIFTTAWNTKTAIWSVIYYFITGLGITAGYHRLWAHRAYNASVPLQFALALAGA GAVEGSIKWWSRGHRAHHRYTDTKLDPYSAHEGFWHSHVGWMLVKPRGKIGVADVSDL SRNKVVRWQHKNYVPLIFTMGFIVPTVVAGLGWGDWWGGFYFAGAARLVFVHHSTFCV NSLAHWLGEQPFDNKHTPRDHFITALCTVGEGYHNFHHQFPMDFRNAIKWYQYDPTKW FIWTMSQFKLASHLKRFPDNEIKKGQYTMKLQELQEQADKLQWPKSSNELPVISWDDF KAESKERALVAVHGFIHDCSSFIEDHPGGAHLIKRAIGTDATTAFFGGVYDHSNAAHN MLAMMRVGILDGGMEVEHLKRRPAESVASSVTNSPVSSASASSVDIQSLADQDEDDRL YQTQLNSQGPHPKAPFGQPQAQVADRWTLSVPPSEKWRIVPTVPEIRPGLLGRSHSLE KITRADSRGETAELIGEKA L199_007539 MFDPDFSSSSSTKYNDINLSTSQSTSSTALLSNIRVERELRERK KKEQNCAITIQRIWRGRRIARRFRDDLISRLEDDDDVERVGRGLVVLLRDGWGRDRGR LEGLVGKWCEIGLKKDNDGIYRSIFPLSNDGDWSIVLGLLSARILQLVNLNPTSALTP VLLAALESVFDPKSYSHLSQETQKYAKETLVGIVESRSWVETIVQSMEQLIALNLPKK KHPSLIPLTRLLTAPFSLIPPASTSPLIPPLINHLLAIPSLPSSLPIPALSHLSTHLN LFSLLLPYASKNPQVLKEGRLQNEIGKTYFLANLTMFGITGGMLSRFGVGGISNWMNV IGNVLKDVNEGWGKWVDGLLDEDDMEMNGIPIMVDSDEEDQDDQDDGPSNKVVPFKQN KQPRRIQLPKAISNKVVILATPQHLSTLTTHIIQPSSKTPQSFINDFSMFCAGLLSAF RGSPKWENVLDSLLSKGLIRRIWREGVRGRWMGSDQREAWDGFSDNADSPALILLTYV YNHYLLLTPDDEFFSTTSNALSTDEILELAGIWRDLAFWGYMNGVSGSGSSSGPASTR MGNEEIRGLFTRGVTRVVERNARRQFADPDFWTMKSQLDLRGFVDAAVFEDAELTSAN NEGMDIEMNDSSSLPRWARARQRFTKRQMAYISPRLGLLNNLPMSVPFQTRLEVFRKF IESDQDRLGLDYHSRRQRNYAKIRRSHIAQDGFDELGNLGPALKSRIEITFVDQHGMT EAGIDGGGLFKEFLTNLSKEVFDTNRGLWLATDQNELYPNPHSYATEPHQLEWYRFIG QVLGKAMYEGMLVDVSFADFFLAKWLGRQSYLDDLSSLDKELYKGLIILKNYPKPEEL ALNFAITEDDFGVKRTIDLVPNGSEIAVTAENRHEYIQLVCKYKLDRQISAQSRAFFN GLSDLIDAKWLRMFDQQELQQLIGGEETLIDIDDLRAHCSVDGFPNDTTPRLFWKVVK GFTQEQRRALLRFVTSCSRPPLLGFGYLYPKFGVKFNGNDTSRLPSASACFNLLKLPG YTTEQSLRTKLLQAITSGAGFDMS L199_007540 MSPILKRDISEQYRQAKRLASATLGSSSNDKSYNNYERVYEDEL GESSSGSSSRKNEGYSNSSSARQGIGVDKGEVESAFPTWKGSTTPQMSNGSCKGKGRD TGQGEHTRIAAAEEYELNDDLEKDEEYIKRSDSISIDEDQIRPVRSRRLNMDYAEPDD GIRNTNLNGIGKWRDLRSLLLESTPSLLLSLIGLVFTGELLEHLARWRVFRRVDELFI LVPMIGNLKGNLEMCLSARLGTSANIGELDHKPTRKKMIMANLTLLGLQALLISCLAA VISFFLGWITIHRLGDSPSSGDTTTVISPPEPEDPGLSMAGEEWHEGYTRPGFKQLLM VLATGMGAAGISSAVLGSFMSSLIILARWWGADPDNITPPVAACLGDLLTLFVLALLG TALVGAMDTVIPLLAVIVMSIAAGWFTRRVIRNEWVKNVAKGGWVPLIGAMLISSGTG MVLAKGVGKYRGFALLAISMTGLTGSIGAIHANRLSTSLHTLLHPKPHSETLSSSSNG INHHGLTPFQSAMALYLIAFPCQAAFLAFVSWAGWIEINLGLAGWACYALTTGVSLVL AHWMTLFFWSKDLDPDSYTLPIHSALVDFLGQLFLMLAYEICIWQGKDVVIHDKVERL FSPPS L199_007541 MSLPLHLRSYREKSKDELTRDFFNVPLQSSLPGPSDPPSVVKLR ERPYKNGQEELQSMDINAVISVEGKEEVYAGRLSLLPPFLCFISLDRKSCRCTIPLYT IRRVERLNSRAGVFALSLATWHGMRIILQLTSLLPTAEHFAILLRDALKSQLSAMKQL KPFLPSLYSEYLLSSPVSTAAGQQQTDHLLLGTELNEKAGNVGTEAESDLRGPGGEGK GIYQRGLGEQFGFPGDARKMRERSKMKLWREYFMIHGRNLTLLRYPPFQRLLQVGLPS RLRGELWEVMSGSVYLRFANPETYGLLLSQNAGKSSQSTDEIEKDLNRSLPEYKAYQS EEGLARLRRVLVAYSFRNPELGYCQALNIVVAGLLIYMSEEQAFWLLEVLCDRILPGY YSPSMEGTLLDQRVFESLVHRCLPMIQEHFVSVDVQISVASLPWFLSLYINSMPLIFA FRIVDCVLAMGVKVLFQIGLAVLKINGEALLEVTDDGMFINLMRSYFATIGDSAHPDH PDPRVRAITNFQELLVVAFREFNVITDETITAERKRLRAIISDEIEKFSKRAAVRNLK NVGKFSKEQIGIIYDHYFSAICSPEAGPSTYPGSSPLPGDQFDQPRIQVDAQGRVETR IDHRTFRVFLSQIATWAREETVTTNAFIQRTDRRVADHELIDRLFFAWDSQNQGTLSL QDVVLGLNRVMTAGLMESIEWFFELHDKDKDGFLTKDEVIQLSESLLFIFRNEPGDIY LAAVSKFILNAYEFGDATAPEGSVDEKVPIHGDRETASGARERSDSSAGPHNLPYLNL ATFRMVVLADELLENFFANDLTASFQLEQTGDEDYHQAHQRPEGLLGGLMNLVVTNEN KNRLNRLADGFGAALGKHAEWRKPALTKTVESSTSSGDLKARESLLTPAQQAGQFQRP TPPRQRSSSAVSQASTKTVESTTTIGTPDEKRSLADVEGRYREESQMVKAAQEAVMHR PNFAIDAIGDSDDDGEGEGDDVMDEVEAFLKAHGDDDEGLKGEQKKVAEDLLKAEPMG KGKKKDSPSTSTGNLVDL L199_007542 MSSSLTEEEQQLIDYRNATNLRSVKRADPSVAQILETSVYSVIY HYDELGGKWEKQKSEGPLFVVQRDKTPEWSLYMLNRQGLKNPAIPLVPGEMKLTVIDQ GMLQVARRGDKQRIGIWFSEGPDAVERFRRTVLGICGEPSKRTDLPSSPANSAAQPVP QNEDGLSRLFAGLMKSPPIQSPPVQPAVPTPPTQTTTITTTTVSTTSQPILTAATAPP PPGPIPVPTPADPSSTHAHLPISPPAPPAPIQSPPGQTADDLLMSILGLAPPQPQQQV SPHQPPQPSGPEFQNETPTPNVPPAPYPPVPEIQQFPIQQFPPQAQMQQYPPPHPHPH FPHGRPTPPPPVGQYSPASQAQQPQQRYHKIGDATFAQTAATAAAAAPSPSTSNASPA VSFHTPGSHTPNLNGYKSNMESRGIIAESFIDGLQRREQQEGLRVAGIGLGKEERKME FKRRVTDLILSDERFVDELWETYLDRMSRAAQGG L199_007543 MSPSTPLEPFCTAILRTLRRSLIPPNLPRPLPTHNVRSSKPISE SAILIPLMNIRDQPHLLMEVRGRGLRVHAGEVSFPGGKADQRDDSLVHTALREAQEEL AIPPSHVEILGMLEPEYSLGNKSRVWPFVGFIHSDPQPFPSIPQTLPSLPLSDLTPNS EEVSSIITLPLSALQDPSKLSVHYFRLDLNKPYYRIDCKDYLLNRHRQQQQQQAKGDD RHSSDQNPNRTIGLEENGESSEKLEVWGLSGWFLNKLAKRAGWMDSPPKGISPED L199_007544 MSTTTTTTTTTTRDQPFTLTLSKSHFPPFALTHSPTKPCPSPLS RWATTPTCPTSTPSRHINQKDISKSQSSGTIDREQTMSMDRDRLSIDTFTSVIERDTV YSRASNVASILRSLGPSTSDREQGINALCNYIEGKKGKGKNEEEIRKKLGVLESMVSA DFGEIFVKASLRSGLARKTTHPPLSPMPVRPVHRSRLSLASLSSIRSSSQSPPPSVTP IKPAEENPRPDNSPITYTSPSFTFSFSPVSSSSAFATNPGSNSYSNFEYHSSTSSSTP SSFSSPPPLTSSSPSYTSSSSPYTSIPFTPYSPFARADTLTSSSTSSASSLGRAPTLL SPLAIGGWGRSWERPLLRSNDNDGRPSRSRLVLDGISLENFPNPPDHIPSPSGASDDN DEVSLTRDQKHIFTKGIFESNNLSRSFQIQVDDPVQDLSPTKSTSHTLDQSWLSLSIS DVDDNSSAGLPSGGLPSSTQTSTGSGSGSEAFEWDRIIQNQLTMNMGMDDERTVTGIE SSRWSAVISNVDEITSPNDRAELDKGPTEASLLRDAYLSMIHTPPSMINIPGPEQGDS HTILMAYAQPTTA L199_007545 MPPKRPLKRRGEDDDRRRSKKAGKNKREITYDTYDEALDGGVEM EEKGERYRDGDKAQRFYERAVDLYEKALGFSQTYDAAYNQARVLYTLSTSFLLPPTSL APLRRSIELYRLATTLTNSPLLRMDVAFNLSQSCSSLADILEDLDVDNTQIDEVRKLR AEARDILQEVMDGQEEYLRATSEEDDVDETEEVVDEMEVAPADSALDEGSMQVDEDKD KAQDENEGEGSFETHLPTRSTYTDTVLTLIDIHLSLWTSTTEPKTPSEEEQMTVRQIL DRAGVFAPPGRQAELDLCEVKVLLGMDSIVWEIYKNQAHPNMGLEKSLEGAIAALTAI LGSLDITPPEDLTVRPEILTILSDTHMEIANRMLVLNKQLPPGPSPLAQQAWYHLSQA TSHLTTALDLPTNALTPKAFKPSVLLNLSKASLRRARLADIHETAKRNVGQLVDNAFT YATRAGEGLGVKFVKIDGTSTTTGSGMSLSIGGTMGGGEELPWQSGWENELLIRNIIL HQLRNGLYATRTLLVPEEQDRQKYGSGLQKITKKLSGLKGERKLGADDVKRFLAEIED EEGLIEDLERSWWNELFA L199_007546 MVFGNSIPTNREESVHNYWTDRQTRYISDGTVGPGSIPSSALPE RSFPIRQDHSVYPRSPRPRVLPSDIDNDNEEEEENPRNLRVGISRSTSRETSTVTEEG TKMSMEKDDASEASITKNDIRSEGQSTSVTSKNSNKADEEDKKEEDNDTKSENSDSQV SSKISTNTDDNSTKSGSNSDSMNTARSGWKSVPVTTISIPNTSC L199_007547 MSDTDSQGQSQGQGQDPQPPANMQSRWSSSTEASAGRMSHLGAY SEGAPTPPKRYSDLSSAAPEYTSRQASARTSQHSEAGSAPPRYTSQAASARSSQYPPT TTTTTATSSTTSTAGGATTTATAGPATYIPSGHPQRVATGGTYIPRGHPDYQGGSGSG SGSGGSRGQ L199_007548 MQNLDTRLQSFNAITKPKSKVKPAFPLTENTHPNLTPDSLSQAG FYHTPGSTEESWDNCKCFMCNLELGGWDEGDDPFEEHSKRGNCAWADMVCTVKIEKRK RDRSDGAYTTTYGTEESLPQSKESALIREQTFKKWWPHKQKSGWLPTVKNLAQAGFIY CPSSTSKDTAMCPYCEYAVEGWEATDDPWQVHQSKVPDCHFFRAELANGGPSKDKSTK AAAKPKKSEASRRTKRATTAASRPVVSESEEESTQATDLPSEPGSVAVLEGNNAKNKD TEPRSTTTRRKTNARGKKKEDTVEVEAELGAETQNKEIDEPEVIVIGDDTITSISQTQ LPATVPEEEIPVEDKEKKGKAKKATKAKPRTTTAKSKGKKKKVEEQGGQTEDEVVMQE SEVEMQTEEEPESIPQPRLRQPSKPGSQNKSSSSKPLPPLPPASPTSPRPLSQLDRFA NIPPSSPIPSVSLGTPKPKSLLRSTQPSSANRPSPHSQLSREALDSSLTRGAIEARKV MEDLMASPIINTRSMSDRENMGEGEEEVVKFTEEQKKMTLEDLIRMEMKNRYREMQKE GEEMIERWEERARNERKKIEAI L199_007549 MSRILRRAFATFPARAGPSTAPSTIFPSASSVSKTIPQAVRRRR TEHDHPSGRTTSLSPLSDSDLHYANTEKKFPYQLEKDYQSLLASATFDNAQLSDSELR ASFLKGTAEWRSRIRGYAPRGKVARHEYLLGVMGGQRIAVESKQEGQEEGQEGEEVID PKSQIVGQRVYLPNIQIRLMRNHVKPGEEYDPYIATFRIPPAMTKNDLRSYLLAVYNL EVTFIRTDNYIGEVGRSRTGEVQRKSGSNHTYKRAVVGLNEPFHYPDDLDELYAQGLK NGVGDQLAQARDTWLQENYSLNISEQMRKRAMFKYYRGTRWRSKTHANMGNTIREIMK RRQEREDQVSEEVKRRWSAIAQESLGGEGQAQTA L199_007550 MIRRQDGANTTDTSNGSSSTAGVPTCVITCMAQAPTTGCSGADD WTCLCASSDFINSVGACWTSSCSSADAAYGQAYANQACAFYGVPIGGNSTSSTTTSES EPSGTAVLSEPQTTSHSFVKVQAAMSSISSLLLAIAIILGILSCRARYKREQAASQNR TWNGVTGLTTMDSKAPTKSKTSRFFNKSTHSSAFENSRNGASTFQSDNFGITSSNFGG NNTLNNTQSFGVSPSGRLDSGGATNNNGRFTNRLTLGEMNSKSEEWELNDVKKEESSI DGFNDISPTSFESKMESEMESRLTDQENSGIDSTVALNVLPKEGKGRTHAV L199_007551 MIIRPRRLRTKVLGYLMYPFIQSTQSSTAYTSSLETHNYTAIPA SPTSTISSIDLSSPRLTISDMPSDNNERRESYPLSIASTSSSSSGSPESSSTTKFQTH EKHYHPITEDDYLDEEEEEEEYGTSESTGLLGFLPLPKWKREIEDKLSLSSTSSTSLH QRRRGRNRKQRRSIFIPLVSIACLSGMLMVGIYVYSQRSTYKRTTTTEVDESTYIPIP EEVSQNQSSIELIPTSTPQWVIDVKEIPDDPTHILIPPHESDSVELLQPLHDRLPHDV LESYFSTGLLPTSFNSDSDLAKQRPMDLVYLFVNASSEYLQENMELAEVKEGIELVGK KRHWRDNGELRGALRSGIKGFNDNLGKVHVISADWAMTDRDESVFSQVLNGQDGWRIG QIPEWLNWDSQREEGSKLNWHFHSDVFRLPVDSNGRSTIKADGVEKIQEPTIEDEVEE EEENEQIENGNVGNSTEDGTRPQPQVRLDPPTPIDVVWNNEQEWKELATPNFNSFAIE SRMSWLKDVSENFVAFNDDMFILRNLSTSDFRHPLLGSVFRMDSGLLVNPSMTPMQLT DSGEWGALQHANQLISKRFPARRRMYLHHLPKIQSKHIMNEALTMFREDLSVSTTRTF RESKRGQGDVEMAWLTTNLRIERWRESLLWSYIVAKVGHRNGGKWNDQARLDLIQLMK ITDDQISGYQNVVIERRDDRLTLADSFEADKQVDWNGPKASVYQYSSLDGHLNLLSDI DNRKCIFSLQQCLPARFFLDPEISYSSEEIFKQLAFAEASCGDCLISALINESGERGI EAFLPSLDQLYHPNTTTVEREWKTSEPILQLTNSWEESDFSIEANVFKDQDIWSGALV RIDGAVELRRWCIKLLSRYNYVFASSPVRFSPIHNIGELRTALQSVEESPDIAMFCIN DDQYDSSSGKVRRLFGSWMNEKFGGSVPGVNYEREGVEWAHVLPEDERRSLTREEEER DRYGYSPIPIGNSGNNHKSKQRKNRNRNRIIQKSTVLNDNESPYYYDDDNDDNDTNDD NDDGFNQYGWKLGVVENITDANW L199_007552 MVTTIGESVQAGLTLTTSNDPRDNPTTIISSDVKGRSTKGPWQK FVSWIWDPDYYEKSNAERKLVFKLDCALLSLLCFGWLMKYIDQTNLANAYVSGMKEDL HIEGNQYTWMQTIYNVVVCVMQLPSNLIVLKVRPSWWLAMCEIGWAIFTFAQAGAQNY QQMYAFRFFVAFFEAAFQPVAYFILGSWYTKTELAKRASIFYVSGPIGQAFSGFLQAA VYKNMDGLHGLAGWRWLYIVCGIMTIPCGIAIFLFLPDFPENCNAWYITEEEKEMAKG RCARNGTITLSSALNVRTLKRVIAKWHFWLLIPTYICYAYGIQNYNYFGIYLKAAGYS VSARNVLPACANLIGILPQLTWGWCSDRFRSRYAWCLIPCLWGLVPTVILAVYPDSNT LKVFAFMVTGTYFVTHIWWSWVNEICQGSMEERAFIIASINCAFYAVNAWLPTIIFLQ TEGPRFPKGYPTVLGCNCAGMVGFTIIWLLHKRQIRQEEADLVGTVEEAGVPMEEVDD KAVDDKNVTQVSVLKA L199_007553 MAVSTTNKRKILGVGPGAGAIEEFKQLCEDYEVHMVNRGPRDKV KAEIKRLCETEGPFEAAFLFFANGTYAPMDEDLLGPLWQNGNKVGCFAQCGTGYDNVR VNDITAHGCYFTNTPDAVTLATADFTVLLFLSVLRGLTMAERAANLGKNHAEVELTVD PAGLTLGVIGLGRIGKDFIKKCQAFGINAIYHTRNPLSAEEEAKLDVKYATRDELCKE ADVIAVLTPHTPETFHILDHEQFAMMKDGVFIINSSRGPTINEEALINALKSNKVKRA ALDVFENEPAIPEYFKNNPRVTITPHVAAYTKGTIYRGERDAMANVRAFFEQGHPNTP VNGPFQ L199_007554 MSDELPPSPTSTGRSTSALRASPSVVPRISARTVDGSSIACQRC HRRKKKCDKSKPSCGACIKSRMTCTYDVVISDRSHPNYVRDLLAETLSQKERIVELEK QVVELTTLASGVQNEPQIANTSLHQFAALINNEPSTAFTEIPFQAFSTGSIGFGDVSS EPTLAERIVLQVLTTEPDRREASLSFKGTIGQQLGTSQDDEECDNGSSTGNQDAGQNQ NQNDLSTWPPYPLAQKLVEGFFNWISGIYPILDRVLIEQDLHTIYFMTSPRAPLISEY SKPDIRIYRLFMIFAIGCDVLEKGGIALLDHSKKLRAYAFYHLPAVLRADSLSCIAGL LLYAQYSIMAFGNTSPHAVIGLIARLAIDLNFHREEYSLPPAEQDTRRRLFWTIFSMD RLISSTLTKPLTVPEDIITVQLPKKVGGSSRYQMAINSDEYFRHVVLLRRLNGHVLNE VYLIDDAQKAQGEQTLAKLRNQIDDWFHSTPRSPEDGSYNPFLELCYNLLITALYRPS PLFSHTHPSRMSRLRKSASRAIDLYGQLYAQKRCAENYVHLFNIVTVSVTLVYTLIER EGDDLNLEISSWCREAVRQMVTCEKLINDFCKDWPGTAKYREAFKGLANEVKTKMKQP SPSPGTESSDISQIQAQSQCLDIPFDPFRPTNVSTQHIPQDTTISTHLPVSGTISSST NGPALSPTLFEGLVDTALWNQWTLQDNGIVGTVGTVESERTEDPLGINMSGVGIDALL ASVGLSAFTDFEWDPNTQLR L199_007555 MTASNGTIGDSSKFTEDPLYQKTMSLVRPNWLKEKMEEGGLGFS FGMSMTFSPDVALLAKVAGYTAVLINLEHCRAGIETACDVACACLNIGISPICVIPSL QSDWISRLLDNGVQGIIVPRTGTAAMAKELVKLSKFRPLGERPLTFTPQMQYQMPHAE YAKLAQNNTTLTMPMIETVEGLENCEEIAAVEGVDAIFVGAWDLADDMGIGGQRDSPL LYEALSKICRAAMKYNKFVGLGGMEPRPDIISRLRKEFNCVRYVMAGRDTSVFQSGMA AQVKAIKEIEAST L199_007556 MSQHSRNPSTTTAASSQPGSVREITAGPNMSSAFSDYSSSAASI QRGRPYSIISNDNRLHAHLQGYSPERPQQPPAYTPSSDRAVAVHSRAPSYEASQQEAA TAASSNQPRNSFYGTPGRPIIGLTSTATAAAEADQSSNGDASSAHMTEDLYSTYADAT RLSDAHYEGRRRDATQQRRAHQPRTGGSTPLNNPPRL L199_007557 MKFATALLNLALFGLASAGNFTNPLRQSGPDPFIVYDHDTSSYL FMQTSGNGLRVTKTPTLDGIRDFANEKLVFQNQDMKDNPSVWAGEIHKIDGNWYIYYS HKDAVWVVSGGSDPVGEYKDPVRLYDRGWSLDNTVLTVGGKNYLVYSCHSSDVSDNTI GGSSICISPLVTPIQIDQDQVTVVSRPEQSWEQSGGNTNEGPQAFSWAGQTYITYSAS FCSTPDYSLGLLHLTGDNPMDAALWTKKTDDPVFKSGNGEYGPGHNGMFISPDGTELW NVYHATWHPEGQCGDDRSTFAMRVDTTNGLNFGSPVTPGVYQAPSGEAGVTGQKSIIY SNSTTPLTEPTIIAAYASPEALSAPATIGGVEAAQATVTALVDSRSCAESQSKRKRSR FLPEAY L199_007558 MTSTVTAHALTSDHSFQILNTGSSSEESLSEEWQMFVGSTREYL YDPEVESSFRRPLRSTPRQRRKIQIYKESANSMIGNDGERSFEGNIEHIDLEFEAYYS EGKRPMDETDKLPKRSTEQDHNEYTTTSGSLSTKEKRRTPASRGLASDRQAEDAINSQ LTITAGPDSSKSSRHRIFGLLSRARGLR L199_007559 MIEDKYIGLGLALGGTFLIGSSFIITKKGLNDAAKKDMSEYPHS HQRQTGPRNASEDLSYLQNPIWWAGMITMVVGEVANFAAYTFAPAILVTPLGAMSVII GAILASFLLDEKLGRLGVCGCASCIIGSVIIVLHAPSDKEVETVDEILTYAAKPAFLF YITFVAVFSLYMIYRVVPTHGTRNPMVYLSICSLVGSVSVMAIKGFGVALKLTFAGNN QLTHISTYVFGVVVVGCIMVQMNYFNKALDTFSTNVVNPIYYVFFTTATIIASAILFS GFNTPGGVNTISLICGFLIIFMGVYLLNISREPEAPHHASTMESGLMNPRMSMSGRVS MDSNNPTLWNYGSVPGGATYAADGSLHSAGHGRRSSIYRSQNSTLFNAFEEEGMALTQ LPEEDESDDESSRREQNQGPGRNLVGKQTRNEVAGGRHPAYQESGR L199_007560 MSSLLGQRTSETGTTSNEIENSIDSARESKTLAMLSEIDALLNK SQSYRNNLRSHSPYHRGNDSGVFDSAYGSDESASDGNQTASQSANYDDSDASSLSSGS SEQSSDHLDDTLPYGMSQRLRRSKVSLSGERFISAQGQNGFETLTQSPSDIVQHPAHH PNGSVTSESVSGRPNGISTGPQLSSGVECTEYRPQDPIIANEGQSISSDSSSSFDRIR LKDIEESDEEDNHHQPSGPFLNRGPDYSLTSLKSSRANSLQRTASIHGRPRRGRSSLR SSLSGTSDLSRASRPIHQLRIDPEDMQRARYQYYEDPYADTSKTDLKIYEEYSRSGSP KSSTSGIISPISPQVHTGESTGFQKWTNDQHSSFSSISNARSYLTSFLQNRRRPSISS RGTSNTGKSRLSVNWQPSMRNVTNKMNRWKNNFSSRFSRNDEDPLPRRKASDVEAELY GNISQAEARRIIDRRDQSLRAEGTRYEVPPQMEKWISHLPVDSEPEPEKEQSSSPSIS LTSVNDEVDDWFRNPSFDLPTSGTSRTGVSLEDALSRLREERRIKDSQLAEHQSQHNV SARYWGSHTEEGKYDPTEDEQEISILRSQISTLQDRLGELESRFYQF L199_007561 MFTASTQPRLTAGDNGSHNFTVDFGDGPCLTLMPDSSVTTHTGF RTKCYVNTINGSIEDTKSASKESKQSGSRCGTRSFIANMYRRVRNHFSRKDSSVEYSA EDVNRPPKSFSPSKTIWKKYFGHGPDSLTKTSRRQPHGDPSIPSELEDLRQTLLHLTS TNESHLPGTLLMPEDVATTKQGTNNHGEYLRREREARCNSQRSLPEIPTTPQALGHLQ EIDDKLLKLQKKSDSRFATFGYVQHFNAF L199_007562 MTISTPTFRSRSSSLSSIKSVVSTALSYLIPSRQSNTHSRSKPE LPSIDTSFSPRIELRPSDKTSTDVRPSQSKIPNFSRHLNRHDSGYAPSSGSITATSSS IRKAPIDVFYGGEGKNSRSYSTSSSSRPSLSLNALSSNGSVDDLHDRGRVTTPHYYSR WRTGEEDDQEEWRHTPTPRTSSDRWSNDDDEDDDYYDYREEESNDPPPGTFIGNTGRE RSNTSFSLLSQQSDDSCSSNGIYKPRKSLNRRSLDILSSLNSRLLFRSTPKVRELPER NRSWLGEDDALPEPLRIYPPKASSSDQEESSSEPYDDHSVSLKRIDHIRDLSQNSDDE SVKTGSVSANEGTHTHHKWRDRLKRTFSRSSSKSDMFSGGNGRRRSMIGSIMDILSGN TSKTSLSEVADDRPKIEPDDVDGSSVGIRPLSRTSSGLSTATTRLKRIKGDLMELFSG FTKSLHGADDSKIREKGEEPPYPNLDFDGPTDSYESAIITNKETPAQA L199_007563 MSTQKPSYPFWLGGAAASMAACCTHPLDVMRVRMQTSTSKTTFS NAVRGVLAHDGVRGLYTGLTASIFRQMTYSVTRLGAYDMMKSQMSNGGKKKLTTGDMV ICASTAGALGGLAGNPADIILVRMVADPTKTPDHQYNYRNALQGTYRMVREEGVPSLA RGLLPNTIRAILMNASQLVSYDFFKDLLLAHNVMSNGMPCHFVSSALAGTVATTICAP ADVVKSRIMNMKHGQGGHGPFGLIMESLKHEGPRFLFKGWLPAWIRLTPNTICMFIFL EQLRNAMDMFRSKTAGIAPSTPAI L199_007564 MTSLNSLHDTLSPLLSPLHPSFPFAPIDIIGAMRLSSVINWIAT GVFDPQPISKGKKGIKKERASIWQELAGLMIVVFGGETFLAMCTGTTPSWLVTPNIAV LFCAIHILQTRTPFIHLLPTGPSLPVELLLAIPDAIGRTLLLTRFSIIPLLYPSSPSV KTLPATSVSLVLVPFILAVPFASIAFSTFNFFSPSLKLTTPVELKPYGWMLVDTWCPL LISPLFLTLIGPVEGWDIGLGWGENESVICCMVVLWACFAGRAIYNFGYRKEHWLEMF GVRGEKKKTE L199_007565 MPKTPTTPSKPKAKVDTSNPDSPSKIGWSAGDKSLLFEHVVNFG QNDWDKAVPGKTAQQAREQWKKTLLPQIRKQCGFLG L199_007566 MKSGEWILLDEVNLASQETLEAISTILEGPTASLVLTERGDVEP IARHSQFRLFACMNPATDVGKKDLPPNLRARFTELYVPPPDDDREALIAIVSQYLGDA AAGDRSVILDVVELYTTLKKLSSAKEIVDGSNAAPHYSMRTLARALTFAVQSAPLFGL RRGIWEGYLMAFTMSLDQASAKIAHEAGERYILSPMKNARAVLAQIPSLPTSMDPDDF VRFGPFWLQRGPLPPAPESKYIITPSVQAKLSDLARVILTKRYPVLIQGPTSAGKTSA VEFLARQTGHRFVRINNHEHTDIQEYLGTYVTDPHSGNLVFQEGLLVTAVKQGHWIVL DELNLAPTDVLEALNRLLDDNRELVIPETQEVIKPHPNFILFATQNPPGLYAGRKVLS RAFRNRFLEVHFDDVPKDELETILCQRCQIAPSYAKKIVQVFEELRHRRQASRVFESK SSFATLRDLFRWAERGAVGYQQLAEDGYMLLAERARQDDDKVVIKQVIEEIMKVTINE DMYSLFDKSSTVLTRMPTTNLPFTKMVWTRAMQRLFALVAAALAHNEPVLLVGETGCG KTSVCEVIAQMFNQKLVGVNCHQNMETADLLGSQRPVRNRLERRSKIINTLGQFATFS GAISDDELMSLCNDLTHRTDIDQEVIRQCKREIKQLSALFEWSDGPLVHAMTNGDLLL LDEVSLADDSVLERLNSVLEPGRTLVLAEKGGSDIDEATIVANERFHVVATMNPGGDF GKKELSPALRNRFTEIWVPALNDRGDMLQIIGQSWKKEELKECGPFILDFFLWFGEKL GDLSGLGLRDILAWVSFTNDMFCKGLNEAQAFHHGGQMVLIDGLEALPQVAGMSTQSI ASLRNECLSQLDHFASNLPGGSTLHAQSDLAVKMTSETMAIGSFAISRGPVISETSMF RFEAPTTALNAMRTLRGCQLPKAILLEGSPGVGKTSLVSALAAVAGHRLQRINLSDQT DLIDLFGSDLPVEGGQPGEFQWRDAAFLDAMQKGDWVLLDEMNLASQTVLEGLNAVLD HRGTVFIPELGKSFDRHPDFRVFAAQNPLQQGGGRKGLPKSFLNRFTKVYLQEHTSED LRIICKDLHPMPQDVIEKMILFNEEMREQTMILRTIGREGSPWEFNLRDLFRWFNLLA SPNGLEKSHHPAEYFWMVYRQRFRSERDREAVTHIFERIFDLIIDTTRPTPYVTPSFL QVGHSLIRRGDKSTIDVHLKHHHLEIAQSVLKGIELGWLIILAGDCGIGKRALVRGLA EGAGRELGEFAMHPGVDTSEILGSFEQQDVGRLLDAVVKEINHLIGVVSDNDPYLASQ TTKLRSARQRCNTTSDVNAIPSFTTICENILAQLAPLISTNGARYALDSLTKAGPATV GFAWVDGQLIHAIKNGGWFLISEANLCSASVLDRLNSLCESNGVLVLSEKGSSTGSPE VLKPHKDFRLFMTYDPRHGELSRAMRNRGVELFMARPEDENSPSHPISTLADHSLLQA FTAISNNDKTAQEIKDSSVASLVADKISNQSQQIIPYLNRVGSVVSESDKAIWHVATQ VVGDAALASILSGQATQAVAGQRIQAEFSPSMPIDITLNSNIHAGQRGIVFSLLQAIL RNEVRKDEIQSWLSDSTSGKSILAMSAASARRGPSRNKAQAGNDIYPFTSYFREVIRK QLPLIIGSEWLKEDYVDCLDRILVYIQLIEDHAKNTTFDYSSTKLLAGWLDEQLRELP FLAPIMEKLQGLVKTVQLTSGLGQTQVWMLFRDIIGDSPFQQDSSRVTDKADDLRDPE LRLSVLHALSNMIEGPASQESIAELESLILGAESLQHRKSEVSPGWSNWSVLSTVELA SLQRAAGDYIEVLLEDKNIQLSTLLPLLRSVGPSRTHAVTFQAVSTFLQRMWEHSNPN DEQLDGPADLFKPVRLASALRFSDTEVVKMSEIPQQDDALRFSIKTLLWRTRVTEDRR ADVLSMALNSIIMICSAFGIPHIHNEDPVQILSHINRIMAESPSDPTMIYLRDTLFRR IMPSLNAVGSKPRDLKSLGYIWLAASRFLIDLYVTNIPIDPGVRRSLLGEVLITRLSL VEEELFAAESGESALKGIADSARIANIHQKVAALKEEQDSLGPSLDRPSDAVRLSYLF NEVLSFLNDPYSESRTNELVSALINGHPQAFARENDFQMASAAFVQRLSANYHDMSDL VQPIITAVLFSKFGMRTLARDAELRTAKPLSTISSAISFPLASGIRRIHRASDTPMDN SSGIATQLLAACAHSHLLESKQQRVAHLPGLFLQLDRLYQAWSSIRVREQQEAQASES LYRVRKTEIEVLSDQEQEEKEFAELFPQYEDAGAEDASRSKETNGEEQKEDRRFSVDQ VASFHQLVLEIFGQKQSTPSSLLKDMIDNTLHHSFESSAYDENLDNDSIAYQISLLHR RQVEVKTSPIQPNFYLSSNEPEVRKAHLILIRLIKRLDHLITEWPEQMVPQHIKDRCE RILLLNTRSPVAQVLSALEQLLIHTEDWEAYANRENSLSSLRDEISNLIINWRRLELA SWMRLLDDQAAQYIAQDNEWTLRLYGALIHGAVSATDIDKHMEEVLPMISTYINSSTF GTFSARLRLLSAFQRMALDLSAQVIGLKSVATLLHNVIANARLFEPRLVDSMHSQRSV IDKAIKDFVKLASWKDVNVFALKASAQKSHKHLHRSIRKFRDLLRQPASPILGELTGI VSQDAAVTFETISSAVFDVSTLNSKASEARNQAIHAVPEVLIRLDETFNRFTRIHIHV RESLKGPSASRMDNMAVDIIETAAALAKATPSSLTKENTKIVNNLASRKRKAFSDLLK ALRALGFSNAVRADQLARQQSTIWLANRPPISAEGLPDDFDMISVKKIESYHHRMDVL MSALRAAFNGHNDDIASQDLERGIGFTESVYATALTERDKSVNELHSLVQLSSILSRL RHCASSPSIAGGQALLSALTEAEFSASQMHSSLREIEEGIRHLRELQGVQANSVDTTV LHELRQETTLLVQALSSALNSSKDSDSLIFTEAETSLLVIFGQIRSKLIDSFTAQVVA SPDLRHLLDPVVAMVKAMRQFSIPSVNSGLSSETWKKSDELIQTLLVVTQQMKTPSLP EVKDDESPHVPSELKEQRIFSSSLRVSEILSRISTFIAELADAQSASTAAPNCLARLL PFLETFCESYAQAITTHIHTVKATYKLSYVVGRLMLDLAQKGFCKPQEQSEDDGAGGD GEMVEGSGMGAGTGDKNVSNEIKEESQVEGLQGEEEEEEQDQQKGEDDDDDAFSMDED FEGKLDDGKEKDEEGSGDEEEEDEDHDEHVGDVDPLDPGAVDEKFWGDEQKEEQKDGG SDELMDQKTQEQEGESEMAAKEKEGTESKDKKEEKEQSQEESKEGEQGREGKQEEQKG DEQGEELDEETMEEQGGEDEQGEEDRPSAQDQDQVAVPEGGKLDLPEDLNFDEEEGDE KDGEGQDDFDDDMKISDGGEEDHDADNDGGSDIDMGNEEDGETAEDAPLATGVGEDET EQEAQKEQNVDVSASNDMTQESELGQGVSGGIEGESKEEKEAEEKENSEKEELDADAQ GQDGTAPQSQQQSGPSNDQSVSDGPIDSSGAPLPAQDQSQPQSSRSLGDILKDIRRRR DEILSQQEREQQPQPTDDQQASEQAPGQVEYIKEDEAKEDDTQALGRAGEEERQKLED LNIIDEDEAEGEGERNPGMEDDEEEPEIDNGEYQERELTHRSAAPVDEDRQREEKALT QADIGAGPRGSGVDDTMDIDFDPTEEDGIENKDIKPDLDDGEEPELNLIDSSGIAKDG SSNAEELWRKYASMTSDLSYALCEQLRLILEPTLATRLQGDFRTGKRLNMRKIIPYIA SEYTKDKIWLRRTKPSKREYQVLLSLDDSKSMFESQSIDLAYQTLALVSQSMNKLEVG QISIAKFGESIDILHPFKDGSGSFTDMDGQKIIENFKFNQNKTDVVKLLERSLGYLAE SRSTGNLTGGADLWQLQIIISDGICQDHNKLRKLLRKAIEERVMIVFIIIDSLNQQTQ SSVPTPVNTKSKTSILSMQTVEYKMENGEMKLDMKRYLDTFPFEFYVVLRDVEALPGV LADTLRQWMTRVSQSQE L199_007567 MSEAQEMEVDIASSLDDQIVSGPSSPAHISPLHLDLRRQTTLLL DDLGYSPSSPAPVSVPRIVFDYAFNASSSCSTTELLDALSLICAFEGVSAFVISRFQP VLIDLLARWLEDSAFSDIELLEKRLTTLTSLADVYPELWSLIHTFIVQSPFRTSPIST IPLAEIQTAPVERLHSLLLSQLRLITADPRIATRDDWPLSTLHTLRMEHPDRGVRLLA IQILAKQRGWSEEKRMKMEKEWVGEVDKVDANIAYGSEIVKLPGGGYQVRKIVVDGWM LPIKEAQRIGNARQSISDFTFSNHPLINPSDLSHHVTLVAESLLFCASPFSTLTTSIL HVRTTPTDVALLSVCPLLQLGLPILLTSPPSSGKTHILQYLSSMLYPSQRPTNRILTI PLADTSIDVKSLIGTYVSSPTNPGTFEWMEGALAKAIRAGRWVIFEDVDRGSTEMLVT LASIARSLKAGRPGRRARLSIPGREDIEAGDGFALFVTRTTRTGYTVPIFFGHHIFHE VHLDSPSDEDILEILSARFPRLPKTLLTKLVNIWHLLRPFDKLSGQVKARDIGLRDLE KWCARVERELPSSASLASLEQSGATLLSNPIVQDEIFLEAVDIFVASLDNKGVSLQKR SQMLEVISTGLGMDADRVSALEGRKPNFEVSPTFRQLHIGRTVMDMFGSSRRESTSSS RPFALTKPSLVLLERIAVSLTLGEPTLLVGETGTGKTTAVQHIASIVKKPLTVLNLSM QTESSDLLGGFKPIDASISARNLHVRWQKLFCETFSMGKSQNGAYVEAASKALAGRKW GRCADLWSSSARRAIDKLGKGESEPVTPVEGGPPKRRKITKATKVAVQWQSLLVDISD FNRCSSIYPTSISIMSK L199_007568 MKVFILGATGFLGLPAAEAFVRAGHIVYGSTRSRKSAEEVLAPN EIIPVVTDPYSEEGRKKWGVIAAQCDVVIDALSANGAKPAIDIFHNFLKHLDRPKGSP KPTYIYTSGLWINSRGYGGLEKWTDERQPTSTYNEAVQWRPLIEVPVLESEKVHGIVI RGAVVYGRDGSAFASYIFDEALKASKTDEKIFETVYSHETRIASIHTDDIADLYLRVA ERGPILRGQVFMASNQFTERLTDILDAVVRVSGCKGYRAKAPKGAMQEAWVSSTLFKP SLGNALTGWRPRKMSLVDGMDIYWSSYLANKQLREP L199_007569 MSYSSIISPSEASSSSHSVRQTWESSNSPVPSIASSTTSAVSGS APTGIPNIYFNPNISGSFISSNPTSPWAPLSRSVVSSYLERSTFSHESNSSEHSSIAN TPTSSALMVCVDESELSISDQSKTTFEEILGENYDFREDSPSPSIGSTASSMWDKSRT CLSRVTSSIAGAFSDRSTDDRKRFDSNVGTGSVTDFEHSPGLQARLVDFLGPSR L199_007570 MPDYPSNNSPPSVTAQIFSQLAARSDHILPQEYNPDRPRWSSRA SSSYGPSSSPQPPKSFDIYLDDTDYSSCDDAASTISFTDTAPSADPIYPASFGTPNQG IEMAHPLPQPFNASAASIETFCTSASESRMSDDPDSYVGEKKDISWLESASLYDQSEP APPPYSVSVNRSTFHSQPYCPDDQAYIHYAERNQSSESVASHKSRWSASKQTFYKKAK SIISRFSSAITGRRDSRLDYDESFDSDSDSPYEFSYSDMNEKGRLTGEWKASTGMPSG RAPELV L199_007571 MSYHFELSHLSNSLGRPIDSHSVYDRSSSFSGSISPGWSPALVQ QESMTDFSAQTSGLQFPMFSTYQSSPSTITITVEYPNSATMEISVPVEIPNGLQSPTR VFDLEDLYIPSKDRDTIYSENTKDSGRPRSIVSSQSVPARGVIPNDTRWPWCDQMFDV PVIEQLGSRPTIVVTDGPESEVASLVDPSDTSSWSLAALWDSITGQIPSENSIAIHLP YDVAQSPQQLTKFQLAIPQLDAPTTEDNERALVRSSSTNVWSGIISALTDRWSQACST IKEYLRQHASDSRLDYERLDPYAWDREFQSRAESNGPSDTLYSTENLPPVGHLSNGPQ KELPMVRRKSLPEDRLYDVDHPPPTNHPVSTQSSQMVLARK L199_007572 MSHVESGKLHDHNSEEYAPQVHRHSASVKDQLATWHANRGDKSF VRAMIPSFKGTYPGEAPTTKNPIKLVRMVSPFAWLMFFSGWFCWTMDGFDFFAVSLTL DSLAEQFEVKPAKITTAITLTLLFRSLGAVIFGILSDRYGRKWPLVIVMILIMAFELG SGFCNTYKQFLAVRSLFGIVMGGVWGAAAATALENVPADARGLLSGMLQQGYAVGYLL AAVINLTIVQYSKYHWRSLYFFGAGFSLLAAIIRALLPESRQFIIAREEAKARGLTAK ETTKNFLRELGTMFRTNWLRWIWAVCLMTFFNFFSHGSQDLYPTYLKTTKHLSAKLAS KATIISNCGAVVGGTIAGYASQYTGRRFAILVCACWTAAFLPLWILPTSFGGLAAGGF FVQAGVQGAWGVVPIYLGEVSPPAFRALFAGLSYQLGNMASSGAAQIEADAGSSLKLA GTNIPDYAAITGILLGAVIAWGIICVICGPEADGSHFEQAKVAYQRGGGDADPTEMFD HDKPEQHHIEKAQAVEHQELR L199_007573 MTFFNFFSHGSQDLYPTYLKTTKHLSAKLASKATIISNCGAVVG GTIAGYASQYTGRRFAILVCACWTAAFLPLWILPTSFGGLAAGGFFVQAGGAWGVVPI YLGEVSPPAFRALFAGLSYQLGNMASSEQLRSKPMQDPPSRDPREFPKLNFKRTKEEI GDIDGFKVDDFEVIGYKPHGKIEMKMSA L199_007574 MAMISDVDYILQAVRLSSLRITDDPLTPRIISLDPSFALNPYIN ASGLSDIDRWPEIKRALDSPPPEPSYLSSENGYPRRNLRDNSGGGGGGLNYTQTIMGG KSGGAGMRVSGRNETKRGNGQSRSNHRANSTSLVTDMPLSPSQGQDRTPTTNMRLPTM DNGFFSPSGRPRADSAPAPGLLGVPPGGVGPNSILNGASMLTSGRGLGVTQNVGVLEQ ALSTSEASNEDPGHITPGAAGMGMEVDQSSMGQNGASQLAVTGITTGGVDRMVGALVD EGSDVDEDEAAEAEGMGRTQAQAQRDSSALPTDSRRVSVDTLNSEKLDFTPIPIQQNG PAATSSSSPPVQSSALTAALNKYVPHLVSTSSTSSPPPFIEEGPPPNPFTSLYATVAA PPGAPSLSLEMYFPHSKKPTDPIIAKVRKDATVEEVTGFGLYKYWEDGRLPLLSEEEN EVKWSAVGWGLRIVEDDGEVDEDFPPLDRESQISKFSYGQFAIVEATEEQIRQNAAKA PNIQRRPSRILAAPTPRPSRPPTQPFSRGATLTVPSTMQATSSASSFSSNEQTPLGSI AGPGLSTTATAMKGSVGLSSTSSEIVRLKIRVTASADVHFTTTINVPSDMYIADLTEV LCKKKRLQMPVTDWVLCLADLTLAIPLDRTVASLEGRTDLALVKRQWATEHGLRIDDR RGGDPSASIFKRQSEPAPMQRFGPGLADFSQTYKKYTVQRKIAIGRHERVLAIDGDYI HIMPSESRAFFDSMKTTSFHITLVASCKLTGRAGGFKINVWREGAQKRYEFEAENQRQ ATDIVSTIRQLMKSYSSERNSILPPPRPSSKR L199_007575 MSGTLISSLVWVPRGKSSAEPKRYNLDDTELERVGKLGGPGVLE QLREEMEGMDVGEGEDWEDVDEDDEEGSGSDSDNEDAEVDGGDVDMDTEPQEPPKPSD PNDLSAFKMDEYDNEESKGVAMGAFANVKGLSFYRDNNEDPYITLKEDDEEIEREELS LLPTDNIIITARTTSDLSSLDFHVYADSDENLYAHHDLMLPTFPLCVEWLDFPPGGSS SSSSGSKGSYVAVGSFDPSIEIWDADLVDGLYPEAILGPSPSSEKPEAKPLGTGKKKR KQMVQPAANDQYHTQPVLSLSWTPNHRNLLLSGSADGTIKLWDLTRESPMPALKSWNN IHKGEKVQGVEWNKSTSNGLDKAVLSAGWDRIVKVWDSRAPEDAIGTQVGSDVECIRW DPWEPTSFFVSLENGLILAYDSRTLSSSKGSNNLSTAQPKYTLSAHDGAASALDINPH IRGCIATGGMDKVVKVWNILDEESEGVQGRKREISLATSRDLGLGKIFTARWSPDPET PLTLAAAGSKATLQVWDVASNPGARKAFGDRLKKHGRELGEIKKGGGVVVIEGGEDED TDEE L199_007576 MSSSNYSHSASDQSDHTHTGNHTDTNSNTDSSHTTSNALPSDRD LLYMSLNGQRAVLNNLRDKVSQGFQFSDREQEVKTQIESLNSVKAAERSEELERQIDQ GEWDYPDSDRGLSPMSSVSGFGEAGEDENENTDK L199_007577 MAGGTKRSRQDDIDTPITPPSSQEDDTPTPTPTPTATPTPPITR NSSSSIHTRRQATTGTNNDTTTTTSRPPLTRSSATQPPPPRNNGLGRSNSLFNMSTRR SGLTSMSSSSSSSSSTSFAVPSGSGSSRVGMLTRTQSTPSITSPSQLKAIAGGSGGSG PAGKGDPEDGLGGGGPSKRFGKGKENIPPRKDNNENSSSGSGGQEGGSQRKRPRVSSR GSMSGSARSRGRSGSVVSVRSETSGRHSSLAPSSSASSIASWSARLPSPAPSQASSIT PSLDSVTSADPLDLIKDEDEFDVDKTPTKARPVTRSTVLPPTPPPSSPSLRDVEIKST TERVNLMRVYSDKTETDEDVDMSEDKEIKLNPYKQLKAHLRLSSSTSESTSTDQVIIG REEEKKVLRSYLTNRNDVDVGMYISGPPGTGKTALVTALGRELAEEGWKVVGLGCMGL KVTDIWRRLGEELICGKTEKDVKDFIAQADSKVFIILDEVDSLMPPPPSIAPPATSHL LTKLFSLPLLSPTVKLISISNTLDLTLRARLVLPDSAQPLVLPFKAYNAGEMAPIVSS RIAAATATMSNGGQAIKIDPTAITLLTKKVEAQNEEIPTKIPTLTESTYTNISSIHTR RQATTGTNNDTTTTTSRPPLTRSSATQPPPPRNNGLGRSNSLFNMSTRRSGLTSMSSS SSSSSSTSFAVPSGSGSSRVGMLTRTQSTPSITSPSQLKAIAGGSGGSGPAGKGKENI PPRKDNNENSSSGSGGQEGGSQRKRPRVSSRGSMSGSARSRGRSGSVVSVRSETSGRH SSLAPSSSASSIASWSARLPSPAPSQASSITPSLDSVTSADPLDLIKDEDEFDVDKTP TKARPVTRSTVLPPTPPPSSPSLRDVEIKSTTERVNLMRVYSDKTETDEDVDMSEDKE IKLNPYKQLKAHLRLSSSTSESTSTDQVIIGREEEKGTGKTALVTALGRELAEEGWKV VGLGCMGLKVTDIWRRLGEELICGKTEKDVKDFIAQADSKVFIILDEVDSLMPPPPSI APPATSHLLTKLFSLPLLSPTVKLISISNTLDLTLRARLVLPDSAQPLVLPFKAYNAG EMAPIVSSRIAAATATMSNGGQAIKIDPTAITLLTKKVEAQNGDLRMCLGVLCSAITL AENEWLKKKSNQALADNGEKKEIPMTKIAVTHILKALTSYTQHLKSAAGSTSSSTGSA TSKKIRSVQIQGKMVLVSLLVYLTRVKYGLNGCPSIFTSNGQITPPLTPSKSSSNLIG ELTVQNLYTTYCHLLSHDHSPFPPSPESDYRDLLSNLETLGLVSIVGGGSGLGSIGLS RSSSGGSGSSTRSKCSSGGGGKIELCVKEEELKDGLGLGSAKMQNKGIAEEEVNKIWE REEGRLKRMREKERARLAGLADGTSEQYI L199_007578 MPSSNVPFPSPPVLPAPRQPSPLSFSSIADDAGLRPPPSPPTPA SSFLGTGESVSSSSSYRAPASASYVTPTFPNEQIMTSPISGPSITSPSTLNSASPVSS SKLNVLVPVQPLPDSLLLRSTLSALEHSTSTLKRLSKSVLNSASVVAALAEQLERAED DLFAALGDLGRWLENGYGLQAKGSVWDPEGGIRKVSKERRKREREDREVWVENGLKAI KVELKRQGLAGGGAQARFDNIAKQFYHQTSVYLSPQTTQGSTLAGPSSQTQLNSNTSA SSSASTSSHNPAAPTDMAQAVRHAQWDLARYNHHSTLLYAVPPSSIGCLDLLVGLYGW VGGLLGETPGRKDMDDEGGGTPPSRQRASTAPTNTGNPAHLLSRHSPPPSGSDYLKST LSISLTQLAKTRSELLKAWAERNHQTQVLEDEVIQRQNDLGVSSPDEYTSSRFSEFNA ITPVSSAGIEHKKGKKMHKIHRSVGGRLRDFLTPSTSSNALSTQLGTGTGTGERLSRI SLDGGYGRGELSAPAPIRRKTTEDGTTPGTDKLHTHPEIPGPPHSSNSAPSSTPTPPL PLAKETSPRPRLPSRHSVQMPTGTDYVSPFIASNQCPVPPIPTDSSPDLRLLTSDRDM VRHSVDSARPSVGVGGVGGMGAGGDEDERREEAGRKKEGVLWGLGSWEGLNKAGGAKG KWEKFWVVLDHSRIYEYRDNKTGVPEGAHAVIDLKFASVREVFEIVTPSQGRRLYQAT SETEMKLWLYAICNAIESCINGTSSVRTFDASKLRTVSGSLDDHALPARGKLGLGFNS RAIGLGFPIPPNGRRSMPPTPTEHSTPDSSMEKRTRKTSLKKMLKQSGEKLSNVVSGN NGGHRNSLGGELDLPRPVFGKAGSRTSLPLSTPEIDKFIMPPPPVPISSRPKTNPIPT PTQDGEKGSWADGEIENRVLEMAGMGLGLGDIPSTFTSREDSPNSVKRRVKSEAVRKP THPSRSGYHASESGGEPMNRSKSQDASPAQEGGLDMKELRRIADLDGNRKCADCGKGM KSSRWATISLRDTPMVMFICIRCCGIHRSLGTHISKPRSVDLDLWTPEMIELARQWGN ERGNEVWESTWEGGRVGDDRITDLIKAKYVEGRWLIDEDKLKFGLISELPIVGRAI L199_007579 MDFDAETAGNNPEIEMQFAVKTVEHLEAYEKLLCGIPPSKIKFT PIDEELYGNLLDEFPELKFEDNLRVLDEEAMKSPQGKERWRKFIMPYEKRVTDYNFGT LIRRRSDELYSEENSVLVTRVQFYALEIARNKAGLNDKIYNEAQAKKNKA L199_007580 MPTHNRYPPGVNPYAPSISSKTPRTHRPNLPSRPNIHAPKVLLD DADIEDFHPPKWRTVLNSRVDLGYPDFYPSRPGFDQPEDVLTEENVKNGFAGRNFIAV GAEVFSMHGPIHNHLTSGGLNLLQNLGKELIEKRQEMMPKIGERSFRIPVRVTYNDTK RLQFLNDLANPNVPLYKLMRTPVPHGFKGIELLDSMFSPNIGPMGPGHNRTPSGSNNN AKILSDPIPLDRALWFIRVLGSNEISAHRSRTQPQPQHHQNTNATPNTPVGVSPAAPT PSSTTTINSTPTLQVSSNDWYTQEFTNTFISWLRVQLGQLSLPNNNPKTNVAKPGVPP PKSSGGVLGDEKLRSRWLSKWDYSTQLMRKLHRRQLLSSRLLSGWMADFLGYANLAQL GFLAQLIGEYLGDVTKHLCNARQCVRNACEKLKEIRASPASGSLIKVNFMLTSIIKSL CEANQEILLSPMTWKLHSELLTTILPEQRPSIRIRNEALWFRINVDERSSSPRRQQMA EMQKLDSICEDTNMLELTRSFFDGTSSPINTAPDLSRLEDKVFILLNWAMGLFQLGSH RPYAVYTLLKHWHDQHEEHQAKQANPHIIDLFPILYKWLDTSAAARKDENVQAIGITI GEITRQGMFSYGRYLQTLIAKGRTARSQVAGLSPSHHLALLKAMPIFAVAKDLVQQRR IALCGDDHESKIREDTEEDTLMDQFRDEAMEYVPEVFGKTRFWLAPEAGRYLRGDHQT PPMDASTFSRIMQVFRTCRGYATMADFIIRALQDSEDKEVLDVIIDIVRRDADVWTAM DGWSRLGDKLLDRYHTLQTQGRHHLRTLELLDYLVKKGRLTPDEEDEVRLIQSELPKS PYQDLPAKLEFKESLHGMQHMLSSGKEESAAALAPKLFVRHGSFGNWSTQWWSTIIEH VQHPSSHVSYDATLRVVTSHSFSVCKDVRDHLESVISAWLDSLPPAALVDMFGKRSGL LISHILLELVVKRCVTTSTLLERLIYPIWKYASSIILTPRRRLSSKQIQAVTNCINVA SQLLVSPPLVSTLPPSIPTHSLIVLACRQAVFCGTSVQNLIRHLPLLVVLQKSAMLSE NTNSLISSLLRCLAMTAEFKTAAFRNLSVLKDTFLSTEWSKPSSCHGLEAGMEDTLKL VMSEKPPNASTPASKSRVTTFDNTARLSAWRWTRIVLEMRSDFKGLSTRINNGEDVAE ARQTLHRQVLATLDRAATADDTDLLCEAFRGMDSVVTQEILVAGLDRLSNLLSQAIGA ETQNHLEEHIKSIQQILRILDSTFHQGSSNPINENTILSARHKLLDLLTVALQSIERQ LSDNDLVIHHDISTPPQPGELLKIALDLLKFTLGLLVIESNSPTTPKPNFPNLAVGFF KIMLSCHDVLDEASAQTMSDMLSYIIDSTPPQSRISCQTALLGETTSSNVQNILSSKS ILASALPHLSPLKRNMALLASSTEDEGNGHNLDSALTMEERRWELFEQINPIPKKPNH QDLYLVNKSSIKDTSSISMGLFNPKFTRDCLPNIANHWKIPSDNDQEEDEEHDQDEGE EEGNLPWEDYASERTLGDGFNESLFERQRSTLLFNNSEELGLAQPNVASDDDDLEVEI PLAASLSASKKRRISTRSQTSPTKSSTKPKGKGIGAGSNKDPISIEDNSSSDEDDSDD EEDAEVVEVKHQPAKRPRTTSTSTAGRRPSTSGKAPAKATATARKSVGGKSTRGTGGK APRGRRRSSQVD L199_007581 MPIPFPSQKTNLTETHSPASSSSSLPSYPSSLESDSDSDASSQA DTEAELEAMIQEEWEESLRQLEVVLSIVVMPTIGKWFGRMWSYWAWARYQRLGTLGRP FFGLNP L199_007582 MQAPLARQVAKHLSLQVRPPLCSKCLRPIQQQIRNHSSRISSPQ RVRKTSSEFSSRNRNRDRDSYLTLPGPSSSRSELSATDEVLRENFDVIFSRSSSSSSP SPLRDIPKIVEAVYPLIFRRDLKLRLDNHLEQISISLSSTPDNIRFTIEQLRQAITIL NHCWTRSILLNFPTEKQELLEKIYAEFIIRQALISNKDVVQLILEVLESFLEKRSKSD LPISRKMAVVWAVLRTKLGIQEQPKSPFIVDSSAKQDEKDQLKEFLDPVGEDVDLYIE QLQKLLGWSYGYEWSLKRVNKEIHALRRNEDYEGIIALWGRFKLQISSSDGKKLDVSE KNDILSMFLLTFKRFTTSSRTFDTQFTDVLNHCTKPYPRNITQALLALRARSDDKTIN VDAKVGQEVLSLNHEDTQQSRSGNDLENMKSMWKETKEKDLKMYMIYLDGLGRLGDLA GLKEAWTELVKDQRAKEIYIKEEKLDLSTPFPPTQALNQMISSCLLVPDGPAVALDLF AQAVSPTSSIPINLITINTILRHHARQGDLSSMSSLFTLSEKLSLKPDIITYTTLVQG LLRGGKIEIAKKVLEDMSQQGISPNERMFSMLISDLSKIGTVKSLSHAEELLNLMIKS KMRVNEVTWTGLISGYFKNGWIQNGWDTITRMERNGTRLNRIGYNICFKEYTTTGGQG GGGIMRLWNKMIKSGISPNSDSYLLVLTTLIHQRNWQDADKVLVEMNKRGFKAEKGAL QTIVDRVRNRR L199_007583 MPPPPTGVRPAISKPAPKPTKIRYFKGKAPDAPPSDSESDDDEE EQQQQQQIKKRHEATKIDKNYVAGGAGRVIMPGGGVKMELGSVKVGGTKMPLGKDGGV KEESSEEETDEESEEDAKPGVEDESSEYETDSEEESEPEPPKPVFRPVFKPKNARNTT AEKAAQEAEEAARREEEMREEKKLASKELAGETIRRELAEREAQTVEQTVDDTDGLDP TSEFDAWRARELSRLLRDKQAQAARDEEQAEIERRRAMPEEQRMAEDLEFANKTREKE KGQMGFLQKYYHKGAFHQDDDILQRDYTGATEHSVDMSMLPKVMQVRDFGKASRSKYT HLADQDTSQGGWGNTAKMGAGGVATTQTGCWNCGGPHLRKDCPNNNINDPGQASLTGG YGTSANTAQLGSGSKWGNNGDGYNDRDRDDRGGGRYRDEGRERRFDEERRRDRDDRDR DRDEYRDRDKDRDYGRDRYRDERRRERSYSPSNRKRDSRDDRDRDDRRRDERDKDRYR DRERERDRDRDKDRDRRR L199_007584 MSDLSQAIARFKNTSAQLDLLTRVESALEVAWPLRPRNSQDYFE ARKLLSDQQVYDHIRDASQYTHSVFRDHYFPRLTKDNSTIVERINRSMNLSNSALATQ RITYPEQLELTDLLEDEEVKTGIKQRDKTTYNKIMTGYGGGVKDIWQWTSRGGPPRID EDPSYGFRSTTTTRYLADGSVVRDWSANVALPTPDIVLASLSQTLRNLIGRADTQPST VTSQGRAADDKDESEGEYVDSQEYQDSVKD L199_007585 MFEVTSQVLYLHRLPKSFHPLPVSLHIVSAHHNWLESSMNTQAS KSDPSEFSETTKRASEVIAILTLGSQGWASQATEKEMSDLQRDLADPNVKEYIKSRAS DWYEYWVSLYGTANTPSEALENLKRGRGPHLTEMARSRLSGAWQSLCKFGSDISSGTA NELEEAANSEAPLRAARDLEEGNASRSDN L199_007586 MNQQQPSSNGSTYASTLTTRPGELSLTETEIYESFNQPDVIEAA MKRINAMADQLTDDGETVDALASDLGLCSPFSSQVDSQQSNTKGH L199_007587 MSNFLRTGRKIVAIGRNYADHAKELGNAVPKEPFFFLKPTSSYI SPGEGPVEIPKGVDMHHEVELGVVIGKNGRDISQKDAESYIAGYTLAIDLTARNVQNA VKAKGLPWSTAKGFDTFCPVGPFIPKSKIPDITQVGLHFTVNDILKQSGTPKDMIFPL PKLIEFVSGIMKLEEGDLILTGTPAGVGPIKAGEKFAAKLTYPGLEGEVLSEYAFEAV DRQGGYEFKA L199_007588 MGAEEGHSNALEDITFGSVAGMVAKVFEHPFDLVKVRLQSQPTD RPATFKGPWDCFNQTRRREGFLGLYRGLTAPLLGAACENATLFLCYNKWKDLILTVRP EIHGIGSGSQKGKARELTTAELAIAGGGAGFMASFVLTPIELIKCRMQVQRLAMEGTV PSTVSPLSTSPQTGSHPISSTPHIPSSSSSAGAASLARPVVKLQGPIALIGDVIRKNG VKGLWLGQTGTLFRETGGSAAWFATFEYTARLFISNHQKQPQNSEKVITKGDLAKWEL MVSGAMAGIAYTVSLFPADSIKSAIQTQAELNPNSTPPSFVQMARIIYRSRGIKGLYA GCGTTIAKSAPSSAMIFAIYETLESNFGGFLG L199_007589 MGIQARGLYQDDSPSSRSDSDTDDPPLAPTSDDENSHDLQDDSD ESSSDQEEPMSSSSSSSSSLDESESDDIIYEPFEDEGRTPRRIVRRKEQIVDCLECLG SILDKRGGVDLIERDLRIIEEKRSKVSLRSSNLNGNGIRMKGTGKGRSKMKTRNQKKV NPLSKVDTPWTRLVDLIRMMRVDGSAEKDPKEIRYCLGVLHHLIQQDILPLIQDRHYI HTLSGIESRTIRSLRSGNINLPKGFFQLISSDPSHLHLISQTPLFFLLRLIRYTLNPD QIKPNILDLSNLPYDNVNAFLHEVDFEGLFQVVGSSVEEVTHLDLSGNSINNEGLWGT AQSNFPEVRSMMPLPLPFPNIQVINLKNAPNLTNLPLSMVRLPKLRRIIANRHSPLWW SCEDTAKFLRHDAQAQNPLEMKVKLKKNQGNTDGGKIREGVSSLVEHCILSLLQLRYS THDREELVNYENTVEEMLPERYLGIYQNSYRCDRCFKINIVHNNEKKSGMETSIQEEM GWMMDNPHLPYTALERNSVTLKPVRVVGRCCGICKLQVARLGRVYRVNGISRD L199_007590 MPSSTSIESLLPPLKPESLQCIYRLQRYRPSVENRRYPKRRSAA VAVVLFVGRLGDLYVLLSTRAGDMRTYAHDTALPGGKYEEGDVDAEGTARREAYEEIG LPMDREKVRKLCELEKFITGNSLIVTPVILLITDHTLTPLLNPSEVRRLFSMPLSAFL HSRPSQIPSFHYRISHRISSIPHWVIDSIPPPPIVNYSMDDGEVGGKEGRFYQYRDIT WNGQGLVRMHRFLTGREGEGVRPVYGLTAAILIRSATIGYDQQPDFPVYAPGQKSMGE RIIYGIRSEEGPLRRAVESEGLWDDWKDDSQEEKKSVKSKL L199_007591 MSSSTARTTIFTLPTPSSDSSSESHTPPPTLREQIESSLKGTSD IQYPGEREEDRQWGYKRSVPTVVLYDEEGLRLYDKITSSAPEYYPFPDELHLLKQYGQ EIAQSMGFPCTKRHRRSPRDEMDNNDEVPDKPWKPAKWGDAALGKYNDGVNGEEGLAG ENAWRKEQKEGEGWDVVELGAGALRKTAHLLLALSSSINPSSDSDTAAPIRYHPLDLS EPELRRVLAEMEDGFGDQLKGKVECIGLHGVYEAGLQFIREGKLSSLNAKSEEGLGLQ DISSRDNDNFPTPPFALIDEKEDIAIPLKKDEETKEPSPLLSPESINIVTPRSEISCL PSEITESTPSCNTNDNDAGTWSPISSAEYDDHTQYDTRQAQGNSDNVTEVKRSFLHST STVDTEPSGRPLHLVFLGSSLGNFDRESAAPFLKSLPLRKGDTLLLGLDGRPTPGQEG SRKVEVAYNDPAGHTKRFEEHGWDVVKEELGLGEDNQVEFVGRYNETLGRHEAYFKSL DQQTIHLPSSDEDIVLEKDELLNIEWSYKYSISEALNLLSQANLRVVNSWKAPNSEYR LWVLERPEVIFGLNSSLSSKMALEVMDNAQHEDAVERAKGVPKWNDWLDLWKFWDHIT LQMIPKEMLHKKPIDLRHICLFYLGHIPTFLDIHLTRLTKGSHTEPEYFKTIFERGID PDVDDPTKCHDHSEVPMSEEDWPVLSEILAFRDRVRLRLKGIYDSLSSGRRPFSRHTG RVLFMTYEHEAMHAETLLYMLAQSEMTRPPTAVSTPQWDILAEQWNSDHQENKVITIE GGKVELGHRDLEAEDGEYPTIDGWETHEFGWDNEHPNIAKSIKGFKIDSLTISNSDYL SYLQSTGKFSGLSKETAPASWMYDETKGEWNVRSLYGPLSFEVAGRWPLMASKLEIED YAKYKKGRLPTEEELRMLNETEQGPRTIGEGVNTGVKNWHPTPPTNATTDNAGKIVPG HNGGVWEWTDTPFKGLDGFVPSVIYPGYSKDFFDNKHYVVLGGSYVTIPSIAGRKSFR NWYQANYRFSFVGARVAYDL L199_007592 MSRPRRSVVAQPEADRDSNVDPDYLALSAKQRRNIDRAFNRGIQ ILEARGRKRRKVQHSPAPKKNEQGGFVDDVEGGGFMVEDAGGEGGFVPDDEGGGVMSE DNDGGGFMMDDDDQAGGGFLPDDQEDQTSSTNTGKRIPLHLLPSLLSSLGLPSDEDVL AVFKASASGWEDDGEDNSRRTGKRGAGAEGEEEGGAGVELKDFRAVCAALMGPDDDNG DGEEDEDEDDFEIPSEESELSSLSGSEYGEDNDNISRTKGKNKSGNKVKSRDFAEIES ESQSPISKRKGRKGKNLDIDSSGRIKLSSRQKELAKDIWEMLKPPSSTRKGEGYVLGR DEVKKWVRELGEMWTDEEITEMVSLFSTQHEARGLSFDDFGGVMLRAGLV L199_007593 MASWHDAPYLHLSNSSYLDSLSNNTDPYTIYYGQEAVVSEKQVL IYGIINILGIVFLGLLVATILLSGTRVSNKISQIKIKRLSLATTTTKSSSTTERSTSR RRQATATTPIHRIQRDPCLINSFIVIILVSILNLLYWFASGGKIDSEEILYLPHARLC RAQAILQAGSQAAQVSVVLSVVLRLWLKTITLTRPYFDKFRGCFTLFFLLALPYLFVI GFMPSMIILTSNAKNPILIPTPFYCSLLDLHIRRSYQILTGVIAILTLIMELWVILLI LQHFNQTSRTTNLVNSSHGLKFKPKTIRLLNTSFYIRVSLFVFWTMGMIATSLYQAFD NTITDPNSDFFFASMGLIGFICFASQSDILRAWNIPTSTEEWSNLLHHSRLRKSDRNQ NQDEDVDTSIPQLPRWNRHRNRHGDLPPIAIGDGIHHQNPMRSQTQGVKELDLGEFLG EIRLDSELVTTSGEGGPSGSGTSPEQSGQTYELEEKEDLRHVDKVNDDTFPSSGMVIT LHGSVQVDQKSIAGRSDAGEMV L199_007594 MSKTPWLPLIEQQLKENPKSTTYAFSTLSEEGNPKVRFVIHRGI TPNALLLTTTDTRMSKPKHISHSPTVEIAWWIESTNVQFRITGKAVSIPTPSSSSIQE AIKTLGVEGLEEGSEKWWEDKRKELWGGVSGHLRAGFGRPTPGKKLDEVEGSDKWPET IPSESDKPDEQKTIDAAYDHFAIIAIAPEAGEYLELKPIPNRRTQWKRKEGGEWEETK VAP L199_007595 MTKLKVGWHREHFLSPLLQFAEQDKGDTFELVECPGGTGEMQVK LKNGEIDLCIALTDALIAGLANGQDSYKLVGRYIASPLRWAIITGKDSQYNTVDQLKG TTFGISRLGSGSQVMASVLSLNEGWTEDEQPKFKVNGQFKPLRDSVNSGETSVFLWEW FTTKPYVDSGEVRFIGSVYTPWPCWHIAASPSSSSSQISQFLTSLQPYVRKFNSAEAR EKDDVDFVTSYFGHQREDVVEWLKSVKWEEDLLQVKENVVRDTLRVLAKAGVVKPDAE SLDIGTFVNTDVAQIV L199_007596 MGRWDTSRTQDLSASASARRRTGRTNQSTFKRFVEVGRVVLVND GPSAGNLAVIVEIIDHNRALIDGPTTSVPRQAFPYRNLILTPYTLASLPRGAGNGAVK KAFEKSGVLEKWQASGWAKKLAARQQRKNASDFDRFQIHLAKKSRREDVRKAYIKEKK ASA L199_007597 MFPDLPEHLQTLNAIPGNTPPSAAMNPEQEEAFWGFLHADELFR NFGNVPSPTEQKQALPEDHSNYQSIAPVSAPAPTPIAQTPTNSNEKGSAPTLESFIAA YIGQSSSHGSAAAGAVASTSALPPNYLIPLPSPYTTNNSANIGQTPSSAPTPAGINTS DVLPGPGSASIFGDSPDDRVTGAKRLKQLGAGQVEIEEDKRRRNTEASARFRAKKKER EQALERRAKDLEAQLAALQAENTSLENENRLLKAIVLNGPSGQQLPASLTNSSTSSSG SNPPSLQAALASLTQKRKRED L199_007598 MPRRSPEKEQGESSIDIGESSSQGGDEPPDLNQMLVEIQRKVIT RYQKLQRTALTEAGKETKGIKEEYQLVKDTTHKKALNDIHNRLSQAINQLETTSTELY EKLSKLEEEVQKVVRGEIESWKESNEECMKVFEGCEKVLEKSVKGEKESYDILNSAIA SLDKDKKQE L199_007599 MPNSGITRLIVHPNDYPWGKVGKDSLAGRLTKNASEPGFEFKPD QPYAELWMGTHPTNPASLYSSPSILLSKHLESHPELLGSSSTKFNPPFTGKKGSGTEG QTEGHVPFLFKVLTCKQALPLQIHPNKELAKKLHEQDPEKYPDINHKPEIAVCLSPSF LGFASFRPYNQIISFLTKTPEIANLSGDIKTKIDQFAKNPAGDVLREVWEGFLRLSDD ESVVKQYTERVLKEGVEAFKDFSGEGFSDREKENLVKAVKLSKEYYHGDGGLFSTLFF LNLVELKKDEGIYVGADGPHAWLEGEIVELMAISDNVLNVGFTPDEDKDDPSLVSKTV TCQSKTPTELKLISQVFSKSQKGQSKVYKVPFEEFSILKISNDDILKPFDGPAVAIVL SGTWGISGEKAEEGSCWFIGAGTKVEFERKEGGEDAQVWIAFYDADAEKDEVGEK L199_007600 MFVLVGVRDTIPVAPKTFDIPPAITIQDAINRKYANKLVPDKGL ALSLFDILTAEDGKVTWGNGMMYYKVSFRLMLFAPFVGEVIVGKVLSTTKSYIRVSLG FFQDIYIVPSLLPPNSSYDPTQKKFFWVSPDDEGTLLTQEQLANSIVADRLYIDDGEP IRFRVDSIDWQDVRPTPQSALAESQGEMVVEKDPIEKAGFKILATIAESGLGVTSWWS QGEYQEEEGEEQVYEEGGEADMGEAKEEGI L199_007601 MADDGIELNFAVPASGVAVRQVAAKKGGRWTDRVKAKRDARDSY KNLKQTATNVPNPAPASAPVPFQPKAQPASATFVAPPKPRPQPAASEPQARSVSASVN AVAGPSRSQPSPKPSVSTIHKPRSSLPTPSVSRSHAPARSDAGPSRLPATFTPASSQP KAPQFISSLFTAEPLPAPASSSQPAQTVGAPSNAPLVSDTSTFAGLGMDPLLVRHLKN KMHVENPTGIQRSCLPYMLSSPLDPDQTEDTSKPLRDVLIQAQTGSGKTLSYLLPIIQ TLLPLSKLSYIDRSIGTLAIILAPTRELAQQISKVVEQLISMSLTLDEEDDRQFTRWL VSGLLTGGSTRTHEKARLRKGLPILVSTPGRLLDHLQNTSSFQCAKTMFLVLDEADRL MDLGFEETIKGILKALEGRRKNEINIEKEMDEEGGGGAMRWNFWNRGRTNILCSATVD PKVEKLSGMALRDPIVFRSNPEKSLSQDSLNNNRKDDAVKAAIEEAGAIVIPKESEDK FTPPSQLSQKYVVIPTKLRLVALVALLRSLIASAAKVDTEKGTKIIVFLSSTDSVDFH WKLLGGVQMGDNNASAGSDEEEEDEDGNESTDEVNGATTTKSRKTKKGKKPSSPESEL ITLSSPLFPNTTLHRLHGSLPLRTRMASLKSFAGPSSQPSVLFATSVASRGLDLPLVR AVIQYDLPTEGGANEYVHRVGRTARAGKGGEAWAFISQNEIDWVPWVENKMGNAEGEG KGGVKLQQVGVEDVLRKGFGGKGYEFENRATEVQLGFERWVLDGTQNAALARKAYSSF IRAYSTHPLEEKKFFHIKSIHLGHLAKSFALREAPGQLSSSSSSTTKNNTKVTSSATA PGKKRKHPSGEDDDGDDSEEEVRGGKELTARNETERRMYEAVRKQGRMIKSGGKLGEF AGSNKNKKQHMNPGGGGEFQVYGTSELERMVSGKK L199_007602 MQSSLDRNSPSSSSASASTSTTAYSTINPIDDSDGYTYSNSNSN SESLNNIELENPTTGNKTPSIFYRSLIQPIPIPLNLDLRRLLTQPRPISPSPTIPAQN QKQKQKQKQTIDNNNISGSGSIGSDLIKQRSTSTSPSPGIGTSRRSNTNTNMIETRQQ PDPWNGRMPTPPSTPNTGNSDNGDSGYSTILLIFIPVMVVVLTVLLGLVVFLVAVLYM RRRKGIRLTEDGGPLDLSKSDGVIGEGGVEGVESRWLETLDPDVKEAYKRAKDWQLQY PPASVPTDITLSQFLSIQEKGVSAWSFEPDYEDNLSLYVQSRTEITFLSDGPGMPARE GGGNSVMANLPLPKLNEVYYWEVKMYDKPPTTEVAIGLATKPYPSFRLPGWNKYSVAY FASDGFKSHNYPFTASSYGPGLNEGDVLGVGYRPRTGTVFFTRNGRKLDDAYTGLQRL NLFPTIGANGPCTIHVNLGQAGFVFIEANVKKWGLAPMVGTLAPPPAYGSERGSILLD AGYGTPHSSNNGTNLGGVNALLEAARSRGHGHGHQHQLARRNRTPRRSRQTTNNSVAG VGSIPAHPSPLREANSNARGNEAEPGPGPSTSNSRSRRTFGRHPSSSSDYVSPTDLPT NQTRVPPSDVHSEEDEFESASGSGSEDGLVGQRDREQSPIEHNPPTPNLLDISMHSLR NNGQYFGGRYGNHTEEDIEGEGEGSGSGSGSGESDSTARPSRLTLGNGSPPPPGYAPL DPHVYAAGLPGDLPEEMVNQAIAAMSGDSNITPAQIQAAAQAVTAQAQANAQAISAQA LAQAGGNSGNTNGNTSQGIFSWFRERI L199_007603 MDRTEIQSRLEQPVGLQFQCCLDPSDHQTLTLKRLSPSLSGCDY DLYDEGGKTLLKIRGSGLRPDYLNSYYGIENKEIFRVWTPPPLFCRAEAFRPHTTKDP VWKISNGRSKSRKKSKLYLNFLDVMSGQKKRLSMFRPGDEDEGRLEFVLDDGDDDDDD DEIREVVVARMRFEIKGNKQKVSLVNLQIASSLVRRKQYRVDISSNFDYSLVIAMAVS LTTFGYAALIQVDP L199_007604 MGRFTEYSVIGRTLPTETTPEPKLYRMRIFAPNEVVAKSRYWYY LRQLKKAKKANGEIVAINVIHEKKPLKVKNFAIWLRYDSRSGTHNMVKEFRALSRAEA VEAMYQDMAARHRARFRNVQILRVAEIEKKDDIRRPYIKQLLEPGLKFPLPHRRTKSK AWYAANRPVSGFYKPLTY L199_007605 MDVSSPERKSDAEFQQILDSFVGDKETVLTGNLPDLLVGYEKDH DTKILEESDFVGVRQLCERYPDLELGPTDLFAFLQAVLRRDTSTRSTSPPSPSPIPHS TSMPPSAFKAAQSPADQSASRRRRRHSDRIKSPSDSSSSSSSGEEDNTRPRSQRQTSA PPQSARAFPSNSNAAPAPPSGWQPIRKKTLSDPNRSDASLSSPLSSRIRGAPPSAYGG FARPSPASRRRRGSSGAAGQSFEEDKDRKSPEPSFVPRSTSSASFTSRTSWQSRPTSP SSQIDEVDHTSFHARAKSPATEDDDEPDHAEMDTFVPSGQHDEHEEGHDELDQIDMDI MGQGLLGIGGDALNPRLSRISTESTNSLRTSHDTVARLRKENTELLRKLKETEKSLAV QGAENERLYEDLQVRLEEAQSEIAQRRKDEKDMKGKDRAQLIQISGFEADIMSLQRSL ENAKMNHANMQKMYNSQCDEAQRLRDMLRDRDDEIRSLEENVQAHAADEEKFNRELQA LESEVKRLESDLSVARQAESHLDVQKQENLALKETIDRMRFDLDEARAQAANSAGASG YRAQPSGSSGGGTLSRNLGDELSRRLLDAQKLEEESDEGEVVETVVTTQRTRKKGTRT TGQAGTSLEEQPLIRIEEGIREYADASLQTDPIPELGPRPTTPIQQEAEAGPSRSHVH EHPPAYTAEPEPINKAELISQIHPKRPGEVVEYNEDVDDEYAFLTNALGMRCTVIEEQ MDAQRAEREKRGVLASPRSRNRSYWSEQRKQYKSGIVNYIFYNTDNSVRDQVGKVAMC VVAAFAVGLVAGSHIYATPSGINPRDYQLFAQMNTLAGAAGVGEGFLPMGMLGVVEQG ARMIAGNGRIPT L199_007606 MSYFIPSISRRTHSMDIGRIALADQVTGSDNDVWISIVNVVILC LTILGSGMILISMFVLERIRGRPGTTRTRIVQALIVSDFLLGIVGLISSCLFLAGDGS AIAHGTVSCDGLGFLLTAILWTEHGWTLILAFATFMILIYPLHWFTLWMEQRWYFLWA FVWVVSIAVAILGYELFGFYPSGGTCFYGANAGLYSELMQFIPRCVVCIVITVLYARL YVFLKRPDKIRLPGSNSATGGPYETVSSTSNKDKGDFRERFGSLISNGPFRRKRGSSG EVLSVLPEGQSNISSSSSTDPPPVIKEKEKEPTRRNSGFRRPSFSPTKEVPPWEKLEL PAFQVDGERFGGPSPSSIPSGSIWNGWRSGRKRSSTTTVSTNVHSPSSRLNSISNSVK HQNQKEETNLRGTPPGLYVPRMPSIPSEDHVDPRNANRNQDTTQSQHKRESTDDTYIA QSSIVSGSALTGAGEKKRKKSVQLPSSPKSTISSIENGNKHGSGQSPKLDSRQRPSIT ISESIELSPGGLQVADQARQDGSEPRQTRSSVQPLPKITLPPRSDNPWSTLGSGVGGG GRRSTPTTPTFSQQNFQYTASSTPGTPGASGIPAQSSRRMSKNDRDRTDTQGDNTEDD EEWDLARMLAQPPPGSHTADDRFAPRQSTTNNGESFELVPESMSSYLNRKTALLMLWF PLGYLFLFSVSLIRLIYDFAGHPPVGLRAISKWMILAQGVLDAIIYGVVEWHTKRVVR KKVRKGTFSPRHAAGSGGGRGGTPVGSKLGFNELLKNIGSKVTGTASAPGATGIQSKN DSGSGQEMKNLSSFHRQNENQTSSFHEPQPQSKNPQSRSLPTTSTGTGTGWNHEFSMG SMGSIREGIPTSPNGIPMLEEQIHTIRVDDERRGSESPLGGASSPKL L199_007607 MTVQIAPDSSIPNGHHKSSSDVLQHIPPKSLEGLSEESLSCLRN LADYPTPPTTDFGLVKQAAVLVALFQKEGDDKLHVLLTTRAKTMRRHPSQTALPGGKV DPEDPDVIHTARREAFEEVGLPLDHPDIHHLTILEPVMTILPLNSHMKNHIVVTPVVC FISSASILNDLIPNPDEVDAIFTHPLKGCLTGILDGTDSEGLVEVGGEWWPHEEEYHS TNDRIGTTGEYRMHRFRTTHSPIKGLTSDVLIHTSTIAYAQPTTYSRYALNQSHFPIL ISNVVRDLPFHIDKSVNSGPVEWGGTEGERFKSSETWAAGNA L199_007608 MFRPSTRHNIPSSSTNPALEVKVNKRQSGIGANPAGPSTRYPYR LNFYETPPTLDITLEEFETFAISRLRVLSQIEALSHRSLPYAQVQSSITNYTKTHLNL SSNTARNVNLDEERRRDEIGHWVLRLSFCRSPDLRARFVRSEVALFKNRFETDDVNER SSFLKSLQFDWQVVDENEKMRYEKELKLCMWEKKDEAFKNESWFKVPWHTVPDLVGSR KVYIRAGMAYVPQSLQISLVLQAFASRLEKALELTAKNLPRLDEDDRLGPVIDHLASS FLSGVASSDYQSSDSAAAQGVVTAEMVDDVARKHFPPCMRNLYDRLKRDHHLKHFGRL QLGLFLKGIGLPLDEAVVFWRRMYGATMSDDKFNKEYKYNIRHSYGQEGKRTNYPPKS CQQILTQNQPGTQDSHGCPFRHFSPDNLSAFLSSTYPQIDRGSSEMRDILDSVKATHY HVACTRVFELTHGIKKGEGLGGSESVAHPNKWTDRSRELEREVVERIKKKENSDEMVI DQ L199_007609 MSPYARSEETPSNISSTPQSTHLATFSPQSPLLETPHLDHPLGH SRLTQGDGEQREDIEELLDIDHSTSYHNENAGELYDTTSLTSNDIHQDPILSDLAAKQ NESLSPFKAIPAPTSTTRAGLGPRMTKSAASRAGLNWDEIKPRRTIEENIEGEEGGSI SGTPGYKRVGLGITVPSLAQPSITPRPTKASQLRLRSDNTTTPSSPSFNSPSKISRGH HRTLSVPVSIGATVASLNGPTILPRQNRTSALRAAGEKGNAGYRDYEKVQQEKAAQKV KEQIALENKERAKREREERRKSLAIGLTSLNEPSVQVRQNKTSNLRAMGEKGTEGYRE YESVQLDKLAQKEKELQAIENREKAKQERIERRKTPALGGGLASLNEPDLVVRQNKTS AMRANGEKGNEGYRDYEKIQEERKSKEEMERLAIENKQRAKMEREERRKTLGVMPHSL SKPVITPRPNKTSLLRTNSSKSISSLHSVRSSLPTSAPRSHSRPATSHSLTRTISALK VSSADNLSSSEVQPKPKPKSLGSGGGLKSLGKPSITPRLNRTALLRTPQSNLSSSRKP TLPTSSSTPSIHRKINSISASTPKPSIDLMVGVSPRPTKASLLRANVGMNNKISPPTP ATATSS L199_007610 MNPFATSDNNNNTNGPPPDHEPTASTSIDLTGDDSGDDESTRVI NRKGESGIASSALTSSPSSDHNTQHNRNTAGRSHGPFSSFTTSSAHPFHPRSQPSSSS SSSSPAFDSNGISYTGSNINMTTPTPIAGPSNPSYPNNGFGQYANPNIPPRQISSSST VTGWPPNSGNAFINPSSSFNQPQPQPQSHQIGVNGIPNTSGKDASSAIDLTSRNIPSP PPQSNDKRPICIGALWSQAIMLYPCPAVIVGAQSPPESREKYDVVNYKGAELLRVKLK HRAAGTPARKGEPNNLLIRDTIQVLTPGLTTFVGDLDAAAADPLGSLMQRGLVRLEGF VQRVQPEVHHFAVRINVLLFTLPSNIQYIANMLATLSLYLQDPVPPYEPSRHSEQPRY ENAHGGGHFAAQMAIYAQRRAMMSGSGYGMVFADKEREKATQVEVQRKQVDEVFKSLD NGGELEQSDPGPLIKTNLFPHQRRALTFFLLREQDSSCLKQAKKNAKKVLKKVKSESS ADATTADEVEKSKEKEKEKEKADDPRSLWEGIRDEKGKVRSWKNKITNEEIRARKGER PDDSKGAILADDMGLGKTLSVVSLIAATRSSAHDWAKSKIEKFESTPAESERDDSDVI SSFKTKVFGMPDIDAELTDNKGKKRKRDADISKALSARRSRIAVKSKGTLLVCPMSTI SNWEDQIKEHWNGPVEIVGGSAGVMPPKVIERKWRPPKADGEDSSDDELLEDFDVLKV YIYHGPSRRPDPQFISEFDVVITSYNTLANEFSKQSGNETETNTPSETAENSGEEAEM TADASLNGRPTKPEVEAEIKAMEVAARMKSTKKGKGKPKVVITETSPLQAIDWFRVVL DEAHYIKTASTVASQAACAVEADRRVALTGTPIQNKIEDVWALFKFLRVSPVDDKDVF TQYISSPCKYGEQIGVARLQLVMRCCTLRRTKDSTAEDGKKILNLPPRKEVQLWLELR EDERKVYDERASAAKDRVGELKRKNELGKNYANMLQEVLRLRQICNHVDLAMSGSVEE DYDGTIMDYQVAVQGIDRNGLNQARAVAVCCYLKEGAGALCSGCGVDFGDYFPDIGLG GVEEFATKDEKSKGKKFTSKPVLTKCLHLYCPGCFKSQVYSDYTKKLKSTVARACGAC NAMLRLPSDIIEVTPPDTEASNEVITDQPKRAARKKYVRPPGEKPNLSTKMQYLHDEL LTLSKRNPNSPHYDPFAGDDEDGIEELDNEGKPLVTKSVVFSQWTTMLDRIADMLDEA NIRYARLDGTMTREERSRAIDALKYKKQVEILLVSTRAGGVGLNLTVASRCYLVDPYW NPSVESQAIDRIHRMGQTRPVLAVKLMIKDSIEEKLDKIQKKKANLAQLSLKNMTRKE LMAQKTEELADLFS L199_007611 MATSPQGQLNTGSNTSDRRSTAPSSSQISEPEPVSVNTEDNDLS CGSGTARACPVCYHTCPSVPSESESRPNRYAVSEGLSETLEGSINAVKSLLHSFERKV GTEDMKGSEVEQADQVGTVDEDVCRPKVQIQFRRRNPHEPPNDSHEDEGSSNGRS L199_007612 MNQHPFSLQIRQHQYSTEEYLPDIPSSCFPTIPISPPAPHRRAP KPPVYSPRRNRARHINMKEPAQLGLFTVLEFEEDVHKMMAVEESRDLNIMYSLPSAAP SSEIFPSPSPSPSPSHGRSSPVNVPRDIDEDMEMDEKLNRLSWSSKGSLASQATSSLT EGESEGFLVSTPLLENEDPFGWSSIPLHDVSDSFEPDIEMTEHTIGGHLFNTQSQSRP TITLAESIKSGSTRRPPPLPLTLSTRFLTPTSNRTPTSANMLSAISNASTVDSDLIIT PKTANTPGLLPALPILSSIEWASKVYSQTTPTKSKKQQRKRTKRKSPDDTCDLAMALE DLLTSCGEKFELNSSSSSSCSESESEFSGPVSVTNTSESDNENENRSGFESRSLRFPL PPIRSPKTPSPTRKSFIGNGRPLTPYAPKKDRRSSSTTRGTRDSPLALKGDHSFLNSL SMGTDHCLREDKKYRSSGSSSGSSIGSDSSRKSLSGRKSLPMEWMKLES L199_007613 MSFLFHTTTPSYASEQLSSHLSSLSTLPISTPPSSLTATTATAA SSRKPNHKLENALNELSSTKHVSKDKLIQVLQDLSRFHEQDGHVGTAQSEAETAVDEE VEVEILGRAITIIWKETLQVLLDNALRLDEERGWWEASLNSRRGVGVYLLQTMPHRIY AALPPRSKLSRPELRSFKLPPRELLFKPLRTKTSLAITSITSPYNLTRREMLSSKNEL TQKRDELAEKIGILASQGPKWQTHINTSGVSDVKDEIERIFSTLSKVLDSPTPATLSS TKSLSISSTSPILLNLLQDQIPKQRSSIDSILSVHSRPSPLTRLWFPLLFLPPTLYIV SSAIVRNKEWMKEQVKNAKETVRGFFVSWVWEPLEGIAKTLRGGGEGLGVAPTTVKSD QESLERMVLDLGRDYYHLSGPELDALSVKIRSGDMEEVLRVYEKELQSPVKNALMGSL IRTLLIQVQKTKTDLSLSLLSLDHLLRSQQLTFAFVGLAPSLLVLYGLGGWLRGVWRG EKRGKGRKKQYFNGLRSIERLLITSPKAVDDMSDRDRGLLIISVSNLRTFATGLPGSS REPFMDDLRMIENPSLKRGDKLRVVERIWRCWGVEGNRKV L199_007614 MASSSSSPTSYLTRSKSTSISSAEASSSTPPDVVSPPEVKKKKL VGWQHSAAGSVGGMAGAIVTSPFDVVKTRLQSDLFRHTASEPIKRAITTTQKDAARSG VRGTLYQFVDTVYLIRRIGVEEGWKALYKGLGPSLVGIIPARAINFYFYPTSKAYLAK QFPNAPVEQAGQTSEDSPVIHLSAAVIAGIMTATGTNPIWVVKTRLQLSAKRKQNGLP PSSSIVPPSPASTSASPLPGPIAKSAAALAKTAPAATSAAATPTAMPNAFSMTMDIIR KEGITGLYRGLSASYLGVSEGVIQWVLYERFKRLTSSTSFDSSNQSVLSYMGSIVGAS GGAKAVASLITYPHEVIRTRLRQPAINGIVKYTGLVQTLKLVIKEEGAASLYGGLTAH LFRVVPNAACMFLIYELVAGKLGS L199_007615 MPSSEIQRDPKSTSNTPKRVIGYDPADPPCKLFSITQYQCTPLG GRVTCWPLERIFRQCGEGKPSIEVTNRLKSKRDEEALVVDPKFIENPPKARNWNDYRG A L199_007616 MSAKPSSPYSMLPTSHSTRWGLDGLVVILSTVAVAVSAPRFSAE NEMYTGHPGDVRGAVVVLGSGGLIGTSVICLLRLITRILPRIWAHYVDRLGFTYVALM WICWTSTTMSFTLFTIDSSLCQYTLSHLDNLPTCPILSFDFTLLHLLSILTFGQVLNY LSIALRSESDSDSSKGDNDRFVMWELAINSPPSSPGKRPRTLRNTHGQSNLGAGGGYG STSTSAAAVTMERENDSTSEIDIIPQEPYVTPIDKQKRRDKFSRGRLWTYIPLNLCSL VVVCTSFASIKVGEFTSSGIFVLVTAFGGIFLSLGCLITHFSQKTNQPDEEGFSTFRD HSKLRKDRIFEVVLAGILFLIWPISAILYTLFPPTPYQPCSNPSASAAPSPGEDWNID PFPLCQLGKTVVSLSWIASWILLTRLMGLIFPITLLDNHKPPRQGEGAGENEALLNGH SNALNIDAGGQTSQTGGSNAKPKVKKGWQRVTAGEEFELGSEDEDEDE L199_007617 MSRNLLIYLFALSSLSSSSVAFRHGQNQLRDLYAYPKYEIQFLN DLPLSRSDAERCQTLGLDGEGDWLKAKAGEGRRRLGDGNEVGKDHLELIPMNFAHPSE PSGTPYPYLCLMPSRNTTTSQTDLIDKLDQVEEVEDELDPVQGWQALSHLDGKCLFTK QGWFTYSYCHNSHIRQFHAAPHPHPHPPGGLVPTEDTHFDAYTLGQVSPGARQRFAAG QHQPQPVSSAGGEAKQKDLQIPNSSAQKSNALPSISIGSSSSSRSRYLVQKWSYGTRC DKTGKPREVEVQIHCSMTTSDIIYMIKELSICQYVLIIHSPYLCGLPGFKNHNDHLDE IRPAPIRCRQVVDDQDWLEWEKENQQGQNQKQKQKQQQAGLGLDEIEDKHQSQGQQLQ LPYGKRPTGADTPPSEKDGQGIKHHFGLQGPNEKFPNGILNENGNGDEEIEIVFEGSD VEDESLRNMLKQALDLLGKKTLLGKSKTADTNKQEEEEEEEEEIKGEQVIFYSWEEGD EDDEQGPILLNADLVVLDDDDSEGEGEGEGVKEKARVQLGNKEKDVLEKVVRDFLSQK KDKDTKREKKDRNKDEL L199_007618 MSHIPPPPSFLPAPPKFNAFADSNNNNNQAYHPQAGGSGSVSGS GVNDNYGGGQQRDMYADRNNNNNNNRRKGRGGGGGGRRGGGGGGGRGGDRERSPDRGG RKRHVETRSVEDRIQAERVCRTLFVRNVSYEADSDALQHSFSTYGEIKTWYDRIKERG IIFVTYFDLRAAQRARDAMHSLKAGDRPIDVHYSLPRDKDLIGDCDREKNQGSILVFV HPPRVINEYELGRMCEQFGDVKTIKPGREPAEKIVEYYDSRGSALFYDKMSNQPFQGG TLELKFIWDEKEDALPPPPIAERGSTNHPYRSGEGPGYGEVRGGRHSQPPPPPAHGAR DPRARSPIRGGDRRSSYGSNPNPPGPGRYGDGPPPVPPGEDRLEQARKVQQLLANLGG PNPSGPPAPPPQGGFPARGPMPPPPSTMNGPPPYSPRDTGYGPGPGPGPPPSGNYRPG PPPPVSQGGNNNYPLYPPPPQQSYSNPNPAPSSYPSSGTPGNGYNPLPPPPSQNPYNV PPPIQGSYGNPPPPVPAIQSPYNPSTPAPQNYPPYPPASNVGRGYPPPPGQGPDQGQR YGQSSGGYGGYPPPPAPNPNHNPGGSVPGQAKDVGSLLAMLVSSVLSKIEVDRMLIYH DV L199_007619 MSFGRPGFADTFKVSPPQRGSFPLDHDGECKEFMLSYLKCLKLH SNDNGRCRLQSKKYLECRMDNGLMTRDDFSNLGLGDVDNPNPTAPKAEATTSISSSSS PSSHQNTPSSSTQQERI L199_007620 MSFTLSPTSISNFSPTPSTPSRFPSPAPAPSPSSPSSQNGLLIP TDRPLEELETKWLRKLGNLKVEKEVRLQGYALYSLRSWFLSRTHFSHTIVTQTGKPTE QISAYLLVPAPTLSQQEGAFEIINAIRFLAAETQSTARRTEYGTLLVTTPAAFGQEVN PVPGGDFRVAKPYITVNTGLRRLGCGGRAVIGMESPIPALRRKFHELYRIPQPAHSTV PLSRNTSPTTSPTNKQALSTSPLSESHSQNHDITSDPFTFLVIELVKLIQASLALWGL YGHVRGDLEIDGLFCDETKAGIFRWRRIMGMEHEESLKLEKETSGGCIDPKTLAALLS SVTSVHYELDALDVEKLPKDPFTSIKRFLNTWAAYQTCTATSLDMPHYLTIHSIRSLA QHYLADRTRHPGDALKVHKLLFNGVAQATSSISANLKGGTTEDTPIRKREHHLRFKGD DENDGEGVGLIIPEGDVGPVAPPDVITTDLEAYIKGILRSREKDWDIMGARRVAELWN GTVAEGLENDKPKRKGRMGSMNSSTGSLRDPNRGVLKKRTQSRDFHPYGNNGGIKEDD EGDNIKDAIKEISGRAGQAIKGGFGLVSRRGTTYDTSDSETGGPGPTTLKSAIMRKRQ STVPLLIEPDLEEVDEQLDRGQSASPSPSTSTRPLDRSWNTSSRPSFLTANSRAQSKR PSIITQVSGNESDIWSRTSLAAGLSPTDERSDMEFSNRNSPVGGHSRTPSGQLASYGN TRPAIVRTPSDRALAWRNRGRATAMLRTASDGADVCIEETGMEWEVMNPHGTGKRGGE DLGEKVLELTRRHSLEQVDFYKDMRRVEPEHLQIDVEMCAVVLDLRERERQLAQRAKD VKLLEESVFRTLSQFVTALKSRRSYVDQLSSTSSAIRTQIEALDVDDEHDHENSPRDR FHYYLSEETHRPELLDDLSRLKEMWEGIRKEQEEKRKIAESDDLSGRLGVGRKGKGRW WSWF L199_007621 MRPSALLAVLPLLATTPLTLARPTYDDVPQVRERKSLSFGPVHK HHSFQVIDEPPVAVSALLNEPVDYKDVASRFIAKRVGPEGEAFYIREDSYTDASTGVT RIFAKQLINGLEVSDGDLNINIDSNGRVLSWGNSFHPGELPNLHDALGGTSGETERTC QILQDTYDAHLDHLSGLKGEEGAWGLVKSAAQVILGGSYSSKDHSTDEHAIKKVHKSM RNVRHHQKALCQQPIRETSSGILSPVEGLLTLLPRIHASSDDFQGVSEMDLSSITKHN LKPKDAPAEPPTEVISGPGLDKSGVVSEVPARLMYTQVSEGAPRLVWNYVVEMKDSWY EAYVDVKTGELLRIVDWATDFDFDFEPYNTQGHKEVEVKKGGHQKPLPNPHKYAPYSY QVFPWGVNDPSVGNLTVVTKPWDNVASPLGWHKFPSSANPYETPIDGMHVHTNYTVFK TTAGNNVYAHEDWEGRNNFLHNYRPIANDTIFVYDYLEPEGVRPKDYVEMAVTQLFYT SNMYHDLLHRLGFDELSGNFQVYNFEKGGKGGDPVICNAQDGSGYNNANFMTPPDGEA PRMRMYVWDTATPYRDGDIESGIVIHEYSHGLSTRLTGGPANSGCLGWGEAGGMGEGW GDAVASLIRQIEEHKNFKNNSDVYPMGAWAANSAGGIRHYPYTTDMDLNPSTYKFLNK GNYWGVHAIGEVWSAILFHVSSRLVDKHGFGNTLFPPEDLTKDNDYYTKTSLESVDSA GRPRPLIPKHGNTLLLQLVIDGMKIQPCRPTFFDARDAIIQADQIRTGGDNYCDLWSA FAERGLGEDARLDGSTPWGGGIRVDGFKLPKKCRKSHFE L199_007622 MVKKKGTGTAGGRGISIGPNPNPDQDIKSPHSSKSTKKKNKSNT NKDNTHTSKSKTLNSNIFMMLNALVAFGILGSAVYAQKDKEGIRGLMKRNNTGSGSSG APRYAQVIRPSSFAVLDQVPSPVDHNYTTLFYPPGTDENSLKEKPFLIFDDEFYDIIG SDPTLTVIADGGTNPLFHEATVWYPPTDEVFFVQNAGAPAAGTGLEKSAIVQKISLAQ AQNVSASDNGGSVDVITVNTSVPVINPNGATNFRGKIVFTGEGQGDNVPPALYLVDPN EPYDTTVILNNYYGRQFSSLNDVAVNPRNKQLYFTDVTYGYLQDFRPAPVLPNQVYRF NVDTGALGVVADGFNLPNGITFSPDGKYAYVADTGANAGFWGWNYTNPSTLYRFDVND DGTLDNRNTFAYIDVGVPDGVHCDSKGNVYAGVGDGISVWNQAGTLLGKIWLGTTSAN FQFAGKGRMVICAETKLYYVTLGAEGADITSSQYSG L199_007623 MCHESPTAQFLGALATILCDVFLIWHLWKYDKFRCMIFNKRSAF RFIIVWMFIISALLFTAWNVILVWVKYTEYYAVVPISEHESEIMPVPFQLWEKSKQDY VRLSYQLLCVGWGIVLAIHSEETLYWAYLINAIRKRDNRNWFRSIWFKLWVLISVTVI TPIYSWLDRSLHVCCSS L199_007624 MILLPRNTHLEDPSKTANVFIRQARPSHRRDMRILPSSSTIGSH KDDARDIYHRDVETAQRKSNPWGALGERLNIEKTRLSGLDEMESGGAVAIALESDMHR ERNRTMESLNSRGDAPFAPTGGERMLRPDESLDESPEVLSNFRSPADLARPVRPTEVN IVVTHSQVIEKA L199_007625 MSFRAAFLPTLRGSLPGPSAQVTRARSSQLVTKRFSTATHAHGR THGYSHSHGHAESTPRQRSGPGAFRRWATRFALALAFPAVYVAGAAFPPQLVLFIFPR YAPPPPHKDSPRGKSHTSDMESCIHELNIVEDMRKKVGEGEWYETRPYQNYDPNKVHN SLTAGSLRGPGMLAIAPVLFAKTDESEAIAVIHLGRALCGHDGIIHGGLLATVFDETL ARNALLNLPSNIGVTANLNINYRSPCMADQFVIVHTKLESIKGRKSVVSGYMETTSGE RIADATGLFIEPKWAQFLASSGVTEAMGTRKIPDPSKSPMLLDDQTEHII L199_007626 MVALNLTSLGRTSGKKFISSEDTSAPGLSHNHKPTHFPQTPYIH SKLDDNSSVKRDPFARNEGLQHSSIYNTVTNSSSPNNNHTVNNQPTTSSGSGHRHKRN ESEFSLDRQVVDIVADYVKPESDKELSSSQNTTRKTHNSVYREHKNSDFLSAGAPLSP VPSERPTPSRASSFNDQSSAPPSPLIAPSILSQESTSTNFHQSKTANMRGSNIRRGGS KTSTKTTVPYGPGMLEKMEELDELKGLRNDSMPHILSSFDRTFNQSVTSVGSRTKADK MLGIDLNAKLASLYLVSGLGKSTAQWSFADSDSSRGVQPLEDSMGLFWRPEMLGSSFS GEKTEETSRARKDSKSSTFTNGLSKDIRGKYVPDAGPDGAQRLVSKSIKFAHPRDVEV VNSTLSPPTTCHAFTFTIPRHDTLAAIARTRLDSAVSGAPNTLSMLSAIDEHGNFDPS LHLQARGNPNVRNSNSASATELTFYGVTLTVWTHADRDRAVQLKSIKMRAERAKLGQG SLNSINPLAQHQRKSSTAPSEGKKGGTKRGSLQYMMGRNQSEGDITGTSETETGMSDS DLEGPLGRRAMMRNTMNSDRLSTVDSVPEDVAAAYDEASDIFWMPYAITLVSRFPIYD FLQDYLRLSWARFSKNAKIHMTQINRLLNYDPPRPGEAFKLPVGEKSEDEVVVEGHMP GGLMDFDKGLMKVDFQLWPLFQAVELDHIITAAEVALSNSGRIIFCSKHPAMLNVAVS TLKYIVELRGWDGITMPMIHARDTTFVIEDPGPYIIGMPTESDDFSSLSCKSPPVGAI TPRPKREKIKQKLLSTLGHSYPMDRSIPMEFKVSYPKGNFRNFNRFTCKGERPHYLGE RLKAPSWWRHEAIISVFDKILADKHKKPTLIQRLMKSGMARPQAQLSLGEQLAKAMMR RRALHYVETRDDLELKVAKINKRLLKLIQEGDHWKKQFEMFEKERREAKRLSNLANEQ TKQNVELEEKLKNTEDARAEAMRQLSDMHQSIQELEREREDIMNSLEAQINGALAGLP SSFTPLNGAGSDTSSRPGTPNTNVNVNEASSIRSSLKGSTRSRAAIAHSMISIQSKPM SVLGQVKGNGMDSKLNKRASVVTSATVETETGRELGLGLPTGSLSGNDLIAQRVASIQ AKLELALNVVSSQRSSSVMTSSSIPTDSEVESESGNETDTEGNTTIIGTRKYQSKDTE EEEEDAEITDKDINKTPTASRRPSNADFPFTATTTKDTEVVPPLPAPSNRKSGGSEEF LSANESFPNPDPPMAINPRSPIRPIDAGVGKTKNTTSSASAPTPIIMKPNSRAPAKTS PKKKVNGTRNVTPPSPAADDSDASDITAHPQTQRKVINGKKGYNYDDEEEAFDKGGKR LSAMSSTTIAFGQAV L199_007627 MVNATYVETSQDVLYYADDGTPYIYNLGDMAWVLASTALVWLMI PGVGLFYSGLLRRKNALSMIFLSVAGVAVGSFQWFFWGYSLAFSDTGSKFIGDLRYFC LKGVLADPSAGSSRIPALLFCVYQCMFCLITGVLAIGGFAERSKIGPVMVFLFCWLTL VYCPIANWTWNPNGWSFIMGGLDFAGGTPVHISSGTASLAIAIYLGKRRGYGTERLAY KPHNTAFVILGTVFLWFGWFGFNGGSALSANLRAVQACIVTNLAASVGGITWMLLDWR LERKFSAVGFCSGAISGLVGITPAAGFVGSPAAVAIGFVAGVACNFATKLKFLVGVDE TLDVFASHGIGGMVGCVMTGLFAQASVAGFDGITEIPGGWFDHNYIQLGYQIADMISG FAYSLVMTTIICWILHFIPGLRLRASEEAEIVGIDDAYLGEFAYDYVGTDPELRLHRI DSRPRLTSGDVIAEAPHSPDGNSSHEKVPHGAVGNAAAGGGRVDV L199_007628 MTTATTNTPALPPNAGLERRPSESPTVGSSTFSTFKEKMSRALS PNRDAHAGLAGGGVGRRQSFGTAIPCGKGFG L199_007629 MSMADERPGRAIYSTGRGGAGNLMKSPTRGQDLDAQPGAERGRE LSPHPIDEKITHSGRGGAGNIHRSTSRSRTREQEKKDVEEALLQEKLVAERRGRQAFE GGFSTGRGGAGNIERSKSRSRSAIRGGSASVAGKDDSTSLAPTVSHKTGVHGTGRGGF GNIQEERDKGDANSLELEKEEARKKYEQDVIARHQAEEANQPYTSGKGGAGNLHSHDA NHPDLANLSLEEREAHARLHAQDGKHYVNTGRGGAGNMIPPHKEHSPAPDGDRGRGRS ADGNKHGVLGNVLRSISRATGRERSADGRRKD L199_007630 MLFKITVLGDGGVGKTALTVQFTMSSFVETYDPTIEDCYRKQWV VDDQPCLLEVLDTAGQEEYTALRDQWIRDGEGFLIVYSITSRATFERIERIVERVLRV KDENHISPYSHHYPQSHPYGSHAGQGQYQYPQSGGGGGSKRIPIVIVGNKKDQYHSRE VSTDEGSNLARSLGCDFYEASAKTNSNVENAFKSLVRQIKINKRGGTGQGGGLQDSGI GGGGRKKKQKCVIL L199_007631 MSRTTSFKLVLLGESAVGKSSLVLRFVRNEFSDFRESTIAAFLT QTVSLDENTSIKFEIWDTAGQERYKSLAPIYFRNSNAAVIVYDITQASFEKAKSWVRE LQRQADPSIVIMLVGNKLDMESQRKTPREMGERFAQEEGLLFAEASAKSGEGVEDLFM EIAKKLPLAPTPQRTSQSGPRGVKVAGEQEESSTPSACTC L199_007632 MSATRSSRPTLLFITSPEAGQANCHFAVISSLKAKHGDAVDIHL ASYINLEKRIANQSGSEDEFVSFHRIKGISLTDGLMRRFSNNEPKMFGYARTPSGIYG SILSAIRTTSIVQPDTPEEYVETARDVERIIQDVNPDYILVDSLMSSARDAIRKSGKK AILLTPNTAKEAALSEQGLGVFAIPAVCTAYKFPIPWYLLPANTFITLFFAIWLFLID GKHKKLNKARNQAGYKGVLPLFDRDSISETSVICMSTVGAELPLIIPDWVKCCGPIIQ KSERLQEVDPELDEWCSLRPTVLISLGTNLKYSKKDANEMLRSLRVLLGIRKDIQALW KLKKLGEYDLPEIAGDEDGDGDRLRIVDWLEADPFSILQSGNVVCFVSHGGSNSYHEA LYAGITQVILPAWADCYDFTARLRYLGIGAWGNPKACPGCSEPEFTKALMQVIGRTPE DVAAKTMRERARELGRLVTDNYTREGRDVAADHIWAEIERARSET L199_007633 MRFALEDSSDDESISSLDYSISSDDELDLTPSRNPRSTLGRNIL SAYETSEDELNSEDEVNLGLDDEWDVVKTKAREGPSWREKKEKSVKKDIKGKGVARSK ITSTLSYKVLTPKKYIPISRKSEDLTDEREDQYDLWLKTADQEAWRDGQKQATERRGQ IRNIASNARARLQSQEQDRLAKEAEELRMMMEGMTMKLHKEGEELERQFKEREKKLWD DIDAAIKEVERREAELAAATMAAVQRQKAEEEARRAQAEKLALAHKAEEDRKAKEQVE KERQAQIAKEQEERRQLAEKEEEETKAKVAAEKDRAGGEWRKWVEKQKWMKFNVIEPV KGDRSTKTTLRAGMRLMTRGLGQVVNTQESIVRVTNDLHAILTEQLPSPPSPASPVIL NDDTSKPYAYLLSHLSKALIKQAESEVNAKADAAFPLARIVFGLILRGHAALGEVLFA RFVKKCPWVIPYYPTRQTNQPREEYEKSTGRGSDESLAEYISRMAGICTLYFAVLQTP LSSLIPTLSALPPTPSQLESLIVPPLRFPSAWTWLALALKDAFPALPPVAHLISTWIE IVAHEAVNRYGKGQMSKIWEAIEREGLGEGKIKGDSEAARQRLKLVLEKWRRGEVEVP KGRVWE L199_007634 MAQPTTSLPSGPLPTSPSTHQQQNLTSIVSHTTSLSRNRSTRQS HVRSESSISEDPELPRPPRPRSPPAPEDNAPPPKEEVTTLRLAAHYAGLVLASILGCL IRLGLNGLGTYDGAIIYPLIWSQAVGSGIMGLSLARKNEIISIYPPVYTFLTTGIAGS VTTFSSWMLEGYLAFSNFDKYDRKGLHDTVDGVIYSLSTFAIAIAFLRFGEHFSGILP SLSLLRRPSKAPLPDRLPAQAQARKSNLNSNEEDSSSPSPSSGSNADPEKTSSPSSSP IKPLSQTPFLDILWISTAFFSYLIILLLYFLAKPSWRHNVTFPLLLSPPGTIIRFYFS RFNTRPKFIDRFPLGTFIVNISASIIISICFALQRLPLSQTQAVKCNALNSIQQGFCG CLSTVSTFVVEARTIKSPIHKWIYIGGSVILGHLMVLAIVGGIGWDYGYVDVCKG L199_007635 MQQQPPQPGLDDWQSQQNDGSSTGWYPGNEYDSDWNQMQQQQHQ QTNPLQFPPHPSNVIYQQNYGEMISDNNEPRRMSGVPSGLGDKSSVSPDDGGGGGSET SGSTRFPNLNAPPSSSSTGDLNGLMEEGSQQSQSSSQRPGTSYSGPSGIPSSEHIAPS GTSLRRGMACKFCRRRKLRCSGERPACSSCIKYKQECEYQPPAKISKVQALESRVAEI SDYLTQGTAPNLTPAFSSQPLAYDENSHSQPVYGESYQNDSQSQYQYLNYTLPVSRDD ANPSTFTPNVNMNGQPYNPYVPTPPQTAFQTAFPQSNQYAVYPAYTENTQYVPGPSNS SPATAPLSANPSVQTPQQFQQGYKPVSSSSPIPGSSFDQGVNDENLNPKFTYQSEPAQ QILQQRQSVSPLVPSQGQPQNQYSTNNTFMTAQPVAQRSSFNSESSTTSTTQNVPTPS GSAGVSTPSSISIHAPPGSQTMYAEVANLRYTAEIAESDPVDKLTERLGEFLFSPASE SGKEAVEDNADANAPDSGSKKRRTGKTQSGQWSNGADQRSGRPDRTSMFRSRVETDSL RDEHRKKLLDTFLGHCRLFFEMSIPRFWFRMTFHDRRRPSLALLNAMYLWATRMTASP NLVPMEAHFFAEACRHLDSAGPNSDRLIDAVKAAMLLCAYSYTNGRHHEGWLIAGLAV RLVTSTGIHQIPSLTFRPTHPDNPFLRNRVHLLPPPEDAVELAERVHAFWCVYAIEKC GAFATGFPSSLRDDDIATPFGRPLDEIASQSVTLQDDVTVRDLFKGTAHPHPDGDSPY IRWIKAVAILERASKLAFLTPTDDSEYSQARHSYATALSNGSPPPNPPPGWLNQPKYR NSKDYDECSRALNQYIKSLGVDGVSPVERRQLAQQEGSIEPVIRSHTILLHHQIYASE MLMHDINSLDTENDIAVAAGRKSANLIKGLPPIPSQEVDAQVILVWCMIIKLLIKELR RRTKIGDYSASRSIEDDIDIIIQEMSRIGHTMHIARIQSKAMDDFKKAALAG L199_007636 MSSNEYQISKTLGPSDGDTVMSMLLAAGHEITVHNYLFGRYPQE VGKLGLYAQLGPFTDVSISPAAPTGSEFWTSKDNGEIKSVMCFTRPYKEYGHHAFKEA SAHRMRQHVSEEVRSWVISEYFPKTQTVRRSTRLDEEEAIEVTFLATRPEARQQGHAT GLLSELMAESRNTNTPIWLLSQADKATSLFRELGFEEKGSEHVRMEDQGTATMTAFTY VPSTQG L199_007637 MDSRRSSRDAVLLSEPLATEATHILREITIPTKDEAKRILSIIT QSYQDIPSDKWLYDGKPEAERSSLLYFFGPQYDDTIDLHGKSGPVSSQAGCEIWTSSD LKREIQSVMAYKLPHKVYEAQEIRHVYAERLWEDLPEDKVNWLRDESGDASDELRATL EFSPEDSVEVQFLFTDPSSRSQGHGTKLIEKMKEISERNGLPFWLSAHNDGARSFYEV KGGLKRGSTVELPFGQGKSSDMTAFTHIPQPEKHL L199_007638 MDAQLLAQEINLLPTTSSPSIPEDIRQIIVPTKQEAARILSIFQ TSCQDIPSNTNMFDGNPVAQKYSFLYSLGPQFDEDESFGRPRGGGGNHQTESQAGCEI WVSPSEGEIQSVMGYKLPRKQYPSEDLRLGYAERSWNFLPKDKQEWYDKEYHPAWNAV EDSLEIKVDQSIDLQYLYTDPSSRSQGHGCRLVEKLKEISEESGCPIWLGAHNEGARR FYSDKAGFKRGSTVDMKLNDGKSTGITAFTYIPTTYNPSEDTANDGPIEEPLWAEDIL LVPEPED L199_007639 MSNRSGTPPKRRISEAAAESSTPPPKSSKMSASAPIFNPSRPIP SNNSGKTSFHDVAQSMIQKEQVAGDGAEDVEGNIPIGETSNRITKPLPSSSSSGNGRK GVQQTRADFVPKQATVPKTLEAKENTRRLIVVLSQACLEAYRVSSGSGGKNSSGKEAK YALLNCDDHQGILAKTGRDIADARPDITHQCLLTLLDSPLNKAGLLQVYIHTARGVLI EVNPSVRIPRTFKRFSGLMVQLLHKLSIRGVNGSEKLLRVIKNPITDHLPTNTIKLTL SADAPTIRLSQFLPTLPETHAVCVFVGAMARGADNFADQFVDQKISISDYSLSASVAC GKFCCAMEEMWNII L199_007640 MAARPTVTVWSASGESSGSVPLPAVFTAPIRLDVVQQVHKSIAK NRRQPYAVAENAGHQTSAESWGTGRAVARIPRVGGGGTQRSGQAAFGNMCRGGRMFAP TKTWRKWHVKVNQNQRRYAVASALAASALPSLVLARGHRIEQIQEVPLVVSSSLESVT KTKEAVELLKATAAYPDIAKVSNSRKLRAGKGKMRNRRYRQRRGPLVVYAKDEGLTRA FKNVPGVETCPVESLNLLQLAPGGHVGRFIIWTEAAIAALDSVYEKKSGFNLPTAKIT SSDVTRLINSDEIQSVVRPAGPAVQKRPFTQKKNPLRNKAILFRLNPYAKSLRRHELL RQEHDKKGSVKKTAPGAAGKEFLEILHSA L199_007641 MKRSYPPSTSTSTSNSSASTTLRRHLHSNSNHQQDNGNGEAYET DLSDPKPWESNRNPRLYGSRRDITHPTSYIDKLDSPTGTTSGDLPLYLHEEMETRRRH TPQPPLPPQLEKEVSLADSRGEKDQLLFDAEVDDQGKWQKGHGAGPGVGGRRGLPPRQ RIGGWRGIMIEHEEIIWTAVYTILSMVTRYWRIGAANYVVWDEAHFGKFGTHYINRDF YFDVHPPLGKMLVGLAGLLSGYGGGFEFKSGVEYPADVPYTSMRVILASFGVALVPLA WMTAGELGWSRWTRHWVTICVLCDIGWLCISRFILLDSMLLFFTFTTTLGLVKFHNQR HDPFGDDWWAWLVFTGWSIGCVCSVKWVGMFITALVGLYTIEDLWDKFGDLSMPIRTY IQHWIARISCLMVLPFIVYATCFKIHFMVLNRSGPGDAQMSSLFQAHLKGNDFAESPL EVAYGSKLTLKNYGYGGGLLHSHVQTYPVGSLQQQVTCYHYKDDNNNWIITPQWSDDP VDPDGPIRYLHDGDTIRLLHSSTGRNLHSHPIAAPITKEAHEVAGYGNETIGDENDLW IVEVVDDTSRGSFKAGEENRIHALTTRMRFRHQQLNCYLRAANAVLPQWGFKQVEVTC TKENNKKDKHTYWNVESHWNERLPPGNAKLYKSPFWRDFVHLNVAMWTSNNALVPDPD KEDILASKPFDWPFLHLGLRMCGWGDNQIKFYLLGTPIVWYFSTISLGLGLLLAFYYA ARAQRQYKDWRSQEEWDHWLYVGKVAFGGWALHFFPFLIMGRVTYLHHYLPTLYFAVL MAGHVLDHFFFGGTRRTSFKKGVWFGIWAGAVILSFWWFKDLALGIHGPVNDHWGWLW RLSWNIYN L199_007642 MSSSTSAKPYMTAIVAATSNNGIGLNGGLPWRLPGEMKYFARVT TGENPSEDPEQQNTVIMGRKTWESIPSKFRPLKGRRNLVISSKGVDVSNSPNTSAHTS LPSALSSLPSTSSRTFLIGGSQLYTTCLTSSPPMVNRVLLTRILSPDYQCDAFLEDFT SHSNSENGKNVWKKSTHEQLQDWLGFKVDEENEEKGTKYTYEMWVLDQ L199_007643 MPAYHSVFNDDTSVRQVGNMALLPINTKIRGPAPLAADPSQPDI IEESLDLFRANCLFRNFEIKGPADRLMIYLILFISECLTKLAPTPGKPSPGYQEALKL LQTQAVDTFALPGDAGFPLNSLYHPPASRVDADALRSYLTQTRQELAIRLVDRLYPHE QVLGPDGQPTGQVGGRANKPSKWWMSFQKRRFMGRSLGA L199_007644 MGVRGLESFIRENRTSLTKTISLPTIEYTPSSSLKDSKNDDGRI PIIVDAWGVIFKLYLDTLPWTSGGEYLRYYKLIKRLVNAWRSVGLEPTFVFDGAGPPE KHQTILSRASEKLLSCQLFYTTSIPSRSSPSFSKNKVVLPFFASHTFIYALHRLGVKT HFVPAGEADGVCVSMAEKVGGYVFGRDSDFVILMGRTERVRGYVPIDMLNWIEGPSND NTYQPPGARGEGSEQDSFRPVHNGRRHLNGPASNFMNKQSSLIPSSTLKNPTLVITYI PPQALRHRLRIPSTHLPLFASLCGTDYIPPSITVQFYENHLTSFQKIEKAARILREQL YSPSNNLPSNGKKKSDNPGDQVVELVRRVIKKLCVYPFDTQQSLDETVDLIIEAALQY SLPSNVDDCCGTYPFCGELDLTLGCQTPVSLGLGLEDESDSLKKEEREVSGKEEYSKA QKMGLVGTITHGWLYPDRMYQWQVLEDLSGPCLKASDGAKGIRKKAWMIADEGLGGLR FPRSEVVDDKMDLDSDGSQAQDEKELRDLLGVPSSETCSEIEGVASEDTTLVEQVAPT LTRPIREVTEYIRQGSTAKIIASPLTLPPIQPLLTGELPICLKPKEERLKIYLESLHS NTEGIRSLPVSLQPIVSLIRFCVIDASRRSTGKTDFNKWRREEVVAVLRAMIGTFTMW KRELHSEESPKDKAKSSNKHEEEGGRLYPLLETRSANLIAQLGSVWSDVQLLAQALLL LPESINPNRNRSHPASPEDNGSEIGTTHLIPFIFFSGVTLHSLLAKIEPTQNGWKWTE EEERVFESCWNALIDGLEEYGECIVGLNNPHHKKVSAEVEPQSMNGNFRQEELEGDGR AKKHKRKKSSRSPLGANANVGRFGVLEGMLE L199_007645 MATMRDVGKGLIDPRYCCCAVPLVNAGIYIILSEQAIIALAIGI IVFATPDVVGASFPDIGGIIFAIICFVIAAVQPVGFIGVLREKTSTFKVYTLLNAIAI LAGLICAAALIIVSAIQHNTAVSACEAKFFSDSNSTSSSANQTLAAEGEALCSAFAWA DVGIMGALWVILLLVQGYFIYLTRTYSTSQVSDHKLYHSVYSENPEAFTMSILRSTRY NPGSVYNNMPPSTGPNADAWDARPSMDSVRDEQAGAGGYGYGHQREYSDATVRPVDEG RYDDAAYGNEQYDYQDYNHDNHHGQRPMSGGQDQYPFSAPGGGYVDHPAEAMHNREGI TPTMNQYSEGQNVGYPSAGGATGGLRRPEEIQSHPGGH L199_007646 MSTKPFTGPIVVILNGFPGVGKKTIAKNLLHSFPQGRLFDNHLL IDATAAVLEREDEAYLPLRKAFRSALFSTLSSYPSSIPPILIFTECQSTDPTGSQVMD EYLTFAKDISGKLVSIILECTFAEHSQRLIHKDRTKDGITKLTDTEVLRVMKQNHVIH RYGERADEEWVIDSTRRSVEEVVGDIRMKLISVTDSI L199_007647 MSGDQQIELVQIVRPTGEERQRVLEIIEVSFKDTQMNRFIFGSD LATQRLFHLRKLGAAFDPDPEVHAGRQVEGCEILVSKVQGTVESVMVFIKPGQSREDS EDQLGYEKKALERLLEEKRDWIKNERKILSKRAEQSVQFDIKQAFNLEIIATHASSRQ HGLGTMLVNKLISFLTEDNPCIWLTSTHDIAVKFYEKLGFQVVFEDIIHFDKEQGKFR GIMYDLLKREIGKKMIELD L199_007648 MYGEVPYKVLTWMCDVETPAQEVIQSTYDFDKSKAIDVCFMATQ EKSRYHGYGTELLSVITDVAQRMDVPVKLLSATEEAVRFYKKSGFFINRDGTGEGKAT LPFEGREDAQLFALSYVPNGWTGPGKQWATTDPTADGVWYPGLHETCIPRCSSLHVYN SPL L199_007649 MYDAATWEETISNALTKVNQGSAIKYERVKNFTKEDSEEVLSLP SDSYKDIPYHKYTFESSPEARRSYLLAGLGKRVDPKVCDREDTNESCEIITGRSRSDG EPVTLRFQRKSTTLSA L199_007650 MGDIEETNTVLHSTTTRLEDNTEDDMLFQNIETPTVQERTEIMS MLSESMNEVPYHRYAYGNHAESERLYLLASIGPRYDKDYADANLDMSQFPKACETWAL KSRADGRIKSVMFFSDPRNNYSDDEYEELYEARSQNYLSFDKYKWWNETVVPTAIELG DNLEEDLGTEISKMFHVTFLTTDRSRRSKGYGKTLLSKLTDMSHESKYPVWLYTTDRT TRFYEKAKLREHGRKEVNMGKEGSIPIVTMRYLPEGWQDVAGVKP L199_007651 MTDSTDIFDRALASAIALANHQDTHNTSYKLESNPSWERKKDIL SVSNSSLEQIPWYRYEYQDSAPGSSTKYLLSILGPRYDPDLHSDPDPQNSDPYDLPVR CDLVISSDKSDGSIRSMMGFWFPQKDYKASEYTYKYEGECFKGLQRDRKQWCLFDLVN ATIPLTWDRELPSDSEAIHLVLMATNPAEQGKGHGRRLLDILKSVSDGTHTPVKLTAS TDDSARFYHASNFVEHKRTTLRAGDQGDSELVSMTYTPGSLATLH L199_007652 MSNSTNPTSHPEGEIHPIPPTSSSAEPSHPLDPAIPPTSTQLTQ QDEVVENVQSIPTTPKVHVKVLIISGQSKVLSFEPELTVGRMKELIWSSWPSEWTDPA QPPSPSYLRILHAGRILQDDSTLASNNLPVSETSSLPTVIHISVRSFSIRADDDPKKP HGLGRTTSASRSHRGEDDVGGCKCVIM L199_007653 MSAYFSSLVATRNQLTRSIPIKSIGIRNMASSSSSAGRQATRIP GPVETSIQQKIIEAFQPILLRVYNDSSKHSHHAAMRAQGGGSGETHFAIHLVSPSFTG KTSIARHRMVNALLKDEFDNKGLHALSLRLKTPEEWEKEGGGEMRSE L199_007654 MAPILTEEESALDPYLILEITSDATDKDVQRAYRKKSLKCHPDR NPSPEAAIQFRQISVSLEILLNPHKRNYVDTKLENDRKKKERYAEMDKKRKVMVDALN AREEEAKRAKVAQQEKRRQEAEEEAIKDAGRRMLEEAQKRAMAAASAAAAKPPVQPPQ PKSDLNNNNQNQPEITSTDLTLILTFAPTSTSSSSSSSTQLQNTLELKYGPIAHLILT DPPANKKKKGKKAVVEFQKGNWGGCWACWKDHLNTTGPGVGLEDGVKVKWVKGEIPEW VEWAERQNPKQSHTQTQANGDNPISSAPEAAAGTTFSFDSAPEISNGGTGGFTMADLL SAHAKNKEQKVKNDQFESMTLLRMRQLERERLEAKIREEEGDD L199_007655 MAGLGTGPKSAAIQIRAPSNLDEGGGSNNKGRDSPAAGEKKRET PQRICRNVMVYGYCKFQDSGCVYYHPPPGTDLNAPSTPLTGSPAMTNPAPLSKELTPS TKSFSGLGAEHLSAPVFVPKTPIGENPSPRATTPSLQPSTLTQNASPLPPSMSVPPPS APAPSWPSLGNQGGILPRQDNSMSFDDSMISMDPSQTSALDGSMFMHHQSVRQPLDHH LYVSPLPHISNPPISHHPLHSFFIPDDLRRTLQSKHDVTYQGLQAGSGPGLPSELGIY HSLRVIENTNIPQQQNQSTNQNQNQPSKVYIHPAPVYKAVSSVDGNVYTLRRIEGYKL VNENAFGAIDTWRRMRHPNIVGLREAFTTKAFNDNSLILVYDYHPLSTTIWEEHLIPN QPNQSGGTGGGRGRTGLPIQERVLWSYITQIANALKAIHSSGLAARNLDPSKILITNK NRIRLNGCGVWDVLAHDPNTHVGVFQQEDLVSFGKLIISLCCDFFQPGQHPALPLDHI QRNYSPDVKTLVMFLISKPSPMKSVDEAIKIMGPRILNELDAMQNYADTLESDLGAEM ENGRIVRLLSKLGFINERAEFELDPRWSDTGDRYILKLFRDYVFHSVGVDGKPILDLS HVLTCLNKLDAGLDERIMLVSRDDQSCLVVSYREIRHCIEAAFNELRNAGNSHRIHR L199_007656 MSTDNAHASVLTPSEALPDNAVHVKGPDLSKPIDLQDLLKSYET IGFQATGLARAIQVVEEMRKQRSNPDEPLTLFLGYTSNLISSGLREIIRFLAQHKLID CLVTTAGGVEEDFIKCLGSTVLGEFHLDGAGLRKRGLNRIGNLLVPNSNYCAFEDWVV PILDQMVKEQEEDGTKWSPSSVIHRLGKEIDNEESVYYWCYKNNIPVFCPALTDGSLG DMIYFHTYKSSPLQLNIDIVADIRRLNDMSVKSKKAGMIILGGGVCKHQIANAMLFRN GADYAVYINTGQEYDGSDSGARPDEAVSWGKIRAGAESVKVYADATLVFPLVVAATFG KAHWEAQSQDGKKNSE L199_007657 MEGLNRSSTSRLPTAIPRSNLLSSIGGPSSATPSSLRSSTSRLG KRPAGEAGLEDPSSSARQISSMNTRLSFLEHDNHSLQVKAKLSADKIEEQRVEIEQLK AERRALYDGEKKERQVGEEREKDFYDERKRYTTEISQLRTQNSTLSNSYESLKSEHNI LLGKNSSFIQNANNEIHLLNSRIDELTKQREELKGWERRAKGLSIELEEERRRNEENK ERGVLEKEDRRVDETLQKEVKRQSLSLATIYRENESLKSEVVELRQKKKESDSNERAM KDVERRLRDEIRTLQEQLERSRRDMDSLTQSYPSGSSPEKTSDETLRTRLSTLSTLHN QTTEELAKKDSQIRELHERLTNLAQSSRSSIAELTKRSEEAERELRWAKEGRAFAERR EEVAKKEVERIRSSNSSFPMPGGVIPDQSAKVSELESLVELYRSELDKISRDSRETEE RIASGMGLVKSQHLDEARDKIGQLEQDIQSLESTISELTSANTRLDGEVNDLMRRVAS GEYNPSTERCLELKNNPANKIMAVRQQMLVDLKNENDELLEQIKQLDELVNQNGTLEG KEGVNREGMVPRSSFDRLKKEKEDLERNHEKRLMRLKEIFTSKSKEFLEAVYSLLGWR IKFDESGSDIRLTSMYAPKGKSGLTLKFASQEGHFGTMQMTGMMARGLEESRHFWIVE RQSVPGFLAQVTTEMFEKTTIGRAAGYVGLE L199_007658 MASSLDPPAGVTRPVVFFDVSIGDTPAGRIKMELFSDITPKTAE NFRQLCTGEHRVNSIPQGYKKATFHSIPQFMIQGGDFIRNDGTGSFSIYGAQFEDENF KVKHTGPGLLSMANSGPGTNGCQFFITCAPAEFLDGKHCVFGRVIDGLLTVRKIENVP TGANNRPKLVVRITECGEM L199_007659 MSILSPGSRCHLCSLVDFLPFTCPTCNQVYCQQHISSSLHTCTQ QQLDQSTSIARPGKLDRGKTTCEVKGCERESIESIGGFVAEGEGEKIAREIRCRGCGG AYCVEHRSQSTHSCTAPLDHNVRHDAFLERRDKAREVISQRFPEFKDRVIPKPPPGKD VVKTQSVQTQTQRKEDNKIAELSKSSSGSGSGKGISQDNAAVDQPKPKIKSKADKLWD IHLKKIRMTSEPLLKSARNDSMKERVYFEWTMDLTQIQQNVKKWKESGKWSGGKLERG WVECDMPIGKMLDLIIDQGKVKRSEDQSQSLHLLSLYPAPDEERQIIQLELSKPAKII PQCSLVVLVRGTWNQGI L199_007660 MPNTEEALAIASANANTKPPPDSQPGKAPPRLKRLASKPLKMAA STFRSSRPPSPGPSATTTTLVSSDSVSSDTKSGRFSRRKSSKHQHQPISQGMTAAQIA SAARGPRKPLEGEEPAVYLRVRVVNAKGLVAKDRGGTSDPFLTLLMPPSSRHSTKVIK KSLDPTFPPETSTFDFPIYLSLTGVIGGRGIECVLWDKDLMRKEYMGELTIPVDKWFP EGEIHLWRDNLPLLTQKLLSTRRKHTVTGTVSFQIGFIPPKDAVDSEDALKRVRRVYG SLVEQASVGRNSIGVLGVPAHKGIGTVKMRQEPIKSSSLTRPTSMVASAVSGIVLSMK GGHKTVPVAGQPTSTEMDEIENDDDDDDVDSLSDDGMSSSSSSDEFEDALDEEEETET PIPMPVNESPSMVENVIAGVSEQTSGLPESKTTNKTQKRQSSGNSGLLVPGPKTGSLS GAGTGSGSQGDYFASAPMIKGGSGDSNVSTPGIVTPGGTKMRRPLFKRGKSRTESSSQ IPQAVQKKKSKKGFNFDANQGKEVLGIVILEIKGAEDLPKLKNALKFSFDMDPFVVIS FGKKVFRTRVIRHSLNPTWDEKLLFHVRRHESTYTMQFAVLDWDKVSGNDMVGTCTLP LSELIADAPKPHPETGLYDKEVDGKHEMREFTLNLSTEKDMAWEARHSPKLTVRAKYE PYDALRQRFWRQYITQYDVDDSGCMSYTELTAMLDSLGSTLTRRTLEGYFSSCGKSAD KDELTIEEVIHCLEKEVTKSRAEKEKVSGDELAMSGTSGLGGATPAISAQPASEGLEM TGPDGNIAASAGVDPDELAEHIERSRPKNQDGAGKDGDDVAGNIQPISDRRESNVPAV KVDRTATLDGETIPLNRGINIGEETDGEVTTPGSSYSENDDDNDNETPIDDRERIINI KTCPLCHRPRLGKKSEQDIVTHLAVCASADWSRVDRIVTANYVTSSQAQRKFLSKIVN KVAIGSYALGANSANILVQDRRTGQLQEEKMAVYVRLGIRVLYKGAKGQMHSVRARKL LKSLSVKQGLKYDSPSSAVDIPGFIAFHNLDTEEILDPLDSFKNFNEFFYRKLKPEAR PVDEPENDGRLVSCADCRMMAFETVHEATQIWIKGREFTVGRLLGPNYKDVAGRFEGG GLAIFRLAPQDYHRFHSPVKGKIGKMTMIDGEYYTVNPQAIRTTLDVYGENVRKIVPI QSEEFGLVMTVWVGAMMVGSILTTVEEGQEVNRADELGYFAFGGSTIVCLFEKGAMKW DEDLLQNGRASIETLVRMGMGIGRSTKKSNGGSLSSSVSGVSTPAEKI L199_007661 MAPHPHPPLTPFGSALAGALGSVFANAAVYPLDTVKTRLQAEDD ESDDEGNGDPPEQDLPLSTGKHDSKSPRNKVNQQVVAIRRYVNKKLKSWGMLMMLLRI LKDEGISGAFHGFGASMIGTFSQQFAYFFFHTLLRTSYIRRLSTSPTKGPQQALVSLS TSAELLLGALAGGLAQIFTIPVQVIATRQQLWRPPHQESKTHGNVDSPSLMETAQEII SENGITGLWTGLKPGLVLTVNPAITYGVFERLKTYRLSQKPQGGGKLGVGESFWLGVT SKMLATVVTYPYIFAKVRLQAKATPEEIQYDAEHPSQSSTKSLNTSQSALGLNTDSDS QVKPTREGEAQEEEKHTEGTPNPTPSYASVASAPPSFGSATVDLPKSQDPNVQRPGLT ERKHSHKYHHHHKHALSLLQSVYREHGLKGWYKGLGAQIVKAVLCQGILFVSKDQFES YTWLLIVFLTNLRKRLVSSPV L199_007662 MTSPATQTANAMAAITNRSNVVIPEIDFTQHQLENGDVVSTTER VVKDVQAPAMYLPTEEQFFSKTDKSKPDIAFLKNHFYREGRLTEEQALYILEKGGEIL RNEPNLLEVDAPITVCGDIHGQYYDLMKLFEVGGNPAETRYLFLGDYVDRGYFSIECV LYLWSLKMWYPDTLFLLRGNHECRHLTDYFTFKLECKHKYSETVYNACMESFCNLPLA AIMNKQFLCIHGGLSPELHTLDDLRAINRFREPPTSGLMCDILWADPLEDFGSEKTND TFVHNHVRGCSYFFTYNAACQFLERNNLLSIIRAHEAQDAGYRMYRKTKTTGFPSVMT IFSAPNYLDVYSNKAAVLKYESNVMNIRQFNCTPHPYWLPNFMDVFTWSLPFVGEKIT DMLIAILNCCTKEELEEEEEETPMAITPETPEVEDVSAERRQIIKNKILAVGRMSRVF ALLREESERVSELKSISGSNALPAGMLASGAEGIKEAIQGFEDARKSDIENERLPPDI IDPDEENPASPSGTTPHTPDEPSSPILDTHGVTPGTPSPMSPQSPGTPGTPSSPGTGI VGGMTWRRGHSRQTSLGTTKTSPSNRRRSLENTMHLIRDVVDGKDANGDGQLERLAEV IASPTTPSRGKE L199_007663 MPTVEDYFDDDTDIPLPSSSKPRALPDTGMRGALLEEISGDEDD MDFSKLAEQSRGIFGEGANAPPPPSGGGNGKGKLVERSQDNELRPSGSGSGQGGPNIN PNTPMGGFMGDMMRLQAAEDERLERLRKQFGTANVAKDPSVYKGWNTVYPLYFDAKVS INDGRRVPRSSSLWWPQATHIAQACRVLGLPSVLEPDRCHPADWENPGRVKVQIIRDG KFLNPIIKNRTELYKHLSDQIRQRNPSIIFDPSTAPSKRSYKPSTKQSSSSKTATEKG RGKKKNQGKGKGKQDVQKKIIPLPKLPTKPPLAPQPIPVLDDRLPLHSPVVPAGVAVA AIKRDRDNEKEAKKKGLSLGGSEEGASGQKEKMPKMKRVVVRGKR L199_007664 MPSLPPPQTPAPASFPSTSTNPNPQYSINKTPNVHTIKIGQWKI ETIKKPILNGKEIDVAEKSLNLPLPEMTFGNNSLRLSYSPSSSSSSSSTSNDKDENGV QLRFDSIEALSGVATGEGWEERVGGGVKVSMAEKWSSKSSSNSFSGDSPIPTKPVKPH DWTFSTCYSGSVSGPSSFKPSPTHSLPLPLLARQDPILDRILFYDDVPLFEDELHDNG ESILNVRIRVMPHSFFILSRLFLRVDNVLFRIHDVRVYHAFGSDEIVREVTGMEVGYD QVKRHLEKPSDLSPLTDPNWVYQKMLSLPSAPSQNGRPWPGLGKRVEMLRLPISDELG GIKDGLARVRL L199_007665 MGRERIQILDGGMGTTLESLGHSVSSDLWGSELLHTNPSAMQDI HKGYVKAGADLVETCTYQLTPANLQSYLSSSSSTTNSSEEHKGRSSSSSIIRSSVNLV HDSFHNEPGVVFSCGPYGSTLKPGAEYSGIYPPPYGPNDPLDSGGTKTDKVNYFSNTE EGKAQEENAIHHLTQFHLDKLICLLDDDEGKVWKKIDWIAFETIPLLYEIKAIRRCMK TLNEIARNKYTHGHGDGTEKQWYKKKFCITCPFPDGQHPQLTPEGKNISIDNFLHTLL DPHEDEEIPDGIGINCTNPLYVPQLSSQFTKHLRKIRMAKQEQPVFVLYPDGGQVYDV HTKTWSAPLDSPGNAMEWSKGVLEVVRELEKDKNDGGQYTWGGVIVGGCCKTSFGEIK ALKIGLDELYG L199_007666 MSSPAIETASISDGLELESLDSESLFQALTNVEKAIPELLSSIK PILSHLTTQEGRDEENSGMMAREGVERYLNFLDKIQFILRQTVYYLHATKISSSVLIP PKVDNIPTPFATSLPQPQSQNQGEQEQVELGLYASRIESKVLDDMLNALKAIKEQKQE QQGNMDISSSG L199_007667 MARTKQTARKSTGGKAPRKQLATKAARKQAPSQVSGGVKKPHRY RPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAIGALQEASEAY LVSLFEDTNLAAIHAKRVTIQPKDLQLARRLRGERS L199_007668 MQAIKLGKKYPDLKQDEIFELISKFNQIDVDDKGSVDKATVISA LQSSGEADYDSARETLKHVSIDSSGRVELEDWVQLHSLLRQAKNAPVLETSKGRISVK GTAGTNASHTINEDERRSFTDHINGVLAGDKDIGHLLPIPTETMQLFDEVKDGLILCK LINDSVPETIDERVLNKPSGGKGGKPRALNAFQITENNNIVITSAKGIGCSVVNIGPE DISEGREHLILGLIWQVIRRGLLSKIDIKIHPELYRLLDDGETMEEFLRLPPDQILLR WFNYHLKAANWGRRVENFSRDVSDGENYTVLLNQLKPDECSRSPLQTRDLHQRAEEVL QNADKIGCRRFLTANSLVSGNPKLNLAFVANLFNTWPGLAPLEETEAPPPVEDFDAEG EREARVFTLWLNSLDVDPGVYNLFEDLKDGNVLLQGFDKVIPGSVIWRRVSKPKEGQE LSRFKAVENTNYAVDLAKSNGMHIVGIQGSDIVDGTRTLVLGLVWQLMRLSINQTLAS ISKNGKGVTDQDMVKWANETVKKGGKTSTMRSFKDPSLSNAIFFLDLLNGVKPGIVDY SLVTDGRDEEEKRMNAKLAISIARKMGALIFLVPEDIVDVRPRLILTFVGALWSASLS Q L199_007669 MTNPHPSLHITTQAGPSTPGPPRRPLGARKRGGVIPGLYVANPD NSDDEYSPPRSSNQSQKSPTANTSAVSPISITSNSSGSRNAIPIQSQPIPNSPTLSIK GHLPSIPAPQSSPLPTISSFPSPSPQPSIPTPTAKPPTKTTLNTNVSGHRPEISPVPP QPPPQPERHLRRAFTTPVTDQPVRPSQEPRTNSSGAAVPQQHSPSRALPPLPPLPSPP ITTQSPQNRSPSAVALHHALPPTVHQHFTPNRVSSPEDALSPTAGSETGGSMMSVGMS TRDRSGSTHTHQVRLQVTTDNEAFHLVDITGIHTAEGIREKVFSKLRIRDEEHPTLSM YRTEIGEPADDIPILPAALLHLCQSQGDSRATLKFLVKQTNVPTSSAASVIPPVIAQG DYTPHRSAADNRRAGISPITTDLPHALLNRTSSRHSKEGSLSSASGEIVDRSNLSASD WSDLGPEAEELSGKRSRKAAVRSSGSSRSPITASSPALPTPPPTFAVPSQPREASSLS SPSSRYHDLNHPASPSVAEAFPKPQIRHLTPTPISRSSSQQSYQDPFGNNSEAGPSRP TNAGLGLNIDSDMDPETRALIMQFQQEEIEAQRREEQRRKYQLQQDEELARKQQQEEK DIWEMMVRMEEENRQRQEAQIAEDEARAREVEAEQRREEEQRQSVEAARAAWEAEHRE ERENRFHTFDKDRRARQEYFRSRAQMGRPLDESATFHVLLPDERPGSRMSGSRQTSGP VPHRQGSTTNQPLYQSPQTQYSPEMSYPSNAPPRRPSGFPVPGFQEASHAQDRLNDPR LQQVTGRSTPALQAPSLPLRGDNRQRLPLPYGRETGGDHLSAPPTVQNVRSMDNLRPF SQQSGAFRPPYANLPARSAMTPQPGGYAERRSIQPPGHAYRSTSTDRVHDGRYPDPIH SGTGRVQPPLQISVGDTGTIPFPSPHPSSATSTTWQNRQGFNTMPRAARGPSWDDQEP PVSASRPNTVHYDRSPPPPTSPQTAMGRRPSTYYDDFSPPITARNAGTGTYHSGFTAP TSPNIWERPRSGSASMTHRPTTPINDTPRRVSESTVYSDDRESQLPYNHPSNSPESAW LTANRYKRSDTDTLSVAGTVSSDATVRPNRNEDTDSNDTARAGVWEGHIRDMIQAASQ SGGGDGTARPIANDEDEATLWITAPKPQSTLPTLSRSDAVRPSPSKPNLIVNTAALSL SDGIVSATTPSDSATESEGTGEGSISGSGVRRGKSFARPKDPNQWNFRPEPEQLYENL DRVFPKIDLDQPIVQGPGSTPTTPAGESPSMLENLQSQAPSKVTPVPEPARPPSGSGG FSGMVRSKFNKLENRRSIRVVADHKRRTLQRQSRDLSSIFGGSKKSIQDDPNNVSNSN LHGNVINNNNNDEDDQVQKQNVKVERRSSKMWDHKLVEVTPSKIALGQINTSIPESPA GTGDRASTVNWVKGELIGKGSYGRVYIALNVTTGDMMAVKQVELPVSETDRNDKRQMG MVKALRDEIELLKDLEHKNIVAYLGYETSEEYLSIFLEYVPGGTIASIYRTPNQARFE PQLVRFFTEQILEGLAYLHSKNIWHRDLKGDNILVDAQGICKISDFGISKQTSDAYDS FGQATNMKGSVFWMAPEVIHSFSERTYSGKVDIWSLGCVVLEMWSGKRPWGDMEQVAA MFELFNKRARPPLPPDIHLSDIALDFMNIQCLATDPRDRPMAKDLLQHPFILDKDPNW TFKDSKIGKAVAKRGAKRVQA L199_007670 MTTAPPTTISRPEVSEPNAPEFDFVAKKAKDSFGYINFTVLQWI DSKHTLKRIYSTHPEDYPVGGEKTMTRGAPWPTTVIKARQPYASWNIEELRSTYVDAP YLIALGIHQTICVPIFDEAGNTVAALNFSGGEDQYDKQILEEMEKIAENEGTEAFRRY IATV L199_007671 MQDDEKAYLPSQGPVQEVNEHDTHHVDAEKDDEAAKFLAEVANR PDAEELLAPLGEAEKRKLLLKVDWIMVPLLGVALMMGSVDKVSLATAAVMGFREDTHL IGQQYSLTSSAIYFGAIAAIIPSLMLMQRLPPHIYISANVTIWGIITLCLPACKNYAQ VMVVRILLGVFESVIFAGFGLIVSMWWTRDEQPVRTAFIFSTASSLFNGIFAVAAWNY KGQGVARWQLLFLIVGAVTLGWSFLLWGFLPANPTKARWLSLRQRVVATRRMQDNHTG IENKTFKRDQFIEAFLDPKTWFYFFINISLNVPNGGLVGFNSIVVKSLGFTTQTTLLL GIPTGLVSWLSSFFWGWVATKTGKRHLSAMGSCVLPLIGTVLLYKLERNSVALLYLYL CYMYWGPYIVMMGSMYANTGGYTKKLTVYAMSYIGYCVGNIIGPQTFLTKQAPLYVGG VVAMLVCYSAALVLMGLFWLYLRHLNAKKAIEYERLKTQLESEDQLLSDWQDLTDIKN PRFVYAL L199_007672 MATTAMITSYPSMVIDNLDDPTPPGAYIFSSPSANQQEPLSLTS ETAININTPFQQPSFSLSTQLDSLPTQSVTSYTVSSSTYRVSRLPPQPTIPHAGPSRQ PQRYLFFAVRRGRKAGVYTVWHEVERQIEDYPDPVFRTFSTKLAAEAFVRGWDGAGRH SLPQSTPRPLREHLAMSFPGSVNISTSPGKRQSYHSRLLTAPCSSSNDFAPSPLDTTR PPLNSRHSYHRHSMVKVSSPLRCQVDEEEELIESAGSRLPPLRKAASFIGAGGLLSPP QSPEKAQGKNLSVMERERPTRSRSGEGAPWSSRNHRDFSSGSNGGLGLVARPLSPPQT PSDKRATIHGGSVSRPTATRTPSGLWADAMVPRPSPSGSKTGSAGQLEFSDPTAPKFS RSGLKKNGIIMPVAAPPGAQRKNSSQSLRSKASLGSLRGSGLASNNSSSSSVNSYDRR RSSVSTSSIGYGHAQDRLASLAETSKMELQLNEDGLLALSPPRPAFMMRRSSSASSVA SNESTSSMGSMTSSSSTNQTSSLDSCEPILEEDQDDEHIQIIGEERDMVISCTKSDGD ADGSSNGDMDSMKGDKKLKSGGGMFKRLAKALKLEKKQSARRGSL L199_007673 MSDTWSHLTCPPQPPFPVRISDDVFLPVPSPTSLRASSSEPSLN FELKGLKVLLKEKGEKEVVKVSEIKMKRRNTLLMTPGEVHRLGNLSVSILPQSQPESK QSVRKTIIPKTPQPIHRKAASDISTPSTSSDFNDEDEEGSEYDTCSSTFSTPFSSLRQ ISAKYKNNDGFESPCPSQPSSNILKPTSILVHSVDDDDNERGTFGQRRKNSGMQIDGL PSSRDPNNFSLWRSPRNNAAIVGSSPFEESQPKRRRISQIEWEGMPCPEELVSPKMKQ RINELGKGFSWASLH L199_007674 MSNLLPFSNVLRNAPLSFASRTICSRGFHSSPLALRKARSSQPI EEAVEDEDSFDNDDLFSTSSTPALKYQLDKSAIRQSNVSTILKHSKLPSKEKKIDKVS IQALRQVVACSEAEEAAELKKIVRAWRVGGLKVSKTTAREIVGRLCNLGKPGLASELV SNRIHYGLPDLDQPTLLKLHHSLLSSSLPPPNLPPTQPVSPTLALLRLTLASQTEGST PEQITSNLASSPKGRTWKPTKAIEGWTNEVKEKLNAAGGVWADAAKKIQIV L199_007675 MCGVGFICHIKGHAAHKIVSDARNILCNMTHRGATGADARDGDG AGVMTGIPHDFFVRESAHSFPSKLPAEGTYAVGNVFFSKEEFAAQQATFEDIARPLGL RVLGWREVPTDNSILGPASKSKEPKILQPFVVLEEHYGPGQDSQEGHFDERRFERQLY VLRKQATHRIGLKNGFYICSLTPSNIIYKGQLSPVQVYNYYHDLNHALYASHFALVHS RFSTNTFPSWDRAQPMRWAAHNGEINTVRGNKNWMRAREGNLKSEKFGDDLDLLYPIV ETGGSDSAAFDNVLELLVVNGILTLPEAVMMLVPEAWQNNDLMEPEKKAFYAWASSLM EPWDGPALFTFSDGRYCGANLDRNGLRPCRFVVTSDDIMVCASEVGTITIEPEKIIQK GRLKPGRMLLVDTKEGRIVDDRELKLTTAKRQPFGAWVESQVMRLPEVVRRVQRFEDI SVKIDDTPLSTDPKLLAFGYTIEQLSMLMLPMVQEGHEALGSMGNDAALACMSTTPRT VYDYFRQLFAQVTNPPIDPIRESIVMSLETMVGIEGNLLEIQPKQLHRLHLKTPVLTI QEMNALKHMKVSNSDWPSITIDITFEKGEGLPGYRNALNRVRQEAINAVNAGFRTIIL SDRAVGHDRVALSAILAVGGVHHYLVQQRKRSQVAIMIETGEAREVHHMCVLVGYGAD AICPWLIMEMIHKVASEGLAKDGQTADKLVENYMKAMAEGMLKVLSKMGVSTLASYKG AQLFEILGLHEEVVSECFIGTASRVQGATFELLAMDAFEFHERAWPSRETITVPGMPE SGEYHYRQGSEMRINDPVSIAQLQDAVRQKNQNAYDSYSKNSRESIKRGTLRGLLEFN FDNGTSVPIEQVEPWNEIVRRCVTGAMSYGSISMEAHTTLAVAMNRLGGKSNTGEGGE DAERSLPIPGPGADADGQPFTHAMELKPVWDSRRSAIKQVASGRFGVTSNYLADSDEL QIKMAQGAKPGEGGELPGHKVSASIGRTRHSTPGVTLVSPPPHHDIYSIEDLKQLIYD LKASNPRARVSVKLVSEVGVGIVASGVAKAKADHITISGHDGGTGAAKWTSIKYAGLP WELGLAETHQTLVLNNLRGRVTVQTDGQIRTGRDIAIATLLGAEEWGFATTPLIAMGC IMMKACHKNTCPVGIATQDPALRAKFAGQPEQVINFFYYVIEELRSIMAKLGFRTINE MVGRADMLMVDESLRTPKTALLDLSPILKPAHLLRTDVATYKVRAQDHKLYVRLDNKF IDEAEPALQKGLPVTIDCDVVNTDRALGTTLSYHVSKRYGEAGLPRDTIHINMKGSAG QSLGAFLAPGITIELEGDANDYVGKGLSGGRLIVYPPKSSPFKAEENIIIGNVCFFGA TSGQGFIRGIAAERFAVRNSGATLVVEGTGDHGCEYMTGGRVVVLGLTGRNFAAGMSG GIAYVLDMAHSFAPKVNSGTVELGPVSDPQEVAELRSLIEDHRHYTGSEIADRVLRNF HHFLPMFVRVMPLDYKRVLEEEAARAAEEKKRQSVIDLIPSTTASQVDLVATGFDPIL PRDANALTVSTSQLVTPTASPKPKPAEPSIVDVEDAMVDDATSKEKLAKVDKTRGFMK YKRLNEAYRPPRKRVKDWKEISTRLTKGELKYQSARCMDCGIPFCQSDTGCPIANVIP KWNTMVFEGRWQDALNRLLKTNNFPEFTGRVCPAPCESACVLGINEQPVGIKSIECAI IDKGFEMGWMVPNPPQHRTGKKVAIIGSGPAGLAAADQLNRAGHTVTVYERQDRIGGL LMYGIPNMKLDKAIVQRRVDLMAAEGVNFVTNANVGNDPNYDPLDLKAQHDALILAVG ATNARDINLPGRDAEGIHQAMNFLPPATKALQAWTGNPTQALGEDTPGYISAKGLDVV VIGGGDTGNDCLGTAIRQGAKTVTNFELLPQPPPTRSADNPWPTFNRCYKVDYAHSES ISIFGTDPRQYCVSSVKFNKDDEGKLTGIDTVKVEWTNTAGKWSMEKIPGSEKTYPAQ LCLLSMGFLGPQKEIMLALGVELDPRGNAKTPNGGYSTSTPGVFASGDCRRGQSLIVW GIREGRQVAEEVDRFLMVGSHLPVQGGIERRQWIAPPIIKPVHTEVSSRATSEFEVSS QGVDVENISVTASA L199_007676 MKKLTIAWSFLDLCLLSAGVLCIVSAILFSQPQHLILALIKTRI DFQIIGICLGSTYIFGTLLSLPAILSSVENSKLLMTLNWWLVILASLTLAFGSYVWMF SLQQISEFFEIWSEQSENVQQTIQDQFSCCGYYNGTSAGGLTTQLGFCTDSTFAANQT GCSSKITSAQSPGSDYTLENIFTSIYSFEVIIGLFFLATVCVINERNITVRFKRIDEK RGGGGFV L199_007677 MSINQLSISSSSMPLGQSQTHSQISSNGNPTSTINTPLKPIKSE SGFEFPAIWSFPPFFTLQPNPSTLNHQLELWRTLVLKWAKYERVFEVNVDSIEIPAVF ENKRIKRRLLPPSMRRLFQEMIKNGEAAPDPPKQDAKFLIYWKKPEEWGDLIYTWVMD NGLNSSIMTFYEITDGDLSHTTEFYELPTSILRKALESLVRRGKAQLLEGKGEIGEGV RFL L199_007678 MSDSIPLPPRYHSNSRPSSIPPKILELTKRSPPHLSSSSSTERI PPAKYSRMELNPPNASNRRFSAPPVPSPNPTQSDRRASFSTSAPRQSAQQLAAEALLN MAPSSNGQNRSPEKRPSEKTPLVPAPAQSTTSTPASRSNTNAMDVDTPESESRGVKRK VVEDDPRLPSAVTLGLHGIDRERERAKESRYSHSPLATTEARQPNPPAANASRLGQSP SSSVLGASTTNRYSVYGPTTRDPLGGSPWGLNPSRYSTLGLRRDLSPSVSTSAAPKPA SLDPPQRASPDVGRDSRFYPGSVTGYGHYSMGRRELTEHREQLREGKRWLEAMLSKTE KMLHMVENKMALTGEMGPSAGAAKPPTATAGGRHADDWEFEERERLRQKEIQRLERER EMDRVEREKRGLERERELQRERERDRIGGNANAGVTSDSGPGVRRDNKSEAERNRDLL LASRRVTAVSPNPSTSSSTSRQQNSNSNGPSATTGGNNASSANITPNNRETNNNNNNG GSGTRDGKGSNGSSPWEGEPILGGVALPRREQQSSLSRALGRGLWSFDVRG L199_007679 MTDSAPVSPSRPKSNVHSPNNNARLSPTSAFGYNRSARVSDTRG SDRSEEDKKSDRMNENGAGAGGERWGSRFAPGPGWRVGFDSSNERGGQDEDAVADSPL SRSMSSRQVSEERDELEGSENGERRQSQNEGIDSPSLNGSGNDRNKRKITRSTTRKIK EELDDAPPSAKKRKPLAPAHASPLPSPPPTTVRAAHPPAGTCPGDGRCNGAGGKAGCE GCPTFNNSIASGLVSASGSGPSEGVEQATPRPPVSDRPNLDRLNPWGLGFMGGIGMGA RTLSTSSEHRGSPAGPSSTMSRTGSEGGSRAEHSPMSDDDGKDGEFPLNGLAATPIGM TCRNCGTSTTPLWRRDEEGRPQCNACGLYHKLHGVPRPVAMKKSIIKRRKRVPAVGAQ PGSRDGSAGTEIAPSTPTTAMPNVVAPPPHVAPPPENEKSHPSPPFTHRAPPHAEHRM GLNPLDPYGLARRNLVKPQTATMTSGTPGDRKKPWWIDGARDKEKDEKEREAREAKER EGVS L199_007680 MSTNPPIRSIPIQRHHSPNHHIQRYLASVRPYLEEHAHHGKSYF ARVMGLQQHEQADRYTAQHQTMHDRHSQPNRHLTQNSGGGGDGGRATYIQQNDDKENN PSVLRHLPISSNPSRRQYASYTYPDEEVMTSRQPDVSLPESYIPPLASRSDRKTLSTA PLLKTDHIREEDNEVAQRKLARKLRRREQASIVKLEVERDSSPPPRRTSRRKRPAAPE ETPSLEESINQNGEKQIKKKRKVLPALQAADDYKPRNVHSKTERLTMDPSKKPGFLLN GKASKPIHLTKPKAKARRESVKPFNENEFLQLEVSQQYFSTNDADVDNFVWEKNYRPR QSFNPVQVQSKRYDRSQPHSHRHDRPTQAARSQFSYRSFSRLPPPGVTNPSSWPTGSS EISRSKRNKMNQPPSSVRTLSQVDRDDKAGRDIREEKRRKDRARDEQELIERQREEVE IRERKECHRAELERLGLETIKRVKEEGLRRQEAERVRRERERVEKTRKEQDQRVRWEY ENSERDLRGITEKKRWKLPHDHPLQHTLKPQSESSRISHQSSKQTSKSQHHPYFPYAG ASIDGRPQNAPNPSHGLKIPQSHHQIPVTVASKPAIPPASEPMKAHPIPYVPTQAPIT TTVRPFVQPSTSSEPGQENIPRLPARATGSMLPPPVPLKTYVQQPSHPPSSTRTLSDI ATYCRTHSRLPPPTPQPHVFGRGELPTVPKQPSFLAVSPINKLKDERADEYVKQLQPN KDQVVHHPYANQHRGPSNGDYSGSALTRTEFQYHHPSHTPLTTPRSYSHRPVLQPSDN NQISRNVGLSAGLGLAA L199_007681 MSSPPPALAPEITPAETYQIDSDEYDSDQERDDLEDDEYDKLVE EAENGYSDEEMEKFIHQLKESGLVNFLRDYLSHVEDGQMRSLRKLLLGFGIIPPIPLR NPSIPNIHLLPFAKIALSRVLRRRQRLSHLSSLEDALDLLKNSNKIIVLSGAGISTSC GIPDFRSSTGLYAQLQEEGNYDLDDPQQMFDIHYFRERPEVFYSFAKQIYPSNFVPSP CHRWIKLLEDKGKLLRNYTQNIDTLESLAGVEKVLQCHGSFKTASCLRCKTRVPGQNI EPFIMSQQIPHCPKCREEADRRQQMRKELKNGKGKGKALWDDDEEEEENEWGGGEPGI MKPDITFFGQALDSEFDECLFKDREEVDLLVIIGTSLKVAPVSEVLTHIPHSVPQIYI NLTPVHHVKPDICLLGDADSIVTYLSSKLGWTIPSPAAIPLPSSPNVNQNGDTRDVER KIDRATHVAVPPEEGEWITGKDDASHIHLYRKKGDISFEESTMPNDKNTDSSSTPASG DTPIDPTKREVGAEDGEDIPTVEPLQVGGGEPEAQDGYISDESDIGEERPSKRARGE L199_007682 MKWTVLLPLLPLVLSAPAPQNQQSDTPPTSAAQNATAATATASG SSSTSTGSSSNNNSSVSAHPTVTIYPDTSNGMPIDITGVNVAEVGQDHYLGIPYAAPP VGDLRFSPPQSYIYNFSVTAQNQPPACLQNPSGLAGTQSEDCLYLNVYAPQGANASTV WLPVMVWIYGGAFTSGSIDLYDATALQAYADKTGKPFIFVALNYRLGTFGWPYGSGFA ENGAANLGLKDIRKGLEWVQENIWAFGGNPDQVTVFGESAGAIAISTLYLDENINTFK GAIMESGAQSTAPIGPTGSTWEDAYQYLLQVTNCSATSSTSANSTSGVSSNTTSTANA TTASSATSNSTSSGLTGFECLKALPADALLKGQLAVKSNLLFAGFIYAPSIDGDLIPD SPHTLLSQGRFAKKPFISGNNKDEGTSFVPNFVNSTETGLQLLAILSPVDPDNSTLAQ LYSLYPPNLSLGSPFDTGNETFGFSPAHKQIAAILGDAQFQAPRRYFLEQANAHGLDQ TWTYQFEQNTPGQPPARGVYHSSEIPYVYGQTIPGQNLTALGFGSNYTVEDQQLSYQM IDYWLNFAYYTNPNGPSSNSNSNFTNWPTYTSEKNILRLKSNNVTVFTDNYRQEQMDF FNNNPKQFSYKRSFAISGVQSG L199_007684 MHRNNDDHYPPPPTSSSSSSRYPPPPPLNGSSSNRPSSSFYLPP PIPSANSPMNHSFGSPNYRDVPSPSGGHTDPQSWASASATDRPNSSRNKPSWATAAPG PSPRDTSGNRLPSLVDFPHSHPHGRSSHSGWDASPGGYTMSHTRGSGSTYPSYRESPS KPPPLITPVTSSQSLSHGSHWADDRTVSPSITRRESVLSQPGNYPTSPPPPDTGSSGG KNNNKHAAPKDGNETDGQPTQKKKKRRVALSCAECAKRKQKCNRETPCQHCVARRVPE LCVPYSRPTTPPGGKNIIKVESQSARPSPKENTKKDGNDVPAVTTTTARPPSMLPTIS VRVARLEAMVNAIVNRVDGVEGKALRDWRINHAPATSPPPLSASLPPDSEDEKKLDLR PETSSTQSRIEHSVEWDNGPESEDAVVGGLDRETGIRNPLPQSLHPSGPVPLGLDYHG TPAEQLSKLFNDCGLNPEKMTVLMKDLPPRDLAEKITQWFFDKVNYVRYPIDEHLFRL AFEQVYSHKNSAAAVLALPLVFIVFALSTRVAPDELVGTEHEKRLTSLRYYWNSKTAI NIASAVKAENLHLVETRICTGLYLVLMHERRLAEGWAEFRYALTIGQALGLHRDGTKL GLDPYVTEYRRRLWSYLIHADATYSCLLGRPTAIDPNFADTLPPSNIELEALKDDKKA LPKPFSEPTFATYLILRRGLGCIVAKVTQHFQRLSGQGSYRDVEALDAELKQFVENLP PTYKMLTPDKSYDDKMWFLPIHRYYIQTEILHFTIILHRPWLLRKLRSSRYALSRQAC FDAAVTDYKLRQMFKVDCPDFFETLLGGSFREFNAAMIAGISIIIDPRAPRASEMKQI IQAFMEQHPHDPKADDFSQKEAAIIYTLHQRAQQMESQRLARRPTLPSSRLSVDNTSF ERSTSKPPSTSMAAPPNPTPPHSSEPGSGGPRNAPLPAISPQSYVRASPYTSNSMGPT PPGMGQSPEEDHPQRLLDHWLQSNTSFGPGTEGLNFGIGPFPQHPPVGPTSRSNSFVG NDQMGQSQDMTGYIPGTLPDGSVQYDNNGNANGGFDNTGAMGWMDPSLASGGPMMGTM SESPQNASSQMNHQSNNNLSMMGMSGIPMGQMGNNGMNMMNGHFGISPAIVQGAGAGG NSSQYWNALIDGIVTGLPNYDPNLSSASM L199_007685 MELTEENAQAGDKAYLDLVLLQTLGSDRDSLIWCSIWGIVIQGY LLGFTISRLWRFVCRRKKYEKVIWGGVLGGLMLIIIQLGMTIDQTYRIIFTSYYTDIS KDDARRNIVTIVCSGTMGGLSVGFGMWMLWKMIPRTLLIIPFVIPTTISIGLTVGISV ILYRMPKASVSKLFLVQEWLRKFGILLRVWLGVELVLHVGIWSIMVWQIRSKKEFEKG EKLRIVCQALCLPTLVIIVLLIYSLVELPSLVNASRPIIQSLSHLFFLTFMYLLDLSH FTPSSSEGEIALPESSSVYYKSPPISKRGLLPDSYSGYTTTTSTSSGSGSGSGGHRGP KSPKSKVGIGSVRVSIDQLVTESKSISSPPSAYKFKDKQPHTHQRYPSLSPVQSPIPT PRYSNSGYSDVPLSIIAPFSEWLEYNSPHHHTQAHDGDEEGIHGADADNMSYKSAVPS GKTLLRTKSERSKKDRGIVLDHTGWEVPLPQAQAQLLSQRTSHSQRVSQGPHDVNKN L199_007686 MSEKAGLYDISKPRFDLSSYGGRLAYFYSTTSPLTLLASSAQLE KAQKDVTNFESKIKEAGQKGYWVNQQQKEIYDNAKQLVNSSIHPDTGKPVPLPFRMSA FVPTNLIYAQAGMLMPNPSLKSVIFWQWANQTLNVAVNFSNANKSIEMTPQEIGTAYV AATFTSVFLAVSLSRLVPRLRVSPAAKDILGKLVPFASVASAGVVNISCIRWKEMRDG VEVFKLTKDPVDGSEKKEVLGKSPKAGQMAVMQSAASRVFTNVPTLILPPMVMTLLTR RGVFSGPRGNLTSNITQLTLIGLSLGLFLPPAIAYFPQKAETSPAKLESRFKEYKGPV YFNKGL L199_007687 MGSSQSQPASAPSSAEAVRPSASPLNPQRQSILSYFFNSSAPTL THDHLHPTALEVRLVSKYLVYLGIPSELIPRILDEAEYWSGCRRQRTKHIYVDSMLPQ YRSANNPQSTVDGHDHEQVVEFSQAALVHDGGGLKNRNGNVWYLVSSPIGCTRRPSSG SGQGVIAQHEIAMDLDRVWIRKCVIETLSKDQGWSSNNPAHYGTYEQSYSWFEISLLR GDREVEGSRHSIQNNVHAGQYYKPHCNVLSADHPTLRLAQTGDRIVLWVRAQYHGWLN WVKEAAITVFTSPYPPST L199_007688 MYLLPLITLPLLISASPHPRKHTQTDPTVILESCDNSVTLTGRH DQSFNQDLYLGIPYAQPPIGHRRFRLPEPYTYNEDLVVQQHGPACLQAPNTTAEGQYG MSEDCLFLDVYTPHRPEWKNEKLPVLVYIHGGSFIEGTASIYNGSYFVAHSQELGKPI ILVVTNFRLGIFGFGYGSGIAENGAANLAMRDNIAALQWVKEHIHSFGGDPNKVTVLG GSSGSVAISLLYLNPEFDLFRSAIMSSGSQNSPPMGPTGTTWEEAYQYLLEITNCTTP QQDTTPFECLRSFPADILLEAQLALKADPRWSTSFIFGPSIDGDLIPKSPYELLEEGK FAKIPFIAGNVKDEGTVFTAPTVNASSLFAVLKAIEPIDPSLILLDELQDAYPDDPSI GPFDTGDEMFGLDPTYKQAAAIFGDVAFQAPRRHFLRAANRHGLKDTWTYQFEQISPD RSAYLGVSHATDVPYFFGTARPGVGDAHYWQFNYTEQDHELSDMMIDYWINFIYHTNP NPIPSNEEECLVEWPRYDIAEESRNMLKLTFGEVEVIKDDYRQDAMDVIYGNYNQFNW KRETIESD L199_007689 MISAPLRTSVGSALRSAAASSKRAPVSRSMATLVDEKRLPAKFG GKYTVTLVPGDGIGKEVADSVKEIFEALKVPVQWEQYDVSGETTGGDDLFQQAMESLK RNKVGLKGILYTPIDQTGHNSWNVAMRQQLDIYASVVVCKSLPGFPTRHDNVDFAIIR ENTEGEYSGLEHQSYPGVVESLKVSTRAKAERIARFAFDFAVKNNRKKVTCVHKANIM KLGDGLFLNTCRRVAEQEYGHTGIKFEAMIVDNTAMQLVSKPQQFDVMVMPNLYGTIC ANIGSALVGGPGITPGCNFGREYALFEPGCRHVGKDIMGTNKANPTALILSSTMMLRH LGLESQANLIAGATYDLVKEGKIRTADLGGSATTTDFTKGLIQRLL L199_007690 MSEENPQPEASTSTSASTSSLIAPEPVPAKLKVADKWLKPNGPP VGSTFGARLLDDEKDVFEHNAWDHVTLPEDFKLKAEEIMELHRSSPVAENLRDGYNSK PEHYWNKFYSNHEDGFFKDRGWLRLEFPELVECSEADAGPKIVLEVGCGAGNTVFPLL MRNENPHLQIYATDYSKKAVEVVKSNEMYPRAEHGLGELHASVWDITSKPSTSAGEQN TINSTEEDLDLSKLELSDKKTYSLPEGVQPGSVDVISVIFVLSALHPKEWDQAIHNLH TALKPGGLLLIRDYGRHDLAQLRIKKNRLLDPETPNLYIRGDGTRVYFFEKEELEGML VKPPTLTLTIEGEAEEKQKMFEVLQLGEDRRLIVNRKEKKQMYRIWLQVKAKKL L199_007691 MGRSAKFTKRPSKEAKARSKIAQANAKPLPPPRSPSPPPQTEAG GDVEKGKKKRKLMRAKVDKKLGKA L199_007692 MSITPSLPQLTTTPPPPDPPRRRFGSATATAPKRADRPSFSSSS ISSPALIAPPPDVQRTRSASSASSTASGPNTPTQGQGGFDIGLAADKAQQWLSTWAPR GEGRSREFLTNTLNGVANVASQVSNNLNREGFGSRSNSFASASTPSVQGQASIPSSPE ERFGGPAAVSGSALSISPSPNPLPPIINHTTSTPALPAQRKIPQPANLSRLGHSNSTT STPTTTTGSSALTSKLNGSANGSTSSLPLHGPSHLNPNAPSIPSGHRRNSSTASNPSS HKRTSSFGISLGKSPSISRSASLTKQATTKSAGMPYKIGFQPQGVKHDRTEEFVRARK VKGEEREREEGRLGRRWAKLVDLHFNPTIPNPLPTVPTLTRSSSSTFSISSLASGSGG NDRRKSLLSIDGALDALKPKDVWKGFKSGPGPGGEEGKKRAAEQAIVKWEDDSEVKKC RICQSSFSLSNRKHHCRLCGRIICSLPPTPPALLAVQIQLFAPANPEATSTNSQGGLP PGTRREKCSLLLVADWKTGRGEEVEEGFVGWMKMDDQSDQAIHPTVTNIEPNQKSPAS RTRRSRMSTNSISSSISEDPQVHDKERTIPLPQQPKEVQVKGCRVCRECWSVVSRKQK MQDRQRVTGFARLYSALRSLQSNIEELMPEFEDLLADLTESDNPLEPSPEVLSIHKQL LTLLTQYEHLSKRIGGLTCEEGSSQAIVQSAVARSAAGFLAREMVKLQTLQKLQKRAA NAKRKSLRIHELTLSNSLNGTSLSDGSGTTTPTSELLVDKGIEDVAVVLQPLLEQEAQ LEVYISDANSQRKYEDSKALNEALREIRLEIERITQRAK L199_007693 MSAFAPRPVPVALIGLGGVGKAILSQLLSPPLNSRFNLILIANS RQSISLPLPGGQITPTNYLPILEQHGIPLDIQSILSLLSPSETSGAGIFIDSTGSDLI PSIYPQILGMGINIVTPNKKGTSSALSLYNDIKSRTYPNTPTLYYGESTVGAGLPILS SLKDLVETGDEIYRIEGVFSGTLSYIFNEFSKVEGGDVKFSEVVKVAKNKGYTEPDPR DDLSGTDVARKLTILSRLIPTSPALPEGYASVPTESLVPQVLSNASTKEEYLERLAEG DEYFDKVREEARKEGKVVRYVGVIDLKEGKVECKLGKYPTDHAFATALKGSDNIISFT TKRYSPRPLIIQGAGAGADVTAMGVTSDLLKIHERLTTRF L199_007694 MRPLIRHPNIALALARNAQRNISNIPPPKRKRELNLLSQFQRPP PRTPLKEVVGESSQSTQDGFEGDEDQFKTSSTTPVVSSIQEVPSGDQGMKIIDAIPAM KDIPPLEVHEGRRTREMVVQGVGIPPRPIPPGEEECCMSGCVNCVYTIYADDLEAYKE AIELAKERLKKSNVPEKDWPEEMKDQKSDGEMKEDVVREVDPVMSAFLALENKLKSK L199_007696 MSSLTGSSTLDQLIDEAIYQALQSSARTLTWYCALGLGLEGIVL GAIFSQVYRYYELFTSHDSRYTLFLVGIGTLGCIGQFGLNLWQTYMFIDKAATAVYIV LEKDVYADMTVLLFVGIYNLAAAFYFSRRAIKLVGNRRTLIPTLSILSLASFTMCLVT ICTGFKIPSGTGDLKGWITNVNKWVIAWTAVSVVTDLCVCATMTYALVKSRDEIRAAA TSLMRKLFMLTFETMLPPVTVTFLLLIFGSIENLTMGNFSRVLVWIIGPLYFHSIVHS LVSRHDVQFILQQQQQQQQKEGILPYHMNNGISSTSPKIDNYNDLENGNGNGHGTMPI QMMPVVMDERARKELGWDEGVDHEFGVALR L199_007697 MPPLPSTISTVLRQRDLSDLIYLFIKTTPRSLTFSSACAVAIEG LMLGIILVQTTRYLSAFWRTDPLGAVSGIVLGAATLSAQLGMNLWQTYRLIDRAATDL LAIIIGDIRCNMTVLVFVGILNFVAAGFFGRRAWLLSKKKIWLAIPLVIGIFSSLGLS LGVAIKGYMLPSLATSPSPENLMKYDSWRKTDNRLIVIWAAIALVQDILVCALMTIML LKEKEGFQKTETSLLKLLLKLTYETMAGPVILNIVNVVVVALQGATFAGYSRIVTWIL GPVYFSSILQSLNYRKDVQRILRVTPIPRSRSTSKGTKRSTLQRVGSPSMSIPLTPTG MGGHTREDSSITAVGNIQRDSDNSAVAASAARRERTVSAGEGSTLSTDIVLDGEKR L199_007698 MPRLPSSNARSGNGKYKPPPFLYLRSFLPILLLILIFSFGLVSY SLLSHFKSPAVKQHLGWQSWDIVEMSTSMSGSGSGNEVLSSGGSGNGTGHMASIPIEN WDPLALHTTGLTEIAVAPCYFPPYLFPEHCSPETTPELDKLKGKWVRVERDLNIRTGL WYLNIYYRRTRRLDADLITDIQIVPEPPSKELRYDLQNGGWQKASGNLHSGVWPSRPE ERLWYRTKSQGWDDIWRRQVADNITEDVIAEDDGETSNGSVEPIQSGESNKVEYGDYI TEVDVIYGDDEPFFGFERIQGGKITESKKDKWESVGLAVRRGNPVAPRAQVPKFHKDG TFKIMQIADLHYSVGEGQCKDTDKTPCSGDSDTAQWLGEALDAETPDLVVFSGDQLNG QSTSYDSRSVLAKFAKPVIERQIPWVAIFGNHDSEIAEDRAEQMRALQHLPYSLARAG PKDVDGVGNYYIKLHSADGSNMHIFTLYFLDSHARQKQKLLWKTPDYDYLKESQIEWY RNVSASIKPISRPFQPDGGWDLGDIWPSKRSTSKPSRITRQDEENDNDKTLAKPNAMM WFHIPLPEAYMDADHPDFLSEDRMESLDVGVNMDGQGNSKHNSGFFYNAIKVTYEYDD EEEGQTGRRKSEVKVLSHGHNHNTDRCRRTDGIWTCFDGGSSYSGYGQLGFDRRVRIY NISSYGEKIETYKRLTSGEVIDKQVLVGEGAVSGWGEDQV L199_007699 MPSSFLPPISTSSSTSSMHPFGKPPSTTNSAQPTSANGSAATSP NVPTSQLGSASRNGTPVSTPGAGNGLRPSYDFITEAARRERDLDRARDMSAKPIYSTS YSNSLSRPSDTSTSSSHSHLPQHHHHHHHPHHPGSNPTSASSTPREERPSPFASASTS TIGTAGAPRKPDPMSSGFGSGLGRLGAGYGLFGAGPFIRDQEYKEKERLALARERERE KERERERERERERERSTKPHSIETSPSHRNSNPTDSYSRPSLPRSPTTSRTLPAPASA GKPSISPQIPPASATSATGSASRPNLPLPSFGSLGSRSLPSPFERDARERSTSTTVAA SPNPTPAIESSSTISGHRRTPSGSSQRGNEPLVSGPKSPVKTSSQPATTVRSLFGPPQ LFNEAAKSRDGQRSPVSRIQTTVTPRDRDSAISPTTATAAPPSKNVPPAASTTPASQS TRAPFGGYSGLGGPAYHGSFGGFGLGGFGGYGAFGPRWADREREREMLEKREEERKRS QKEAAEAKAKAEREKDDRFVDWVKERERERYGPAPGTAYGVEAFQPPRSNVTTAPSAT QASSAQRPANEPNWRQTPATSASAAAAAANQKANLTRHIEVIHQPEPKNPVGPITTAS SDGSSVMQQVAPSREPRPYGYKAEPREYQYTPRDKRPRMDAAVEEAQNAHRRSSNTKA AKRRKEEEKKIEVNNNPLVKEKDWSALTNPIRRWPEVGSSQVEQWLKTVPDLNRVVST QVYEGDQWTLAKSGESTTSNEGGLIIVRIGGGFLGEDWKVRGENGWDESTPTPSPTHS TDNVICGNVSKERKIWGTDVYTDDSDLGLILVHAGWLRWSKSISSPVEVQKKGRNPRD QDVINVTVRLVPRLIRYTATERNGVRTRNWGNGHDGSSIVVERVERIAVDKRYLKTRQ RKSRISEWARQRQLVSPRPPTTNTEEGDEDGGLQDSTLITDEDVDESIMFCTRLVPDR KGDTESVGFVYTPEALKDWLISPLETSGRSLWNSDLVFTTDEEAEQYQMSLSESSSID DPLFHLFLFKTSLDGETPSSREEIAIERSFDDIHLLKQGIAVRTQGNKGLLIKSKIWR WSNAFSLPNEKRKDWREEFEKGLIDQDERLGETEYGGEIERRRELKIEEEED L199_007700 MVRTIREPNPTTPHLLRVSTSTATSSRTSSGGSPSQPVFVKSKT TYLASSPKRNGSAAKKRAVLGEREENIMSPSQPSPMKSKPKPKPRSSSTPRPSNDLSG LSRFVAQSPANKLPIPTRNDIPSSSPIGQSPNLILTSRPGSTPYVPRRSMPMQVTEDD TLLLNMQPPEMNFSSPGFDPNDDSDVEDARGGILGGRGLMTPANSQEVSVGSPSRSQP IKHTIVTNSVNRNVISRLPTPPSSQSGSIPNALPPTPEAGPSRVSRIRARPSPTPPRR IRSEVLGLGDITTEWDAPLKSAEDVSPNPRRKKSPRLSQSGQTPTQNQSVPVQSDGTE VVIPLGAKSNTPGASTSKSNTPATVRPKSKGIVRSRTPNLSSSQSQSSRRSGGGSRQS SGGSTKLTTTPAASRLTNTKQVPSTAPVGRRKPASPRTRTTPPSRNDRRASVPANSNT TTPKPRGRRSVAQTPKDVALSGRRIGTLSRPIHGSPGDDPLLLKSEEGDDDYTEHSIR DGNGFSLSLNNGNETDGQVPVSSPFTFHQNEDHTLLPTGSSNEGYLDFGTGMGGAWSD DGSDAEMDGIGEDTFIHMKARKDTSGELLGSLGRLGESILEEEEEVDKTIESHSRNVR SYTPILPSRYKEQQQSVEEPISSPVIRSRSSPAQQAADINEDSNLIEEVGDVTQEMNG DNWDISAEQIEPTDDNDTITVRSQSIDERSSSPVVNAAEDVGDTTQEMDGGDWDNTEK GIEIPQETEGSSSSHTEELVSPTSHEGTAMVDDNHNTNQQSEDGFAHIEEHAIRKEQD LDQDQVVQSTSTDKPSSADEDEEEEGDVTQDACGDAWEVSENDISAPDPESKPSPVQS TFPVSPVHEESADVEAPHQQPCISDETLNDEIPSRTSSSDHVPSLGESEEFGDVTQDM DSLDWEISEEDMQTSQLDNQERTRSRTRARSLSPLFERRVQEGTSEIAQGELTVETPL ARQEEGVDQEEEFLEADARAHHFIEPLEEEMTLDQEAIKEVEQDVQGISRSQAERNAI SADQTYLVDAVFVASANAEGNVKDDQESKHIDMEISRSKDTPQSPLLPAIQIPTEVTQ PAETIKILRMSTPSPSPAPVLRSTTPVHSPPPTTNYEPPCLRSPPPTRSPFVLIHHRG DLIFTPRPEFSYSNYRSPSPLPPPTPVFSAEERGHAILEEAERALRRLSKLRSLSPFP AATKHEDRDVPYSSETIEKARTDAPAEVLHRNISVPAQTVSKDVDGEPHNVAEDVAAP NANRGELQDSLQEEEVDEEEDPAAGDVTAEADNSAWNLSAEEYEVPLKDDSEGETEEE NREKEQERAEEAEDEDNSLMQENAQQSEEASPEPVEIPERIVLRLVERGMIKLEPESD SEDVVQDVPFESDIPPVVRQSAPSASRERLFTPSRGPSEIRARSITRSPIAGPSTPSV YPVLPTTQLNVESSTPKTAPPPSVFQSASSTTQAEGVTSLERMLSERVGTSKLAQQIV PSSPTTEETSSPVQQRQFSSPNQANREEGEESVVIRKPRRSLHDELAAVSASVADNDH FDGEGDDSFKSVVEVSSLDPKAAARAAALLKLNHAYIEHGILPKSKEQDLASSTKSTS HSEREKRDLLNEAELEIVESHRRSRSRSRSMSVMRPEREMSVMSFMTEDYPVPGAFVK TPRLDTRKVISPMKGKRDLVSVHETTEKEDDAQEKEERWGVKEWKRLEKVYRAEKETW IKEREIKKLPITGSPTASPIPSIPGGLVAWARRSTFGTPKVVQVKEWDIESVVKRFLD DERKDGRNWDRGMLVLRVQAIERRILKLASSTASTSTSTDLQTPALKRMRPNVPTLPQ TPMMIKSTKDAVEPPSTIKRMLGFVWGKSKAENTSVPTSNKSKVSSSAFATTSSINKD KEKEKDVLPTTQMREIRPAHPTSSRWTPVAPPPPPPRSTTASTSKTIPTNVSKNTPAP SKPMSIPSSALKNVSTTSSSITHSRSLPSLSITSSSSFPGSTRLYPPLNPPISQRSDA IARLFPDHTPPSGKMTASISEKNLKELGQIQKKRSGSVKSLVESWEGKGIMGASIKGK EKEI L199_007701 MISLLSANSSTLSQRALQRAIRRALSKNPNDQRQLHVGLSRYSA SIPSRHIINTLSTARVCLPRRSNTPISQQTHSRIKASTSPNHIPTIQQRYASTGPLPS RPPRSKSERRIRRLRNLLFVLTILSILYYTYSPFRHTVMAAVRCARLMQAVLLDVWDY KQVFAAEEKLGAPGRDLTDEEKQIRRKARKDCHTRSATRLLEALKKNSGIYVKLGQHV AAVQVLPKEWTQTMTPLQDQCFPTPTEDIDKMLKEDLGLGINDLFQDFQPNPIGVASL AQVHRAIDKKTGESVAVKVQHADLKEFAKVDMVTVNFAIHFVKYVFPDFEFSWLGEEM NHMLPLEMDFTNEALNSKRCRDEFKHLKGKTSLYLPKVLWAEKRCMVMEFIEGARVDD LVYLKNNGIDRNQVSQELSRIFSQMVYINGNFHADPHHGNLLIRPKAKTSSSPFNFDV CLLDHGQYFDIPDDLRVNYAHFWLSLIKKSSKKTTEERRYYAKLVGNIDEDMYPFLES AITGQINMADETNDPTYGSGSRPTSLLELGTGSMSDDQVGKLRAAMMERDDLIVSIFE LLRTVPKRLLMILKLSDLQRSLDQSLATTHGQNRVFVIVARYCAKAVWQADIKSIRQS LSNTGLSFSILRRFISSLWDYTYWNTTLGIVEYGMDIRARWVKITLWMHGLGKGGLKE AEEEAAGLRSKRHTVKSPLGLS L199_007702 MPYLGLRGNQLPVAISLTAGMGWILFGLDDAILGAVITTTAFER EFNLSTSMQGTVTSLFELGCFAGALLVSLFGERFSRRALLFIYTVPMIIGSVIQVAAQ DTAMLIVGRMVAGLGLGGITSTLPSWQNETSPAKLRGTVICTSLSFLIIGQLIAYWAG YGLLQQFPTQNITWRLLFALQTISVSIMALMVVFMPESPRWLIAHGKYDQARTVVSCL LNRPENDILVNEQIEDMTRAITFEQEHGASWADLFTWKKNDDGPREKRRVFTACCIQI AQPFSGSTVLSFYLTTIFEGSVGFSEHLSTLMSGFLQVWFLVASFLTWFLIERAGRRN CFMVTAICMGITMVILAAMVKVNTKPAGYVATVCLFLYQSFFTWGWMAGVWCYSNEIL STTYRAKGGGLCVALQWLFDFVILQVTPIGISQIGWGMFLVFAVFNFAYAPLVWFFCP ETAGVPLESIDAMYMPGVDPVKESVKIRKYMKEEALARREQGGDGYKGSRGHELGRIS SLMGENKPEESRVERIKDLDGQAGKNNTAVTIE L199_007703 MTTALASAPPPSSLPPAQRLLHELGLISLWNTGPDSWLVLISRT TRMFAYGSNGIFIALFFSSLGFSDFRIGAFMTLTLLGDVILTVILTLIADRIGRRNIL LFGSLMMVLSGFTFIYFENYWILLLASVVGIISATGGDFGPFRAIEESILSHTTAGSS KTRSDVLSWYVVTSALGSAVGTEISGKVVNWLSKKEGWTDVRAYHVMFWLYVLNGGIG AICVLLMSDKTELASSGSTKQLEDGERELEEQERLLEGEEGGGRLSTSTVEHIALTSS SNANTNTDVKPEAPTSSKWSSKFSQISPQTRAVMYKLWPLLAVDSLADGMVGYALTTY YLAQKFQLSNATLGDITSISYFLAAISSIAAGPLANHLGLINTMVFTHVPSSTAVLLF PAPDSLALTIILFFIRTGLNNMDQAPRAAFIAAVVPSDELTAVNGITSTIRTLASTAG PTITGWLAGGEKFWIAYVAAGSLRLAYDFGLWALFVGMKLNQQGGRD L199_007704 MVVVVEEVRDESAPEVSTNLGEGEHESDYETDSEVSSTFSDDED DFNPDDETLYERLVALKDIVPPQTRSSLYSKYKSTTSWALWSVQSTGTLAWWISTSAL LVGLPLALAIEDETRVVQQEREMQMQSQGQQQLLGGPQQGQPQGVLPPGF L199_007705 MLQHPSQSYTNQNQSLQGQGGSGPAQPLWGGPFPSLHLWPLQDT FVMKMIHLPEGQRIKIGRQTNTKTVPGERNAYFDSKVLSRLHAEIWEQGGKIFIKDVR SSNGTFINGERLSPESVESEPFELKNEDQVEFGIDIVSEDNRTIVHHKVAAKVYCVFG PEDAALSARELVNYQNQDTRGVRRMPPQQNGHVNNQLSQMGPAIMSSGGKSSGLSFDH VLTKLQNELRASKETGTELQNLATAFTDIQDTLGGGLPPSQNGSAAQFIPPQFRSASA EAQAALAGPHGQQAAAFIALQNQLTETQTSLGSQLEKIRLLEGQLKEHESVKSEISLM REQMEESKREMDLLLASGGNILNGGSHGRGRPLTRRNEDDDDDDDDARSVMTLMDNEE SEQRVRDRRRADREGPESRRDRDRSGIERPRTPEPTNLNGDAESDDDDGPSQPNGIAA TTSDSSRETEIIQQNAELVGKIAILSAEIQEAVTISQTLRNQHTEAMSAVKLLTERVG ALETGLASKVAEEVEKAEQRWEVWKVKFEEGWKKERESWDHERERLRGVVREWEEASR RAHEEEEERELNESLSEDELDDDDEEDDVKDGERQPNEVLSLDGWQADGNGSNSIGSN KLPSLNTSKKSRRRRSSQKALLAVQALKNVSDPTASTPPDTTKNNGGSSTPKSTSSGL IDNPPLINGSSLKNQNSRLKNRNKKNKQNQKSSRSGLSMTAHLDNVGGKDKDNSNSNS SESGRESVSTLKDGDETDVVGTKSGSITGKNKDKKDVQVIRPVPVFTVLVVLVAAGVY WHKFHKD L199_007706 MATAFDRRRIPAPEVSVPPIYDDTELEPEAGPSTKRVDRANDEA RPIFLKTGLISQANGSGYIESGGVKIACSVYGPRPKAPPYTPQGTLNLEVKFAPFASD PRRAPLRDTEPISLSQLLTQSLTPTIQLHLLPKSSIDVFLLVLESDTPTNVLSAGLTV ASAAIADAGIAMDALGTGSVVALAQSTDKQELLLDPEQGEEGGAEGKVLLGSMPALGK ITNILLEGNVGVEQAVEMIEKAISASRDTHTVLAQSLIEGAQERGIGGEAE L199_007707 MGSTMRPPPLPLPKSGNDQPGGTGAIRSVSGGIKQMIGTKGEKE KTGRQSFSCAECRRLKLKCSREWPCTSCEKRGCAQICPNGEMRTGKGKRLILADTAEL HQRISLLEVALAQSHAKQSSTPHPLLESPYLFSPRDSTARPYPRPSIKQEGGTTSPLS AGEDDLVQGAFGTLTIGEEGQARFVGSFAGSEYLKEGDESDEDASSPMVTSNVQLGTT TNNDPTRHSQQPPLVTPPATAAGEWNQPQNIYGNDGLGLYSSLFAGGDVKFSLDKLRA ELPDYEMEGRALVESYWENVNWQYQPLPKAMFENDHIMNAYDTEAQPNPHKLACVFLV MAIGAMFDLNRPPFHPRGEQLFRLGRSCISIVGLEHASPATVQALHLMGTFILNDKRG NGGEVFWPILGTAVKVAQSLGLHRDGSHFGLSPYEIEERRQVWWEVVSYDRLQALCFG RPCATSNKWSDTKIPEATDLIGDEDGFHRAKYTLITMMERVIDVQTQASAVSYNSVMQ LDSELREFKKNLPEHLLPNVAIQDLPLDRSVDPHLVIHRLGIRLQVAQMRLLLNRPLF ARALKDNPEDPSRSKLGPSFIALFESAQEIVQLVKTLVIYHPSLVARWWFFWFHAFSS AVCLAAIVIRAPTCAFASPSFHGMSIVCDISAAAREGCRAKKGLPILLRLRKRAHQAL EAATRSKARGSGDASAEEDDLSHLNGSVKLRRVQAPLHKRSVSSPGNTTGNNVINGET TPSPQSGTSVATSATLAEPGMFEGLVPTFPGDNSSLPTYPVGQPLQTSNTWISDDPIN QYINSSVSPPTTMSSFSNGNGVVGIEPIYSQGQGQSPTMIYPVHAGSVGSIGSANGNS GHNGTGPDFVDMDMSMALGMGLPMNTNMGMNMNMNTNVNVDNSGQPQVMNNNNSNNNN NGDVYYNNNANSNGNNLQGNGNIMFGAEGESMFGFNFEEFVNQMGAG L199_007708 MSGSDSYTINHPHLSPAEAILTADRDHSNRIRQPIEGLENLSYG FSVNRPKEILQKGSARQYGQPFAASTKDLIKFMSILHIDNVKFKKANDLDDK L199_007709 MAPIPRSSTSGVAQPIGSRTRSKTNHPSSSAIYQDEPLTKRVRS KTTRLLDPKFTAEGNTSRSNASSSVSEGKKRGSKPNEITGPVRRSNRLSLQNSQADQE KDKCETEGNHFKKFTRSLTTPSLPSKRTWVGSKRTQDKREYPDPEESSDSDTSSSSSS HISSSTSYRPTKKSITSSSTCQPKVRKGQRAKNDHLLQINATIEINPESLRVPHKSVK DDMSSFSSSLSNASISHQIIVTPLHTRAIDDEDSKSSSQSSSARFPSIQLTQSDLAAI EAIEFQNFKRDEQDNQADAFPARSKDEDDHFDWADGGSDLTSLSQYGDCEVECYDHHG EREEDEGLNDDWDRADVSGEEEGGIPSLNTTDMGRERKVINVDDQFEEGDLAGTPPPY IEERMGEEVEIQFKNDMTEEQLVDAIVLEDDGKEEMEVDLIFEEDQKEVLDEQDDEDK ENQPIGSGFVTDEAAKVEDVPSEWKGPIAARKNQGIMEDLSGAAMDGQAMERSVQEGG ITPDERLNQDLEGPRNSKHKQVDTKEKRVEKVSLPWEPSSWTMTFYEPRKKGQERLPQ GHRAALKCAEPEGFKTRVSPLEPSSTPPLDILQEDVGLVDPMTRCEGTETMVLSSDSE SVAAHLISTPQSTLSAAPHIPSKSDPQIGILLNDSGPTPMSAQTTAQSEGTPLIVRCP VPRNYEPDLAQALTNTRGRGSRGGAARGRSITAGRTRGRGAGRGRGRERSKSINVPSL TITSPGAITSPLPFQAENKQVFSPMREPPFNYWNRPGPTVSSQTRMIYDGQPHRPEDT SNLHLLADVALALNELDNRNRKSPGSGSARYWATVMGVTPESTKLDILAAVSKNIDDL PRFGFDRDGQPLNAPSSIIASREIGMSSDRQRPKRPRPPQRSVTFARHDTFAAVPSDT SRPIIRLPTSAVRDELRV L199_007710 MSPSSIPHRLNAPSSSYPTASPKSSTRSRPSPAGSPQSGQPASP RASPRAGSSGSIDIDAVVRANGGDVKKALEVMVAERNNLQAQNTQLWKLIEKQRTQCA TLASDNDRLRQDREKANEKLQTAGLIPVFGNKPIPNSSSAVGLGLKAEHPQIKRHYSD RDETPTKASIQKQTDTSAPSSPAQTQPPSGLLPSPIPDKKMNRESKMTFPPEVSTFMT LADSPREETHTIPAMPPSNSFNTLSPASQYSASSSVSRREEIGVVPPPSSRALAVSTD HPEPPRSIEQLTAIPERPPPRVHGVRTPQPDRESAKSPVQSMPDTISTTMSLEEPIRS SFESSQPRKSHDTTPRPSLEPSQAEAINSSTSLNDTRPRPRMTSALLSYSRITIPSST VFPNSSGRDVLCFIVAVTARPPNAQPITWNVAKLFSAFLDLETKIKAKSGKRTKDWKQ MVASLPEGRAWKDFAPSKIDQRKAALETYLQSLLVAPISDKSDLCHFLNSDHVKAKVE SARKEGYLTKKGKSFGGWKTRYFVLDGPVMEYYESRGGAHLGSITITNAQIGRQNRPA ETTDERNFRHAFLIIEAAKRGTTNRHVLCAESDMERDSWIEMLVRYVDPEHSPATIPQ PPAPPSSSSSTGSNLMRKRSQIRKGSKDVVVTAAQPMTNLGADSKFSGAPSPSMINSM ESQKAIHSSQSTSSQNSNPSISTQSSGMPQTPTQEQRPIVSQSVKPSHHSSSSHGSAP MTMVTSPSSDMLSSSPPTTSDPTPRANKRQSMMPGRPSYSPAYLTSLSNQGLNAPPGL SAEKERDRKAKSRGFWGFGKTPEKVSRPVFAVPLTDSIAVASVANLPAIVFRCVEWLE AKKAEQEEGIYRLSGSSAVIKGLKDRFDAEGDVNLLSVDEFWDPHAIAGLLKTFLREL PTSLLTRELHARFLAVMDLIDSSARVVELSRLVSELPPPNYALLRALTAHLILIVRNA TLNKMTLRNIGIVFSPTLGIPAGIFSELVSNFSAIFDDAPSEELVDEVKPTEEEDMEE TIKRKRNSMLYQAGGADAMLGLTGRALDPSTEDSASEISNEDIDSDLHSVPSSDNLSS FSNPSAKLTPRAQQTIPSSGENYPSAAAVRKAKAASRAQERGLAVGTTNGILPDSESG SELGNRTPGLPVSPKPHVDPSLPSPRKEREVQVS L199_007712 MSFDYVRKIVSGNKARFIDPENAVNLDLVYVTDRIIIMGYPAVG VAGLYRNRRRDVLKFINSRHGEKWWIWNLCPLYENAYSPESMHGRVSRYPFPDHHPPP LPLLPLAVREMTAWLEGDEERVAIIHCKAGKGRSGTLLCSYLLSLPSLPPPPQLDRSY THKDLKKRLEEREKEKLESKTSSVDSEDREGWVYIGSGKEVTGLQVTEDSTELGRAIT PAQSERIDVEPVARSTNSSTTTLSAPSINTSTEDGIQVQTDPPYPIDIGDEAEPGLEG QKDRKDGKLDEVFKLHSSRRMKPTSTGRGVSIPSQRRWCRYINLLFTNQTPPSYTSPK PSRVRLVSIDLLLTPPSGWQKPLASLVVGGTSGTGQGKAWASVARYDDEYVEELKARG GTGQGVAGQISWGGVGGQGRFDTNKMFRSCGKMVAKNVDEEVLKNLPEGHEDYVVHHL TPSNNSLILDRSREFRMKFHLASVPLGWAWLIPAFHLPEPPTKSSAEPPQMRTHTLHF PKSQIDFPLGPGQAIHQILIRLEEVTEDDKEETARLMSDEEEKREGVDERQREKVLED GE L199_007713 MGKIVHIVLWKLKGPIANHEVAKEAISALYKVPGAEVMKLGPPL LDGRAKGFNWGLYSVFSSKEALQKYAVSEAHVKVVENNVRPNVEDLLAYDFELDE L199_007714 MRPLSFLPLIPLILSSTLARKKDGGGSSTPAVTIHPYNSPLYQE GVTITGVHDEASQVDKFYGIPYAAPPVGFLRWRRPIPYNYTDDVDARHPAPSCLQDPT NPDIGPGGTSENCLFLNVYTPSGCWESEDPLPVIVYLHPGGWQWGSGTIHDGTNIVSY SQDLEKPVILVTLNYRLGVFGWPNGPAFDHARAGNLGMRDIIRALEWVQENIWAFGGV TLHGHSAGAITISHLYFDTEQSLFNSAIMSSGAPSSVPIGLIDKTWLGPYDQLLNLTN CNTTFGEEVGCLRNHTAQQILDAQMTILSNPNWTSSFIYGPSVDSDLIPDQPWKLLEK GVIAPIPFIIGQTKDEATGFTPVNITQDTLLDYFNRLYPVPLPANFTDNLTTTWYPDV PARGAPFGSGNATFGLDPTYKQFAALLTDARHTAPRRHMLRQANEYFYNRTWTYTFDY VPGNVSTNRYGAPHLSDLPYVFGWNNNWTEPQRNLSRLIQSYWVNFTYFGNPNGPNAS NPYIYPDPYVNTTAPVPPTTPEAPVNSTYWTEHDLLAGRKDILKFTLNNSTLIQDNYR EGSNSYLNGHPVELGY L199_007715 MTTIKTEGFKIPGVDNNNNRPSMHRPFSDGSMTVETNLLTSLMS GSPHQIPMNMVSPFSFSSSLPAQTHTFDYSMNSPLSSSADRFHPAAMFSAMKFGNDNP EPPINFGNNEDQTANDKRSRSQSSSRSSHVGKAPSSRSRSARKSMNDARPPPGSIQPR GRSALPGRAQSFSGPGPAQARATSQNGSGKPMGLGIGLDTHVEGEQTDSISPPDFGLN GSFGMSIPRTEIDTVSWGSGSVPSMLQPGSLGSFGETLDDAIIDSPMTPAKPLQALSD ESYKKQRRRECHNQVEKRRREHINAKIEELSQLLPAMYNLPDNDEVLLDDEEEEGKPQ IESGKKKKKTKRAGSMNTKSQKDAVQCKGRILSHSVQYIRDLRHVTDVQAGRIAHLEA MLMSYGVNPAIQPPAVSDAQPSLFWMGDGNNNSNTLNNQPNFDLGGTHNLEVMRPSPE PDRPFSFDHINLDARTWGNTMTNGNGHDTAHDVLMTFEPSPNTASTSSVNNLRRSSES VSSSASFDRENTEALDMHSPLSMNMSISDMRGRQRERTVRKDSQAELQMSMSALLSGA GGREGNDGGMRW L199_007716 MSALHSAVTSITQNIPSFLRQPAEALIGEQCYDILVYNFDITHV DCLKYALSKGLGLGIVVGGGIVKIPQILKIVGSGSARGLSLSAYILETASYAINLAYA SRNRFPFSTYGENFFLTIQNVIITLLILFFSTPRGAILTSKQSSGGNLSKVITGLLIT LVTGVVLWSENLCPPGMLALLQAATLPLSLISKAPQIFSNHKHKSTGNLSAFAVFNAL LGCLARLFTTKQEVDDPLIFWGFAGAAVLNAVLALQMIAYWKNPGGTENDLLKSNIRR HSAEERGTTSHTSPEKIFSNDTGVGKRWARKVD L199_007717 MYRSHNNPRSPQAGPGPSNTTPAQRSNIDEALDDWFAGKDPYSL QFDRLCIEQSFPAPYEDYEPGWRQPKFKPGVGYEKALAKEQMLQPQIGGRSKKAQLGS IEESWGSGGPKRVWLGEEDERKGMFELDEDPPSRNASPFREEEEEGLEEEDAEETIRM KKEEFLANARRGTTYEKWKDPTDFFQGGKQSVEARREIKQLTQILRGGSEDPHSGRTV HRRNQEVVYPLVRNSNATPRDGETRRMSFHAFEYDHSRSQNPLVQYDDEYRADDDTPD NQEHTIDHHERIQGGDPFSSSGRLPQPVRVTTLPNQAGVTGRYHQSDDYKIWLQRAQA GRGRRR L199_007718 MTTETGAIIYKAFAPTIKMMICIGLGIVLTKKCNFQPVNAKGVS ILSLNISLPLLIFGSMVSAFTPENIKAFGPLFMVAVVYQLIGLLFAVITRELFYVPKD FHHGILVLGMLSNWGNLPTAVVQTLAKSSPFDPDTDVELGVAYIAVFVLVMTVTLFPL GMHKMCAWDFREDNLLGPRPLPIKERWVQRLSSVKSIFRPSKIKEDDEEQGEKRHDSE MTLSSSENTPASASDEVARPSHGVSKASKRTSSNDVYDDQEESGDTVAPDLVYRARFA GGADMSRKKSRASSFHSLMESTRPIPPTAPLEASGIAEPCQDPISPNPNQLNPVCSHH GGESYNYHHLATPAPSIHTPKKPLKQRIWKYLEPFLTPFMAAIVLGIICSTVRPIKAL LVPVDGWSVTRIPNAPNDEPPLSFIMDTATFLGGISIPGGLVLLGASFGRLKLPKKWS DIPFAAILAMTIFKMVILPLMGIFFIEGLRDHTDLYPKEDKMRTFVAILLSGTPSSVN QLVITQLYNPNGTADTLSTFLLLQYIMMPILSTALAAIALFVVK L199_007719 MVLDPFSASSKPFIPPDSLPSRPLPFAATLDDPSSSNTLQKLPR PRLHKEGVPSSFPTPIYSHSRQASSTSIPTTGQLSNGFDLAGFGDLAVSRTRDVGSDE WMMDMVAKCVDAAKGDLTITGLGLTSLSTKIAELRDLVTLPSTSSPKTRVSHGPPRSP LANPRYPADLSLSPGTNHLSANARSFTRSTSAPASSSFFNNLHHPTSYSRTPSGLGII VQSPASPTTTEASEEQEDDRISTPDRQLSRRTLLASPSLPTPPPSGPALCSPSPGENR KRSFGRSKTGAVHLTQGKAMDIAIYAGQNSLTSLPSALFEISNLTVLSLRGNKLTTLP AAMGELRHLKELNISLNHLTELPSTILNLNLDTFTASSNNFPKRPSPDERFSDLQRNY DHPVARLTTICMNKLISPRPPNDLPPLLDMFEWDYPQKGVPHPLLDPEAMHEIIPSHV VSDLGRVLQALRSASTAYKNRKRSAGGGRSLDSHIDPFPRTHKTLPPDDASTNPFYYP CPSPRHLEIDAGTTNDRPSRHLFLHAAEERIEWVQICDTKDLPTRWLGCSPGCLDFLD KEDEEDEEWTIDSD L199_007720 MSSSLVLTPEPNPPTSLSEPPQSHPRPQRSTRAAVNYSERAPDY SSVSPHSTTPTRSAAVSTRSRRSTSSSLSVAERSPDKKMFANGRKRAFSPLFDNERKE AALGLVLGEEASTEDETSEGSKATAQEEGEDEVVDDLNEDEAEDDGDIGSDGEEEKEG EEAEVLKLSESPIKTTKEQIIPTEQLATLSNSRVTRRRTVSPEKKPVEEPTTTGVIRL VFGKKRKAEVDMESTEKGAVLAPTAAAPEAPVLQEEPQSEVMSEDFREDLRKRLPRKK RKWLKKGEVDPDDPIAVARQKERHRLIDEAIEDLNKQEQLLLDNAHPQLLWLWDELER RRGLQINWLEARHDAAIGDLERLRDHELQVAKSDFRLKREELANTMIRENRHKMARTA AERTALKRRPGSMPNLRGGRGGGGWQVSTTNLLSNGEQKLVPLTNADDPVMRRDVSRK IEPLNPAEVKADLEKLEAHKVKRQHRSSTPPNVHSKPSASRSDRHSLPRPVEQQHNAK VMRYAAPPAAPHRPPSIWDPPKPLMVNHVHKQGISRPRSPEHLPRYHNEPVPTTGYGG RAPSRGLPFASMFKPASEAPTFRQEAIPPHRPSGPPRPRSPPHQPPHHPMETTPSGLW PHARQRHPWLAYGGAPPRKA L199_007721 MVTLIHYRLKVTLNDGRSLVGQMLAYDKHMNFVLAECEEFRTVK GKKTKSTPAGEPAPSVQQKRTLGLVILRGETIVSVSVEGPPPVQKDDGSLLAGPGKGV PAGRGMPLGAGAPGAMAARPMAYARPPPGFPSGMPGLPPGMPPGFPGGAPGLPPGMPP PAGMPPGFRPPGFPGAPAGLPFPPGQMPPGFRPPQ L199_007722 MGGNAFDIPAQRLAQAQYDALKAHVFAALATSFEQIESPRNLRS KTDHGDLDILVGFAGSIPGGDEEWVPSTADNIKDAKTDSPKLDNSPNPDPLLEEVIAK LDLKPAYAPQAERYSVAISPNDGGTIKLIGTGKMLLGKEVEDLRGLCGEMRQNLKATG WRRRGPEISFKVPCQIVAGDDAVESNEEKFYPIDVLLVSPESFYFNHLMSSYSSTGVL LGRVLRQLSRSLTLHLTHLVVRHSPYFGIPPIDITLTTSPEEFCDWLGLDYEIWKKEG ESWVTEVDYWKWMTNARADSPAAQAIKRMSARSRSTINEGLSKKRRKRADFADRFYEW LQKESEWAPKTETGDATTSPQESVPPTLIPLPTPGRDGEAGISTPSLPTTDQLLATQG SGQSKSPASSCNPDNPSFIDPDHPQPLDSRASAAIEYWKKQEVYEAILEERKTVAKVL ADRQRDRVDRKDKATDGENNNQEEVKNW L199_007723 MNQLEQNGEASGSLTHKQCAIHCKWDFCSETFLSFSEWQTHFTV EHIAYAQPIDLTGRNLRKRKEFGQWEVIDPSPRPANGLPINPHPSQTTGDITTSTHTL SFPVPPSFQSLPDPPASGAIPSDYHLSFHKHDERGIQDHENDVRLYQSFLRSPSPELG QSGSGSGSIQLPPPGQRSKTPPWTPSQPSVSSQRGPNSASGSKPSNPSTHPPASISDF NSPFRTSQSISSQVSPDQQTINPTGLPATQGAIPLRFGAALGKGEGTSPFKESPTAQG RTVGFNWGGSS L199_007724 MSSSASAAAPLGGFSALVNSSSDSRDKELVIRQVTPDIVTFSVP FTRGMVPIGGRSTAVRISRQPKPSVTESGIQPAPQSNSSGEVLVYASTPLTKATVEAL KSLGEVKWLVTPDGEHTMYIQEYVDHYPSAEAIGVERCKEKKSNISWAGIFGPKDDGE SKKYGFEPEVSLHQVSAHINHELTAVHHPSGTLIQADMLFNLPATEQYSRAGGLPTLF KWLGGGKSMSPGGKVHDLMANQISKDKDLLKKELQPILAAKWDRIIPCHGDVIETGGR VAWEKVWGRFEQ L199_007725 MGNFGFVEFESSRDAEDVIRDFNNRPFMGENLVVQEPRDSRRRD VYDARPPRSAGPPRKGVRIAVIGIPSSTSWQDLKDFGRLGGNNIIYADVDRNNPGQGI IEYPTLEDCEDAVKRLAGVDINGAPNPAGADDARRADTDRRPPPRDYDDRRSNRSYDD RRGPRDYERRDYDRRDDRREDRGYERRDDRRDERRDDRRDDRYTPRDRSPRREYDAPR RDYDDRAPRADRE L199_007726 MAVDSRPHTQLDAEAHPQAHNSNSRPSPSSSLRWRSPPSQPDNW VKRKCAIFIVLALACWSFYVVAGRVCTPMIRRYSPTGLGRSTGIGTLVGFIIFWLLMT ITYLRMLFTSPGLAPKHVAKSPEPDLSEYRLEEFAPSSASNRPFDDFSDGPEPSELAP IDAEERKGLANGRKTCTGCLAQPEMVSPLRDHQASSDSSLQALWSLYTAIRSSLPLDR TMRWLGQSQGMHEGKYQHADFQFFIIFTFWGAIFCAYLMSLLIAVSAKSPGMDGQVLA LIILSALFGLFTFSMCATHVNFIVTGRTTVESYSRRDQHDAESRILQQEYGYFFHNSE RRKVQKRWREEWGNTPVDARWVFGTKRELWEQEMGKDPLGWILPIGRPLGDGLHFENN PRFGPNGEWLKKKDWPKELQT L199_007727 MHPATLFILTLVLPFTGQVYSKVVNHATGGSESGTGEVITLFLR YHTITSLTRSLTRSECHADIAVALKHSCKQGLDGGESGLTELRKRDIAISFTICSMQS ALQHVPVECSSWNPTNYDGGTGGSDQMYPGTDDGSSDAKLLQEKQTSCLGALHRSPQE WSAYNAFLSDSTQLCHVLQARKQAVDRYVNATMEKIAFLDLMKKREMVQLSRERKLES ELDERSRDLREASSYVMFAHKKLQDDIELSLELRQNLRSAFHKLESERIDIWDSFERD VKDRLFEADARYEAMLFELKNGWEAEAWIMRYNGFVLPIL L199_007728 MGVLSISVSFLWPVFDVPLLLRWHQYSASDLVVTIDLADCLLHG LSILFRVHPISDRHEKVNAPMVKSILPYSVR L199_007729 MRVEALIPVVAFMAALGATAAPVVQQENLDKRWCLFGVIGTSCG GSSTVVTTSAAAKTSTVAASSSAKVSVAASSSAVKAGTTTVTTAASSSTAAWSSCKDF DWSTWGSNWSWGGYNLDYVKAWYVDTYGLQPPPNMSWNQVSSLVNKFGHSTSASGAKA TSVYKHGCSNPWTSAAAATSTAKVSTTAAAAASTSKAASSAAAASSAKASSSAVAQSS AAAASSVKASGIASASAAASSASVSKAPVAASSAAAASASASASASKAAVSAAPVVSS AAAASSAAAPVVSSAAAASSAAAPVVSSASAASSAAAPVVSSASAAASSAAAPVVSSS AASASATDVPGTGSSSNSGTGVSGTATASSAAPATTTTAAVTINGFTAGGCVQEVSGR LLSKVQTSSSSMTIEQCTTLCGNYGYGVAGLEYGNECYCGAQDDIDDALVSGQCYMPC AGDSTETCGGPNAINVFINPDITPDVINLPDGWSTYGTVAEGTNGRALTYTLWSSSTN TIESCAAGCAAAGYSIAGTEYSAECYCGNSFSNGGGAILSDDAAFMACSGDLAEMCGG PSVLSVVSSYTGTIPSS L199_007730 MSDFSSVINAVPPVTRTLLISTAAVTFPCLLGIVSPASVALIWP RIVRRYEVWRPLTSFFFGGSGFPLLYDFFLIYRNSSAMEKDVYLNNTAEYAWLHLMLG LFILTFNSMIGLPFLFRPLLHAQTYIWCRANPTLKVSIFGLLTIPTSLYPPALILLDL LTGGPMKAMGGILGLLSGHLWWFISTYLPLHAPTHLRRPNPLAPPLRFRQLFRRNTPD RSAGFGAYRPESRTTAAAANDPAAAVRHRWGGGQRLGGSSL L199_007731 MIDPPIVDSSSGFRSALDDVPHEFRDYQLRRKPFASHPPASGWK EGDEPGTIRLKQEYTLIFVVDRQKGKVLLGYKRRGMGIDLYNGFGGKVEDGEKIHQCA ARELEEESGLRPKADGIYYKGCLISARPQSAKFSSPACIIRIHFFACVAWSGLPIPTE EMIPKWFDLSEELPVNQMWPEASFYLLPVLQTIQDDQREDLFLSRINYEYMRLSDAPT SLPALDGSTVRRPRLSQDEEEPDFGECLSSWWMCFASSKGHS L199_007732 MAEDPSKPITDDSTATAILRQKRSPHRLMVEESPQEDNSVAILH PNTMEALGLFRGDTVIVRGKLRRDTVLICLSQDDIEEGKIAMNKVARGNCAVKLADLV HVSPANDIKYGKRIHVLPFADSIEGLSGNLFDVYLRPYFLEAYRPVRKGDVFQVRGGM RTVDFKVVEVDPSPYCIVASDTVIHTEGDPIDREAEEANLNAVGYDDLGGCRKQLAQI RELVELPLRHPQLFKAIGIKPPRGILMFGPPGTGKTLMARAVANETGAFFFLINGPEI MSKMAGESESNLRKAFEEAEKNSPSIIFIDEIDSIAPKREKANGEVERRVVSQLLTLM DGLKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGIPDPTGRLEILRIHTKNMKL ADDVDLEQIAADTHGYVGADMASLCSEAAMQQIREKMDLIDLDEDTIDAEVLDSLGVT MENFRFALGVNNPSALRETVVEIPTTTWDDIGGLDKVKRELQETVQYPVEHPEKFLKY GMSPSKGVLFYGPPGTGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVRDVFD KARAAAPCVMFFDELDSIAKSRGGSGGDAGGASDRVLNQILTEMDGMNAKKNVFIIGA TNRPDQIDSALLRPGRLDQLIYIPLPDETSRLSILKATLRKSPIDPGVDLNFLAKSTA GFSGADLTEICQRAAKLAIRASIEADVRKERERKEKAEAEGGDVDLMDADNDEDEVPS ITVDHFEEAMRFARRSVSDADIRRYEMFSTTLQQSRSFGNNFKFPESGQAQEGGASFQ NEADDDE L199_007733 MKFQILALAAATLLGLCSSVAANTTPRQPRGDNYAASLEARAYY LKARQDIADNATTVDPNTIEDCEEDVEDDSAVVSDPSVLATVNSTASAGNSTASAGNS TTVPDEEECEEDEYEEDDSETSADDEDDLPYCDELEGEEEEGDDDPSTAVSSSATPSA TSSQIGAIQAAAAPSADASSDDESCGGVTTVYVTVTAGSDPASTQAAATSQAVQSITN VAAVADPTSSPASAAATSSASSSSATADPEDDEEDLEEEDDSEYDDCEEEEDEDVVSA TVTSTGVSVTTSATAVPNNNAIAGNSTATPEDDDGVVTSSTFTSAESAPTESAIANAT TAITNSTSASTSESVSATVSATESATDFASSTVSSTEEALVTETATNSSTVSSSQEAS ASATEAETEAVTEEATSTAAEGVTVTAEDATATETTVGKRRISFW L199_007734 MSSAKSNYISSSGTIGSQPLTSRLTQQASNYVTLLYLFVETLIS PIVNPASWKDPSVRPPPKSNNNNHGSGRPGGGGGGGGGGSGGGGGGSGGGGRGNGGGG GFMTMGDLRGGGTVDGCRATCG L199_007735 MVGKPLSRKLVVLGDGACGKTSLLTVFTKGYFPTTYEPTVFENY VEMMQVDDQTVELSLWDTAGQEDFDRLRSLSYADTHVVMVCFSVDSPVSLENTESKWI HEVNEFCPGVKIILVALKCDLREDPAIKEKLAQRSLHPVTYDEGLSVARAIRASRYLE CSAKHNRGVQEAIYEAARVAIGSRPKGGGGSGTTGRIRGSGWREGRCVIL L199_007736 MPFEDPNTFKPRRMSREYQNQGRSPGLGKSNMVLPTSRSVSDSY TSSLSPSSPIAHTNFYSPRSRSPSPSRLQGPDLSKYSHPHSNSRRRASSSRTAIIRYF KPYLTPRVVGSLFLWMIALWMIHSFFIPLPIPRLTSPSSFRPTAADHHLSNLFPQPPL RAGDDLLDSVDSRWRPFEPLSPPDPPFPRLRPTRFLPSRCLEQWFADGETLCGKGEMG DEETLDATWLWVNGSDHRWQESMVHWRKKEGVYSPEHHFREQNELVHSMRSVLDALPG HLSTFHLILADYPFEVPNDLSLLPESILEDLEQKVASHGDQRHSHRSRQNDNDQSTRN DSARSFTSLSASLSAHLSSTWRVVQTPTWLDFSRRDKTSPSHPFHPFSSNQYRDARSK LIRAEANYPTLRYASHSEIFHLPSMDRDGLTEELGEREWREREWRKKALPSFNSMAIE SRADVTLSLNDDFFLLRPHAVSDFHSPLYGSVIRFDHGYYQQVRPMLDKNRFNDAGEV GGLYHANYLLSQRFPKRLRPYFAHVPKVITRGLHHEASLMFKEALAESSQRRFREMML GEGDIQMQWLLTSLRVSETYQKVALTGAGRTMARSFALDIHRRQSRAELKDMFGLNDD DDDVIKIEVHRGERWTLEPGRMARAFEQAGWEAPKATDFLFCE L199_007737 MDGHMPPILKAGTDPSANDKCVLDLDRCFGSFWSREEDVPSADV FKRLTFQYPECGDCLLMALVTASGPLGLSAFFPPKGTTFETAPLSPGQSYPRYLPPPH LPLTPTWHEADFSLEAVMSTTALPGEAVDLREYTMKLLSRYQYLSAKSESHFHMLKSA EHAHRVFKMIQDNPRVSILGLNDDIEQDYDEVKGIMLDWFTLRWPRKAVWERGWDPVK DKLEE L199_007738 MLPSTITLVASFLPLLALTSASSHSPHPPHLRHRRISNVVQNIQ RGENTPRAILSPKDNAGHAQAKKVIKKTVKKRGGQQCRPRQAATTSFSAAASVATSSS AVSSVASAAESSVDNNQAWSQPQSSAWSEAPASTSAWAPASTPDSNSGSGIIGSILSV VDGTCGPSNADSSSPNGAEGWLNCGVDGSGWTPPMVTADQLIAAELSADGVFAPCGPY IDKFNQYAAQYGVKGIMLASFAMQESTCNPSATGGNGEAGLMQLAQVNCGGAPGGNCY DIDFNIGRAAELFSNLIQAHGGNVLTAIGSYNGWQPGLTVGSATAAAGQGRCAAQNNL DYIHQFCNGWMQGKSGYDLGSYCE L199_007739 MTSLFGKKHRSSASASSTHGAISPNGLVASVPYNQITSSGPPPV AGPSTKGSGDVTGRMISPPNTNPMLTDQGTPMNVSRRGDGLPLPSNRRGSNRDESDRD RRSHITDPGGGRMSPDLPGQSKRSTADAAGGVRYNQPGIVLDASSSTGIRYQPPTVVT QNTARSPTPQELGGPAAKHPYAMSYGFQDPETASIRTVSSINSQRPNRDGRYPTFDGP PPARSSVSSRHAHPSSNGRSTPTASTSTTSLVQPHTPRQDDFHFPRPNDARIEELFQQ LLESRDLDTSQPKGVPSISSRSSISSTVSNIAKTASSIPIESKWQMVEADARNRHENA RVARRKEEELNRMSIGKRVDARSLDRTTAEWYLTKALDGKLTVDHLKALDISLRTETL DWMDNFMAHQGQVVLANYLNGITHRKGRGPVDANLELELLKCLRRSLGNKVNAFQKPQ VINAIVSSLISPHIVCRKIAGEILVFFCHYNADANPRLGLRLVLSGFTALEQQINASV ADLAYKVGRFDAWLRQFEATIDGRGRMGSMVGLSKDLRGMDDGFLMDYCVTMLCLVQG VMSGKDIKSRCSVRAQLETAGILNIFHKIKQWNDPDTMKLIIEYETEAENDRRDLIDE EDREMLKSMRSPEDVFRALLQTTKGSKASAYLLNALRHLLLIKGEKEEKVRYFQLLDK LITSIVMSDTPDLSQDFSRAFGISVSHLVGKFVEQDRLDEAMVELKSMKVALARLQEE KRSLQEEISAGDEGLVGKLKAQVIDLEEKLRRSRAATETVTDQMEGMKRDYEQRIADL ELYIQELFNMLRETNHLEQVQEMTHGPIDRRKLIHDLREQWERKKTIRKLEGKNRRKT ARPGSTILEDESEEDVEDEDGEVMEAEKVALGGQAKGEVKNAHRESHRDRKAMSGSQF MDAEEERVRAHIENSLAKGADSVSPIRPQGASSSRTGRTRDDLTPTRRAFDINAPPVG EKSIYRQSKKPGLPPRFLEEIRFKALSRSSSAPAGLVSDDDVEGGDYRDSQFTQRTDG TGYTSVMSYEIRTPSSRDSFRVQVLSRAKSIRHGLISDDILPEDAGNLSTVQEGEESR GSVGYDTEDGAGELEKPSSSVAHSPPPPPPPPPPPPPPPPLPMFGTPASTSTSSFSSP PPPPPPPPPPPPPGAFAGPGAQLPGIWFSYPPPPPPPPISPQNSLPTVARAPLGSISS LLHGSNDSRKDIGVIASKKMKQLQWEKVSKNQLSKTVWGQADEVESELVDKMKAVNLW DEMEDEFKAKEIIYDAVKKRKETELQSVLAPDHRKRIEILMAGSTAKSFKDPERLSEA IANFSSELCTETFLHELQGVLPNDDDRGKLLTHSADTEAELELLHPADRLMVRLIQLP HLNDRVKGMLFEVRFAQNMDLLEQSLSILKSACHDLRHAERFQKLLNVILTMGNYLNG TNFAGGAFGFKIASINRLVDTKSSGGGNLLHFLEKTVSQHFPHLDGFLDELAKPAEAS RVNYADMQATSKHMLDEIRKIRDSLRNNFEEGVDGYTKKMFRFSAVAEERLQDLRDGI IGADKELREVETYYGEGEEMGRPLQSQDFFGIFRTFTSSYRFCRSQNRARAEEAAARE RRAAAKAALTPQTTGMSTASRDLIDGTMQRLKVEGTPRVKRERRAHLPPPSPLPQTTD FSDFMIPLSAGDTETLDFGSLAQRMMLDENFFPGLSSGGGFGEPIDTGLLSPQPTGEE PLSPESPSPNPLTIAEQEGKALLPSPSEMGRHSPIPLSKVSEQEEDEGRFED L199_007740 MSFYLAIVSPLDSPLFELSFPSAKPPPGSSSASSTSSFPSWSTF TAANGSDLGADGPGAKVGGSMGLLSGESKTGPERDRAMCQMIAHKSLDSVEEMTDGTG SLYLKNIDKHNEWIVSAFIATNVKFILLHDIKNDDGIRLFFLDVWESYVKILLNPFHT VNTPIRNPVFESKIRASAKKNL L199_007741 MGYYASGLLVSSTSLATVIGLYLLFTGQGESFNVGKFLAESSPY AWALTGIGLCIGLSVTGAAWGIFVTGASILGGGIRAPRISTKNLISIIFCEVVAIYGV IIAIIYSSRVNGDVENLYTANNYYTGFALFWGGLTVGICNLLCGISVGITGSTAALAD AADPQLFVKILIVEIFGSVLGLFGLIVGLLVSGKAEDFA L199_007742 MSTKSSPASSPAKDGTDMQRQGSSQSDKDGNSSLKKRVREGSSE PSQAGASIPDPVATKKNRIHSSSKSAGPNDIPEVEGEDHSSPAQDVDLEAGTSASRNE EKPVGEVRKKVEKMSYEEGKLSDPSRTQQSATLNKDVEVEGEGKQTERPNGDESWEKI EKDEVESSNGESLKRKALNRSESSYAHEPEDFSTKRAKDVAELPSDEAKKPPSPKKTQ KTFSSFASSASPFASLKGSSPTADDSTSTLAAPKPETAKKPQATFGSFSGTSSPFASG VSPAPTSSTPLSSQTTETEITAPAKKPQATFGAFSSSASPFASTKSTSAFGSAPIKGS AFGTYSSTSSAFSTKKTTPVEGEEKSEAGPSNFGDILKETGGDEETEEKVEMQEQDVS TGEEEEETVFQTRAKLYINDRKTGWKERGVGILRLNVNRSDGSGARLVMRADGVLRLI LNSRIYKGITTYPSDKKVNMTLPEDGRMVIACFRMSNTKQVEDFIEAVEQHQPESWVP DTSYTTPA L199_007743 MPVPTHLKLLPLRQNSNNQTQHHHGPPFADSGSNPTSTMKSTHQ TQRHPHFEQQIHQQYPHQRDSHPRSPTHHEHPHETEPMLPSESSHSHHSHQGHDRHPS HPAHPTHHDHDHNHVNSHHPIAHHEATPTQSLPVPPPKSPKSPKSPTPLSKRLLFAFT NKPTISKTWEKRSYADQRRSAPLNGSMDQGREEMGMGMSLQEYSTPRSRPMSFYASPA EIAAFKPLPMVDPHYPTGDTHAHAHSAPNLPVPPTRV L199_007744 MTAYTLYAISFLIPIVIWWYRFKPITLPGVPHWPNGHFLWGDLL RLKHILLNNGSFTDFLDLSASTLGPICQIRLGPFAHMVVITDYMEMESLLLRRHQSLD RSSQTTSLFKTILPRALLTLKSALTMPNITRSAQDLARLWEKKNDLSRGRSWQAEKDL ESATMDAICGMAFDKSWDVVPSYINRLERINAHTEGGTVEMGDRDEMIFRLEAPDLAK STWYIFKAVPVQSPFPALTHFFTRLKPSYLYHVKRIDDFLSDRLYEARQRILTTSLEV AWEVADNTLDLLVAKRMQQGDEAMSDDEIKQELLQYLLAGTETSSTTLAWWCKFMTNN PSIQTKLRGHLLERLPENSDKNINFDDLSPANVPYLEAVVHETLRVARTAGGFLRDTK EDMMILGHHIPKGTTLAFPTSIGYEDCSPVDHKRPKSPGTESQHTTDHDQKRRVGYWD YGTGHIFDPERWLTEDGRFNPIAGPSLPFSLGQRRCFGKNLALLELRVFIAQLNLSFF FAPIPADQNRFDRLDDVISHPKDCFIRPIPWARRDASC L199_007745 MSLSSYTEAALGEPWSTPATSQAEEPTVESALEKEKIIKDILSL RDGLRGLLVRVTEVEADNEKLAKDNEMLSVYIDNLTRNSVVAAGTKR L199_007746 MSDIARKTFELNNDVQSVDPTAAIFQYPREEEKALEDDAPWSKD PHYFHTVKISAVALIKMVTHARSGGAYEIMGVMYGRVKDGVFWIMDAAALPVQGTETR VNAGNEAMEYMVSFQESSREAGKGELLRGWYHSHPGYGCWLSGIDVNTQLNQQKFNDP YLAVVIDPNRTVSAGKVEIGAFRTYPEGYKPPSSGTSQYQSIPMEKIEDFGVHADAYY PLKVEIYKTKLDEQLLDLLWNKYWVATLSSSLLTSNREYSTSQVKDLNAKLQVASSNL SSSTSNLKLKSAPAGQASAKGKVNIKDYAGVEEEDTALAKVAKDSSRIATEAQNGMIS QLLKDKLFNTPLQAPLDPTTARATVQGRQ L199_007747 MGIRVYLRERKLIQTCPLSAIANTRLGIDATYYLSHLLSDADSR EPLVAATGGLPLGIIAKIETDLRALERLNIKPVFVFSGLPLASRPPQKGPDPQAERET QVKNEAWSYYENGQVERAIMQLTAIRNGSWTDHKDLLRLIIRLFRHRFVEFVIAPYIE FAQLAYLLQHPKGYIHAVYSSTECLMWPVERVITSTDWTHNFQFVEKTRLLVDLNLTS EQFLDMGILAGSSLSRTFPPIANDFAVKTVIDLMRHHKSGILVCQNWRESQFKTQNYI DAFWKARLAVKCSLVLTTEGSCVPLPTVVAPLGQPFTLSDIPGDLDEIFSPRIPDELY FYICKGLISSQVVGWITSGIIHENQPLADTTDYHRFIKDVITEGPTSPRCTTIALLLN VLHPDWSKRRISAHYFFDPPFAGPQGTNIPYNDATTQSLVEKLSGWHVPMPTIESELR RQNSSTIDLKLCIGALVTEELAAHTRKDKGSKALDKKDELVANVLWRLMELRGFINAN HTQTLIGKALYAANAVSRVNDRFQEPLYLLLELLRAGVVHGSKWGGENSETLSGGPSF GTDEEQKSILLIMRCISILPLMSRPQQWVGPLSRELLVFNSFVRALSKSLRHLCEAVS VHILLAGSARRNREDYQDFMLSLPFQSEVNTGFGILTKTYLDATTYHFEDTITEADAN SDRAIQAKKDALSFVEQSFSSVKSPLQEVERGFRFWDSIMAAIRSLDKEQGPNPSLAQ RVVGKDVIEQFENADKWLKPMRP L199_007748 MSTPSPESIQSLFLQTLSANGSIPDSRELVVDGRALNTPEDQGA VRGVLDSLQSKEMIEYKQITTTSFTLTEEGKTINENGSHEIRVWKVLPVKGQGEPVTV QELQKLVGPDAKVGQSRAFKNKWIAKDGAGFVRAVEAPSDETADQLREINEKGDHAGG ESVTKELQKRKLIQPKKHIHYSVTKGSNFSTEVKQLETDLTADMLQSGAWKDSSFKQY NFAATGQPTDGGALHPLLKVREEFRNIFFDMGFTEMPTNRFVESAFWNFDAMFVPQQH PAREMQDTFYVKDPVKALQPDPEYYERVRKIHEEGGYGSIGYRAPFSREESEKLVLRT HTTAVSTAMLYELANQKGGFKPAKMYSIDRVFRNETADATHLAEFHQVEGVVADYNIT LGNLIAFMQEFFAKTGNHKLRFKPAYNPYTEPSMEVFSWHEGLGKWIEIANSGIFRPE MLEPMGLPKGVRVLGWGMSLERPTMIKYKISDIRTLVGHKTDLDQVKKRPAVRLEKGD D L199_007749 MIGLPYLTKRIIVRSFLITFGIMALFIISSNIIDDQGYEHQRQR AKSPTASPYVGNPGGGWFKSPNKWSISNGMKDLIQAGKWRNNMQGDMLIDLDGGFEGR YSSYEDVENVEGRDGWVDLEWELVVTNYEGGTPGYQVFSNMYLINSVLTSVVPSDASQ IIELPTDDEDAYQMDLEIQEQMSNKPKRNPFPEVKHILSSEKRGQGADEGRWKIVDQD VGRDEIGKKGYKLGGVTYIFNDPPGPDGYLVYFRHFVLEAFLGATRVLASTMPYSMSK PVPKRVWFPRCGADPSWRDDRGDNAWFLAHALPSVSIEDKQGWEDRNTAGLPLLLEKV VIVDRWAAHASGGEVGKWGKMNALIPSISATRSFWDSVRSNVMRSLGVDDHSEVGSRG LPVIVYVDRQKENPKMKQSDHDALVEGLMSLTNIAEVHVARLSAMSKARQVDLVGRAQ VLVSLHGDELFNTLWMPPREDSLVIELFEDGGFIRDFELLATGLNHKYIAVQGDQVLM EEKWRETGATKGDERDRGEISINTELVVRLIEESLGSDPELESDSIAGK L199_007750 MGPKRKRSRRSTTTSSVYNREDADLELSSNDNTIFKVDSFYLKA HSEVFRNMFTDQLFTNSAIPIDLSSKDLTCLLDIMIPNLGIRSYWQEHKDVDLARYAI ESIAKFKDKHLISAGNMPLSMAETIDLPFLLPLLEQVQVHSAQIYTMENRYTEGQVRE IWAKIAKDPQPRE L199_007751 MRKLRSSVKNLSGCRSTQEISFEYNASDAEILFMSIDNIQFKVH SYMLRANSAVFRDLLGDTSSKPTFQPIRIDATSTDFTCFLNFLYQSHPTLPSSWTQAE VVSELCDRYKCDIIKERMMLALRSFSPKRPWEAFCFASHHDDIILARHALQSLGLDKK RKNATSTETTLEDASKATLPYLLGYFDLCNMLEPPLIYRSGLLEKDWNTLGSWFTPRK L199_007752 MSPEGTSKYSESYNDESANITLTSSDDVLFKVNSYEMKTHSSVL RDILSDPNLKSSTIPIDPDSSVLILFLDNMTKYPPPLVSSWKMAESLFSLADKYDCRI VHETLKFRLGHVTMIAPWEVFCFASHENDLDLARKALEKMGQDFRRNEMTLTDMSAKD ILEPTAPYLVGLLDQLGTNRTVTRNTRSHRNDVNWEIMAKYFTPRL L199_007753 MPDPFFQSEKKRKRTNRAGPSRSNGGEGRPLPYGKGQKSKSSRR AEKDEELSSDAEGENGGGDLDMMDFRAGREDVHFSDEELIDQNETAAEKRVRLAKGYL AKVRDEVEAANANTDYDAAEIDRELIASRLQKDVAEQSGKIHLYIASNTTNVTTRFLP TSPHVPTSAAMTPKYLYVSTKRGSIIRYATSTLKKIGKLFGQAVGEGGGHKGEILCIA ASEDGKFVVTGGRDKVIGVWNVEGDEPVWVTGLRGHKDAVTSIAMPALNNPSHHILSA SLSRHLALHSIATLSVIDTFFGHQDSIPSVSSLKPTLAVTAGSRDRTCRWWKVEEEVQ LVFRGGGKTRSDQTGLLPEERKERLGGGWTEGVDPAEEAKKDRKGKGKEFVEGSIDVV EMLDDQHFLSGGDSGSISLWHIGKKKPIFTKAFAHGLTDLVDSEDYAITGPRWITALA GLRGTNLFASGSYDGQIRFWALDPSLKNFSAISLTIPIRGFINSIQLLSYPTNTIQPS SFPESADAESEKRSKNDIYIVVSLGQEPRLGRWMNDKKAKNGVIVGKVELDDEGRKSM I L199_007754 MKLKDISRTATFAWDQSSSSSPLLATGAVAGALDENFSNESQLE IWAPDFGDEKGIRLGGEGKGAQGSITVNSRFNRLAWSAPSASHPKGLLAAGMETGEVN LFDPEKILSGSSADEARIFKSDKHTGPVRGLDFNQIQKNLLLTGAVNAELYIYDLNNP NNAPVPPGPTSTKLNEITSLQWNPTVARVFAASSSSGFTSVWDLKAGKEIVSLQYGGG AAKGMETVGGVAGLQMGKRRGMSDVCWHPENATRLITASEDDESPIIMLWDLRNTRAP EKILSGHHKGVLSVAWCKQDADLLLSCGKDNRTLCWNPSTGEIIGEPAEKLNEEASAD DIFGALSQEEPSNDTANVLSLKQPPKWLRRPVSATFGFGGLLATTSNLPGASGKHQSG VVHLRTVTTEQGVIERATALDATAGEKEKLAEFCSDRASGNDDAWRALQTLFKTNSRE ELVQLLGFSKEEVVKKVQEAIGKFPSVTVTEEPLEDQTKADAKDESEVATPTVEKTPE EASSVADETASVKGSETASTTGATDKSLFDEDHAPGTPAAAAADFFSSMATGTLRNPQ LDSIIPHKNNEFVDSSVAATIGSRASSVRDEIITKENTFQIYPEGESDIDKLITQALV MGDFKSAVDLCLASERFADALLLAVRGGPDLLQSTQKAYFARQTASLPFLRVFQSIVT EDLIDIVQNADLREWKVAFVVICTFAKESEFSNLAEQLGQRLQFKWRTLTGSDSPEAK ESARIARQDATLCYLAARKLEKVVSIWVDEMSEEEEATDTTRYAAHAQALQSFIEKVA VFTAATGYVDEDLLSPTESAVAAETGARTYKLAGLYDRYYEYADLLATQGLVDIAAKF VKITPPDYKGTGAAGSELDKARDRLFTVAGIQAGSVSVNSAFGAAKTQPQASTSYAPT SSSSYAPQTAGPYSLAPSSTGPYSVVQPPAPYGQSRPSAYAPPPAPTAPTQNAYKPPT TSTPYQPAQQQQSYGGYDETNPYAPSPVSTYQPAGYSANGYRPNEPQAQGYGAPQQPY GAPPQVIPPPPRARSINGPTSNTPPPLPAAQRRDIPGWNDAPNLAPKRPQSAVNKEVN KPTAITSPFPNMPNPFEAQPTGFTPPPARQTPQSGVLPPPPKGGPRAPSTQMPPPPRS VASPPAQQQSPPQFQPPPPRGQPLAGPPPAARPAPPPQARAGPPPPGVMAGPPPQRAL SPLGPGRVGSPLAGQIRPPPQQRPPQAMSPPTQHQAPPPPPMGSRMAGPPPPGRSPSV QQQQQQGGPPPQVINSPPKAAQQEVQPPPATPAKPTHPAGDRSHIPPSSRPVFETLSN ELSAVKQKNFPPNVKKVVEDTERRLNILFDGLNNDSVPKPALDLLNNITKAIAAKDWN AALAMHVELLTSATGDMTTWVPGVKQLVRLSV L199_007755 MMTSSHPSPSSPFQPVFSSNQYWEASAPTTPTSHQPFSHRLRST MSHPFSSTSSASSSRCASPSGSWSASPAMSPNPSMSYHSRESTAESVELSTPSSSRRR HGGGFWTSLIHHGKHAPKELDHSEDEMMQVDTVADSFSFTPPPSRPICSLSASYQCSE TSTLIPPSFYPGQSIPVVLTFELDRFSSLPHYLNPTLSMSLIGTLHLPGQLPRTIICV SVSLSEGLALWARDAQQTYLRNPPQPSECSIDPTYGLPGGTYSLPLTVQVPSTPRLPP SFTVRSSSFAVTYALTVTLSCDDPAFPNTGARVVLADTAKPFEMMPETLPTRAPRYVP SSFFVKTDLPIESTFMTPGEVLPILPRQSVKWTIHPHIPTTTYSPTSIIPFSLSLTPP SVSDLELELPSGYRPLQPTMQVLIRLALVRREHSSLSKQEPLDSQGNGLVIEEEIVSR WGCIESSLEERIKLKDIALPLLPHGSTTWKHGMSTMLNVNSSTTSPSPESEEPGVSVS STFHLNVTLAFLSITPGSPVLTDYLPAGFSDLENVSISIPRPGEFSEPLSPNSPGYFN ISQFKRFFPGTVKTLPLPIVVGSVSEPRGAMHNIRWSDLHLSRNARGREVGRMIHGES LSMENGWMVPPPSYNEAIKMVPYEFKVEL L199_007756 MRRTFTEEPSNWNHGKQEISPDVKIHYVDVQPSSFNNQPSRPAS EKTILLIHGFPQTWYCWRQVIRPLTELGLRVIAVDYRGAGDSDRPRDGYDKLTMSRDI HTLYKDKLGIDKVILVGSDIGSMVASNLAMQFEDDVEALITFEAPIPGTQSFDKATTE PSSTWTFLWHFFFHNQADLPELLIQGKEKEYIGHFYHRLCYDPSSLTDKDLETYTKAF SSPGGIRCGLDTYRAFQQDVKDFRETLKENGKLSIPTSTLAGESSPMQEFIEDQTKEY SNDVTFKLVPKSMHWIPEENPEGFLDAVAGFLKDKKIL L199_007757 MPTSLFAQQTMPSHDSEEDSLFFEDSISPSNALFPSELLDPSSL LNIPSTTNGGPSSMNRPSPASDNSLSPLAYQQSFGLSSGSSSSRVPSLSPSTQSINLS NMGSISMNGHSPRSSSSPSSHSNFSLSATDDFLFNNNNSFDSTELDVLFQSEDSKMLL NGTGEDQTLNQFVNIPNGNEGQDKSNGQSLFFPDQQSNPFLTHDNDGNLDFLQNLLFS GNGNTNTLNGLGSDDTFDMLNLDSPISSGKKSSQSGFPIDFDFVQQAKAIGNMTHSQQ QTLQPQYMKDQVKQEGWPIGHLNGFENQLQVNNDQMGNLGYGMNTNGSSLNQPSTDLS TSNFKQPRQTKVSQQPRQSNKTPAALKESSDPPVVGKHNKTERRYRQKVQAAQADLRD AIPALRVLYATSTEEQKRTTDFRAADGTVDGLGEVTRPNASAKATILIGAKKYIELLQ RRSAMLQRKVDELEAFRLAVAGEDNLKLWQADFGARESLIQAAAEAAAMKAEEDSLDE DDDVDEEEEEQQPKRKRVKTATAPKQTRSKPGPKKKDQNSTTTTAQNVASGGLRMFAA FAVSFSFLPSASNVLKQTSTQSSTSTMPSAVIGQATTGQILSKLPLITAEHTSRLLAR GLPGPIAPAPHTLIDWTWRLLVAVILAASMGPIISHWTKSEEKKKAGNISLFAKDCAR LIVPVGKKVKASDDQAYWNQKAANIIGGAIKPSTLEKWHVVVHLHNTASEAYSLTLLA LLQHDIPFLRSSTQIWRDAQSRITTSTPLPLATVLKLPLHEALRCAESLDRTSSPITA IAEQITLVHVYDLYSRFFIKLVDASTRSKSAGNTTASLKTLLSNLESYDIGQSLKLSA FDKEVRTTIEGLPKGSAAHALGLVLIGLWGIFVKPTPSAQAALATALAAEEVQGAGKG LSSISAMLELLYPGSKDVLAIHEVDKSLQENRLSKNALAVDKLALTCIEYIKLLISSN EVNDRTVNESRLKRLENSRNIQKASSHLRLVLTQIKFIGVGFEDSSILHPDDEEEEIE EDGEEEEVLDKREKDVHQDLENEVRRFELAKENLVRVLCDVSRRAAGRAGGRDEDSGL EGDLDDL L199_007758 MTIMNWIWTPATNGKVIIDTTAGEIEVELWGKECPKAVRNFLAL SMEGYYDGVIFHRVVPGFIIQSGDPTGTGMGGESFYGEPFQDEIHPRLRFNRRGLMGM ANNSKRHTNTSQFFFTLDKAEELTNKHTLFGKIVGNTIYNVMSIGNLDVDAEERPLVP PKIRGIRIIENPFDDIVPRITASERRAQQQARLEAKKEMEFREKRAKAKKNTGLLSFG DSEEIPDTDIKVKKKGMTRQDLLDPAESAPSKPAESYVKVPDSLKDLGDSSKKEKEKK AAVDLKAIREQHEREKAGSSASRQAEIKRMEEDLRRLKKRTGDASDSDSDEGRSKRHK GPSVLEQELAKYSKNRGRAAAKHGNKRGRRDEEDDILKEMSRFSSKVAKAAPEEDEEP TTRPTEEYEQAGEDDLEVDDDVGWMKHSLKFLVDEKELTRRAEEEYSVIDPRAKARQL AEDSRREKEGHRKGMRTAADVGRRR L199_007759 MASEGLTRRRGAGASPAIGSASPAFSDTPTFASTSGGGPRRPGG TTNNAPTSSAGGSGGAGAMEGRGKIAYDPRDFENGGESETMPRLTIMEEVLLLGLKDK AGYLSFWNDNISYALRGCILIELALRRRIAMVKDPSRRRLALADRLIEVIDDRQTGET ILDEALKMMKSSEKYGTGAWVDLMSGETWNVMKIGYQLKQVRERLAKGLVDKGVLRTE KRNFLLFDMATHPIADMNAKDDVMRRVLTLLTARTAAIPPQALHKEGVKYRHTRAVVL VCAAYASSVLENALQRLSYDSREAAFARCDDILAEFSTWPFGVTTSNVAGPVSIGGGT RKREGGSGGVGRESVQELVREVRKEMAASTTGQAGAGGGQEEQEELCFEVVATVLEIF GRMDSLIPPPHCH L199_007760 MPPPFDGYGSAYPLGLAESSQSPGRRQRISMACQYCRHRKIRCC GGSPCRNCTRAKRECEYAPVPEEVNRATREKKAIAKAAKAVQNISPITTSSPYFPEQP VFNVPYVSGPGPIRPAHLGHRRTVSMPSSGVAPWVTPPSAPALASPPMFESPHWMYNG WTAGAGHVHGHVSAPAQYPLQTHHEHAHTHTPVSTFPSVLEQTPMHDAYLNGQMQHEV MVSNPNLTPADTSRSSSTEHDYPPNMIPPPTLPTSWSTPHLPTQTYLRPAIPIPVTPV VTKGTGTSPITPATTTGYQTPFPTPPLFQVPFAYHQQPQQHGQYPYYSPSPLGQSTTT SPTLAPEMSLPQEKEQLIGLGIGVPEVTTLQQNEYYQTPTPTLSSDEYFSPPMQMQF L199_007761 MCCCEAEWKREVVPDHKFDFVNVREFHKTDIWTRIKYIFKYVFL LKSIAVYGLDIFTASTMILADHWTNSIGQRCGDNCAIDVQFKIAKWVFVGCIIFSFLL LAYETWKAKQVIVSRDISYAFTNLMANDYYSFRNYDNFCLFCHIEGSTKKKDDFAFFI FFTFKGWKRLLLADGPRQSINALVLYSFAYAYGFQTSDIPAYWDNSAITAMLLFSMIA TVLIFAGSLLLLIVAAICYVPLLCYIQGNLKEYVCHKVDKRISELIKKKQRQRIARNA ALEKKMAQGGMKNSKGELLEDSMPQPTLPQISLDDVNDDGEKMRSRKNGLGMDDSSLK GYEYPPPGISSPYGPSGYSDDYGSSSNLMANAAPIGISYPPPVQGSIPTTRPYSPSFP TSRSNSDFSQPCLPPSRSHSDLSQPSFPPSRSHSDLQRFGPPPSNTGSTSPYDQRYMR NSGGSAGLPYDHPRSITSPHDYTPNGYDHAQVSYPQVLNNNHGW L199_007762 MTTSSSSTLPGVKHKVIGLVSGGKDSCFNLMHCVANGHEIVALA TLTPEPGVDELDSHLYQSVGTHLLPLIAESMNLPLYTRIIKGKAVSKGAEYGSRLQGG EGSGEKGDETEDLTEMLKEVMSAHPEATALSSGAILSTYQRLRIEHVCSRLNLVSLAY LWQSQQMPLLNKMLSCEMEVVLVKVAGVGLGTKVVRKTLGEIMPLLTRLEQTYGSHPA GEGGEYETLTLSTPLFSHRVKLTKTKTIVTDPEPYPVAYLKVEDAELEPKEGWVKPTV EELREMLGLDDETPGQEGVDEDGLEILDEIKGNLNLERLNINDETQDRGIHQSGESSQ GKSDGTPRFERRGRWFCVSADGVTKEDEDVGEELKMCFDSISNTLKSHSLSLPLHSTH ITLLLSSMSLFLPANAAYKTYFGTSPPSRATVAVPLQNNRVRIEVIGFDDTPTLSPSS SSLKLVGDRNALHVQSLSYWAPANIGPYSQAVMVNQRIHLAGQIPLLPPSLTLPLPPS KGSPYPHQAVLALQHVGKIIDVLKSRNYTGGGWKGWIESCVGWWARPSSGDDVGDGVG VVRNAWKLWVEKTYSHQPPVIFVQAKELPKSALVEYQVNVHTGRKGVDPLLETSSISI PQQSDSEEDDDEDEDLILSYCSGSDEDQEVWWEMYECSGKNQGSRAVVFSPSIESLLK ISELSGIKKALEKAVTVRIYHLPNTSDQLMTFTSILKCNYTLIPVYSIHDKNGKEAMV GLEVFGI L199_007763 MTNTTSTPSSSAQWHTISPHTRPLTLTVLELTPLALTLSLSLTP PIPQSHSHTHSSLPHLVHQNHHTHAQSPSHSKSKKRIHGSNPTTPRAKRNGDVSEEED DEVDYSSWIDTSSSSSFKDLLSHGVVVSVNGQPWSRIYAHVSEDEDSELDWEDEHEDL SGSNTAIVVNDEEGIEEGSITRRRPRKPRFASSATNHTSQDKDLVLAGTAGGHGKRKG GKEKMDKDRAVVVVYGLSPGKEYEVELRVVGLFSQEGGEGLISTSVLIPPSPTPNSNL HPRSRANSLRSRSRPRSRSNSLTGGSPGHPPSSSPLSPTRSSDAVATLDSLALGSHDT LSPDTATIIPTPVLNAVDTQTAQLRHLIATAHAEKEHLQTQIKEARRTSQRQEAAMKA EIENVKKAIEKAGSMDLRSKQKALATQEQVKQGWAGAESAEKDASDVESGLDTLESKL EALNIEVEAIQLEWKSIKEREEELREKDKKTRLEEDKKLHEVLNKMEKLKSKKSKKQS EQEELGKQLKEVEGKIKDVEKKNQEDRERAMRKNSNAASYFAAGYGSNNNNNNDNYDY GGIGLGGQRSISAHPSLTNLNGHYAAGPAFRPRGGAGGASGYQPRFPSAGSTFRPSPS QPAHSPTHPNSFYQIQHPVPPNANPNTSPAFRPPKLANQPSRSTSGPTSSQSTNTTST SSGVNAAALPFHPANFSPNNEPPNTTHHTTLMPPQLQHRIYLPNVRPRPTPNFHPPPS VLAEQAQAAQAQAKSSNNSSGSGNSPANDGGGVRSPNHSPPNFPPLPVNKQNPTSTSS PSTASGPGSGSAGPSLASIVTRAVLSPTSALAQQSQAANIGNVASSVLKNPPTSHEGG SPVPTPPSSARLSSPNNSTTTTSSAGAGGGEFPPLSPTWPNSLGQPIRRENTPPISNI WNIPKDRERDSPVGNAVLRKPSRSGSGEASN L199_007764 MISKFSTSLLAVVLALGGVRAAQYPLTESHHGTTFFDGWRFPAE TYDNTTNGDTFWATAQNTSLLYTNDAGRVILKVDNTTSVPYNEKRYAPKLLSKNTYDP GTVFVMDAVHLPYGCSVWGAFWTQGANWPAGGEIDIFEGINQRKENMMALHTSGGTCT IDQNSQMVGRIDATDCDQSANSGSGCTVYDQNENSYGEAFSQAGGGVFVTEWTNEAIK IWFISRSNVPDSLSATADTFDTSVLGTPTAEYSSSTCDITNLFEPLNIALCGDFAGLP ALLEQTCPALEGDKTCYTTYVIDDASATYANAYFELNYINIYTTNQTAGNDVPTVSGI GKSTTTVTAGVSKTASGTGSAATNGTNAAGSNSSAGRNGVEWGLMGLIGLGLSLII L199_007765 MDDSLIPSLKKTAHRVVRNAARPGGTLEQGVFTMFMARSEIERT MGLDQGELGKGRWKSMVKELVKAAVDDIDNIPSSSRASSSSYPSLSSSPSPLAQSTTT KSRSKSKASSSRSIKAKKSKRQESDEEDEYHSNSPKKIHKCGPKKRKSKGKKVDNESE SEEKEEEEEVIENDDYDEGFPSSMPKSTPPKRPSNKNQQRKEEQEEEETETRKTYVEE PQARMSDSDMSSVYDEPPPSKSKARKSASASVSAVGKRKSVSKSVSVLSSDDEKPPKK SRGSNKNKKDPNEGLSPDEAKLADLKRIVVACGVRKQWAKEFADCPTTSSQIRHVQGL LSSLGMKGQPTLGKAKALKAKRELAQELDDVKTFEAARGLSSNTRERRSRASSGTKRK KVIGSDEEEEEEEEEDEPIELDKEESALGAVLDLDFLGGDSDSD L199_007766 MESSHHSFIQPAKSPKLASSSQSTSPFDLTLLPSEWVDEEKSNT FFGKILKNQSTSTEYEDKVEVIEGNPLEELCMVDPLSIHLRKVNLLSNIQWVNMHSIQ SLVGLSMITRRAYRTDTYQPQRVEVGHKRLFDNLIGIKMNSSIKFDQNSFGPRTRNVS ITSLGTHLRPLQFHLQICTEDDLRPGCLGLYLKALCEDWYLDLVVYHVHLPNPSKDAL QRGNTNYNADRIIRKLGDLPTAKQIIFDFRNSCTADPSSLNISPKTTAELYKMDGEGT SWESIDLNCWNILGAILSKVDSSDWIQRSSYSSPHEVRSRTGKEDGDAWKEGGGNVEE VRPSLEIHGLPCIAKITLDSMKVAASQRMLGQEHEIAGWKEGERDEWLRYNLKSFNEG DDEWCECSASAINT L199_007767 MAAFTNKKVRKDNSRTLSSPDLPPLSLDRFPPEIRDMILTNIAA LPKHHLVDMMITSQQMYHHFGKILYHTLRIDEFNAKSVFKGLLLYTRPDEQPLVFRDE FRKDIDFATASKEMISAHIYFKDIPQTLTSIRHVSFGSPLVQAMVWTIPKYQCPNMLH STFTYSPVQFRT L199_007768 MSTVHPTPEDDERVSPLALERFPSTVLRMIIDYLSVSDKPDLIQ LMYCSKDTYRRFYPYLYETFTARQQHAHHILSSANRVKLCPGQGDEVNVIGDEKPLST IPSPIEMLRSTKHLILEDLDSALSIAGLWGPTGNRPADLHPIDQAASPFDRVETLSFG FRAIQNLDMESSSPAALELQDQLLAFTSFLRPLHICFDLLKGGNDDVDYVWAVSTFFN KLHEAAKDSWTIESTTIHGVDRRGFPAMSHWRKYTKIIFEDCSAGLRKKENSAELHHI EDCICLPNFFWTIATLCSAFPPPDIAETLRPYHLELINLPCSSAAYFKLENIVKVMIG PGIEVYDESTIGHWNKALEWMRTNLTVTSKEDAEPCVCCGKV L199_007769 MSTPASTSTSASASTARRQIPYQPRSSPSTTPFPYTARDLEPMD NSSDEIFYSEPRFVTHIDDGAIIALRSYFAEVLPTSKGSKILDMCTSWISHYPSEIEK AVEDGIIEVVGIGMNNEEMKYNKVLKGKYIVKDLNRDPTVSGWPGDEEGESEMLDSTT CTVSIDYITKPLEVLTSLRARTKKGGSVHLIISNRCFPSKVVGRWMGIYEDDRLNMVG DYLAWSGWNGIEILVLKEGSRFTRGDPLWVVRGYKE L199_007770 MYDHFSPYLYSRVEVNRFNKLKVLYGLDYDLEKHKQEGRRVDSM MALARSKRTMDALMEEILGPPLEAHNRKLKLLNMVKTLVITDFFAAEAIARSFHPEYS DYLDKDGERHIEDEMYRKHPDLRPHLGIKKPFPVPKSRPLVFQNVENISLGYQVLAAS FFYSICKHDGEPIIHPIIKALAKGLNCKNVSHDYEASMKYHKDGYDENFDLTLKPFLD NWDFTTSNWHNMNQIIMPCTHSTPKMRLFFDPDSVQENPTKNHPLVIPEEDEVAQASL MFYDKRFASETSDEDSYDDCMEAAQKRWKQRKDSGWYEKYAKIVNWEDAEPCVCCGEK RAPGRKAYIESNARFALME L199_007771 MPPIKQSKKSSTTPKDQSNSKTKAKPKSAPQTLPFSTFPIEITS LIFSYIDDQHSRQDFYNYIQVSRQFYTRFIPSVYRKLELKDRNVKKIFRGANIDIKPV KPATRYESDPDFGSDCQDSDGGEDEKDGYSTDEEDSDTSIDDGKERKWDFGLHPQDIH SRKVSHLQHVKYLIITDRTAASTVAAILKEPKGNSDHGKPFSQLHTLSFGHPFLISRG FYPDCACETQPKSSKVTRQLATLRPKHVCADWSASVKFHPDEDGFDTYMHLLIGKYNL ESFTWHGMFAETTFPWCPDGVASFRVFAKTCGGWNREEQEYYECDCQSKLEQVPHFYP KKKDSKFRLEVINLDCLGDIGFVYVDKIGKVSGVAHAKENESVVDDSKPRIKVTMRAQ AEPCICCGQK L199_007772 MTTFTGLGVSGSSSPLDLDRFPSDIIYHLFLTFDDLPQPTLFSL IRCSWEMYNRFAPVLYRSIDLQKNNARSVFSGLDKAGGQNDRPQHGRKIDMLGCTREL IINDLPSAEYLSRALTSRQLSPTASPNPYFPNLTPSLFQSLHTLVLSSKAIMGLADLY NSCSWGSSPFNIPSQPILRSLKYHLKPKEVILDYPQGVIGLHMHSCIEEVISYISQGW DLDTLTWTNLNRSMVGPIPKSRKLIYRFKECTDPTKCSPTLKGCPEHYDHVSLSCSTF IDHIHTLPFSSPDEAQKGVNLELEGLGCMFSLEWERVVEEVWSRMGRVEEGDWEKMDR WYRAKVTLTA L199_007773 MCSYFGKSLYRDLVLDKRNAWNVCLGINWDLDSVDEKGVDAGSM RAQREDTIEYPREGILKRLAQVLKLKRPSIQQITQPSEPEKTPFPPSIPTPFGLDTLS IHRRKVELLNHVQYLYINDLESAAYVCRALGTDIVSRSEPKDQPCSYPHPPARTFKGV QTIALGFDLMKSETSFFGSKIGGLLMGMSWDQIGFFDPYQHPNPENTLHTLACTLQPK QVCSTWCRGLESPWDCTFFWKMKDLVAGWKLESFTWHSTGGLSDPGRSAGLYLSDETR AVTSFFPPNIPRIRAFNHPTFTDHCNGSGESCECKKALRDILIHLTLEDWSDEIRNEE RKVDLIRRLTIRPRRERYMLDQCPQLLEFPKEARARIIQLQSHANELTIDGTTAGNIF ANIKQLLSASYESDLLLFNKVEQLFIEDMEGAQALAQALEDCQLSKHLSGVIKPTIDI FKNVTTISLGSKLLERLVKSYSTGNLKVKRIMETIGHALQPTHVCLAKPLIDPTTQDG LWDIYLFGKLSPLMNSGRLRSFTAHGFWYYNDTCFPSSLDHFNIIFSDCPSPINSGPC DCYDKLRDALDSIIDMDCGEVDSELQKGKGVNLINLPHISPDMVTLQALVEMKIQSEG SFPVYNMRGKKIDLTKGFQASWEWFETKFKVINKKDAKPCVCCGKV L199_007774 MSSSQQTATQPSLGDTLRSVSQTMNTDNPSEVLCAAYTLSSLRH KLKSNDESTAPQREHFGKWDESDFSEEYKTQIELLNTQMDDVISHYSKMGFRKNFDKG YLSLRLTLKCVVPIVEAGAALVKEAEESLEESLVKLRAVTESYYDAIHTERSSRPDDK RS L199_007775 MSRSTKSTETFLWNIGSLFDRECQNPSEAYKVYNALWKIDRSLF PGNEASRSANSTTGTWDDSNLSEGFKSYLTTLESTTSKLKPSVQTVLSKGLIKMDKKV RKENRKDAKLWKEICKDPRIPRALSEIESRKTKDAGSDYDSHEDSEYHTGRSTSKSDS GSEWSDRDGSW L199_007776 MTTTSTYISDIPAHSPTPPLSSTITPTMSSSPCSPQPPSVSPLA LDRFPPELRIIIFDHIKHTGPSAIFNLVLTCQEMYDRFNPLLYTRITVDQFNAEKLFY GIIPHVDQLKGALPSLLSLEDQLPGIDFAIAHPYVVNRHARKVALLDNCQTLIIGDHQ SVQIISSALRQIPCTPFLPGPSEGHLSMVTDNENEEEDEEGDPSDDDEDSEGSESEIE NDEDGGEDEGFGNDGNSISALFSNLISVCFENTSLFDSHREAYIGGAMHDLFIGVKPR NVCATYDTSNKRYMTSIMGDIRDRWTLKSFTWHEVTNPDFTLLNPAKYLNYHISSINT CAVPNHQTGTTDPSTATVQQDLTSECTCPFTLHHMTDFVYRIGPSREPPMNFDQSQKA ECNYVGLYNIPEHYSDNHWSELEKELRSKIKNQPIRSGNRVWSKNEKDRMKRWKEEFG SFLVDAKKCFDCPCCGRF L199_007777 MEGNNNDNGNHHPYDPLNPYGLVPQQQEPSAVVTNTRTNRSVYQ RKSLNEESYRTPAISFTSAGTGSGRVGDKKYHRRLNNSEFIKGVQPKGKNYHYVNGKR VAGSIASTIAQSRSKSQSSNEVAQPSLASDRRARKAGLTVIHHDINSDTPSVDTDSQG PFQTDVTQPHGNLGQQGYAATEYSQDRSVYGPGAFDNAAEDGGIDLRALDWANRALFS DRALDTVTTGIETLGLTSSQDHGHGDNLGWMMGDTPNQDNSSHLYGPCYPAVHGPAPA PDDEICEITFSYTSTGSNASKRVKTFSGISGKRGKEIEKAVEQWSV L199_007778 MSESDSIYQYLLSAYAPLPNDGQQDNSRGRGDVNSPTNTNDRAT QTNRKSSGSSNSATCSGSGSRSRKVNNSDYIRKADSYSTRRNVNNYHYVDGKKVFGFV NSAKTTSGTNRPRSRTLPSSSNSTSATADTEHVAEAMVTYHDRGTSMPMQQHGLYTDF NFEGFQTEPQGLHMDRMLSDQGLNMTTTGFDQLSLTSVNHQQDQNNHDWLFPDLADQP GSNPVEIAYEHNVLGYLPSSSSTAGPYTALPEGYYNDITTRNQFQAYSQGFVTSDNHP QAVRSHPPGQFDKRSMMCDITFKYYDPSIPLDNQTGDNTTQTTFINIPGNRGRDMEGE VNSWVNIEVVKRQQQHTRQC L199_007779 MSFAPLEAHQQFQHILRLLNTNVAGQGKIMYALTEIKGVGRRYA NLVCKKADVDLNKRAGELNSDELERIVTIMQNPAQFKIPNWFLNRQRDIVDGKNSHVL SNVIDQRLREDLERLKKIRSHRGLRHHWGLRVRGQHTKTTGRRVGKTVVGKKK L199_007780 MENILERERRSGIKSYTDHYHVVDCKGKTCKKHTPHKVTQYKKG KDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKVVLRLECTACKTKHQLSLKRCK HFELGGDKKQRGAAISF L199_007781 MSTNPIQSTICLSSCSPSEKTFSLVHPDPDKSMMAIRVQPKYLD VEGKCTRITISDIPSLDGHTLSPQKGDTQYERYILSMNKELPSTTFCRKCSDLFGRLT KDHAECLTQAEHTKDIAEISRCLQDGASAIQNALSEFPEFITLKFNEFVDAQGHLINN VHNATSLTINGSSRLSEQEKKDLVDRFAIALYADYESVLNRDGKSVYSLAHELEKDEE RRNGWLEERRKYNDALKKTNSKGKSESEVSKSISQLDYKVKEIWGSYQSIPTNKSQWA RLVDKSKSWTGWDLSTFEEFPHPPTTLPSHSGPRGLKFGFAHDPSLADAESV L199_007782 MTPQITLFPLLFCLVKGSVIPTGYSLPNEDDGGSYFPQSSSTSQ LYTSYYTSQGYSPLTSSSSCNCHHTITSTLSTSSGAPLIATCLTPSGSSPSPCTLQPT TTYSSTSISTESSSPPPMSFSTSTSTQTDIVTPTSYVTVTSIITSTNSIPTTSAESTG HGYGTDPTPWSTDSTTSNTPTSSGISESSSTESSSTGTTQSPSLTTSQADGNGGGDKP TAGISVMFENYLDITLYNDTECKTVQWSGSKAIWWMFGFYSGYFQKVFDVVRHEPGDG EQKEQWGKATETVRCTASVTASPTEVYSMTVDRTSQPTSTDGPAFFSCTSLSAAQATQ LYNLREEGLNEQPGIMGVNVPIPG L199_007783 MTTLPPSLPDDILQHILTLIQSDPSPPISLLNVLCRTSKSLYRK FIPILYNHLDLSYQKTDGIFEGLRLPASEDDNVHVPEREDRSSVLTQLTNSHDIDILS SNFDTDIEQRKLRLLSHTTSLNIHDISSLETLSKALSIYQHPDEVARSDNPQCQIFPD LQLLEFTSECIYEISLQTQSGSSKYHEILWPLVTGLKPSTITFNSPIWSSHPTIQRFL EDADEKLLETAGFGIVAHGDIPKEIVSIQYGMFNLLDDIWWYFPLERVVVNVVGMEML PCTGPGGCGVEYEPLKKYEIHYATREDLDKIDCLKVEGAMEVLKESRKMRLKTRLEDY HEEEYEMREDGEEDRFRTEFEIKRYGFGRKGQEVEVRLVHVLNDEEEIGNLEKMVKFT L199_007784 MPTIPSFLRPSSKPKHPPSTPITTYYFSSPSSSPFAPLRPIPPP PGTPHVIPPHNLIFSLTHPPTDTSKPTAFFLQCIPDPIGFLYHSSTFIHRHLNLDDGR GGGIDWRHQLIQLELEDRDGLAATSGGKIGVSLRWIEGIMNQVERGEKGIDGAIKEFK GVLLHELVHTIQHDGHSTCPGWLIESIADYIRLLAHLGPLHWRKSGSGKKDKGWEEGY DIGARFLEWLTGDQSQEQDEISLLGSRIPAQHALSTPTSTTTTTTTITTGKALPTQYP NLSHPSDIPQETSRDNEKKTHRPGPYPDLIKLIDMRLKYEKWDDIWWNELTGMSLGDL WATYLSYYGR L199_007785 MVSLKPTSIQIPLTNGTTGTTGVKTPKTPQDEAIAFFSGESDGR PVQVWELALEDDGGPGEGKDYIRLPPPTRPYVLRFSIRPGTNVTRNGVLKSDFPMDGG EFKRGDWRERRLPTDLSKPVQIDLPISAPGAFCYYIEYDGPTPSSPRVTGRKGYFNVD PIISLPERTPFFTSESVQNPLKDTSAGVVLPKSTNVSLDGLIILSVLAKWMGKSNEWE KHFEEASRRGYNMLHWAPLQQRGYSGSPYSIKDQLSYDQAILVNKDVKDGGVAEIEKV VKMAKEKYGLGSVTDVVLNHMAYDSPWLEEHPEAGYSPHNTPHLAPAVELEDAILTLS SKLASLGLPTTLHSEGDLQQLIPAIRNAIDEVRLWEYYVFDVRSSVSEVGSSLLQNNP APKPFEGNLSGNQSPSQLAEVLKHQSSELIDGYKAYSARYCSRVKPEIAAGFIQKAFP NESPENQVKKWGEVLDVLNVDLYAECNDDVDAAVEGIVGRLRFTRLEDGGPKLGEINQ ERPLVEKYFTRLPFNSTTSKHPKASLALANNGWMWGADPLKNFAEYPSKAYIRRQVIV WDDCVKLRYGTSREDNPWLWDHMIKYTESLASTFDGFRLDNCHSTPLELGVTVIDAGR RVNPNLYIMAELFTGSQEMDLKFVRELGINSLVREAYNGHDVKNFADLLWRFGLGKPV GSMDAACLSSSDQLSPPFGKGPSRPCIVTPLQGSVPHAVFYDLTHDNQSPFDKRTAED ALSTGALVTFSRAALGSNKGFDDLYPKLLDLVTDNRLYEVSDPTKENGIGKVKRVLNH LHIQMMEEGYTEGHVHEEGQYIMIHRVHPITHKGYMLIAHCAFKGFQGRGWIKPIKLS RTNISYLFGASVSTDFSQWKSDPKTHKGIPSKLEEIPQPNIVQGHDNDGLYSEISIPE RFDPGSIMVFSTSMDEIYPDLDAKIQSGAYEAFSELDLVDLNTILHRADGEERDATGG DGVYTIPNYGTLVYCGLEGWMHPLREIMKNNDLGHPLCAHLRDGTWALDYVVNRLQKQ IGDLPRLSKPLQWLTERFDLIKSTCPAFMRPKYFALVIYEAYKAARRAVVEQSSEFIS SGHSFTHDLALCSVQMYGLVKSASINPAKPVASLAAGLPHFAAGWARCWGRDVFISLR GLFLTTGNFPAARDHILSFGSTLKHGLIPNLLDSTRNPRYNCRDGPWWFCQNIQDYTK MCPNGLALLNDKVKRRFPADDTWVEWDHPRAFEYESSVEDLVQEILQRHAEGIEFREY NAGPNLDMDMRDEGFNQKIWVDWDTGIIFGGNRYNCGTWMDKMGSSDKAGNKGLPATP RDGAPVEITGLLKSTLTWVDGLAKAGKWHSKGVQATIKGEKRLVTYKQWADLIQKSFE KCYYVPADPSEDANYDINPGMVNRRGIYKDVYGTPKDREWSDYQLRCNYTLPMIVAPE LFTPEKAIGALQIADAVLRGPLGFKTLDPSDSQYRGDYDNSNDSHDQAVAKGWNYHQG PEWGFPTGWFLMAYLKFDRIAGEGKKDPTRTMHYISNNLQKHAHHIDHDPWRGLPELT NSNGAYCYDSCNTQAWSASTILDVLEEMHKIGKQ L199_007786 MTRLSSLLSAVTIAATTAKAATIEHWWNITYAQANPDGLQERRV IGVNNSWPPPMLTATQGDVLIIHATNGLGDDSVGTSLHTHGLFFNGTNWADGAVGTTQ CPIPNGYTMDYMIDTSRQTGTYWIHGHHEGQNTDGLRAPFVISPQNATGRSDNVTWDE EYTLVVGDWYHDEYPDLIKDEFLTWTNPTGAEPVPKSAVCYVAKNGSYIHSNEDLMQG VGVSDNATIEFEAGKTYKIHIVNTGSLGMFWIRMDQHQMKIIEMDGIEHEPYPIDVLT VSVAQRYSIIVEALNTTGTNYAMMIMQDTDMYDAVPDDLQLNNTIQIVYDSNAPKADP VEVGIDDIVTFNDTELVPILKNELLQPDVKFELNAYFDTYDDGTNRASFNNVTFQMPM VPSMFTALTMGDDAYNTAVYGAQTNAFVYKHMQVVELTVFNWDAGFHPFHFHGHEFQI VHKSFDVTSNDTTVNPPINENQENPARRDTIVIPPTGSVTLRWRADNPGAWMFHCHID WHLSSGLAAIFLEAVDAFQATNTTDNSVPQQVVDQCNYWKTPTSGNIVGKFSTTDFKG QPYGPFPLKMGWTSKAIGALAGCIITVLLGIATIVWYASGELEESELEEEVKYKLEAK QNKVPLWKKVLPNKSG L199_007787 MGHQYFNVPIFFIVFRETIEAAIIVSVLLSFVEQLMLTGKLGSS ETSIDNADNSQGQEQENAHSGDDHLERRRKLIRRMRIQIWAGTIVGFLLALAIGAAFI AVFYTKLDDLWAKTEQIWEGVFSIIASIIIYIMGVAFLKMDKSRIKWRLKLAKAFDAS QNKLQQASEKESELDRREARSGKWALFILPFITVLREGLEAVVFVGGVSLDVEAVSIP IAVIVGIIAGLVFGYAIYRTGSTATLHWFLVGSTSFLFLIGAGLFSKGIGYFQYYRFA QGVGGDVAETGDGPGSFQVAGNVWHLTYGNPETGSPTTNGGWQIFNAIFGWNNTATLG SILGYVFYWILIMVTLVYLRWKEGRFTLVYPSYQGGSLVWQRKESEALQRRKAGKGLG RRVSHGSGSGSDEKHPKSEPETPGLNVPTLGAGGSAQ L199_007788 MKKSKIPIAPTAFPDHQLGAPTSPLKALFDNKPLPEEVLQHILN DLKDISPSLSLRLSHDLYDEYVSSVYRSVRLSGDNVESFLYGAMKTPGLEHIEKTHEG CGVHIHEININWYSDKTHALSFVRKLEFTDVESMKKFSQIVGDKEKFSYGSCGPKPRR YRMLFPMLRHLIFGAQDTPDLSIVPVLNPHINPRFISEDHRYRLSGGNRTDLSLDLDL PRGGTRTIHTYLGRDKQFTSFFGTLRVLALEPSRDISTPLLNTRLSSGEKEVLSSKNL EYIPLDFSYIIEKEATNIVEMFKCSTGGIVDRAKRKNYSGPVKLQYCVPSRYTFGLSS LVDQKMKEAIGKVKPDRGYWSINGDEYVRRWQDYVEIMDLGGSDVCETCGQH L199_007789 MTSSLPQTGQYDITIDAPSPLPPEIPARVYDILTTLRLTLVIRL SKDLHPLNTESSLYVLRREKVPGDGVRWAWAERQPNTYSHADSRTLDDYESLDILRYA DVSPEDVQVLIANSSNNVEWYSKGRRTEGEPAGSVLFPIVREMHFSCPAQEDHRQVLT LAMSPFSPTLSSSPINSPWNTEHTTDPTVLPAVSAFPYYPGKHTQPSRSTIFTKHDGA LGNLMIEQFLNYLTQELFPRS L199_007790 MTTENLSPVDDPTAFQRLLPVHDLILSHLSGLAPTTYLLLSKYY YDKLVRSLYSYFTPDLSTLHGLTYPSPRNQRTLNALSQTSTLVISLQSSWALFRATKG IQPFYKAHKELFRNVQNIHFTWKALQARISGTPTPGNGLRIENNLWFHVKNPIKHLII DIESHGSDSALFNSFLSTQLYWEILVMLKSFKPTSATWRYLIHREDINPWGLDTYIEV SARIWCDGIDGLHKVTTVFLPTRPNLNNPGHRDGPSDFRIKVTQIVDQLVELVNEKMK KRALSNEVIQAGDRGGNSGSRNFEDLPRWTLEVEEADGKVVESRVLMRLDHGRYDFVP N L199_007791 MVRLRSLFKRLVHPSSPSSHHADPSHHPTPLHLLPEWVDPSFDS EMFQRLSPVHHLILDYLFLLEPALIVSLSQALYDKYIPILYHDITMRPYAFSGLFRTY SRGCADDNNTIRAYKFTEVLHLMDTSNSFQISTVACGDQPVFVPHSNLFENVKKIDLG WEVYLAQGDESLYWMYIDFASKLGEQLKEGVVDDLIVEIKDGSRMFDHRKLIRQYEQA VKPKAITFLVTQPFKPNDDNKRTNNSLCVNMIPIDLKYTQIVRFLIPKALGMFDHTCI TYQIKVLYQDWKKLKDQNHLFDTKLKIEYYLIGAENVKEMVIRDLQTGQRWDLLSWMG ERVEYKELGELEGDLRWSKIWEGAKNEG L199_007792 MVASALLITFVSLLSIISASPVEKRYSTVKIRSARDNKCLSPQG ARWTDGTQVVTVDCASAARWDINPGSGSVILHGSTYALDAGTGSTNNAIVKLATSTPG AFQQTWYLTTDNRIAITGGNQCLDEGDNGPQTYQCTSGNTNQVWLIDQ L199_007793 MLATLLTLLPLSLLSLISASPTPIQKRYTGVKIQSYRDGYCLVP YGPHQYNGVQVKTTQCQYAPRWDINPGSGSVILSGTNFALSAGTGTENGEIVKIWESY PGLFQQTWYLTDDYRIAITGGNQCLDEGDNYGTQTWQCTPYNNNQVWNILEGDDLGAT PIPLPEPVDPTPTPTYTLVEATTTAA L199_007794 MHFSTLIALAVSSISLVAASPIEKRYSAAKIQSIRDSLCLAPFS AWQNGTVVGTTGCDNGATWDINPGSGSIILHGTNWALQAQGGGSTNNAAVVLWQSAPG TFAQTWYLTGDNRIAITNGVQCLDEGTNGPQTYQCTTGNTNQVWNIIPANGTPPPNHT VGRVAERAPKLTSA L199_007795 MLTSLLAFLSILQVIASSPLHLNKRYNAVKIQAFRNGYCLSPVG TNTANGIPVGAVDCSQARTWNINPGSGSITLANNPNYALDAGTGNTNGEGVKIWQSYP GLFQQTWYLTDDRRIAITGGNQCLDKYDDNTGVHTWQCGAGIINQIWTIVQPYAPFAP VSGQQPVLNPPIGQTYLDPANSGVRIHPYQRPDLAVTVTGGVAAFGQYVDIAYDQQNS SPYARLQLWYLPTPGTTNSAVLLYTADNNYCLHAGTNPITITNTNLCLDVRAESSPTL FNPYNIQKTLQVWTCSAGNHNQEFLTIAKKA L199_007796 MHLILLFFAPVVLSSPISLDKRYTAIRIKSLRNKESLHPLGQQS TWASGTRVGTTSCERAALWNANPGRGSVILYNTDLALDAGTGRDNKEPGQLATSWPGT FQQTWRERRPGDARRAIPIKSGHFLPPPPNTAILIHHLVLCTKIPPTVAKDYIHIEDL IWHSLLMEDYPPDARSAVIAYSQPTDGPFAAAQLLNLTSGTNLKISSAFDETTCLDAG YRPGNGALARFTGCGGAARWDWDGEKLRITNSNLCLDVRAESTRLNEILKQLQVWECV EGNTNQEFFTLG L199_007797 MTSSPSYTKSFNSSTSSHSSSPSRPSKPVTFASLSELHPLILAY LKLISPLTLLPVNKSLYAELLPAIYSEVKLNRYSANSLFYGYSALSNSFYRNKRSRYL SDFPLKGRRSRSSPPDVIPQQNDTSRKSKALSLTKKVTLEDAESLSVICQVHMELLSY SPTVPLSRRKTMQDPDYDVDLDHGHNLGHSSNSTSEHPWPLNNVEVLEVGYELLEYLV DCHSPEDLDPQKRGQGHEKGKGKSRERLPICCIPFTPRVLIIHLRPFARPTGIAEKIE RERKRYLRLAIGELASEFDQLERLVLRFDLDHLNGNEDRSVQIQDGEQEGEPELYIPP VENPLPAAEIVIMLSSYSLLKSVEDHEQEVKRYTRALIDFLEDTGRRSLNLPNIQIAI PSDQVQEVQDSVKRIIEGGFPQSVGKRVLERASFADLDIVKINGSDIERSTIQ L199_007798 MTRRLIPDDLTESQQENLLAQIQADREKIAMTISQRRDQYANIV GFLHLKRAGEEREIRIELGKVFTIGRDPECDLAINDRMISNLHIRLYAVKTTTNLSLA ILHDTSSNGYIINGEHAGPGTITKRVGDKEINSRFRVLREGDTLGLPGYAGEFTYSHH SQAQYLTPPSQIMNRHLHLFPCSDISLTIPIDPWIIHNYPLGNGTWGIVNIGTHRKSQ NLVQVAIKTIRPHYPQREYAELIKFEIKVQRSCDHPNILKLLDYVIEPEIEEDYEEYE EDRAGKIHVVLELVAGGDLWSYIDKHRQLQEDEVRWIGWQLISALKYLHEMGIVHRDV KPENILLHTSCAYPRILLADFGTATSQTRLLSTLGLALREEYHAGDTMDCGSRKEVGT KWWREERGLDMWATGVTLYLCAAYSPPYSCIPLPQGSSVDDAGYLSELVDDIEMDHSP ESDPDPFQGYRTQDLLVDDPIDDFPSPPSSIGMGMKPSDNLKERLDEMKIDEASSSST TIRNRQKRTTTRRRYDTPLSRMNTAIPFSSYFERNDTITPYPQTRHETVLPRVQVKEE EMHQYDLLIRQIEIFKDMRYDEWPCDEPLWSEWSSEGLEFIDNLLHPDPIHRIQSLTA HDHPWFTNNRDELEEIYEKVLVKGEVIRWLL L199_007799 MPNPLDLNDIPNFSPSSNSSPSLKLHPTLTPSSTISSLSFPSPL DIDIDQDVSESSTSMDPLGSLVELMNALPPEILQQIWSNVISHPSASLRLSVLRTCKS NYRKIVPQLYKHVVLTSHSCRSYFYGLGPTFHGPTAEESSRIWPVSGPGIMPLRSIGL SYDPSISTSPFIRRFTLCNLVESVVISDIESMMVLLEAGSETWRNGMDTWRSRVLFDQ IDSITFLSPIFRALDQRPLVYRGLLREVWNCIKSDSVIIHFPDHPSPVSGNYYQEERE DAVSKEDTYRIALDILGSPKSLGQENRLLAELVIYTPQLDGIDLSRVGASRVKVVLIG RNNWIACGTSDNGREKGDMNGHKECLSQEAQLRRFVRRHWLVGIDNDEYIGIPQEVEN VSIYNVANYNRRRCVTGRISSTNNNNDHMQNNGVGVIIEDESSSTISLPTILYSALEG DEQLAREVDGTVKFLGME L199_007800 MTTTQNPVPIPHSFPSPAPTLSNSTYNVLTKETTLSTISAVHPH LLDILRILIPTILLRVSRTFYDDLVPELYHTLSLDEGNIRGVLEGYDDESGRKKDALG LVRELTICDLEVLEYMSELDPDIHNDIRIDHAEQTQTQTHNGPIQSPENDKRIDNHQD DGNLCLFPNLETIHLPFKFISSLNQLTILSNEISHDDDHLHRTRSAEAERKLNLYPLI FSRYFYTRLIIIDLGQEIDTDLYWAFDSTLFNLLDSIPPSRSVNTRLRIETVLPRERP SRGYIPHNLLQASTIHFIPLPAPLTIRTDIETETETETSGAQIDGRILGTNEDLAKVI RDHFDVHTSRPNYPPILYHVRDVRGVIGELEKMRLMRTKEDY L199_007801 MPSSRPPPSMYPPLPRSVTHLPPFPQLLHIKDESHNQSPSQTQT PENADSVLPGVSDDEDEETLDAIPSPQTNTNEKKKERKPHATRRRVVQSCSECRRRKI KCDKKFPCGPCILRNDQARCHEVGMAEKNVVASPNNFATTSELAVLAHRLDALEAALV KNGALRSSDLDHFLNILREGEEGSSSTPKLAKRSNSTKIPVPSGPGGGSSQALTEEET VDDTEGAALTLEHLAFGRSRADGSHSMPHFGSRLSSVSRPAPNNDYHLAKSIVPQQAP LTLSPPPLGSLHLPPLEQTRSNDSLGLRRIGSYPANQTPGVTPEGAEPSRLSIEERAQ KIDQLLELLGPTDIFDLFYRKTDVAIIALTRLLPSRQRGEVLVKAYLEKVDWLHRCMH VPTFLRQCNDLWSLPSERVAHDIALPFLGLYLTVCTLGLTFMDQSEISRHFTVEEAHS LPDTWFNAARSALWAADFVGSHTMEALQCIILLGVFMNNRDRADAAWALLGAAIKMAQ GLGLSRLGAEQQAVDGKPLPMWTGRWESLIQREVGRRIWWNLVFLDWQLAPSYNFSCS IQPDQIKTALPANIEDEDIIDDQPFKPQPISVRTGMSFQLARLKFAEITQRQIWQANN NHHPPYSFILSVDGELRKAMMELPEFFQPDPNTKGPPSQDPKALVRYYEKIMLNLAIH SRMMRLHRPWLSRGYEDERFAYSKEQCIRAARASLRMMSDADGTASFLEKWWLPLFYV SVSGLVVIIDLLRTPRRQMYSRETDAKISEVKGALDQMRGIMDVSHPARAAVRVMDLL LAEVEDRRQTPGSSLGKRKDPDDVDDEESGGLQRAVKKLIRQAQLEADSPNTSMTSTT PDHNRHVSQSPQNSDHGNSQQHKESERPVFDAYPMPFNPVQPTITHATGPPPPQPQQG NIQSHQAASQQQQQQQNGYNPLLTGNMNTNPFTFPIDTSTSNFSTFPSFDMMNNLNTN GTNRNLNGSGSGNLDPAVQSMLSNYFPSAQTQSNTNPPTLPQAPDDFLSRVFGFGWDG ASASTNAQQPQQGTNANTGAPIQPNLPTQTPHPSQQNQNQNESDNNNGANGQNGFGTM GAPGNLQGWSSHGWMA L199_007802 MVAASPFLLPIRRPCPRRLIRHFRRHLQSQAKTVIQELDERGFI AALTSPKLHQHVQSPTTIYAGVDPSASSLHVGNLLPLLGLLHFQAKGHQSICLVGGAT GSIGDPSGRSTERKALSAEELAVNVQGITHQVHRFFATGSAYLQKRGIDIKGKGKEVQ EDMGIKVVDNYEWTKDVSLLDFLRGPGKLSRVGVMLSRDSVKNRLTSDSGISYTEFTY QLLQAYDFSHLWKEYGCKIQMGGSDQWGNIVSGIDLIKRSQSQIQIQQQQQHNEDSVS GEESGTELVGEEEEVEAYGLTIPLLTTSTGEKFGKSAGNAVWLDERRTSPAEFYQFFL RTTDEDVAKYLKLFTFLPTEEIDSIMAEHEKSKSARKPQKLLASEVTELVHGADGLSK ALLATEILYPSTKPTISSGMSSIYKTLKSSDVLAAFEGDSRFHKIPFSEIKDKPISKL CVIYGLCKSRGEASKAISSGSLTFNDRRINDPRDEIRRSQLIDGKIAIVKIGNKRQLI FYLE L199_007803 MSLIPSNVQDKIIEAMNVWKVPACVIVAFREGSDTDQPEKVVLC YGKDKAGQPIHDQTRFPLSSNTKLFTNMALGHAFRSKGVDWDTPVKEILPDFKGYNDE YTNTWTPKLLASHTSGLPRYDQVIRPELKIDGLYEAFKLLPPVAKPGEQYQYCNLTYT ILAKIIEKLSGKSFYEYLKGVIFEPLKMDSTSFDKGNIGISGYMTYPDDKGERQVKEV PYYLDNCRAWDPALGLFTTATDLLKWVEALPSFPDYPLACSSIAIEHVEANDYPNLKE ICSYGLGLTQADREGSIIHEHTGDSSGFNSMVVDCPELKIRYGMMCNAIPYVGGCGFR DWLRNTLLDHLTGDDSIDWFKTILDKEKEIAHKPSPSIPATGDQKIIDVVWDNKLYAD QKPVLASMGENKFEGCFEVRHWKGGKIEYDTPFQVEMLEDGEKLKVTGITGVGLGLKE ADHPMIFVREHAA L199_007804 MSISSLEANMKEQIESGVETLNRMLDQYGDLRSQINDKLTSLIQ PGEVTQTTTLEPHGKGIPVKRACLLSCGSCPSKTEYIDREELQKMGSTSISSEEMDYW ARLERDRSIEGSLAVKRYNLQCELEMAENRSRQVSNPEYKLDYTPTTSTLDPASVDLD IRRMEHAILSELASERKSSLNKLRGSDTLTKWVYFESYNSYVLDPHTKSQIRGRSIRN VLKMHEFEARRYSLGLVTEPEDEIDWKVASSRNFDQRSSTISICYALSSGEYLEIDNF SIVDPPFEHEELLNSLNCVGRDDRGWSKDTDEDSLGDLKNYISECLAYSDGGIITNSG EVLTN L199_007805 MPPRPSPARRQKITRLSINLGKLPSELSLYIIDIITAKHDTHTL LALIRTSRQLYHICAPTLYEVLDIADDNYRVLYGLGPNFDGPPFPRKAPRPTNDKDPF SRKYNLLRSTHSLTIENAEPLKAILDIRYGFWDLEPEAIDPIMDIFTDLDCLTFAPML VYDIEHDPEEWEEVFEGICGIAPMFGICYHTGPYPSDWRTSKVIRQGLADVWTMDLTM HVYDLDFVCVDKYEMERIQIFFCPLSKIEDKKLKKNYPADQQYTIKEFMVRHFDRRSE DFIGVNSRLKKIEFHNVIYCDDLKESRKQPKITQWITRTKVDGQSTEVPSSTSDATSH DIQASSSSDVMKGKPCYMPPWVISLFDDQEVLTQIDQMVEFWPARENCCRICCRNGYG GLQDWKPEVSHRIVVETFAVNTTDVKLSRENHKYDGNRPFVIRTIYFSLKIFCIPHSL RYILPVVYASSPCKERK L199_007806 MSTCDYRFDFSSDSDFDSDASPTSSPAPQSSILLRWNRDTPLLA AQQLSASYHCQGADARESALMDHVGEVCIQRDRLKSRLSQIDAKLSEAEKEVHSPRAW FETLSAHSRRKTVTQASIDELNKDKTQIELVLQTCFKQFEEIDEEARRVSEIILCDKV TQYRDTLFDELTRVRYDSIVDSFPIMTSTEGLKESIQKSYDQCETDEDRFSNRIGEMH MEVTSFEVDDRSKMSVPVSVILDKRRLDLEVARGSMNQSSKVTIVPADRTRYDHILPE TSWVPDGHLIGQNVIDDMKELGLNSKGWPVGAEAHGKAVSGILRM L199_007807 MNRRISFPVPSTYQPHAPPRPSLLRSTVLASLFGTTKTDTNPRD DEIQRLKQLLSDTQSENERLTSENQILQCNLQSIHSPRSDWKICEHSWTSQNLAHQLS DMSSVVDILADAPHGLLDTIKYLTEDFENSVIESRIRNFTYTYTDLAVNIKLLQDTDK EAYANIEREFQTSKMESKDIRNFVQENVMRRWASINEIYVDTRESSVIRTGYDKWFDW GSYMSFNDCKLCLTEGTRIELYNPLDKSDKERITIPHSSEANDRVRRIMDTWKESFGD TRANSQKGARPGVHDSRM L199_007808 MTIVQEKKIPGKTSTILSLIQQLPKDYKVVLLKNEYGDVEVDSL LASQSNISGVSEILNGCLCCTMVGLVENALKEIRDTMQPDRIIIESSGSAFPATLALQ IRQLEPEGFKLDGVVTVVDSLNFKGYEDSSPSAKLQAKYTDLLLLSKHHLATERQMDD LIDSLNELNDETPKIKIGPPPDNPPKPELIFGLDTKLFGLVEGEKESWDKIGGSAGWH GDEVETKQIYIGKKGKAKRTHTHEDGKHCVDCKDKEDDGEEIHEIQPMDRELLDKELG KMSFEIYRVKGLVRLLSAEPLGYTTNILNYAFGRYELTPIPSLDEDDSLEGVSLRLTV MGERGEVARRARKLAEGLGAQVE L199_007809 MPQLQLIAELPSHSEPAWNVTFNPTRPLLASCSTDRTFRLFSYI LPSQTPSASGFPSSSDPKPVFSLNKVIPTEHKRTVRSIAWSPDGRTLASGSFDSTVGV WEEVIPSSDNEDEDDDEGVFKPQESQAEGVEGREEKEWECVTTLEGHESECKSVAFSS DGALLASCSRDKSVWVWEVQPDADFECIAVMMEHSQDVKCIAWHPHEEILASASYDSH IHLAFDDPDSDWMIFQKLHPKLTPTPLTLSPDSPASLIGALIPSQAEKEADRELDVPP LEEDETVWSLAFSPDGSYLASGGDLGGIRIWKRQGNQPDSSWIEVLHLTVHTRSIFSL AWSPSPSPSRESTDLGLLASAGGDGKIVVFQLTSSESGVIMKPIAAIKDAHGVSDVNS VGWCIREDKKGLGILSSAGDDGSIKAWRVVSDD L199_007810 MPNPTTPAFWSDFYHSGAQEDAASEDLDHPPDQVAATRQSSSQF HDSDVSMPLADFNTWQGYTYPPRPVSMVQDIGFPVLTQGAQDTPHAVEPTATSSNVDY NTYSNQSSQQMVTGDYFEGQVSHWQTDNVQNNTIIERFTAPTIPGDFPEVKRDNITLL MECLRSSGSAPDLVSHSKGGDNKLLVRHVHDMFRYWRDGGDPPKYHEPIMRKTIQTVK ELWQSTKSLSQMTNEQEAFWDSLSEMQLRPDDELEAIKTLTHYALSEFKQFGTKQIML NSVFSNVEPAAGKRIHRLRDTRAALKSLFSLPPSTPLTGKYTTNKVLEDLDYINQARP IWSDSVNTVKIIIQELYDREQIQRNEELEGMNLLSSNSNWLGD L199_007811 MSDSTIRLEGQHGTADSDLNARLDALLNDTNDTGTASKGTLLGA TDSLLTKINRSSYRIDEKFERVKTTLDGFKTDNDAKKSTAYKYDLIEVKQSLEEILTK REEDLLTYIAHEHRPSDDLILACATFENRFLNTNSGNPESIYTNADTPVVHGWREIRR PKNAIRQLPRHFYTSRFQASAFWCLPNTYPNSEENSVLRRKQAIGTGTSTSRSDGRFG KAAKVTSHEKHKAWRRGATASNLTLVNEIDVLMSNLCEGNVRAAISDYDDGQLPNLLM QTRDWDCGNANTNKIYRDQIGNLWGTLKELRPCVTGQTASHVPPSEEADIMGDIRRTY RYFHNSMISMGLLFGLSETMIRANSRMLNLWHHGYRNWHSPLLSWYFVSIHLSDGPVI CT L199_007812 MAAPEKPIIFTEHLQLTALGIQPASISFQTLTLESDAWICVREQ IDTPQVVIVNLNDSNDIVRRPITADSAIMNPRAGDKILALKAGRQLQVFNLGNKSKIG AHLMNEDVTFWTWINDTTIGIVTEREVYHWKVMDGQAAPTKIFDRHATLAGNQIINYR MSHDEKWLVVVGISSNPNAGQPGQNGFKIKGAMQLYSVERGVSQPIEGHAAAFATVRQ EGASQDSKLFCFAVRHANGAKLHIVEIGHQAPNPPFQKKAVDVFFPTEATNDFPVALQ VSPKHGILYLVTKFGFIHLYEIETGQCIYMNRISGETIFTTAQHESTSGIIGVNRKGQ VLSVSVDEDTIVPYIQQVINSPELAIKLATRAGLPGADHIIQQQYQLYIQNGQYSEAA KIAANSPRGLLRTPQTIETFKKLPQVPGSLSPILQYFGILLEKGELNKYESLELARPV IQQGKKQLLEKWLKESKLECSEELGDLCRMADMNLALSVYLRANVPNKVVAAFAELGQ FDKIVLYSKKVNYTPDYAQLLQHLVRINPDKGAEFATQIVNDESGPLVDLDRIVDIFM SQNMLQQATSILLDALKDNKPEQGPLQTRLLEMNLVSAPQVADAILGNEMFTHYDRPR IANLAEKAGLMQRALEHYEDINDIKRVVVHTQLFNQDTNIRQNLQLVVQIASKYSDLL GPVKLIELFEQYKSSEGLYYYLGAVVNLSEDPEVHFKYIQAATRTGQIREVERMCRES NFYNPEKVKNFLKEARLSDQLPLIIVCDRFDFVHDLVLYLYQNGLTNFIEIYVTRVNS ARTPQVIGGLLDVDCEEQTIKNLLASVTGTFPIDELVEEVEKRNRLKLILPWLNTKVE QGSTDHSVYNAIAKISIDSNNNPEAFLKENNLYDPAIVGKYCEKRDPYLAYIAYAKGL CDDDLINITNENQMYKHQARYLVKRREIDLWTQVLDPESIHRRALVDQVIATAIPECT DPDDVSVTVKAFMHMELHGPLLELLEKIIIEPSPFSDNKSLQSLMFLTAIKNDKGKVM GYINKLSGYDVDAIAKVATEAGLYEEAFTIYSKHDMHAEAMNVLVEHMVSIDRGFAYA NKINQPAVWSRLGKAQLDGLRVKDAIDSYIKAEDPSNFAEVIEIANRAGKHEDLVRFL QMARKTAREPKIDTELAYAYAKTDRLHDMEEFLGMTNVADILQVGEKCFEDELYQASK LLFSSISNWARLATTLIYLGENQAAVDAARKAGNTQVWKQVNAACVDKKEFRLAQICG LNLIVHAEELPALLSLYERNGYFDEIISLMEGGLGLERAHMGMFTELSVLYAKYRPEK LMEHLKLFWQRVNIPKVIKSAEQAHLWPELVFLYIVYDEPDNAALAMMERLGDWDHDQ FKKVIVKVANMEIAYKAVSFYLARQPTLLPDLLAALTPRLDHGRVVKILQTEDHLPLA KPYLIATQKLNLSVVNEAYNDLLIEEEDHVTLRSSLETHDQYDAIKLAKRLESHELLE FRRIASLLYRLNGMWEESLGLAKADRLWRDALETAAASKDISVAEELAGYFVSIGNKD AFAAILYVCFELVRPDFVEEMSWRFGLSDYSMPYKLQQQRDHSTKIAALEKEVKELKA KTTEKEPDNEPSLMGSGLGQRLMIGGPTGGPYGGMPNGGGLMSQPTGFY L199_007813 MISGREEVSMARSSLNIIRSSEPYDPYTPQIPGTKGAFQQNSDS LEPLNGFTPSTKGIDPQRARLDAIHAEVDATKNILHKNIEGMVERGERLDHLQDRTEE LTVSARTFNTAARRTNRAMWWKNMKWTIAICVLVIIIIAGIIGGAVGGSK L199_007814 MTTAPPSPSTSSPPAKRLKSSIAKPDVITTDSFTEINEAPIKSE FLPNTNGSATITPKEDGPSTSTTASSSKPQAVQVQGKKKKNRKIKRTLPEKYSSADVT FHDIRDFLGPKVVDEILDKNDGTEWEAPEGLELYKEVVLDVGAFTVSGESISLYPNPS NKPWAITTPFAHPGDKIRVKIFKHDRLSSYADLLEILDYSEEYRGGEGDRRKYPEGGC KYFGECGGCQLQPVPYPLQLLHKKRTVELAYQRFSNLPSNVLPVIQSTIGSPKQWQYR TKITPHFDAPPKWYQRQLKEKATATATTEEGQMNAVEQERGWETRIGFERKGKPGVLD IEECPIATPVLNQKLTEERKRVQDTILSFTRGATILLRDSLPTPSLIPTAESPYIPSS NEEQEHVAITNHKLDVYEKVGKYLFSFSAGSFFQNNNSILVPLTEYVKEAIFPSSEGY TGKLPTHLVDTYCGSGLFGITLSDRFERVAGVEISDQSIIAAKKNAEMNGLGDKTTWL CGKAEDIFGGLGEKGFEGGESCVVVDPPRKGCDEPFLTQLLVFKPLTIVYVSCNVHTQ ARDVGYLIRESANWVEKKYVVESLRGFDLFPQTAHVESVAVLRLVEN L199_007815 MASPEASSSTAPPAPAPASAPEPTIVAPAGMPADKYEAIKGYRA KVKEHSRMSENLKQIRLNIRTLSTDFDKTEDDIKALQSVGQIIGEVLKQLDEERFIVK ASSGPRYVVSYRPTLPSAKLKAGVRVSLDMTTLTIMRILPREVDPMVYNMSLEDPGSA SFAGIGGLGDQVRELREVIELPLMNPELFERVGINPPKGVLLYGPPGTGKTLLARAVA ATLNTNFLKVVSSAIVDKYIGESARLIREMFAYAREHEPCVIFMDEIDAIGGRRFSEG TSADREIQRTLMELLNQMDGFDSLGRTKIIMATNRPDTLDPALLRPGRLDRKIEIPLP NEQGRLEILKIHAKGINKSGDIDYEAIVKLSDGFNGADLRNVCTEAGLFAIREDRDAV VQEDFMKAVRKLNDAKKHETKM L199_007816 MSSQVGKDKSLQHTLFSSDEEGEDVGEKAVVFKRNRQDFENWNM KGYPTTSASGIGEIIEFSWMVSQALDERIAQKQYKNPSVVDLRPLLGDCSNANCMLSK ANQDPLGHRSARTKDAIFTNLHSEGRSSLFNNLYHYGAPYCDDHLPSSMKNFTWQNSL KPTDLVRCSGTFGRRVIPEFLNSSGMPENNSRLVGCPSWVPKTTRDGGKWPLNVPYAG PGGTPDEKHDQFVCSFRCAFDCLTKTDQDNTKVRIDQDGKLITKPPKRRSVKSTTAAS ASGSSTGVPTVVPRPSTSNVEIPAPDRMITDLTHDHWTDGPIGQVYADYIPPPQDHSY SQIEPSFLQKGNTHEGRVDWLKSEQAKMVEQNNNKYGLYLESEFRYLQFKSENPDFTR TDEGCYYSKCPSKISRIVGKEHLWQFNVKTTDGQLKGTCSHEHQAEAMVEEMGRQSFE DRFKSFLQVQRSSTGTGNASAPVNPFFRDTDAGGFNGLPQYTSSYTASPIESHAGFET PKRRRTGGSLRSDSGDYPADEYAMSHTGSATGSFFPPSSSMGPPRI L199_007817 MIKSDPAFPPFLKTSPPPTAPPSSARLHALYASTSSQRTTNPTG YSANSQWWASVIEETLRTGWINGAEGDRLILKVDEALLGRLEDEHGRRPKGIGGVIEG LATTMPPTLHPLPHFTTFLTPLHAPPSLTSRFIGKPLWWAVSQLNPFGSSADKVEKEE TLWSRYGKGKEYVHIPLLEQSASSFTSHISKNPILSYTDALYDLESFREEYGEVCFPA SPSSKKLPEGTHKLSVRDIEVLVKWLHRDCGVIVIDGSVIKVLEPDQTPSDHPITDAD RGVISVINAQRKVEKQILGIEEQITQSQEKAKKQLAKGQKNAALSYLRSKKQLEDLLA KRIASSEQLGAVIRSIDQAKGDVEIMAAYETSTSTLRSILSDPSLSPDRIATTTDALA EAMADQEEIDQAVRIGGELAMGGKRVEVDEDELDAELEDLVQEEKAAQAAAAEQQKKQ VEKGKQGKTDEPAGRQTIPVNEKPTVLLPAQGQVAKPQQSSTQHDQSKNQEKEEQVWK DRYEDAQHRKEAERRRSEEERLRKEEKRLVAE L199_007818 MAPKDRTSEFHSTLNSIKSRSSLASSSSSANLKGKNKDKELKQP LLANGKDGSSVVKGGGSKSEFGRMASGIAKDINNTTLKLQKLAQLAKRKTLFDDRPIE ISELTYIIRQDIASLNTQIASLQSYVRSQKPNASSGGKNGKQVEEHNSNVVMLLQSRL ANMGMGFKDVLELRTQNMKASKDRTEQFMHTAANASLPPPSNNSLLFSQSGGPGSGFD RKGKNRASPIPDDGKTDFLALDIDGDRGESGVVKGGDYQQMQLVEQQNDYIQSRSTAI ESIESTIAELGSIFSQLAGMVAEQRETVQRIDADTTDIAANVTGAQRELLKYYASISS NRWLMLKIFGVLIIFFLVFILVS L199_007819 MSRVSQPELKKFMDRRCFLNLQGGRQVSGVLRGFDMFLNLVVDQ AHEELGGGQRKPCGMIVIRGNSVSSMELLDSMKV L199_007820 MSSSTPSGSNSDSTSSSSRSLPVPHAHFSAQSQSRFSYATTSPP TPDPDRLFFRPPHASPDPDVTASTPDRQGNNASMADLVFGDNDRIGQSGGVAVGYGMD PKMLADMLSPPEPSSSSSSSSKRKKGKEKEKRVKNKTSNNSVKHPVRERNNPSPPSTP PPVPPKNPLPPTTTPKSTRTTTSLSSSLSLGYSDTTHSHSHSHSRHSHFTEIHSPKKA IHGRMTSASSHQLNPKPSLASLSSFSPSQPDFQPNYQIYYDQGEHESRPSISTNYPNP SESFEHESTLGHGREKLQATLAALPWAEPPPRLSSPNKLNSPTSGTGYTSSTVRAETP QRTPTYSFLANDPSVSASTMHDNHSRRPSATSSIKSQLTMPPILEASSGLLRGLPHSP SLWSQSPSQSHDSYRSSQQSGYSGMAKFQPSSSSDPSGKDSQTSPISQDMSSPGIISL MSNTSSNTNQSGSGNHDRYPSIGSAMATKTSSSTSHSNGKWSFVCRSGSSSTTKSSRS KSFKSIHSAKSYDSKRGSLSTLGGHGGRYVLKRWEVIQNFSSINSSNQHWEVLDGEEV RKKENQMDLRSLVGRAWVLERVLRSGKRVSSQSLKILRPFTPSSSATSSPMPTRPHLP HLPSNSISTTKYRPSLSASVSVDPSRKSSLRHSHTPGNKHSPRSKSTSSSNTGASKSK SHKDRRGSVPSIRLRLGKKLRRTESREDIFTEIGSPEQGGSRIHSRDNSVDSHDRAER DYPSVPSPKRKSTSEKEKGEDRVGGKGVIVFPEELSSSSNDNIHNNEKKESPPLPPKD HQYGKDRCGCTTPNCFNISPTSPTPLLPHPDPTYHIHARSSHYDHSQANSNPNFLNSN SDTEGYDPEKGEGDVVLRYSPQSPHLGHRSPNWRNRQSVISYIETGVWEEKPKNRFKI LIGVGAGLVVVLIVGLLVGLLVRRKEQSD L199_007821 MPDLDRSGDYKDIKASKIRMKETKAEKADRIYRKEQQRLSRESQ RISRANGYAVSPPRRDRSVESISPPRNQTKRTYQETEEDEEEAGQGEWMGGYGRRARE ELEKREWEDKINWMAGSLNVEDPFGPSWGFAGFSHGLEDIHIPRRFREAAGLGLRGDP GPSSSRNGRRAVDEVTMNGGPAPPLGRMTEDEYSTWVREGMYRLKHRSELEAAERRRK EKEEKERLKEIEREKAQREEQKRIKRLKKQKGEDEEKKRQNERTRWRERWKNLVEKDA DVVQIDMSFNDIPWPIYRPTHSSHITIDHLSLENIRTFIHANAEDVAEDGKVDIRKTI REAIRNYHPDRFNSRILVRVKEKDKEMVKEGVGVVSGLLNDLVREIR L199_007822 MSSNQFQDTDEDPSQRRWRQLQETLGDVSHQAYPQNFPPTFAAP PDPNLPAWDFRTDRFHRGMGSDNNLPFSEMVGNNFEGRDHLDTHPIRPNSNLIWADTE DRASSAPGPQPELVGTAYLTHFATRSDPEGNVVDSFSFPDHRGDLEGDAYLDHFAIQL GTEDGDTMGHIEAKDTTSEYAPSSSRDVDDETEEDLKVTTERSELNDPTKPNVLGLSE NDRILLQTFSDTFFSLRSSKDPRMKDIGRGRWGGKKSILTTYDPDKHHTDLKHFQTIV DGLCSGTLDKWPPIPEIKLKSFYQLAKNVPVVFHPLKNDLVDQVERIVDKVCDTDIQR FLPLGQRADRRKKYGLFPITSQEVIE L199_007823 MSTEDKFNTIDSEKNTTLTNVAEVSIDDDVPEVDYEGEKSAIRK IDRNLIVVFAALYMMSFLDRSNIGNANLTGFSTDLGLKGNEYGAAVSLVYATYVIFEP IWAVSLKMIGPRNVLTGTTICWSALTVGTAFAKNFGHLAAIRVLLGALEAGVIPCINV YLTMTYQRHEYMTRITVVFVASALSGAFGGLLAYGLSQLTAGGLHGWQWMYIVEGLIS FCLAPVAWWQIPNSIAEARWLNEREKAAMKVRLERNRHIYDPDEKFSHKAILASFKDP RVYVHAVTHFGIDCTLYSLTTFMPTLVAGLGFTSTVTAQLLTVPVYAIAGISFAICGT MSDRKKIRSPFLVFALSTCLIGYIILAVAPQVGVRYAGVFIAAIGLYTSTAIHNMWVA DNMAGHYKRAFSIGFVCLVGNSSGACIGFIFTAQTKPRYLPGLHFDIGMTLMAMVGVI LQSFYCRYLNKKKREAIAAGAPNDPSLGDKNPHYMFFL L199_007824 MPRYCLNTFGAPLQQNPRLNVMSHSSQNPQHEQAVGTKRKRTSR ACDYCRSHRIRCDRYGGDESTCIHCRTYGLICESVAPAPRSHRSRVALHARCSAEHVE SQGLEPGYAGPTSIFHLVGSSNRGDSVEGVKNYDEANDIFRNALNSNREQPSEGVLYG RYDLAHRGWKAQNASALLKLDLLSEQLSLIAGKETILDDLLLICITKVLPVFPVVTVS ECVGRDKPSDIFWQYYTMKENDSTPHSPLPKIVRLVQYGLASMSRTVPTPIRQSIVKA VREELDATLQISKQASLSTVQLLITLSMSLELHDDDPTENRSLLWQRTGSGIRGAFDM GLHRSVSNNMIPCGQVHRRRRVWGSCVVADRWLALQYGQPLTIDLDFSDAPLPFWWPD HVPDLDDVTAIPVLHKVAPSFRFLTELTSLSILLGRAYSLSSSKFLLAKSQDLMFYNL QNDMEAWKSQIPVVWNYSPLLEIPAMQNLLQLFLVAVEYTFLRPFFPRNISGLPAHIT FRPTHGSIDRLVERAINSLFWLASEEGAFYLDVWSMTVYPAFLCMVVVTSGLVQRTDV IIASALLAGSEAIRSWSEVEGPGGKWASRQQVLQAVRLLQPAEDT L199_007825 MSEIQSTFQPPSSDGKPFVPEWQAPPATKEIHDFAKLTTIDLSL LDSSDPSVVADLVATTKRAIKEDGFLYLVNYGVTIEQLHRQFAIAQYLHRNISEEDKE RLLWDPATGLFAGYKPGFGWKREKGAVDGIEHFNFYHEQFSDINKIPTCIHPFMDEII AFCEFLTQSVNRRLLRLLSKVLELDDDFLWNKVQSQKGPVGEGYFRHALFHPLQEDTK KLGGGLRMNGHHDYGTTTLLFSVPISCLQIYGNDEKWRYVGYNPGSLVVNLGETLEII SGGHFKATRHRVYQPPSDQAHEQRLSLVLFNAAEGSLRVSPAMESPLLQREGCINEQG VYKGFKTLMDNGMPVPTMREWREIQIATLPEPNLKKEMKILEVNGKLMYQRDLFGIPV VIPV L199_007826 MSAIQTVSSASFPLKPHNSPAVVVTSPGLIFCSGQVGKSADIKE ATLQSLTNLKDVLELGGSSLSKIVKINIFILDLDNFDAMNEAFVSFLPDPKPSRTCIQ AARLPSDATIEIECIAQV L199_007827 MNNQLSVQNMQRHFSDTAYLPQVSSRLNPNPNLITRPSRGLPVG TVQQRGTMSMPTSPIMKKMVIQPTANPGIDLGQGIWESLQTAQAFFSSHYHQQQQQLQ QQNNPHPLPTHNQASSQDQIQLPNQNQDQNPNSGFTNHVSPMDLTLSSSMYYDLSSQP PTTSSLQYISPPSTRFQTQPPNTGSGMGLGIGMDIDSPFMDHAGFPNRTVSPTTNNQV KQDGVEDNDMNPDTGAGTGTGFRKKRIQVRIACTHCQKACKKCSNTRPCERCVKYGLT ECVDSTRKPRKTGIKRGPYKRRSSRYSATGYPYPLTGDGGDGGSLKTKMNDHQYQFPN QNSNTNINTVPNHSHVQAGFHLSKPNHTRANFSHPPHNHTNNHSNSTSMSENSQSPHS NSNPLHHQPSSTHSQSIVYPPFPKPTPTASSNLVTAISNALSLPQQSQWVDGQRLPLN SKTNGVTPEEENGSKKGKTSPMYPKTPVGVFPFSLVGGKDDPFSRAVSPIKQFEFDFA SAGESPHGNVDVNRSGLSEVIEESEGVEVEENASAFISTSVSSTLPLPNNTSTRQTNE TITNTTNLRVNTQPMISSPLSMGPGTGAYTPYVLPSKIRKPSLRTLMSTSTSRAPSPT ATTHTQGMSNPLSNIDMELQSPTLFTACADDSGMLLDVDEIEGWDTPWSVRNEDAGGE GDDGIRNHGGNEAGLFEGIMGLH L199_007828 MIQSRLSHPSGISHIALTTPPLRSILIRALHATSTAQAGGPRTA QLGRSSRGSSFKQAFNRTSSGAKPTFGQKSAFGKKPSFDGQSRYPPFKSNVKGRIHPT SSRRLASFEEPHQPRRSSRHYTEAEEAGSGSVPPSPRFPKSQPFQPPSYPSTPTLSDP TAASPRPFDTFNLTPGLLQSLTSQYGEHGTTTPIQSLSFANLLSSSPTFNEGQRVILG AETGSGKTMSYLVPLFQNLKSTDQNIRQSEEDVQHLYPRSIVLSPTHELTRQSTRFAK SLTHTTKLSVMGLSNTAAGGVGARRGTADVLLGTVGSVRRMLGIRKDQDQEENEREDI GSGLWKKEEKSGLVRSDKVEWVVIDEADVLLGSDFLDETLSILSKTPLSSQVNLILCT ATLPPSLLNLLSSHPLFSSTKFTHLLSPGLHKLPTKLETRFVPPSRSGNRLADVAHEV RRVFADDALKSKSNSQSGGEGEKEKSKIIIFCNSDKKVEQLSNTLESKGLHCLNWTSE SQYRQRGRNGPLDSFLMKSVPQQPRRKFQEQQEHAEGTEDKSTSSTKSSSSSSSASSS TPRILVTTSLLSRGLDFSPSVSSVFLLDPPRDVLDFVHRAGRAGRAGREGRLVVFGLG DGSGIGKSTKKKGKELQVGEVLGKTQIRRQMLGGRVKRARL L199_007829 MYKANPSTFNLTHFISSTLREARIFGNPLDPDAWEMPDSFWDQW GGWFPPGRAYCASLGAWRRRDGHTGSTVVEMILGLKGEKLKRREGWVGKPPGWKMPQ L199_007830 MHPQPHSHSHPHPHPYRSSYPSLSPATPITSSITSHSPQKQHPP YGFPPSSINMNVSIGHTHPPPSHHHGSRNASITSARSNTNHPEYSESESEGEDGKLEK DKLEIRREKNRVKQRNLRLRRANHIAELERNVANIRAEHSSLQSSFAHLQQRENNLQG WVHDLESALFRNGLAAEVETLRRIWADREFNKPTQLPPPPPPSSSQHVSIPHHALPTP SGPPSADPLSTLARAASSIPPGSGSASGYPEARMSYPPPSASGSRPTLPRPTSFSRPP FENPYPTPELQWGSQMNEWVQAHPSASSESDKKRKRDPYAADYPPPPPPPPHPSTLRP GLHPMSGRLSESNIHTLPPIQSYRQTSPTSARPTSAPYQSERHSVPISASTSSGTGNV SPRSIRISDLVSPKTSHIDHVLPSLSTSLTATELTHPSIPESKRQLEMLTNREGGWNR RSSSKGDLSPKTEREVPSLNGTKLPPLRFFRPKTEEEDHPILESSPRSNGDSRTTSRG REMEREISPKTRLSLSPSAIGDRAASPLLKVKEHQLLDGQVPKSA L199_007831 MSTSTSISLSNPPSTPNNCHNRYTSTPTQTIPRNGQGHNYASVK KMMDRSTDRHHPSTSVPAPAPAPAPAPAPAPAPAPAPPANISRLQPHNNQQQHTLTSG NNKGLRPLGMSSTSTLNIPPSSTSSPSSPQIGGKSPLIGSTTKQDRRRSMIISSEPIE EVGREAQEQEQDDTSKSSRNKLKRLSLCSRPPSLELIDNHTNVHTHNKPNTSTPIISP NLTSQPSTPLGGGGSTRRPDRRMGVRASISYSPAIPPKTAERKAFGRGDGWGMEEDFS RDDNVQGGREDGSETELENIDRREGHRFTGVQTLAEKHADLLTHIAQRERRVAELKQE LLAQENALAQLKSRWTTIVSRSALSPTQPQIIYQTHHTPPSRPSQSQSNRRRPVSMIS TSTSTSSTSSLSLATIDEPVPLSASALISSTGGLSNTGAAVLSGLISQTEGYLGPEVV QGGKRFLGNLWKTVGAAAGGTVPLTHQEPAIRDPCGNVTQNGRMEEEELPKREDGADW NQLSSKLDLANLQKLIIPWDTNTSTNTASPSQPRPPSSSSSYPSRRSKDRRPVDRSNT VTPTSFQRRSPTSPSATVGLGFDLNIKPRSTISTSQVSPKLLPEPISADLLDDTASVS TSNSLIMGFDDNTGVLGKALTPSKPKSVSMNKTIASTASSLTTSDKGWDW L199_007832 MYPLIQLLIILPLLALANAWSSDNHTCALTKPIYSCENTTAIVD TCCTPTEGLVLVTQYWDTYTGLENEGSVLPKGSWTIHGLWPDRCDGSYGQYCDLSRQY DPVPSPNTTTGKPDGTPVPAWQGGDVITPLLEKYGKYEMLAFMQKFWKSQNSPDWTFW QHEFSKHATCFTTYDVGFNGSPDCYGTLYENSTEASIIDFLESVVKAQIQYPTYQWLE EAGIVPSNETSYKIDDIQDVLINASGAEPYLGCTGPTRQVLYEVWYYTHSYGRPQDGF LKPIDQTTQSNCNATEPIWYYERIEGSEY L199_007833 MKELKIDKLVINISVGESGDRLTRAAKVLEQLTGQTPVTSKARY TIRSFQIRRNEKIAVHVTIRGPKAEEVLERALKVKEYELRKRNFSETGNFGFGIEEHI DLGIKYDPGIGIFGMDFFVVMGRPGMRVARRKHAVGKVGASHRVRPEHTVAWFKQRFD GIVSR L199_007834 MSQATYLDDTRYPGPKIHEASAEIAGLPTQDELDAYPRMYTWGE LKEVIVNGQLEKLMRNKEMQVRYNRWMNGIREKYGSTENYLKQGRLPFPHSAAEPTYD SNGISSSIPHDYLTYDAEKGFDGSKYAVLTNDWPYNVPYGVRHLCVWSKLPIAHKSLV DDDPALWTKIEEEGLGGFTGVIPLTPAEKPTKPVTNGTTPPHPFGWGATQLSDYQSDN WLAVDLMFGGKEMRDWAGKQYTSKGGQEVQKMVEGLWDVRGWECLWFVNPPRIQSVPG LSHFHVFARRKTPEEIDAAEMIWGKGDKPEHQQ L199_007835 MSNEITQVYPKPVQAKDLVDAEVAQGGFGYDEYPPSGKDVANIP ADSYDVDPFSAQREENAEDYVDFRSMGWVQAGLVATAENIALGALSYPSIFLRLGMVG GLIANIGLGVLAYITAWIMIDFKMNHMGVMHFADAGGLLFGKWGRRILGAGMVAKSIG LGGSHVLAGKQALNTLSTNAICSVWFALIIMIVSVLMSTNREFGKLAPLSWLSVSCIL IACMITIVATGVQSPSVLEKNGVPIQWHAAPTNPDLMDVIGALTNIVFAYGGNMGVFS WCSEMRNPNDFKKSFLITQAGGIVVYCIVGATIYAFGGQYVTSPAFTMTTKPVRITAY AFALVTILISGIVGLNVGAKYLYVNTFRKSRLLTSKGLRARLAWVAIILVMWIAAFVL AELIPFFNQLLTIVSSLFSVWFSYGLCGVIWFYNKHPYFAKDGEVREMRGFWSWFFMG CAILSIILSIAITPLGLYSAIEGIKEGYSAGTFTHPFSCS L199_007836 MSIGIGDYNFVDRAKEQPELARTMSRKQTNDGNHRQSYADDGQS HPVTAHERHQQVTGLARQMSRASISGAGAGNDIFNYQPDSDLDPFSDNFDARKWVKQM SRVSLESAPRRTAGISYSGMSVHGFGSDADYQKTVSNIPLSLVSSARDLISNRKRKVH ILNDMDGVLESGEMLVVLGPPGSGCTTLLKTIAGETNGIFLNEEADINYRGLTPKQMH GRFRGEAIYTAEVDVHFPNMTVGETLQFAAEARAPRNPPGGLSRIEFATHFRDVIMSV FGITHTVNTRVGNDFIRGVSGGERKRVTISEAALSGAPLQCWDNSTRGLDSANAIEFC KTLRLQSEYLGTSAVVAIYQSPQSAYDLFDKVSVLYEGEQIYFGKAGEARAFFERMGF NCPEQQTTPDFLTSLTSPSERQAKPGYEDKVPKTPKEFVQRWKASEEYQQLRADIAAF NERHPTGGERYEEFLASRRAQQSKRTRPSSPYTLSYGQQIKLCVARGFWRLRADPSLT LTQLFGNFVMGLIISSVFFNLKDTTESFYQRGSLLFFAVLLNAFGAALEILTLYAQRP IVEKHARYAFYHPSAEAFASMLVDMPYKVLNCIFFNLVLYFMTNLRRDPGSFFFYLLI NFFSTLTMSMIFRTIGSVSRQFVSAMTPASIIMIGLVVYTGFAIPVTYMRGWSRWINY IDPIAYAFESLMINEFHGREFSCSTFVPSPLLPAYANVGATNRVCSTVGATAGSSVVS GDTYLALSYEYFHAHKWRNFGILLAFMFFFFATYIGATEVITEKQSKGEILVYPKGQI PKELRSSKQGDAESNGSDEKVKASDAKTSSGGGDVAIIQRQTSIFSWKDVVYDIKIKK ETRRILDHVDGWVKPGTLTALMGVSGAGKTTLLDVLATRVTMGVVTGEMLVDGRQRDV SFQRKTGYVQQQDLHLQTSTVREALRFSALLRQPKHVTKKEKYEYVEEVLKLLEMDGY ANAVVGVPGEGLNVEQRKRLTIGVELVAKPELLLFLDEPTSGLDSQTSWNILQLLRKL TENGQAILCTIHQPSAILFENFDRLLFLAKGGKTVYFGEVGKGSHILIDYFTRNGAPQ CPPGENPAEWMLAAIGAAPGSHTAVDWHQTWLDSPERVRVREELELLKTEGASKNRSK DTKLDKAAYSEFAAPFGVQFMVVLKRVFEQYWRTPSYIYSKFFLAVSSALFIGFSFFK ADTSQLGLQSQLFSAFMSFLIFGQLVQQIMPNFVVQRSLYESRERPSKTYSWKVFILS NIVVEVPWSMLVGTLFFLCWFYPIGYYRNAIPTDTVNVRAALMWLYLQVFFLFTSTFA TAIVAGMELAETAGNIAQLMFSLCLIFNGVLVVYNSLPGFWKFMYRVSPFTYLVEGLL SVAVANTKVQCSDIEYLKFDPPSGQTCSAYLAEYIRAVGGYLLDENASSNCQFCTISE TNTFLTAFNIDYGNRWRDFGLMWVYIIVNIIAAIGFYWLVRVPKNTGKEKPTTEDGMR TVSRQKSHVTEKTTA L199_007837 MNGSSKSAGPGAVTSLPQESPLAEGPPAAVEARGLWYKLRHPRG FWEHLHVTLLGEFAGTFLFLFFAYAGVMVGKSSLGGTPSTTSEFLVFLLFGATSFGAS LAINVWIFFRVSGGLFNPAVTAAFMLCGLTHPIRGVFIIITQLIAGICAAAVVDALTP GPLSVDNALGPNVSVSQGLFMEMFLTAELILAIFMLAVEKHRGTFLAPLGIGIALFMG HMVGIYYTGAGLNPARSLGPAVVEKTFPGYHWIYWIGPFLGSLLASFFYKTLRVFEYY TANEGQDDDGRSQKLA L199_007838 MPAQRSTPSGSSTPKKTVHVRTYQACESCRAAKLRCDLGSPDAP RDPPCRRCLRTGRQCNFTKSYQRKTTAMSNASGANGPSTSAETSQSANVPFQYRPSAG IPSQSFASDEHGDFRFVRAETLENPADALRILCAAAEEEDVVLGEAPRPTIEYNVGTG LWNQWVPVRDGLMTADEATALLAYFDTHINKMLPLVPLQLFQPDNFPILLQESLLLAA MVCTASRYMDMGESFDPFEPRRSRIVQNKISGWIRERIGYIAMGETTSRTIGTVEALL ILSEWPPRAMLLSDSNVTSEPNSHRRPANPCKVYDDLSWTMIGLATRIAQELGLHDEK AYPSEAQSEWSVHRRHRTWIFCQAADRHASVRLGRGSLIQEMPTGWWDVLGEYSRLSG DETFPFPRVQVKWKEIMLVAQFTHMIGLIQEQFYDSADITSELIRTGKFENTLHRLKP ELDMAWYLKAGDFPTYDLFDHDGPAFTEDELRELRWRLDLDYIRLYSNAIAMRAAQAR VMRRHKTRSQNDRVFQASVINSTEGPFIIEAVDAAVSLVKYGIALHKKGMLRYCPGRV FLKLVFASVFLMKAVSFGAVGQPEQETINLQCSLIEALSTASVDDEHVPGYLAGMLRR VFPAIPTGPSRTLAEVNSSSDMLNMDSSNILSLFGFGSETFPSAERDGHLESLSGFGY DPRSIVSDIEELLAASTNSQSDPQFPIL L199_007839 MSDLMEKRIVRKIDRHIMPWVIVAYLLNYLDRTNLGNARTLNND KPGESLVEVLNLSGDRYNLVVAIFFVPYVIFEFPSNIALKYFTPSKWIARIMVTWGIV TICTAAISNYAGLMVCRVFLGICEAGFFPGVMMYLCFWYTPSERGTRMAIFSASIAVA GAFGGLIATGVSFMSGKASLYGWQWLFVLEGIPAVIVGVLIYFFLPDYPETARFLTEE ERLFASERMGPFAPKGTDKHFDKADFIATIKSWHFWIFSLHYFLMTNSLNAFGYFAPT IISAMGFKGYKAQLLTVPPNAFAFFVIIGNSWWSDRRKERPRHVIGALILVAIGYVLL ATVKGVGGRYVGTCLIACTNAAVIPFVAYRTATVAGATSTAIATGAMIAIANCAGIVA PYLFRSKDSPHYYPGLWTLFAMLGTAVLLTGVLWYKLGSSSEYRIPVQDQSEYVGAIG LNETPVNERADSEGEEGEKKVPGIHNVNVSSLEAR L199_007840 MVYPAIILTALLSAQAVLASDKSKSPTEVSTCSPSTVTQTVTST VTLFIASTTSSKQVPPSSSSPSLSIKGEDKKLTSTSSSVNTAKASDDNKSKTKTSTVS SVATTSKVDDKKASSTSTSRSVDDKSKSSSSTTSSIAASVSSSSSSYTVSAIQIKSTP SLSTSFIYDLDNQPIAAPVIRTATGLSLNKTMYVVDMAQSTSEQIANYHAKGKTVGCY FSAGTWEPYRSDAKQFLPECYCGPNVSIDSTGRCTGSGSDANLLGEWGEWWLDIRSEK CLNNIKSIMTDRIKAAKQKGCDSVDPDNVDAWTNQQDFGITKQDEVNYLLWLSSTAWS NGMGIDLKNSGDLITDPDTGKPTNWTTSLVNAFDFNVIESCYQYDECEKYDPFIKAGK PQIRIEYESSIKKCPSLKQGQQLLVYSGSVVNSTQITLSCP L199_007841 MSAQEDIHKAEASINSYQAKTGLGQTQSDSTINSGVNENVTSQF PGSTVQIGGTKRGENPLIPDEEGGEQSKLTGRQTHANDFEGDGGPEDKVKVAEAQRPG DQDVSGNIRG L199_007842 MSVNKKGKAVDVPTDDDTTLQPFTDESGAPATLPEVGNGGEESK VKVLLGLMKKLMGVKDVANLRISLPASLLEPIPNLEYWQYADRADIFAAIGDSDDEVE RMLAVLRFSFSKELKFIRARLGKPYNSALGEHFRCSWRLPPIVIDKETGEPVIRTHIH VPIPGEPAYGGQGGSGWTTPILGPEHGGKPNSEASSIISSSSKASKKQSTGLSLKQGN KSTDTISINRAIPGPGDEIESDPDAGVVESEKVTVVFLCEQVSHHPPISAYYYTCPER GIEGYGMDQISAKVSGMSVKVGPGSSNRGIFIRIAREGPGKGEEYQITHPSASVNGIL KGSYYGTISDQIQITCRGGEEGKTKLRALIDYKDESWIGKPKFLLEGIVYRYTIGNEM EESWTKPRQVPADKIVANIEGSWMKEVKYRLKGEKEWKVLLDLDLLALIPKDVRPIEE QDEQESRRLWDPVTQHLIGKNWGEATRQKQAIEQAQRDKAAARKAKGEDHQSRFFEPD WEDGRPTLSAEGKKAIESEMARLDAKAKERENEL L199_007843 MSRGGFRGRGRGGGPGGDRGMPPGGYGSFSRQEWTDAMEKLKVD PRQRGVLYPPLGNSSTAYLSGPDDHESLIMSHTISLNSTLLSGKHINTSSGPIPPGSI PPWRIVGERKVVGIEIESYSDRFNTPVQAGPSRLDPVALKLDPQMFPPVLWQEYFEGV GDRPKIKLKRKRKIEDLDKEGDDNAEEEETPPPSSDEDFDFDDEEEEDHQDYDANYFD NGEGDDDSGGDDDEGGGGNYDD L199_007844 MSDASTLTLPATNPATASTNGKKPFKPASTTPQPGDGAYLAPQH QNRQNGVSEQGQISGNGSNGYRKKEWQSSSHGGPSRYNGNVDGTKHNHPSQGQHRPTN RSRQNGDGPVNNGASQSNGSVSNLGHRPRPNHSGSRPMNHHCPNGKQQDSLTKHAASV KRPQIQQAASLDAEAPSFTPGAVFLVSELDHQENAADDTSNDQPAPIPKKRNNRNGHK QKQKKDEKDGRSEESVRPAVSSRKAAFQQSNKLTKTISRSSVEGAKPSPPIKNPPREK RKKDEPDDLVSRLTRGLKQRPFLECPICFNSITPSQQTWSCLPPDHAPEASSSITLQP NPITGSTSTSNYYSACYTPFHLDCIKDWANRSLEEEEKKARNAGREGEDIAWRCPGCQ KRRAERAAGYRCFCGRLSHPPTSTSAPHSCNDTCARKRPKCSHPCPLPCHPGPCPPCQ VALVVPCPSHHAPLTVKCSAATTNNSALSPVCDESCARQLNCGNKDHECEQLCHCGPC KPCEAREVARCYCGQDERDVECGWGRENDKVCKRLDDIGEEETWWGKYDCGRPCDRLF DCGVHPCKETCHPHPIHPLHCPLSPDAITHCACGTTTLSSLGATREDCLAPIPTCSAK CPKSRPCGHACPKKCHTGPCPPCHEEVIRTCRCGQSQLLVPCDDLRERAERGEGEVTC ERVCKALRSCGRHECGRLCCPLWEQAFRSKKQRNEDYNPYTEDDLHKCHLTCGKLLSC GTHTCPKPDHKGPCGRCLQASYDELICHCGNTVVYPPVACGTTINCVYPCDRPAPACG HPKSPHSCHESPECPPCPYLTDKPCACGKDPAVKNVRCSQDRVSCGQQCGELLGCGYH KCTKLCHRPGECESCTQVCGKPKRICKHPCTTTCHAPAKCPENDPCQAIVTQSCACGH LQLRTSCGASTSNPRSREVEQLKCNSECAVRQRNARLADALGIKQTERGLESYEDELK TFATQNHGFVKMVENTFEEFIKGTRQSMVLPHMPPAKRVFVMSLAEHYRLTRELIDQE PNRSVQIRRRVDTRLPNPLLSSAVGSTTPAPAQTRLVTNLVGAWGKSSGSTAASVVAG ATTTGSSSSMWRNTSGSSSNRPSRAPTPVAPVSREQFRPVETKVGDGEDDWDVDL L199_007845 MPTSSQSSSSPSLFPPAAPTAENPKFLYKLRGTERHYDANGDLM PQVLWRQYTWSQMPAAVLTFPLTMYRFGQWCVDNQRNTYEPDVLVPRGEAKTGFVIKG ECDESFIAPDPVERRRTGSFSAGDVTLITWLTSDRLGRLVSLAEKRRAPISVAYYVPP NDKLASRDLILLDKLYRTHPALSQNVDIHLVTSPHLLQLNTWRTVARTFASTDWMLLW DADFEACTDYQKGLERFLVETRSRGWADKLEQGTAALVIAPFQWTDPVVERSRDLCPV DRDELITMYRNLSMDAFETNTPILSHATEYDRWIEVGETDYYEVTEYELGYEAYPLMR TDAEVWFDQRFAGYGYERSAITAQMYLSGMDLYILPGEYGVHQEHSAAAVINTHDDIA AAKVPWMTFKMDICHTLGEVLAEQRVLHQPLGERLVSTCKDMSIPPIDRDIASQLEYS GRVQN L199_007846 MRASLSFLAAGLLASYTLYGYLQEKIMSWSYDGVKFRSTVILVA FNRIAGIAVAVYMQRFHLGNSLKPHHPVYAYATISLFNFASTLCQYEALKYVSFTTQT LGKTSKMVPVLLLGRLVYGKQYRWRSYLAVLLVTLGAMLYMTTSSNGLTADSRSSTPR GILLLAGYLLFDGLASTTEAKLFQQSHRRSTSKDSESSNGTRTLSQNELVYDQMLWTN VCSLGVSTLVVLTDQKRSFGNFLDVLKTPSGPRLLFDVSFLSLSASIGLIFLLTTIAT YGPLHCSTLMTGRQFLSIVLNGAAFKTGRNFGIRGWIGIGFVASGVDLELSNKRHAKE EKTFELSSSKSPKRSGERYVTKTVIRHFAFPIVGGLLAHILSTGLIPRNAADGMLASE IFPAGPSFAYRNQTYSRERIRRLSKEVDCPDHSAMRRTAYPSPIPRTVLASFPRSGNT LTRELVEHSTGFHTVHARCGHVLEMSYFAEGCKYPDNNFLVKDHVPEYTVYAQDDEAW KERAESYDRVVQVIRNPLDALWSYWHLMRSQMNHEKRIEGINVLGLTNLSELDRMAQN WVQHTDYWMSVNKPRVMLRYEDLRSDDQVQHLARVLEVLLPADELPPIERLICADDDR AVAYQSRKAEPFYSWDHFAPEVRSHILSVVKDDWCTLGFEAMLRKQRGHKGVDCD L199_007847 MSTGIRTVSFFLVLSTLSGLASAFTYTPTETQMRARSVPSAGGY QCFFTCPAKASGDFNYVYGTNDEYGPDGSTHVNVCNYDDYFDPSVCYYTDDGQLYSDE GQGFTNADDCPATATTGPCAQENPDEYTYFRKRAMPKRMSPAERRLAARQYKPNFKKA RSIAASA L199_007848 MYSKTLFFKQFYITLLATSAIAAIPQQPGAKATKPKPYPYKQTT RSEVIRRQQRRALGMKRAVPSNIPGPADVSPSTDDTVTYFFFHVGTGAYETDANPSDD VDIFPPLDTTIPGSQDGAEAVQTCADFAWDQGYFAFQVYYRRSTDEWTCTAYIYAYPG DQSDSSYFNVVDNDVALAYGYQQNVE L199_007849 MFKLTSVLVLTLPLLASASPVVRSSSSEESTDEVLSAFEPNTTA WSAGASDSYSVWGNSCNTTQLELIGEGLAQTMKLVDHGRDHLRRFGNDSYFQRWFGND NNPNILEGLFDRIVSGDKGNVSFTCDDIDSTCDGKYGVIPGYFSSSTPELTVVCPTYY LSKGPLDELCTAGKTIASQGSESTDGSWFLHRLLHLPQTTGGGLKDVVDSAHDAVDLA QGVNATQSIKNIHSIQYYALNVYASDILLPGEGCLGDTSVPVESAE L199_007850 MVKLSSYHALLTLPALTLVTSSPLIESTNPAQLSLNNLLSASVS TQHEVNPSILSALEQHEDPVDALLSLKPELKDMMSQRRLLRIFGQGPREGVWMTEGDK LRLRRGGNKFMDLTESQELLDSRLMAGKANTPELTHQRYIRPIFSDLSTSHMHDVLLK ATSFFNRYYHAETGVQSARWIHDYIASIIAQSPVGEFISLEYHTHHFPQPSIVARFEP PIRNASLPLTIIGAHQDSANYLFPLLPAPGADDDMSGSTSILEAFRALANRGYIPQRG PVEFHWYAAEEAGLLGSQEIVAYKRGLNAKVGAMMEFDMTAFVARNTTPHIFLLENDA DDDLTKWVVKLAKEYVDIPVNTSSLGAGAGSDYMSWTQGGYPAAFAAEGDPLAGGFPG DFDGYIHTDKDKMDIDDETGYFSLEHMLEFSKLAVAFAVEQAGWSDKHTRGDDNKKLA W L199_007851 MSSLKPVIQGFLPVIGLALVGSGTYGILKPLNMAHIFGILNAGQ PEVLFYPGLAGRNLAAGIAVLFLTYQRQFKPLGTFLLSWMVVGFVDTWICLTNPKVVN TWLHVMNTGLLAVVSSGLLDWW L199_007852 MWVLQSCKADFRDNLNDAPLEYQRFVPPITLMEIPQNDSHQSVL LGLLVALFWQLGLPIAISEQSTLPRVGINGFGRIGRALFRLLLERDDLLLVAVNHTAH SLEHLMTAIMHDSTHGKFKLGAELSICPPDHPGLLQPTPNNPDPSALVFRGRLIHLFS ERDTTRLNWSLAEAEYIMESTGKYTTKEKAELHIKYGKAKKVLISAPSKDTLNCVYGV NHHVYEGVEDVLSNASCTTNCLAPVALVLQRAFGVETGMMTTIHASTSSQKVLDGFST KDIRQGRSAMGNIIPATTGAAQAVVKVLPELAGKFHGISVRVPVTNVSLVDLTVTLST PVSSKEELIRPFREAAARRPIQSSTPHPDGPALAGVLSVSDEKLVSSDYLSSIQSSIL DVDATVMLNERTAKIVAWYDNEWGFSSRMCDLVAYMSNRTV L199_007853 MISPVNDEWLVESGDKAWWKSATVYQVYPASFCDHAANGHGTLL GILSKVDYLQSLGVDIVWLSPIYESPQADMGYDISNYRQIDKRYGSLEEWDRLLAALH QRGMKLVMDLVVNHTSDQHAWFKESRSSRNNPKRDWYIWRPARYNEKNERIPPNNWKA TFGQDSAWEYDETTDEYYLHLFLKEQPDLNWENPKVRAEIYDMMHWWLRRGADGFRMD VINFIAKAPGLPDAPVTQPDREYQPFGSMSINQLEVHTWLKEMNRKVLSQYDCFAVGE CPGDEGVAAYAPYSISNNKELQMVFHFHHQSFDRAAGGFARAHKSDWKLSELKKIFNT WQVEMSQAGGWNSNYLENHDQPRIVSRMASDHPADRARCAKLLSLFHCSLGGTLYIYQ GQELGMINVPRDWNIAEYKDVETIHNFEAEVEHRKAKSGQTNPDISDLLEHNRMTARD NGRTPMQWDSSLNAGFSKGDPWMRIHDDYHDGWNAAAQTKDQHSVWSFWQKVLRLRKE YDAMIYGKFIPLDEPNEETYSYIREDPKVGQKLLVVLNLSRTGNNRGTPSTVVLPPGL DTSNSKLLISNVGSSEGRIEGDIHLAPWEGRIYLL L199_007854 MSSLEDLTPTTTPKQRQPSCDLCRKRKVKCVKPPFATQCEGCSA LGQECEYKYSRRKPGPTSRYSKDCPIITPEGGPQLGEGSIRSDQSHVLRRRRVSRSPL RSMSSGASNLSQQSLAPFSPRHETVPRAQSHLSPQHTAPWDVFPFLASPPPLPASDTA AAPVDALPSTEPSEVSLAQPGVQRHDVESAIDWSWLYMSPKALFNPLIVESSTISFPS PSNCDGTIPPNVDRESVPRDGTHTSGRAADMGYLTTADRAEQQSGGLSSPSAPLFGNS HAPAPHVGIEEWIPWRTLTRILHAYHTHLYPLLPVLHWPTFLQRLMSREDERSRSWRA FLLSLVAYSIIQLPRSALSFVEMSALRHLHRRCHAGSVALQNRSYKTVTINDIACDHI YLSTLGRTTAANVALSKAIRLAQELRIHDEGSSEVSVDRIELEVRRRVFWLLYGSDRT ISALTFAPLQINDADLSIPLPTTIDDNLITASGAFPQPPGACSVLSGFHHVSRIFHLL GSILTAHRSLSALDRSVQSDLSLLPPLAATPRPASDFQTALQQILADLPYPLQLNSSM NSQPLGQMDNTSDRPPQHSSDIFETCRANLLVSQALVRYAIQRYAVAVGDREDEADDK IWVEKHVLSMLEMIPTESLAANGESLRSKVLYVASKLIEKYHGATEGGTYIASLLALY ARIRENQQANALESSESVLPSRAPSPDRV L199_007855 MNNEEKNIHDFATDGKVDNDDKGMREQAIHLEHAGDATFEQWDQ LRQDAMAAEELEQSMGIKEALRVYPQAAFWSFAISLCIIMEGYDIGCKFFSLVIVLTI PVLGSIIGLPTYREKYGHFSETAGSYQLSPTWQTAIGQASTIGCFIGIFACSWAQDRY GYRRTIQVALVALTGFIFIVFFAPNVQVLFVGQMLCGLPWGAFASSAVSYASDVTPIP LRGYLTTTDKWGYRIPFAIQWFWPLPLFILITLAPESPWFLVRKGRLEEAKQAVARLS KKGDVTDPSQTVAMMIRTNQLELGNRPNCSYLDCFKGSDLRRTEIACVGWAAQILSGS SFANTPTYFFQQAGLSTANSFKLGLGTTALAFVGTCCSWITLTYCGRRTIYLSGLVVL TVLLFVVGGISFPAETNSNAAWGQAAAILIWVLVYDFSVGPIAYCVVGEVSSTRLRSK TVGLARNLYNILSVVSGILNTYQMNPDAWNWKGKAGFFWGASAALITIWAYFRLPECK GRSYRELDILFERGISARRFKETVIDKEAEE L199_007856 MSLSPYDEKPDILHEDNPAAEAGHKDPRDDAYSKGQLATGYETL TIPQTIRKFKWAFAICFMATFAGATDGYQIGINGNIIANPGFVKQFATVTDSKGEPAL SAPVLSAIGTIQSVGQIVGMTSVPFLASRYGRKPAMFLLWLVLAASVICESTAKKWQV WFVAKLLSGIGVGSLQFITPTYVSEVAPTRVRGFLLMMYNFWFSVGGFFAPVALQIMS TYAPENFRTPIYTQWSQIGLMLIIYIFLPESPMWCASRGLEERAKNNMRRIYRGVEGF DVDEQYQSLALTIAHEKEVAVASGKEKWYAIFQGSNLRRTLASTWALSAQQVLGLTLF YTYASYFFKTAGLSDPFAVTCITNGIQLAIILIVAVAVDYVGRRNICCGGLTTMLIAV TLIGILGVTPKSNASDKLLVFFSCVYIVGLQCSGSTGWGFVGELSSQRLRAYTAGFAA AISCVGGVIMNVLVPYMLNTTAWNWGLKTAFFYTGLGAPAAIGAWFIIPEPKGLTAAE LDELFEAGVKPWRFHKTKTALQRQIEGQEAQP L199_007857 MTIALTAEIDSVTFEQYPSPLGVGIAAPRISWKYASGVKDWYQT EYEIEIRELEKGTSKTYSVASESSVLVPWPAPPLKSRQRVQLSIRARSSNGVWTNSLT TEVEAGLLHETDWSSSFVSSSFTQPLGIAKRPYRLRQFFPVQLSKARKARLYITALGV YESYINGIRIGQDVLSPGWTSYSARLPYQTYDVTSLLREGTNVLSAWVGEGWYSGLLG YQGGSRNIFGDRIGLLAQLEIDGEPVEGNWEWSFGAIASAGIYEGEMYDTNLPDASDH AQGEWHQVDILPTPTFKLFSSQSPPIRVVQSVKPIGIITSPSGKIILDFGQNLAGVVR LVSNPPTNSGSQLIIRHAEVLEHGEIGTRPLRFASATDTIALSGKDISGYHPRFTFHG FRYAEVTGWPTISLDDVDAVVFQSSMDRSGTFTCSHALLNRLHENAVWSTMANTIGIP SDCPQRDERLGWTGDVCVYSPTMSYLFDASGFLSEWLQDLWHDQRKLNGVVPIFVPDT GTDVSTPEAIWGDAAVLVPHDVYLASGDMAVLERQFESIKMWLNEGIERNPSTGLWSR DRDQLGDWLAPRAPPETPNMGPTDNLLVADAWLIYSTRTASKISRAIGRIEDATRYET QAKELTEEFYNEYVTRSGRLVSETQTALCLLLRYEIFPPQCKGSLDYRSLFAERLVHL VTKANWLIDTGFAGTPIVLPTLADNGHLSHAYRMLQATECPSWLSPVLLGATTIWERW DSMLADGNINPGEMTSFNHYALGSVASFMHTYIGGLSLLSPGWKTFSIHPRPGGTITS AKSSHQSPYGKIAVEWEIRDGIFQMTVEVPPNCTATVTVPGSQQQEVIGSGLRHYSSQ YSLPSFPPPPYIPHFAPVRPNEWAA L199_007858 MGPELGLGGQPDPPGDLSEATPSSSMTLVGNSASELNDLIKLYF RTVHHFGYLSFVHEADYWELKDRNCAPEDLSLLMAAHAVRFGGRSKDPLQLELADRTV MDVGERLIPKVLDEFGAVELMEVVLCQTYDFLNGRYQRGMVMAGMAVRMMTFLRLHEL DEWPRQTPKPLLSKESLRRLAWSVWYVDATLDGGVFGPSNIHEDAFTIQLPCDDRPFL LHRQVVTEQLVPRPQSTILPGNLPQMEPVDLAGHLIRAMAARQHLAQAYSRIRRRLLT HGSVIEMSREAQYKANRLLDSLTPDLGYSRALYHIYHDRRPALVSLHVVRNNCIRHIS LLRLMVAQLTLDPDTDQDKERQKLISNAIKLSQILGDAIDHDVSLDPQIAMHAYNAIE IFLFQPLRLPIESIDPQVLSRERMVAAIKPLLHVMREASTVCPLVNLIYPEAINRMVQ MGYLEDLTNEDILAVLQKVHCLTNADKEFDWTESFWRYEVLLSRRSRIGARGMVDPEG TTDNGLAESPEDTLLEVPPAAPISRAIQLDSPSSTNQHTVNLANTPQNLADVASLAQP GSGSPVHQDRHDLLSASDPITRLQDLFAIRGPESNAVNPAPYVAISDLTTNTTAQWPF LSMTGHSDPQTMFGADTLGGIFSEVHAIPTTHLGQDGSPVPFS L199_007859 MSTQQSHAIDPVNQSAVPQGVQEKVPQGVEEALPDSIHNTDPTK DEGRTSHATGPSKVPEGIQRIAPEGLERALPESIHPTKSIAASSPKIARDSIIHPDP L199_007860 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVVCACGRLVLNASGLNKHQLRFNCPSYSPAQRAARYLSNSLTPAPSTASLYSPAQ RAAPYLSNSLTPAPSIASLLEASPWRSTLKTPSRFSSPLSSAHSASGHVPPANSTSSP LSSVVGTPSLSPASTRRSSQSLSPNGLSINHLQINSSPLTPTRRSSSRVTNPVLYVYD EADDDVFSHGQETEVYEEDGAGKEVEEDDDDEEEEEEEEEEIEDEAYWERKRAKEIED AAQDGDDRPWSDEENEEERSVMSDDGSYQWQPEEDESDQGGMDIDPQESDGRDGQGIM EDGAGRDDGGEDIDDDLWDLLGGDEDGEERGSIRASTEQDVTGEQEGVDVRDRGWSPP VLEDVGWNGSSRLSQSYLSWEDSRSWWNIRRKGTTGWTSWRGNLPMWTDGNCQSHQRN T L199_007861 MSRVPSVIQAPTPAHVQTYYCTHTRSNRSNIEATVRRLLGDSAD QPLRRLPEHGDLAIPRPHRSGGPKFWVVRISGQLSQGDQDTILEAARKSESAGCQAFI KGTERTTGLSPHHLGVFFRASEIHTPWVTNDTLQPHSNKRVAAARRQCMLGLCLAIDA VVKEKVQARLDQVDRKTCLAHKASNDRFRSAVDQGKLDLRGLSPSDFQDVQVDHVADF FRFGQLATCSAITQGQSEKLHMDNHDDRRLYTTLLVLGRENLDWDHTDGRGDLLLPTL GLALPVFPGDVVFFQPGLLPHRVVALKPEDAKKRVVITMFTCEPTTDYLDMQGIMLPR AKRSWKPRSGQDTCPKCDKTYKDLLDHIKKKHGEDRFTAEEMAETGLWVCSCGKVTAS ERGLSYHRKRYPSHHV L199_007862 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVLLSSSKGRSISQQLIDTCPFDSISLLSSSKGSSISQQLIDTCPSIASLLEASPW RSTLKTPSRFSSPLSSAHSASGHVPPANSTSSPLSSVVGTPSLSPASTRRSSQSLSPN GLSINHLQINSSPLTPTRRSSSRVTNPVLYVYDEADDDVFSHGQETEVYEEDGAGKEV EEDDDDEEEEEEEEEEIEDEAYWERKRAKEIEDAAQDGDDRPWSDEENEEERSVMSDD GSYQWQPEEDESDQGGMDIDPQESDGRDGQGIMEDGAGRDDGGEDIDDDLWDLLGGDE DGEERGSIRASTEQDVTGEQEGVDVRDRGWSPPVLEDV L199_007863 MSRVPSVIQAPTPAHVQTYYCTHTRSNRSNIEATVRRLLGDSAD QPLRRLPEHGDLAIPRPHRSGGPKFWVVRISGQLSQGDQDTILEAARKSESAGCQAFI KGTERTTGLSPHHLGVFFRASEIHTPWVTNDTLQPHSNKRVAAARRQCMLGLCLAIDA VVKEKVQARLDQVDRKTCLAHKASNDRFRSAVDQGKLDLRGLSPSDFQDVQVDHVADF FRFGQLATCSAITQGQSEKLHMDNHDDRRLYTTLLVLGRENLDWDHTDGRGDLLLPTL GLALPVFPGDVVFFQPGLLPHRVVALKPEDAKKRVVITMFTCEPTTDYLDMQGIMLPR AKRSWKPRSGQDTCPKCDKTYKDLLDHIKKKHGEDRFTAEEMAETGLWVCSCGKVTAS ERGLSYHRKRYPSHHV L199_007864 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVVCACGRLVLNASGLNKHQLRFNCPSYSPAQRAARYLSNSLTPAPSTASLYSPAQ RAAPYLSNSLTPAPSIASLLEASPWRSTLKTPSRFSSPLSSAHSASGHVPPANSTSSP LSSVVGTPSLSPASTRRSSQSLSPNGLSINHLQINSSPLTPTRRSSSRVTNPVLYVYD EADDDVFSHGQETEVYEEDGAGKEVEEDDDDEEEEEEEEEEIEDEAYWERKRAKEIED AAQDGDDRPWSDEENEEERSVMSDDGSYQWQPEEDESDQGGDGYRSPGV L199_007865 MLCSSSQGSLPHRVVALKPEDAKKRVVITMFTCEPTTDYLDMQG IMLPRAKRSWKPRSGQDTCPKCDKTYKDLLDHIKKKHGEDRFTAEEMAETGLWVCSCG KVTASERGLSYHRKRYPSHHV L199_007866 MSRVPSVIQAPTPAHVQTYYCTHTRSGGPKFWVVRISGQLSQGD QDTILEAARKSESAGCQAFIKGTERTTGLSPHHLGVFFRASEIHTPWVTNDTLQPHSN KRVAAARRQCMLGLCLAIDAVVKEKVQARLDQVDRKTCLAHKASNDRFRSAVDQGKLD LRGLSPSDFQDVQVDHVADFFRFGQLATCSAITQGQSEKLHMDNHDDRRLYTTLLVLG RRT L199_007867 MTVVYTPLLVLGRENLDWDHTDGRGDLLLPTLGLALPVFPGDVV FFQPGLLPHRVVALKPEDAKKRVVITMFTCEPTTDYLDMQGIMLPRKRSWKPRSGQDT CPKCDKTYKDLLDHIKKKHVRGLSYHRKRYPSHHV L199_007868 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVLLSSSKGRSISQQLIDTCPSTASLYSPAQRAAPYLSNSLTPALR L199_007869 MSHQLTPRHPSEFSRGNAQSESCKYPSVISVSVTQRSVHQPLAD QQLTTPPTRRSSSRVTNPVLYVYDEADDDVFSHGQETEVYEEDGAGKEVEEDDDDEEE EEEEEEEIEDEAYGRESGPRRSRMQPRMGMTDHGPMKRMKRNDQ L199_007870 MSRVPSVIQAPTPAHVQTYYCTHTRSNRSNIEATVRRLLGDSAD QPLRRLPEHGDLAIPRPHRSGGPKFWVVRISGQLSQEIRIPYLKPPGKVRALGVKLSS KVPSVPLD L199_007871 MDNHDDRRLYTTLLVLGRENLDWDHTDGRGDLLLPTLGLALPVF PGDVVFFQPGLLPHRVVALKPEDAKKRVVITMFTCEPTTDYLDMQGIMLPRAKRSWKP RSGQDTCPKCDKTYKDLLDHIKKKHGEDRFTAEEMAETGLWVCSCGKVTASERGLSYH RKRYPSHHV L199_007872 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVVCACGRLVLNASGLNKHQLRFNCPSYSPAQRAARYLSNSLTPAPSTASLYSPAQ RAAPYLSNSLTPAPSIASLLEASPWRSTLKTPSRFSSPLSSAHSASGHVPPANSTSSP LSSVVGTPSLSPASTRRSSQSLSPNGLSINHLQINSSPLTPTRRSSSRVTNPVLYVYD EADDDVFSHGQETEVYEEDGAGKEVEEDDDDEEEEEEEEEEIEDEAYWERKRAKEIED AAQDGDDRPWSDEENEEERSVMSDDGSYQWQPEEDESDQGGWI L199_007873 MSRVPSVIQAPTPAHVQTYYCTHTRSNRSNIEATVRRLLGDSAD QPLRRLPEHGDLAIPRPHRSGGPKFWVVRISGQLSQGDQDTILEAARKSESAGCQAFI KGTERTTGLSPHHLGVFFRASEIHTPWVTNDTLQPHSNKRVAAARRQCMLGLCLAIDA VVKEKVQARLDQVDRKTCLAHKASNDRFRSAVDQGKLDLRGLSPSDFQDVQVDHVADF FRFGQLATCSAITQGLALPVFPGDVVFFQPGLLPHRVVALKPEDAKKRVVITMFTCEP TTDYLDMQGIMLPRAKRSWKPRSGQDTCPKCDKTYKDLLDHIKKKHGEDRFTAEEMAE TGLWVCSCGKVTASERGLSYHRKRYPSHHV L199_007874 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVVCACGRLVLNASGLNKHQLRFNCPSYSPAQRAARYLSNSLTPAPSTASLYSPAQ RAAPYLSNSLTPAPSIASLLEASPWRSTLKTPSRFSSPLSSAHSASGHVPPANSTSSP LSSVVGTPSLSPASTRRSSQSLSPNGLSINHLQINSSPLTPTRRSSSRVTNPVLYVYD EADDDVFSHGQETEVYEEDGAGKEVEEDDDDEEEEEEEEEEIEDEAYWERKRAKEIED AAQDGDDRPWSDEENEEERSVMSDDGSYQWQPEEDESDQGGMDIDPQESDGRDGQGIM EDGAGRDDGGEDIDDDLWDLLGGDEDGEERGSIRASTEQDVTGEQEGVDVRDRGWSPP VLEDVGWNGSSRLSQSYLSWEDSRSWWNIRRKGTTGWTSWRGNLPMWTDGNCQSHQRN T L199_007875 MSRVPSVIQAPTPAHVQTYYCTHTRSNRSNIEATVRRLLGDSAD QPLRRLPEHGDLAIPRPHRSGGPKFWVVRISGQLSQGDQDTILEAARKSESAGCQAFI KGTERTTGLSPHHLGVFFRASEIHTPWVTNDTLQPHSNKRVAAARRQCMLGLCLAIDA VVKEKVQARLDQVDRKTCLAHKASNDRFRSAVDQGKLDLRGLSPSDFQDVQVDHVADF FRFGQLATCSAITQGQSEKLHMDNHDDRRLYTTLLVLGRENLDWDHTDGRGDLLLPTL GLALPVFPGDVVFFQPGLLPHRVVALKPEDAKKRVVITMFTCEPTTDYLDMQGIMLPR AKRSWKPRSGQDTCPKCDKTYKDLLDHIKKKHGEDRFTAEEMAETGLWVCSCGKVTAS ERGLSYHRKRYPSHHV L199_007876 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVVCACGRLVLNASGLNKHQLRFNCPSYSPAQRAARYLSNSLTPAPSTASLYSPAQ RAAPYLSNSLTPAPSIASLLEASPWRSTLKTPSRFSSPLSSAHSASGHVPPANSTSSP LSSVVGTPSLSPASTRRSSQSLSPNGLSINHLQINSSPLTPTRRSSSRVTNPVLYVYD EADDDVFSHGQETEVYEEDGAGKEVEEDDDDEEEEEEEEEEIEDEAYWERKRAKEIED AAQDGDDRPWSDEENEEERSVMSDDGSYQWQPEEDESDQGGMDIDPQESDGRDGQGIM EDGAGRDDGGEDIDDDLWDLLGGDEDGEERGSIRASTEQDVTGEQEGVDVRDRGWSPP VLEDVGWNGSSRLSQSYLSWEDSRSWWNIRRKGTTGWTSWRGNLPMWTDGNCQSHQRN T L199_007877 MADFKGIDLHHTDEDVERNEKLEGTKNMFANAAAATDKEHKMTL WQGCKLYPKAILWSVLISSCCAVEGYDISLVGNFYAFDPFNRKYGVQGADGTYQVPAR WQTGLSNGAQCGQILGLIVNGLATERFGYRIVILGCLLWLTAVTAIFFCAPNIQTLLA GEILAGIPWGVFQSIAISYAAEVCPVALRGYLTTYGNFCWGWGQLVGIGVIKSQFGRT DQWAYRIPYGLMWMFYPPLIIGIYFAPESPWWLVRRGRLEAAKKSLLRLTSAKSDPTF NADETVDMIRHTTELEQDITSGASYLDCFRGVDLRRTEIVCMLWATQNLAGNTFSNYS TYFFEQAGLTGQIPYDFAMGQYAINMVGTFGAWFLMTKIGRRNLFIGGLCGLFITLLV IGFVGLVPESHKHSASLATGALMLVWAVFYQCSVGTLAFSLVAEMSTRRLQIKTVALG RAAYNVAAIISNVLTPYMINPTAWNWGNKAGFFWAGSCAIVLVYAYFRVPEPSGRTFA EMDILFERKVPARKFKTTQVNAFDVALHHQIAEDKPEAQIAHVERA L199_007878 MPPYNLRWGIIATGSISSEFARDLLVDPATRGVADISHNISAVG SRSVASAQKFINSLSSAIPSEGWHWGVENGKLGGVKACGSYQEVYDDPNVDVIYVGTP PSAHHQNVKDALLAGKHVLCEKPFTFDLEELDELISIAKDKKLFLMEAVWTRFHPLAA KVAKVIDSGKLGKPQRFSADFSMDWKPDNEPASHRMLDPALGGGSLLDMGPYPSVWAM LLVQRNPHNRDQDPQVLFSHQTLYERTQVDLNSRWLVQWKDLCQGLLLSDLSASGQRE ATAVLQCEEGDLVIHYPPYKAETFSIVPRPDRFAGSITQKTTHHHPVAQGNNGLSYEA DEVARCLRDGKIESEKMPWAESRIVQGWFDVVRKHGPTPLANVKGTAGQ L199_007879 MPAIINGTDDQSKTKLRIAILGCGRMGQRHAHNVHHLTPRAELV AVADPAPAAQQWVAENLEGVKYFSDPEEIFSIPEVDAVIISTITSTHAPLTIRAIEKG IHVLLEKPISIDVEDSRPVLEAATAHPKVKVMIGFVRRFDTAFNELYKHIGSTQAGQP FLLKSTTQDAYDPSGFFVAYAKASGGIFMDCGIHDIDMSRWLLGVSESGQGKGTLHQS SAPATTEKPTVKRVFATGLTVRHPELKEQEDCDNALGIVEYANGSSCTLHLSRTGMGG YESLVEVFGTEQKLTVDTPASSQLKITDGHGRRVESAPTYIERFGEAFVHEVNAFVES VLDDKPVPATVSDAFQAALIAKALTVSYHTGKPVEFGENGEPIV L199_007880 MGELQRDDPAAADEDTGRVGRGGRHGPQNCAECRRLKLRCDRKG KLYTLDQCMTLPLKHGIQPLAVTASRDHAAIYALMDPAPAPNQVDSHGFLRSLNDLLT SHGINLQGALDPPGSIVQSSPGPSVPAAIRRSSPSQQTRERSRSPSVSHRTRPFAYED ESLLNTPSAIRMHSPVPPPHFAYQYDDHPTSLRATTESPSEDNFGTLVIDKSGRSKYL GPTAGPEWRKDQQQPVTSLKESRAQSPSRCNSRPQQLRSYFPFEGAQPSSISTILTHL PPYEHAQDLLQSYYRYFAWNHRPASESSIQLIFDAAYNPETSATTSRTEYAQKLALLF ITFALGALHNLELPPNDPSAEDYCMIAKACLAEGNFMKRPTIMGVQALVTMGHYHLET EDGRNGDSAWPLWGLAMRLIQSMGLHRDGERWNLPPDIVEDRRRLFWEAYCIDVFQAN CFSRPSAIQRRHVDTLFPREDKASNDQRKGFLTAKYELAQISQEILDQAMDVRAADYA DVQALQNKLASFERELPYDLRCREALLAATSMYPDPATARDQSPEVNKRNLRGTLEQY TLALNVSENFLFLQRPYFIAASQESPSDPTLSRFGASYLSVIERCNVIIQVVSGLYEL FPNITSRHWFYWYHLFTAAVCFGTILIKDPHNVLAPLALLQVEKSIEVFGSISKKFDS PAMKRNHEWLLRLRNRVIHEVTTKTSSISANAPADQELDDEVVDLLTWRTELINCVTQ DPSRRNLAPSSTVPATELDSTALSTLHALAQSADQSSDNATSSIPQFSLDTSIDDIFH QFWNPMMTGDPRADPMGIASLNWSDWDSTIPASSESNCL L199_007881 MTAITDNLETRNRDYAATFDKGHLALPPAKKYLVLTCMDARIDP AAAIGIDLGDAHIIRNAGASAKDALRSIVISQQLLGTREILLIKHTDCGMLTFENKDA YALVEKSLGTQAVEDLKSKNLDFLPFPLLEPAVQDDVEFLKGSPLIPEDVPISGWVYE VETGRIRKIV L199_007882 MAITLTGSCFCRSLEFKITLNSVDDARTTLCHCGNCKKAFGTNY GLTSKIPKSAFQYTKGKPKEHAMDNGGGSVVTREFCRECGSYILEYGAQAKNDFRFVT LGSLDDPTVLPPKGEFFTKDRLDWMPQIPNTFQKREIKE L199_007883 MGSEIPDTPSNNTSTTSINSTLDPNVVQKKDHRNRGLSVGSSRS TTSKPRLHPSLSSSSLSTVSTVSKNASDSNLAQRQNEFEKNFTKRMDLEPLTSFKDPA STSESLTLPDDYMTILATQAADLQSSILIHGRLCLTRYHLCFRSNIIGIITMKVHALS DVTSIRKGTTAKWIQNAIYVRVLEVDDEGNQEEQHYGYGSLWNRDSLYDALMDCWKVR APERYAEFSKKEAMENGEVESDESEDEEDQEVDSSGPQLRRTTGSGEEYKELALNVKI PLELEQTYNLLYHNDPFTTNFYVNEKKLTDLKISDWVEDEETGERSRTLTYVMHMNNT LGPKSSNCNGSETIVIADPETSFIIRTRTCLTHDILHHKQATRIHCTTQVDWSSSSIL KGTITPAVIKGQKEHHQQLIRAITEWVKAHPDEFTGVEAEQVEVRHEAEIEQSTEEVK EKIGKTIMEHAGEIPDNPVMVVITVMFVIVLLLYIRG L199_007884 MTATIVCPSEADVVICGGGGAGCVIATRLAQARPDLSILLLEQG PDNREVKRLNRPLDSLFWLFQQNPYLKWIDCEPEQELGGRQPRIPVGNILGGGTSINT LMYNTPAASDFDDWNQPGWNYRDLEPLIRKSIKFLSPEQPGSSPHSDEGVFHVSRGGH SVSYGEEYAKACRLVLGEAEECENITTYRTGHGFGRQPKLITSDGKRSNVADAYLHPC LAKNLTVVTGVTIDKVIFKGNEAVGVKVIGNNLPSASFGTNEKYTKTVKANEMVVLTC GALGSPAVLERSGIGSAEVLKAAGVEVKLICPMYRATEGYYEPFDRYARGDKTVKEPI DEEYERTGKGIAASNGFDFGMKIRPTKEEISSMGPAFEKYWKRIGAEKPDKPLYSNVI LPYFYSYRPPPEGKYFCIGGFHNYPASRGSVHIRSTDPFALPRAVSGFLTRPEDLPVH VWYYKKQREFCRRLPFYEGEEPDSHPRFDPTSPARLRTSDDLNRTDKPVEEDAIPYSA EDDRVIEQYVRENVATAYHSIGTCAMRGREVMGVVDARLNVHGAKKLKVADLSICPSN IGSNTTSIVLLIAENAARIFLQDLDATNGGRHGSAKL L199_007885 MSKIAIIFGLGPRIGQPVATKFHNAGYRVATVARTPRTFTTDDF LHLTADLNDPSTVKPIFDQVESHWGKPPDVVIYNAGALVPTPTNPLSAKLDDFVKSFN VNTFTPYSAASIAYERNHAVTFMLTGNAFNTLVNPRFTTQGVGKSATAHWIQAAAKAE GLRPARFYYCDQRTPEGEPCYTGLKGDAHADLFLKLAEDPEQGEPIVVFRA L199_007886 MDAITYTRYGGSEVTQISTFPIPIPLEDEVQVRVAAGGLNPVDW HQRAGDFKLVTPFNLPAIAGNEFSGIVAAVGRGVSRFQIGDRVVCRTTKSKMGALGSY VVMPAAILAHAPTTVGLVDAAGLPLSSLTSEQGLDALDVKKGDRLLITAGAGGVGLFA IQLAKLRGAHVTTTASDAGRPFVLKAGADQVIDYRTQKLADLEDKFDKVFDLAGGEDA LINDVIPAVAENGKIISVAGPLTPGVFNATLPFWKSKLIDLLLGFRSRSLRNAAASYR VDYEYFFMRPDGQQTARTPRATPFERLESGRSKGKIVIEFPVQSEQPTS L199_007887 MANPHQYALEPAALAFAKDTSTPPFLFQLPPEDGRKAVESVQDS VIYKPQIDEQWVSVRGGPTGPVPTRIIKPRGAQGPLPVVLYTHGAGWVFGSAHTHDRL VRDLCVGTGAAVVFPEYTRAPDVKYPTQNEQSYTVARWVVQHGSEFGLAGDRMVVAGD SVGGNMAIALNLMAHQRKDISFKAVALFYPVTNANFDTGSYKEFAEGYFLARDGMRWF WDQYTADEKQRAEITASPLRATEEQLAFFPPTLVITSECDVLRDEGEAFAAKLRNAGV QVTAARYGGIIHDFVMINSLHDTNASKAAVSQAIAHLVQYL L199_007888 MDQYTIHIVEEEKGKEDWPRRERFLAKQWDNLRDGSIRQALMRR HAECLTLPATEEQNHGETESADMIFQRLKTTVNHSTDDDWIREAGHSSTSRWQEFGTR HLANASKWSVSVATPYFLQKVSSGEARGDDSELRENVSWTTPPYLSIKGDGLKGEYTT LANHNSCAAPFTMTILAQTMAELHSRMTRTRPGINLTEAVLESRFSATSPDVLLTGHV SPSAWEVLGTQDIQVCFNTNSVFDFKRLPAGCKDELISCDAFGSLESISFAADCHTRS WIGTASGYPGLYAWYPLQPGLSKKRVGSARGVPASCYRADGKEVMSSISLQYLPDETE FKDVELIVDPERAFALPSEAEKKRAEEAQIELQHVKAVLRDAFGEKAHKLESTNSFSL ETYPYPVSTYAPTEFDGDDVSISNNLWLEYGDAANKRN L199_007889 MSKIAIIFGSGARIGQASTNKLLSAGYKVATVSRTPKHTSSEDL THLTADLSDPSSIEPIFDQVQRRWGAPSIVVYNAASMYATGENPLSAPIDEFTKVINA NTLSAYAAAAAGYKRNNNVAFFFTGNALNSMVMTRLVTAGVGKAASAHWIEAAAKAEQ LRPARFYYVDQRNPEGGPAMNAVNGEAHADLFLKLAEQEEQGEPIVVFRA L199_007890 MTPVHASTSHHSHPRRSHRALLARIPPKGQSPWQLSHSHSIPAL KEHEVLIKTSYVALNPFDWQGVAFKYGIGEEAKVMGRDGAGEIVGVGEAVKRFKLGDR VWFCANSSASHTGAFQEYSVHSASEVGHTPNHIADQEAATLGTGLITAGVALFKTLGL SLESLSSTEKKQREEDASWVLIWGGSGITGVYLIELARLLGYRIICSASPVNHDYIKS LGADVVLDRWSDPNDLVERIREATEDNVRIAIDNVGSATATLCYQVLQGSSTWRKIHD VSVTGEGQYSSKLVPLAGSPKEPKNKSDGIREVESLRISFSTTFYGHPEFSEALLDRF DKLLQNKLLTPARIRLVEGGLHGIEKGLDDLRHSRVQGGYKLVARLADTPEELSVGIK RTRESDAEMKPEIGTKRVRGNDEEKEYHTSKKPKDTEPTSDHHEHIKTTSRSIIAA L199_007891 MPTEFISVTFPNASTELAPIPGAPVDPDFLVKYARALDDNDYNY TLIPYGSSSFDPFTLGATVAAVTKRLKIIIALRPNTMYPTVAAKQLATLDQLSGGRVV VHFIAGGDDVEQAREGDFLSKDERYARQEEYIKILRKAWASSDPINWEGKYYTFKDFR NNVRPVNGTIPVSVGGSSPEAYRIGGALADIFGLWGEPLKETKEQIDRIYAAADAAGR APNDRPKIWVTFRPIVAETEELAWAKAHRILDLLKSNRAGAPIYPNSDKGPQNVGSQR LLEIAKKGEVQDRALWYPTVTATGARGASTALVGSPQTLIDSILDYVDLGCELISIRG YDNYHDALDYGRYIIPGVRKALKERANGAETNGEEVNGERPAKRARALRD L199_007892 MSAPSGSTDSDGFIVPPPPAKRQLKPTSSVPTTTEFYGEKHGIS ADLQAALQSVGRRGRQNVAMGHATHRAFERTQSVPSHVLSSSALPNAGFTTALDAMSH AHGIISKEALRSRELQPFYSGGSDENKNVDGLSLSPRGRNRRLKFNEDGEVEEEVEEP LFPSSKSAGQTREATHLTAARKRRSSPAEPTSDTETETGDEDGDTPSFAPISTFTSNA SDFPSVFKSPAMTQPELFGPTGSTSKAFPSAFKGVNARELRGLPGARKLGFGKAMSAP VGSLGGWGMDVDMSSGGDEKVNGGDEDGFDIKEWAENEQF L199_007893 MSISTTPSLSSGSSNPSTHIATPVPSERICTDRHALYCFEVLVA HFENREPTAPPFLNKNEKYALFVTWNTTSHLRSNNKPALRGCIGNFTPMKLADGLREY ALVSALEDHRFSPIKASELPHLSCNVSLLTPMTPISSPLDWTPGEHGIHISFPHPSTH RPLSATYLPEICTDQGWTREETVLSAIQKAGYKHKVVVGDVVWQSLKVKIYGSEKATT TWEAYVKWYKGKGGKLKVVKS L199_007894 MVPTAPKRAAVAGSSRKRSHDEMEPKVTDRVPYPTPSTHENDDL CSLNSDEDESQLEKEDDLVSAVEGQDVDQDTRDTVDNQHHSTSSAQSTLISPPESFQS TDGSSMPVQTKPLLISRGVQTDDSSFFSVITPTVNLNRNTQPTRTKKSRISTSTTTPA RPRARPGLTKEKQADVSRTQDVPAASVDMASEQVEEVMAEEGASPSAQNDHMSTLEDV PAHNDPHDAPGASLIAKDSTEPTPRRTKKRKHTIPAADRNPMKEPALLLPHGLQPVET AVDNHWVYLFFRFCAERHKMYNRRLAGVPRDQLTEDETMSKVHIGNVYRQLDPSSRNI RENIIGKGDQSPQEVCFRLFLYCMFYNESTWNELCSVSTGGLPTWQHYVTDLPAYEGV LYRLSTVEKKKIYYGGFQLVPPTIYFTDNRNRNKDMPHFAASLRLVLAIMLTSLPTKL LECSYAVDASYLLQTIPTFGGFLSLNILCYLNDTSNYTWYYRNFATCGPGPRSYLGRM FGGKSVINSLPMEEAGLIWLYEHQWKYWARIGEDPPHAEELGLRPGMRVLDIENALCW CHRYVNAYEKKGYGNFSQMRNPTYDKEMTDNTHEPHWCIEEKWLKSTSKVIYKDDLDE ISRKLGHVVVEGKEDEENVYEVEKIITRRQGTKLKDGWFRVRWKGYTPEEDTWEKQSS IKQGAEESLQDWLGWEKKVWDCIEKVKKEYPYIKPSTAKDGEDIKPEMDDELNFASGD DGIEAVNDNQPPMKMPRLVKMEQEI L199_007895 MNGGVGLPTARGSGTNGYVSRNTAHLKIRDGPLSGPYGGSRYGD YDEPKGPPVHRAPDQGILEHERKRRIEVKVMELRDELEEKGLDEDAIDEACEALRSKL SSANLPGPRSRPTDSHSIAAAKEAEMSRMQRALGVSINHVEGKAFQRETEEERAARLA EREERERARVEAALQRDREAEKRKKEWEEKERLRRREEYKRQQEALKPRSRNDSSPRR RTDDSPPPRRGRDNSPPRRRYRSPSDSRSPPPARRRQSPSDSRSPPPRRPRSPSDSRS PPPRRRASPSPPPRAARRYSSSPPRGRPSESRSRSPPPRRARSPDSRSVSPPPRRRRY SSDSRSPPPKRARADSENSRSRSRSVTPRSVRSLTPEPSKKRVEEYSP L199_007896 MSPASGNLLNPLSLSPTDPSSSSQSAGPSRMTTQSSKPPRFYLP SPSNSSPHTPVYPLSRVVSRAHSDTNAAAGSSTSTSTSTSTSKDALYSRRSRSRASIN NLRSTLELYQIDHGVTSPDLSNLAGTSTDTDTTQGHPHEQSQQQEVFNNDDGSPSSLS PSSLIGNSKMDALVEIHRVLYRGKEDLQVKRIELTLREEVDQVKRVVDRWFESDCVYD QPLVHLTSRETMLMHFALLHAFGTVYLPSIRPSALIIHLKEVISLLRISLLPIEEHGK SPGAEGKKKESDMIEWPKSPGEEEHLLGLGLGMSAADEHLLTTRKPSLHSSRDHTALS DGSKTYDGWWKLWDVTADCKEIGEMECYDGHYLAMIEHVIRLSLFPTYRNSTKTAPST SHPSAYFHPKTPNPHFKHPPSSPQKYTGKSQSPILGIGIPAVPVPSFAKKIYMCFYRD FVECWLDWDLRVNTMIQFNEVGKATHVRDVIDIRDLVESFVPLAKKLHLITTIIGFIT SLIGGILLTSLASNNQAVKVVQRGKIISVSEPIEGMEKCSDTNKDAVDEMVSRSEKDR GDTTPSGSEEKSPLNSTKSSSSAPMRVKSPIMDPQARSRKMSAPTPMSGTHNILGLEG VSPPISVKEGKS L199_007897 MSTEGKTITCKAAIAWEAGKPLSIEDVEVAPPKEGEVRIKILYT GICHTDAYTLSGKDPEGAFPVILGHEGGGIVESVGEGVDNVKVGDHVIPLYTAECKEC KFCKSGKTNLCGRVRATQGKGVMPDGTSRFKCKGKDILHFMGTSTFSQYTVVSKFSVV TVNSKAPLEKACLLGCGITTGYGAATKTPGIEGSNVAVFGIGCVGLSILQGAKAKNAK RIIAIDTNDKKEEWAKKFGATDFVNPTKLPEGKSIVDWLVEETDGGLDFTFDATGNVQ VMRSALEACHKGWGVCNVIGVAPAGAEISTRPFQLVTGRTWKGSAFGGVKGRTELPGI VDDYLAGTLWVDEFVTHHQDLEGINKGFDDMHAGDCIRCVVSMGFDDAP L199_007898 MLIASLPKGLGIRSSHALVVQTPLRTLASSSVSFAKASPHLLAL PTLPKETDHAAAREWVDNFQLDDIPKDAYIISRSRSSGPGGQHVNKTESKVTLRCDLS KAKGSWLPDFVFQPMMRSPHYLPSPPTLLISSQTSRTASQNLTTALDILHKTIIHAAE SVIVNPTSHEQKAKVKGYIKKENEKRIELKRRNSAKKASRRDVD L199_007899 MTTLPTSIPPLPISLITTLLPHLLPPSPLPQEFLSKTLLQRLLY LPPSLSDLDSHLSPFPSNDSQPVSTRLNELCHGHRLSHVRYTKEGEEVYARIPILPEL DSTTDGSVEIWFEYEYGNSESRGWVYHSARLPSSTQHHFVSTPEQLVNLLNEAEQNGM DDAGEVNGDNEAPEGYWTAFDSPTSSPSPHTDILDDQHVEDAYWAQYSRPATAPITPG IHTPFHHSKSPPAVDSHEEQAKRLTESLKALGLNGGSEADTQNGYSKVHTEGKRGFWI DEDEKEEKNHIEDVQKNQTQIEKATQNQTELSDVRQKEETVEESESGNQVKDRLRCKI LVSLNYIWKEHIEGSNELDLEIKAMEWLNLGKVAIQDHNHSHSPLLPHSEKGDIIVGK LEILFEMYQILRENEEKDSFYRLLEGTIRKSPSLGDHQDEFDEVHRQNTYYE L199_007900 MADDESTKASTEAKSTSGESTATGKDTETQAESTGTRASETTLT AAPAETNQADTATVITTPAPATSTETASKGDTRTSWWKEFKKDLSTKWSSTKKSFSSF WESTIKTFKSLGVATKKTLSTLSNYPSKMWSSVVERWNKMLGKKPPPATTTETKTIEI TEVAKSDTGTIVENKDEMQSETKQTATAEGGEGDKKKAEEKAPKEGKKDEGEKK L199_007901 MWSSFTYHEPSIVQLLILSSWIYLLNLFGWLSQHILSAQLLGQI LIGIIYGTPLAGWLEESWEEAFVALGYVGLLLIVFEGGLSSSFSNVISLLPLSLCIAL TGILLPIGLSFILIPLASFSALHAFAAGAALSSTSLGTSLSVLNPASVGFDLRQSKLG TALLSAAVMDDVVAFVLSSILSDLGETSSERNTSIGKNVGRTVGVTIGLGIVLIPVSR FLLKPLYAKLQSTDRWKEAIWGGESMLVGVMAVFFTGMIAAAGFAGTSPLYGAYNAGL ACAYLSDAANTPFRLKKRKGSEEDITRVESQELGLRRLNTFPGHSPHPLSRAHSRQIV PFSFGTHTSTDAEEESHTLRSSFERFLTPTLTYVFVPIFFGSIGYCIPFVPLWKGKII WRGIIYAILMCTGKLACGLWLIFWPKSKKTTNAISGSGSSWKGAAFLGCAMVARGEIG LLISQIAYNTPNPLLTEEEFLIVNWGIILCTIVGPLSVGWIVRRSGKEIMDGGWE L199_007902 MTSLSLLFGLFINLATDPTEPSPIGNPIAQDNTVLVGVNFDFYY GALNQSFPIEINALLITTIFRSDRSSTHPAYGETSTKSDRYIKRHLHPTAIPRSEHCQ GGNEQYANRDECMTFLNGLPLVHCHRLGENNFGCRYLHIPMLSFRPDVHCPHVGPSGG DMCVPRNYTEVVKAEHFPQGFAATPLEDRRSQLAQTRS L199_007903 MDIDEHPMLQLHPILVQLATIPAIAIASYKALNVWRAVSALPLN PHSTPTVDAQPTPSVVSEGLKRWRSLTATKKAKQIAAGIITFSVIMSIHFFFVYVLPR ISSQSVLDTIWSIMMLVMFTGTIRYTSDLALPTLRSVRYHQPKPDSNIQIAIAFEGDK KTAWKKEIVRQLLSLLVTAAILYSTWAGYTLFHPFLAASYVICTIGTTRFSRMSIRRI VQFVSAYLAFVPVGGLLIGVVINFFSGSPQDQEENGDKKDSMTFASDWVLSLMLIFGE VFGIMIPAIITAMTLRFEYSLAHEPVERPTDASSEAAPIQIPNEYPSFPKPIFLSSLF SHFTSVVIIEMISYVVPNFEWLSITPLTVFITVPVVFGGTALGAVWFGKFNQWWRYSE VWIPAKKASNTSGDDGPKGVDEAQVPLLGDQAQEKDMA L199_007904 MPASNRWIKTTLTILTATLLVADAAPLPQSSDMGSSSLRDYDTP SGSSSMASSSYEPSYTDESGSYSSGSIPLYGDEDDTTYPIAGSQWESDAQFSDLSSFS ISNFSAGSQNIEVMVGSPSAPFQDQNDISTQSMSTSNWDSSINSLRVTYPAGSMNPGN NPRGGSTFYAHPINMRRVHNATLEYSVFFPRDFDFVKGGKLPGLYGGHSGCAGGVDAR DCFSTRMMWRENGHGELYLYAPRHRQTQRLCRSPPFSDCSTPYGLSIGRGSWTFQRGA WTDIRQDVWLNTPGMNDGGFNIWINGNLVVHADDVFYRDTTETCLASMGNSAALWSGM APFKRDTPSDDTDTFITEDWLSITSDPEIGNGSLQIVPSEAPAIAKPSEASSLLKMVK RLLGVGNKARSPQYDDGHWRGIHGYPGDPGYTGEPSTHQCHMTMKPNPPKTTMKPSKP RPKTPKKIPKKPTKPSKPKTVGKASTLSTLSDSSDSPTFNTLSEPSTISTLGDSRMNS TSGEPGTLGASSAPGTISTFSVPGTPGTTMTAAEDDDPAADDEPADDPLADDPPADDP PAEEPPADDPPASAPPVDDSPAADPSPPPPPVAPSPSNPPAPEVPAPVPPPPPPPPPA PEAPTPPLPPPPAPKAPGILLPVPPHVESITRPHRHPDNVTCERGFVGLFFSTFFGGH TETWASPKEQNTYFRNFRIRINS L199_007905 MNVNRNQEQNLEDNHTPSLPKLTHDGASTLSEESTTLQKRGIVA SSRVLSVITRKDIHVAYAAYTLLALGIAFAQYTQSTFTAYATSAFKSHSELAAAGVVS RVFSMCAYALMPKITDNIGRISPSIFLISYAMMAGCKNVQTYVGANVFSGLGSTSYEI AIQIYIAETTPIVSRALWNVAADSFSAIVTMYSGAEIGGHILDNWGTASGWRWGYGMW AIINAVLAIPFISILVSWQGRVRKNPNAEPLPPRTSLYNQMFHEYDIVGVCLLVASVA LILVPLTLAKGVAAKWTDQNIAMICVGFGLLLLFIGWSLPKRYRPSWLLTPKLPLIPW YTLKDRSVLAMFIINMCDFMSYGCFTTYFQSYQQVAVRVSAAKASQIDNTLRIVFQVT AIVMGLVMRFWTPICRKVGLGERRFHTKWPIWIGIPLCAIGIGIDINFVQNPRRSSSI ASFVVAKAIYGIGRGMFQTSSQVAIQAAVRRGELAMATGIFYFAMSLGGAIGVAVAGS VWSETLPDTLRNNLPEASKNLAQTIYGNIATAIKYDPNSEIGLAILKSYVHTMKILAI VATCLQVPMLIGMFFVKDIVLTEEEEVAHAGKRLGLDKKKRSDQDRMLEKGEREEEKI SA L199_007906 MIPRALPYVNFIVATSALLFQTTVLFPWHHVLSDDFERLKAEQA RQLEEYHQEKRQLLDALHLKLEDLTKTVAPEKFKNAGSRIVESAKQNQNQQ L199_007907 MGDSAIHALAGSVGGCVSMALTYPLVNLSTRAAVQTKKEDLTLI QAIKKTIEKEGLSGLYSGLSSSLAGIAITNGVYYAFYEETRSVLLRRRSATSHSTSAL STGEGIIAGLIAGSITTVATNPIWTIQTKQSTQSVIETKEGETKKVKPGALEAANEIY EKDGLKGFWRGIGPALILVINPVIQYTTFERLVSLLLTYRLSRQGTTSTTTQKALGRS SLSDWDLFILGAASKLVATGGTYPYIVVKSRLQAATHKYKSSLKAVLHILEKEGLSGL YAGLGPKLLQSVLTAAFMFVAQRRIYEFVKGLVNVAAQRKLAAA L199_007908 MSSPSSHTPSSALSEDAEEQSDRPITPTPEDVAAYQQLMSSLFP PSSLPPPPAQPASIPHDEDDDKDVVENGVTRPMTKAEKQNAKKKRRKERERLAKAEAE SEVQAKLNGRNEKKDEGDAVVKFRLFSACPLQDVSIIPSEEDYPSIINPRYLPLPAET STKVHRIATESAIEVEHLTEPSSSRGDSSCASVPLKTFTVDDSDMGLPPIFVGTVSRH SRLSITNKDETQSKSSSIPMINLKDTSHNTSSSTSTKNTTRRGKRKRPTVQARFWAPS PGLGGKARGYAWGFRDSVEGRREVGAWVGYVRSKDR L199_007909 MSEFDIPARPSNVGILGLEMYFPKRCISEDALEDFDGVSKGKYT IGLGQKYMAFTDDKEDINSVALTVVSSLLKKYNVDPLSIGRLDVGTETLIDKSKATKT ILMNLFAPSGNTDIEGIDSKNACYGSTAALFNVINWIQSESWDGRNAIVMCGDIAIYK EGSARPVGGMGACAMLIGPNAPLVIEPVHGTHIANTWDFYKPDLSAEYPTVDGPWTIA AYLGALDAAYSTYLEKAQKSRARAAKKLSLASVTAAVSDIAGAAKTFVNGINGDATNG VNGHAQNGVESKEVQGIEQFDYVCLHSPYGKLVQKGHARMFYNDYIRNPSSPKFANVP ETISVEKTKTYTDKVVEKTFVGIASEHYKSAVVPGSDCVARCGNMYTASLYGALASVL ASAPEGLETGKRIGMYAFGSGCAASFYALRVVGSTKEIAGKLQLKERLASMDVRPCEE YVTALKLREENHNAVKYSPQGSIDNIWPGAYYLEGVDELYRRTYAVKPVA L199_007910 MPPSPSSPQTYSYTPAQTQTQAQTSPTKHAYGHSHGIFHTHSHH HSHGHHIPLVHRHSHPSSPSPSHSPARSPPLTSPKKNPGLTLNTEVIKGSGAETKLSR SKSKGKAKVQEGEKNVKDKDRLVREVGSATISLRAGVVEGLDDDDEEEDQDQNGHHRD DRNKGSSSRMVKPNRQPSSGSGSGLIPSQQLPGPSSTDNAYTINIPSDARRVRKTRSN SLHYPSTQPSSVIDRSFYLPHYSTDQQYSLKPRSTTSTSTKNHGSSILPLELGLGGDF DLSFGEAVRRGEQSSEMPLPKEALRVLCEAKENLGLGNGVKQGRKGSMGMGLFKESRE SVPTTKKLRDKDRQKERESAVEEHESEDVMDEIDQQHQQQQRKESRSKSRPRPKPTTT QSTTSSRNNSTNVLPSSSRNGSSYSLRRQQSNENAIIIGQSPDQPSGGMVSPSTPVPI RAISRRRQELMDEDVDYGSGSTSGSGDQDEEIPVGIAISSPLLRHHSPASASASADVI SSPAHISDIDQEEDSGWTTTTTESLSDDDDDEEDDGDRMWNSDDENGGDDTDATDDGL TVPLQPFNHAVGGHSSIYKFTRRAVCKPLVSRENLFYEEVEKLAPALLAFIPRYLGVM LVNYRRQLRAPTEGSMTPIDSPAQSHPSTPGLPGSRPMLHPTSTSLSAQSTRSMPTTG VEIPEVSLDFNRHVVPDWLFKKDDRGRSKNSRMYGTSDEEGSKRTLRPSSARSQEFVR YTSNSPSSSWQSSMLGGSPHLKANPLTTPAVPRPIQETIEEPSTPAPSPSTSLFKQHL HHTISTPTLPSRIGQLPSYNPSLSEIGGSGSGYNSPHPFGGTGSTSVNTKLKDHVFAT ILKKLRKRGMGLHYSRHDDEADVEADDEFGESTGACVRSRSRNRMRRRSERRLLEGSG SMDLRSLSSAHEDESGIRRTKSDVVLTDRGKRDQSVERGMFHMEDIGEDDDQHENRLE MIKKNRNKLPLGNGLHPMTMAKTSTSTITPIVDSPLHTATTQSHISVPPSPSVNPDEI ARQELFIFMEDLTGRLKHPCVLDLKMGTRQYGYDATPLKKRSQRKKCDATTSRTLGVR MCGMQVWNNETQSFVSRNKYRGREIKTSDFPNVLHSFLSDGETLLVDHIPIIVQKLHN LAAIMLRLDGFRFYGCSLLLIYDGDKEIQDHYRSVIRNGHGNENENVNDIEHLQKVQQ EEEDHDEWAEHRHRPLKRIDQSDSHTHQDERRSRSVDLHSHHSHSHSRRRKSHSHSHT HSHSHGRSSTTGSGEHGHPHTHTHRKIRGEVNIRVVDFAHTTTGRDFLLFSKEHKDPD DKTLGKGYDTKFDEITGLPYARFPPKFPNRPDMGFIFGLKNVCESLKNIYKTYQHECE SVIDDLVIGENEDVFERAFGNNEEDELST L199_007911 MSAIIITTTPYTRPHSHSRTRSRPPHSRAQSQIGTPVNEQTPLL LRPPSPTPSCTSSVSTYSSSSETLLRSPPLKDSISLARFCIVCAGIWSANFVFAFQST AIPTLAPEIGSWFEHAELAAYLGSGFTLASTAVIPLYGVFMETLGRTFAMVTACAFFG IGTILCAMSNHMYTLIGARIFAGLGGGGLLTVSSVIVTDLVPLRDRGFYQGLMMTIFG SGSMLGGPLAGWLTDKYGWHWSFWVQLPIIVFCAVIVSIFLPTPPIPPTHQSLLSGLA SLDWLGSFFLIASVTTLLLGFSFHTSYLEPWSAPIVWGMLLTSVISTGLFIYTETQVK RPVVPLELFKSSHIAAINTSGFFLSVGNQAFMYQIPVYFAVIVNTSTAQAGLIMSLCG GLGLATGSMVAGQYIRSGHSWKYLGPIALFPPIVGSIVAAMWDPTWTWWSYYATVLPC VLGYSTFLCVQLVALISSVDSKIMPKATALMYTSRSLGATLGVSIGGSVQLGALASDL KKQFKGIDNGNEIISAILHSKSAIRLLPPSLRELALASYSHSLSVVWGFSAIIATITL INSFWIESSEVHKDEEDDQLRKGVCGVVEGGFGEGLGESTIEGETRE L199_007912 MTCVTTTTPAQAPATMFSHPALLTGLASPPLTPISPTTITTKRN SLHASPKLQPPEPSYAMLRAHHVYALKYTIAKLRWEQVNNLYLPGQDADWNHNEMINK LEKELHNVQEAQKGLDQFPNCFAPIAFPKAHGPLTKEKFEENKKIELEKEKRINEELE KVFPFLKRLFIGPMTKMQAKRDFLLREQLKDNDLEDISMLIPTENKKWLMAQQEKKAN HPTNRKSYEQQRYEKEKQKVAAHLEFLAKQEAQEPPTKPLPFGPLTKEEWVATLPVYG PKTYNEAMLPSQREQRHRIISWLQRPWGTYNEKASKAMEALAELAKASLEEEKLRKRK EEMKKVELIKALEAKKAKEEGKKNARESGKEEEGKKAEQKDERHEGGEEPAKVTEVKK G L199_007913 MDQVAILTSTAGQGPVPPLEGKTSAIDKDTRLPNDQEKVAVGKP DESFLHDEISEEGHIIRTGRDAADHLLSIRDDDDPSVTFRSMVLGTIMACFQASMTQI YLFKPTNVTISGSFIVLILYFIGNGWAALLPRGDHLEKRWRDKHGTGSLPFYVTFAKF INPSAFGLKEHSIAAITASAASNGAASISVFTVQNLFYDVKLTATTVILSTLSIGLFG YGLTGILRPVTVWHVEAVYWTNIPTVKSLQALHWDTVKNSKPLRVFWYCFGGMFLYEF FPAYIFPWLNSVSIPCLASMNATGDKAAILTNLFGGSLSNEGLGILNISFDWQYITSA ATSMPLKLQGNYLIGIIACWIAFLAVYYSDAWGGRNLPFLSTSLRTADGGRYVSTKVF VDGVLDKQKLAEYGLPKVTSTYVWAMILGNAAIGGVIMHCIIFWGKDIWTAIKNSRKG VFDDRHHSAMEKYKEAPWYWYLAILVVAFILGLVVVIKEDITLSVGSYVTALVLGTVI APFSTILYSRFGNGVATNQLMKMVAGLTSPGRPIANLYFSAWSHSVISQSLNLACDLK MGEYLKVPPRAMWLTQIYGTVLGAFINYVVMISVVNSHRDLLIDSNSGSSTWSPAYFQ SLNTSATTWALADYLYNKNGQYFIVPLGLAIGAAAVILHRIFVIFLPQIGRVSTSDLN LPQFFAYAGYLGFNQTQSCVIFSILFAGFFTQYYLRNYRPHFFKNYSYLVTAAFDGGS LFVLFILSFAVFGAGGPSKPFPTWWGNPEGNVDHCPNLE L199_007914 MRLPIISLSLLTLVYAYPAPLPTPTATALATEGLTNSERLAKGL PLNKPKLLFDPTRIKTILPRQSSLTPPVQENDPSNVITSAPSSSSSSSSSSSSSSSSS GSVDPSVSSSILGSSPISSSESASASISASSTSSSTSTSASASESSSPTLKPSNPIEA GPVVTQAVRASYYDASAHTKRDGTSSGDLGYLWGQYAGSGVWALTDNSTLAAKFIFQQ DASGSNNNILINDTFYNPQYDLPHLGASWENWSAYMNYGSSSPKNNLGGDDGNCIGLS RVAYHAPGFDTAQSSIWNSPSLGGEMIFEWTNQDGTVVQPNFYLMPEVGFPNIRVAVK GEDCDWPESQRIRLFYGDL L199_007915 MPPQTALIVGASKGLGLALVKKYSDLIGVTNVYATVRGYMDDKE KSFTFPKGVHVIEDIDVSEEGVGDKIVEGLQGAAVGLVVYVSGILKPEEMGKANWKDE IAMYTICAIAPVFVVQSLLMSSSLAPEAKIILLTSEAGSITLRTQGEGGGMYGHHGSK AAGNMVGHLLSYDLKERGVSIAMIHPGFLKTEMTKDAGMEEFYDKMGAVTPEEASEPF VEFAEKLNVDMSGKFWAPMGARGIGNAEEVLGKEWTKQPGPLELPW L199_007916 MSATAVIWSPENSQEEFLVFVDDVAEYERWKAGATDIALSRFVG NFAVLKTSTSVGHTGNLGEVSKQEIQNVFFGGDKNKHAEDAIEIILREGKIHKGDYSH SYKLTLNAGRGAGAVKGIGNTQGR L199_007917 MSRYAPVSSSSDQTPPATPSRRTSFHSIRSFRLTPNRNHTRGGI PDPDEMDAAFDGPDGEGEDDTENHGLLGRDRTLNAASGRDERMPGDYDFERDYTLPPS SPPPFQPYSSHNPAPGNTNGIIPTAPTYHPTPPQRRHFLGGLLPSSFLPRHAPNGSPA SRIMGAGSSGVFGNLAARPDTTNQNGNRDEGDYVPEDEQKEGPPSYQAALRDAVPPYW DTTVVLPSSSSPFGPLSSSVSGDEILIDGMPGGNFFGFFWNMIVSFSFQFVGFLLTYV LHTTHAAKYGSRVGLGMTLISIGLNLRSKAEDLINTGRFPSDPSDPDPPAGTPSVADE DALAENAIEAIWGPGGPWPYPVHEPNEPDGPITILHNTQEAETWAHRHNMTLAGFMGL PNAEDVGRANEYFSFLMMSIGWFIVLTSLGGWWRVKRFERGLKNAQREREAAQAAANN NRNNSDGSTTEQVGEEENLETITTSPTRNEEPRPTEIRYYTAPITQAWQGVRVLQRGF LGMNGRPLGGRNRNGHTPLNQDDPDGDLHDEHELLDAQGFGLGPMAHDDAARGDDYPG RERRNNGGLWGV L199_007918 MPLSRLQLAAALMEYDNDSDLASPSSNTEITYRDHRQSAIFQPY QAAQAAARRHQILSQPPPSLPSPLESSFALNRENQNRNQTDSNEEGEVVYDEKSRPKS QNQSFRQSTISDWNVTTTPGFKSINLPNNNDLDRQEEFVDEGEGDDLGDVDVARWGLP SHLVINDEPASRKKPENTSRVTVNSIVPQSIPSPIRSRVKSIHVQDILDDTDLHQQVM AQSGYNNNQPQEKERRRNHSYDFTSNALASAARVRDMVENREAAAARPSTVMGKHRND LMGSGNDTNTRRRQISDPRMIPLPATPGSLFDTRPLSRGWTSPAPGKMDDELQNLDLE HEAAGGTEESLPNPFALPAPPPELGSRFDPKVLQSQRQSIDDQNQRRSYSISSEQRPQ PYSARSRSSFYEVTSPTEPHSGPDEGPLTSPPPISRIKDPERVWEDIPTPEQFGRPLM PKRYSTSAKLQLNRLSMLRPKTLIMPSLLANQRPPEPRQIKLPEGYTLGEKPLPAGAK TEGERPRSSYHPLSLSQKTFRSSLMVNGLRDEEFVGGTENEGEMGLRQRELDEGAIER RPGKLYGRSLMDELEARKMAQKGKQRIFAGDSRPAMMARSTMYDPPTISFSPTSPPQP GSADRPHSMHHPGGGAGRAPLLSFDSNGEIHPTSPNNLGVPDPQGRIAKSKSVFGVDQ LWEKEMAKLKIIQEEEKRAAEFRRKMEEEKKMKRKSKKLKGKGKNRESFVPPPPLDIP KDTSTEDVLGISPIHRASDLPPALQYSPEKATAQRPEHERDNEEEDNENEERRKLSLG SLFSPASDDENASESGPAPHDFAQTLNPLAQNTGEPDSDEDSEEDVPLSQLAPANAKS RQSVFSASGSGRQVRTEVESESDSDEDVPLSKLPIAPRSPSITTRVTKPLGTSLGLNL RSSSSSMSIPGVTNSSRSPLSAEVDDEQAEDDLPLAVRQAKSKGLKPITKAEIIEDDL PLGYKHAEKAQAQMAQRQFEQDQENSRNSMMSFGNGPYHGQAQSWMGYPQMQMQMPMG PYGGMGMGMGYNPNMSMPNLGMGMNMNMAMGIGFNPYQMPPMGMDMGMNMNDFDPAMN GNNPGEAIDSWRKDVALAPVPTGDSSRLSGAGSIRT L199_007919 MNRINLDIEEEFDPSLLGLQIANHISTASPGSQAEVREELLQDG VQIDRLDRHKIGNDRSHPVHNNPNPQSQNQNVRKHTALELLRAISESYIPVSSHNTAS SSSSSSSSPPIIAANGNEVHGKIHNVSPLISGISHEEPIARDFVDEVNCPPPHLVNGV YNTSWADDRHSGHGEQQSISSEIEQVDSCLSTIISSGDTASYEEPDMKPRSYVKIIRA RIPGSKRYRQAIRVYRPPPASTSIDDTTIDPSIASALVPEPEIESEMHSQFQKDIYHT FGKDLIDKIVHQSKLNSTSGATFPSILRDLFPSLSDVHTTLKIVNHMRRQVDLPILEF RRMNRIELRKLIAKMREGLFIDLVSALILPLAQDNPRKAGEGKGTDTEFATTDEEKVK VTLTPTNLKIMEKKLPKVFPGEKSLIKSFLSEDYKYAYGENMGHVIWQGGIGKVRGKG EGGFRGKEDIEGDTHIYVDHSNILHGLLQHLYANPSDALPPRHLRTLSLPALSLLLRR GRYTPPGSLHLVASSPLQQNLDVLVRLGWEISVLKRVELYEDEIEDSTSVKIINKAQT KLPTATLTGNAGLGGGVRRYKEQGVDEILHLKILQILNEKGMTPNKSAKATNTNTLVL ATGDARGGQFNKDGFPGAVREAIKRGWNVELWSFTSGLSRAWKDIARRERWYEMGKFT IWALDDWAEQLVEVGEEDYY L199_007920 MTKGESQEWDDLIKWLEEKHPGFERNLSLRDVPGLERGLVTSKK LKKGDILFHIPSSCMLNPLTLLPNSPIPSHLFPQTTSKSVSTSSLTPIQKKPRIGNPP RKLDTTQLLTLHLALTKDPKGRYKSDWQVYIDSLPISFRPWHPLTWIVSPSDKAQKEE WEWWNYLYAIGLSGSTKMKVQDVKNRFERDYEVLSDVLKEEEPFKSQGLDGMISEEHI LWAWLNVNTRSISIPLGLPGPSERNNHTLVPLMDFINHSSNESLITPKVKQLPTPSSS RTRKPSVTAKSNTNGSPSSPLPSKTNGHTDASIGIKHNAVLLPNKIDFQLSCPDRGLD ANDEVLFEYGGHSSSTLFAEYGFCEVPKSPIPQTNGWLDMKYGEVDLTSYINELWDQQ DQEDKEEKRQVLEDIGCWGGNTLHAQPSPCHPSHSLLMTIRLLHLPTSSPKLPNISKG LITYISPQNETSTLLTLEGICKRVVKEATKRDKVLEKLVKEEKNNEKVGVIGMLRAMC DEEKVICGEVLRRLEKGDDFQ L199_007921 MVNPNEDTEFNDALRAHGILPPKPPSRSPSPDIPYITHTDAVRA IAATADTDQLVTLLENENLDSDDERMFEDYRRKRMMEMKKQEKKGRFGSLEPLAREDF VKEVTEGSKVSPDGTQEEEEDDEDEEGGKQRGGLKGTGVVVFLYKDSVPLSQHLRPLL QQLAAAHPSTKFLSIPAGLCIPNYPDKNVPTLLIYRNGEISGNVTAGMGLKGMKTTVR DLEGLLLYYKALEKPSPALLRQQRGNDSDIDDDDDLDDHVGAVNSRSTNIRSGGVGVG TGRGKVDSGDEDGDDDSDFDL L199_007922 MAQRPQSSPGGELDFKDLVVLVTGAGSGIGKVYAKFFASRGAKV VINDVSDKSAQAVADEIKSAGGTASIAPGSVADGQKVVDAAVKAFGTVHVLINNAGIL RDKSFKNMSEQDWDLVTLVHLKGAYACTKACWPLFRQQKFGRVINTASAAGLYGNMGQ ANYSSAKMGLVGFTRTLAREGAKYNIKTNVIVPMAASAMTETILPPDMLKGLKPEFIA PMVGVLTAKNGPDVNGRIFELGAGFFSEVRWERSKGAIWRTDESFTPSAVAEKWSEVQ NFDNPEHPLNSEDGDMMGWAKTAKSLPPNKQVSPPITFKDKTVIITGAGAGLGRDYAL MFGKLGANVVINDVSKDGAEKVVEEVKALGGKAVTAVCSAEDGDAIVKAAVDAFGTVH VLIANAGILRDKAFVNMDEKMWDQVIQVHLRGTFKCAKAVWPIFQKQKYGRIITTASP NGIYGTVGQANYSTAKAALIGLARSLAIEGSRSNILVNCIAPRAGTAMTATVWPKEFM EAMKAEYVAPVVGYLCSEACEDTGTFYEVFGGYAAQMRWQRTYGVSFPNDRELKPESI VAKWKEITTFDDRATNPSSGPEALQQIMANFSNTAGESGGDRESNEDPEDTPEIKEAK KNSPEPEEFTYSERDVLLYNLGIGAKADELQWTYENAEEFQALPTFGVIPQFGSSSSM PMDFVPNFNPAKLLHGEQYLRIAKPFPTSGTVVNEVKLLEVLDKGKTAAVTVKVETKD KASGDLICENQSTVILRGSGGFGGKKNGSDRGSATALNTPPKRQPDAVVEEKTTTEQA AIYRLSGDYNPLHIDPSFASMGGFPKPILHGLCSMGISGKHVLKTFGPYKDIKVRFAG TVIPGETLVTEMWKEGDKVIFVTKVKERNAPALSNAAVTLIGGEKVKAKL L199_007923 MLSPKQNGKTMPYTPAYSQDIEKGLSSPTKIARRFVSRKPRWII GGLVLFGVVFYFYESGSGGPHRDLVPPPLPHHHGPPSPHHGHGHGHDWSGGDDYEDDD YDIDDDDDDDDEDEDGEGGGEKGWKNWLPFGGKPKRPPPNMGYEPTKTSSSVSEYEFV VDNNGDHYIQDWVQPIAPLHPDLSLLPPPEELLPEINPRDSSPPREDVFPDDKLRMII SPTPPNEEKPRNVIPADAWSKMWMEERRPEDWKGQKGDMKKVQWSGFARGRDTWENAQ QKKERESRRDAVKRGFKHAWEAYKRHAWGHDEVRPVSQTPSNPFNGWGATIIDSLDTL LLMGFSDEYNLCRAHINQVNFHWVNGRDWSSGYITEDEEGTGEVYALSRDKGVGLPVF ETGIRYLGGLLGAYDLSGDKLLVERAEDLANVLGKAFDTVSGLPAGRIDPGLPEGEMI HLSTVSIAEVGSMSLELIRLSQVTNDRKWFDLAQRAMDYLEERVIPRSTQPPLIPMWF QPDTALEHIQSGGFTFGGLADSYYEYLIKTYKLLGNNQHAQIWKKVYEESIDKAKEVL YKDIEIVPDRELFTIGKFENGRLIHELEHLTCFAGAMLGLGAKLLNGREGDMVDAEKL TKTCYWLSADTPTGLQPEVMEWYQPHDEAVMYENITLDNLESYKPPISSEDIEDAINN GGTIAGTHKDMGGLLRWNVDGAPVRPRDPAMNPVKYAKKLKGRPTGARKVVGRGINRP ETIESIFYMYRLTGDRQWQEKGWKMFVSWMQTAKVDGGISSVDDVTKERGQLRFGDNM ESFALAETFKYHFLLQSEPDVLSLDDYVLNTEAHPFLVNPILDPTSSTSHVRYWTPSS DQDLGVRGQGTNVQKFARLNVIDRIRGPAKGPPIVQHFGQGGPPRLVGGGGGKGMGGG GGRPGDRPKPPPGFGMKKIKVTVGEDGGAKFPDDF L199_007924 MMLLVLLGLLPYLASAVVLDKKAEAYVGSTTSDIFPPTGTKVNS DLFPGETGVGYPGVTVTGVEPAAVQTAASYAYNTGSLSSYPLVVDQPEDGDQNIDISK YWGNLSPWYSVPSSFYGLNDTTPLAPEGCSVTQVHLLYRHGARYPTSGSAPYQFSGKM ANATKQQGGFNAWGELEFLNDWTFKLGAELLTVSGRLQNFALGAAFRQQYGYLLNNFT EQGTLPVFRTESQDRMVKTAENFAAGMFGVPEYMDQVNIEIMVETSGVNNTGAPYETC TNSNVASRGSIGSTAASAFAKNAFNGTIDRLQGQITGVNFTSTDIIAMLQLCSYETDA LGYSAFCKLFTKEDFENYEYYYDISFYYNNGAGSPVAAAQGKGFLSEFVARFTQTPNP AADNSINSTLDNNPTYFPLNQSIYADATHEVILLDTFTALNLSALFSSGPLPTDKRTQ SSFKASQVVPFATHLVIQVLECQNTSPSKQIRFILNDAVLPIDQSYQGCEWNKDGLCS FDNVVKALQQRVEEIDWEYDCHGNYTAVPGQDYNGRAPRD L199_007925 MISSQKPTTPIPKTHPHPCPFPSSLPELIQSLPDDVKLQIVDTL STSSFQNLKTLTRLSQDSYDRYAPMLYKRLHIKSYDVPIKMKLMNPRYRKGYHPFTEE DENAYKRCKERRMGIMGYCESLRFVNENDIRATLGSVCGFSDHREIPRSDEGSNGPKV FANVKYLRFQGRCSVMNSEIGYKDNNKVYSSKLLWKMLNPTHIRIDHSPSSEDFLALA FSTLEYCGYLRSNDTHWTNISSVTLHSIVHGVVQFNQKVDQRIFFKAIDQSRPQRQPR RDHRQMVLNEGDFTILGVLSRTSDDNTTKKIEILNCPNNWNDVLLDRQLEGLSPIDGV IEVFGSEEGEARVCCGRR L199_007926 MSEVNESGSIPESLSKPLSGSLGELSELIEQKPWILASSEGDQQ VAEKALQVTKDIFDLGISLEPLSHSHLHPFLLSILEPPSINTRSQSKQKSKSEQDKEQ EDAKPDVESFLPYTPLSVLTVDGLDPEQVWAQLELRSEALSKVVKEVGGSNNLEEEDQ LQDLESDVEDEDDSDEDMTIEEFRQMLIESGETQAAEMDDEELRELMDDLDDDEDSDE DEEDDEEDEEISESEEEEDEGIVGSEEEEVELEGSDEEEEDVEMDEIDTDGEEDDEED VEQEESEGDEEEEDDEDDDMSALFGAGPSQPQQRGKKAHPTLDDDFFSIDEFNRQTEE LEAGRLTSGRLGGDEDDEEELQDVGDMMLSGAGDDEEIMYSDFFEKPRGLPTPAATAK GKGKAKEPKVKAKGKGKGKGVRFDEDDLMGEDGQDGEEDDENAAYDTMGRMKGDLFDS DDEEEQEEKNLSNHEKRQLALAQQIAELESEAVGPKDWTLLGEATSKARPENSLLEEN LDFEQVQKVVPVITDESVKSLEEIIKTRILDNNFDSPVRVRAYEPTPFLPSRYFELQD TQSNKSLAQIYEEEYQAASSGTKVTDQRDEKLKKQHDEIDKLWDEVCYKLDALSSLNF VPKAPKAQISTISDLPTTTMETALPSTMGTSTMLAPEELFQPPTSASLVARSELTPEE AQRARQKNRKVKQAQQKKLSGMADLYGNKKKKSVRDEKEEALKGLVKSGKGVTVIGKG NKETDKSKKRGMGGEQSGDREGGKRLKLPSVRPRSLSRSSSSPSPPPESALPTSSPRS SPLPFGGGVGGSSNGTNGRSSRKSFGASSSSTIRSKNRAPSKGSLPRFQPDKATRPQG NGDAVVTENDGDGDGNGNDNEYASTSQYGYGASASSPSWISGSEDNREYLDDLNGDQT EIENEQMKQRKVAEQMEQDRVATPSPGPPLNRNSAQARRHSKATHHHPVPPAYTLLPA EMGYQIPLFGSDWKGKGRAIHLRLRITRKRCENAILLSAVILGIWKLGCDWGEKALAG EISLLVGLSIIYTCVRFRPTRLKLPSPQPSTNGRPQSPQLQPHSLLSANVRERMSRSS TGNSAPGMSPLLAGKDDRSRSGYMDEDGQIGIGGRGCLWGTEPREYRESLDDGIFFAL LLGPLVASALLHAALTQLSNNPESPLPGNWNIEFPLVLPSTPIRKFANSLDTPPSNTI KSLSALLTSRRNLVQLFTLCSFVLLVHLTRSLHLEIKQSKQSPLTPLSPQIGSVSLER DNSDHAKLSAQQSSGTYWLRLGEWKRTRSVVGFAFLVTGCCVIVKIVTAIIGRGVWSD MSPSDIVIATLFYQFSLYVCVRLARRGFTLGELGVVCNAATALFMEVVNLTRMKIVLL QTPYIKTYRLPTPLLTFQLALIPGSLLAGFLLSPLLYLSRHLAQKPAHRLRFPHEKPV HRRLLALGFYGGSALVCGGLVGLWTQWLLGGRNPWVWVVYWFFEGEHAWTRPVLISYW GGLAAISVAGWNRQLNRARRHRRYTVPGPGNNNTTRGEMANNPIPTQEGDTISGVASS MMDAADQRLPTLSVNARRKFFHALAVVMFIPGIAVDPAFTHLSFSVAFAAFNFAEYIR YFALWPFGVSVHLFLNEFLDHKDSGTAILSHFYLLAGCASPLWLEGPSEILCYFGVLS LGIGDALASIVGRKIGRLRWTTSSGKTVEGSIAFLLSMLACSGLLWMVGVVDPFKPLP YTITTTLATLLEAFSDQNDNLILPMYGWALGTLLGV L199_007927 MTLLSMGACHSTRAHPHPTTFHHLYPTTYARPSYSYSAARPSIM VVDQPAVTINSVSRRRRTGKVVVKAVECTPSGRTTTRTNVEYGRKSKSKSKGVGYKYR K L199_007928 MRAGIDSLIYLITCILSTVCLAACGNSLHRRNANVGEQSRAAAS QGITLILATNDLTAAGFALSVGAGGIAVGTLILFIHSLRLVPMTKFIRRIFFLSPLML ALNTLLLISSGIAVTYLGRHGTTSVEAFIGSTKLPESVIRAQAQAQGTHLDYWANNYV KFMVASPWPVIPFALASLFFAYRAYQVEKPSAQQHVTSEQPYEHKNGHQIDRQISGNG SKPEVQHIA L199_007929 MTKPHPDTLPNEIIVTLDQPCACNFLNGNAYDLCVMLLAREYQS TFLRVHQQNLMRTSEILFVSLPTTIKRKISLYTLPLITPPPKIHFRPYPTNWIIQEWI HEYDIHLEDLVDEIQRVEKRLADLRSKNKDSKVIRKLEELDDKYQKHLVVKRSDKKKG DGLVDCQYVPKKEKLNVDSIKQFTKETMEKYKAFKLNGADVADVNYQEEEDHEHTPLD IDFLKWLNTFDMPSELLEQPVPESFLKSMMDTEIRGFDPTSSFANPRSTGGTSRGKLP NPVSKIGKKRKVSGRAGQEVGSSGMTSEEKENIAVLEVL L199_007930 MEESATTFALNVQQVALLGINKLVVFAKSYQLNHCKEGRHQACI RGLWTVLKDRGYAAQNAISNLTHKAGGRNILGFLVVIDDKKYKLSVNEIVYKDGWQIV GLYNLLELVKNLEFQYQLFKWARLPGKYALQMPLQITDLIELIGKYSDDPSGEVFEPA KRQFLGLKEYQDLMEGKDSMDLLTVRGSASVYSPSCQSSALSASSKTLSCSDSDTSSE EAASEQVGKDSTPYSETSPSISFPSLSMDLSITGEATPIIPLSPRQPSRCSMSPFPLP DGNGDPVDEVEESSGFVASALPWNLASATPNSQQRRSHSDTSAPLSTGRKRSKRAGAT RKSVLGINRNGKIKLVNGLDILVRRAVRLGKKKVVYEGQVYNISKIAG L199_007931 MIRPRTLPLRPSIRTSTVKQLPNRFPSLLPRQTLYPITIRANST IPPISPISPTSSDIPQPRHSASFYISNVLPLKLTYWDLRPTWASILREESLLERIYDI TREIDLYEFKLESLEVSRKDGGVFLHFSYIPPSEPSPSQKKEEVEGEIKDMIGSQLTS TTTPSSPITVTPGKLFIEALNDKAKKLGGWPNWLGDWYSNKKLNLVNNTTAVPGHSLY STGSEQGVLKKGDEVEKKGIEVSTGAERVWIVKGRQWTEDMNRFPTGRLRVEFDGPDV SQEMLYTLFRPYGRIADIQPPSPVPAGSLRYATVTYSRLSPAAIAINCLHGFSTPTNT ADFTLKSSGSASSSTIPKSRLRIYYERPLKAHAIRDWISAHPRLALPVLAFLIGTLSY TFFDPIRAFFVRSKLEGVWDIEQYSLIKALRQKFVLPTSFGFLSSSSASAGESEEAIG KDAWQDRVEAEKDVERWLSEYPNTFITITGPPGSGKVSLVSRVLKQQAKPAMVIDCAE IAKAKNDKGLLDALADQTGYYPVFSFMSSVSGLIDLAAVGLIGQKAGFSTPVDQQLRQ ILEIVGGALKDVSTHAQQEHQQEAQHLKDDAAIAIERERQRRLISRGGWHDGRLDCIA GNGVMSELGVGDEPYLENDLDAAPPPLMDDIAPIKGEAVPPTSASLPLPKTSSPEEIA AKAAQAAANADLDAETEEIKSLPIVVLKNFAQKTAKGDLWNVLAEWGASLVENKVAHV IIVTEGATATKALTKALPSKPLNNIGLADADESNALAYVRSKLKSISSSSSTITKDSN QISKLGGRMVDLETLVYKVRNGTTIKDAVDDIILRNTIELRKAAFGDDSEDAKGLPWN RSQAWKVVKELASKGEISYANLLQDFPFKGNEQALKALEEHELVSVSYVDGRASKVRP GKPVFRYAFEALVNDPVFKASNQIEYNLTLIAKAENDIKTYETELNTLKGITTEGGSQ ALGVESNWLGLGGHSAVRDRARWLLDKMQKSVEKTVKLEKENGEMAGVLASGRA L199_007932 MNGSTCSSSSSSSSTSSSSFAALGFTDFPTSSSSSSSSSSAVAE KLSAGATISLPSSSYSQSPRRAFSLPSKIKLPFTSSPITSPNINIGLGLGSPMIPLHS PTRSTARRFSYNMKSRISHYSLPLTVGGLFTLALFIFVSLNGSSEVRRFNSSSRLQLY GRSRFSEEEHQLKMAHPLHRPDEEPDNGISLLVNEEELIAEDDLFWDSYKDPEPLSYE EQKEQEEMKAHQADVQKQDKLQSLRALIWWLAEGGILPNDWEVPTKQYLKKIGGRGME RLLEDIDSGEEGDEIFDNGWAEFANRRYRVVIFSKTHCPYSKKAKSIFGEYHISPAPF IIELDQRSDMDQIQTLLQRITGRRTVPNILLDFASIGGSDDVTLLHSEGGLQRTLEDM EVLPFSRRRKPVLPPPQPPVPIVEEPVLPVDQAVVKREDVPVVGNNELKRAPLVSEQE EQEEEEQSEEESESGSESETLFTPPSSDTEEEDEVIVKREEDKPVEPKFIDLNNRNTI RDLNLPQLPKRQTNAERFINKRRDAPGTGTLGLTSRDERGSWKEGSGLLI L199_007933 MNLGRIRQYPLHHHSQPISFRSLHLASSPRQAGCFTPRISLLQS TSPFLKRSFNATARDLIRSSYFPKGGNGGYGGGYGGGGGGSWFSRLRSRIDRIPTMTL IYGLIGLNGGVFLLWQYAISSAQRFRDPTLFFFLQKNFILNEVNVFSGRIWTLVTSAF SHMSGSHIFVNCLGLYFLAPAAAGLMGSSAFLGLYLGAGIFSSLTSLTYHRLQRDRWM GSEGASGAIYACLSFYGAMFPQSTVLMFFVIPMPVWVAISGIFAWDFYGALFRPNSGT DSAGHLGGITYGLLAALAMRRGGFTRMLRGGGRRW L199_007934 MSFPKVYTVNGPSSTSSTSLPSWVAVKTKAKGGKKRTKTQHTTS DLELIQDFTFPGSAIRIKTTEDGQHAIATGTYKPMIKVWDLENLTVKFERVTDAENVD FVILSSDWTKTLHLQRDRSLSLHTQGGLHHSVRLPIYGRSLAYHSPSADAIIGCTGTD VYRFNLEEGRYMSPITVARNWGADSRQDEVEGVNVVDVNPRHGLWSFGLDGGGGVIEF WDPRSRNALTRLSLPSTTLLPLQNFDPSLSLIAQPIQKLSITALQSHPTDGLSLAVGT STGHTLLYDLRSPTPFAIKDQGYGESIRKVDWLVGGGSQEDSGRVISADSKVIKVWDK SDPSNNHLSLHPPSSLVDLHPVPQSGLLMVACDAPQLSSYYIPEIGPAPKWASFLDSV TEEMAEDYSGLGKSAYQDFKFVDRAELDTLGLTHLIGTPALKPYMHGYFLSLKLYTTA RLIANPQSYAEYRDKVVNEKLRAKQESRIRARKDQPKVNKALAERIRKAEERDKLLEK KKKQKNGDAAPEDEEAEEGEGEAQGGLLADPRFKEIFENPEFEVDEESREFALLNPAT ANNNAKRKTAVEEEEDESDRSSSGLDDEDEDESEEEEDEQDEQESDSEESDDGDLRQY DPRRLKPTDAKRVLPAIRSQPKLVVGSSSSSSSSRQQPTFGQRLKTSNQSSRSGKGLA EDPSVLAMRKSADGGMEMSFIPSSKPSRSNGPDGEDDEQDEYSGGTRRKDRKVERFGA GLEKGQVDDEDEGQEGEGRSGRTKRRHPGRSASKNAFRKR L199_007935 MSTAQEQETCTDCEPPSSSTHTQPASTSTMAHSPQRPQPVGIGK ESFEPPVPVDPPSKAGHATEYTYRGPPTPQVEQQQEREKKVEQPENVEREKGDLTPPS GSHQQNNQETNGTTTITTSTTEFQVPALKEIKPSVIIEFCDRCRWAPRATWIQTELFL TFPNPLLRSITLIPLNAPETGGRFRVWLDRGDAKGDQLVWDRKTEGGFPELKKEMKQE TGLGN L199_007936 MPPPTIPFAARSRVLAPHPSSTTLSHVLGLVQNGYLDKASRVAV AQIRRSSGVAGSGSGSGVGSSSIGSTKSPSSSLERRSMSQRQNFRTYSASAAQTVDHE SQDYHQHHHSSPSTSDQSSSSTFHQFSVPLSERPRRSKYTSFQPNQPIRDQAITKDKK FFVAFDYPDPWSMLPEDFYSRLYNGAFQSASHFSHDFELKSFETRHLGSIGNLLGVFA LFRDTAEHEDWLWLISKLDRLTEILDNVKPPPRQRGLTSLVCSIRGYALALTGDSEKA KEVLANLQYLDARNPGNTLPTSYSIAALAYVEMGEWELAMKRIRGAIDRAVQRMTSND ATKLRQECDYPSFDFGLLQSYEKSVLDASRNDDLIELVRTASYTVRKFLINSTQRPHP FSMQLTNLLLGSLARVGSPVEWWTDRFNADSSVNTRTLGIFLFIAITRDRTKLQEAIN LLDIFIANGTSVPTSAAISLCSLLVTESTSDAWKLYQRICQEYPNFTHGALSQAMRLA GQAGWVEEEQRLWNQISANYTPTGKDRLVAARYHAYRGRVADTLAMLETRVGPNFESK PAALEILFTAYINANNSQGAERVLHQINAIEPRLYPYNALLQLYADQVNVEAAVRLFD ELSNSTLRPDIHSYTALISLFAHRRDPVNADNVFQAMIDAGIEPDAIAYAAVIDAEVE TGEWVAALDRYNRLPRQFKHHQAVSTAIIKALVALSSPPEHVMSVFRRITNPNPHTWA LVIQNACDASEMEVARELYEEMDNASKSNKGPSPDAYAFSILLHGYMRLGDGASARAV YDEMLSREVLPSSVTYGMIVKSFAEARGERSLEQAHDFAMNVSKQAKAGNIADRRHEK ALINQNIFSPLVVAHGKNQNFDMAQKYFDLAQDGSGENRESVHMYTQLMDVYRRAGDS EKVLEIWNKTFQLACDTTSFRKSTSEGEQGGVLPTSRSNDNVLCIPLSIALDSLSIAG NYLQVKKIWNDVQDAGFGFDAGNYNHLSVALARTGDIEGAFKIADQILLRRYEEIKFR KNEAMRESQKLKSVQLEPDQDYREVYDNPLEAETFDITDRPVEPFQGPPNRRHMFHGR SPFTSEARNDPTSELELKLLSSWRPSDILWRPSLLTISVLDTAYAQLEDAKARRAWLP LSISDENDNEDATAGTITSGSVAGGVEVGERKKRTYGIVLPLFGGVPVRNHYTGQPHR KGPTELLKSINKRYARLVGLIMFHRKKRMARKIRDRQGR L199_007937 MPRVYVESSEEIPPQPPTSTTEQGSSAPADPNEVKEQAEKLVGE GKKAIALKQWEEGVNKYGEALDLMRQLVGEFDPVMAPLLLSYGKALYELAFSQQGVMG KEEGSKEADEAVRQGTLEEAPSKNGNFVFSADPSSDNEEENQDAGPSTSPQPDEGEGE AEDEPEDDYNAAWEVLDVARTIYQKIVEGKSDGEEGVREDKLNLADCYLALGDVSCET ENFPQAVQDYTSALSIQSTLLPPSSRILASTHYQLATVLEFTPNKQTEALSYVEKALD GFKLRLSELKSTAEEMVSEEVKKLNEKEKEKEIKDVENLIEDLNVKIEELKSTSVNET NDLISQSINHLLGQPESDQFGSSSSTTKDSGPVNDLTSMVKKKKPKTTNVPKNVEEVK QAVNEMVNNAQPLVEQTNQSVIEPALEKGKEVVDLAGQKLGEVVSSAAEGLLAAGEGL KRDNEGEEEEGRKSKKAKTE L199_007938 MRLLTILTPLFSLLPLALSLSSDQEHWAELSSKSKDGVIKLDSQ SYHELLADDREYSVSVVLTALPAQFKCQPCHDFDPSFHQVAASWKRKPKHVRDQHFFA KLDFQDGQAVYQELGLTSAPTVMFHPALNGPNKSNKLSVITYEMNRNGLTAPPLHSFL LNLVPEPFALYKPINPLHYIAVPISLIAITVSLYSMRKILVPLIQSRLVWGSLSIILI LTFTSGHMWNKIKNAPYVAAGPNGQISWIAGGYSNQLGLESQVVAGIYGLLGFSIIAL TVLIPAQSSPIKQRVGVYLWLGMLIIVFSLLIKLFRMKNGGYPFALLF L199_007939 MDIRQATIDDLIGMQNANLLNLPENYTFKYYLYHALTWPELSYV AVDPRGRIVGYILAKMEDEPSPNTEPSGHVTSISVLRPYRRLGLANKLMKQAQEAMVA HYKASHITLHVRKSNRAAISLYRDTLGFEVHAMEKGYYADGEDAYGMRYMFNKKSETE SSS L199_007940 MSLADSLLADLDGFSDDEAPSPSADQQVDQGQSSSSAAAAGPSS TKGVSFGSMLPPPLPNKAKRPATDMDLDEEEEQDGEGDDGMVLENGASAVGYVPEGGV KPADELDADEVEQTDMTGLEDVSKVARLITGKKLKEVLADIAKYTASPTDMSNSSSLE ENPEYHLVVTANNMSVEVDNENLLVHKFIRDHYAPRFPELEQLITDPWTYIAAVQAIG NADDLTKCTFPSTLPAATILSITLTATSTRGRKLTVGEWKTVQNAIEVAKELRSARER IFEYVESRMSSVAPNLSAIIGTGIAAKLLGLAGGLGAFSRQPSCNIMLFGAMKKSLSN THLSAASQQRHTGFIYQSNLVQSAQPEDRRKAQRAVSAKCTLAARLDLNKAQRDGSYG RKCLEELQKKIQKMSEPPPNKMTKALPIPQETNRKKRGGKRARKQKEAYAQTELRKLQ NRMEFGKAEEEIGIDDETVGLGMIGSGSGRVRGEVADARSKAKLSRANKLRTQLLGRS AVSNDAKSGMATSLSFTPVQGIEIVTPSLSAAQRVQAANDRWFAGGTFTHVKKEGSNI PGQN L199_007941 MPRSSRSSARPAARPSAPAGGSHQQTRQSSTAAYPAQHAPAQTY PQQHAGVQQGKPPGLLAQAASTMGGAVAGSVVGHGISNMLFGSSRPAEQAAPVDQQQQ MVNGQQFGQGASCDIQAKDFTKCLEATNGDMQSCSYYLEALKACQAAARPY L199_007942 MSTLLVTVGSTLFPSLTDTFLSPSILALLELHRIKRLIIQYGRA TIPSDHGLHIHLDGTGKGSVNVGGMKVELIRFTDDFEGLVRGSDWVVSHAGSGSILTT LRLNPPKPLLVVPNESLMDNHQAELADEMGEKGYLMVSKVEDLEHTLPKFLSIDKHNI RPFPQMDQDRFKNVLDEMMGY L199_007943 MSSPYTVVATDKAPAAIGPYVQAVKYNGLIYASGAIPLDPVSMT VVEGGIEEQTTQVFKNVSNVLSASNSSPAQVLKTTCFLKSMDDFVAFNKIYAEFFGET KPARSCVEVARLPKDVLVEVEFVAVEGK L199_007944 MDNIKALIFDCYGDWEQGSYDALQPIFQQKTCPDPEQVFETLGK IKARIQAEDKTMLYPAVLKEAYRMLTGELRLWYDEEAAEAYALSVPSWPPFPDYQDAL STLKRLGVKLVIHSNVDNESFEGTRKKLEGSWGKFDDIFTAEDIGSYKPDYRNFHHVL QSLEDQYEIQPNEVLVVANSKRADIAPAKRLGLKTVWINRPEAILGVKGYEDVRADWE FGSMREFAEELQSVKEDD L199_007945 MSADLQWLLVRKWNSFQVKGGHGPTFSKEKGNLLNKSSHKYSGL ANSKVINIYANPEGGITVTKVKADAKPNQVASARSHVALRKSTGPRRANKIAAAETAG KGYRADLRQVAVARASALSRASKRSANPPKSFPPKQRGKKTQSSASASKKEDDVIELD L199_007946 MSERTSSFSSSASCPSMTGPSASDATLSPSVRSLSPISPLPNVP TFCLTPYPEQTDFPESISDSPLISTKDHENRPDVEDEHQVEDRLISRMNNQLEMKNQI SDIEKVRTGTQTGMGSSNIQQFNKLPTPRRRVTSPSFLIPPSLKMSSLTSTISSPTNP PPLSPHGRAKAPFVRPRVGRSATVSSDASSTAIAMEENKSVGGQGSEVRKKPEPLTIQ QPISSVGNLSGSGLTRTKSSSILNLLSRPQTPIPSSCEQEQDHVHPYDTSTEHDEASK MDSAKSNTQTAKPLTSRRIGIDKHDDMINQDRWYSERDLKMDMEEPRLGRDLSPGWGE FELKFDSGTISTSR L199_007947 MLLDINRKLLARSDRVKSVDFHPTEPHVICGLYNGQVKIWNYET QTDLKTFEVTDVPVRCVRYIARKNWFVSGSDDFQLRVYNISTGEKITSFEAHPDYIRC LTVHPTLSLVLTGSDDMTVKCWDWDKGWRCVQIFEGHTHYIMALSINPKDPQTFASAC LDHTVKVWSLGNPVPNFSLEAHEKGVNYVDYYHGGDRPYLVTTGDDRLVKIWDYHSKS CVQTLESHTANVSFAIFHPSLPIILSGSEDGTIKIWHSSTYRLENTLSYGLERAWCVA YRKSGNEVAVGFDEGAVVVKLGRDEPSVSMDSSGKIVYARNTEILTANVSNVGADGEA VEDGQRLMVSLRDLGTTEVYPQSLQHSPNGRFVTVCGDGEYIIYTSLAWRNKAFGSGL SFAWATDSNTYAVQEGKSKIKVYRNFKERPGLIKSSGSYAVEGIHGGTLLAARGNGFV MFWDWETGSVVRRIEVDATNVSWSATGNLVAITAEDSFYILSFDRDAYNARVDSGDII GDEGVEEAFDVIAEISESVKTAKWVGDCFIYTNSTNRLSYLVGDQPHVINHFDQGIYL LGYLPAHNRIYVADKDLNIYSYSLSLTVVEYQTAILRGDLEGAEAILPTVPADQRNRI ARFLEGQDLKELALSVSTDPDHRFDLAISLNDLETALSLVRSSPEAGSQAKWKVVGDK ALSAWQMDLAQEAFEKAGDLSALLLLFTSLSDRKGLEKLGGLAKEKGQNNIAFASYLQ LGDSKSCIDILVGTNRLPEAALFARSYAPQEISGVVGKWKKSLDGEGRSKISQTIADP LEDKELFGNEGSLGSNEEGSGVLVEKDEDEVPQDPQSGAGVAEKAREVVEKAKVGAEE LVEKVKDLSVGDKEKENGSSEPTENTAPVTLEPPVEPAPESAPTQGDKKSKKNKKK L199_007948 MVCHIPSFFRNSYDSDNTTFSPQGKLFQVEYALEAVKQGSAAIG LRSKNHAVLLTLKRSTGELATYQKKLIRIDDHVGVAIAGLTSDARVLSNFMRQQAMRS RMTFGRAIPVGRIVQSIADRAQTNTQEYGRRPYGVGFLVIGQDETGPHLYEFSPSGTA FEYYAHSIGARSQSAKTYLEKNFESFEDAPLAELINHGLSALHDTLQQDKSLSLHNTS IAIIGPPDAEIENLAQSAAAKRGNFRVWENEGVEELLKAWRRSRGEPEDGPQEEQPAT QPSAEQAQSAEGSENAPAGAPAGGEDVTMEEQ L199_007949 MRPLTEEETKAVFEKLANYIGKNLVHLIDRDEDDAYCFRLHKDR VYYLPLPMLHYATSVARGNLISLGTCFGKFSKTGKFKLGITCLDWIAKYAKYKVWIKP SGELPFLYGNHVAKAHLGRITEDTPEHQGVVVYNMADVPLGFGVTARSTIDTRKLDPT GIIVFHQADVGEFLRDEDTMF L199_007950 MLPPAINFPKWLEENNHLLKPPVGNKCMYKGSNFIVMIVGGPNT RVDFHINTTEEWFYQYKGTMTLKVVDEGKIRDITIGEGEMFLLPANTPHSPRRVADTI GVVLEMVRPGDAIDTMRWYCPNPKHGDNLVQIREVTFHCSDLDTQLKPVIERWMTDDE WRTCPECGEVAPAKP L199_007951 MSLNPIRASLVRPTSSMSRVPQSFSRISNRSVHYTFPRFTANTT SSSTTHHAHGHQHAHQHASQAPRNGKKPSPHMVWYREIVPAMIPIFLISTTLFLSLSL IRTHLSHSKSLSESNTKIQELESQLSQLRLEQKRQMVREKRERERILPLVVERVLQRV GVVGGEEDEEVEEEVKELPRLL L199_007952 MSAQAVTDSVILERLKSLQISHPDVISHSPVKGSAEWAAELSTT VPTLASVGLTKTLLFKPKTAKTATPTPVLVLAKDSTETSSGAIGKLLELKELRLASED LIKSVLPSSASKDDVSALALPANPTIDENIHLILDQSLAQSQDQHALHLTSSNTTILL KGQDIKSYLDSISKDNAVRLVDFAELKVSAPAPSAPAAKPAAKEKKTEAKPAAAQEDD EKYNMAIKYKKDEDFSGWYTDVLIKGQMLDYYDISGCYILRPWSYSIWQTIQTWFDDE IKKLGVQDCYFPMFVSNARLEKEKDHIEGFAPEVAWVTKAGKSDLEEPIAIRPTSETV MYPYYAKWINSHRDLPLKLNQWNSVVRWEFKNPQPFLRTREFLWQEGHTAFLTKAEAA KEVMDILELYRKVYTDLLAVPVIPGKKSENEKFAGGDYTTTVEGFIPTTGRGIQGATS HHLGQNFSKMFDISVESPNKDEGRLLAYQNSWGLSTRSLGVMVMVHGDDQGLVLPPRV ALQQVVIVPVGLSKGEGKNQGIYDACLNLERELVKVGVRAKADLREGYTPGWKFNDWE MRGVPLRLELGPRDIAANTTLAVRRYDNHKSPMPLSDIGNTVRLYLDEIQKSMLEKAQ EKFDTCLKTVTNWDDVVPTLDAKNILVLPWCEGSQCEDDIKERSKSQANKGQAEDNKA PSAGAKSLCIPFDQDRFGKFPEGENQKCVQCGEKAKSWTMFGRRLLADDLFKMMRVGW VFCVSKLVMMAEGEREDYNVVE L199_007953 MVSHPSNFDNNNDDNESSRYPSSRSTLRTVPNNAATGLNEGYQN LRTNTNTISPTRAGFIPITALTNNNNINQARPDTFNMGHAPSYLQGQPQSQPGSSRRQ FQVDLRMVDPNPPTQGQGEARTPTSTRVIHPPPQYWPSNTTINTPNSTTISNGYTGRG QVADIVIHMPTPPPPADHLPSVGTITLSGFQTDYSRAIVGGEDHLRRAPNSEDVRATF QMNDEEDNRPVPAEAYIIMRPHRELNRGWNDGIAEEMRLADEWTYELQLIQQPTRGKA LGLGPLPRGWPALSAPLIVLLIVKDQNGKVIPVDHPILNRKLVHTSMTVDLVSEDGTQ SRSFMRVRPREQDPSKPPSPTSGVPIDPEVFNRTQRNLLGALHRSANTFVLDGKKGIY FLFTELVVRNVGRYALKVSLLDLAGPMHIGTSIGITKTISSALTHPFTVYHGTEFPGA LPVTDLSMEFTRQGERNLGRRTRADNNGISSEDDLMNPPSNSPEAESQPQTQPPSRSQ PQVASGVTEGPSSASSSSSAIGGIGHSVPVWTEQVIHVHPQGSYIRRVRPQGQPPTRG GAEEGEQRGNGGFERSHL L199_007954 MSTSSSPHSSSSQSSPTTSHSSLPQSSSKPKVLLFGDRSGTKFD DELREIAEVHCLPRVGYEEMVPLIKEVVETEGPFVAFGGLFMITDNFPGKWDQGLLGP LAPHCKLYVGPGAGYDKVDVDWITSTGAMYANSPTQVGKRTADGALMLILSVMRGLTP QDLSVRAGKWRANVRTLDWRTATIGIIGLGSIGTQVAHLLTSIGANSIIYHSRRPSST AHPAWGYRSLEALYAEADVIVLTCPLTKETKGLICKESIAKMKDGVMIVNVSRGPVVV ESDLVDALDSGKVMRAALDVFENEPTVHPGLMSNPDVTLSPHVAPAPDSMGPPMNGEV VENIIKFIETGMPLTPVNLSLLKDRGYDTVASAVGL L199_007955 MVFPEVQKTINTLQANWVWVPNWIDSSSDNTAARLVSFTRTVNL KSKPSEALLHFSADTRYKLIVNGRRVAVGPSRSHSTIWYYDTLDISPWLTEGENKVEF LVIRYFAGSRGGMPFERTTFPGFTCIGKVGDEDLASAKGWTAVVDDSRKYPTGLQDDV FLHINERVSPIPLNESVTPVPYSLKTLNGELAPWRLRPREIPLPEGSSVSANTINSIQ SNSTQDEWADYLSGKGVVSLEANTKHSLDIQADVHSTAFVRWSFTSKKDTQIKLKLTY SEGYELEPRFYPWLRTKADRLDSKNGFLLGPYDEITLDIPAGQEVTYEPFWFRTFRLI RFQVEVGAGPVELVSFNATQVNYPLAVKGSWQEVVDEYSERIWDVSIRTLRNCMFDGY SDCPFYEQLQYSGDSRSVGLFHYLISGDDKLMRQAITNFAASITPEGLTQSRFPSHVP QIIAGFSLYWILQICDHHLFFGDTPYSKSFVPKIDGVFEFFDQHIDELGLVSGISEDV WQYCDWVTTWSATDDHPDKGVPTSGRKSNRHTYLSLLYAYVLKQAAGLLRQVGRPGNA AEYESRAESLRKAIKKHCYDGEYFTDSTSDIAKGDDLAYSQHCQVFAVLAGITTTEES IRILKGSFNDPKFSKCSYVMIFYALRAFSQASDELYESNYATVWNPWKRMLDNGLTTW EEDDVRQRSDCHAWGSVPIYEFCTEVAGIKVIAPGCSKILFKPRLSLSEELEVKVALG KDNLAQISWKKEKDGKGKTVKLVLQRKIHVISQLPGGKEEDHGEVAELRFVV L199_007956 MAQAQITPERNHDIVPVSLDMDEKNNELVHQDHLQTAEEIRRTM SKGGQVQMRSKHDDLGVWAAVKQNKKIALVAMAAAFSASLEGYQINLNGGIVSNKGFI AIMPRDAKGAILGKYVSAWGGIQSTGQTLGQIFLQYLTDYLGRKAAMYTLFVFLVASV IAESLVKGWETWLIAKLFSGIGVGMLQSTLPVYLAEISPVQLRGLFINAYTFWFCLGQ LLAGVALNKLQTGHFKTAIYTQWGMIGIMGIIFVLLPESPWWLVSKNKLDKASKMLQR YYGHIEGYNVSDEVAIMTATIETERQTAAREGQEGHWAVFQGRNLLRLIIAALPKIIQ QFVGLSVFNSYSTYFFQLAGNKNPFLVTVILGCVQLLAMIITASLSDAVGRRPLTVYP YGVTSLSVLALGIIGCFDYSSKSLGSLLIFFACLATFTTTGASAIGYAYASEIPSQRL RAKTAGWGLAFSNMVAIMFSFCTPLMLNGNAHWGVKTGFFFAGTGTVSTIVGWFLLPE VTKRSPAEIDELFEKKVPLRKFKGYVTDVQMVAEENKRMQEGH L199_007957 MSIPPISLDSSWRTESPPNRRSGAGKEREVIWDVDNLPNGLDAL GLISPPAKKDEIQKHKEKEDRDGPSGVKVLARIMDTSKGRDKVLKCIQYSLRTYLYLL SLISRIRPLSPWFKSNSKRMKIAISGLSMTRKCLLLLNPLHPLSDLLSHEPMSPRTLV GHLIDLFSALSDDIYCLAKLGLVGKRTGKIADVWANRFWLLTTIMGLYKLHIKTIPKI ANSSASLDKRRNELKETNWTNRKLLADLAFVSYDVFELNFPLLEEPMKCFTGLLSGLI STFKLYDQHWEASVGKG L199_007958 MSSRLNGALSPPIPLNNRSGASSPQRQKFPSDTLRPYIKTLLTK TLTNASWDSNDKAKMAAYSKEISERVKQRMIEIEPRGFKYIVTTTLSENAGQAGRADM SCHWENTDSAIQEMFSNESIIFVCIAFAVRLP L199_007959 MKRIADAVSFASSHSRLSIVPPPIIRHDGFLSPAPSFPLDPLTT FVPSFSTPASPTPLPLASLIDYDLIVDLDDDAISTPLTSIITPFNPIGIPHTAKSPTA VGEPLGPPPNPDTNGESNPQRPPIRPNSGIRSRFDQEPNPFEQSFSRSSHHSSSSLSD RTTPPRGTDATSTRHNALPPLSSLTSPAAADPSQFPWLANSLRSGPLSPAMLAGPQSG NPQPNNANNRVGGPNEANGNPSEGAAAFESTGFRTGFTPGTGSGFTPAGYNSFMGPNL GALAMPSPNTAAFLNSITNSTPLAEGSDVAAAAAANGGHPPIQGDGNTLQPPSAIPPH LQQHHGLSHTNSQNEVPQETITPNTLSALTGVFGEMARANQGPQPGPPYFASAMGPPH PGAVPVPMPHVDYAQQSANAASQAANGLFLLSQAHQELSKREEEGRGGTPARGGRGQG KGANGAQAGQKRKSDVGGGPGSAKPAKKGKKNSMGAAGPQQGSTPPKSAKQESIDSGD SDDDDGKYDMGNNGGKPETEEDKRKNFLERNRQAALKCRQRKKAWLNELQSKVEGLTI ENERLQQTIQSMHEEVGRLTAILMQHRDCGLAIPTAYGRPIR L199_007960 MAAVAQAPHLLPSTSSSGSISRPSSSTATIKPTENKSASKPDEP NSTSSTSDKPVAKVTVSEATPVDEKGEVKKENGDGEFGTALWHISSGLGPFHSSIPLC YCSRHARRVNILSS L199_007961 MTLSNLSLYTVTALLILDTEGQRVLAKYYNPPHQSTPSTGIVND LGVGQGGPGMGGLISLKEQKAFEKSVFEKIRRGGGEIHPLPPHLIITRTIVDLHFIIV GPLSSSNELMLNQTLSAFTDSVHLLLRGQIEKRNVLEGLDLVLLAADETVDDGIILET DAAAIAARVSRPKADTTDIVINEQTLLNAYTSFRDKVSQRIQQL L199_007962 MKLIFLLSLIPIALSLPQPQPSSEHPINPPPHAQNFIKKRASGA GDTGIQGTSVASEKDTSTLTSLIMFQPSSEPTTTVTGTTPTVSSAVASASSSDEDGQI VSPFYPSTNDDEKESDDSIQTLSQGQSAAFNPTPSTTLSLLTNSLATASATANGTKSS TTGSASAAVVAAASDTGSSTSGSKGRIDGWGVDKVLIMGVLSVMVVCFV L199_007963 MYTGTQVPNNGPTQPGGSIDNRNGISISDGLPFNSGVKRAFSQV DEDENDKSSMNTNTDTKRSRQSVKVKVKRGGKLIHIDGYTRKPLAGWANKKIWYDSKD DWDHVKFAYSNHNIALSNVVLSETQGVNWEEACKDMFELFSQCGSIESIYLMKSNTVS GILRGKIGIDFKEKIGYLKALNMPKEMRVYNSEKIGIMTRRYEINKTWFLALESELHH LQKDAIMYASKIGKHSATRFGIPPSSLNHDIQPVDSVLSFLPFEELPQDSSLPRRFHL SQWKKYRERLSRDMNKIKDIDLDDNDGDGGHTEKEEVKVADVKNEAEIGDSNDGN L199_007964 MAQENTRQVLPFRRIVTTHSPDDIDGSSVTLHDDQIPLRPVLEG NAHISPLYSSSGVPSHNPHVISAEHIAQSMANVPGVVFPGGTNGQVTDVAPNYRIGFH RTSSIDYNVFLAGSAYLIVPDGKGGESRTLVKAGELLVQTGTLHAWQAGPEGARWVTV VVAALPVEKDGKVFEDVDF L199_007965 MPKIPTDKSPSTSSDARRNSMNTPLSITCAPCRAKKIKCDSNKP TCLNCAKAPSECFYPPKLKPGLRPGTGLEMIKRVELLEERIETYEARLAEQENRLAQL QSASGPSFSYDTTQTPLNDPFLQSSHSNTFNPLAAAGGAIAPAQTNLNSLPTNISNPS VQSLPFNTDPSPTNGFDPTSLNISASPGVNMASPSSFLDPHILPADDIVRDLLTLYFT HIHPWAPILSPIMPEFKPPWTIVHHAIVVLALRLSTDPRLASTKDLIKKRAKQHVLAH AVESTSISSVQALALLALDLIGSEQGPSSWGILALLTRSAVHLGLSKEEEAPSWGGVA PLPALSRTIIIPPPTSWHEDESRRRLFWLIFCLDRYACVATGWDFALPDSDIKRRLPS SDAVWARSDWHQSPPFRSIFQRETLYFDLDDVSPMAYLVEALDLLGRAHTLQSQMLEP GDARAMSNRKDKTLQLTAATKRWFANAPLERIDQPGMRLMIQAAYYATLLKLNGNHAY PAHGEPEEPYVSTCLDSAKAMANLASTARALGWMTTSSPLFIWGCWVAARVIFVHAYL NHQTQPDENFNTILAALKEQALYWSLANQYVKLLERAKRKWQKSLSGGGPSASLPDAI HVLLDFHRTAYSAVHQNQMQETPHVTPPEHDLAHLPVWAVQPGLGDLYSWFDLPAGLF QADTGL L199_007966 MPTEWPTIAKLETFVPSAQGAGGDYHRQGKGHWIIQGDISCPMH KYPDWRESRAKWGIGVLGSLFVKITATDGTVGYATGFGGPPACWLIEEHFSRFVIGAD PRDTNMIWDQMFRASMFYGRKGLPLAAISVVDLAIWDLVGKIRGEPIYKMIGGRTKKE IPLYLTGPQSASAKKLGFWGSKVALPHGPPDGLEGIRKNVAFLKSKRDEVGPDYPIMV DCWMSLDVPYTLQLVKATEDAGVKIHWWEEVLHPDDFDGHRTLKKAFPHILFTTGEHE YSKYGFRKLIEDRSIDILQPDVMWLGGLTELIKVAAMAAAYDIPVVPHGSGPYSFHAI MSFPNSDFCEYIANSPDGHTVWPSFGNLFTNEVLPVNGKVNLTDEPGFGLELNPQAEL VPYSHFFKPTKGIGYASEKPEEITQQP L199_007967 MSYGLLKGKVVAVTGCSTGIGRAIAIGAARNGANVILHHLGDST RKDIEGVEAECSKFGAKTVIVPGDIALESTATAIVEAGVSAFSRIDVLVSNAGICPFH TFLDLPHDLWKRVQDVNLNGAFYAVQAVANQMAKQEPKGGAIVAISSISALMGGGEQC HYTPTKAGIKSLMESCAIALGPLGIRCNSVLPGTIETDINREDLSNPEKRADQVRRAP LGRLGEPEDLVGPALFFASDLSKYCTGASVLVDGGMAISLQ L199_007968 MSSNEKKCPVKNTEMARNYEEDRQTKSQETVYTTSNGCPVPHPY ATQRAGVNGPLLLQDFHLIDLLSHFDRERIPERVVHAKGSAAHGVWECTEGLEDLCMA DMFKKGTKCPLTIRFSTVGGESGSPDLQRDPRGFAIKFKTQEGNWDFVGNNTPVFFLR DPAKFPHFIHTQKRHPATHLSGGDDSTMFWDYLSQNPEAIHQVMILMGDRGIPQGWRF MHGYYGHTLKIVKRNGEWVYAQFHIISNQGTKTFTQEEASSLSPDYGQKDLYEAIEKG DYPSWTMKVQTMTATEAEELWEKQRINVFDLTHVWPQKQFPLRPVGTLTLNENPKNYF AEVEQSAFNPAHMIPGIEPSADPVLQSRLFSYPDAHRHRIGANYQQLPVNAPICPFRM ANFQRDGQMAFFNQGSRPAYLSSIEPIQFKDRAYDLNKVHGKFVGEAVSFLSEIRPED FNAPRKLWRDVFSAESKKRFIETVSGHMKNCKDQEILKRQIAIFREVSPDIAEGLEKK LGFKGYSGIKGMNFNGTHNGMTEKKIPANGMTVDSEVVFNNGAPRRSRL L199_007969 MSDLATSSGTAFGHDPAHKNMRKVTHIAKACVRCRRRKVRCNGE HPCAECAEKETDCIYEKDDRRRTKSDMDDVKDRIARLERMLQRPSSVHSESNPLNRNS SSPPPSAKTARSGPSIPTGSLDDSHFSNFVNFDSLPGDSGPSTSNLRESNTTSSSSRY PDLALSSSHFDRLKSTSGNGSSVQYGATSIWTHDNYDAPTVPSNDSRGPELLPGEWVD WGKNLPPTLMRVLTKTIHDRAIDHYAAYYASWCMVLDSEAFKKDLVICNISSINLQPS SSPKWTSHYSPFLHNVVLGLGLYYNREAWPEAFAVLKECFVDHCTRLFKQEFERPPLS ALRAVNLFATCLNQPPMGAHDYGYTYYGMTVAMIQVLGLNINCEAYVTQGRMSQLEYE SRNAAYWAAYLYDLLRSISAGRNPMIAISRPEIPFPVISGEMDDTPWYSSASSIGQET RLGHTLNGIKSMRSTVFHWTARLGCLLAKVVDTLYSTKNEPVNRDEVIDEISSSLNNW YNEQPFADPKIIPLPHVILLHIAYHLTRIFLFRPFYRSNVTSLEVSPADQCDRAAKSI LGLLKLYDRYHGLRYGVGTFMNATFTSATVFLLRAVEDQADPVNLHSRQSSKDIEEIT YFMSQLAITFHEAGRGLNILQSLCSEWLPSLASNREDTGLNSQVEAIASGIPPSEMFD LQNGDSGNAVPMMGYQNFLAEFPMDDSFYNALLSFVGGT L199_007970 MPIALKDLEKVRICIDRGGTFCDVIAMTETKGNHLVKLLSVDPS NYPDAPREGVRRVLEWFTGEKIPRDQPIDTSRIEYLRMGTTVATNALLERKGERCALL ITKGFKDGLEIGTQSRPFLFQLAIKKPDVLYTKVVEIDERLALDWPGLKGDPAVTQAG ELVTGPSGAPMRILKPVDPDAVRKDLQALYDEGYRSIAIVLVHSYLYDAHEKQVEAIA KEIGFRHISVSADLQPMINLVSRGSSATADSYLTPEVRRYLEGFASGFKGGLDDSSCR VNFMQSDGSLCDFRKFSGLKAILSGPAGGVVGYARTCYDTLDGSPVVGFDMGGTSTDV SRYGGKYEHVFETTTAGVTIQTPQLDINTVAAGGGSILTYRNGLFVVGPESAGAHPGP ACYRKGGPLTVTDANLFLGRLHIDSFPKIFGPTEDQPLDYDIVRQKFEELTKSINAEN NSSLTAAEVACGFVNVANSSMARPIRALTEQRGFATSAHNLACFGGAGGQHACALAAL LGMHNVLVHKYSSLLSAYGMALADIAVDVSEPCQYQYNAKTLPTLLDRIEKLKSKAHE RLIAQGVHERDVTYECYLNIKYRGSDTKLMILQPKDGDFARAFIEQHKREFSFTLEAP IEVEDIRVRGIGLGEDAEKNNVSTYVEELTQLPDVPVAKDAHFASSDIYFEEIGKFSP VMLYKLETLAPGTTIKGPAIILDATQTILVHPQNTARILKEHVYIDVGLGPRKQLSTE IVDPIQLSIFSHRFMGIAEQMGRALQKTAVSLQIKERLDFSCAIFGPDGALVANAPNV PVHLGSMQYAVTFQANLHKGKLRAGDVLVSNTPRSGGTHLPDITVIQPVFDDSGEDIV FWVAARGHHGDIGGIDGNPMHPDATESWEEGAAIDSLFLVRDGVFNEKDIVDIFMKAG KADTRVKATRGLDKNLSDLKAQCAACAVGSAQIHSLFGEYGKTVVQHYMAAIRTNAEL AVRNFLKGRGSEPLIAEDYMDDGTIIKLRIDIDPEEGSATFDFTGTSCESLSNLNAPR SVTQSALIYSLRTLIGTDMPLNAGVLAPVTLIIPDNTILSPSLDAAVSSGNTETSQRV VDTVFKAFEACAASQGCMNTFHSDYEDMSYGETICGGAGAGPTWKGQSAVHVNMTNTR IGDVEVAEKRFPLLIREFSIRRGSGGKGMNNGGDGIHREYELRADMMSSIVGERRVNQ PYGMHGGQPGERGATYLVRKSHLGEGTRKVKLRPSAATKVKAGDRIIVHSPGGGAYGA VESDDSSRPSKRAKINIVPAAKLAHPKLVARANGSLADYAATQESCD L199_007971 MSTNLGIDEEKNVQQDEVKVLDVNPAELTEEKLEIYDVAAAFLA EVAKRPNAAELLAPWTPEEERAVKWKIDLIVMPLIWLANLMSGTDKVLLGTAVTFGLR TDLKLVGQQYSWANSAIYFTCIAFVFPQSWIFQKFPIGRTIGFNVFCYGITTFGTSAV KNFAGLIVCRLFLGAFEGAGHSATGMVISMWWRKSEQGWRTGMMFSTLSSVVNGLLSF ALQFYNPGPIARWRLLFVLMGCFSVMVGTANFFVIPANPAEAWWLNDRQKVIAIRRTA SNQTGVMNHKIKWDQIKEALLDVKTWLINVSLNIPNGGLIGFNSLIVQSLGYTVKEVT LLAIPTGVISWVSSLAFARLATKTRQPTLCTIAAVLVCLTGTIMLKEIPRSNKGGSLA ALFIMYCYWAPYIIFGSSILYANVSGTSKKVAVFGISYWGYCVGNLIGPQTFRTPEAP LYRSAVMSMLIFYCLSILFIAAYGFICWRDNKRKVKEEEIWRASRPDDGVAEEWKDLT DKQNPLFRYSW L199_007972 MSNIDKDDKGLAEHIEQPVVQEPQNLEAGKKKRNANRQLDEAAD LLRENGGHVEYTVEDRKRVLRMVDIYVCIPMCIVYLVQQLDKGTVAQAAVFDLKESTG LVGSQYSWLSSCVYLAQLCCQPLSSYALVVFPVKYWVLFNFTAWSIVTICTAAATNFT GLIIARILLGGFEATILPSFVLITQMWWTRREQSYRTIAYQIANSAAAIFGPLIAFGV GHVSSSIRPYQGIFLCMGAISLAGVPVVWYLLPNSPTNAKFLRKGDDRLIALDRLREN NTGTKSSTWKWSQVWETYRDPKTYMWAAMYLCTSTPSGGFGAFSGLITKGFGFDSFES ILMQIPTGFIGIFTLLIAIYCTNRWKTRWAVIAIVTLFPIAGASAMVKVSRSQSGALL ASYYVAYPLAGIQPLLYSWANLNQAGTTKRVVVFATMFVFQCAGNVIGPQVYLEKEAP IYSTGLYVDIGCWVVLVLLVIGMRVYLGALNKKQAARRAAMGLPENLQDMSIMTNEEA AAYKVELTEHMKANGLDEAKLYENAFEDMTDYENPAFIYVL L199_007973 MSDTIAPLSLSQEKSADLISLLTDGLLHIKDQSGRFLLKLHDGT LVDTKGWEHPTVFSWEWTHGIALTALCHHSALDPSSPGAQKSLKVALDWFETQWKRTD GKGAPKNINTMSPFYSLACFVEDGRTKDPKWNGWIDEWAEWIMNGLPRTEEGGFQHMT YVNMHENNLWDDTLMMSVIPLAKIGILLKRPHYIDEAKYQFLIHIKYLADTVSGLWYH GWQFTPEKLEGGKGGAETNGGHNFAKGLWARGNCWITVSIPMFLDILGDKLPPTDPIY RHLVSIWRRQVDAFVRSQDPKTGLWHTLIVDPTSYVETSAAAGVVAGIYMGIRQGLIS DPIYRQCADTGLAGVIAQIQPDGEVANVSFGTGMGHSLQFYKDIAITSMPYGQALAMH ALVEWQRLQRGEGAASKA L199_007974 MTVHNPLSYPTSKRPFSLDTFQDPPREYRGAPFWGWVTKQEKKS TLEQIDMFEEMGMGGFHMHTRVGLDVPYMGEEFMDIVEGCVETAKKKGMYAFLYDEDR WPSGFAGGKVLEGHPELRHQHLLFTPWAYGEEIGYTKPTFPIANAAPMRSELGDLLAT YAIKLDNGRLASSRRISSTDDIQSDERVWYAYAEPLPDSGFFGDQTYTDLLSEDMTKR FVKLTHEVYKKHVGDEFGKSIPSMFTDEPQICPMSTLSVAEKLQDVFLPWTRDIVNSF KQAKGGDLLEILPYLIWDPVNQDANIAKYQFLDHVCELFASNYMGVLAKWCAKNNLYC TGHLNGEPTLASQAAQNGECMRCYREMQFPGIDMLCDKKELNTAKQAASVSRQYGRSG LMSELYGVTGWQFTFEGHKGQGDWQAALGVTLRVHHLFWSTMEGEAKRDYPGCIGYQS PWWKEYKSIEDHFARVNSALTRGRPLTRVAVIHPIESYWLCFGPKDQNSEELEYREKA FAELTEWLLKGHIDFDFISESLFPDQTDISSIGKTLPVGHCNYEAVIVPNLRTIRSTT LVRLQKFAEKGGKVIIAGIAPTLVDAQIPAYPLEINKAVSLPWSKAQILSALYLHRDL DMIVSDTTLYRAQGNRADSLFYQMRQDGDARYVFICNTDRKEPCPVVLNITGEWAVEA LETFNGSSWEVKTEQANGRTTFKYWFDGCESVVLRLKPGKAGPNAKDQTVLRRHYKQV ADVKLQSVSLEEPNTLLLDYCDYKWNDEPWQGPEEVLAVDQLMRRRLGLFLKGAKFRQ PYTIPQSKRQPIGKLRLRFAINSKIDVQSSSLAIESPDKVKAYLDSTPIDLTPNGWWV DKSIKTIPFPSLSAGKHSLELEYDYGMLTNLERVYLLGDFGVEIRGRTTTIVPLNLDQ IEFGDITRQGLPFYSGNLTYHCTFESPPSQSPQEGTDKDKLAIRVAHFAGPAVSVDID NKRSALLVHEPYAFELGQIERGEHKVDFTCYGNRHNAFGAVHLVPNKTNWLNADSWRS DYDWWSEEYVLGQVGILNAPRVEVPGLEVPKQIRRGLVLHV L199_007975 MSSIASPPPPQTPYTPSPLTASTSTTASEHRYTPASPMPSPAAG PSSASGSSKWKSVFKIGRTGASIGKGKENRPALPVESEKIHLGDPLPLLSNTASSFPP RVPQIRSHTDPYPPTHSGNLIEEVNSVISKNPSQDIDNSGGLSSDSFHVNVVDSNLNT STSEEQSRPFSSVTNDSGFTADNSSRSSGSRNHAITTPFGNPTSTSASVSPSPMKSPS HGVGLGGFKSRFFSAPHSHPIDTESPNAKGKSSKSDKYKGLGKENGIAAQTYGHKKSV GSSSISSSNNGRSPSTSTSPKTPNKNNTSKRDTSGGFTTPSDSSGLTPSKSGSATARF LRRVVSAPNTKALFSPNNLFQNAPDVPPLPTSTNTKQQQFPTQPISPAVVVGNGEIDL TSSPPSEQYATASPFTTPTTIATATNGNSLAPSVHQGGLSATGTRSARAHTTSAAQPK NIRELQAQLGVGGSPGSPGEAHHKQVFRRTYSSNSIKTRSVEVTPSSFQKIKLLGKGD VGKVYLVREKKTDKLFAMKVLSKKEMIKRNKIKRALAEQEILATANHPFIVTLFHSFQ SQDYLFFVLDYCMGGEFFRALQTRPGKCLSEEHAKFYAAEVTAALEYLHLNGYIYRDL KPENILLHQSGHIMLSDFDLSKQSGEAGGAPAGIKHGGPNGMILVDTRSCIADFRTNS FVGTEEYIAPEVIKGHSHSSAVDWWTLGILVYEMIFATTPFKGPDRNATFANVMKNDV WYPENPAVTSFCKSFIRKLLIKDENKRLGSNSGASEVKSHKWFGSVNWGLLRNMTPPI IPAESNGIDAINFRTLRESKSVDFDRDDGDVIHAKAGNPSVLGNSTPGMLTPKEITIN AETPSSSISGGGIGNNTNSGGAGGNSRDKEKNPFEEFSSVTRHVGEW L199_007976 MSIIPTTSNITLPPWPPVYPEQQDEGEGYNSESGSSSSGSSSNQ LFTPGMSNASSSSASSSPDYPMKRSLPRSQGVQSCGLGLGVDIDRLSINTDFSIEKES IDEDEVLYYHQSQRVDRVNPNQANGNINSAKTITENPQLPFPISDFQTDNLLSAPQPN HIQNQNNPHILRYHDESVAPLSQHHHQIQRCSTPIYNDPPSHLFGFGYGMGLGNGYDQ SSWSRRKIAFVTGITGQDGSYLTELLLSKGYTVHGLIRRSSSFNTSRLQHLWRDQHSL NIKGSTANKLFLHYGDLTDSANLVSLIAKTQPSEVYNLAAQSHVKVSFEMAEYTGDVD GLGTLRLLEAIRTCGLEKLTKFYQASTSELYGKVKSTPQNEDTPFHPRSPYGVAKLYA YWMTVNYREAYGMFASNGILFNHESPRRGRTFVSRKITRAVAEIYLGKQDCMWMGNLD AKRDWGHAKDYVEGMWRMLQHDKADDFVLATGQTHTVRHLISLSFSILSIPLKWVGEG IDEHAIRTDTTPPRVVVRIDPRYFRPAEVDLLLGDASKAENELGWKRYWDFEMLVRDM VESDVRSAKGLVEDHN L199_007977 MMRNAIFRSTATAVASSSARPAARLGFASRPILANAQARMQMNV QRGQTRMYHEKVIDHYENPRNVGNLPKGDQDVGTGLVGAPACGDVMKLQIRVGEDGVI SEVKFKTFGCGSAIASSSYMTERVKGMTLEQAGAVKNTEIAKELSLPPVKLHCSLLAE DAIKSAIKDYQTKRAKRLSTANTPPPTIGTSPSVATA L199_007978 MIGQQLITFLPLLSTLVLATPHKRTDDWSYSSSPEYSSDSVSWD SSNSYNSGYSYNSGESGNSYDQGYSYDSGESGNSYDSNWQGESSGSGHATTIKHETTI TTHLAYETPKYGSGSMWGGDLQGCLNMCQVQFGGGSSAAQATTTSAPATESTATATHT SGEGMTHTVIVAPTKGVLRFVPFAIEGNEGDTVEFVWGAGPHSATLSDGQNVCNKSTT SNAFDSGKLNATATFQTTIIGSSPQFHYCTVGTHCTSGMFGIINPPNNSTPPAPAANA SSSAAASSSASVEASKTTSQKPSSTAGNGEGKGGCQSVDCWVSSYEAAGSQPKATVAA VKSACQGTDGAWAWGGKWDMSTLIVGGVTKDTVVENVLYSRLMIAMNPTMLVAGSPVG NFTAPPPLNEFVAAAAPAGDNSTATVSAGADGAAVAATDASASASGAQASQEAANAGT STNGAAQIGITISTIAIAFSGAVVALLL L199_007979 MEHSHYLPSQGHSDLSHEIDEHEGPRYDYTLPPIHRTESASSSS AAYQPSHDQNLHDLSPSATGNPKSRPTKKRSAPEGGHADRPPTKSKASNKKDDESSNH TPRRAAQACLRCRKQKLKCIGGWPCDRCTKSKNICDFGRPGVAGNTSSSSSTNLLHGG NGSEANARLEQLESSVANLLAGLAGSNGHGSSSKTGYPNHELLHTFDPVKKRQETLQT ESGAPYPPHHPSGSQYTQNIPPPTHIKTLDPPRRTISTSSFSNNNNNNLPTTSMSPDD LHSNPIGLSQNHVRFTSSPNMTSFISSNNHNHQSPSGLSSNGAGPSPASANTVGTGVG SVSVSSADLLKEPLVKKRKGKGQKAEERLAAATDGDFAEPPFKALVYQPAVWDNREQS RRNSPQPSQSTSRDEIPPGTYERRFMNDRDDPVNTELVDLQMAETLFGFFIDHCHPFL PIVNVALDDAFNTIRQSPFLISAIIAVAARFYIKFTSRSPGAMPELDQSVPPRLANLA ESHLSNTLLRKQHALSDVQAVLLLSAWGLQSGGRGPDAWVVTGHAARIARRLGVHKLL GQAAEIARLTRPGTLEWERLEQFMPQWRTWLCWFCFDGFLSLGFGRPQSTQFETVDEQ GFLQLRINQAIPRPGSTPSISLYGDVYIAGQVQLTQIGRDLINWGEMLADPRSALWAD PKRADMFHDKELNVRTMFKDLNKRLDDWSKLWVWSGSPYTLYLGSSARIARLQADHMR LCLNSFALKSGPEGDEVVAQCLKKALTAAMSTIQTHHESSQTDLALSFATDYLTITLA QAAVFLVRIAKSSPAVLQVVNIELSVISYYLKMSIELLEVGELSETRLSTYLSKTIRD IARAADITGTGFVSAPPIRGYGGGLEKEVNEEGVESRPQSSHATGGPMDPLSASNVED PSNDLTAFEMEAFLQFENQLDLGYLLGLPGDGSALLPNTQQPTSHNTGSTPNLNNSNN PNSSINTNHNNNGTSNSSIAMFGDIASTPTNLGVGGFNAEFAFGMNGIGNFDFGLSGS GWFGDGDGGNENDGGE L199_007980 MPRITKKQKEAGKAPKPKPQGKARSGGFQVKPSRAPKDAYMGKA QKIKADLIQRAKMKKSYAKILKAEGMDSGRLGDGSRRRNERSSESESKSNGRWKGKGR GGNEEDRRVVDEEQKRLEARAGPAPGSGHSSGSRPEKSFKLNRSRSNNSLRDKPPHQA NKPSPPKKIRALSLSPPPPPSTTQNVPAEKKSFRELKKEAFSKYHQPKDALSAGGTVG NRSKRGQPNMNARMGLLLEKIKGNVK L199_007981 MSTTASTKVDATTKKSKAPVSSGVENLGPAFDPFAPVDDTPSVE KTVGSKNDKIHIRLQQRNGRKTLTTVQGIPKKFDHSKILKAMKKEFACNGTVVKPEET GEDDSPAPVGVKPNLGDVLQLQGDQRVAVRQFLVDAGIVTSKEAKDSIVV L199_007982 MANQDLPVLVAETNDVRPFARLLRGIGLKHNAVMEISEAGFAVT VEEVRTLCAIAWIPTNLFTSFTYNQPSIPATFEFSLDSLLQCLNIFGNAGSTSSNTAT SAAKSKRRWAGEGEVGVDEENEEYQVNGRKGKERRTGMRMEWRGQGHDLNVLLSDDSR GPTTTCELRTLEPEELMNAQFDPDDMALYVIMKSEWLRDALFDLPPSCTRITLLAHPP KEPIPPKEPDATFSSNDRRVRRAEVGQFTILAEGDFGMTELDYPNDKEVMDKFDCAEK VKFSYNSSHFALLHRALHQSLKICLQIEKSGFLCVQIMMPLSENVEIGGHSGILEFKM HALEEDD L199_007983 MSAVPQSAASDSSVHRLGADAKPEKFSDVGHIEDIEASHQQHVI ARNRNVSAKIQNPLFGLSKEALFSRADQFCTEKGFDDKRDLFRRAALLSQKPDEYETI EELTDDDRYWLRRERTNKWDQTRALYFLVIVCSIGSAIQGWDNTGANGANLSFPVEFG IDHNTWLVGMVNSAPSITVGAISAMLTDPINHYIGRRGTIFVTGLFCVFPVLGQAFTR NWWELFICRVLLGFGMGMKITTIPIMTAETAPAAIRGALVMSFQLWVAFGIFFGFCSN LVFYQVGRIAWRIQLAAAFAPAVPLLVLIWFCPESPRWLMKKDRYGGAFQSLMRLRKS EIQVARDLFYAHCQLEEEKEAFRGTTYLSRFQDLFVKPRLRRANLASWTIMISQQLCG INIMSFYSSTIFSEAGYNTKQCLLASFGFGLVNTIFAIPAIYTIDTFGRRNLLLCTFP CMAICLFWAGSMFFMDEGNGARVPVLALAIYLFTAFYSPGMGPVPFVYAAESYPLTHR EIGMSWAVQQNNMWAAVLGLTFPSMLAAMKPWGAFYFYAGTNILAWVLCFFFVPETAG RTLEELDYVFAVPVPMFAKYQSTQWLPWFIKRYVFWQKSARLEPLYKLEGVAGERTQV ERFH L199_007984 MISKPEPTLLEQIEAAGCLVDTDSMNPEIAKNLPIKAHDMTSNH LLVDEQLHNPENKELVERTIKELKGKSWLEVHTVLSVRFAKRVLPYIQGRVLVQASPR NAYNRDAIEAHVRAYDKVFQEEGIPRERFMVKVPSTSAGVQAAAVLNAEGIRTLGTSL FSLPQAIACSQAGMHSISPYFNECPAHVDPSIWPDVEDVATQHPMAARMRHIRDTYDR LAKETGKTQPLIKAASCVTARECMAMLELGADSNTILADQMEDLCSTSKLPKYKKGAE HQVRFKNQLNNTEIYWEAWNKVEPAASKDRLKELATKDPLSKVMQKDWKIASTDINYL EDGVLDKYNKEDEITAIRLKDALELFYNGEENSRKEIERLQAIYNA L199_007985 MPLISPTLVRSTIATAKTKLPIPISTIAAVGNNAIKLSGLGLPF ALFSSSSNSKMPSDSYPVKKSDDEWHAILSPEQFRVIRQKGTERPGSHAYDKKNDDGV YHCAACDAPLYTSKTKFNSGCGWPAFYDTVPGAVIRHEDKSMFMTRTEIVCANCGGHL GHVFKGEGFGNPIDERHCVNGISLNFKQE L199_007986 MLPPSLPSRNGNGSGSGMVHPDDAIRQTDDDASSSRASAISLGY LTDPFSSILYKSSFSPGTSSSTTRKPPLINIGTHHRTIGIDTLVNRFLDNDGGQVGRQ IVSLGAGSDTRFWRLMSRNHPPNISKYIEIDFPHLTSPKAHRISRSKKLNSYLSLEYK ISQGGTRLDSPKYTLLPLDLRPTPTKSIHQLLDEHVLPLLDTGLPTLFLAECLFPYMP PDDSQNIISWFGSRFGDCIGVIYEMSGLDDSFGKVMKRNLASRNLSIPGSEPFPTPQS QAQRFLDPSSGEGIFEKSGVKTLWQVRQQVIDPEELQRISKLEILDEIEELKLVLEHY VIAWGIKGDKLTMSKITL L199_007987 MSVYTGESRGELLAWLNELLEPITISKVEQCGTGSVYCQIIDSI YGDLPMSKVKFNAKMEYEYLDNFKILQKAFNVHRIEKPIPVQRLIKCKMQDNLEFLQW MKKYWDANSGGMAYDAEGRAGGIIPAAPPPTSRTASSSARAAYPRPGGGIGGVGASRQ VSSASAAQVAQMQARVAEIEAHSESLLKERDFYFDKLRNIELIVQERMAIEGVTQEES DVMAKIQEILYATIEGFEVPEGEEQPLHAEEETF L199_007988 MSGDNTPIPPSQPHRTSPNQPSNDIESSPIYQALSARLARTENA LSTLSTQVSQLTAIVKSLPQSQSQITTSPVRSKTATTTNNTSSVFAPFDSELPLPKSN GLAKSPFIPSTTPQPQSQGAVASTGPQGSQVEIAALTQQISALSTSVAQLQRLQTQSH SQLTRQSSSSNQTPTLGTLGTLGDGRHPGPLALGIPQRPLHHGVESLIHSNGPMTTPN SLAGGGNNRPGMNRSFSSGIIGQPQPNNSGLASGQITPSSEYPSTRLGHPHHPHTPSG LRDREREWPNSAGGTGAGTGTASPGPGGSTGTGPLTPGGSGVAAPGAGIVITKWEHLN LKVDLLRSISKYGIGPPNKIQTRVLPFMIKGSDIIAQAPPTTERIISYVIPALHLVQN LPPPPASYVGPSVIIITTTVDQAMQCHKLVRGVGGPLGIRSAVAAGAAGSSGLPNEIA AMQRDAIHILIGTPAKVAEVMTSRGGLGGGECRLLILDEVDQLIARNLYDNVLNIAKL LPAPRRGGPGGLSMTGPLTPGAPIAPFSPGLTSPYDAGRDSPFNPASKTPFPTQGSRF GNPVGNGTAAVGGPTSAAAAANGPGGGNGIERQTCLFSNTIPTDVINFSQSLSVRDPV RVLVRREGGTNSQESVSSVTPGINLKHTYVYLTITGSAQQGSNNQNKQNNEIGPGTIG SGRTNGGQGNEEQNRAKEYKLDMLTKMLEDYPLWQAIIHVGAYSMLEAVVVRLQSRKW ETLYLTPDMPPTQKKAILQQWRLSLSGNGPRFLVVFDVNIKPPEVPWSPLVINFDLPR SVEGYAHRAAAAVPPSNRQGGTQGQQVNGVIVSFVQAAGGDVEMLRSTECAYRFKSAE IPTVFHDLFNH L199_007989 MSSPLSKELRKQHTARSIPIRKDDEVLIVRGKYKGREGKVTQVY RKKWVIHVDRVHIEKSNAATVPVGIHPSNVVITSLKLDSDRKAILERKGAKSSSSEDV EMKE L199_007990 MKSLFSNLAKRANPQSNTPSDDQSQSHTSSTGAPVLSPISTGNS ILPISSTPSVPIPTSASERWARPNLPSYAVSRAEDANADLPEYQDAVAVGPSSNNDEG FLDRAAAEYFGTSSTRHTDEPPRLTSASPTEEFALDISEESVPSLEVEEDDDDPLIPR RRILDSHEHGQSYRRNTFSVFEASHQRPTIASNLSSSLDNRNGFVDLPIPTSEPPIYS PSLGRDELRLISTVHLSADHPASAYFNAIAQSPPPPAGPSSSNIVAGGDSDISTGGKK LKLILTRGGRRMNANGTGPLYIKLGREDWVEGRIEVGKVDRAVGLEVAIIGMINVSYY VRGQYTVLDTLPMARNKIQLFPNPSSSDDTSTNRQDQPPTTSSNDNQSSIDTPGLDDP PEPSTSSSTTNLLTPSAEPLFNKDGLPMIPPNTSFRFSLQMPTCHYKDTNSELPSSCD LQQVGMQANVEYVLRVKLIRKGLRFNETITVPIIYEPRAYITPRRIRALTSDDPLNPG WRTIELHGGKPKIKTNLPVPAGSEGPGIEVSLLLPSPPILFLPSSGELPSFPFHLHFH STLPHVLSTFSNPRESKFVIRLTRVTIFRIGIEREIRRIEIPTRAEVWQEGGERIMLG LEGMMNDENNRRRGSTASTVDRRDSTGNDTAHGLSSSVPTSSNMAEGGPLRRFSSAGS TNTTDNANINTRRKSLLGDRRGSLSGLMKRRGSSSSQTPTGTLSSSPITSNLPPTIDE DHPHHTHTPSENANVNDEMVPVDMTSTEVHLKGLISLKPFSISSSSSPADDRRGTELL RKLMVQSFIVPEMTLTYVLEIGIEPRRGSVKENFGHVWGGGVIEVVWGR L199_007991 MSYQIHQPPSSFFDPTNIPVTSLNCPPNYPETYLDRLVRSKQSG SPAPSSAACDQFYNNNDYPYNTNISRPGMSAAAVLPMSSNQPHTMMDYRHLNMQKNMM SYPDSATVRQPPAPNPAPPIKQAYTPPYPAQAQNVAQKPSRFQQLLDQKFAAEQSVRN APAASAQPSHQKITTSSDYLQHVRSQMMQQANDTVFHKEFVSSQYTQPIQAQQQAARP QQLVNKAQTPQVYPVAQHRAQSYPDVPAQYPTQQQPPLPQQPIHLIPQPQHTPGYSPL GPSPTSSTDVGSYNASHLPVNIAPSVQLNSQAPWVGQITPAQNTSYPQLPSAVSSFNT PQLTPSSSVTAQVPVSQNDNSNNQWLQNAGMNGWTNGPVNTGDISLTPSHFEQQVTRP QVTPSFSWNVTEEGGESSYNAHTSFDRTPVRSPSRPSTSSSSLPVTPTGATQYSQFPN NANTNRSTPFLTVKIEPDTHHLTVPFTSQPQNISPTSSRSSSVGGNSPLLEYGARMFT NMNGSFSLPGPAMYMASTNGGGAGGPSTLANGMAGGDGGGGGEDWSNGGNGEESGSGG QAGSGSGSGSGSGGDGNNGNNGNGDQNGDNNSNGGGGSVRKGKKLTLACHFCRRRKLK CNGVQPRCDNCTKRNEHCSWDGNVRRRGPGKATKERREKAAREALAAGLTNEDSINTN TSTEQHQHDHQHMEGGGEHDLVDLPIVVALNSLPDLQEDSNEEFRLDHSHLQSLGHDP NDISGQGGEEGLESITLDRLPELHQDDDNNDHIPIDPALAALSAVLPVPGTLAELETP QTTSIKAEKRKSGVDIESAMGLDDESAKRMKLDENTYIQEQ L199_007992 MSTQISDLQAKVANTLTRFAKSSSNKTTGPSSSQHLFDGRSMSD FEEFSEAITLGQVFSYEFGTVVESCKTFCKVFSEEWDKPSNQSIRDKIITDISTSCGQ GQSESDTSTTDINIRNITNSIEFKREYAKALFQSDIPRIRNSFSSAKNHYDQTYFFYP KPTFTMAVAQSLAAYDSHQAPSEQYLISGLTKEEAELREIIGFMDHFRSFDNQSQTQT WTNFNTDYGRLSGWLDILNRRQIFAKGVKSCMAPQHANNSVQK L199_007993 MIPSWTREAIKNKYATAMDQVDEYDIEGGDELVKQAKDEINTVL AEYMRNNGEVITARIYAIQAGQAWCRSIGRDDQIVPTDEELWQYADTEREPSFQ L199_007994 MAPKKRPANVVRSGNAGNSTKPTKEKGKDEPKQDEGPARPPPLF PAGYKSPLTILNEKCQKMGWERPVVESRPNRGSDPQTFTGFVILKKRVSKNIYNLDEV KMQPHTPLEIESSAMARHYAATYALFRFCSHLPMAMTLPPSIRPYWSTLTAEKAAAPP HRSWEYDPDPFAAKKEVEDRQNKKKDKEAAREAEVDGRSAGPSGRGTPVAREANQGGG GTAWDRAPEVKMASSLRETVEATVRKMMQQFPSAVLEASRDATATASTAPSGVSTPTL DLPFLQAQLTNLGFRSTHITSALSALSAANARLHSSSSSTKDPLVLSLSILSPLEAAI EWLLLHLPEDDLPPRYRPSSSSADFITGASATSGGKTALVKGWLVDKLVKQAGFPRKA VETILAEEQRESIVLDLLGRRLCEWETDEDGWGVKEYGSGWTGDEAADEERRITREEE IMALEAVLGERYQQLSSTESTISIESEYTADSIRLHILFDQHSPYPSPQYPNHPPSFF ISSDTLPAYIRLHLHAQLLRAFRDPERHDLTSVLEAGSGGAVLIMVEYLESTLSSVLE TPPDVGEVTKYLVPKVEDAISTKSDTPVKRKQKQNKESYKRKIPTVEEEEAVRKKQKG MMDNPSYAPMLADRMKLPAWKERDNITNALESNRVLVVVGETGCGKSTQLPQFILDHE INAGRGAATNIIVTQPRRVAAMGVAARVAQERLEDVDKLPETVGYAIRGERKSSADTK VLFCTTGVVLRRLGSGDPDLLGVSHVVVDEAHERGVDTDLLICLLRDLLERNKTIKVI LMSATINEQIFINYFGGCPSLTIPGFTHPVTDHYLEDVISEIKYKPQPSRFGPRQTEE QKAAIRAEFTKLKLDLDSQRALEILAGTDRIDYGLVGAIVKHIVDNAESTAGAILVFM PGVMEIRQCINELQNTALGPVEIMPLHANLSSAEQRKVFLPTAPKRKIVVATNVAETS VTIPDVIYVVDGGRVKETQYDAENGMQKLVECWTSRASGRQRRGRAGRTQPGQCYKLY TRRTENNSMPRFPIPEILRTPLEALFLQVKAMNEDTDVKEFLSKAIDPPKMDAINAAW QTLQDLGAVESEDHKSRLTALGRHMSMIPVDLRLAKMLVLGTIFKCLDPILTIAALLS SKPLFTSPMEKRDESKKARESFSWARSDLLTDVRAYDACMAIREKGGSHGAVRQFCEQ NFISSTTLRDITSLRSDFLSALSSIGFISSLNKEIAKFSVNSKFDNLVKGVIVGGLYP RIARIAMPKAQFERVQQGSIQKDHEAKEVKMFDQSGRVFIHPTSVLFNESGFKSGYLA YFGKAETSKVFLRDATEVPLYGLLLFGGSITINHWAGGIMIGKDGFVKLKAGTRIGVL CSQLRRLLDAQLSEQIESPHAVDMSGHEEVVGAMMALLQRDGLSL L199_007995 MELIFILQQEQGRVWTVGVLQGEAQQNSHSEIEKLFFDFLSGFR INGQFTYRDALRSALLLHHHTLEVDLNDMIAWNNELAQKIQQNPGEMIPLLESALLRL ARQLLHPTHDQTQTQGQGQSSTPAVDSIPDMQVTIRSGMNLLQFRELNANTLTTLVRL PGIVINASQLSSRATELHLQCKGCRSVKTVKVPSTLGGERAALPRRCDAPAPQGQPKD CPLDPYVILHDRCRFVDQQSIKLQEAPDMVPVGELPRHMMLHAERYLTGRVVPGSRII ATGIYSTFAPSSKNAKTSGAPALRQPYLRVLGIELDTSLASSPGSRVFSPEEEEEFQQ LARSEGLYERFANSVAPSIYGNLDIKKAVTCLLMGGSKKILPDGMRLRGDINVLLLGD PGTAKSQLLKFVEKVSPVSVYTSGKGSSAAGLTASVQRDPVSREFYLEGGAMVLADGG VVCIDEFDKMRDEDRVAIHEAMEQQTISIAKAGITTILNSRTSVLAAANPVFGRYDDM KSPGENIDFQTTILSRFDMIFIVKDEHNELRDRTIAKHVMNIHMNRQNENEAVGEIDI EKMKRYVSYCKSRCAPRLSNEAAEMLSSHFVGLRKEVAQVERDNDERSSIPITVRQLE AIIRISESLAKITLSPRVLPHHVEESIRLFKFSTMNAVSIGSGIEGLSRTELNDEIDK IEKELKRRLPIGWTTSYQSLVREFVSGQGYSQHALERCLYVMEKRETIKFTGMKKVVQ RIGV L199_007996 MSSPSTCVPPGPREPDFAGLANTSGIPPFFMNRSTSDRWEMKPP LPLSQSRAYVSPDTSPLEERFENNQQSDSVSPTDVGLQSSLSNHPREFPHPGRPPLLP SETILSQQQPQQPCNRYFTNPIHLQAGFWQHTGNDQHDPWFNPLMLPQTNKQKKNKGQ KKVEGKQPTFLTKLFGILEQPEYHHIMRWDETGEAIIIENPEELAEKILPVVYRQSRF ASFSRQLNIYGFNRKLSLRHVERGICDPDASTWSHPFLKRHSSKAEILSFKRRVPPRP TQAQKRRMSLQEEGLSPTSSEHSVEFHSPPDAYQHHLLPDVEEDKPFIFPHPRGSYIP QGPMGYHGTFQAHAPPPEYHAYEQDSPTAFEFDYSTPGEAGGQSPTIPYPQQRPFDML KIQPLTHSNHTDQRSNYDFGPQSAPANTTACPIDIKVIQQHTRTRSVQGEPPSAMLYS PSSPLNPSSWLIGTGTGDVPEPQAHQQQRMKKEESNSPTSLPQRQLGGYAPQGQNIMN TNNHQPAWWDDKRGDNSYTTEYGNSPQSLPNDFELGSGSSNSLILPQIQTQLPPTGIN QSQSLSILSPDSPTTISPGVYQPGFSFPPSLRSRSYHAHTPTSSFGKISTSTRQERRA TLSSSTAPYSCTSPRNKVSSLLSSTLIGGNRTIASRRGSEAHSSALGLRLDGIDRKDG ENGDDDEENEALPSASIKFEDKHPFEGILGGEEIVD L199_007997 MFTLKSLSPSRASLSIPSPGGRSNPSSPNRSNPASPSTSPGGRS PSSDSGYFPTVTTPTARITKSRPIGKEEAFKTVKNLEEVLNSWNEYRLAIANVGKAGR KLAGGLKDLASGGVGGGEKIDVTSQTIRPTANMLDNLSDLTLKLSRKIDKEYDEVNSD ASKYFSLLAKESRTHEAYLGAIGKKHDKAEKAFRKASKTLSETSSAHAGLVALKDTLS EDINRANEDHHILIGTKQSIILLKIASSSGCLAEYILAYFSDGLRKSGQSFLDIEYFR TLADIKWQSSLPPSLEEEQAEERYRERVRGVKAKVALGELDLIGKGLWESTGGMGSGR NSIQSTPKEAMANVAVATTTENNLNKHDVEVKTGGLSSSPSAKESFEDNTRQLSDKSD VKPQSIIAQNEGTVGSSTGNDTSSAEHPQLRATPQHTSPPIPHDSRPSLTSHSSTPPT HSTKPSISTSTTSSTSTRPDAPEGRRSIPSGLVVTLKESESPKGPRDREAERPISRYE DARPVVAANSPERARPAPIHIRGHNSRRSVSNMVNEVQSRQPDEGYYKQPLRHLYQSQ HQVSNRSFSSYYTDPRERSFQHHQNHQTFMRGCELCEINYERM L199_007998 MSTKLENSPIGDDEKHAVDHLEAGDPAVMNEAVNAEELEHSMGV LEAAKAYPMGCFWAFVICFCIIMESYDTFLIGNFVALPSFTQAYGIPVVENGVTTWVL ATRWQTALQQAGQIGAFIGIFICGPLTDRVGYRYATLVGLVLMIATIFISFFAKSLPI FLVGQLLEGIPWGFFIANAPAYSSEVVPLPLRGIATAFVMMGWSLGNMFSNGIAYHFN TGTDEWSYRIPFAIQWVFPVPLLVLIFFAPESPWWLVRKGRHEEAVRSIKRLGSSRAA PAEDTLAMITRTIEIEEHETSNVSYAELFKGSDLRRTLIVCGVYAAQNWAGNLIANQA TFFFQQAGLGSDFAFKLSFINAGLQVFGVAASWVLGAYIGRRKLYLAGTTLNALFALL LGVLATTSAHTQGGQYGQAVLGIFISFIMGLILGPVSYTIIAETSSIRLRALTTGLGR AAYYCAEIPTIFLSSWMLNPTGGNLAGKCGYVWFGTATVCLVVAYFQLPEMRHRSYRE LDILFHRRTSARKFASTDVAMVSDE L199_007999 MSIRAISLRRLPRQSSSSILRPLLSRFYATPQSPKPSRNPPPPA GLEGLFGGRGGTTVAPKPPGSEPPSGPSGPKKPDVGLPGLGGEEQQRPEDQREPPKDE EPKRQKLSEQLGGKAGKKVGLGGNGGSGGSGGSGGPSGGPNGMPGGFGGMTPNQLLLA ALSTYALWSITQPDDVRTKEITWQEFRNSLLARGLVSSLEVVNRSKVRVHLHSPLNSS SSPQPNATGSSNLPSPDHGPAPYTFTIGSLEGFESLLISTQDELGIPAAERIPVSYRE EISTFQTIMHFAPTLLIAGLLLWMARRGGSAMSGGGPGGGIFGVGKSRAKMFNKDEQV NVRFKDVAGMDEAKEEIMEFVKFLKEPAKYEKLGAKIPRGAILSGPPGTGKTLLAKAT AGEAGVPFLSVSGSEFVEMFVGVGPSRVRDLFANAKKNAPCIIFVDEIDAIGKSRGKG GSFGGNDERESTLNQLLVEMDGFGTNEHVVVLAGTNRPDVLDNALMRPGRFDRHIAID RPDIGGRKQIFGVHLSPLTLSTELKAETIAEKLALLTPGFSGADIANVCNEAALRAAR RGGDAVTEEDFDGAIERVIAGLERKSRVLGKDEKKTVAYHEAGHAVCGWYLEHADPLL KVSIIPRGVGALGYAQYLPKERFLFSTQQLLDRMCMTLGGRVSEEIFFGRITTGAQDD LQKITKMAFEVCANYGMNPEIGPISYGGRDQQSEGFQKPFSEATAEALDRAVKKMIID AHTRTTELLTKHKEDVEKVAKLLLEKEVITREDMRLTLGPRPFENKDEMDEYIEAQLD KHHKEKTEKEQAEQTDGPTPQLAYKPIDINKVD L199_008000 MRSSLSLSPLSLLLVLSLVLLPITMGVKEEDFKKCSQSSFCRRL RSIANKQESAQSGTFTSPYSVSSPQAIQEGAWKWPLRSSLYPEIKFELRADVLAEGDG IIRVRIDEIDSTTPFKRYKETARWALLDTNPPLSSSATLKSTSGKCIITYGPSSSKLS LEIQHSPLKITQLRNGKPEIVFNERSLFHMEHFRIKDVEKTEEILRESEQVVLKGDEQ DRSWFEESDIDAFEETWKKWKDSKPKGPEGFSLDLTFPGVKHVYGLPEHASPLSLPDT LGPNAHYSDPYRLYNVDIFEYLADSPMSLYGAIPLLHAHASDHSVGVLNLVGSDTYVD VRHDNDAVRTHWVSESGILDVLLLPGPRPQQLFEQYAVLTGPTSLPPQWSTAYHQCRW NYNDEDDVLEVDRKFDEADIPLDVTWLDIEYAEEHRYFDWNNRFFPDPVKMIDAVASK GRKMVAIIDPHIKKTDSFRIYSDAKDLDVLIKKADGNNFEGWCWTGSSVWVDFFNEKS WTWWTKMFDFKTWKDSTNTLFIWNDMNEPSVFDGPEISMPRDNIHAGGWEHRDVHNIN GMMFHNQTAHALIARETPAKRPFVLSRSFFAGSQRYGAIWTGDNLGDWEHLAGETAML LSNNIAGMVFSGADVGGFFGNPTPELLVRWYQAGAFMPFFRAHAHIDTKRREPYLFDE PIRGYLRDIIRLRYQLLPVWYNAFHDSSLTGVPIMRPQYAVFPEDEQGFAIDDQYYIG DSGLLFKPVVQEGAETSQVYISDAQPYYNYFTHHLYPAHAHQTLTLSTPLSTFPLLIQ GGSIIPSRQRVRRSSPLMWQDPFTLTIALSKEGKASGQLYLDDGVSYGYEQGEYIWRQ FQFDGKSLTSTSKTNTPTSSEEKGVVSYDAENNTWAQAVSHVKMESIILLGLSNKPKS IKVGGQEVDWVYENGVASNGKKEGESSSLRIKNPGVGVVGDWEIVFN L199_008001 MSQYLSQTSHSAEKHLQATRDGVHEWLPRWQSTCCDGTIPEDMP FADKLNRIVWSESASRAHLIGESSAPEVSGFQKTRSIPNPLLDSIKESRTHSLSTELL QSWRDLRVNILPPYLKRSYARERLVSEIIEPIGDNFKEELKLHCSDFSKEKRWKIENL VSQEQMKTTMEICSSIFGRDDEHRERASSAWQSLSEMIKQENESRFTDPKDFLCLPPL YPRAAEATMLLDQPLSRSEADRILDRGPGILQNMESHILECEQDSRRATELAERRQRL GEMKSLVNYAYTVDSHEGGQRATIEIAISWPISRDDAATPDCGSDSSDDDEEDPSDMP IHKSRRTSIDQTSKDKDPVANTQLTSLITGILSGPDSVPEDPLADLRARLARLASNPS VTEKREERQPVLAPQFHI L199_008002 MADTFRNLTKSIKDIGSKESDMSMSERHDWGATSYGDPYKYTPK QGQQDWKTPYTAGGTNTNTNYGTSYAAPQGGYGYPSAPTNGSVPPQDGYAYPPQGGYP PTYGGYSSTPAPSDPNASTYGTVGGFVAGSATTEPPAYDASHATESHGVAGTTGAIQH YTTDTTNTRA L199_008003 MKFFKKLGREAVDTLDRVTDDRFKSTKGSRPTTQTMAPPPGTIV DYSRQPYDASSAYVQTAGSYGGYSPGSEGAWGGQSSPGGGYYDQRYGAYGGSQYGYQP SNGYSPQPPQGGQPYYPPPSPSVTHSPSGQSPYLPPPSPGYDQSGQNSAMCGSPAPSA TLGVPSVSPAPGRRSLSAAFAASENSANLGVDTSSSYYAGAAAPSPVNFSVGTPYNTW GQPPATGHTALSQNASSSHPESVFGGASTAANTQNHTDATAARRKSTDSIASEDRSTP GGWVTTDESV L199_008004 MRMVIPLSAGRTKQTVTLITTRQRVHLNHPKVPIQSNSISPTAL FSAPWQMSSSSWKTSHSLILNRGVHGNLSRRHYSGSPISNITDRLSSSEMASSCKNVV TPNKNITNTIKVIDLDLDTGIRVWEGEAVFTKEPLTVRSIRPNTFETPKSTKDQKPSG SSTSPISISSSPSSSPQTPPRPRAVPHYTSPLPRTTPTPTTQALTGLPPSQLIGSSQT HPSKPIHPFFNRTRTAPPTSQPRYTAHYSSTEAGSTQQSESQDSTPSSSRAPSTVDYN KDKELDLLAEEVGKLQFGYGHPPKPKPKSNPAPAPARSQSDENKQSKSQHEDMTTSDI KPLLGVSFKVISNDGKLQSRSHSPKPLDLPLFHYDQYFPKPQIAYTNSTEEANDLLEC LKGDVLGFDLEWPPAGTYKIPQPDGTTKNVKIGMTWDEKKGGYVFGQGRTALMQFADE RLVILVHLGEKMDIPSKAIEILRSPTIYKLGVQVRGDGQKLLRDFPQHFTSTSSSDMG IKGLLELSFLARGVDPIGTGPGNSLIGLSRLAERYLGKRLDKSLDVRKGNWFERLDQK QKDYAANDVYASLQIYKSLRRIAEDNESEVSLNKYLSNVDSSAPVTRLSPTSNGEKIS GTQIQLGERIATLVEGVKSPSPAQLGALNDFVKGVSIEKSAEQKGIKVATVEGYICSA LQIIGLDFLMEQERKRLWDEIPRNTYTWKRNKELYRVLKQEFDPDAISGSESEMDNIP L199_008005 MDKVQFQLEATLPELKDLYEKGLFTKSEINQITKKRTAFETSLV RRVTRKEDFFKYAEYEINLERLRKVRYKKLNYHLNPPPPSASSFSLPRRALYILKRAT AKFPGDLATWLAYVEFASREGMRKVVGKGLNSALQHHPTSSTLYLLQTYYHLHPGSPF PRESIPSTSKLDLPSASSSTTHAPGFAIEGIDPARTTLLLGLRLLPQSRDLWREYVKL ELGWVEALRRRWKVLGIKDQDQNTPSQDEDTEIDQDALRGGEGAFGLEGEEARKSILA GELVIQALSSALESIPATFASTEQEKLDGMGFRENLIQLFRSYPSPLRIKCLKVLYED LQRICEQEDDTRMAAKARLLLLIKSLYDREYNPEDETVKEGESVLEGVKLVEELGRIG KEIRKTIKVKGQHREWIEVVGLWLIEQIGKLYENLELREYLFSILSSLTKPSLHPPSS LLIAHLSQLSSSPDLVIARSYATIYPSNPSIQLHRLTAEVRLSTDTKGLCKTCEEVVR AVTKSGVSEEEREDVISIWKLWIDNELQNSDGHMDDRWKVILRESMKLGVHVPELHGD VLGYFIINTLKSGENVNGILNNIKPYQPTFNTFNLVFTSLQANNVQPDDLKKIYNAWR GVCKTPYEKAQAALAYAQVLLGIKGKGKEAYDIIEITKREVRVDEGVEKYLEAEWKAL LDAEAESEGESGDEDEEMSEE L199_008006 MNPTTSLRQAARSIPSSSYPPTKSTGRQLSSQTLRSLISLHHSS AGFMHNPQVDLPVGFDNTFRYTRDEPKYKRYGEFSSTTSYNASLTPPGGLESLVEKPR SAEARGLEFRKGRNNYLDLDTAKNVGLTFKKVYDIWSDRGSGSDTQHLTERELRVQEA LYGTWERGSQGMNSRIQPSLDGILEFVEAKGKTVGEYAEEWKVRDKDGREKSTEDGQ L199_008007 MANASSKRIASANETALKNLELGLLIVNSLSVLLRFLLSLLTSR SFIPRKFVIFLHLVFVGGSVITWRWFKTIGTPSRAGAAVRVGEDLGGKGVIELGWDLI YMTWICTLGSAILGDRVWWLSLLIPAFASYKLFSTIRPILAMFLPGIFGPKNPQAQAQ QQQAQGNAAAAGGEDKPESKRQAKLKARMERGDKRVQQVQVQRNR L199_008008 MRITSLLLKSSSSSSRWIARQSRDPYVKSRSGSGNSSSVSQNQP AYRSRSSFKLLSLATKHPSLLGPSTAGDKKVIVDLGAAPGGWSQVVSHLTKGRGKVFA LDILEIEPIPGVEVIKGDFLSEEVREELRIRTIRSKSTRQNQDEEQDKDKVDEGDTFT TTPQGMVDCVLSDMMAPMTGNKTRDISLSLQLCAAATVFARDVLKQAPKDEEVRKEKE KGKGKGKKVWPGGNLVIKFFAHPDMDEFRSLELDPWFGKVVVEKPKESRSESSEAYWV CLGYKGDPTL L199_008009 MAFFGGLSGWSGSSWSPWSGLGSDPDSESDTVTTTAQVVQTSTS TPSPTTATATVVQQVTTTAVSVVTASTTASTPNAVTVTQTSKAASSAIPSTIAQNNAQ TSQQQQQASQVSQVGSSSIASAQSSSSTSRPSSSATTSSSSTNSTENVGDIPDDWTYF GCVPNNNGMPALNTTYATSDELTPVLCITACAASQYHYAGLQNGNACFCGSTMTTPSM SSSSSSSSCNMNCTGDPSGSSKCGGSSSMSFYHLRSIDPNSSSYPNSSSTSTGLDSQY GDSGSGTVVVSLPELTGTLMEYGDPRFRSGKDGVVFGEMFKVSSASSRYSPDPDTKLE GLASLILLGWVGLRILR L199_008010 MASSNSRLPIFIASAIGALGAVYIVSPKNEVSGMTKPAEAPKDG RGQSAIDGKNSDSSPSVNSPHPALRYGGAAPGDPKSTTVAGQPESSRKSHSAEHDVKT RDDPSASGGVGLAEMRERKMKGQGLPSPQGGDTPAQPVKAQKGYNEGQGPPHPDGPHE KDKQSSKGGWSSWFGGK L199_008011 MSLDIHFMLGGTGLKFLSFLVEGGSMGGDHPPYDHFPKQNKTMA RIHHYRQVFRDSAEPKCIECKGLFRNEVALSIFDQTMKQTSQLLNDHPELSEDCKREI MRDTIERFGENWSREIGWFDKHDENLVYKDKWNLDLKRELESQLKSDLSYIY L199_008012 MKKFKRFLKSKDNGSSSRSRTVGSSIASSSRQSTFSQSGSISGP PPSYSSLGSRPPTLSQEQMKDLYPYPIFAADHHTEAIDVRIIDLMAPPKSGHWHHAGD STHPNTDTVNNSGVPPFNFYELKGSMREYAESHMQVAKDLMPRFRTEAVKMWRSDPSH VTPSSTKDESTIKWKEMLDTYANACKQICDTNPNVTWRKQKALGHWLAFAVDEKPLDM VSNTDVESGTATATPQKFDIESATALNHLLRDMDSPEDLNSFLQDPSSRLEDSLIREW TGLRGRYPNIVDSKDPDARKTLSSFEERKILLHKLLSEDES L199_008013 MPFKRLFGGRRRETSSSREYDDEISDQWPSIQRIMNTYPSASRN SDHQSPAPGPAPGSWQSEVQSQPWNQGMQFTMYSPHTRQQPQKDQAHDADIYHPGGSF GVRGVPPTTYEAQSYDGSLVQGGTGYSADAPAYSQSTVGPATGYDALTQAMLDQANAN LHRGSYTSGNVPSEPMEEVPWQEVQNTFREYLKARDVVLREIRPSWFQKIIPSLEEEG INYKTFEHNPDRVDDEIDQSIFGPYYRDTCDRDSRVTIHRANSNLAWASLQFGCLKPN LDVEVMKGVLAFSEKITPHDIRDKSWRWTRAAALNDAERSARDEYIEARTRQPDRRER DRLRAEYRELGESRQDKLRVPYAAQDGLIRRFREANGWWNEDDDVVDPVPRESFH L199_008014 MTTLKSFQAEIEAISHEKHIQSIKEAREAHAAAELARHHGKLPS TKHQPVIEVKVEDEKDEVAEISLEELIISDDNNIDDLQSRLASKLLKGHGEQLISLGA HPSPQHTYTLNPLSADDSKSIPIVGIALSSTQLDKSIEKLRTVCQALKAELNELYRIN QDQSSHGCWLVRLTPRGVEEIMEVRVAVVGNVDAGKSTTLGVLTRGGLDDGRGKARVA LFRHPHEIETGRTSSVGGEILGFSPTGQPVIPTTHVTDNADGHNHALAAAKREKLGWE EICKRAAKVVSFIDLAGHERYFKTTLYGLSGCAPDYVMLMVGGNAGLIGMSKEHLGVA LALNVPIAVCVTKIDMTPPKILEQTVNMLTKVLKSPGCRRIPVFVNTAQEAVDCARYL GQPLGSGGRLCPIFMVSNVTGHNLPMLRSFLNCLPSSQSDDKYVVDAPFEFQISDVFS VPFVGTVMSGVITSGTVHANDAVLLGPDSVGQFMPTAVKTIQRKRASVNSGEAGQSVS FALKRIRRTQVRKGMVLIGKTDNPPKAVKRFEGMVMVLHHASTIQPKYQAMMHCGAIR QTVRIVSLDHPSGLIRTGDRAKCVFEFISHTEFLKEGQLILLREAKTKVLGVVTKVLP L199_008015 MSSSNPPRRDPLPRSSSFTNIMTLPSLDRYSEDWAGALTLLDVI ETFFDSRLDLFNRRIKAQSSKLKSRAVELLPKGLRTPGGGGSTILYVDEEEDDEREEG KDRERDSVGEKYRREVEREVERIKVKLAAKVTHLSSSWRSAQVVRTKDKISFLFGVMS LAFTCLLYGMRPDYMPLAYTVQSALYLPLRFYTYKRKAWHYFLFGNLCYFVNVLDLLW IWVFPSSTTLFICCYLLTLGPIASAIITWRNSLVFHSLDKIISIFIHIYPPIVLSVIR HNYLNAEERYPGLKDVDNYKWYTMILLSGVPYILWQATYYKFISLDRKSKIESGQRQN SFQYLLNDKRGPIGKALRGIRPEHRELWFIFCQLIYSIIFMIPPATLFIHSSRASSAF LIIIFAVSAWNGASFYVEVFGRKFERELEKLRKEMELASATGTSTSSIASNSTPHSPS TFTEGELEPDSGEGQRHSNSSSGSSTESVYESDQGKPAKGLDDSPLILPSTATQQARE MEVSEYTLDKAVEEVNRGEQEGKKDI L199_008016 MAPSFLRKRARPQSVSPTDPTKVVRPSLSLPDLTTPLLDVSSWE EVPPFRFSTKQSQSQTQNKDKEMSFRGRGKKPSLVSGDTQFHRPFTPKSVHSPQPQQD IYSIVDINFDRNGYGYGDTVDKNDFRKSRIGWSSDHPFSQPMNLPPTSWTNNNTSNSH RPNSNSNLSSPSISQDGQRDSLHRVISRRKGRKKGTVGKLNVVVVGGQGVGKTSFANL LLSSLSTPELPTPFIPLNPTKCLNAYTAISTISERLLVRIIDTPGLDLRLNDELSHKS RERGVSGLIRLLEDRFEVMCQEEKKIRRMTGGEEGLIHLVIYLIDAGTILHPQSPRDA DPVDWSCLGLFDDDQPSSHPTSVHDDGVSSTHPKVSEVEVEIIRKLSRRANVLPIFTH SDCLTVSELNVVKGAMKRDLGNKKNDIPGKGFGILNELDEGSRKSLDFSESHRPSLSQ DQRPPTPDSFESPPEHHPPGSEVPYSLFLPDHTTTTSIIEEDPSRKYKWGEAKLFDPL HSDFIQLKENILGDNSKILRSTTRETLYENYRTERLLAKTGMRLQLEEKETLLKEIHK V L199_008017 MAEPTSGSTSTSTLPQLPTPTSFPFPYPKPYDIQLQLMQVVFRA IEDGKIGIVESPTGTGKSLSLLTSTLTWLEHHQQRLSTAFNYGLREKLRLDDPSDPEW VIEHSLKAKLAELRGLEEKREERLKKARERERKIRKSMGLGMNKGMGVVVKKSKVDGG VDRNNGVRKEEEEFLPDDFDQRDMEGPYISKEVRELMDKYEAFRPKINAEVDEEEEED LPKIYYTSRTHTQLRQLTSELLKTSFPVSDPHSTAHDPSSSDKSKGVSLVPLGSRKQL CINDKVRSLAKNSVGGDERLNEACLDMQKSGKTRCEYLPSKAEEGIMLDARDSVLASV KDIEDIVAIGRKSCVCPYYATRRAVKQSQIVTLPYNLLLQKNAREALDINLKDQVIVI DEAHNLIDTLLSIYSTTLTSNTISNAISQLQQYYARFKNRLKSVHSLKIRQVLSLLQG LTKVCQDFVTAHTGKEKIKNEVLNVNELMERIGKGNDQSNPLDLVGYLKESKLARKIS GFSEFVLEQKEKEKQDESKFRRTSTQRHASIASFHIVESFLLSLVDSKDDGRVILSSE EGNSNVVTIKYVLLNPAERFKEIVEEARSVILAGGTMEPISDFLQQLFPSIPKGRFST LSCSHVIPKENLLTQVVCVGPRKTEFEFKYSNRENDTIMTELGSVIQSIIGLVPDGVV VFLPSYSFLDKVKTTWTSSGLMGKLGDKKTLFFEPQTSGDVESTLRDYALAISSASGD AVQKGKKTGALLFAVVGGKLSEGINFSDSLGRCVIMVGLPFANVGSVELQERMRYIEG LPGNSKESSREMYENLCMRAVNQSIGRAIRHANDYATILLIDKRYGTPRIKNKLPKWI GQDVKIQNDFPSVAKNVALFFREKREKERGLK L199_008018 MSGLQLHTAAPIKSPSTIKTTLPSFDDLLKSLEEPSTATSHHIQ NPHHVHPHHVHHQHMVHPPYPHHHHQHHHHYRPPSTPPTPRLSLSGSSSSDEDHIHSA TSSRSSSRLGGRKRRSNSLPSISRTHMKSPFRELSILPHSIEHHTNRIQKLKEGDGEW APYSLNAVAPSSLPYHARPHYLPRFSTGSIPHAPALAPDTYAYTSKNNSDTVHTAYEH TSKIDEREHFTLTTQHKRTYDSIFHLDSIHEQPHEPIAKRQCNPNERLWHFIGEKGCQ LHYSHQHGYRPEHGHGHVASQQLQQKQQDSIVAEAILMHMMRVGDKRAWSMM L199_008019 MVEAVLNPIKQATENVVNAAADFVSTGAITADSAEEDAKKIADI PKEDDGHRIYIGNIDYTTTKEQVQEFVAPAGGEIKTIDLPLKFGKRPAGYAFVTYTNE TDATKAVEQLKDKEFGSRQPRIELARPAEKVLEIRKAKDEKRKQRIEANNAKKAEKAA ELATAAGAAPEANGKSAEEGEEKPKKKKNNKSKAKKSRRRLPGEGDEGEGEAEASGDA KPASKGRIDVNGADGETKEKKKAAPKTKKERQPRLELTGDDSKNTIFVANLPFSVDDE ALATIFTNLSIKVKSAKVVKGIRRPRPGAARSFRPFRASKGFGFVEIENDAEQAQAVE KVDGTLIEDRKITAKIAKEMKPIELEQAQANGNGDDAAETTA L199_008020 MQVVDLLGSPRLPTILETLSLCLLLLLLYLLDTFLLKPLTSPLR HLAGPPGGYGINGHSVQIMDLHGSTVHDWISTYGSTFLVRGPFGVHHRIFTLDPRALS HVLNNTQIYFKSPLLRNLVRRYMKEGLIVAEGERHKLQRKVAQRLFSRNGLKGMSEVV EEKATQLRDIIHELLTNPQSTTPYSPHRSNLPPGSREIDIYASASRCTFDIIGQVGID HAFDSTGNWEGEGGELFDKYERMQYPANGLKFIMGLIWPWFEKVLPSENAKLVAKAMD PLEDLSKKIMNERQREIDEGKRELPSDNRDLLTLMLRSNMAKGLKPDQKLRDHEITGQ LSTFMFAGSDTTAGTIAMGLYQLAKHPYVQETLRTEISCYGDNLPYEQIDELPYLDAV VKEVLRINPSLPGTVRQAQQDDVIPLSQPIKLTNGKIVSELKIRKGQLLHIPIEHLHT SKGIWVSNADTFDPSRFLGSEHLEPSKTPLTASFPSASTSWPTGPTGDQPGSVPRKTS IPSNVPSGPGVWPNFMTFIDGPRRCIGYKLALMEIKIMFFRLIKDFQVLLKEDQRVWR WNMMSTRPYVEGTLYAKGSSLPIIIKHLKTEDETRRDLSNSKEVE L199_008021 MTRIRTIPKSHNYDEEAETLLVPRLRLLGLSNDQDQYDHESEGH DDLPRIVQPSSVRHRFTTRDFSYKTYPQSGSPVKLVYVESKSEADSQLSRLAGSIIGL DMEYVGPTWTRNQRPVLLQFSDERLIVLVHLRDIDHDILHGGPQIGLATLCEKYLGLE SCRWHEGNYSGILTERQKDYAANDAYASLQMCLKLQHLAEEKRIYLDLVESCTELSNR DIPSTVPVQRPTDNHAIDDLTSSSPVQGQI L199_008022 MKLLKGNVIGLDVEYIEEISEETHHPTKKPALLQLCDEHSVLLI QLKNGLESRLPRRTLQLLCDPKIIKVGVGIHNDCASLVRAYRDRFFQNGHIIRQPVSI LELSHLAHELDRPSTWESGYYSLAGLCERYLGKKLCKNGNSHRGGWSDRLSEKQINYA ANDVTSALQIFLKLKSRADKMGKKIDIGKLCQPIDVPAICRKANVTHDKR L199_008024 MTFSFDRSISLIQFCDKDLIVLFQLGENGVLPKEAIKLINNPEI YKLGVNIKGDLNRLNDNFSDDGNFKPASFLELSRLARMVEPEWRGKGRRLISLADQCQ HFLGKTLNKDETIRQGNWDGELKEEATDYAANDVYSSLKIYDKLISMSELKQITIDYP YLCVDRDPPPKTKPYSHPLIDEFELQYNAPPIKYTIAGREFQHPAWAPRLLPSEIRAF EAFLKGVRVRYYAEEYRIQIITVQTYISKVVKRFGMNGLTEEEQTRLIAEAWRRPPGI PVPASASAKE L199_008025 MVYNGDEVSALVVDFGSYTTRAGYAGEDCPRVVTPSFYGYIDTP EGESSTTNGNTNGTAQAEDVVMSDGEEKTNGDSTSTSKTTRKYYVGEDGVGVWRKGMQ VDNFMLDGIVHDAEPASRLLNHILHDRLGVDPSEHPIMMTEPAWNTPKAREQLTEMVF EGEKSPALYFGSAGVLSAFAAGKPTALVLDVGYTHSSAVPVVDGYALRAGTMRQPLGS ELLISQLHSHFTQPSSTRSFPLSLASRHLISKRDPQPEPGLKPNPILREDRLSDTTSS WKKWSENSVIENWKESCTEIVNYKGFDFNTSKDLPQVLYEFPDGYHQYFGEERYRFTE MLFDPKNYFNQSIEPPATLRTVTNTDHSHSLKDLVPLSQLVHDSIMACDVDVRAALLQ NIVVVGNTSLTRGLIERLDVELAALMPSQKIKIHSPTIPFERKYSSWVGGSVLASLGT FHQLWVTKDEYEEHGMNIVHQRCK L199_008026 MPNQTTATASLHALTERLATQSRSLHPFTTGGGGLPLPPNFNFP SPQALAHAASAYRQPAPPPSLPSIQVAGRHQDPTTTSDNENSPSQTNGTSTGVGVVRC QDGRAWQEKNRHASERTGRFSENEIDGFVNDMGMVLRKEYDCWVEQCWQEAFHQLFTH TLPNLIIHLIMTGSSPTFLRRKIVYGGQQLDHLFQSQMLHLLYEELEQRLSGSKPHTE PSPSSNSNKLSPLGLPTPAPTTTGTSLYRDGICFHNLSYHHGIPEEEDDHGPCLCQLT TCLNCFHQAALSRRGPVSLLPYELKSTRVSNGWLGGVESEEQAKARQESLRRKSSNQL NLPEGKGFVQNTTNSAKVNRGPQIFPHPQMTDVLAVEEHLRWRLKEMGAKDQAVENRY GPSTNHPTALEGIDLGLPQDDNVSEDGSVSSKSSSKPPTAPSSGGMIKVAKGRGGKLR KMIVNPNGTIPKSQNKDGMNGTKDGKKAVVYLPKEWTDEDASQRNTAIVLTFRHFVKL LHQISTAASPFSYPSYAKDIDELQRVHPIALYRRLAEPSVQRREDVNELKAWQGCMDK WAEELGGRERKRGNEHSNMKQHPLAVQSYTRAISLDGKKTVYYSNRAIALNNMGSHEK AEIDCNYLLSKDPKNQKALYQRAIARKGMGKLKLAESDLEELLKQTDLNSTTAPTAGV GGGGNESARNLRNSLYTR L199_008027 MANLGVPVKLLHESLGHIVTVELKTGEMYRGKLMEAEDSLNISL REITVTARDGRVSQLEQVYIRGSMIRFVIVPDLLANAPMFKRVGPNAMRGRGIGAARG RATIQRANARRGTTRTNQGVRR L199_008028 MAPKSEQDVVNDFNEIVNMTADELETFLKTEGSESTGYQKDDGS GESIGHESGRKIVDILKRNPNKDPSKYTEEDKEHMRRVVSYCKRHLAQEGKLKETKTP EELEKTKSTRSLKNWGHDPMKTLSKSDQPQSSKPTSKKADKPKSKGKPEAAEKEETEK PKSKGRGRPPNSKKEEAKSPEKPTTEEAEVGEKRGRGRPPSSKKDETPKKHSEEGNGE GEKRGRGRPPRKTSEEGEKSEKPPSKKAKTTTEGTRKPPSRGAKKA L199_008029 MSGPGSGGAYSFSLTTFSPSGKLVQIEHALAAVSGGTTSLGIKA TNGIVLATEKKSPSLLIDTSALEKVAPICPNIGFVYSGMGPDFRILVAKARKIAQAYW KVYGEYPPTKVLVQEVAGVMQKATQSGGVRPYGISLLIAGWDSHRGQSLWQIDPSGSY WAWKASAIGKNMVNAKTFLEKRYNDELSLEDAIHTALLTLKEGFEGQMTEQTIEIGVI TVPTPEQMVEKSGERLPPTFRKLSENEVRDYLSL L199_008030 MSAHRQTALSFASAVSNKRFKEVASYLSEEFIWRPHPERLGGLG RPHGHSKSQFLELMRGLNNIKKWNFNSENPMEIIENDKSIVVHLHGNPEHVSGKIFSA EYVYMMDFDEQGNLKVLDEFFDTVYMEELARLG L199_008031 MSFQHIEYLIPSLLATILALYPLPWHIRTRNIATLSMIFWMTAL NMVHNVNCIVWDDNSDIKSRVWGDISTIIIVGYNFALPTAHLLLAKQLESLTTLRPHS PLYDDKARRKHRMIDLSVTFVVPIVGVLVHLSNMDRRFYVVESFGPMPATYWNGWGVF WMAVVPILIALACAIYTALALINIIRRRKQMLSMIATGSSVNKEQFFRLMFLTVAELG TCCLRAIFNLMSFQRGPQPLGHFGPPVHNLRRIESIPLSLVSERGLLVLRLSYFTCVA CSYIFFLCFVTSAEVKRFYGLILHRIFPCIPEPTDRTIHLGSLDSGFSGSSTQKIQIH TSTSTYTTEHPSSTNMPLSPLSSSGSMSTAIGTVKDKEMSLEDMLGTPVMGPQGIYMP RKGSQASFASNPSDIHLPPMLVDKEKCQ L199_008032 MAISDIFPGESSTHKGANDIPAPRSDASVNAPPPEQHRRVADFV NEAPNARYTFDSRRNADAADAELCRITLQGGKSCIKLALASASLFKSMQSLGFYCALP AEPTRTHMECTRIPR L199_008033 MPLKPAARNVFNYNRAIPRMSWAPENLFNLWQRTSSESPIKRTH DFTRTNSTPYQLRFTAKRLLRGYHGDHIGYTKFSRWYMPEKLPAIHESSSGGSSEVNK WVEGRERSGGRTTDDKNQKRKEKNSKAPIGTMLFADVERRLDVLIFRSCFAQSVWEAR RYVVQGHVKLNGQIVRNPNVMLEPGDLFSVDPKIIHMLQPPSTSTSTPAQDEVSAESE SPEEVGATASSSGDADSSSPTEASAALQTPTTTSLPDQPLSSSSALSHFNLPSYAQPH IFVPAYILPSYLTCSAVYVRHPTARPGYSEIPSPYDAGGELMSLGWEYFKRSMPRMRK KTDKWPNPWGGYGKK L199_008034 MSFARTGLRTLRSLPSAQVYRSSNILNQRRLISDEARKLIDNAV HSNPLVVFMKGTPEAPQCGFSRAVCQILDVQGVPREKIVSYNCLEDQELRSAIKEYSE WPTIPQVYIKGEFVGGCDIVLGMHQSGELENLLIKEGLAPPLPEAEPAPKA L199_008035 MSFSLPYRYINAEQLAEIIKSKPASALKEFAVVDVRDSDFVGGN IVSALNYPSDTFHATVDELVQKLQNVPKVIFHCALSQARGPKAARIYAETRSHAHPEP AIQQEIFVLRDGFSGFQSKYRHDPELIEKFNKYYHD L199_008036 MSLGRTVKLNNGLVIPQVGYGTWQAAPGEVEKAVEEAIKVGYRH IDCALVYQNQDEVATGIKASGIPREELVLVSKLWNNSHRPEDVEADLDLTLKQLGTSY LDVYLIHWPVAFKPGKDLFPKDSNGVVELDAPAEKGGPSIVDTWKALIKINKETTKAK AVGVSNFTVDQLEKIINATGVVPAMNQIECHPSLIQPELFKYCKEKGIIITAYSPLGN NITGKPRVIDHPEIKKIAERLGKSPAQVLIAWITKQGFVVIPKSVTPERIKSNFEDFE LSDADFEEINKVGLANQVRSNIPYEYGTSWPVDIFSTPQEKGQPKAF L199_008037 MSGAPASSSSSRRRPSLPSDSSETIVSTLDKPARINSSALTKHG KYVLLGALGCWYVDLIGNIKGVLDEEGGWVRKVMIVGLTSHGATILLFLYLVLYLPWF RGYIPNYPKWQSSARLSLIVPLLTTAILLGWTSIVVSLSQAGKRSILESAVDAVKAVG NANLEQMEGGRGLGVMKSMAGTTALFTLTLGILGFIPAPTNVPVREKKT L199_008038 MAPPLVTIYVTSLTSAPKVRKHIDLLRRSLKGLEIPYEEYDLVM DEEAKKKWQRSKPPGMVVGLPGYLVGGEWVGTMEDFEDAVETQTLESFLKQDLDLSHP GDVTGGSADGGQGELPSQKSIQEVELEKLMREMTNEDLDKLMGELGVDESTTKIGLID SKSQDKISDLKASEKGLLDDLKDELSLDKNEDKVLKGVEEDDFTSKIESKEENINATK EEDKEINPPSDQGKGEGIKGMVEENDIVKELKKELLSDKKEDKDINDIINKEKVD L199_008039 MITTSTALTVAKYLFGASVAATTLAAGGLWFFQRHLIYPSYVPE GSRKLVPRPTELGMPYEDITLTTRDKVKIKAYVIPARRNPVVADQLRSLNHKQRMELG EKEMGKWLEEMGDEKAIEYAKSRPTVVFFHANAGNMGHRVPLARKFNVEHGCNVFMLS YRGYGLSEGRPSEHGIRIDIETAMDYIKSHPILGETKIVLYGQSLGGASCLYAGSRYR DLVSGIVLENTFLSLPSLIPLVLPQLPKFVLPILLTEHWDAHHTMPLIPSTTPVLMIA GKNDDLVKPPQMIALRHLRASAGGSLKWKELNGGHNDTCLQPEYWSIIGDWLKEEIGN NPSTGKIGISTSEKKEEEEESESSSNSDDYQKVTKEEVLEAKKEL L199_008040 MAPRNATASSSRAASSSISRPVKSNGNAGPSTIRTKKRAVQEVS EDEDDEDFGAAGSGIDMSDDEDAEEEEDEEDEEEFPEFDSEIEDDNEQDGDGDDETEE EEELDDEESGSESGYNSSDIEAMYGESDEDDDQEDYSPPTSPSSSHKELSTDEKLSKM IAKNSIKPDDSLGTDAKISRAKEGVGRLVPSKLVEGGYKREYDDYEAGYGSESSTEDN PNTVGNIPMEWYDDLPHIGYDVNGRKIFRPAQGDELDKFLSNTEDPAAWTSAEDKLLQ QSVQLTDKELDIIRRLERAENPDADYDPYQPTIEWFTGEGNERVMPLSAAPEPKRRFV PSKWEHKKIMKIVKAIREGRIIPNKPSAAKPTVYAIWSESDQSNLQHAMYMPAPQLPP PKTIESYNPPEEYLLTEEEKKEWEETDKEDRKIDFLPAKYDSLRLVPGYKNLVQERFE RCLDLYLAPRTRKVKLNIDPESLIPKLPAPKELKPFPISTSVVYRHPESSRVRSVSTS PDGLWVATGSEDGVVRVWDLGNGREVWKWDLKNGAIQHLSFSPYKDECLLTALVSGKI AVLSPLALVSPSVAANTLTHANTAFASSAATTKIGAGKDIKGVESIKWVRPNENERER GVLVYVEVPGTPKQVSWHRKGDYFATVASDASNKSVLIHQLSKHSTQSPFKKLPGQVQ KVIFHPSKPHFFVATQRYIRQYDLSSQTLVRTLQSGVKWISSLDLHPRGDNLIIGSYD KKLAWFDLDLSNKPYKTLRYHTKALRSVVYHPTLPLFASASDDGTIHIFHCTIYQDLM QNPLIVPLKILRGHKITDGIGVLDLSWVSGKPWLVSSGADGEVRLWCS L199_008041 MSSAKHKFCCGFWNCTRGFDRWSRLEKHFRDHINNNVRYRPPQR SSASTSTMPPSRRRRNKTISRRRNKKNRTSNDRDHIPAITSARNINPMTIDIRTPSLS PDAPSESGSEMVVDMVEAVQKAQTPPTTTPSKKGPRWAVAPRSPTPPSIEEQDEIIQQ DDIDTNGAGGTITEDEINHSENGDIDQAEETISSPITTPLTPHRLPQPQPLDEDGDEV IESPIARLGSAALRAHRSHSQDSAIIITPRPGYRNRTRSSPAEVEADGMSTIPTPNSL DPFIRDAMSSIGLRRGRSETPCPIPTSENPVQVQRYDLALSEESVSTPQALLPKTTNL PVIDSVNQAFSGNGHSSTPKHTLTPSSPSQSTVPNSPNVIVSTESFVEKLKQISNGPS DNELLTQLPFSSQSSSNTMRNGSPFADVVSTVKRVIHLFEDFKENERKNRAKMAELGI LIGTLGKLHGVDL L199_008042 MSFTTSFLPSLLHARPSPTATSILRSNLRSFHPPPAFRRFHQNQ PRVISLAKSHPAPKRISPLSLGIGAAVAFTSLSLTLPNRQVRCESVLTPPSSGRTSPL PDDPQPGSVLSVYELSFGAVCGICSGVFIKKGARAIAFLLGGVFILLQYLSSKSYIHV DWTKLGGRYDSAFGSKASTGGYKGPTVGGVYSKIVDFLTSNFQQRASFLAGLVLGLRL G L199_008043 MSYVEWSILRGEVPSSEAGPSRTQELASQLVEADFKAILSSSEA NPILSEQTLLEGLNISSPSTSQTEDSPLIRLIVSIALLHSFVQANWTGPNLTFTPLDI LPSTTFTNEDLNAAALPLLTLQGEPAYHLSYQPVLFLLARRLLSSLPSTSLPTLPLWF LRLHLVHLSLLDEPVPLPESDLLALQSLLEDPTVSSDQDLKATIELEIGLYHHALGQD KQANQSFLCAAKASGLEFELSGALGKRTKYQVNALSQLVLLAESRKREGDEENVQDVD GGNHTDDGVKKDTNLPESIALNDDTLLEETEFTKITSSSNDKSTSKLSHLDPSNQPAL HPLDQALLLSLCLSQHNNSPSSGLTANQMMPFLARVISHPRNWSIHTTGLLLRSRLEA SRSRTVERSTLQLLALIEQMPTSDSSTKERLRYFHQLPLPSKWEMERELAKRYLSIGV VRSALEIFDRLEMYEDSVSCLQRLDKEEEAIKLVEDLLKGTKVESDVVTSLKKENLSE KRKNNLTEERKAKLYCLLGDLALSSEESIKDPTKAKQVAIEYYEKAWQVSENTSSRSM RSLGTIYAGSNDYEKAIECFKDSLQINPLYARAWFTLGVCYVKLERWTEAKDAFRRQV GVEEDDAEGWNNLAAVYLRLGEEGLKDGENPAPVSYENKHLAWRALRQGLRFAYANWR MWQNYMIVSIDVGELSEAARAMTRVVEELSNKDPVLAVDPDVLDKLVDSVTRDDYSLL KEGKVVPKTSNEGFGLLPIVERLFDVTILPRISDSPRIWKTHARLERWKENWQNALED YLKAYRCSIVSDESVERDLEKWKEAINEIEILVATMSQLGPKAKNQQEEAGETKKKGD WKFQARGIVRTFMGRTRDAFEDQPDWQRLQDLLDDLKRSD L199_008044 MVSTTRRSPANDIDIDDTLSTTSTDSSNSIIATPSSDSLSQSTL RQRHPHTPSSLDQPSESESSKTPASPKISKAPRMFSSRKYTPLPTSSSHGSTAHRKRA GGSMASWKRYALIGTAVLVLLALGYSQFGSRDKSIVWDDENTYTPALDDDIVSGDGVD YSSPPFRPLDSDIAKPQSEHQDNDDDDAEEPTFHALPIGHTKPPASDDELDEDVAEAI DKPSSSPHDPNTSEAQGASHASEDFTEITDSDADDESTGFPASFEDDPNPSGTTACTK PHSDEKPLVQYALTIDAGSTGSRIHVYKFNNCGPSPQLEYETFKMLNPGLSAYARDPT AAAASLDPLLEEAHRVVPKELWKCSPVEVKATAGLRLLGTQESNAILDEVRNRLETNF EFVVNGEKSVEIMDGKDEGVYAWITANYLLGKIGEGVSPDEDTLAVMDLGGASTQIVF EPKFPADTNQALVEGEHKYQLTFGGKDFTLYQHSYLGYGLMRARRSVHNLVAFTWSFG QGQVHWDELDEGTQVPNPCLSKGMSRRVELDPPGRQPVNVTMHGANGGFEACNRVVEL VMAKDAICEVKPCSFNGVYQPSLLDTFPRGQLLALSYFTDRIKPLLSSSLLTISDLTT LAKDVCAGPETWKSRFSNNPTAMAELEDRPEYCLDLTFMNALLGLGYELSPERQLMVE KKLKGVELGWALGAGLALVENAKLTCTA L199_008045 MDGTSIASRLSQLPNGLGSPGFPPNSHDGQHQHHNPLGTPGVND PRTSMIGNPSAYLQNLSNSSFSPSYSQFLSSSLTQSLDGLDPDIMKRPFDTSTLPVNP MNGMQPQIDSSSSNFDSFPPKKARYDASTQNNAAVATPGGPTNLGGNKHFTPGFNGGS NNGFTGTPLNLGGPLNQTPQQTPGIGQPGSATGNGLQSTPFMMNGLNGMMGMGGFGMG GFPLNMQNYAGSPIVSPSMNPNTMTGNYGPAAAAAAAAAAGNTTGRTVYVGNLPSEAS VDELLNLVRFGPIETVRLLPEKSCVFISFLDGSTAAAFHADASVKKLALHGQELKIGW GKPSVVHPNVQAAVANSQATRNVFVGNLDPEMNEQQLRNELSRFGPIDQVKIVRDKNI GFIHFLSIGTAIKVVNQLPGEPGWEGKRVNYGKDRCAYVPKAQQDAVRQAQTQAMTAV ASQHAQMPGTPFSSFSPMPAGFGGFGTPASAGFGSPLFGNGFPSAGNSGFMDSNGANQ VGNRTVYLGNIHPDTTVEELCNNIRGGMLQQIRYLTEKHIAFITFVDPAAAMQFFQHA HSVGLLINSRRLKVGWGKASGPLPASLLQAIQSGASRNVYIGQIADFTLFSEEKLRQD FGEFGEIDMINFLQEKGAGFVNFTSIQSAQKAIEGIKLKPEYATLRISYGKDRCANPP RASHQTPGGRPNNHRVDSNQTPKEPLPSTNDVKPANEELLGDADYPIEDDGYLGEELT DVPTSYE L199_008046 MAVGKNKRLSKGKKGIKKKVVDPFSRKEWYDIKAPSFFENRNAG KTLVNRTQGLKNANDSLKGRIVELSLADLNNDQEQGFRKIKLKVEDVSGKNCLTSFAG MDFTTDKIRSVVRKWQSLIEAHVDVKTTDGYLLRLFAIGFTKRQFNQVKKTTYAQSSQ IREIRAKMVEIMKREAEGSDLKELVQKFVPESIGREIEKAAKGIYPLHNVYVRKCKII KAPKIDASKLLEQHGEATDVNTGAKVVKSGEFVEPEVLESV L199_008047 MSANPPDHDVPHPHPHAQTIMVPDVQQFMQNDLSNRRKTFKGRS IDFKFDTILPPEAQDAYDNIPKVLNLSGIIALRSSYVQEGRSRVDLMGGDETLRMSAG VKWTLHMRTNKTYFNPYLFYLADNVDDEIKSWEDQLDERRERERERERERERERRARG NENTSGEFLSDGLTGGH L199_008048 MSNPHYDPSTELAARMGGIGIGGDENYPSPQQPNSHGQSPVNTI HSYANIQTPTSRVTPSQPYSPYGDQQGYPYYPGTATTGGLGVGVGLDATYAATAGLAG NPNATYGFVPPTPDLMSLPTPQVPSAGYEGSFPAPNEIYGNQQDHRQGLTATQQNPHS TSPLIPRATRQNARPPPGQTAYSTLQQHANAAAVAAGYYGYQDPRSYWIGQNPTMFMQ QGNNRKKDHQNNSYATRNQTPYSVRTTQRDANNSHFGYNDGITPSRGGFTSHSGSSPY SLGQLGSNNAYQLSQGQGFGSLTGYSHHGHQGAANFVLRSKRLEDPSIVRSALLEDFR LNKIRKWELKDIFGHIAEFSGDQHGSRFIQQKLETATLEDRQRLFDEIMPNAYQLMTD VFGNYVTQKLFEHGDQKQKAALAKKMEGHVLALSMQMYGCRVVQKALEHVLVDQRKTL VSELEGHVLECVRSSNANHVIQRLIILGPPQTVPDAFIGHIEELAKHPYGCRVIQKMF ENLDEDMKRTLLDEMHEHTLDLMEDQFGNYVVQSVITVGAPADRDKVIEWIKGKVLSL ARHKFASNVVEKAILNASKDDQKLLIDELVGVKEDGSNQVGMLLRDAFGNFPLQTALV AASLEQRQELLDLINPILPQIRNTPVGKRLENRISDLNHEVTDPKSHSADISTSTSAN TSDTETTPGGLTMSRSTSEETGPSSPPEIRHGLRAKSTTPKIGHGNGNDDGNGVGNFK KEAKTLEDLLQ L199_008049 MSWGGFKKAASRAGTTLMQKTGQVERTIDREFAEEEGRYKTMEK ETNNLQKEAKVYLDSIRAMASAQSRIAETISLFYSHDRSSDGAMAGHAYKAAVDEMDG VVGRELDAPYRATVLEPIGKLNSYYPTINAAITKRDHKMMDYDAARAKVKKLVEKPSD DTTKLPRAQAEHDEAKEVFDILNEQLITELPVLVDLRIPYLDPSFEAMIRCQLRFAEE GYEKLSGVQRYFADNIRDDYANGALDVQVEGVLEEMRELSIFGP L199_008050 MSRSSYDRYLTVFSPEGRLYQVEYAFKAISGAGITAIAVRGKDT AVVITQRKVPDKLLDPETITHLFQITPTIGCVMTGLIADARAQVQRTRQEAASFRYKF GYEISPEALAKRMANINQVYTQRAGMRPLGISMILIGPDDERGPQVFKLDPAGYFTGY KATASGQKQTEATNYLEKKWKTMETDKTVLDRAGVIELAIECLSSVVQTDFKATEIEI GISSTSPEETNIEGQNGRFRQMDEEERGEWLVRVGEKD L199_008051 MSSIRTSSRRLFDTLRCPTCSLRPIPTPRLSFPSPSSRSDSRSI STSPIRFDTNFKPPPKPRTTGEISGLESRRLNYEQARQALELSRKEIYKERAKKYKSA VIYSMGVIVISLGVTYAAVPLYRAFCSATGFGGTPMTDPTRFTPDRLYATPETQGRKR ITVRFEATSADTLPWKFEPVTRSVKVLPGETALAFYTAKNWGKDDLIGIATYNMTPEK IAPYFAKVECFCFEEQKIRAGEEVDLPVFFFIDRDIMDDPALDGIDDVVLSYTFFKAR RNARGHLVPDATEEEIQKSQGFENYELAKKEHKLYPPPSPLTTTNPA L199_008052 MGRKKIEIRPLTDERNRNVTFLKRKAGLMKKAWELSVLCGADVN ILIFNAAGKAYEFSSKELDEEIDRYMDYEGMIERRRAPEFAAMALAGEDDEDEDEDEA PRRGSTSKSTANNTAGANGAVPRSLKGKESFKARTPRYSDKGKSSSSRSSKHNKSKRR KERSESEKRSFIDSILSQSESDSQDDDDDQDERESGDTRRKRKEKEKERSRDRRERQR TGGYVRDERYIQSDKNAAALQYAMSMHSAHPHGHGHGHSHGQHDSRFYQQHRYHDEPG SNAQLPVDIPQLPKLPSDGTSYRTSMTSGLGSGSGGQSSSIFYGHSQPSNSTQYISNS LSHQYLPSSIEPSVPSQGQYSNKSTSSQGMVSVPGPGGIQWNENLLAKYAEFQLQQNH QKQQRLLLERQRFQLQQMGVPVDERSLLDEIFGGNLSQAQAQGQGESQAYGSTAAGGG MILPIEESTPTGTGIGTGGSADFVWPLANNRSTTTNHPEDQFMPHDQSGSASRNSIPI PDESVQWGVQDGYTVDREDLNLPSPVSNGPGPGPGAEGKRKAMMFRDNEEVKRMRI L199_008053 MELINADVSASVPTTILAAATHAFLPRDTSSTYSSSYRLNRAPI AKPSTRLEQLAVSTTRAPAYTGDFGPQDGLDKSQDNKDLAMIDRSKGDERLDPDIHTP KKDFQIPTLSSLVSTQTLSGKRKRSITHIEGIPISILSQSTSPPSPSITSRKIISSRK IKQLDKCFSVLSLKNNLPLPAARKTVGSRSESDSDYEEEDEEEVVSSISTTHSNSARS SGSFVSTSTSSAGKDGRSDYEFGYAVGYDRIEQKEESSNISIKAKRVNSPSDSVSDHG FDPDSDSSSDDEDDVVFLLSP L199_008054 MAKSIRAKNKMASRARKRLQTHYAVSDAARTQRLSDKLLGKDKK DGEEEMNVEGEGEREGEENKEGDEEMKEEPKKVSTSGRRGSRREQWRLSKGMSAKPKK SRMGCKPTRRR L199_008055 MYRAKICSGAGFPISIYTLSEDIARSFRDALEWQNSDVEIRPSE DIPPEEKKELKTGWAEIDTTTTNGDKSPAYLCKGGLSEEQLNMLRSIALLDIHDPSET HAYFPAADM L199_008056 MTDLQGLEPSEEDERKDHQYIAELTGHYPVWNSAVPWTAYRSQG GPFGWETEEQRRHPDIKEYYINADIRQSPSTYPWTSQQLDFTGDL L199_008057 MQTVNGTSTSSQAHDGTLEGDADQKKKFAVFTATGDQGRSVCKY LLEDGGWEVVGITRNANSDSAKDLDKMGVKVVQGDMNDPSSYSECLEGAYGAFVNADF WAPYFASGCNASIGQETEIKQAKGAIDQCIKAGLKHVVYSTLEDLGEDGIPHLDSKVQ VSKYIRTTSLPTTHLITSYYFSNANKFGQITKDKHGSLVLATPVPDDCYLPGFAVEQT GAWVLKALKDPERFIGQEIYACSDILTVSEMASILSDVAEVKFNTLGITKEEFYSEQF KAQNDKELWLNMDLFYRKLLKRDVAKSKELVPEQWTFRDWAEQNHEFKRIAGI L199_008058 MSNQDKTIVIFTATGVQGGSVVDSLLEAGYKVVGLTRNVDGSGA KALKAKGAEVASADLADVNSYKETLKGAYGVFINADFWNIYAAQNYNVEATAKEETRQ AVEAMRAAKEAGVKHVVYSSLDDNTQCPHWQSKADASKWAYANGVPLTNLIITAYFEN ISSFKLVQGPKEDGSYTFVLPMLDDTKHYGFSAVQAGLWVKEAFNNPDKWIGKDLYAV SGEETISEIAQILSEISGKKVDTLHLTTEAFNSEGMKKQLGEEMWKNWDLFVNKRITR DVKASMALAPGAWDFKTWAKNDKTIREILQF L199_008059 MTVIKSHPLPQHPEQSPFLTQEYQDVMEKLKEKAEGDQPVKWQE QWQWEMSNVHLFLIQMIERAYLYAPYAIETNDLSNFLGYAEISFFQVYSHHSGEEEYI FPTFAKYSKNDIWSRNVSEHHTFDQALDATWLYIRSCQEKLPVANGNSTRVKSPVPSP PTDLIKSIDLKSFPNLDFNEPFDVAGFRKHIESFIVPLVEHLGSEIDTLTPELMDNVG PEGDKDVRKWLDSHLKAYDPAWFLCSAFASVPISLCKQMIQLPFLVRRILVPFLLAPK YRGYWLYAPYPENLTFKGTA L199_008060 MFVSIPPASYPMSTKPIIAVAFYSTYGHIDALATEVIKGVESTG AIVKPYVIKETLPQEVLTKMYANTSLQAKYPAITPEDLKEVDGLILGAPTRYGRLPSQ VDNFFDQTGQLWATGALVGKFVTMFTSVAGQHSGHESTYTTSFPFFAHLAYVPIAYSN PAVGAVDSVQGGSPYGASTVAGADGSLKPTANDLAVAEYQGKYFATFVGTFVKGKQAA TSAPATTTTTDAAKSVPESTSNGDAVEKVTNKPTDKATSTSAPAQASAEKTASAPAAT PAAKTQEQKPKKKGFFSCCGDSGIDK L199_008061 MIFQPSSVGIATAAFNLGGVSRKSTRPIRSTQPIIAVVFYSTYG HIGALAEKVIEGAKSTGAIVKPYFIEETLPKEVLEKMYAGGSLNPKYPLATPEALKEA DGIIIGAPTRYGRVPAQVSALFDRTGGLWATGGLVGKFVSMFTSTAGQHSGHETTITT TFPFFAHHGLVYVPIGYSNPLVGEIGSVQGGSPYGASTVAAADGHLQPTENDLAVAEH QGKYFSNFVATFVKGKTVA L199_008062 MNLRSLLSIPLTISTILTNRLSSIVSVPNSLYSAVGVRTATTMV LDPTKLNVLHAPLQPHTKEGDSTHPTGFQRDGYCWGNEQDPGNHFIGGVVTKEFLEYS KAQGNDLITRQPGFPGLKDGCRWCLCVNRWKEAVMASENLGERVVPRVDLSSTALNAL KKVSIEDLKKYEYKP L199_008063 MGKNTDPTMGGLDALVAAASSVAGQGKKRAGNRNVSMDMIDPAL QNETATDVADAMSVFLSNPAVVQLIAEYNAKKQHRQVSLYAQLLSGSNIPDTPAQQTR SGRISRPPQQQPSSSSSTALQNDQQNQLQAIKDALENVSSINDPNQSASSISDSANYD ALFQAVNNNNNTNDTTGNSSRFWRNDGLLGGLPNWTGLEANTLAQAVASTDPSSSSSF GQSQRSLGHGLPNGKPISANSNRKRSASVLEGNGTGDESFESTGAGNGKRYKGDDLSK LDGENGEHLPEWPLPPTGKGGRKNMPREELLARRRARNRVAAQESRKKKKEFFGNITD KLKDRDQAFENLQAHCRRLEQEVEALKKVILGAGLELPNDIPTPIDMPNTSFPITEDT PMTIDSVSPGEEVGVDLPFHDLFTIDDNDNDADDLDFIPPSSPRKDGDDGSDSEGEFS DEDDDVNSPFANTGTKTKSSGKKGKRKSSKDQSAETPISTIAEDVEEDLFLPIEDVPI PPRDEEDQEKVMKQAMNELHVDTPQQLMGVIKKMVETAGYGGVTEEQVAMLSKLLALG QAQGMSIW L199_008064 MPPRRGSRKSNASGANDTLASGSQEVLPHDVGIQIFDALASWTS GGEDTIKQLIDDQLRQASSSSTTLDSIPLSNTFVGLFLSELEAGVLADFITKVFEELD ENQVEVLGEALVDVVEMLEQEREDREEERKPKEDTEMAEAEEKPKISSGLPVVKLLLE NHKLPTHIANLLLNPDRLVDLGLHPFPRQPRALQSALVKKNTTLFFKQRKYNLMRECS EGFSGLIVLLTGPDALPYSVEETLAESEVDRKARAKRVWSKIMGLIGYFNLSPPRVLD IILEIASCHVAVHWRFFLDLLKRSPWGNAAIGVNAKGKGKAVASWPENELDSIGDALN AGGDRVLAQVLGFKFGFYKKAEGGDTPMGLTYMAALLIKHGFVSLADLLPFLSPDDTQ MEEIRKKWHSSVSSRSGPSNALSNSVLLDDDAPAASTSKEAESGGPPPKPPPEQRIHL AQALLAIGDLPSALYFLARFPWIAQSHTAIADLILRTVSYALKDLYRSYTSPTVDNEG EDLDMTVNAPNAPPNTKELVPTLHSPPPPETSLKRFEFFYHDWREQMEVWTTVDDIHS KGLRWLSLIRGLGGRDASIMVKICRIGVAYFAALKKEKEASLDLVDSVDRSVALEEMN PWLDIIRISLLPSLSCSDATAAFDIELWSLLSFLPYTVRYNLYGEWRDSTCSFKGKSP CLVAAHAAGECTKEVQKALRRVTSSSTSGSASAATQAERHSARSLAKLSHGNPLFLWT TAVTQVKAYPNIGQAIVDAGRYMTQLSFDVATFVMLDTLSDDRAQRLNETGTGVALWL ERLSKFVGDFNRRYTNMDLGPVLQYIINRLMRGQSGDLIILEKLMSTMSGIEPVPNDG VSDTQLQAYGGGKEMVREAFNATRIQIAPPPEPGTDKPKEAPVDKLKNIKKSLPRLVN ALRDTRLAIPIWIALAQTRQAVVDKLANTPIKAMNLVQDTCHTAFMQFGDFLVEQLSS EEQLSGTPNLQELVNDFGLEYGIAFQILRPRLNAELERAKAERDADVKKRLEAERKAG IAVEKLNSPAINANALPASPMSATPQVDGEDVVMDEVKVEHVNGDAVPTTKAGTKPKP WWPAALTLTMDQAESLLPAGVKDELSAQFFVIFWHLTVQDIAYSAESYDEAIKSINRN ISVVSGWRVMVKDKVAVAEQQAELTRLKNRVAVLQKEKEAHGKEVNAVVKRRLKAESS KWFGDSKGGKVNPVQLAGKLHQYCFYPRAILSPCDAVFVAKFIRMAHDLGTPGFSTLF AYNNFFNDNLAGCIFSCTDSEARNLGRCLAAILTDLDAWHRDEKKYRTEALGLPETSK EGSEPESLPGMLFRRKSTDPLKPMRWEDFRSYYYKCHTVLTRALTSCWAEAEFMHNKN AIIVALQIIKFFPVLDTHGKSVEDAVKKLQSGANGEITNDLKMMCLSFLSGLKKRQEI RPYIPLAKFNPNNQRLAQAAQAKNVPTGPRGTDSPATNGGSTPQAATTGGNTPVPTAP IVTDREKIRQKLEESRSKSSASAIPPAVKDEIKHRGTPEPATSSPRASTPVASRAASP VRPASPPAAPRHTRASAAPTGPASTTRSVSDGRNGPTPTGPAARIASGASSTATMGPP SDLSVDEARAAARAKKFGIKRPAPPPSSSSPAPTPAPAVPSAPQQSVEPAKPKSPTPS SSRRASPAPASRTHRSGSVESKASVGSRRSSRRDRDDRDKDRDRERERDRDRDRDRDR RRRDDRDRERDKEKPREVATAVTDEDKDRKKQEDLLQARHDRLADDRRESRRGSRDTI HRREDDKKSSSSTRETKERSAKDRDDRGEKRKRDEEPRRIDEPPNARRDGARERERER ERDRRHDDRERDRERRERDHTRDDRERDRDRRGEPRSRDTRPPPREPSNRRSPPPSVP SAPTIGNGNTAASGDRTPKRDKAAPRELLPPPPVPKTETQADRIVEAPRQTRSSVPPS STTTTTTTTTTNRLAHALPPRPGPGTSSSAPTSSGRAPSLAQRMGPTPTQSDRERRVS PSRPRSNENSHAQAHTRDEGYSDSRKRALEDSSTQTREESPGASKRVKIDRERARGGS SGGGRREGGPGGPGGRLFESAMGGARDK L199_008065 MSGERQDQASTFTPIHPFEMDAPKTWGGTIGMMNWDNDKIVFSK RSLLEFLKSAGVTVNQNFSEIQKLPKYATFGRFSKAATDAAVADLVEAEIGDPTTEAS SLPSNRTTVPRPSRKVRDPPGGKQSIQLFGEEYEEEDALSLAPPRDGGDGVDVEVERL EKLRVHAEPVRDGGAHIEEEENRTERVSNPAHGFRPTRKVREGPGGASSMGAALFGGY EDETEADRASSRASQGGKKQAAQGNSGNLW L199_008066 MSEKSLTSSSLPLPAQSPYNGRRKSNYPKILLGVLFVLATLVNF GPSISSLNPLRNDLKFVDDENWIDRYDQGDIEKWSKCPQQPKALFPNSTWELSDEEKK KVVDTFSKAVQIPTESFDDNGEPNEDPRWKPFFDFQAWLEDTFPLAHTTAKIEYINTL GILATFEGSDPSLKPLLLMSHYDVVPAPSDTFDRWTHPPFSGYNDGTYIWGRGAGDDK PLLVAQWEAISNLLENGFKPRRTIIFSHGNDEEEVFARRGQGHIAPFLEERYGKDGLL MVIDEGSGLIDDFYGAPFAIPGMGEKGYMDIVISVGTAGGHSSVPPTHSGIGIMSQVV SALEDNPFPNKLTPASPLLTSLECALAHSPSVPSHYSKLLKSEGPKSYPKLAKVLAKE NLKSKAMVGTTTAVDVINGGVKVNALPELVTTLINFRIDFSESINSTQAHVNRLVSHI AKKNGLDYHGFESKKREELGGKYISVELLGLPLEPAPRTPAEGGVWELFAGTVKAVLP GSNGEERIVTPYASTGNTDCKMYYNLTKNVYRFMGSSVSAGSNAHTVDEKFAIEGYFQ IIKWVHAIIQNSDSYDGEE L199_008067 MEAIRQNPAELFRFVNIGVGSFAVAGGIGSLINHSFSSIIIGIY EVIIGALIIFLEIRTPTEEHKALVHKYASFMHSFIGRGVFYLLLGVLMLNYYTILYVC GTVVGFVGLAYIALNFVQMFEAPSTMQPPNTDPESQPVWQGPTE L199_008068 MSRHRFVRNMDLDDEMNEEEEEGYSQEEQAQMASAMPIARNALK DIKPPISDDAIADSLWHYWFDVEKAVHWLRQDHEKKGEAPHPSLRPTPKEQPRRRPRN LCSSIPSSSSSSDSPQPPLTALQRLSLSRKQATSSSPSPSPAPTAPAVPSIENGAKPM SRLALLAQKRKEAAAAAAAAGQSAENLLRTPTKSPSSSTPASGTQSPNSDSASKPLSK LAQKMAAARAAREESAAKAAPTQLSEDTMAIDEPNSTSTVQDDPDIFSALTIPQTAKS HLSSSSPSTFFSILTSASSADQSKTDMEITNLHVPLATDISALTKRFEEAFAESPDEV VLRKRQGRAGTADLNTTVKKQAKGTGSKPTSK L199_008069 MLTNNQFSAAKAAKAASITQKSASLPGKPRTQTGTTPKSKLQPK TQPNSPVNSTSKAGSSGSNTPTNKGSGSKSPLTVAQQDLAGLHLDQEVDLEAEKEKYK ERAALSMKTEELIAKVRKEEEESGKKNISLIVVGHVDAGKSTLMGRLLYDIGELSEKE KTANERGSKKIGKGSFAFAWGLDALGDERDRGVTIDIATTHFTTPHRNYTLLDAPGHR DFIPAMISGAAQADVALMVVDGSPGEFEAGFERGGQTREHAWLVRSLGVKEIIVGINK MDLVSWSQDRYEEIVEALKPFLLSAGFASAKTTFMPLAAMEGINILENDVEELKEWYD GPTLINALDKVEVPPRPYESPLRIPVSNVFKGQTAIASGVAVSGRLCSGVVQVGDRLR AVPGDEVATVRTIEVDEDSAPYAVSGQNVTLYLSGIDSINLSIGTILCPTSLPVPLVS KFTTQILVFDLQSPIIIGTSVELFHHSVNLPATISRLVNVLEKGQVTKKNPRVLQKGM TATIEITLRSTSNNNARIPIETSQQNKEMGRVLIRRNGETIAAGVVTELLG L199_008070 MALDKMVNLTAVPQDPDATTSTVFSAELSTINSQLINHGWTKRP LDLSALSAKDHNEVVSVLFELLGSSVSNVNNLEAMATRHRTLQYEYERIQKSNNNFKI TNVKLQQETNGWKVRCAEVEKRLTLEEAKAKELREEVQRGRKALEGVRVAAQHDSKKV QMKLDKALSQLSKQSESQSQQRSSGLVLLNPIPAGRIQPVASTVSPLLEQTLKDITDI RESLQEETEAFRTVVVSTGNALREAIAASQGKEPPARLMQSQFFTLNTSQLRQSHLSQ TSASTFQSSSSTSHPSIANTRLQSLISEIRTKLLDNAPPPPPVMIDGVYAPGPEEVEE QKRIERERERIRGNLEDRIKDLEVELVCAKRQEEESRRVVDEMARKELEAATAKGDME GILAKQLDLMEIERKAMKDGKERLEKERKQLEIDKQTFIEERRRSEMDAVLSMLPPSI PSSTNQSPANAEEEREEDVQIAIPSSPGPSTYHAHIPSSPSPLSPLVHGKIRTPKPKN HHTVTNRRKSLKTKTPLSRLVLEKAVRQKQASSATDDIGSSVLGVERGRKTNLVGSSS QIGRTMSPSRKGKERSTSSQGVGQGRSLGLGNPPKILSGGNKARSSSGSSTSTSSTSS NKGASSAFKRSSGPIGGGAGALRSSTGSTAPARKVNLNKVGGIGPMAAKKAKGVWR L199_008071 MQTEPHHTNGGASPLPPPSPTLTTSFRHQPGPNTADPPSSSSIR HRTPYTHSDNNDEAGPSGTVRISIQTPFGDLGGTRSRRKEGWPLSLTKTISSIKDDLV HGRLEGAGTWEKEGMRVVYHGRIVRDNETLQDIIGKIDPEHVYVLHLVARRIPVTPLP TSGIMHQNLSEFPLPPQQTINHVNSAIPSTPFLSPSLPSATSTNSLALGDTIHYLLFT SRHHLLNLLGMAPLKWDEMIPRPTMTQEKASEAIMSVIRVFATSRESREEGWENWQIA FEGDTEDDMKNVWEQRKRDGVERDIRALWATATGRKMSEGGDKVQVEVDGTIYTLQLP ALSQLTASQLIHLFIYLRITTLLPLIEPIYHQSLIPPPTPTRTQIQHTPTLPGRTQDS GQGQRPNRRVIYRRTFNIRIPYIPLSVLPHLFWSALKMTAMVWMMTRGMKWNDNRFWV IVGLASGWWIIDALSNIGRVTREIRAGNRERQAQAGNENQNQNQQQQNQAQPQAQEQN VPGPAVNVQDQNPPANVNLNVNGANRQPNLARISRSTVTSWIARFHLSTDSRQLRLPP SNLNGDGQIPLLPEPRSRPSRIQTQLILPILLWFITLVPEWESLRGRAIRRRERGMRV VVGENQRQAQAQAAQQDQPEGEGVDEEGRRRRDILANLPTGLSVPARKYYLRVVDRGE GIDWEEEREAQRALGVGEEDGNGNGNGGGDDEGMRMRML L199_008072 MARDWGRAWVIGTSLLISFISFSSQIFVVWPWYGRVISVDLLKL LVPFNFCVFMVFWNYRLCVITPPGGVPTGWRPNLSSMEGQEVKKGNHAPRYCKTCEHY KPPRAHHCRQCKTCILKLDHHCPWIANCVGYFNQGHFIRFLLWVDIATSYHLIMMVKR VFSMSYSYHEEPTLSDILFLVFNFASCAPVWLCVGMFSMYHLYLACGNSTTIEGWEKD KVATLVRRGKIKEIKYPYSIGLVKNVKSVLGPNPFLWLWPQPMRGDGLSFPVNPDAGD SNVQFSWPPQDPTRLPNPRPIPNGTSPFIYGNEGFNPNLRPSNSQIRSRSGYSRIPPN HSHVEEGYSSTEEREYGSISSGSNSRSSSPEIYLSDYDDHNEGPLPPGKRLSRVRRGS EGWEVRPGTAGPAGSWADLEYGGDTELREQRRPWEDEGRYNYYVPGE L199_008073 MRTRPPSHHRRPSQEALTLRRLSTISEDTLTPINEPFQFDTADV MVISSDGLGFKVHTSKLGRCSPSLPTPPSSPTSPCTSKLDLPEIHFVDPQLENGITLS LFLHLTYSLPLPIPTLPVYFKAYETLVDFLVKWECAFLYPILGSAVKGWVADGLISSS KGLRMGDVLRNDRLMIESIKRGGEYTWTGKVIEHPSKKKDKVRPTSDDQTGQSSSDHY SNSSCSSSKVDQPRFDILRDGLPGEASLVLTAVPYEYFVALSDDVKFSLLRASRVGGK DRQDVDWEKVAVEFQRVLGELRKL L199_008074 MRYAVLVTGPAGAGKSTFCASLITHAQTVGRQVHLVNLDPAADK FEYEPTIDIRDLINLEDVMEELEFGPNGGLIYCFEYLLNNLDWLEDELGSYEDDYLII DCPGQIELYTHIPLLPKLAGYLQTNLNFRTSAVYLIDSQFMQDKSKFFAGVMSAMSCM LALGISMVCLMSKMDLVKDKKGRTKREVGRYLDPDPSLLLDDPGSSTNPKFNDLNRAV VGLIEDQNIVSFLPLDVTSEDSVNTVLSHIDNMMQYGEDEEPKVPKDMDEGDFGDD L199_008075 MDPNDQQQPSQTPDDDRSGYHPLPNLAEDHITFVDLRREQDLPG IWEKLDDILTEHNNNYSHSTPQSVTNTTPFNLRRANAQIQKLTRVVELQATIIADMNQ AMRQIQNSLQMYQGGSMSSRQGLSWDNTNALVDSKVRAILADYKMHSNMEDKKRKRKR KEKEVVVLKDPKDPNSSRSTPHIVGASSGSPIKTAKKGGSAPLRAKKAGSPCPIELVH RFGEDQGLDH L199_008077 MSSSDDAHQESYYYAYDPQGRPYPVSTNSGRVQPSHMPGTASGT SYNPSWDPRARQLGADQTHFLGLADTQQPQIQSATPYQQSATPASSGQYPWVYTPGHP DLTAEMGSITPAASTSTARAHFTGQASSSTPSSYPVGDLPVSNLERFGHNATSYRADI ETNQLANIDDYANANMNPCRSSRHGTEINRPFRPPKNMRSSFLGSHGRYWLEADCTRS ETCLEYLVNNRIIWKNEADTIRTKTREHRDSNN L199_008078 MKGVPEANHAEKLKGFKWKAEEETSRGYVLCVFTNVLSPKFGGA EQNGSTAQEVHASGSTARKEAGSCKLH L199_008079 MISSGRTLLYASCAILYASYAHAHAHHNVTEIDESVPIDGIIYL HGGLQTFLWGISFPIGMVLGLSKSKYHVPLQSINTVLCFVGMYFGHHHGGRQYPETVH GLMAKIITWVLVTQVGLGIFLKLHILEKTVRPWIVPFHSFIGKVFPILGWTQMLFGVV TALGYCRGGHLGQCAAHYIMGSAFIGYAAIMVIMLQVGHKWLERTGRSQEMLDSSVIM VWGIINTFTEHHGGPWTHKDMQHTMMGVLWWAGGMLGIWLSRNGKRSFVPAVIIIMTG WGMSAHEQALMISSKIHGLFGYALIAAGTLRLIEVCFVLNDKPTPPGTVRIFQHLPPY LLTLGGTLFMSATDEELRNADGMGIDHVSYALFDFSLSFLLYLIITFLVTLYSTSGKN AELNKELDQSNAEERGYSKLEQNGHVAASGDDDDDGPEAYELAERESDSDEGRKVRGG DEIDWMHNGHDEPGRSGGVRL L199_008080 MQVTSLLRYSGVCPFLGHSTATSLRSMASTNVSSNVSSLTAKAM TCPMMGPKLASISQARTYASVAGNKEVEEIHKQKNVQFNSAEADKCPHAQAAREAAAN VKETARTAGRFDYQQFYDAELQKKHKDKSYRYFNNINRLAAKFTIAHTSNVKDEVNVW CANDYLGMSKNPVVLGTMKRTLDRYGAGAGGTRNIAGNGALHLSLEDELASLHRKQAA LVFSSCYVANDACLATIGAKLPGCVIFSDSMNHASMIQGIRHSGAKKVIFKHNDMADL EAKLQAVPKETPKIIAFESVYSMCGSVAPIEAICDLADKYGAITFLDEVHAVGMYGPN GAGVAEHLDYDAHLATRLSSDPVKGSVQDRIDIITGTLGKAYGVVGGYIAGSADLVDV VRSYAPGFIFTTSLPPAVVAGAQASIAYQREYKGDRRLQQLNTREVKRQLNGLDIPVV PNPSHIIPVLVGDAALAKEASDMLLSNHKIYVQSINYPTVAVGEERLRITPTPGHSTE QITHLVESLDNVFNKLELKRISDWKAIGGRAGVGAPNTVPVENVWSDRQLGLDDGSAP TRLANGAKGVVRDEAVEVAQKRLTHLLGADAGPALASIPYL L199_008081 MRADPAYISIGSNRSSSCSASTSNGLLAFGAGKLLALWDTESTS NHGVQETLPGHKGQITTVKLITSPSGENKFISGDTNGEIRIWSTTDENEWKCEKNWIA HENSSISAIGVSPDAWIMTGGSDSKIKIFDGEYSEKQVIKLEKGRLPLDLELSYLPGS KAPILAVGATDRLIRIYTLSPNNDFILSLTLEGHEDWVRCLSFTPYPSLDQTKEDLFL ASGSQDNFIRLWRVSTIPEQQAIQEEEKESGKGEEEGLDLLDEFERRLNGEGSGQIST KAHILAVQDGSRSLRYNITLEALLVGHESGLTTVHWSPPSSHSSPLLLSTASDNSLII WVPSTDGIWVPEHRFGAIGGRGLSFYGAIWGKDGKSVLASGWNGGWERWVKTASSEDE EGGWEVKSGINGHHGDVQSLSWDPREEYLISVGSDQTSRIHASTSIASSSQSQWAEIA RPQIHGYDMTDIAFISPLRFISSADEKVARVFDAPEGFVESLRTLGVSEGSKDQEGLR PKGATVPPLGLSNRALQKAPAQTDKIEKEGMNEAIISISHTLTSLPTEEELATSTLWP EIEKIYGHGYELVCVAASSSSTPSGSELIATSSKSTNLEHSGIRIYQTSNWESYGQVL KGHSLTVTRIQFSNDNSLILSCSRDRSWRLFRHDGEKKTYEPFVEQEKAHARMILDCC FVKGQNDNTVFATASRDKTVKIWISTKEDKSEWRCTETIKFTEATTSVDMIIQPNTNG YLLAVGTETGSVSIHALEQVENEIRSKELIVFDESISHVGPVNKLAWRNKDGKLQLAS CSDDRSVRVFDIAL L199_008082 MSGLVKLLGAIAPPPAAPLSREYDGLEFRWKMFTFRPAAFKFEA AVLGIVGFYLLIYLVGKTINTGRAKSAIKPFESLLSSQFTQVRPLLSSSPALHLLYAS GRRNLLSLHTTVSLLPIHDLAGLVIHFVKTIIEPTYSGAEQINFDFTLGRGELGLQGE GLGVWGLVDKSAMRETREKRWDLTFPKLNDSATNLPITHALFTEHVEITDLLLKTPNI GISEVLKDKDAASVLQYLLISDVPATRPSRGPLSSKAKSRHVILSVYKPTTPAQIDAV KAWIQVSLNIVDLLAKSASGGLLKPDISRKLIKTRQTVDADLAVDYKKEQDEDKPAEE TPEERRAAKKRAEREKLSEKELKKLEDLEKKREMRKMQKKQAMGGR L199_008083 MAEQRENSPRIADDGDNVDEELRLMQARLAEMEEEKNALVSNRG SATPNPSTANGQTSETHEGGNEASMEDEDSPGAVDSRSVYIGNVDYGATPEEIQAHFQ ACGTINRVTILCDKFTGHPKGYAYVEFAEPSIVQSAIVLNESMFRGRLITVKEKRTNL PGMNMTNRGRGRGRGGYRGFRGGGFRGGRGRGRGRGW L199_008084 MATKSAATAVDWTKIYTGLGLDKTTLTSLQSFRARHSTAVSKNS ALKATVPQIDLSHYKSVLKDQQAVSLAEKVLKDFKAVDYDVSKWNEVVGAFEGKAVAA AKETVNKIQTEQSSLQATLSNIQDARPFEDLTVDEVAKARPEINKAVETMIKKGKWSV PGYREKFGEFSLM L199_008085 MPPRPSTSLRSLTSSRFASTSSIPSSSSSTPISASTSTIPPQDP NRTYTARKTFLWNYYSHLIENSNLVLVYDHSNLTAAEWSKIRRSIASIPLPPKPYNPL SPPTEEGKPESIEKASLNVVRTGVLASLLSKSNSPLITSSTSGEDQILVGQRALLSCP SLSPTYLNKILTTLNKTLKGLKRDNTPDEKQPSLKLISGLLENKHIYNEKQLQTEISK LPELDVLRSQLVGLLQAPQSQLVGVLNQARGGQLVRTLQGLEEGLKGDSEGGKDEKSA L199_008086 MEIDAPASTSKSTSTSTSPLPYLEQQVSSAPEELKPLWTKIKSA YEKKLWHNLTVLLTEFVFTPGTGPYQIELFEKFITTIESKINALKLVEIARRVGREYS EPELTLKFLQSVHSRLTSPYPVPATDDNPGSPAPPAPAASAYALSLSSIAYAQLLLGN LEGCKESLDECEKILNEQDTIEPSVNAGYYGVAGDYFKVKADYAPYYKNALLYLACVD TEKELTEEDRKSRAHDLCIAALLGETIYNFGELLQHPILQTLVGTEWEWIKDLISSFN AGEIGKFESLANHLGNEPILESSVSFLRQKICLMALIQTIFARPRDGSSRLMSFQTIG EATRLPINEVEHLLMKALSLSLIKGSLDQVDGTADITWVQPRVLEGNQLDTLSEQLDN WCQAVGRTQDQVELQRKEAQAAVLVQ L199_008087 MSSPYPGAFPPSSAPGGSPNSSSLPQSRNIDPLAFDNVRGLGGT IPGATGQGDEGSQAGEDNTDGTRAAQRRARGGVDPESIPRVKDATGEKVMESFALFLE NFTEQIAFPETPASFHGQNPLPATADESKFYIEQIKAMKEFELTTLYVDFGHLLEREE VLARAIQSQYYRFLPYLRRALQTLVRKYEPTYLYTSTSFSSTSSASSSSLTTRDFNIA FYNLPLTSGIRDLRMDRIGQLISISGTVTRTSEVRPELVSGTFVCEQCNAVIKDVEQQ FKYTEPILCQNQTCNNRTNWLLNIEQSKFSDWQKVRIQENANEIPTGSMPRSLDVILR SEIVERAKAGDKCTFTGTFIVVPDVSQLGLPGVNAQMMRENQGGRGDGGVASQGVTGL KALGVRDLQYKTAFLSCMVQGADARAGATDVRGDLEEGDEDQETFLNSLTQQEIDELK NMVNSDNIYQRLVQSIAPTVYGHEIVKKGILLQLMGGVHKQTQEGIHLRGDINVCVVG DPSTSKSQFLKYVCGFLPRAVYTSGKASSAAGLTAAVVRDEESGEFTIEAGALMLADN GICAIDEFDKMDVADQVAIHEAMEQQTISIAKAGIQATLNARTSILAAANPIGGRYNR KMSLRANVAMSAPIMSRFDLFFVVLDECNENVDLHIAQHIVNVHRFRDAAIAPEFSTE ALQRYIRYARTFSPKLTPAASAVLVEKYRSLRQDEGGPGKSNFRITVRQLESMIRLSE AIARANCQDEISPAIVREAYSLLRQSIIHVEQDDISFDDDDAVPNGDADGDAQMDAAD VAALEAAESSYQAQTSSATAQAQAESSLQGQVASSGKKKMRITYNRYMEIMNLLVLHL SEIERENGTGVDKEELIQWYLEQKESEFETEEDLNYERELIGKALVKLAKDNYLMEIR GDVRDGLVPSSTTGTGGEESMEESLEGESGKVYYLVHPQVDLSDLSSSIPA L199_008088 MKRAKESDSTSNDAESLPTTYKPQTQAEGVKVGNKGKGKEKATN LYRTQTWHGYALRKRKMRLKTFAKYCPLVSAIFAPLSTLLDIPALTQHWYARDGSPQP DPKACLVLSAVGLALNIIANILLVMRFSAKSKWWWKHSTRWSLICWLGKTIVAAINLI IFGILARNSEGWTYLEGFWCAVISIIDAGIISITLLFHYFFAFGHEQQDQSDIRSEGR RFMLSVTAFISILAVQSLVFSRIEHWSYSDGIYFSTQVALTIGYGDFAPTTSAGKVLV FPFSVLTISQLGNEIAQIIGFISARAEDRREKWRKKYESAMHREANKVRPRANLTEEM ALIHQINQREELMSQMYDLFWSALSLITFWLVGATIFSEIEGWPYGDAIYTVMILSLT IGFGDYTPKQPAGKVVFIVYALMAVPIVTSFAVQTITGLLSTYSERGAAREAFLVEQR RSPEAFAPHADLTLRYHESYDQMKGKSSSDEHPVPWQARTDGEDGHEHPDRDSKNNNE DDRGESPSDMTEDEDPTNFTVTGRKKDPSQHLEHAEEELRDDYDRAKDMIDEREKEAK DWERNKVEQHESPMTMKSKDKRQREDTAKTLVEHEEVPKMDPTSERDEADEKQLEIDL LKQLLRKTIQFEAEARQMLLDSMDKSVARTLLLADRNVQVRDVRALRGDDKDVLAIWQ GESEQTYRSKQQASRDIESRHNPDSSVTNNETSPAQSKGQLDMLSRVRRYRNTFAEIL VVGSILQKLEGQEKERFERWRAQDEDSLPDERQEEADGDDEGATDIDKLADHKWDGLT FRIYKRWARKVRENDLHKWETV L199_008089 MAPSSLPTLPGPSQRKQNLACDSCRRRKVRCLRTDKTQICQQCN SKGEECTNNYIDSLAQSKTKKSRKSSNENADVSSTSKKRTKRNQPDESHSQISRDDNR DMDLKDSGVGRGDSAHITLSKYEIGETSRQGSLSMTRNNSMESLHISSQLSSAQLHPS LLPEQPPSSLFPTINHSIGMPLPVTSEASQQAMLGYLFSPVAITTSEHGYDDLSSISL CREGQSDLWEEQDGKVWYEEPSEAHKSLNEGSMKDLIDDLIETFFSIVQPRYSLLDST IFKARYASPSTHPLGPISHTFLAIVMTYGARFSDHPIFQSDREECSSRDGEPFKARQR SRLVGLMVIRTRAVAEHNRIYRIPSLENANSCLFLEHLLGRYQATWISAGVKHIFSMG LNSSLEWSKIQDPQVRHESLNIVWITRMSESSRAALYRLQPSLSTEDFDIDPVQHAML SEGAPIIVPLGGTEHNIVDQATWFQLHRTICSITYTLAKSLWVPSVAAHGIPFKILRE FIHSSSIWRDKYLSSIGIPTIWPDNWDFLQAINTCTCDCYYHDLWLIVHKAIQDFGIK EEKSNNGDSNGISRDRFEIDNIKRRIKEEAEHAALRIAALTGVLTENGYLKLDPLIIN HPIYAAGEYLAALGRSEYLICVAGLRQYAIIYPSLWDQADKLDSIYQEATKTDLLNGL TSLPSSLLTDGVGIPPRLDLMDSFESWTGSIWGSNSQVNPSDINSRENANVVSDVNAN IAHLNGSGNVNGNETRVDKSINDDTALRGELPNGIEGEDRMREKESVNFGHWMGW L199_008090 MTSNIKYRIQQTNATKRTKKVGVTGKYGTRYGASLRKTVKKMEI TQHARYACPSCGKNAVKRTAVGIWKCKGCAKVYAGGAYTFGTPSAATVRSTIRRLREV AEV L199_008091 MSSSDKPTLSSSPSNSTRNPPAPTSSRPIPTANLNNLSLLSESS TGSWERGRMHGNARSPPTHTSSLEAGLPGAPGSSSAEGGLNKPWPANQGLSKPDPATG RVKFQPQSNIPHYSRTPREGYGFRPTSGSTTPTTSASASASGSLAYPFPSIHRSTRDD EDDMDHRPDGRSMDELRTEVRDELDKNGLLIQAARGVVKDVAGNEGEERGIADEEGLG WPAKSTHLRLHSSPTEKAANLQLLSSAIRTVLECIGEDPDREGLQRTPERYAKALMWM TKGYEERLVDVINDAVFAEDHDEMVIVRDIEVFSLCEHHMVPFTGKISIGYIPNKLVL GLSKLARIAETFSRRLQVQERLTKQVALAVEEAIRPRGVAVVMEASHMCMSMRGVQKP GATTVTSTMLGCFRSQQKTREEFLTLIRTPSVTHR L199_008092 MSNAVPGGATSAPEQEGHRLPTNVYPSLYELVIKTDLVASPPTF SGEALVHLDIKEDSASVQFHYHKTLTITHIAISTSDLKTTSNLNIPLSALSLNEENDV ATLDLSALPGGGLKSGQKQTKIFFRFEAELKSAMHGYYRSEGDPDENGKKPIYGLTQF EATEARKAFPCWDEPLVKSKFAISMIAREKNTSLSNMPAISEKPWKAPSNASVDNVFN ENYEIGSLLGGSVTLGKTEGKTEGKTEGKTEGKTEGKTEGKTESNEEGWKITKYETSP LMSTYLVAYASGEFVSLESAHHSKLTGKTVPLKIYATKDQIKQAQFALDIKKWALPVY EEIFDIPYALPKLDTLVAHDFDAGAMENWGLITGRTTAYLYDPEKSPLSAKKRVAVVQ CHELAHMWFGDIVTMKWWDNLWLNEAFATLMGELVILDRIWPEWKPRSQFLKSHLQGA LDLDSQRSSHPIEVDCPDSNQIAQIFDAISYSKGASVLRMLASVVGEPTFLKGVSIYL KKHIYGNAETKDLWDGISEASGLDVAKIMKNWTLKVGFPVIKVEESSDGKIKLTQNRF LSTGDVKPEEDETIWYVPLEVATLDKDGKISVDHKATLEERSSTYDLKGNDSFKLNAH TVGVYRVSYEPERLVKLGKEASKYTIEDRVGLVSDAATLARAGYAKTSGSLSLINEIV KGGEEEYLPWSQIGSALSRLSGAWWEQPESIRKAFNKFRIDLFKPLSGKLGFEGGKDE LPEIKELRELIIGTLAATEDEDILKEIKERFQPFLENNDDSKIPPDLQRTIFSNVVEH GGKAEYEKLLNVYNKPPNPSTKVDAMYALTSPKDRELLDRTFKMMGDGSVKDQDLYIF FFGLSANKYARRQIAEYFVKEYDTLMKRYPDGYGVNYLVKGAFASLSSHDDLKMVKDF FKDKDIRKYKSAVAQTCDSIQAAADWVQRDSGDVEKWLKEHKYL L199_008093 MSALFNFQSLLLVLLLMICTCTYVRGTAPGLVDRNREGFLGLFF KCARIGERLSPYVSLACIAMAITIIMGS L199_008094 MAPTMKSSKKSTSSSSSSSSKSSSSKTSLSAPTRKMSSMSSFQP TLNFKPSSSSSSSSSRPSTSTSSRKDEEDRKLMPPPPPGIMPKNVSPPKISNIPVNGK GKSREVIGIDDDDEEASRSGLNHAQDDKAQMWTDLYSPANEAELAPGKARIAKVKNWL HESLFGYASDVPPPPRNVNTDRLRKYKRILFLSGPAGVGKTTTVRLLCQSLGVEIMEW GESVEEWSLGGGIERESSISKFSSFISRSSYPSLSMSTQRTSSQPTAVKPRLILLTSL PNLSHIPTRESFHSSLLTFCQAFNSLSCPMVIIHSDAGSGGRAEESWMERDRGGREGS LEVLGREVRDGPWCQEIDFLPLAPTFLNKALLRVLQTAIPRAVDRPSHATVQLIALSS NGDLRSAINSLQLVCSGKKDSKGKKRKYRGDDEVEEDGGSRKRGSGKGSRGGKGAKLD VSKDLRAVLDAVTRKEQSLNLFHALGKVFYNKRLDDPNMDDEDEELLERIRKLPPDDL LPSHLREFTRRKSLVQIESFIPTIPVDASSFALWMHQSFPNYCNEIEQVSAGLDELCS ADVMRTDDDIWQSSPQAISYALHLSVRGVLMSLPSPVPRKSQKVVKPQFFESFRLERD NISSLDHVAGYITKKGVIASNAFADGGAHHDLGVWGGMVNKRVLVGERVPMMVKIQSL YGHPLLPPSAQSLCLPPYSSFTPSRATAELTAKDEPDAEDEYEATAAGDDGLGDVPST HPGWDEEDSLKDGKEKEEDWLVDDDIVDDWD L199_008095 MTVYEYDIFTPIPQAPSRRRHSHSIHSSRGRHSLSSSTTSVPFS VPGPGPTYIRPEQRQYHLGISFDMSPSIAEIMSTPPPLSPSSPSSMTYSSSSPTTPIS TSRLIFFPQPLSFDHDDEEEDVFAATPSSCRNRSLAKRNVNDDFSSLALGLGGIDELP DEPFYPSTSGTFSGVNSLPTISLNSTQHPSSGSGGGTNGNQLLPSAIPYSNSGISQPV ETQKEKRKPFGHTFLPPLPPQQKGVPTPLLSMGFLPPPSSWRPPCNRSPTKSTLRRTD SDILLPFEAEIQAEETKRPIKLNRHMRKSSNDSEMTIKLGNGFVALGDYQPQDTDDGK NDDFQFQPQKPMSINTYGHGYGIEPRSKPNISRTVSQRDPTTVRHESHPGGSGSGNRY RSSSTSHINPKSPPRPSSPTPYSYKPHVSSPLNRNGRLTSPIPSAMDENHFQPQGQAT SGSRFTRPVSPSLPEDLLTPHAYNTYTHQTLSSAPERPKSPTTARESLNAVLTRHPKY EGDDDDAEGYEEDSLDRLIRNAQRFEHGSSSYFPNTPPKSPSAHPDTLPIPCSSSRIG IKSKANAKRGFPILKSLFPPSPPPSSPSMNGTASLEVEDNDRPDENGYGQCQRSFDVN KVNERLKTQRGRICFEELEGVGQPLFEDADEVVHQHAMSHDTRENEKKDGSRPGTGRR WTLPF L199_008096 MAQPRASTDEENAPLLDNPNSTPSSSSQSPLKAIFSPSRPLTLL EKVLGGLAVLLLLLASIFIGLFAGAEGLLKKERGKHGHGGDGHGGWKTEYVTSTATTT QYGTTTVVNPTPGPTGKPDQKICLSPECVILSSSILQSLNQSVDPCEDFYEFATGGWA ASHSIPTDRGLYGAFNEVNDNNKKILIKVLDSISSDKPSKDVSADEQNLAKLKAVYNS CIDIEHLNDVGRKPIIDLVDHIIDIFGEFDVNSLSSSSDLLDESPESEWKGTYDETYT VPADLAVSAEEFEQLRKAKKSGGVKWDAPSPRSQSVQDFYEYRPNEEREARITKALAW LHSRGVQGLVNFEIEGDAGGEDSQVQSLWLYQAFGGLPSKEYYEEKPILDLYTSVVAG ILTDIAEHSTIRQKKDKRDLISDLEEAIELGQEDAKGLLEELFDIADAEIEEDNWPWP WPGSDGKGSGGDHHGEPDGGRASKEPTDERMEKLAARVVHFERELMRAGADPEYLFNP HFAYNPYSTETVGKLLPFLDIPTYLSTFSPRTFPVNITVTHPPYLRSVTNLIDKTPDH VLSGYFVTRLALTYASALGPKVTIRQEKKRLDDVLKGIKKGTEENRQDVCLNWVDEIV GFIAGREFVREAFSPEAKAEGEHIIRSIVKAFHEKLPRIPWMDSESAKAAQKKAEAII PKVGYPLYPNTTNPESLQSWYGRLDIIENDFFGNVLGSTLLEESRTWLGLGRKRNRDS WEMYPQTVNAYYSPPDGEIVFPAGILQPPFYSQAWPAHLRYGAFGAVAAHELTHAFDN SGSQYDEKGRLRDWWTKQTVKDFEERAQCVAKQYSKYYVYDAEGNKVYVNGNLTNGED IADSGLAQAFIAWQDSVSKSNKSEKLPGLDFSNEQLFFLAFARVWAQLTRPATAVSRV RTDPHSPPYWRATGTLKNLDAFHKAFGCKAGSPMNPPKEDQCELW L199_008097 MFTYIQIHLYFIIPPIILLGLIYHPLIGRREILKFLWLGFMATV WTTPWDNYILSQGGWSYPPNSIIGKIYHVPIEEHMFFILQPTLIILLHSIFTHGRLLS FDVDVDQIPNDTKLLSEKSEIDGKGEGQEYQMTTKPQISPLWPGRHEEHTLIQTLPRR PIPSLLWMISSLIGLRLVQQTNLYNTIDYGMKQHMFYLSWILVWITPVISFLTYLGTR CTWSDWLSICVGSGWLWIVDTIALRSRSWSVSESSTLGVELWRGLPIEEAIFFFLTTY LIVLSSSLISHLHTLLLFTPDLPPCPPFNPIAHIKLLARVAFNPPKIDRRVLVGLKEA EKTLKKGSKSFEVAKLAFGREMRIGLVVIYAWCRVTDNLIDEPFSVNTSTDQNDPSST SLDEARHKILQSIRSHLLLTNQLQTRYPAQPYSLKELDLVLDDITNLTPEDRSAFHLF SLIIPRLIPIDPFLELCDGYSTDLKFPSKPLSTISQAHEDKLTDHLPITTREDLMEYA DNVAGSIAGAICHLSWSILDSKTTLPVKEYDRVRTIHDSGGSLQDGSKERSNQCSDGG DSRLEIMRSARLMGCSLQLVNISRDIIKDGMISRLYIPISWFQTPSEIIKILFSSHSE QPSTRLYTEKLLNLADSLRDQSLSSIEDLPRTARGGVWTMVVSYYEIANAIRKNEGEV DQYGIKVGKWRRIGRAARAMWLGA L199_008098 MPTKGGDPEHFKELTHAYEVLSDSNKRAIYDQAGKAGLEGGGGM GGGMDPQDLFSQLFGGGGGFFGGGGGSRNAGPRRGKDLVHRISVTLEDLYKGKVQKLA LSKSVICKSCEGRGGKKGAVSTCTGCQGRGVKVMLRQLGPMMQQIQQPCGECEGTGEM MNPKDRCKNCNGKKTISERKVLEVHIDKGMKSGQQIKFQGESDQAPGIIPGDVVIVIE EKPHQRFQRKGDDLYCEAEIDLLTALGGGEFAIEHLDERALHVTIVPGEIIKPGALKV ISGQGMPSYRHHELGDLYVRLNVVFPDTIPVNVIPKLEEALPKRKDIQKFPKKIHMDE VTLEEPNDRQRRSAASDGDDMDEDDEDGRPGVQCAQRGSFLSHLNFNIVRYVLMSL L199_008099 MAISTSPKIEQQNDEPYTILNEIARTNKINCEWPTLRTIFQDSL TSTLSSYQSRGPPRPYRPPISPIIGPVPDIDSESIRADPKASPAKEGDKPPSESLLLS PSTPNTSTNPSAPRSIDVEPSATPSSGRLESLTTQDDLKPSTIGGLVIPPFPPLDPNR RKASVSGPSYSGGTIISSPRSINGIRGGAQRIVTIGPSVLDDEYDEETAIGGKVLHGW MEADEAQRELERVTGLLNDMRDPPFTVQRLSELLLEPTKYYSTFGKFIRAAEKLLLVT TQWSEPSYTPQPISTFSVPSTSKSGDNVHVSDLDTESTMPLGSTTPMFSPIPFLSSQS HSDDMGSSSSSSLSLENGQSQSRMNLDDGLMSPLMLNEDSGVFGSNTNPRSPTPEPEE SDPTQNQDVDMDTDSTPKDEEETEEKLKSPDTPIPSRPKVSQGQYESIEPSSDPAHQS YLGRVDELDTGPITSTSSPSTQDKGNNSNGDSSPLRSKSKHGNGIEEIPVPGTGEGGN MTPHGMSEKPVPISSTTVLDSEKEKEKRTIASLPRSSSEKSLRERFVSAGSEGEGEQS KEEKNA L199_008100 MRILLTGSSGVVGTYVLTYLLEQGHSVIAVDRIPLAQSTLDSLS AKYPNLDDILDVHTVDLTSIEKVKNLFSQYSKGTIEGLIHLAAIPHPESHDPRFVHNT NITISYNVLYTAAEHGMQRLVQASSVNYTGLAYTTKGRQRFERLPITEEEPSHAEDPY ALSKQICEIQAISLCRLFPTISIASLRFHHILPTLSEAEGWSRQEEFWTWTSSLSASQ ACLLGLTTPQEGKWKGHEAFNIVASDIAWKDPAVSSLDLLEKSEWSAGGRIGQVDTTW WGGDEGKRKGFWDCRKAERLLGWVHTP L199_008101 MSFPFFLCSSIFTLSYIVYTILSPRFDTERKKAYILSSISSCTM TILSLPFFLSYLTNGLKETFEAGQEGWMGQMGWFGSVFFGVYLFVGLLTGWIHHTVYI GLMFYVVQARITPIFLMGAVMELPTFDLAISNLFPSARNDLRFLSSFFIFRILFHSIY LIDCARPSSRAFMGNSWVPTVMLGLALIMHLSWFKGGVTGYIKRQKKSSHNRAIMVDK DPIIEMISTVDSTISSEVLPHSSSPNESPLVTPHTASPSQIPLFLSNLNIPTINLPTG IIDLRSLKENGSGFREAVRSRWDEQKEKFTMGRLVRRKLSEREEEGRVVVREVVEVDE L199_008102 MSSSPSPSTPTNGNTFLNAAYRNISADPDKSKISRLMSHVNTHA LTQHMPAFPGYWMMQTDPVGITQYVRLDQVTGEGGQTESGTRGRLKGNYPRTKSIRSR EDQEKQTEDEGLGTGVITELTDIGAYIIPIEERDSTITNSDSKGTNKKKKNSKKNKKK KGKQSITSSTNTAEEEKEEEEEAEGRDDEEEQKKDASDVYVVLGSNNEASGDEGKKKS VIEEEATEIITKDENFQGGDDDTQNETRVLSPPPEPAQPEGKEEPTSINLDTQVPSQR ADDIVPSTSTSPIKVKLPPPSTPPSQPRRFENNIIYFGEIPTRYFNSAEQEPLSRSNS TEEGDINTPIIGSLDTFSHTPESITPRQEPSPSSSMSNPTPPQSPPIGECTPQVSSIN INRVIYSEPSSVITAERPLTPPSEVDEDEEDGKLVFPSDSPQATQPHIISRPLVNPPP IPSITLNTPTSPAMFTSTFHPLTHSWTMYFSNTAHQHQRKASMPALSPLNPLSSHPNA SDYSSHLVTLFKADNLEDLFGGWKALRRSIAKSKRREIEPIGDSVQKGGPGLGTHLFQ EETNIYIFKSHIRPMWEDKYCQKGGKIMIAGEASAMDDLFLELIFLLISGDLEDEVPP PPGSSSTICGLVLSRRKLTRIEMWLGGENVIPDKRWVEQVTRYIQVKFKEWRVYPYKA FGKS L199_008103 MSRNEASLDTTDKFPLIISVMKGTNNNSQSSTATTTTTATATST SDDRTGNGIYQQSNDTQVTVIEYGGSGLGERSEMYKNPAANESAITVHHVGSTSAGEK L199_008104 MSNPTAAAETPSVLSAVHTPASSSIGSTDGAITDGGSGGHTSGG SKSETDPDLRHAGEHERTNHDNASLSYEYGPELLERNRAYRGLFESYKLLRSCVESGT SPSVELKSTYDRAQANLQAVIRKHRPCNTGWVPPGGMEETWSRWGNGTTHAPTSELYE EAKSQYDKLTNAL L199_008105 MAESTNTSRGLSFSAINGDGTEDRKVSFDIATSRLLTEKSKAET IITKHIDWKCAVETESNSDKIKQLQVELQNSWKMYKDDQSENPIPEEVRKIWIDWADH ENQGAKGLSQEIQYAAYMWMRSIENDLRDIEIEYVSCNLSDGVQK L199_008107 MASIPVPQASEKPSGPTAFAPYLDTVANIFHPVTGPVLNTYGRF HGWKESMGLVQPGTVENLTREVQQVQLANWSFDGARADVAKVVSANPAFQLTHSFSLG STTRPPAYNFGAIFANAKTFLQGGVDGSGTVTMRANQTWAPTDITKVQAQLTNKAGQS MLQVEHDHLGPHYTFSWKSMNPGILDGTGIHIASLLHSFSPRLSLGFETVIQHPQPSI LETATSYVAKLTSLPNPIEQLTPTVPGQPTPFYPSWTATAQLQPSGNVQATYYQKLSD KVDVALDLQTIIQPASMLGPAKREALATLGAKYDFRMATFRGQIDSAGKIGMYLEQRF TPAFAFLVAGEIDHAKNASKFGVGIMIESSTMTQEEMIAAGMLTPV L199_008108 MSQSTQNPDNPSSESLSLSASIFYSSIDSWIPHNFGISSSSQSK KDEGLTNLLRPDIRGQTEDRLGLGHPLLDNPNASRQIQRNGLVGLSKKLNLEKKKGKE KQEVHGNGVPHEDDEDEDEEESKFKLSNQNKRKVNAVDPFSTGKGKKKKDPFSTGNSN RTVLHPAILAQQINNKNDSKEDEDESEEEDEPPFPLPSRHTTPVQIPPTSSPGGSGIF PYDGPRPFGSPVKSKNSKRFLANDDEDEQGEKDESDPQVNQDGDQVKVNESGSQESPA KSKSAMRREKRKRAKLNNASKE L199_008109 MDEQDRRHHHETSSPSSPTSSTSSQTDQQTLSPYHSAAIPESPS ASLFLRTAETLDGLSKQLTNIKDDNDTEVEIVCCCGSSLSNNSGNICKSLREREKLED KLKLSGEIGNALLQRYEALECKHQREVEKYENQLQVKRNALVECMKKVNNLEKANTQH LQKFAEVSKKNEALEKRYTQAMHTQTLTQQSLTHVRSELTTFRTTSQRQKVALASGKG IEERLLESEKNYEDVKDLMEMEIKKTRDELRKRKRAEARIDELELQLKSANKEVEEIK QARAKDAQDLLANAKERLSVLHSELSETFRTESPSDMPEYQKTLEDLVATNTLLKHDV TELTHSLAESRDESRMLKEEVEELRASIGSVGRMSPFERLPTRLASELGSGHSNHSRT ESSPVISLGHGERGSWNRMSVASSSRTGGMSAWEHHRKMSMAASFTSNSSSTAADGAL MSPGLGMGPIGEFGGVLLNEDGTTRIGILSPPSGRESPKFRTSPSGGIGYVLNGVPKT KPGHQVRPSLARSFSANTDRRSRRSYASQGVGPIAEWSAGEDISGITEEPLSPGTDYF RAAEKNRKRRSLMLARRSTMSPNEFTEYSPNASTTIIDDYPSPMSDSAVSNTSPKRAK RKTLLLLTKSQGVQTEPVDIGISTDPEPERREPTSAQGQGMVSTSTGTSPIPQSEDHS ETSSIQDNRAGILLVVVEHMSRILARLRDADVPTLNKRLKKHNLPGDVGHLSQTTMRS LQQEVSELRNQFRGIPSSALTGGIDRKDFNLLLRLFKDVFTDLVDLQAIVNDITINPS LGKKLQKAAFREDDSVDDAGKSSKQTGIGGGTGLGWIAAPITKFFVTPAENDHSSEGS TSHGKKGLERGRLQPNSNMPVKAAPKQQATASATTTHVSVEFGGTGIVRRASPANLTT ANKEVIDDLPSSPIAAQHEDRSISPATGSDNSLVPPTIRTVRRSKSRANRNELLGIFA GAQKPITPTDGAWTVIGNGVAPGVSSGQRTLRSVSSHVFSNTSGNGDKTIKAKRSEER KKISSVVDAIIDPSTSTLAEDNEVILSGSYEPQPPLLERTLRPRGLSDSSIRSTFISQ SINSIDSSGTATIRAPPYSGGGGGGVLQSLSKRWYDFRGSTEVNEEVSPVNPDGHNTQ SAMGNIEDKPNPIPLSTSSTRSSISTTTSSLLRDRAISPTKTNIVSPISTSTSTSSQI PTQGGGTGLFGLIASSLARNNEIERMGEDEDELVGASLRQGGAMGRKTWR L199_008110 MAELSLEDKCKLAAKFVEQSPPGEINDVINDIRAIINDDEALMP HVLPTLKAYNLAQLHVVDHAATEGVPAHSSILSEATILPGTTGDRERYVDAVGKRSFV FDHITLAISDYQPYELPEEEESFRSALSQSLAKYAKNHFPSGQSSVSCSQHPLLPPAP PAPTSTQASAPESGSPSTTQLAEADPAAEGTAEPVTDVITEQVNEGDLEPAPTPATGD LDVDKKDELVRPESLDQLDELVEEAKEEEEGVKTPKEGSRPDVTEVDDSGKEEKVLTP PTAVEDIVETEEQKEPVGGGIEERKQEKIDNPIYTLEIVGNKYNPNNFWTGRWRTKWV VDKASGKVNGDINVDVHYYEQGNVQLATKHSASFPLPTEEVGGQSIASQIVTTISKIE TAYHLELNDVYGELGDKAFRALRRALPVTRQKMDWEKVTGYSLGSDLTKARA L199_008111 MGNGAKAAHKREKAGAKVAGKGESSQLKSNAAAQTIQCETCKAT FQGTSKQPQLQLHVDSKHSKSDFKTCFPKFVAA L199_008112 MSSRSASPEGEGEEIRPYGDDRDSSEEESEDDPEEAKRIAEGFI VDEEDDDAEEEEDEEERERRRKERKKRKKREKLRAKRERQREDLELSEDELELLQENR GLTGSSQSRPIKRIRRDGSLDDEDDDRRGEPTLQDMFRDDEDRDRDDDEDDLGDFIEE DEDDLAAQGETEDQRRERKREEKLKRRQQKASKPELAGVDRASWDEIYAVFGDGQDYD WALEGEDGMDLDEDEEAAKKDLRLEDVFDPAEIKARRLQDEDRAIANNDRPERHQLVN STLSDNPVFAPDSLYPPPDLAAGWAHTKISLRTQYIFCGMHEEGSYPEPTIDNPQPYA AFRRIELANEFRNAVSTALNMMFVQHLEVPYLWHYKRDAFSLLENQGQSSVQFLERDE LWSLYNLGIKFRAIFERNQQTQLSWEKIKARKPDLQDEYLTTKLLPSICMMSVESAAE GSDWLSYHYASDLKAIKEDEAVEEGAKRLPERGENEDLRTGPIMKLVEAFGISVPQVA TTFNEPEGQPIPPKNAEKLPNDLAEEFSGSGTAFGSPEIALQAASQILTTEFSKDPAI RQQTRDFMEACGVVSVTPTDRGMNIIDEYHLYYNFKFLTRKPVQMFKDSPQFLHMLKA EEEGLITITIEADESQVQSFVETLVRCCRSNDYGEISTAWNALRAEICSDVTRKYLVP SAAKWLKEHLKSEADEFVAERCRLELELRVNVRPFASSDMDQGETPSVLALTNGKGEI RDAIMAVMLDDDGNIRTQTKFDNLRDELDKNAFVELVEKRKPKVVVIGGMSVQAARLR DDAASALRELAIRAYGQNPPVSEAYGQYEQYQADLADFDQKLASYLIPLIFVNDATAR LYMNSEEAEKEFPNLPLNGRYALGLARYTQNPLNAYAKLGKQIASVIFMEHHQKLINP EKLLIHLERGLVNSVCFMGIEINSCVADAYQRSMLPFISGLGPRKADALVHGIHKQGS LLNRLAFSDLGLFGPTIFENVAGFLTIENDLKDMMLEPENPQEQPDPLDMTRIHPEDY EFAQKMCQDALDLDVEDVTDRHKSEVVQTLMLDDKRAQKLGELNLDDFAFNLQRQGEG NKRHTLGEIVNELIRYRADRRPGFYVPSEWEVVTMLTGETERTIGRGLKVTATVRKAL SARVFCQLESGMDAILERDYVADDDQPPVTSCEEFFKPRQAVKAVVIQAEPNRFQVKI STRASDLRQAVAFIPPFRDEPYNDLVRKSAAEDAAAAKKRRQAGKIKRVVNHPNWHVM NSGQAEQFLASQHRGDVVIRPSSKGSDHLAVTWKVDEDIYQHIDVQEIDKPNEYSLGR ILRVAGRYSYSDLDELIINHVKAMARKLDELQLHEKYKPEDDLEAYLKNYVQAHPGRS MYGFSIDSDRPGYIKLCFLNKSTRDGGVIQTWPVQVLPGAYKLNNAEVPGVTELCNAF KLQYSARLAEQGSGGKTPGIRLGKTPLPGGRTPGGRTPALGGRTPALGGRTPMPGAAG GLQGMGRTPMQQPGMTPNPYGAPIPQQGYGMPSNGYGRPPPQAGYGMPPSNGGGYGRQ PPGQGYGGMTPGGAPGPSAGAPGMNADRA L199_008113 MTPPLLNTKEDYRKLVDSVDTFLLDCDGVIYHGPKVVPGVKIVL DMFREQGKKIIFVTNNGTKSRRKLKETFDKLGLGATIEECFGSAYASAVYLAEVMNFP KDKKVYVVGEEGLEEELDSFGIQHCGGSDPEDREFKPPIVWEDFKPDDSVGAVLCAFD SWINYKKMGKAMTYLRNNPECVLIQTNTDPTFPTHGSLYPGSGSLSIGIVNSSGRKPL VIGKPNKHMMDAILAHHKFDPSRALMVGDNLLTDIEFGLNSDIRTLLVMGGVTPRDSI YGENPSKTVPTYVMESFGDLAVLAEK L199_008114 MSLWVDKYRPRSLDELHYHPELSSRLRSLAASGDFPHILFYGPS GAGKKTRIMCTLRELYGPGVEKLRIDQRVFVTPSNRKLDVNVVQSNYHIELTPSDVGM YDRVVIQDILKEIAQTQQVDLNAKQRFKVVIINEADALTRDAQAALRRTMEKYMNNMR LILCANSTSKIIAPIRSRCLLVRVAAPSDDEMTKVLQHVAKKERFSLPSPVTKSILST SQGNLRKALLVFEAMKMQKPDLTGDIEVAKPDWETYCSKIADSIIQEQSAQRLLDVRG KIYELLSHCIPPTVVLKTISERIVDKVDDALKPQIVHWAAYYELRMRMGSKKIFHLEA FIAKVMTVYKQFNMIGYTEDYE L199_008115 MAPYQPSSHSSRSNDFSPTPPAHSPTQSLRALELSTNDEPPLLG RHGRSNTITSLGGFDFQHALLPLSLSGENEDGTAANGQIRHEEERHVSLLHGIALIVG AQVGSGIFSSPGVVVGEVGSVGASLGVWLMSGILAWTGASSFAELGCAVPLSGGAQAY LAYAFGSMTSYLFTWTAVTMLKPGSAAMIALIFGEYINRLLSHTFSTPSSTEEVLTVS EWSIKLTATIALILVSLLNILSRTSGSDSTLIFTTIKIGALVFVAILGFIALLKDGPG ESLSASGLFEGTKSDLSSYAIALYSGLWAFDGWDSCCWVTGEMINPSRNLPRAIHSSM SIVLTLFILANISYFIVLSPEIVAASNTVALDFGKATIGKFGMVVFSVLVAVSCFGAL NGGFFTTARLIYAASREHFLPSIFSKLHPKRRTPDYAIGLQAGLALFFVIFGGGFRAL LNFFSVASWFFYLLTVLGLLILRVKEPHLDRPYKAWLINPIIFCAVAMFLLLMPIFAA PFEALAAFLFIGTGVPMYYLTSRSRNQASKGYNAMDGDRDRSEFKATISDAWSKFTED LHNVLPDRWQSSTKRPNTIGSISTREERRGMLREENLEMSER L199_008116 MSKPKSNVALLTEGASYIQRAKKARREQVEEIKFDDEARREWLT GFSKRKKAKAEEKKARAKERDHLAHLRERREARADLRKKAAENVKSVRRAMGLEDLSD DDDEEDDEDDNENEAGPGPSSSKNAEEEYSDEDQLATVTITEDFDPSSIQTDFNMNDS DEEDEEDNLRSQQKEQKEKPKEKPKVKMLPPSSAKLQKLREKKKAEKKKSTSMETASE RRKGREMEARRRTKKAALAKERDGGGGGRGGARGGKRGRGKGRR L199_008117 MANTPILPCLDFPSIRIIPSSSSSHSLDSPKFEIPPTILPHLET ASRHLHNHQTVALPTETVYGLAASSLDPAAIQSVYRIKKRPADNPLILHVSSLNMLRQ VIPRDYQISELYMALITSFWPGPLSLLFPAIDPPPSPAPQTNAIRMPSHPLALALIHH SNLPLSAPSANSSGRPSPTQAQHVYNDLNGSEGLGCILDGGDCGVGVESTVINGLGWR KGGEGIVDVLRPGGLGIEKIKEVVGRVDGKEGLTRILLHGKPWIANKSPKRGEQSFTR IPKEEDGPKINGSSESSVIDKVRSIALPPSTPGMKYRHYSPRVPVYLIKPNTIFPRPD NLPQHAESSAQAVLRQISQRTLSSSSGNGKKRIGVLHFDNSPLYSQLSSSVDEVEDTH LMPVSLGQDASSAAQRLFAGMLTLERIPPSQDEVDGDGKIGVDAILIEGCSDEGLGLA VMERVTKAVGGGGVVGDVKDDEDGERQGGAAEGNTFWVDVTGDI L199_008118 MAKIVPPMNFGLVEDGFYRSAQPSELNFSFLEKLNLKTIIWVGA EEPSEILLSFLDSQGITLHNLAPQVSLNPHFPPPYTDSGVVPISDHYHLPPLPPPPEP LIIQSLTLLLRPSTFPTLVCCNMGRHRTGTVVGCYRKLQRWALSSILEEYRRYAGMKV RILNEQFIELFDTDLVSITAEQAVA L199_008119 MAEKNAATKTEDAHLENRNDYVNDEPESTPQAVHVIHNPLKRDS PEQVVADAQAFANNHNLGEYADLFGRAALVARDQHNFHNIPGLSSDEVAALQYERDHK WHGPRMLWFSVGLCAIGAATQGWDQTGSNGANLSFPQEFGIAGSGGDEWKVGLVNSII FLTAGLIGAFITDPLNHYLGRRGEIFLTSACLTATPIASGFAKSWQTLFAIRFVMGIG IGAKNATVPIFSAELAPARVRGALTMFWQLWVVAGIFLGFAANCIVKDTGRIAWRLQL GSAFIPAFILMCGIYLTPESPRWLMKHKKYDKAFRSFLKIRAHPIIAARDYYYSYVIY LEEIHVAEGSNYFTRMRDIFTVPRIRRANYGASTAMLAQQMCGINIISFYSSTIFTDV GYTDDQALFASLGYGAVQVIFTIPTLFLIDTVGRRRLCLITFPLMCIFLLAAGLSVLK TSGSQASRVGPVVLFVYLFTICYSLGEGPVAFQYSAEVFPTIQREQGMAFVVFINNFF AGVLGLTFPRMRTVMTTTGAFGFYAGLNLIAWFMVFCFIRETKQLTLEELDQVFSVPT SEYFRHEATVSAPWFIKRYFFFQKHLPKPPSIIATATSYPSHGGKYVDSPKRSEGAAV L199_008120 MTSEGNTASSTNTASYAQTTHPNDLFESPSSENQDFASHSALCK NIAIARDYARSYQDLKDAYAESSTLENARSKLIVSLTDVSQARTISGDSSICMACTAH LTDRGAEWYKGKLQTDLWELESEIAQVGLKLE L199_008121 MARFTSIGMGRKKFVQSAAEEAQQSTEAGPSTSNNATAGEGQAS GIEKKKKKRRGRERVKDETGKRIAIGEKKGPDAKKSTWGKDEGISRRAKLSAKHAEER RQKRNEQRNANVTCFACRGVGHASKDCPNVLLGAAPGEEGEGNGGMKRKGGKAGSQVT GGGKCYRCNSNDHSLHDCPEPIDSTNPTPFATCYICLGTGHLSSGCPSNGGKGIYVNG GCCKVCKSVEHRAKDCPDDPRRQATSSSADAPGEYRKRGEIVLGVGQGAGADEDDFMV ESRENLSQGSNNAKGKRKKHLPARNSERPMKRLREVDPITGDLGERLPGGYEGPPEGQ REGQGQQQHQEEEKLPLTARKMPGQKGQLQHQSKAKVVSF L199_008122 MRPNIVRSAIRAVPRPTASASVPPLVLPLASSSLTIRTFSSTPL SLKKNKGPNVKTPKQKIKVVEDDEAGANDDPGAGEVVIAEVLEKTQGKIEKAVHWAKA VIFEGVERGRGRVTPALLDSVRVQLPDSPGQCHLNAVASITTKGNALFVEVWDTDATK HVESAIHQANLPGISPQKTGANTLKIPVSRPTTEQRAEILKSLANTVEAAKQQIRMAR TDGFKHLGGRKSNGTDEVQKLVDEASKELDGQMVLAKKEFEKA L199_008123 MHGSTTATRTTYHTSHRQNRIRELKSAINNPRTTHAGRNHAQHE LHSMGVKSTHLGTRLRHFFHLPGKSHGHRSTRTTHTPYSTTHTSTRTTN L199_008124 MSSNNSSRAPNLSLQTKFSCNQGSCDYYSANANSACGGQDCRTE AATWYAWEVAGNNSNVNVASQKTDGTREPPPTPAPGGQII L199_008125 MNDVSSSAGPSRPPHRLSASPNPQSSSSPPSQSATPDVPPAYED SSGPPYVKQFKGGDDEEEEEEGLIYARPRQNQDEGDLGVVGVEPGHESHRHATLEMKK ALWWKNVIITGMFILSWYTFATLLSLYNKWMFSPNYYGFSYPLFVTCCHMVVQFGLAA LIRVTLADRFRPKERPTRKEYVTKILPTAAATGGDIGLSNLSLKTITLSLYTMCKSST LIFVLLFAFTFKLEAYSLRLISVITLISFGVFCMVFNTTAVSIPGIIMVFTASGLGGL RWALTELVMHKKGMGLSNPFATIFWLAPLMAVTLALVSMVVEGWFDILSSDFFVGFQA IKTMGVIVLPGAIAFAMVASEYFIIQRAGVVPLSIAGIFKEVSTISISSWVFGDQLTG LNIIGVVITVCGIALYSYHKYQKSMASTVELDAYGKPISSDDTSPLIASDSHRYTTTP QNDHEHEHQQIIDRQSAEVPLTQLGKNKETEEERTQRLRDDFEGWDNNNNGDDDWSED EPEEEEVQRRRDERLGNDAGRKNSWGDWWDKEI L199_008126 MTIQNSKLFQPLKAGSLDLKHRVVMAPLTRFRAEKGTGVPGEYA AEYYSQRATDGGLIITEATFISDAARGYDGVPGIYTKDQIAGWKKITDAVHSKGGKIV VQLWHLGRVANPQVAPVIYAASDIPDPTAEGPKPTLHVMTEQDIDRTVDEYAHAAKAA IEAGFDGVEIHGANGYLLDQFLQSVSNQRTDQYGGSLENRFRFPLRVLNAVCEAIGPE KVGIRMSPFGKFQGMREEKPLDTFIPWTKTIVDAQPKLAYIHAIDSRTAGNSDVPPEE RVKEDTLDDIRRITTEAGITFMVAGGYAGDHGHPKEHADKYDDLIAFGRYFISNPDLP ARLKNDWPLKKYDRDTFYSPGPKGYVDFHEYQAIAQDDAAHPK L199_008127 MTLTSGPLKTVVVLGVAYGGKRAADLLSKSLPPNWRLVVIDRNS HFNHLYAFPRFAVLPQHAPKAYIPYTHIFDEAESSTSSSGKPLTPPTTPPASVSTLDG SDHHSDPLSIATCKKSRHQFIQGSIVGLTAHSVTFVRPTLDKSKQQPRCSHSPDTYGK YDGPEETIQFDYCVYALGASMPSPVNVWSKIIHSSEVKGEDELEDISGTKKHGVRWME ESAERLKKAQNVVVVGAGAMGIQFASDLKYLYPSKQVTLLHSRTRVMPRYPIEFHVAL IEELKKLGVEVVLGERVMTWPKDPELLDGKKKIVTTDHGRTFEADLVLPCTGQKPHIA LMASVNPSVICPQSGRIRVHPTLQVHALNKKGNSGSVEDRMNNLNLSMPLTPPSSEYT SSTRTNRSSADSDEDEQGEGEQQDLSHIFACGDCAETGAIQAGHTAYYQSEVAARNII RLIHQRESKAGNYKLIDDDKTLENYKVSHPAIKVTMGLKRGIISNAEGVTINDEGVDD LHTMVMWPGFGYKGDDIDINE L199_008128 MAKIKRKGESGAAKNYVTRNQALKKLQISLSDFRRLCILKGIYP REPLNKKRANKGSSAPATFYYHKDIQYLLHEPLLVKFREHKSFAKKLARALGRGEWAL AKNLEDAKPVARLDHLVKERYPTFTLALQDLQDPLNLVHLFSTLPTNPIPGKTLVPSS VIAECSRLISEWKVWAIRTNSLRKIFLGVKGIYYECEVPGQGGEVVKVRWLEGYEFQQ HVPTDVDFRILLTFLDLYRTLISFTLFKLYTEENLVYPPPLDVELDERGESVGAFRLV EKKDNLGVESSKVSKKAVRKAIRGIKASTGDVDADVEMDEDEQDGNEEDDEEFVERPT KSGEEVEDVATAPLTTYNSLLATSSNAASTSKQNLLFSPYTFYLSRETSSRTWEFVIR AMGGKIITSLNSPTPSDDSITHVIIDRPITVERMREMENGRKWTWVQPQWVADCVNRQ KIIPSEGYHPGQLLPPHLSPWDGEGELDRPWLEDQQNAAITAAQEEADQEEDAIERDV PEEEDVSEDEDVEDEEEPAGSKYPPALLASSLDSENVSLLHAAELEAEQNGISHSAFR NQLKEAIKANAKDASTKSQAGKKGGEEDLRKIMMSNKKAKLYEKMQYSNREKAAEKEN LERKRLAIEKRKRKEARA L199_008129 MARPCDICGSRKWRKDKVTGNAVCEEGHVRQDYRSEVHDMEIGG PRHQLTRRKIGTRGTRRNKRKEEGRANPLFYHGAEAEYLRIQALQLLLRLQVQAISKL WSLPDTFEMIVRDLWAYQLSLSALPPLPESSESNQQPDASTAIIDKHSQPSQSIDVEM VDQHRDRDSKSDDGSQPGSDTEDEKHDEGSDDERGSDIDPEILERLEQSDVEDTERQN DDRSGDENRNKGKRKRKLRISDTIVTLVMGLWILRVPFMAVDIENAINEMKIPYVDFY HTTHLSEEMRKHMNRDVMISLSPLRSPSPSLIHRSCKNLARALNKRYGVQIPEMNVHP VIWRIISNLATTYTQVIRLLSILDINFSLIEREIQTYFRKTRGRPRSTYQSTDASDEE EPESDRKEIYERTLLYQDVVAPEIAIVSAWIVIMKIVYGLDGIPREALLKSDSAIGLP SSQTWLDELRDRLNEGVLKGGKEDIEKQYFNTMDADDIDMFLDKAERILLDHREEPSD ITPFPLAPHDPIPASDIPPNSWITFHQKSDNSNSTRISHKPIQANSGNNKTLPLMPGE KIRSFAANDPFLELPNDLEVVINASSEVIGWDVKDILGFVEVIERRLERLRPRDERGR KVRDVEGEDEGEGDDRSRGRSRSTTRSGSDSMSRSALIRSASKEIRLKKVASPNNLKA RSREPSLSRTASLNRINSRNGMKSNKSFS L199_008130 MAEAVLKHEIAVHPTIKDKLDIHVDSAGTGAYHEGEDADDRTIA VCKKHGIKADSIARAVVEDDFNKFDYILAMDKSNLQTLLHRQTTSSPSQISLFGSFSP SIPIAQQGRSNTKAEAISDPYYGGRDGFESSFKMCTEFAKGFLEYLERERS L199_008131 MAEVDLTFDSLLDLLRRLPPTRVEENVNALCDLAPEYTDDLLGN VDQPLKVLADGEKGREFLGCDYNRDGDSFRSPWSNNYLPTSTGGPVPSSRLRELEVSL NAAFDTYREMYFEGGISSVYLWDLEDDPGQGKEISFAGVVLMKKVLSSPTSDQPETTP SGSWDSLHVFECQERGRSAKYKLTSTVMLVLETKTLAKAELKGVDESESTGKGGVTLS GSMTRQAEVDYPLTNSEGHIPNIGRMVEDMEIKMRNLLSSVYFGKTKDVINELRSQSG LEMKSKEDLLRAELAGKLGGRRA L199_008132 MASAAIAGPSRIPLRSYPLIPSRCLCKRQSRSLFTRRGTSFWTQ SYPSSESPQIPYTPPPPDQLIGRNGNEEVDGQRRDRKQRYLDSLMDKAGELSLRCSVL DAEGNWTAEEGKYKKSELCREHDLDPRDLRKLDSLAPNLVPIILTRRTCIIVSMLHIK VLIKPDRVIVFDNSEIQESDTQRRFKYHLERNIKAGLGIQTSVDNGSKDCEEAVLCYE HRALESVLVASANALEEEMAFTRHLVQHLLSDLESDINRENLKRLLHYSRKIVGFQSR ARYVKRAVDEVLESDEDLSAMYLTSRAQGRPRALHDHEQLELLLESFVKQVEEIVSEV DTTVANMNSTQEIAELMLDSGRNALLALDVKISIATLGIGTGALIAGLFGMNLTSSLE STPYAFVVASGTASFIALLVMIYGTKTLRRVRRVALSDGRSRTDELSILMNSKRWDRS VEQFSKGYDPFLVDNRKKQLLWDRILWKNWGNSKSRDDKKASMMRYNQIQAAKQAQWK AAQQARTWNRGSSKNIGSADDHNATPPLWRHE L199_008133 MSLLPPSQNQAGPSTSSETLHAHSKSDTALTSRQREKAPLRKNV DVDEIKFDDNGLDQEIDLLISQYLESRNIPTITQFWNENVLGMNDSREKKEWIEDVER CILDGDYSSIEGLISKPGLLKYQTQKSFLYLCWRQQFLEHVENRESQKAFNLLSKRLK PLEHYQPVPYDFYSLSYLTSASTVHDAPTFRDWAGVGPEREKLVAVWRELMGSERCDD SEKRYVAPDRLRTLLKQAAAWQISQVGYAGDAGAVKIPTLLTDYRPLQLPDRLYHLIK GHTANVKCVDLIGSHGELGVSGASDSTLRIFSTEDYTTKHVLQGHTSRIWSCSTSDSG SLIASGSGDGSIRFWDVASGECMNVLYGDGGDVYSVKWRPGREDQVVSASYDRILRSW DIETSKQLRTFSGHSQSTLAVTFDYTGNMIASGSKDKHIRLWDAVGGTCIKNITGCLG EITSVEFDQQGRYLLAGCKDNSNRLWDLRMQRNIYRYTGHQNTSKNLIRCSFAHDASL IIGGSEDGCIYLWEREGPSSTSSSSMIAPDQKSLLPQQPNGTPSFYPPREKTMTTTDK TSIQTVRPLKVLEGHGEGSVFDVVWKDGKVLSAGEDGLVGVWGT L199_008134 MRTNLEVPLTSSMSDGNPTLRQGHNHEGTVPSTSSTTSSATIMK EDENKKTEMALPPPPPVKITGAKPSENNKTVTIIEEVIALPPIEVQALQEEFEVRLRE GEKIILKEDRKGSSSKTNDDEASLQDAIDRS L199_008135 MADAKLFTRGKAQELRDELRGANDKRDKGFLRKKTALKKIVANM TMGNDMSPLFPDIVQCMQIQVLEIKKMVYLYLVNYGRLRPEEITSAIGGFLSDCADRN PLIRGLAIRTMSSIPLPAIVKALVDPLRHALQDQDPYVRKTAAIAVAKLYASEPGRKV VEREGFVGMLRDLLADHNPTVVANCVAALVEISERGDDIVLKLNGNVAGKLVAALGEC SEWGQIYILDSLMSFVPQTHLEAEQLAERISVRLQHANSAVVLTTIKVILYLMNYMED DVLMRVLERKMGPPLVTLLSSGSEVQYVGLRNILLIIQRRLGILQNEVKVFFCKYNDP IYVKLAKLEIMYRLTREENVSEVLAELKEYSTEVDVDFVRKAVRSIGRLAIKISSASD QCIQTLLNLIATKISYVVQEAIVVIKDIFRRYPNQYESIITTLCENLDVLDEPEAKSS MIWIIGQYSDRIENSDELLEDFAFTFKEEPAEVQLALLTAVVKLFIRRPTAAQELLPK VLKLATEEAENPDLRDRGFMYWRLLTSNPTAARDIVLSEKPVISTETDRMDKGMLDQL LLQTGTLGSIYHKNPNTFIRTAKARYLPDSPALNASSKRHLITPSGSGMSSSALRAPT VLPARPVSSVPANNAQSPVSPSAVTGGGISDDVYGQLSDLEFVNGGGAGYQTDIPRPR GAEEDLLF L199_008136 MFTHRLRSLLNTSTAIPRSSTLTSSASRINQFQFQFRSYSTPTP TPPLDKGEQAIYDKLKSAFPGKRLEVQDVSGGCGSFYAILISSNKFKGLSTIKQHKLV NACLKEDIQGIHGLQLKTIPEE L199_008137 MTTCAGCGEKEASRLECPNCKKLGIAGSFFCDQDCFKKNSDNTH FPMSRAIHSIVQLAAQNEANKESTLPPSMRNYKFTGTLRPVYPLSPKRVVPPHIRRPD YADHPQGVSAIESTREKRIKILNSEEIEAMRYVCKLGREVLDYTASFIKPGITSDELD AICHQACIDRDCYPSPLNYAKFPKSVCISVNEVICHGIPDQRPLVEGDIVNLDVSLCF HSDLNATYPVGKIDDESADLIATTKKSVDEAIAICKPGVPYREIGNKIEEIVRPKGYS IVRRYTGHGVHERFHCEPNIVHYGGSKMPGKMEAGHVFTIEPMINLGTANLDHWKDDW TAVTLDGRRSAQFEETILITETGYEILTRPPTTTSSSSHKKKKKKSKSKTHANGTATP NEGDETPEVGTPTGEAAEGVKELHVNGS L199_008138 MSKAGSLFRHKGFKDALRVLAWVPVGIFFTRHVYSLASVTGGSM QPTFNPNLSSSPLHHDVVLLERWSVAINRFRRGDVVTLWSPQNPELLTTKRIVALEGD LVNPLPPSPPTPIRIPPGHCWVEGDSKYQTRDSNTYGPIPLGLITSRVAYILWPWPRR GIVDTDLPTKSKGRVRTLGQSYLQSKFGEALNND L199_008139 MLNTLISRIRPHPLSRSIMSSSPSTSVARTKTTIAVAQIRSTAD PVHNLEISKKVIKKAAEAGAKAIFLPEASDFINQSFPESRKLSLPLARHPYTLGLQET AKELGVTISVGIHEGPDSEEEERFYNSHVVIGGDGQVKANYRKLHLYDVVLTKPPNED GTIPPPQRTGESDRILPGQKVVPPVDIERLGKVGLEICYDIRFPELSMILTRLGATTL LFPSAFMVKTGRDHWATLCRSQAIQSQSYVIASAQYGAHNPKRTSWGESIAFDPWGKQ LGRLRSVDDTPPGEDKEVERIYEEEGEFFLVEIDDQIVKNTRSQIPLGVQRRTDIYGV VGENVNV L199_008140 MSLFAPRPETERASVLLPNVTCSSCSASIPLSTLGEHVCAAASP RGLANRNVPRPSQISIPQARPAMARQPSSQGRGPSPTNVPPPFAGPSSAHPSPSEFSI PRRPSATNLSPHDGPSGSYSAYSIPVKTPSPTNPFFPHPDQAQAGSSVHGLGMGAVEP QSSPMIDTTSGGESGMAGVGRRAFAAAAWGVRAGVALAKQHIEAPATSPSYQTNNPAW PQPQPASQSSAREPAILPKVPLSGRQLTGPSPTFGARPEMHHSHTAPMVPTHSYSPPR ANPASPPRRSASAMSHRSNPSSPPRRKESLSSKASSRSGQGESISQLLRARTKTAPAK SNKPGFFDKVKEMHERSNTVSPVLGIGMARSTSGGSGSGRITSSPQTTTFDLEDDDYD DQQSALPWATPSLDESPMMNRDKANGMKHHRYPTAGSEASSSSSSSRSGRWGPTSGES EEVVTPSQSLEMLSDRVSSKSNHEAGMRSFNTNAGGVSMEGRDILDQIGEEDEEEDEG DMVVFGTPTSRNIKDPHQHQHHNQKYLPNSHSTSTITSVRPYLPTTVPHSSPNRIKGL PSSNENTPIKSRSNSHSHSHKSSTSSSNSISSTTISSNTQRRNKVCVKCGDAVGGSKR FVERDGIVLCEKDWKKMYLPSCRRCNLPIEKSAVSSSDGQLKGKWHRTCFTCFKCDKP FEGDDFYVLNGRPFCQFHYHEENGTLCASSSCHQPIEGSCIVTPGPNPQRFHPGHLKC DHRGGVSGVHNCREPMDEYYEIDGSRYCERHVGEALKGNRSMKAEKRRTRLVDLPFGV GGF L199_008141 MSGTTYRGPINPNPKDDEASIIIYGYTPSLALGIIGIITFTICV SLHSFWLARKRGTRWFHSLILVGALMEIGGYAARLSSCRRPFVVSSFVAQYFLIVVAP VLFSAAIYLSLSIATRSYKGAERLLIISPRKVLIFFVTADIVTTIIQAVGAALIGSSE SAKVRGNPSSVTPEQANDILLAGLAIQCFSFTSFLLLLLLTIYRSHQPTYTHHLTSTT SLNKLRSFLYTILLTSLLILLRTTFRLAETGQGFFGFASTHESLFGTLEYLPVVLALL IWGILPPSKFVNPDQSMERERLDSAIGETQTSQIGRNRRDIV L199_008142 MASISTPTQLATFSQPHASSSKTPHVTLSPVVGDSKCAVAAVRG DGIWTYDLNTLRPTTSFTVSPSTVFSSSPISYWSTRTEKVPRDTSEQNVVEDDMDADV DEDEDESDEQYEKEDTVEVKERITLVGVGKEIWKWVGEEGDKEVIEIAKSIQSIHYLP SSRYPILVITTNPTDLYLLNNKFTPNHLTLSLSSTDILTSKVLIAKDNSARLALVDTT GKISVFQLTLEDSPRVNRVTEGKIGGEAKLGYVDISEDGVISALDESNKLYTLEISSL SSPTSPLTLVHPSSTSVLLSLPTTGKPLILLPTPHPTPSLLLAIPLSTLPAVLSSTSI SSFTSTGTIEHLSILSRKNGILTVGLVLSHLNSDGQSGRSVIYTTEVVVPPKGIGMGS LLGTKEKTQLYLSTSAAITHKSDGQGEEEKVIKKVSESINKNQPSQAENALKGFLSKG DSTSISESFVRKIVNVVFSEAINDEGKYKGTYSPGIIRLLLERGLVNDSMWKASLVGE GLLPCGDWDNIIMCFKNFRTIYSLTLVKLISSSLHPSTNDKNAPALSKLLYLILSSPP APTFRLDLRQNLSVEDATMVLEQLLKWAQWHVNTRGEVLRGWDADTAWETQLLSEVEG TPSLNSVIAYSSLLLDSHLPLFLSYEPSHHLLEGLNEALEPLLEVQNEYKTLRGPIEA VLILAKREEMNEKEKQSKSKTKKEGGQGQGKRLMDEKIGKWKVEDLVF L199_008143 MSACAQPLLRSTPFLARTAIRTSRPLSFGLTSTMGYATATPAGI ERIKVKNPVVEIDGDEMTRIIWKKIREELILPYVDVDLKYYDLGMENRDATNDQVTID SAEAIKKYSVGVKCATITPDEARVKEFNLKEMWRSPNGTIRNILGGTVFREPIILEKI PKPVPGWTKPIVIGRHAFGDQYRSTDFISPGPGKLTLTYTPADGGKPTELNVYDFKGK GVALAMYNTDESIYGFAHASFKMAISKKMTLFMSTKNTILKKYDGRFKDIFEEVYQST YKPEFEKLGIYYEHRLIDDMVAQAIKSSGGFVWACKNYDGDVMSDILAQGFGSLGMMT SELITPDGKTMEAEAAHGTVTRHYRQYQQGQETSTNPVASIFAWTRGLAFRAKLDDTP ALGEFAKSLEESCVEVIDKDGIMTKDLALAMKGKNMTRDDWVTTDVYMKKVEERLIEK LKARI L199_008144 MRQSLIRLASASPPLPLSVQQASIQLIPPIPLYRRLLRAHRTLP PEMRFMGDSYIKSEFRLTRSTDNPLHIIAFLSQWKLYLDELQQSSGQGREVWRGKKLD TVSFEKLSKEQVGQLYELMHATKDVWKSPEQLEQEAKDAGINSQ L199_008145 MSSFFITPALIAWLPLAKAHMSIWLESMYGFDQSYEPVTPLSGK SFDQWWFHGNANDQPSSITTLIPGSDLSVEIACSKEYTSFGGNPTSDACPGDSGSYHA GGSTGSGSGWSGNSESNLMGCALAIAFKPTASQTNPEDFTVMSIQENCVRQRQTSFSI PSNLPACPEGGCTCAWFWQGKNSANEMYMTGFRCDVQGGSTGGSYPSPVPPRKGAIGG PTQPMYWANTPTNLDYTPDWETKPSYNSAWGWTNGAQTSAFGASSGTGSSNSTGTGTG SGSGAGSTSAGGNASSPSSTAGSGKNGSSPSSSTAGSGGYGNSPSSASYGSPTSTQGW SGDDDEYAEPTSTSSRGRGRRPTSTSTSWQGQGEDDNSYQSESQGEDDEEEEENPPWQ ELAADPVGTLSTTPTPTPTQALVQTSSPESTSTAIAKKCNRHGRRSRLRRTVHV L199_008146 MSLPLPLPHMQSSPSLSSASSSTMTLTPTPSQSLSQPPGPHNLR VTTNLPPSSSSRLRSNSALSPRPTPNSLSPINTNLSRGGGAGEMMGLHGPSKSLGSNL ANYNSNGYNYESKLPSPLSASPSPGGSGGEEKNDVAAVVRGLRGGGPSSPLGAPSGTP PTNRSQSNPGSGRTRGTSPTPPPAGPPTEEYIISRIKEIAEGSKDEGDTLDISRQNMS KISDEVVDVFERGVGKGKRGVWRLALSYNNLTNDSISYRFAHLSRLRYLNLKGNYLTE FPRPITELAALEILDLSKNKITSFPDEPRRLTRLKVLSLTNNKIYTLPGYMVQFTSLK VFKVDHNPIEWPPKEVLGPLAEPVDQSSRSKTSEGASTSSKDRKEQDLRPWIENMKSW MRQRAADSERLLQQAEESNRISEDEPLSAASMATSASARSFRSQLESPMIAMSSQETV KRAVFPQNLDDRPRTPTRPFALGRNRSATLSDDALARSYSPGPSQYSPYRPRHSRDPS ASSLTSPPSASTESSAHSRMPSASHLPQPPTIPATQGHSRGASYTATQRLSGNLTVKK SLPDLRQSHAQIIQDRKNDGQPIEEARPLGLGIAAPGVPKFQLPGRGWGGEMMRSPTA GPTMSGQERSRVMNRKGSIEMMRRISGDMSGEMAEKRNSQDGPQIDESRNSYFRRLST LPVSTISKSIPPVLLKFIDAVRGILYALSQLHSALRQYLVFAVNERIASVFSRVMEPA GNYMNNLINALDRFDSMSRRNSPPVHVIRNVIDAAKESVAVFAKVVAVLKMQIPALKN NDIRYTRTLLTMIYGSMAEVACSWQSMAPLLAEIRPLLVIDVSGLAMRSMGGVKMVPT GSLSGRTPISPIIERRESQSPASVSKSTVGGSPLVPQVEDSPAPAMVHATSLRTMGKS RRQAGSFSSLDVERGMLMGSPGGPRSSEVNTSDQLSTPGSYLRHRPSESATIVLDQQA EGSDEDEEEQPSQAPIPPPPFPISTTSPNGTPFTIPGTPPDIVPSHQPIAMVPASSPQ GRHHPSSSSGSSHAMAMSFTSNNPPAPSRKLSVDVRPPTPASASVFDEDLLDVIETAT DIAFTCWLKLAEDIGASSPPFNQHQKSGSQSSLLSNAESTRMGMGPFSARRPSTISVK HHTELLHLLSIAEQITAGLRESLMGLRANPSTYTTTTLPDDAQAFIKTVVKVSELVKI ISATHNFPTNVRAACSRLTQSTRECAILIQVSSLRRSNATPASIPPLSATANSGRPIS PMYRHQTSRSGSNANTTLNSQEDLSIPNNHNQYQPHSAGYMTHTWDNPNPNPGGGGQG TKDGLRGLQLPSRQMAMALGRSRSANAVPPMAGAQGQNQNQMGGNSGNRYMNGNGNGN GNGDQPNSAQPTKISY L199_008147 MAPAPSGFSFSTPTGGSSFSFAQQGGQSSSGPSTFSYGSSSNPF SQKSATSTSILTHPPQEVDGMHQDGDEDEELEVEVLHLQPSAYPKGEKKKWKSSGRTI NAVTSPAGGEIASWIAKKPSANPNEPSTLSEPSLSLSDRTIFFTSLSSLPDSLVQLYT ESHLLFTSLQQIVAESHSRRLPSIGLEKIAEAWDRRGNLVSPEGLLGPPDAETIMHMR RLADLYLDQLGELKANQDIDIELRARFTTSYNILNLAEVLYLPVDGKGEGLVGEEILD WVNDVDPAPDNSQGNEIMSTQNPWDHPLFFPYISRCILRGFHLPAASFLKSLSKHPNS SISKLSSMLSQHLSIFPRSTEERWRVDLDFLQAQKSWLAKFKAELISFIQGKQKGQWF GEDKYKWMENDFRVIIDLMEGKPQGILDEASDWREALGAWGILVDVDMRRDHLPEIMA MILDKIPVDTTVPEDAIQSALCSADIIKALMVCYDIDIWLSAHLGDLLDKLELIPDDE ERFEIPLRDYFLLEYTEILQNNPKYSAFWRVICDYLNYAGQEGRNRLKSHIMRISISL DGQSKSENKKTQADGMHVEESTEGDGEQTKEMEEAVRLLDEIRAACGEFKLEDEFKMI SQILASKLIRKGEYGMAASMSMMAGDGWALSRIAEKILDSYITDGDEEFLRLVDTLPP ALLSEAPTALAELQNQLDPASGLPDLPATSALSIFASRLTFLSEFRDYLLFLNQGARD RAASKLVGLLTSGIAPVGIWAVLLVESINLLEDSDILFSSNETFELLRVLEEVKVNSS FAKQDYLEQLIQYLQRLSGDYDDKTKKIGYEDGYKKLDQVRLALARNLARSLVGGFDS PF L199_008148 MSTGKPATQYKAWKKLQELHSSKQSKLVLKELFNSDSKRFSTYS KEFKASSPDVSILLDYSKNLIDEEVLSTLFDLAREASVESFRDDMFAGKHINTSEDRA VLHVALRNPPADQGGFKINEAGVDEVHGVLQHIKEFSESVRSGSWKGYTGKPIDTVVN IGIGGSDLGPVMVCEALKHYSKRDLKSYFVSNIDGTDLAEVLKLCNTETTLFIVASKT FTTQETITNAESAKAWFLEKAKDQAHVAKHFVALSTNAKAVTAFGISESNMFAFWDWV GGRYSLWSAIGLSIALSIGYDNFQELLNGAHELDKHFKTAPLEENLPVILALVGIWYN DFYGAQTQALLPYDQYLKKFADYFQQGDMESNGKSVTKDGSRVDYETGPIIWGQSGTN GQHAFYQLIHQGTKLIPADFLAPVETLNPISGGKHHEILLSNFFAQPEALAFGKTEQE VREELGAEASKNAALVKSKIFEGNKPTNSILFQKLTPGTLGALVALYEHKIHVQGAIW GINSYDQMGVELGKVLAKNILKQLGNESDVKGHDSSTTGLIHYYQKNRK L199_008149 MKSLFRPFPLPLTNRQWFYLLVLQGVGAGIIDGGANFAVAYAMY HNQKVIKMWVLSKNTLAGDLGVTPIIQCFASMLITSTLVHTDLHHHAVAPLPFVWPHV EHLPDPREIIGRWGKGKRKGEETEEKKPTNEDEEEPSTKSLSHYIKMLIRFIFEGTEN NSLLPIPNSKPFPIRIFLTAAQGAAIGIIFGLPVFLLFIIVLGPLYKHDNIVDVGWKW SPMVIKCIYGAVVGWITNPVIASLALGSQAEHHLIVISEDSPEGDVEAGQSSVPRIDG VGVDTIHEEEEEYLSPPPPLTGDSLRLPKSPTPGGGSLRIPTSPRTSNRPRALSNISA TSSLSTRMGIRPPLTANCSTLPIVSASNSSTRRGSVTSIPRTPRSPGTGDFGPMNVPP PGTPTLGMGFQRIKRSRGATISTYVSQSDSVNTNYSYALGGTGGRAKRPNRPRAISSL SGKGIQPPKVQVDGMEGLAGSSPSEGMRLDVPSAITATTGTSEVGKNNVRGSSEFATT PVWDVFGQVKVQDQITTPKKNVQDDVELRDKTMKQEEDAEKKDEK L199_008150 MTTTTPSPTHRAEMMFLGKECNHPACHLHDFLPFQCPACHLSFC QPHFLPSQHSCTSPLPPSMVDRIAPKCPMCDEIVKYTSSSNMDPNEAVERHILSGTCT GFQGGEERKKLELKRKKQNGEICWKKNCNKMLVVKMQCEQCNHFFCPTHRHSSSHTCT PSNTPSSSNTNLASSSQPIRPAGKAALSRLLPQSMTPPVASSSSKPIPAPAPAVKVNS SSPAVDRPLDAKAAAAAAAIKRAGQDVKVPFVKTKTEKRSQAELNSTIQALKARHDKG LLSKTEEVKYAELLAERESTKRKFGTGIKDKGGNDNGKGNGKGDCLIA L199_008151 MPNLHVHYYNGNIKAFRQELDGSNTSNTGGKGGINPGSASGGKS WSISGFSTGSVKADINERDQFGRTVLHLVASSSTANAYTFLNILLKNPNISVNLQDTE SGYTALHRALFVGNLRAARELLARSDIDTSIKDAEGMTAFDLYNGTVEGTNPPHDVDG SDLYVWGVNRNYGLGTGDASDKAFPDRINLLTQAQSSGRSDPSEKFDHVGVKKVSMAK LHTGVITNESRGNLSLCGFGSNGRLGRSTHSQLSLLPLPDLPHTIIDIALGQDHTLAL ASGGYVLSWGHNRFSQLGYTIEAPEKPMPGGRDGDDLVQITPKRIVGPLKKEIVRGVA AGRMNSACWTADGVWTWGTNAGHLGYDKASNPVQVVPRKVTSITQPVLDIAFTDYAMI CLLDTCEVLCFHRDTSFKISFSTPRVLSEAFPFRPPQATLKPMIKKVTSCGTSFAALS SIGDVFTFSLPNPLDDLPKDARGGHVSVKPQMIWALRKSFTAVQDVALGSDGTVIICT QSGHVFVRQRLKSGSGQLKFRRIPYLQRVVKVAVNESGAFAAIRVDARATPISLAGRT LEEDLYLLQPHIGRFENQMTADEFEEALKKKVEDDEEDESSNSVTKDLSVTFRFCTIL SRWRNDDGDSLFAWGEPLLGSDVHLVVKDVAIPAHSVILSLRVPKFKDLLAGSGTNKS DHISLGKYRSSKAIHINACHPLVALLLLQYIYSDDISAIWDARVARAVQDKFAILKLP LGQIKSDLKTLADDLELTPLSTVLSSAGKQPISHLTLPSDLYAFFTSTHSLPPSPTTQ CDVTLVLADKEISCNSTILRSRCPFFEAMFADSDWTSRRVDEGRVTVNMEHLKWPAMK LVFRYIHEGAEDDLFDYLHQDTLDDFLDFVFEVLAAATELLLDRLVLICSRAIVKHCN ALNAAALATEATFYQANTLKLSIFDYIISCMETMLESGLLDEMDFDVLQDLSEVIAQK QATRLSVSRSQLLVKQATEKHRDWLLLQDIPQPRVRQPFRWRPKSPALSPVDTMTLSS ANKDKERVKMPLSPMMSPEMQPSAADGIFQMDDDLPTPPSSASGAVTPRVARPVTPLN LSAAAAQDSKGAVWRSKTVETEKVDLRSIMAGEAARKTPSRPAATPSSILGGPSRPQP TPLPTPTKTPSTPRSQPLSSGGSPWRSMDTPKTSFSSLQTQQSPASSSSTLPRPTSSQ ASPAPQRSGSSKVITPVRLPPSAPGTIPQRKVSASGAAWTTPTFTPTPQVSVSPITQG FSLLAIQQHERDIAEMSAKKPAKSLKEIQEEERVAEKDKAQEEDFMRWWKEEEARVAR ESGSGSGSGPVPKRGKVRTATTKGRNGKNGENSEMTGAGAGEGLRASGSTRGGRGGKV KGNAGVSRNGEDGEKRKGNKDKDKDKDKTPSKSHSNSDDTRQPSQKKPIGTPTKSINN TPSKSNGNPSKNNNNNDNNNLTNSSTTIKSQSELQLQPTASTFVPKANAPTFVPRFGT GNGK L199_008152 MEEIGIDFKLEDPTLPSQISEKQALLNSLPTSDEELYSTWKKLE GHREFLELQEEYIRDETQNLRRELLRAQEEVKRIQSVPLVIGQFLEPVDERRGIVGST TGSNYVVRILSTLDRELLKPSSSVALHRHSNALVDILPPEADSSIAMLGADEKPDVKY SDIGGLDSQKQEIREAVELPLIQMDLYRKIGIDPPRGVLLYGPPGTGKTMLVKAVANS TSASFIRVVGSEFVQKYLGEGPRMVRDVFRLARENSPCIIFIDEVDAIATKRFDAQTG SDREVQRILLELLNQMDGFDQQTTVKVIMATNRADTLDPALLRPGRLDRKIEMPLPSR RERRLIFQTVTSKMNLGPDVDLEDYVSRPDQLSSAQIASICQSAGLQAVRKNRYVILP VDFEEAWKGVVKKSDETHEFLYISSLCMQQQ L199_008153 MGKRKAAKKPQAKKKAEPLSSVFKCLFCNHEKAVTVKIDKQSMF GHLTCKVCGQRFTSPINSLSVPVDVYCDWVDACEEVRAKQPPKQRPVRAPLPLAHGRG GGVSFDPDRPTQDVDEDAEGEDEEYDSRPKSKSKSSNRRDADEDDVDEDEDEDDLDDG GRREKRRRVREDYDDDDEDED L199_008154 MPTPLSPSSSQEIIPISPPPSSHLKAKGKAAPTPTASSSKSITT FTTDLKENSKSRSGSGSKTASATVWKSKDVEDQIKRKVTDDQRSELSKKNRSQVTQRM LSTRGKDLNPITNSDLYSRTDHFVSCSTGHQQSNRGGGSEGSWTYWQVRSAQMNDQAR PKKTDIFKGCVVYLNGSTGPKVSNLQVQHLISSNGGRLAPTQSSSCTHIIANGGLSGT KTQKHIDGQGTRGASRRAKVVKVEWILDSVEKGQKLSEAGYGMVEDPSQPNLFKTLGV KPKLESEVTQK L199_008155 MTTFPRHPLQLDRPYSGSSHSYRTSLTPSTNSTAPRDSTTAPPR TPSPYLPNPPSPKIPHNDVLPSIRIDDEGDETVEEGRYRRKSLERTRSWAEEVERNRK RSERKGKERVKAVRESENGAYGQPFWSVSTEVMDSLVEALSPSSSELSHLPSAAKVIA ANRRRSYRIDSDSDEGKSGEEDEWWNEDLHREKGRSTSMRLQVVQPRSHRERRMSERA RKSSNRTTRKDEKDKSIRSNEKIALISLPSPTTPITPTTPNTPATTSTPTPTTPILSI DDIIRKHSPGVTNAENVVKEKARREIEEVRPRLASIRPKSMDVLTQRKKELGDTLGQS PENVVQNNDENSRVATAPQTSSLTQDTSTADGMSRTRKLSFAQPSTPSGSSRTNSLPN VKPILRTSQNTHNTPRKSALNSSVSSGQATGMENESLESLSQEVKMNTALLDQLEQLE KSSTITSISSTPTSSRRISFQISPDKRSSAFVRPMTPTRNFNGNGNGKLSKRKSMPES SLIALQSQAPLDSQNQSYAVYLRSTHLNKIINLPRRYPEKPLHISSAEVGNPHGKPVI VFLGLGCVRYLIALFDDIAKTFNLRLICIDRWGYGKTDQVSSDKRNPLDWADIVTRVL DVLGVRDFQILAHSAGSPYAMALALRMRDRVKGKVHLLAPWVGADIEGGYKWLKYIPN TVIKSATAAEWKLQSYLIGKPPPLRHRPISHDANAPVSSESSSRTTTPLPEEDTFEKS RKKRNSMLRSSNRDITTNVVKRERQRPQTSPSPGLVRRASKKLLTTPRKTSVSKNMDR PPSQSELRRSNSPTKNIDPRTGSPVRQDSGTSLISISSSLQTSLKTPNSISRSSLSQE SRARSSSVLNKNDDLEVDVDVGLDIYSQEEFDLRSSNSSDSQFVTSPISRSALLRTKS STTSSTSPSGIDYGSMSAPSGEGFSTALNQASHAECEPGTTSDLLSIILNKNKDDKPW GFSYTYYTGSVKVYYGSMDDKISEKSMRWMERSMNDVELMVREGEGHNLMTSQRVMWD VFESLGRDAKGR L199_008156 MKFTLAFSILTLLASTAVNAIPVPMTSESTSPAPTTDMAAAPTT EVAATPTTEVAAVPTTSSAAPIETPEETTSAPVEATTEAAAVPTTSSPSTPDSGSSGG ESGDTGATTAPASTPSSSSTPSEGCTGEGCETSSSTPELPCDEFGNIIGSLTGGSSPS GTSGSSPIGGSGSGGKGGFGIDCDEFGNPISGSGSTGSSSSPSSGTGGKGGIGIDCDE FGNPINGGSESTGSSSAPGEGSTPDSSSGGSDN L199_008157 MNGYPLYEDPNNNNNNGQSSVPIFPGLSRTTSSSSSIQHFNSNP TPDPYTYLHSQLGASSLHSQLGASSLRGIVPNLKPTSFNSFNPDDYVSTLIDPQQQQQ STAEAFTQIIQQHHGNGDGHGPSKISGIAKKKSAKKFHGAEGLGKNGEPRKKRTKRSS GKACVYCRRSHMVCEGGRPCERCIKREIPHLCRDFTPPPHHPTHSHSHQSEASQMTES PSQVSGAESSSANTVQPSAQPSAQPTLIPTLPQQNQQQQQQQIPMYTDPNFPPSWPLL PNTSNQMQFNSAPSQLNQTLSQQTPPVKLEPDLTGNGNVNSNGDGSMPPPSWGNSNDD SELAALNKFMKDLGVPALPGDFFSFMQSLDGNDPNNSLQVPMSDDIGTSTTNTPDFAN LSSQNLIGAFGGSSNYKGKGKVVPMSRIDKFMMAAADQPNGSRAARLAQVIKAKYDAG LLKPYDYVKGYERMNKWMESGRAAPRIESRAGSETDSPAPGGIGRNGRLPLTGLTPHQ ALGKSISPDSRRRILAALAGFRPKFRQIARTLTNVDLVFVEEAMERWMLEYDRTFASI HTPSCIWRRTGEIQKANQEFSNLTGIPASMFRDGQLCVYELMDEESAVRYWEGYAKIA FDQNQRSMYISCTLHIPLSLTRHKPRHLSAAPTPNPSKSAFPAPPPPDLALPQSFHDG ASDNGMMDEFREIKCCFSVTIRRDTWGVPVAIMGQWIPIQG L199_008158 MPLEKPPCGNPLFLKWMEELRDAAREKNMKSAEGYNKACRSLQN CPVTYTRPRELVVLQHIGEKTVAILEKKWKTYCEENDIVMESPTKTKNKGKSRATPSD NESTNSSTEAAPKKKARKASKPKAYIPAKGSGSYGILMSLVLAIDNPQINTQVFLTKS EVIRGAQDYCDSSYDHSEKGTYFTAWSGMKTLVNKGYVYVTGNPHKYCLTEEGYDVAV TMRNLRPELGHIERHPFLHTPAVGGSNTPVIPSRNIPPAQTPLAGVPSHTSSRHISPE KPVSRAKPERFQFWYIDSSGNRVSSLSSSTIRLDPTEFTNLRKVEFRFSQRNHPFASQ LRLVDDHSLARVRDDTGQSTLFGYVVEEEAPPTCSKFDEEPVLTSKTKRRSSGSPVLD LLDSIAPDEDDEALVSIPQTPKPKASYPIDDLITAERTFARTVSAPAKPQSPISNLAA EAALQRQVGGQASNRSHSLTELDKPSKPSRPAPRLSSHIPTPTVHVHLDQPLPLSSTI AATDKISTFQPSDAIVYPPGTYEIVLVVDTREVESRSNRDKIAETLAAKGIKVETRAL RLGDMCWVARRTVGDLGGEEDECVLDYVVERKRLDDLCSSIRDGRYNEQCFRLSNSCI NHIYYIVEDWQVTERMEYHGLQIMTAKSQIQIHNRFFLKETHKLSETIDFLTTMTKVI QSSYRDKSLYIIPTRYLSRSTYKSLQNHLKETYPDQEFLTSFGAYQELNDKSASQTLK EKFARMLLCVKGMSAERVSSILDIWETPRSLHESLKEREGQPKELEVVDESTGKKRKR TKEMFFADCVKGEGRRKIGDALSRELWKAFMGSENGEK L199_008159 MQATARSVGVIITEKRGLKACTACRIAKVRCQVVPEIGICVRCQ SAAIPCHFTATRRGRVKGSKNRKTLEKLAKDNSDALRTLSSPSSGNEQPQDLPWGNDH LSIPLTLAQSPLDLDPFVSTLPSPAPVSHRGLEASRQSMTRRTVQTMAGSFPTGSELA ISEPIPRADFSTGRDVHNPLLFLAECARRGWDTDDTEWRDTMVVPTPSTNIPLEDAIR LGKWSKENLGKIIADQRKYFQHGLHGTKRDVSMGLDPVYQNVINEDQVGTLFSNYFCH VHHQWGMLDRNTHTPSFVRSRSAFLFTVILALGATSIATLSTSTPQDHLLAIKLWAHL EKLQLVICATSAKSIEIVQGMLMAQMWALRTPRLVDDQRATRLGMAIRMAGQIGLQLA RHHGRSSGEARNENDLRTRLSLVLVESRWESIADRQEISCLAFDLTDYEAEELDRTGP SDDIALMAADYALYRFEGESKERISRITQNNHSTTALDSERIWIQTYLSSWESKWVEP QTDPLRRWWFRYLSIRSRLVGILRVAKARAHPGPWTDQMKSDLVLVSIELLEGCLSHE RSMHMLRPTSPIVFAAAILLQLTGKDAPERDLILRVALRLAGEPKQEDIMTYAVHNGY QILNMLCLSKEVTATNVGPPISVSSNIAYNPSSTSPSTQSLTGEDLTLAQQSLPSVSS IHPRMDSLPLSSMSSHQQFDDIGQLLGLGGSTTSQFIHQRQSITPSSLPSLGPHTNTV WGSFLSTEPSNTNQCPPSSMDQPAQSGGPGYMAWPNSGEELFNLAGGGQMSDNDISDF YFHLASALGS L199_008160 MPVPSDSVKIVPLTDDEGVSGNIANDHLFDAVDALFNDGVVVLE NAIDVEVIDKLNEKMKSDTEKIMSGSVKVHWNQGEDKGNVSQVPPILEEYLFPQIYAN KLASSVLSSVLGPVPELHYIRSNTLLGNTTTRQKVHKDVKGRHLSHPYAIAMNVCLID VTPENGSTECWLGTNNSSPWTDHQTYTLGFVQDEKLEARRKIRPPVYPSVKKGSLILR DLRTWHAGMPNHTSETRVMLAFVYFAQWYRNQMKQKFPISLKPLIEKYEKESNTRIVV DYVDDEEVKDYLNTQFETLFISPHHPLQEVP L199_008161 MNANANTELERVDSKKDEVYTTSADVEGNGAHKLDESYIDHTTA FAGLTRLQAMQKFWRACTFCMITAFGVIMDGYQTSLPGSVLANAGFIKQFGTVVDSAT GELSVDAQYISMWSGLAYLCQFLGNWGGGFIGDRFGRRYTLYALTVVFTGGIITEIVA KNYKDWLAAKMLMGLGQGLIQQGVLTYISEVAPTQLRGALMSTYGWAYALGQLFVAIA LNTLEMTEPENYLKAIYSEFVFLGLWIIALPFLPETPWYYARNEDEVRAKKTLSKIYK GVEGYDIDREYNAMLFEIQEEKQRSHSDSEVALKDIFMGPHLRRTFAGVFALVMQNWS GSPVVFNYTTYFLQQAGLPDPFQGTVIIDCILIGSLMLSFYGIERFGRRTLILWAGLA CTVFNIVIASLGFVDHSGPVDNATLAFICLWVFMYACGFAGTGWTCAAEIATPRLRAK TTAFATSTNAISGAIFNSTVPLMISATSRNWGVKTLYMFAILGGIGTIINFFLLPETK GRTFAEMDEMYDLKISPRKMKGHVTTLEESGLKQHK L199_008162 MTTSGASSSLPSPLPAPTTLFALIHELHTTINDSIDTALSWDQL QSPPINYTLVRPIVQRFAPKVDEGSKPTGTATPALAVPTSQDGGESGVLKKIVGGDTD GPSLGMVLYALMANRIQYVSLSAGDLSYEPLQTTRAAFCELLAIKILRTFPHPEDAAS LVSELVRGYCAFDGAPEEVWNSMGDDREDIEEMAGSALELAIVSTSKHFLSLPLIQHL INLIYTGQLIYSPISARSIITDSYISERTRQRRRPSHSHGIVSTSGFSSYAGQEPDVH IHGGKEAEEELAEVYVYNPYEAGWLDHQRLKVPKWRKTMEAGSFVILLALFVSTLAAK DLHHIQVIEIIYIIFSFGFILEEFAASKEHGWAVYAANAWNAFDMAYISIFLLYFILR IFALASHSPNTSDLAFDILAIAACIIFPRLVFFVVKENVVILALRGMVASFVQFMIVT VLAFSGICFCLWTLGRATWTVKQIVWLMAQIWFGSSYLGFSASSSFHPIFGPLVLISY AALCNVLLITMLIAILSNKFAAINQNAHEEHLFQRVVKTVEGVKSDALFSYLPPINIL AFAILVPLSWFCSPRTLHRINVFAIRLTSFPILIAISAYERYNYHAQQRAIHLKTSTM DRVMDVQRPGLLDSWLTGGSEMLIASVFEALPNIPITSPGSSGIVTPPKASNLATLQN EEDNDVIERMKSPKKTEKDKKDKRQSTKTPFDSPLAKIFGTRTESVERSKDKGKRKGS VDDTNTVNGNGNATNEEVDALKKELEEVRKSQFRMEELLNKVLAGPITGETH L199_008163 MYSSHYSPSASPYTSPPAPPRDPYRSSPIPVNPKQSYNSAFSPP PPPQMPITSSPMLSGQSQRYHQQQQQYPNPQNQQYARAPPNRYSSPLPPQGYTNGGGY PQQQPPPQPQHLPPPQRHKGTLAPGQIVKVGDQAVRIERYLSEGGYAHVYLTTSDKPI YPPTKGGEKKGRWGEKGYTEHCLKRIAFEDDAVWVDVKKEIEVMKSLPPNPHLIQYLG SAHSRLPTGGHEVFILMEFCSGGGIIDLLNKRLRDRLKEIEILNIFTDVCEAVAAMHH LSQPLLHRDLKIENVLSQPTSSPPTPQRPSPLIFKLCDFGSTTFPADKPPQTKIEADA LAMDLNKHTTLQYRSPEMVEPMLGLPVGLPSDVWALGCLLYKLCYYTTPFEEHGPLAI VNAKYTFPPVPAYSPRIQHLIASMLVEQPVRRPTVFEVLRVAHEMSGTKPEVDYPIRS RSLGVPSQRQASRPSTQSSNLLDFTGSSSPIDKTPILQPSLASSVQPQRRGRPTREPS QKSVSQALAPTSMPTPPKPQEFVPNANKASGGSAPKIQVTGGTPSPVPVAPSPGLDAF GMPFLPASSSQKLENRGFGDSFAAPKPTAGSNIPPKFGANLPRPSSGFGDSFGSSKSP SLPAPSPSASSLNRVSSARSASIKQPSPATGPTKAKSTENSSSIPDGELSFETRFPSI ETLDSDAFSPTSQTTKPEALISPVALPPPSRPAFGAKPSVMGNMTGGDLRSPTTTTAP TAHNGPLQPRSTHVTGTAFKEAKSSASPQQKTDYFGSITSSANQPHSSPGVSTASAAK SPAPQDLMDDEGSDSLKLLPMQPGRSTTPLSSASISTDKQFSSPSSSTSRPQTSPGTT SAQAAPTSGHHRPLLPKIESSKPTSNINSEEWSPLEKMRFTSKPGEERKIEIELDSSD EEAVPENAFGTGRRPTSPANESVKPSSPQKISDRASTFGGISPVKTRAPTKSATVGIP PDSSRRPQRMNSGERSRPQSMFITPPSSSTSNKPFNFSPTISESASNSSLPKSDSARP SHGRKGSINDIVSRYENLKPPSSASSFTFDTKKNGGATNGSVNDTTKNGISRKPSIAS KPQALRKPTLDDPSKFSTTSSSPNDKPSSTPPTTSNKPKIGGSKPDIVAPKPIRHGDT PTDEGYSRSSSGRSFPITKAKPQPTIIDTSVDETKVDEPAKSGKNSPEKQQPVNLLIQ RWNQGQTNNAAANKSVPKRGGYI L199_008164 MYHTSSAAHYNPAAQPRLYQPPTPLPRAPYIPRTPAMDPFGMST SPSSPVFAHDQNPAASASTSSSYTNPFIPSRSTYMSPQINQQFDNLTTSTPGLPPPHQ VGSSSSTERPVTVYEWGPREGTQGTQIVIKADVNLPSSTPPPNPDGSSPNPSPQSKPT KALRVVFGGHPVQTAVTTVDMSNNSQESQYYQLMATVPSFSSTGTASQGYGNKVNVAV QVLAGDFAIVETVQLGDFMYTSIAPKGNPLKRAGESLESTRPSPHQIHRRVISTSSAP YTTPEMQQYATIPSAYHPSPSGRSVSDSVASWQHSPYAATGTSVPSISHPAPPPYTPG LQPSLMRSTQLGPGMPAPTPYVNSGQKASLEMAGDLMTMSKGWTQDEWHARRRLVQFW RRQEGTTIHAAFKPISQADYPAYQQSIIISCIFREDKNVCYVTSVDAIYLLEALVGTR FTVEEKNRIRRNLEGFRPITISKSKPGNEDFFRLIMNFPNPRPRNIEKDVKVFPWEIL GQALKKIIGKYSASFPYPSSPMPPPPPPSQTYQPAQNFGIPGPLQPMIPSSTPDDDYI KHDYSGSAMSVGPPTTSGSAPHLASHSSSDSSSYAHSSYPPHTPSQHSNSPTAYRTNT NPNTNTANTPHGGQTDEKGSSYLNLSPPYGQTGNQWINSGDPNDGNSGSSNYVIPGNL VYSDQPHQLPHVHQNVSRQPNPNDLRINYPGMST L199_008165 MFNILTLGALVTLSGVVGAEEKRIGGVLAGAPVNNITVSADNVI QCSQATISWTGTSNSPYTLSIGQGGYYVGLTTLETHEGLTDSSFAWTVTQPSGQDLIF EVQDSAGQRNYIQNVKVGESDDSSCLSSNTSSSSVASSTSSGASQTQVAQSASVVESS TTSSSTSSETQAKAQATSTSTSKSKSHSSQSSSSSSSKSNTVPTPSTTISLSTSSSAS AATTSNSASSSSSGVSNAPLAAASEGASSSSTLRAIYPGAAWIFGLAILGGWLI L199_008166 MRFSAPLALTFTLLTTLVASSPHAGDSKHGGLSLRGEVPLARGA VGVYQRQTTGDADDSGDATTSDDTGDDGSTSTDEGDGTDTSDGTDTSDDGSDTDTAAA TPTYTYDGTGGEAGDSGPALTSTDPGTTPPSNDLTSATAANVEATSADPNAQPSSAPT TSSSHSGVTNYNTTTRSQTVSLVGTSAAQTSTRASGSSSASASQANSGAFPSTMPNIS PLSLITVVLAGGLGVIRVLV L199_008168 MESKISLNSNPPPPYQSTVSLNTLTHLPPYQSSISVNKLGQSSS TRSPAGHSWIHNDAIWSSLIPLLPPSTLSALSRTCKSVYHHVIPHLWKVYRIESDDQL WNLLYSLGKENKNQWKGWLSKGKGKLSIFKRSNRPSSFSTEDNRPRIRSLLDMVRTII FDIIPSPTLCALIPRYLPRSSNLTNIIEGQDECEREQEKVLFPNVDQIHFTPRLIKEV MKFGWRPFQHNDYYSHLLDGVKRELPNKYSQLPFAHLAKPQILYMDFPSFEKGKQQQQ ESYYDELSADGVPGTSLSFLTSLEDIHIILPVTFDLSTGEVIQFLKGRKHFIHLPKEW FINPPILSLHNEGKYEDELENEDEDELEYDDHNERSTLIRYLTYFLEEIMHADILMNY PCKDKMDEDHYRLNCKTRWIIRYPPFGQGIMKEVKDNLLEMVDRGEIERLARDGIVMV DGDERREDVKEMQKEGIGGKSTTEKVGDDNGESKVELWFMRFESSQCLR L199_008169 MSETQQQPTEQVQVPAVDATAAAGVVPEVTPAATEGTQAQEAPK ADEAGKGFSKSVYPVSNVREVKN L199_008170 MSFRAILRAKPAFVAPSSRPVAFGAVRFASGGAHSHETESYESF NSRYQTFFSSVGDLFELQRGLNNCFAYDLVPSTEVIDAALRASRKVNDYATAVRILEG VKEKVENKGQYQAYLDELKPTIEELGITTSEQLYGKN L199_008171 MPDLKAYLADKYMSGPKRDAILARSSDPTIKKKKKKPKNEDYIG GSKGESSGGLMLKDEDEWKVRDRDEDEDMEDGDAPVIGKGLSTFQKSKSSWSTVGSTS LPISNPTEAGPSSPRVKNEIDTDAPVATLPKRRGGLRTAAQMREEAERLAAEREPSPE PQPEDDEEEGNNETVHRDASGRIIDVNKLKEEERLREEEAKRKELERKEWTKGLTQRQ ARVTRAEEEREMGERKNVGVSRDDHKMNKEMQEVERWNDPAAEFLTKKKKSKGPRRPK YQGPYAPNRFGIPPGFRWDGVDRSNGFEKKYFQAQNSAVRREYEKNQWSVEDM L199_008172 MPLPSLHPLPANPTTGQQPFTTTLGSRGISAVAPASGSSGGVNR ETLKSLHDVYWSDDEDDPDCLLCAEPLDLSDLNFKPCQCGMQICQFCYNKLLGSDARC PGCRRPYDAKAVVFQPVDWEEVKRAKERKTKRARTIKQLTTMGRRHLLGVRIVMKNMV YVIGMKLPAPGDEAIPILRSNDYFGQYGKISKIYLGDRTKLSSSAVPTLTEDDPSTST GIYIVYVRREDAARAIASLDGIPAPQGPPGSVLKATYGTTRYCESFLKSQKCDTPNCH CLHEWGGDSDCFTKEDMETALTRPAEYDARQKQTIVSAAPQPPSLSSKTAWPKPSSDD VNGTSTGLPSAASWGKGVTVKTTRASTANPIARPTKIGNFVPLGKSNAAFPLPTPSPT IPIIIKEKKERKSQAMSRGKSTDSSQSAATGSAQTSPKKKSATLPSLTSTKPASSAPS APPPPPGLPLSASTSTAVASEPILDEFMDEQASADSDAGPSSSSPAPQTPARSTESIP PEPLSTEPIPIHSPYPEPVIFSFPAHDKDFAFVLGLDDSELQRRYAQAGGYEPSPFSK TLEGLAELGVHAPELPDLFDAPSRRGSLGYNGLFRPFDPSGEDNSPAISDSTPGPSRM RGEDHNAQRTESRFGFARPSSSSSRGQSPFSNMRRSVAEQSGLRDGWYTRQQQHEDTF SPHPHHQQNDPRTAALAAQVASFVGSYDSSVGGNETNWTGGAGAGAGAESVYSNSPSH QRQLGLQQQQQQGGYERNRLDHGGLVQGGNAFLQQKGLGRSDREEYEPPVLQYGTTQI YSNPAHSQQPQPQQQRTLFSPESNSVHDDNAYQSPLSFGQQHQHPDARQLMALHHSRG SPTPLAAHAYRRY L199_008173 MSELESHFVIGTIAPFSHVPPVIELSLALLTHTSDLCISVILHT NNLENSQNLIENQGVSDDVKGRIKLVPVGERREWNDVTSSYMDVVYKGGEGYAGILLG SAPWPHPSIFIYDAAGFFWITVKSKVEENFPHLNPLRLVAYNPLPIGEILYLAGEEKN GSLRFLEKALEDYPEVKNAPQVNLLQGGLDESNPDINSQAAFLTKFTEAYKACVFESD KLLEIPGYAPFYSFESWSLEIDWSSTAEIAWTQYLQGWQSCVSLPETWITCFPASVLE PKALDAIRQDEFFTGGGKKEVIELGWYERKPKGDWGVGVKEFLDKQRDQSVVYVSFGT LVNAGPTLPALFDLLEETKTPYIYACGKQKHSLPQHIKDTLEFSEKEGICIAPDWVDQ VGVLSHRAIGCFVSHCGANSVVEGVEAGVPIISWGRRGDQVMLASQIHSSGLGIELLQ HRTGHSVGKEVAHRKGIVIHGTQDALKEEVRNALEIVNGPEGEEMRKRAKALSKRMDE KRKGEWLENIKKFGLYGRD L199_008174 MSKDQVNLAQSEPAMAVEETQPSRIVEQLQLDEEGRNGVTSSLP RVDGGWGAWSYLATATALETLVWGMANSYGVYLDHYTILYPASTGLLPIIGTVAMGIM YLLMSPLSLYLTTYPRQRKITMWIGLVVMFSGFIGAAFASSAAGLVVSQGVLYGIGGT LLYCPTTNYMFEWWLNKRGLASGIMLSGTGAGGLVMPLISNALLQKYGKRTTLLSIGI SYTILIALLIPFIKPRLPIPSSTSTSSSRRPRPKVNWGFMRRSAFWLLWVGVLFQGLA AFMPVTYLPSYATALSLSPTIGTLTIALMNLARVPGQVIIGHLADKMSPRKLILLMAF ASGVSVFAGWGAAKNVGGLVGFSLAFGAFAGSYTALFSRFIAILNRDDPHLPAILYSL FFLARGIGSIASGPLSSALMSHNSSLDGAKGGYGVGEFGVLIIWTGVGMIMSGVGAGY KNHKLD L199_008175 MALPNLRSIFASSRTSEEERQLSRTTFYRFTAFVGACLVISLLA ARNSGRDFGGKAARGVVGMVSGSQGKIV L199_008176 MFKKRSRPTSVRDKPAAEQNQDEKIDTPTSETPEPLEDDDTGRT IEDLVLLRKLRKSQAQQGIDLEKLNRGEQEKKSGKGKKKEKDLDASEKYGLQAGPARG KGGEEKDDELEDDNERAKRLVRTNNFTQQTNALDVDKHMMAYIEAELAKKRGETSTTD KDGNTNGKQNEKYDPQAELYKIAERYQVIEGKNKTKKIDDEGNVTNSLGMLTSIPEVD LGMDNRLKNIEMTEKAKREMMESRKQAALEAAEKEEEEDDYAAARFYRPHQRVASDIY AIQEAKRIEAGLAPRAENATDEQVYERFKKR L199_008177 MFISHILVALTAFASLTSATPTSLRNERREDVKVLAGAPINSLN LTVIPDSPVQGENVTLNWGYGDAGTAPYNIQIGTGGYYANLTWLYEYTNLTETIFTWN VNVTAGETLVFQLWDSTNTTTYTQNHKVLPPSNGTSTATSTSTSIDNSTIPSNTSTIE PATPTETAEGEEEEESFLGELLAEIQSELDG L199_008178 MSGSSTPSLSASTPTLYDSEREMPNERTHLLGKRKSVEPLSPII SHSQSQPQDQDQEAQESQEEGEEVEVYVPGKASFSQTLLNVLGDLIGTGLLACPVAIA YAGWVLGPIFLCIICAVTLWTLKILIRIIEKDRRMRNFADVARYGLGERAEKWITGLF VGDCCIWLIALIVLFSDTMEAVLPVFSSNQWKLVGLAVIIPLNFVPLRYLSYTSFLGV LSTWTLVCILIFTGLTTTESPGSISHPCPTDLFPTHGWIKLGISFGLLISGFGGHFLI PNLIRDMKDPQQADTVVEVAYGICMVVYVIVAVFGYLMFGRDVSDEISRDLAKTPAFS PTLAKIAVWMVALNPLTKLPLGLRPLCDVVYTWFHLQPTIYLPTKHTPTLASSSTSSP IYYGESDEPLTPTVASSTSTLLVFPEIVHTKSKLEKQHDRRELLKKYIFRPGISILLI IFFILGALVLPSFETIMSIMGGGLSVINCILIPLAAGSSLFGWTKKDKIVFGLAGLVA VISVVCALLNESTASATGV L199_008179 MSTTNIPTTAATPVTRNSPRSVNLPTLIVHSPVHREHKRRNSEP ASPTTPTTPQHSTYFTGFPSYTPDPWNLRLASRKSPLPRSSSLFRQTHSHSRLTSPLS RTSSATAVSSSHLPLPLTSDDEEDSETLIEEEIEYDTRFIGTSLYLRNTHSDSANMSD SAATMKRKVVIMGSPSVGKTSLTQQYVAPPTYNASYYPTIEDTSHKTVTYNGVQYECE IIDSAGLEEYSLFPGKYGIGVHGYILVYSITSRQSFEMIPIVHDKILDYAGLEKVPCV VVGQKLDLQSERAVTTAEGEALAKKLNAGFIESSAKDNKNVSKAFDVLLAEMQKEYNP APEKKKSSWWSWGAK L199_008180 MARIDGIIILDPNGKPLISSHFPLHPPSYPSLHIDTFNSARKKA IADDEALDPVIWVNTLSRGGGSMSGAGLCHVEREGLYFLVPVGQEVNPLFAFSFLDSF LDTLRDYLGEITEINIKDNFDIIYMLIEEMLDEGHPMTMETNMLKEIVLPPTLMRKLL NVAGVSGLQAPTTQPFTAPIPWRRPGVRHSSNEIYFDIEESMDALIDKRGNILSSSVW GRVNCNSRLSGNPDLLLNFSNPKVLDECAFHPCIRYSKWEKNRILSFIPPDGKFRLLE YQAVPPTTGNVKNQLPLGVKANLVVESNGGRFSITLTSRLNSRPLEDIVISIYLGKGA TSVSATAQGDRKPLGSRSEEGAAEGYVAGGNWEFDPHTQILKWKLSSFVSTERSPTLT GSFVSSESQPTPSPSFEISFNIQHHSFSNLRVDQLKVQGDVMYKPFKGVRQLARSGKV EVRW L199_008181 MVQTLNFLVPSLHPNTLIDLSFPSPSLDLVPSAPEPIVQHLPIK YGEYQSLGRSKRMVKSGENQVVIADDKFQISTLDLSPLAQEEASPPVVRAQESVKARK KDIWAGLVAVQGGVISALTSGLLTYHPSSSSSDDTPSASTSRTRSVPSPIQCLTSTSA SPNTFVTAGKEVDVSIWDIERTFGSSGMDEDGPSKNWDSGKRKKNVYEVGQIWQAKNV PQNNLSLRQPINHLSLTYLDESPYLLVSGTKAGTIRRYDTRQRKPLSDWKVAREGGIG CVASGVEHELFFSDQSNLLSSLDLRTGKPLYTYSQMTCTPHHLLSIPLSATELEGGNT GSRRVGLGSISSDATFRLHTSTTPPSDEQKANFGGEGKKGEILKMVGGVGVGEGIWRG YGERTIVPPKVDNEAGEGDEEEVDDEQVWEEMSEVQDQGKSLSDNEEYSDSEESDLGE EDRVVIKSKPKKKARKI L199_008182 MSPAAQETATQGLPPVGEMTSRDYYADSYAHFGIHEEMLKDQVR TLSYRNAIMQNPHLFKGKTVLDVGCGTGILSMFASKAGAKLVIGIDMSNILDQAEKIV RANGFTQDQIVLLKGKLEDVELPVKQVDIIISEWMGYFLLYESMLDTVLLARDKYLAP DGLLFPDKATIFLAAIEDQDYKEEKINFWDDVYGFDYSCIKEIALKEPLVDCVELKSV VSHPCAIRHIDIKTVTKEDLAFKVPFQLKATRNDYVHAFLGWFDISFSCCHKPINFST GPQAKYTHWKQTVFYTPETLTVSENDVIKGQLSCAPNSRNNRDLDIEIEYEVEGAEAV KGKMVYKM L199_008183 MLCSEHLVTPLLIGKSLKMSFFNPFRRARVERDEGKIVIQVKWG RERFNIPIPSPSLTPLSTLLATLSSQTSLPLDGLKLIYKGAVLKDPSLTISSYGIEDG STLVLVGKGGPVPSAPPSSSSAAKPNGVGAKKNKQPETDQESVLVSWISNLVESVLNP LIPSIVTFISQTDPNATNKPKHIPPFETLQKEHARLSELLLKGLLDLDGVQIPQSDWT NARNERKLGVKKIQEQLNRVDAAWGERKRLVG L199_008184 MPGGATVLAAATNPDRVEAPVTVKAYLLCVFASFGGIFFGYDSG YMNGVLGMNYFINLYTGLPIPAADATQAQKDAFTLPSWQKSLITSILSAGTFFGAIIA GDLADYFGRRITIVSGCGIFIVGCVLQTASHGLGLLVAGRIVSGFGVGFISAIIILYM SEIAPRKVRGAIVSGYQFCITIGLLLASCVCYATQDRTDTGSYRIPIAIQFAWALILG GGLCLLPESPRWYVKHGKIDQATRALSRVRGQPQDSTYIQEEIAEIIANHEYETELIP SQSYLGSWAACFSGSISNPQSNLRRTLLGISLQMMQQWTGVNFIFYFGTTFFQDLGTI SNPFLISLITTLVNVCSTPLSFWTIERYGRRPLLIYGALGMFICEFIVGIMGVAKPGD SSIVKAQISFICIYIFFFASTWGPGAWVCIGEIFPIPIRSRGVGLSTASNWFWNCIIA VITPYMVGTDQGNLGSKVFFVWGSTCVICFIYAYFLVWETKSLTLEQVDRMMEECGSP RKSAGWKPHSTFAAEMGLTQEGKLPVSTHEKIGADHNDVANSAPVPATAV L199_008185 MHPNLRTVATPALPPLIRFGGSSRNFATTSSFTRPLLPRPQHPQ CTRCISTSTPLLPRHTLSPLNNVNSSLIHPSIQNRGIAMTAMAPGAMFSHPPPEPGAD FNVVMIGAGNIMFGSDEGPWNHSFRFEHKLGPRLKVTALIDPSTARADKVLEGKRQSF VESAYKDTKVYKTIEDYHADLKKQKLADPHAIVVGCPPAYRGGTTKGTDLEINLLKLF PKTAYFIEKPVGTGTVEAAKEVTQKLIQNGNIVSVGYMLRYLRCVQKMKQIIHENSLT VMATNARYSCAYEAIAKPAWWNKAIDMGPVIEQGTHFCDLSRYFGGDVNIDSVVARSV EWYEEPGRLSKIPIDEGKIDEELRIPRLTSAIWKYENGAVGSFQHAVALQGTAYACEL EVWADGYHMRLVDPYQSPTLYVRRPGDDHEERHSFTDDDPFFSEVSNFIDCIEGGSNP HILSSFEDATKTYELTWAIRLAAEAAKWPQSKA L199_008186 MSFRTSLLRGSLPLRGRPSASLISNTARPFTSTTGQSARTLRAL PSAYTNNVILGRRNLNTSQIVNLEASRALGDGGIEEPPHSGINVDKPTSMDS L199_008187 MEVDGDRITDDERMDEDLDQAHGGEGVDGEDYPVGEGDGMMEDS DGDEMMGDEIQDDEEYEVAMEEENIEPIINVQAQPEPVDVEPAAVIETPPIPFNSSTT NDDMSRPVISPFPDTSSVNSRTILPKPLESPPAPETEMTPVEEEEQPFINTINGEDNT IDESNPFNKPSTTTETSEIKDQVPSPKPEPVATTEQALDIIQHNPNIDPQTDSVNEMP IPSVLEPQPSTSTLQVGESSKSVRGGSREPTPGEEYYEEEEEVEEGEYEIDANSLPPI ILHLPNDQARYLFETYENDPDTLPVWLEGRQAELAEASLSDVWNAIKVECTKEGLAKN GALVICEKQMDLKMNEDDVNLQSITFLELILLHHGCGLPEPVQLYLSWEESRFITRFN AIQTELEAMRKRSESAEAVEPEPAQIQSQERSKEVEVEVGQSPKPEDKVGKSPKPAEE YVEEYDEDEYVEEEEIKSRQGAYSDDEGEEQYRQKEDERDRRRDQRDVKAQYAESVDG QVNTRDLERAHPNWAAARLKPTDALHFDGPAGKRYLNYKSEGHHHADADADADDAEEE QVQAEEQEGEQGEQEEQEEQQQQEVLPKQEAKELAERRETEVVGEEGDYADEEQYDEE EKEDDVEEGDEWNDGADTVDQNESSLARSKDTDTQDEMNDATVPHPISVPKDELEKLR ERQAILTGQRLQQLQHEALGEKRAIEAPSSIDASALPTPYASAAPSVVEGSTQDTKFD SIDDVPLNEVALKEEDSQPQATTISSETEISTPALSSLTPSGMDGAKAIAQDVLGKHR VDAIPEEDESFSAFVDRVNEDDEREELPAPVEGVPAVGIVPDEGYYEDASYEDTKIDN GPDTVPVTPLESHLEEADLEFFDSHGEDDAYGSDDEEGTLEADSTHNPELEFEPTEET EETETTEIDIPSTVSSTGGPISAKRHNEDDESESDLESKRPRTDTVSMKFDLLLT L199_008188 MGSEILPEIFIHIAHVLVEEQKLATLLSLSMTSKGMYDLLVPVL YRKIQISKRNAEGVFWGILPNANEFERTTSSVFGKKIGGEVRTQWSLWPDIALSDDED EDDDKSYSQSKRCDHIYPSASTNHNKLFYLLQTQHLTIDSLPSFALSSNLLHLFPAKT PDKFIRILPNLKILHLTSQFVYELSKWFNLHTTNPRRKNLRRHPFLQFLLLSIPNPTK IIIDYPTFTSQTKEIFIEKRFGSAEVLRRCGVSEEARKRRMEVEWDRFVLHDTSLGLI PLPYFYKGAELVFRNVSGQNIPPIRCKAITVIFANTEFQAEGNGNEDGDGDGIKGDRR IEQIVDLLEPRNKNLMMAAQQVRNWRFVNAEIENDKKVGKGVRVRLRESDITRVSFSS NSNSLIDLDDTHVL L199_008189 MFILNWFWDVLSSLGLMNKSAKLLFLGLDNAGKTTLLHMLKNDR LATLQPTLHPTSEELAIGNVKFTTYDLGGHMQARRLWRDYFPEVDGIVFLVDSADSER FAESKAELDSLLSIESLAQVPFLILGNKIDAYGAVSEEELRMQLGLYQTTGKGKVPLR DIRPIEVFMCSVVMRQGYAVC L199_008190 MSLPSPFPSQLELKKPVCVFCGSSPGKLPLFTNASAAVGQALAK ANIPLVYGGGRRGIMGVVSQSCLKAGGYVHGIVPRALTERASEHTPAPGSESKGNGKS KEGQGEDVLSESDNYGEKFTTNVVGSMHERKLKMAKISQGGFIVLPGGYGTFEEALEM ITWNQLGIHRLPILILNIGNFYTHLYNQFISSVEAGFIAPSNLSLLKLVNLEGGEDAN TDESRAEEWGQAAIKALDEWDLAEGTGYGLDWELKEKRAGGNNVNLDAESV L199_008191 MIEPSSSSSQVKMKSPEAIFTTLRYTSSSSSANLPVKKESLPLL DLHFERLREAFDHFSERDGKDRWGDWPSEERIWEELKEVLEQSRPGDYRVRIVIHPGS EIEVHCVPAPKDAGPFTLLPSLTRQNNRRPVILDPQDTSINSDPTEETDLRLYKTVNR EIYDEAYERGQTNTTDTSHNEVLLHTSTEILETTTSNTAILLPNSHSPKWITPVLSKD TPFLNGVMRRYLLFQGVIEEGQVTIDMLNEVREAGKGGRIIGFNGLRGVWEGELM L199_008192 MDAPLPSPSGTSIRSNKPSVPLLSLAPPALALGDELAVGEPGDD NNAGEIWNVITRAADLVKDGERLENLAWRHWGQPRRNIQETTRRLSMSSQATSASSAS IHTPIENSSNVPFLHRTRSAERLTFGGALKLLLEKDENNFKDWIEDAKKHSYSLPIHP PPPPPTISVPDTPIANVEIRLVEPTPVPSRVGSLGGSMSTSGLLHSTTTVPPALKEDV IGEERATIASTPVEKERETERYVRIASSSTPIGTPRRSSKLNSPKKKNSKFFVQSSPS KGSGSDSSHPSHHSPTVPTTMKNNNLNNNGAGPSLPSTLLPSPKHGRRRSSGDSSSGK SKLPEINNHSNNHAHGQPHPHGHAHGHQKRHVSLSTMRGKYHAEKRRMAEQHSAMTAA AENDNKDNEGSGWEDEEEDMGEDDDEDGNWSDEEDSPKVEKIDKDKAPHQDTSEVSEK EQHKVNNNQQQKPSLNRRTSSSKSHSRITAAPKMGRTTSDDHHGQDLLTLLTSKSGNR RSSQGHSGKEKDAPPPPAPTPLTKMSKKERQKAAAERAKIEAELEAQRQREMFAKQQI FGSRHNQGLLASALQRGASMVNLQTATDGHSIRPSPTHGQLPSLASSPAPGPALLRSK SVVAMPVQTGVSVTIPPHALNPAKVKKAQKNSQEKAKASVELESSCDEESDADADYLN TTQTKQKLAALAAKRDAKTQNQVQSKAQAHSQSPNQSTNSNAQQQQQQQPLTQALPAG PRVNEFGYVQPMTPTTRRRNIIMAEMSESLRRNVVLEREKSSGGGTRALGLVRPPPST HTSKLPTHRSAINLTQYSRGGGGGETMERTSSHPARASPNTSQPSSHTGAITTLPPPP PSTSQTSMPPPPPPTLEGSRRRTTPNILGGGNFLRPLTRATTAQPPPPLQPPPKSQSQ VQSNFSQSRNSSTTNFTTIDPGSQRSESPSSMNMEAPAMMRRSTEGDDLHRERERRRE LARRRDTTDTSYRLHGW L199_008193 MTPVKNISSSQRWSSTSHSLVILLSAIIQLGLLIYAQHVDSHPE RYGGLKYTDVDWRVISDGTRLIFSPNEGEGNIAAGWVVRQLSLRIGDPYERSTFRYTP LLPLLISPTLIHPLLGKSILVLASLAISHLLVILSEEGSFWLIHGVWTLNPFILNINT RGSPESLICLFVVLTLYHLKNGNIKRGAIWLGVSVSWKIYPVIYVPAIWAYLAVIRRY GWFGKRVWEFGIVCALTVLVANGFLWSIWGEPFLHHTYLYHLTRLDHRHNFSPYFYPI YLSLFPSAKPPTSMMMTIPGTDAIQKILRHPLTSFLPQNTLVLFSGLALGTATSIEFT MFVQTTVFVVFNKVCTSQYFMWFIPFLPLIIPQLDMTRTKTIILIALWVIGQAIWLSM AYRLEFLAGQVFLWVWAAGLGLFGVNIWIIGEILDGYGYRKGRGDSSTSKVTLQ L199_008194 MTALLSLVNLLPTLALLSAALTGVEQVPTALAHGPGARSPKDIY HRHLASRQQLVDNLAKKATSPNKKGLIRRQADGSKCRVRGESYTAPAAATTSAAAAAS SAAAVPTSYAADQDTSAAASSAAASPAETSAAAPAASATSAGSSGGSKNAGSKLGIAW PNGDWEQPGDPNYIGNYIGNKASWYYTWSPFAVGGADNEGLEYVPMLWGPGHVSDWYA QQPNWPGTVRNALFFNEPNQKGQCDVSAQDAVQYWMNDFLPLRAKGIRLGQAAPTNAP DGLVWIQDFIKACTGAGNSQADCSADFYPIHYYDTDVQRFQEYVTNYHNAVGANLWVT EYACQDYNGGAQCSDQDTWNFHTTMAGWFEQQSYIERFSPFGVMKDMQGVNQANALMN PDGSITSLGGWYITTA L199_008195 MAGHPEPANSDSQSTVVDVDRSSSMVNPSADLESASASALPLPQ PQTQPNAEGEEQENVIVEVKTKIRKRDYGFLPIPKNRRHDPHKKVDEEFGFTWKMNLV FAFAATVSVMNLYYIQPMLVAIASDFSVSHSRISSIPTLVQGGYGTGIIFISPLGDLV RRRQLVLLLILLTTILSIGLALAPSVAVLEGISFIVGMLTVTPQICIPWTADLAPANK RATAMSITLSGLIFGLVLGRVLGGILSRFGSWRDTYWLAVGLQGCMTVILYFTLPDTP DKKIGLSYFGVLWSMAKFFTMYPTLVQACLVSLFSSAVFAGFWTSLTFVLNNSPYHYN SFEIGLFGLLGLIGAILAPQWGRLVDRTHPYLGQISGISINMISMIVALVGADKNISA VCIAIVGYDMGQQLAQVSSSYRIAGLDPKARARLNGCSLLAVFAGQTSGTAILTHIYN THGWKPTGGTAVAFIGAALVILFVRGPHEIGWIGWHGGGQILKKEKITDLSPEAITEK LRVKKKEKEKGEGQQV L199_008196 MSSAYLQALNYSDDLLSLPQRLIYTLSKQQNVDALIPMAIRAIV AGVLFAVVRRVMKYTTARISQIEQSLLQTYAHMFEIDTDSLGKRNKSTLVSSHPPGPS GQVLPTYQHPMRIRRNGNYLWVTRRINGFAGSRSIEHFRIQTIAFRPHVLREFLISAR DSFFAKEERELLIFHAKRINPSWQNPVSRPARPWSSVILPGQMKEKLLKDVERFLSDK ETRSYASRGIPHRRGYLLYGSPGSGKTTLVTAIASKLDLNIYVINPAQRGMDDANLAK LFRDRPSKSVILIEDIDCIFPKGRNYHVHSSEADDEDGQSEGAIEEEAYEAALEPQTA IAAGGGGKHDLAPSTVTMSGLLNAIDGVSSQEGCVLVATTNHPNRLDPALSRAGRFDV NLEFRYAIPQQARELYLHFYPMEDFEDSSPPTSSQAGSRDKDEKITKIQNSEKVEADK EDEDVRVFIKDQQELENLADEFVKSIFDDFHVQDQQLETQASDKMDGHNGDEKTTSTL SESVRTENLKISLSMASLQSYLLQYKEDPISACNNRISRCGWIMRKM L199_008197 MSAPSGIKVPPSLSSAFSTALNGAGDVRALVFTIEGESFKHLTT VQPKSTYKDDIALLPDTLPSTKTPASFAYRLDTKDAGKYEWMMITFVPDDAGVRAKML QASSRSGLLKSLGANNFKHDWFATSIKDLTPSALTAHLNHLASPPPLSASEAALAEVR EAEAAEAKRAALDPETEARRRKVVVGLGGKLAWGDGVQEALEKVGKRSDDGWVVVLEI PSSSPSSISLVKSESSTPSELSSKIPNKSPSFIFYSYPTPPSPKPVKAHATSTPSKMA EQRNTFQATQGGVRVVPVSNVPVDNENDKPADGEVESSQSQEAEEEKPVPEPEVKEKE EDAAVEEKGKVIFIYCCPSSSPVKFRMIYSTSVRSVQQDASDKAGVEIVGKIETSDPT DLTESHLKQSIPSSSSSSISKPIHSSSLPTPTTTSSRTFGNPTSSAAPFGAPIGGAFG RPRPLNVPARSASSIPLPASGSSTPLDIGEEGDSKDNIRRAFDAFGPRVNSPGGAGGG FARPRPAGRR L199_008198 MAPNAPSHILIIGGGICGVSTAYFLSTHPARLATTKITLLEGTK IAAAASGYSGGFLAKDWHGSATSDLSAMSYDLHEELAKKFGGKENWGYRTVDTLSIET DATRKSKKSSPLPWLPEGLVHSSRSLGSHSTTAQVHPRQFTEFFTRKFLEAPSTSVKI GTATSLSLNDNTPRSVGYTNEQGEKEELEVDAVVIAAGPWTGKLSEQLLGNKVGSKLS VQGHRAHSIVLKTKEQLSATCLFTSMTLKDGSAAEPEVYARPDGDCGAGDDEPLPPTA SKVSPSPSAIAKLHRQSQALSDVFTPEKNVEVVAEQACYLPIADRGRPLVGKVRGVEG VWVGSGLSCWGITQGPGTGKVLAELILEGKAKSADISKLAP L199_008199 MSDHPTLTDIPNEVILDHLLPALQLRDIVSLSAVNRQFNLLTSD PTFWRSRTLSDFTFPTTSHPNTSASKDWWKRVYLGLLNPKAYLWGSSDNNRLGGAELI STRKYARYVDIPSEICWNDDDEKKSWSDNLKDSLVTALSTSTSTQTNNKNDGNERRGK SGVVELQAGGWSFTARTSDGSVWVWGQLDGTRPGLTRQSWEDKRCICPRPTRIPLPCK VESISAGRRHLVLLDSDNLIWELRSWGKAHFHTAPELTAPFGHGITRSPPHIVQLSTG WQHSAALTSNGEIHVWYPFSEGYENSLSTEPSGNIESSDKDRDRDLKYGKVGENVLVT LPPLPLRPANIRSETDKGGFKSRRRLELQDEWDEYEGSRSPKVLEEEQEIVKIASGED FIVALKKNGEVWLIKVKEGENLLWQYMEYFSSPSITHLTAQFRSFTTYATPTSSSDNS SAVYHARITDYTERLGERTIPDSELLECLKDKGIIQIAIGDYHFAALNDKGQMFTWGQ GDSGQLGRGNDKFGKSPVRVIFPEDEQDKEGEGTFVFSITAGGWHSGALVLGDSKARP QSAKGKGKEEQKQVTEQEDQREEEEESGWPRGIYNPFTDTTDTVPVPPNARGGGPVRA MPMYRIGFAGRGANLGGLAGLGRGRDTGAGAGDTSNTDTPDQGNSGRGAAPIFRVGFA GRGANTASGRGRGGGQEQGGSDAPW L199_008200 MAPPPTLPPKDQTFLSPNNLNSRISSNSRSSLNSTGSNSNSFVD QDLAEAVRDSVQIKSESPQPQSHYRESLLLSSTGNNHISKQPPLPSLNPLIPRRTPSP NTNTNNMSVYQPTHNYSHSSPDMSIIGQPSLPSTRMTQLTTQTPQNPFEDDEVEPAGT GRIKPPGTGHISNRSFGNNSNLESEGLVQPNRAKFNRSQTGSAAATSSSAHLLGPSSP TSGSGKTRTRRSMSSDSYGFNADMPHIKELTAGQKKAYEDKKGSRHADVIDTWDPTGL GSAMWHHSGPYDAAAPSRNQNLPGNKAPMQAFRAPPVQSPPPKGPTTISLSQPPVPPK DTVGETSDRPGHRRGQSGNRYGAPSNTRRVSGGGLTGQYSTSMPAGGGYFPNIMDEPQ DEATLARIERQRERESKRQALKAAWGIDTPEPFEDFGGSPNDGTVELANDYYSPESVS APLPGKPARSPGMRFGMGATSPPIREEGTSPTGEYPINTRVAGPGPGGVKRTKSLMQK IKTMRENPGLSNRSASPKAYSPSEGIINTSSSNESVPVQETKRPGFFSRTSSGGGRDS PSKRSVQPPIPTNLFNSNVVPESSSNETIDENVLDEGERDDELDMEKMVPSGAGSGFV LVESPSSKARALRALQKEQSHHHHHHRSGSASAGTGNGGVSNVPANRAKYLPTPPVAP VMPDFNDILTPPSRKNSPLEVPDEGGLKRKTSMVKKLKDRMAK L199_008201 MHNNQYPSDKSLSSIFDLPTPSPPSSSAVPRPPYSPSTTNPNMN VRSPTIPNSPAPAYTALPHRDVDFHFTPILEGKIGDVELETVDGKRFLVHKKILEQET VFFHIYYGFVPVWRLNATTSSSSSSSATGATLPHDITVPIHQSSNSNTTSTASSQTST QHSQHRISTSLNGFGNIRSLPKIIANTLTRNSISLPPTTIPISVPTPEEIPPPLPPKD VITAPTPTSSPYTWVVPETSTVLLAFLSLIYPRGVISSGNGSLLDSLELTGRVVRASL GYQSSKALSKARDRLGHWIEDLPIETYSMACFFKFNDLIKLSSQYALKVPYNAWPEDA KLLMGRGSINRLVELQQIRLLGLNSILSKPLYLDTNQNDVCHQQRHIIDCRGLEYLEE LWNEITTGLKMELKPSMDLYELLQLDLSNCGITNCASCLGILGKNVQRCLLEARDLPR SL L199_008202 MAFEALNKMVSSSNLFQHQYGYNSTLINTITPKSLHAPFYHLTD PQHIAIASSKTFNLLCPIVVYWLICTLWHHLDTAKYPYFEKRRIHESPEIIARNKVSF SEVIKAVFFQHCIQIVLGWLWFEDTDVILKTQFYTDHITKMSDLAPWVADGTLLVLGK RTGEQVLYKYGEKLVAWIYWWGIPLVQMYFAFWVTDTWQYFWHRAMHNNRFLYRHFHS HHHRLYVSFAFGALYNHPVEGFILDSLGSAVAEKMAFMTMRQATLLFTISSIKTADDH CGYKLWWDPCQLLFANNADYHDIHHQAYGIKSNFSQPYFTNWDKILGTQMSREEADSK TRWKKLGHEYAEHDKHNVVSESHAKKVD L199_008203 MSINTVNPYANNNHLSTLEQDVLWEFAKLNDKVKRASNLAKLTA ESPNEALLAELRTLEKRMGMVLTLFQASVWGVLQESQAAEEARMQQEEQEAYHRQQLQ QRQQRIMSNEGYQYGYGGQGQERSYDDSRGWGEDSTIP L199_008204 MSTGDTSLDEKIDPTTSITTTIQPQPEPHTQHSKGKQRSQSHSR PRQEVDPFPIHLHLSRSHSHYNHHHQHHGGGEHLHRPPTRNDGLDNFPPPLPGFGHSE ELERRLTRDPDLERQMGVNDDEIGPPPEGGREAWLCVASAFFVLFCVFGFVTVFGQLK VYYLANQLKEYSQSDVAWIASLQTFITFGGSIVAGRFFDSHGARSLIMVGTSLSVAAI IAMAFCKEYYQFLLAHTLFGISASMLYSPSTAVVGHWFMRKRSTAVGIVVCGSGLSGV IYPIALKRLFDELNFRDAMLIIAGMNAILMFPAWFFLKARLPPRSPPPLKSLKGPWLE SKYTCLVLGSCLVMMNWLSPYFDAPTLISSNNITGPIADYSIAILQVGSFFGRATSGI LADTFGIWTVFVSSILGSSIFILALWVASPIPAGAVVVGLVGYGFASGAWVTLVAAST GAISPTREFGMRLGMLWTVTSIPSLIGPVICGVLISSSGGTFKSAGLFVGFTQFAGAF ITIAPRLLEIIRDFKQKRKGVKGKDNEKNGQPGL L199_008205 MSSYTILVSGYRPTYTILSFDPSTAKIKIISDSPAPEKASWIEP ASQQHNPLKANRTLYSISETEKGLAVSLNLKGDKIEITSQKETHGGPAHVHVLKDGSG LAVVNYMGGSMIYFPFNSDGTLSDNPSELLQFEYLYKDGNAPDPERQDTPHAHQVIED DQGHLYVCDLGNDRIWVIEKKGLNTLEIKGWLQAPPGTGPRHATFSKDGKHLYVLTEL TSDVLVFSLESPTYPIIPKPDFKVNIIPPSVPKDAQQYMNAAELVLNPAYPNILYASN RLEMSLEEKSKGKFSTNVNSKGDSIAIIELNESGDELKSLKTFQTDLDNLRGMTISPD GKYLVTAGRKKGGLEVYKVVDEGRGLKLAGKIEDGVDNITDLTFL L199_008206 MGSTRSVDTFTNFPSSIPIPNSTTWVDKMGSNAIAGPSSEGALP RKTSRGSTPSPPKSPSSAPPTPSVIAVTHPSQFIHTHHPRRGSLTPLGLNLISPVPPH VNRDRSGSGSGSQPTTSRSVGFQQSWPSAEFGPNIAGGGSLLGQRRGSAASTTSTLTQ SRPPQQPAHSFYPPDWNPGRRRSSLTPSGPTLAAPSPTRTHISGKTSRPVSSDGSNGS NGTPSLRSRHKALGTESVAPDSTSPSSFAASTPEGYARRGSLPHLGYGGWAGPGHRTW NPVLPPPRGSVGENPAEEIQLPDEGFKFGSVSGHASGSGSGTSPATAALRAVDLSPNS GRRASIRKRDEMDVFEQAEEAEAERQRRAFLAATYGEDGKRARERLSIGAQGGQGPPG PPAGGLRRQSLLLWERMGMAKQSSDSEIGPSSAPPVPSHLFPANGLLAEGDLAQRRGS LPIAIPGGGLGRSASRRSAREPKKDSPSIVVKQDPEGTAEEEENEDEDELMDEDDDEL QEMGEDGQDLAAPLRPLPPLLPLSDPGPRLLPSTLALHRANHLLQSRNLQSDPLPHPL PPSLHPPAPVDVSEFDIDFILAGSQAQLGGQVKKKNTPVDILRTASSPNFPLTPVLKL GGDDEDTFAKFVGEFDDEYGGRRGEWTFRACAAHHPGSLSPRDPLDISTGPGPKAEWE SSGAGKYELFPNGEVRSTVTGRSWRVYRLGNREYELEEVKATPDPHSNHSTALSPSGA RLGGERYTLAGKNVHRDQGGVKLPHFNTSNLMQPQYSQPFATGRSNSTLHSSNSYSAS PATERKDRMDSEASTLTMTPTLSQTTPLSTMAALVTKKKKHTTEDDPNQQQRGSVSSK EDKTKSKGLNRVRSKEADPDGKKDKSIGGVFKRALKSSGLGGGSSTSDEKKAQREERE RERVQAHSWSGQSNVTHHNTWFSGSSRGPDGLPYKGQLQVHSHLQHQVPSNENRSRMT STSTQTASSNSATSSSDGVPWSSGEQPRKAASSTTSGEDVPMASSEAANVPKFREGKA WNGVPDEAVAMIIPLEEMSSTRPPLSNTHQPTLHPFFLEGSKQALLVWYMPFNAEHDD YDQQRPSTASSKASVSVSDQPSQSSQSQSSTFTPGTTPGSSAPVGSLPKFQKLLRRRA SKENNMVKKESNASTLPHSHVTTPQFVSSPTTAKQAGSYTNNTSRLNGELSLPPLPFR SFRVVAKVVDVQDLKSAPENTNASGSGPGQPESSANNNSSPFEQDKNVFLNPNTNNDG QGKNNLNEISPFSDDAKTVQVSRTGRSMPTVIAVCHSRSQGVEFVLEGLDRLGLCIGE SAWGPTGYEEWRGTGLSEKGRELLDILWAGCTGVMGLSAS L199_008207 MSQESEDSPEVERQALNPSNSRPTSTPSTAQLQGNNQPPSGDTN RPFFRIAGRHHLATPIPSPTRSIQREIVSPRPRLPSSISTLLNQSELCSPPLNPVRPQ RGRESSHLDVDIRFDQACEYSGIHSTRLISFVPHSHLDRTFRHSPLVAVAPPPLLKPS TGTAHGGMSAVFLIYRKRRLDKYYLLSGRNSLWESPMKTIQATQGRSIEDHTHKRCIE VLPIRLKQVGAPLSEGWMTEARTPSRDRSGWTQSEIARYQEGYNDAMSVMLSGTGIGG ADAGHRINMHDRRQTVYQQPVISVHPHPTVDVPPPQPPQVYHQQPRYRNESLRIDPSL QRPATHRRQSQSSSNIPTPTVSTPLNKVHPPPASDYFGRAFHHSHPGSSDHPPAKRPK RQQISCYPCRQRKLRCDGKTPCAQCSRRHIDGQCRYADRIRRRGRGKKVNEEEQGTGD SEEHDDEGEEIHPAESSMTAQRRVGGASLHEEERDEIDGDMSLDSALESGSRPSGIGS PGRYPSSGPADEGQGEVEEKEY L199_008208 MPTGMESPKRISQPYRSTPPPPPPPDEHGISTTGFYPGFLGSSN GYDDEEFNYANGSPSSSSSSRSSSPRLPYLMDSPKPTSSTSISAKHPFSSHFKTKAPS PTKSKEKTNGLLPTRSKSTPSGLEIGRDSSKSPVGTKKRPVPLNLGKARDTVGLDEEI VIQRDDIEARRPLTASSVISDSASSLSNELQDLSLLRKTVRQNLKARPLDSPLPASDS EKESTGFPTPDYMRNSQIQQQQQSLTAFEGQTISVDDTLRLLQTSPQLLIIDTRPLGS FLDSHLPRSANISIPSLIFKRLKKSPGGRNATNWESLGGFVSTQAGRSIWDNLETDEQ LDVVIVGSTALDELAQVLYGIVKGLLENGNSSVKVLKGGWTSVATSANAQDVLVCGED SISARPSLATSLPPPKSGPAYDISPVPPSIPPSSPPKGINHRPSMPSLRPENNNRRNL PSLSITGGNANHMNGPSTSRRTPKLSLNLDKPLKSATTGSFKLDHDQPPPTPSVGFSG PRSKSDLLSVNTLSTNNGLRSPRSPGFTLNIPKSPNRISASGSFQTLCHEQSKLPPSP SSFGDVKHFVGRDEDLQQPRTPLPGQSWDFTKNKLSSSDSDPEEGNGKNGIAPFIVST ILPSFLYLGPEITTAEDVNYLKKLGIKRILNVAIECNDDSNLKLKENFDKYHRIPMRD IVEESGVGRGMRESCGFLDDARLHSAPTYVHCKAGKSRSVTVVLAYLIHANAWTLKTS YAYVAERRKGISPNIGFVAELMQFEESELGLKQSGGVHGDSSSHGHGSSNRKPTVGHD GDSSGGEEERERRKMTNRTRESLPPTWSHSLDITSHNNRNRLSPLGGDAAHDGPEDKG KDEVDDEDEVDKKRRQVGDEREVRKNGQWVHHRRAPVDRTTLQPGRRVSKAGLESLRP LNTTSITSPKPSPSPSPALRGDLKENDKRIKHSVTPAGDGPLRWV L199_008209 MVIFFTSKAVSPPATIYMGRDKVENEELLKYGLPTDIWFHVDKL SSAHVYLRQTPEQSHGEWDKLPPALVMDLAQLVKANSIEGNKKDNITVIYTPFTNLKK SGDMAVGQVSFHSDKKVKRVHVPSRDNAVVNRLNKTKIEKEVDHEAERQDRLREEGKK KKADALDRAKKEQEQKKIWEEEKKARSYDGMFTEEAFAEKEQWSDDDFM L199_008210 MSSIDEFAIPNTILRHFDLSDTPWTQLTSPSIEDRRKKLPDGKL FFDRLLELVGLDGASLYPPNTPAGIRRLLHSIQSLELDRLKKDCFYYYLLRDYDSSSR IEPSMDVDGEEDGDLTVISRVPNGNNTSGKAQSFAKRRCMPLTWIRFMDGYWALDHGV YDTAVSSLSDPSITTLNFVPSILQTLYKSVSPSSHSLTLIHNFLNSTHPELTTREEED IRLVALCSTGSVSQAFSLIRSNENPEERKRQRELLWLWILGCFPTSGQIQTKSLKELL HIPLSSEEIQHLVDFLVRPPKRNISSEGLSLLHDLITLRLIHQGQYSESLLLDKQLSG RGTTYTGDEKQRQNRREMVREFISILPKAQRDALLADQESNKEKETTQVNGNGAGSGS NEDIDMSSSWVNVNVPSNGPSYAQIAAEPPSIPIPSAVPPEHTPAPTPVAAPTPIRPS TTHTSLFTASQNPSAPSPQKPSSPFSGPPRFSTTAGPSVQVPSPRRVLSGSPFNLPPS SKPKGSPAASFTPRLPKTIINDDLEEEDDGSVLGRRNTRGKGRGNARLGRGVSMSVEP EPVPEFENVEENHPIETINEEPSSSTSTHANHEGPPKSARRSRKVVSGRDKEREREST ITPPRSRTRRTSTVEPITPGLNGMPGAFGNVHSDEEMPPPRLPESVQKSGRSRITRSA SRAILDEGEEEDKHSPPPTKKTKSASTSTRKITSSRSRASLAPSDISEIGTGTGSVRR STRSRTASVAPSEQGSPTPSLGGRSTTGTGTGRRTTRAGSATPRKSTRSKK L199_008211 MTSSTKRPSSPSSSSPTPNGPFKKPRPSSPQKNVSLHQWLHPTA APLLLSHSPPLHSSSSTFLSFTISFLPPSHITTVQSLEKECRRIVRELNVVGLVGDIV SKGDEGAFQDGEGRAPGRGRESGKERIREPDHRIWGVRTLGLREGKDGTGGEGDYQLL EASFDDNEKYGGQTILKALRENNGIDVLSVCCRWYGGDMIGPIRFQHITTTVLTSLKS TLKLMTLRDLRTNLEALDEEISSLRSSLVPIAAKGDGNGVQSGDSNDNGKYANIEDEK QLERLVVARERTKDALEKRLSKGS L199_008212 MSATFKSIKSLQPLLDRVLVQRFKAETKTATGIFLPSSATQSPL PEATVIAVGPGAPNKEGVVNPVSVKVGDRVLLPGWGGSPIKVGEEEYHLFKDAEILAK INE L199_008213 MNVLRSRSALPRPARLLQSTSAITKRGYASKDVVFGNDARQGML KGVDILAKAVSATLGPKGRTVIIGQSFGGPKITKDGVSVAKAITLKDPVENLGARLVQ DVASKTNDTAGDGTTTATVLARAIYSEGVKNVAAGCNPMDLRRGAQKAVDKVLEVLET NKRVITTSEEIAQVATISANGDTHVGAIIAQAMEKVGKEGVITVKEGRTIEDEIEITE GMRFDRGFLSPYLITDAKNQRVELEKPFVLLSEKKISALQDILPSLEIAAQTRRPLLI IAEDVDGEALAAIILNKLRGQLSVAAVKAPGFGDNRKSILGDIAILTGGTVFTDELDV KLDKATPDLFGSTGSVTITKEDTIILNGEGDKANIQARCEQIRGVINDATTSDYDRTK LQERLAKLGGGVAVIKVGGSSEVEVGEKKDRYDDALNATRAAVEEGIVPGGGTALLKA SIQLDNLNVDNFDQKLGVSMIRQAIRRPVRTIVENAGEEGSVVVGKLLSEEFSSQDKF NWGYDAATSQYRDMISAGILDPLKVVRTALVDASGVASLLTTSEACVVDAEEKTPPPG MGMGGGMGGMPGMGMM L199_008214 MSTSSQSISVQHSNPFDHLILEAEHYKEALNTYFQTLPDSEVRE KGKYIVLEGRTVVPGGKYIDIVKKLERGLRKAKEWSDETNSQLAWVNNERGTFGNTLK DGGLDDIGASGVVDSIWNASLTAGTTAPNSTVIPSSNDRCQRLSAYITNDLNVWCSQR NEMWTRLDRGLAQIDASLKSKGLIPSDFDRRSLK L199_008215 MDEYTRLPSVITSYKQSLNTGYLQGTNTKPDIHFCKNVFDQLAS RASILVDEIYRINKTDRKKCRKALKDIQSNCHSFSADTEEWKTFFNPSIQSDERTWLY QHLEDAISNYDSAEEFKQLLIGTIKTDQPDESDVKLLSGRLKSMAQESLEGEIAQDYL ESQALSFLRERNEWYKYLQELLEMLEDDLNS L199_008216 MSPTSSTANEQVPDERTSLISNPPSKKHHNIAGLSALRFRLICF SLWCGTFLVAFDSTLVSTLLSDIGSHFESATQVSWLGTSYLLTVCCFTPIYGRLSDLI GRRNAHLTAMFFFTLGTFFCGIAPTMNSLIAARAIAGIGGGGVGSVSAILMTDLVDLR HRGMYQGYVNILYGVGAALGGPVGGWISDHFGWRAAFFAQVPFLLIAALFIYTFVNTP NLPNQDTEELPNWRQQLGRIDWMGSLTLALAVASLLLSMSIKTSTTKPDGTDYKLSDP LIWGLLVASAFCTIAFVLVEKYYSPEPILPLKLLTRRTPLAIAISSFTMVSGQYSVLY NLPLFFTIVQNKSSSNAGAHLLPNSILIGVGSLFVGWRMRHTGKYWFLGIGCSSLMVL SSVGMLAWKKDSPDWLTWLAQAPGGFGYAGVLTTSLVALMTHITRRDRSETAVATSMS YLFRTVGQVLGVAISAAIVQFVVQRDLEKNITGPDASEIIYQIRHSTSSIPQLSPAYR DIAVGAYEHGLHLVFIFNLVVSVLTVLALAIVHEEEMEVNQQEISDDEGETRQE L199_008217 MATLMDYPSRVMIANALGYMSIGSWICAQLPQVIKNASLKSCEG LALPFLCSWLFGDITNLIGCLLTDQLPFQTYLAIYFCTIDLALVGQYIHYSRTSPKIP LSAGQTPRYVTYNTLISSPHQSLILPPATAPPGVSGRARSSSGHYITSVPNTARPSRG KRTSTYSHLPPPDINVTSSSPADGSYAAIYEAALDVARAAERASHRRRSRSKRRKLSR QASTSNVEEDLADSFHSELSNKSSSTINGGSGGSPRRMTQSTGTLLNDNRGRSITRSP IHPISPLPNTKTISNSNSSSNDDLDGLPTSGTLGLILGGEGGGNGELQKREHQRSQSR SLSLVRGSGGRGGRRAAGVAFMSLGLLVGWGGLSNSGNGNGRSFKSVGRVLVEPSGEL SNVYQQSSYNNHRNSHIISSSSSGYESSLQLEAEDISGYIEGIINTNQRESPTAPPPH PPDEPPSFQRIVGRISAWACTTLYLASRLPQIWKNFQRKSVEGLSILLFVMAFMGNVT YVSSILLNPAGGGDPNEAGHYLLEALPYLLGSGGTLIFDMTIMIQSVIYGSSPPQPIP PTPMERSSRRRGYLASKRKLKHVEDGFSHPHLQHVRTHSYTQQAAIASSSNQSERTPL LPPPNIHNSLGIETNRSRSRSKSPEKANSGKRTVDHHRGG L199_008218 MMFTTKIAALSTLVAAANALTINTPASLIECQPTSITFSGGSSS PYYLSILPGGQASASALENLPDADSSPVTWTVDIASGTNVTIKITDGSGNIAYSSPVV IQAGSSSSCLGTNSESSSSATSGSASASSGASTTSGSAAASGTASSTSKASGSASGSS SASAASSSSSSASSAAYLTKENAGAAAIVMGFVATALGVIA L199_008219 MSSSSSSLRSNSQTKRYTPNNYAATKNEVGVFTTPGYSEDIKTL WKFKNAEEAGKSAEGIWELFEGYRDKNDFVGMDICRKFIQMGRTRSLRYALRPGGRKY DPSTGEARERTGKVYDQGKLEGAEVYEKWLGKCWDDECYKKAWESWREGKDDIEVVDE GNVKDQSDVEDLSGEGYEKDQKEKKRKRPKSAMKGEPEVKAKDHPGSKKRIKKS L199_008220 MDHEHDADFSTDEVDHEHRSTSTKNGGKKDDGNKGDGKGDRKGV INRVNRACNNCRRMKMRCVGADDPPCKRCRNGGLECVMEKPGKPSGGDGHVGEDRIRS LESQVSAIQNTLTDLVTTLRAGMSSGASNASAPTPVNASTTTPDYGPSIGSLPLPNST IHSLMGPPHGNSPTMNPAAMDAYGRVPVPNVFPNTQPAQNPNHTNNPVDPFRSLAGAI ANNQTFVPPTQEPRQPVVLDENLRRQSVPVGIPTWPLGHLAEQEQPHTRHMSLPPSRA GSMGPEDILGPEEIINPLGEMSNMAGLVEAAVERAREEQANSGSTNGGTPMKRSGSDM ERSGSGNEDKKSTKRARFIPPHPVAYGPAIYENQNLPPIAVGPGYKAGPKRQHVHAFP DAVTEGLVSEEEGREMLKIYYMGSSNFIPCFDPRYDTWDSLRTRSPFALTTIIFVGAR VRDGGGPHSATQTLCRAHAEKIAVNTLFHPVQRIEAVQSMILLAAYRDSGWLLGGHAV RMAVDMGINRSFLHLLRTGMGKGKSEPELEQERSLVVQSRVWLCLYLMEHQMAYGTGR PAIIREDETIHQCRRLLEHPLSIPSDARLVSTVEMTALRSPLHIELTSTPDLPITEGT LKRLKQANNDFDAWERYWDRVLSDRFGKGKGDFFRESLIIQRQYAELFVNSQLLRGIR EPADVAKMPDEKRVLAIRAMRNAQRCLEICLRGDNYRNGLKYAVHYTHVCAAFAASFL IRIARLFPNELNLKKTAKDVEELAMVLSQIPAGRYARSLRLILRKARRQKVIPAPSAM PSPNRVASALPNISGTGPGPRVGSGTPADLTSALATTAASAFSPSQLVNPAFYPSPAA GGVLPPANMSPSSAAVLMATGQLINDSPSSAELFEFDSLFAQETMEKAGIPLGEDNQL PLFLDGQSLGSSANQLDMAPYVGLEQFFLPQEVDNRLTGPGNGMNDGNLGGDGFTGGD NSWW L199_008221 MPLRRLELSNFKSYRGKQVISFGDAPFTCIIGPNGAGKSNLMDA ISFVLGIKSAQLRSTQLKDLVYRGRKAAQVENDGEEMDIDDGEGETQPRQSQVGSEND ARTAWVMVVYEDEKGKEWSFRRSISAAGASSYFLNGESVAWKEYNGQLEKFNILVKAK NFLVFQGDVEGVASQDSKALARLIDRISGSLDLAPAYEAAKAAQDKATEASTANYAKK RSIITEVKHFKEQKEEIMQWEKLRDAKDALVQRHALWRLYHLTNEINESTRKVEEASD KLADLRQSTERDEKKLRDAKKEQAQAQLKVKKREADVKKAEKAYEDKKPELLAIETQI AHSEKKIRNANLLAERVQKDEQRQSEALATLEQGAQDVQHRMEEAGERQRQRSQAAGI TLSGADLEEYRRLRASANLQAVAERQQLETLRRQQKTLQDGLSSVEDRLQQAQRLRNK LTSEIDSLTDREGTMSEKVEEMSEERERIQGQLDHAQSERQRISMRETEINERLQETY NNLLQAGADKRENERETKLKETIASLKRVFPGVHGRVIDLCRPVASKYDTAVTTVLGR NLDAVVVEHEKVAIDCIEVRPVQERLRNFVKGARLAIDCIEYNPNVERAIQHACGSAL ICDTMEIAKYVCYDKGQEVKAVTLEGTVIHRSGLITGGQSSGAARKFDDRQIEGEQKE LYLSQLQELYRSKPKDKADEGLLESLARLDAELTVAKDDLAATRTRLNGLREELEHAN STISRLNPDLQRRQRDVNDSQNRRQELLETINAADDEVFGDFCERIGVANIREYEDVQ LKIAKEANEAMEGFAAQQARIIHQIDFETTQLNNTRERLAGLQSTISREERNMTDLQA NLQGIQNTLADLQQKIDEQREKLNSANEAYEQIIGRVEAARDTARRTQRTLDKALKEI ASWNDEIERSGSERYAIYRRCRLEEIDLPLLSGSLDKVPLDLPAQDDDKDKMDIDDTQ GPAETDDYGIEPDFDNLEDEDKEDKSEEVGREFEAQITKLKADLERVVPNMKAIDRLK DVEAGLEEAEEEAEETRRESKKAKDEFQSLKKRRCDLFNKAFTHMSENIDKIYKDLTK TTTQVGGTAWFTLEDAEEPYLSGVNYNTMPPGKRFAEMEQLSGGEKTMAALALLFAIH SYHPAPFFVLDEVDAALDATNVSKLARYVRNQADKEVQFLIISLKSTLYERADGLVGV YREQEENSSRTLTLDLRKIGTQNVF L199_008222 MPLPPICTTFEPYFLLSPDRLQDILSHFRKEMEDGLESYGKDVA MVPSYVTGVPDGTEQGTFLALDLGGTNLRVCEIRLFGQHKFELKQQKYKVSEELKEGE ARVLFDYIADSVDAFLTEIGSDISSNEPMHLGFTFSFPVEQTAIDAGKLLTWTKGFNA KNAVGNDVVKLLQDAFDRKHIHVRCSAIVNDTVGTLLSRSYQSGPALIGAIFGTGTNG AYIDKTRTIKKLGEEKIKEAEAGGQHAGEYMVVNTEWGAFDNGRNCLPVSIFDNKLDR ESINPRKQAFEKMVSGMYLGEITRNILLHLIDASVLFNGYSSKTINTHYGYDTAFVSA VEAAKSPEDIKKLIITQLGVDPKHISEGDPEIVQWACKVVSERACALAAVAIAAVVQH TGNDQVKEGEEDKGVDVGIDGSVAEFLPRFAERVSSTLKVLLGEEGGKRVRTGLAKDG SGVGAALTALQAKKAMDKRTEKNSQFVPGKTGYKK L199_008223 MNNLPQSVQKVLEGRIDPKSQHLLEQFSQTFLIILTIISFISSY FSSSVILGLELFLGGLVILLLAAVPPWPYLNRYPIKFLPVRKLHQT L199_008224 MFGNRKTASTLTSPRKSPNTASEAIQLGLVGLLTRPVIKAVFPS EKAVTKSDQSQPQSNDNNNNLAVDNPISEGTPSPASTAITTPTHSSPTIPVLLPAHSA VPALGGSIEKTLPPVQQAQQPPTEPTPRSIEQSSPAPKAITTEQAYLPLLYTREGTHP IKMAVVANNQPEQNQHFGNQNDLEDHDYALSQKAQNLDLNDRPSSRATHRTNHTTQSH LNNSAGPAVVPGKFVEDYNDNGNQAGRGGEDEIGLGSALSTDPTQLKREVDQDGETNE RPGMTQRASRSYVKPIPIVTTYEPELPDAASVKQRNAKAPSVKRASSKAGSVRSNKAA SVNGDHARPHSVTGDHARSPSVNGEHYVRPPSVASVRRLPQHDERENGFQPGEIAPSH RQHELQGGNNNSNRVSLHDSGPVSRDRSTTFEEPDLHQRATSPNRPHSSFGYRPQPNL MSISEGDRPDSRADNQRDLRAGMLSRNGTTLSRAGTLGRNGTLSRGANGGTIGSRKGA FGRGAGTSVGTQPEEVLGRDDIHMRAELSERILDEATLRRLSTMERKDAKRLTKVIKA EAKSEAKSVAGSIKELERLTRLQREAASAERKSQLRLSKWTRKEHKARLRFLKEKERY EKIEGELRNSENDYEERRDHAAGLTSQVAEKTQEVDDLRAMKAADDREREVKLLALKN PAHS L199_008225 MPRQQRTLEAVSAATASASTSTKRKNPTRDDEDDSDSDSGSDVS MINVDFDFYNFNPDVDQIAIKRLLRQTLSHDDELIDVHPLADLILSEGVRLGAGSSIK TDGEESDPWGLVGVVDILENRNNPAFSPFLNYLLSTLPSISPLRLLLYPSASPAAASK PALIFSLRMLNLPLPLIPHLYRMLLSELQDQGFTDYLLWGRGYRLEGGEENLGLDMND SSSKKNKKKSGNGNANNGLPLTAGTFAYHPEEEFIDNVATHVHTYPFKTAPKREEDAF GVEQFGRLVLISGDKLQSAVEAMQAACQ L199_008226 MKRSSDSTTSPRSSKRQASLAAFLKPKNVPSPIITKIHISEGNG QEDKPIVLDDDEEPELLKSITTLDKGKGKAVDLHQNGVSKLTSTDLDLDPSWPPPQHP YHPPPNTTYNHPIVIPPPTPSLQPMRFNLNPKIIHNPTTDLDLVYYKSFIDKSSSKEL MIFLLDNLPWYRVKYMVRGININTPRYTTVFGKDLTDIPWSGYMKCRPRAIPEVLERL MRKVEQVTSSQFNFCLVNYYSSGNDSISYHSDSESFLGPNPTIASLTLGHPRDFLLRH INFKNHPRTGNSVAVEKFVLEDGDMVVMKGKTQHEWEHSIPKRKSAKGRINITFRKGI VKYATENYYNYNVGKGNLHRWDREKGVMKEVEVSDGGVKS L199_008227 MTCRAIYPARSLLRAKLSVPASSPYRIPYRSYSSPSTNVDDRPL AGIKVVDLTRVLAGPLATMMLSDLGADVIKVCSNLASYNSRAIHDCNKRFNLPTSITS YQIETPKHGDDTRSWLPPSASFPQEGTYPRPDLPPESAYFLQANRNKRSLTLNLKSEK GKEVIRRLVKDADVLVENYVPGKLDKFGLAYEQVKSLNPKLVYCSITGYGSTGPYAKF PGYDVVIEAEAGLMHITGEKGGKPVKVGVAVTDILTGHYAQSGILAALLKRGKTGVGS RVEVSLFESQIASLANIGSNYLISGQEATRWGTSHPSIVPYQVFPTKDSFIMLSAGND IQFSILCSPTVLDRMDWVNDERFAKNSKRVENRDELVGLIEQALSERTTEEWCERFKG KGFPFAPINNIAQTFAHPQSIARQVVEEIEHPRAGKIKLAAAATSYDGIKPKLYRPPP YLGQHTNEILTELGYEEHEVGKMKEEGVV L199_008228 MTPQGLFSNWPPPSKEILKSWLDRQGIIRDDSLKIVDMEDGDGW RLISGREMDIGELICSIPKTSILSHRTSSLPPLPPLPSSGSCHDSSMNSITLLHLSLC LLHEFRLGQSSPFYGYLQSLPRDIIGLPIFWDVSEVCREDGKEAKKWLRGTGAERELR VREEQGLGLTNLHSFYSHYSSHLPPTTSHPEHSPIQSFYHAFSLISTRAFMIDLYHLI ALCPFADILNHHPTQPNTSLSSDDFVCHLCGSLKTCPEHDITNSQGIAYRLLYLIPRD IQRIEDEPQDTIELRVERPIAKKGEEVWNSYGDGLSDAKLLVEWGFISEEYTGDGLVW DLEDLHLKGEGEVEEYWKKVDEAPIDPIDEDTEEGEDEEERILCRRNEQNPRLLNLDQ SGRISINIFSLLWLDQTRSRRNGSKECRAEDPGSLVQAIKQIESIWKISIDEEYTEYD TLLIDDLVEVIQQVIDLLKKRIEKMYRCDLSEDALFKMRDDLSPEDKYLYMAMTLSIN ERVLINSTLNKWNDLLDFIIASS L199_008229 MSSTMPPPPRRRSARLSIKSEPTENEYQEEEEEDVKPLPAKKGK IRPRKSAVSNPTKKKAIKIEQDATDAATSNQVDAKPCQEDLATPAPKKAGRKRKASVS STTVKIEPTILEAGPSQPVVEAGPSQVKVRAEKTKSPAKAKEKKAKVEKVVDPKKARM ARLREKCPKNIIDRYKRAISQRMFMIERERVGEGNFQYEQFKVLGSTGNVYTVNIGTI PRCDCPDNLKGNKPCKHIIFIFIKVLKVPDDSSVWFQMSLTPEEVEHVFNTSPPTPNG SVTVNVKVHKAYIHATGRGGEDEEVVTGIDKEIKDGSDGKKMDAVGEDCPVCYEEMTQ EDVDGKKLVYDETLTGCGKPLHTECFQIWAMTARSKGNDVTCVWCRSPWPTEHGGAGK GKGKASGPQYSSMGYLNMAAEAGMSRERDVSTYHRGHRYRNSD L199_008230 MSRLSPTAPSFQPSNPPASIQIRQAYLAGGGRRGHTMFPHRSRA SNRGGQGRYSHYPYHRSDMVPSSVRVPNSQVGITPEQKATGSEIIGHSDGDNQQTASN IKAVLNTDADDEGDIKKENDAPTRPPIHPYMAVTPATEGEEVLSDRTTPISGQSQEAD DILKYAFQSQFSNDWRHRDISRIPNSNQENIWESQNNHLLMNNHSQSGRSTYERSTLG NPAPAPRVKRENSISLASNDDHGRHSRFSSEHIRLDGLGEGRSRNGYGRTLMGGQESG RGVIGNTSLESDENGDTTVVVSEAGCDIPMIREPWSTQAQIPQGSWGGEIKANIGPHT IFLNPDLPPLAIEHPARVWNSMIHSLESHLQPVIKWDYEKVLLQHQGQDTLWMAQLTL VLPSTHPTITGHPLFRSLPKNQWKKEYVAAVEAIGGVKKWVGEPRKLKADAQNTTLVK CISEDALAWVLAPNGVREFQESDDEDEDEAIANPNTGMTNVYSAQNDSGNQFQHLHPG LQSMVLHAPSQSDETKLQPGSEAHSFEIQIDEDDIGTSFQLFMDALHRTLGPGGVDIP NPASFSSNWEPFTNKFGCTLTIGSPGNVRLYQEPSTHTYAEEAQNAVCQKALDLNVVG LMEWLKESLLPPAPRTVDPISEEQPKEIIVRPPQSVEGSVAIPVKDYKPSWQSELAAF CSGTGRPPPEYHEQSIMYEGIPEVVSEVTIGAQTFSVPKHGRSTEEVQEYLARRVLMD HFGFKPREEAPLVVSTSKS L199_008231 MVLGIYLNSPNSDQESPMIIEDEIDLLTLSTAPKTIWKRSLKIS ELSPESELSIPTFPYPTEGECGQSSLGLLNVPCLESISMSRITSAEGEEDPFGYSDIL SSFPSTRRPSISALNIDMDIEQCPPSPILRPTSCLRDSIDCLRLNAYPRTTMDMDMEM EEDDDDHSSESSFGHSPCFHLRSDRISQFESPISDVGSESGLEELIITPFSDILNLPH YHHYIQDGYPSQPEDVGGEGYSQPRFGSSNYPTSPDCIGLGINFGSPSPSTTLSGPRQ EIHLSMPMPAPVTIRGKSIDWSTFRINLLSNSDDTSRSSDTEEGTIDIYMSDRSGGLQ SSPISYYSPLCRN L199_008232 MDEITATPTLDDIISATSTLLDIISTSTADNSIGIGFDYPNGTS PFDVFDNSTISDPGIGTISDSEGSYVLNTFIGLLIVLVASVFNALGLNLTKLDHVRQQ SIPKRQRKKEWMRILWLSGMGMYIASQVFGSPLALRYLRPDWVAPLGSSSLVFNFLFA YWLVGTPVTPTDIHGTIIIILGVILIIIFSSINHGLTQSLDIERLNSLWSRASWLVYF LFIVLFTASTYLVSSLFASLLASRASFSPLPSPTLELPTSRPKSTNAIKSFFKRISNP VKGIENIAVRRLEAFFARTDDARLTWLQGMGWAVTGGSLAGLCLVFTKAAVKLFGLPG HPLVHPSAIITLLLVIITAVLQIVCLDRALKCADTVVVVPLFYAGYTVFGFINSLIFY NETGQYARWVLVAVFISIAVLISGVVLLSLKSSAKAAPDPYTVSAQPSNSMRLRPRNH ARTQSGVTDAESGPSSSKYDGDDVDALSDGVVEPRDVLWEVGSVSDPSDDELKENEKG KGKGVGGMRGGTGERRGLLGDDEEDIHEGEHERDRIKGGTADDQNDRIRGNPFVDDGD GDDGFGEYEGVEHEDVHETKSGETTPRKGSR L199_008233 MKSASRLIPSLTQPLRSSSRPISRTILSRSVLAGPSRLPRFYAT TSNAPPTTAQPSPAENVEAALEGEAAELEEDLPSNINFEELSEEADESIDRALGYGNE SSSIRSNSQFDPILLPISSLASSTPTLPSESGLVVSLPPDIFAQPIRRDILHRCVVWY LSLLRSGTKSTKSRSTVNYSGRKLRPQKGTGRARVGDASSGTRRGGAPIHPIFPKDWS QKLPRKIRYLGLKIALSSKLNSGLLRVVDNLNEGEWKGTNEASRALSNEVVKTENPID LEPIISSSSSEKSTTPQETQEEFQVINKFGPSKDLSILFVYSPEKLHDEGLWNFHKSI RNIPGLELISTDELQVYHVLKYKWLVMEGTAIDAISGVQDLQDELELVPEQLNEGEPS RVIV L199_008234 MRTTITFALYAISASAIIVSAAPVVPNSFGGVVVARDGGSAYTG AGGSAVGGSLAENNSAQQGGLAGGDDILGVASGNAGDGGKATSGTALGGTGATTVSYV NGQPVVSTSNGGSAYSGVGGNTNGGNINQNNNAGPYTPYYSNGRWYYDYDNTAAAGNL DALNVASGNAGDGGDASSGAAIGGSASPVGAYGWPVNGHTRYY L199_008235 MSAVQTFGKKKTATAVAHVTPGRGLVRLNGSPISLVEPVVLRYK VYEPILVVGPEKLANLDIRLRVKGGGHVSQLYALRQAIAKGIVAFYAKNEDAASALEL KKTLIAYDRTLLVADPRRMEPKKFGGRGARARRQKSYR L199_008236 MPPRRSIPPPSPARSLRNNRGDDEWDNESITSTSFKVPNPRGKK ATTSASIGLKDTSVNIAAAFHAAQTGHLPPPEQSFQSHTSASAYKPPSNRSQRAGSQR AKSPSESLQSAARALSPVRFFLRSTEDENGDFDNSGAYNSFSSLGGPGNGSGESYSYA EEDDYVKRLQAQKAKAKPRISDGNSKKKRGNKSFAEDLPYRPAEDDEIYGSDSGGEGE GVVRTGALDGRAGTRGKREERGEGYLGMGLGIQPRQRKKGVKSYAEGSDEDDSQYQQN GEPEQDHHSQRAVSPFMEVPNGHGSTYRARSPTPVELLRAFSPRSQRKSPAPTFHPRR RVPGTIRTIITNILHGVVLGLQYIVESVVNLLHSILIRPAERIFGSSQGILRRLKQDW WKYLGAMLALNLVLRALDAPWRSKGVYRAPDTPPSSIDEVAARITSLEQVTADISEML RTISNGEAENKQFTHNMLGRVDNLENALMVESKRVRTMRSEEDEAVKGLQNSFDALRA EVRGLGDRVSKSDHIIGSTQDKVNSLGGIDREVQDLKTRVGAVEKKVSDALDDGRLRA ALEKILPDKLPIKINSRGTVDIDPRFWSEMKKVMISRTDTEAMVKRALSLSRGGVDMD EGRVKAWAEEVFKGKSTEYLSKDMFQDILHHELQSLRSEISSISSSSSSTSNKSKAST PASVTIKSSKGEDLTSLFNELIDAALLRYSKDTIARTDYALFTAGARVIPQLTSDTLV LSSASRFGKFVLGSKDVQGRPPATALHPDISVGSCWPFKGPQGSLGVMLTRRIKVTDI TLEHAPRELALDMTTAPKTVKVMGVVDNEDDKRKLQEWWNEKNGSESPPDHLPLTTIQ YDINSISNIQTFPISDEIQSLGIKIGIVIFKVEDNHGGDFTCLYRVRVHGEETTDDNM MAR L199_008237 MANIQDNKAKVTSASTTPTDPSVADPLAAVGAGIPPPQPQTNGA TSPPIPPLTQRSGVAGTTKRRPPQMGGEKISRNRRLSHDETTASAPRRFLIDVEETMR IVFQISIYDSGPKLLSLGTASSNAHKTFDIRGTYMLSNLLQELALARDYGRKRIVLDE ARLAENPVDRLSRMIKNSFWNALTRRIDAEGLEVACADPKNRSQHTKARIYIPYGEEE IAEHYRQIAREKPNLNLTVDILPQKCDDPAFVKSLNDKPGILALAMDRKVDADGKVKL EALPFIVPGARFNEKYGWDSYLCRSQPPFLTDLALQIYNQLDRSQKEENKSWLKRVIQ ASIKEYHSYWMSEPSLDPVSGLSRYRPVGLGIPPETEATHFTHILQPYAQKLGISVNE YIEGYNNLTIHEPELDEYFLHDRGVRESGHDTSYRLDKKCADLGTVDLNSLLYKYEFD IASAIEVAFDGELDLEEEFPLSPWPVTPEAFESGAPRETSTSVIQTSKHWFERAAKRK EIMDELCWNDGHGMYFDYDTKAKKQARYESATALWPLWAGCASENQALKLVRYALPKL EVAGGLVSGTEESRGIISLDRPNRQWDYPYAWPPHQIMAWVGLERYGFVDDAARLAYR WIYMMTLSFVDFNGIVPEKFDAVELSHMVDAEYGNQGTDFRYVPREGFGWMNSAYQIG LQCLSTGMRRAVANCVPPWVFFNLPAPDFSSAKKRRAEREAREAEAAASGHGGAPKLE VHHDPPSLEEAVAKLKLELGAGPAAGAGPQ L199_008238 MPQNPAWELIEPYLVNTGLYHIPDPTYGRYITPRYPPHTEILDD PNIISKYRRVFLPPYKSFKNLHEAYDTTQIIYSEDVSLTLQEVEEGVKRGGRWVCYST WEYKDEPQGGWEEGGKGLGKDMILCHGLGDYGLRYTPHLHHFLKAGFRVIIPDLPSYG RSTGVHSYLPSFLVLPAALHVCLTDVVQQDLANGREQRKVFLCGASMGGWTVLYYLLK YPPTEFASEVASQGSQADSPLPEEGHGKGYDNLERAKKDEKVRIHVSGAYVICPMVEV SKESIPPKIVQQIGRAISYVAGPLPFVQGVRGRVSDDPRVEADFEADPLCYHGKLRIA TGLAAVEAMSELEKRAEEINVPIKLIHGNKDRATSHHGTIKLFDRLPNPDKQLQIFDD YEHVMLKVGIDQADDEKRQRVLADWRAWLLERC L199_008239 MREVISVHVGQAGVQIGNACWELYTLEHGLSPDGRLMEGSPHGG DDGFSTFFSETGTGKHVPRSLYVDLEPNVVDEVRTGTYRSLFHPETMITGKEDAANNY ARGHYTIGKDLVDNVLEQVRRLADNCSGLQGFFVFHSFGGGTGSGFGALLMERLSTDY GKKSKLEFSVYPAPKMSTSVVEPYNSVLTTHTTLEHSDCSFMVDNEAIYDICRRNLGI TSPSFTNLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPFPRIHFPLATYAPVVS AEKAFHESNSVSEMTISCFESNNQMVKCDPRQGKYMACCLLYRGDVVPKDVNAAVANV RTKRTIQFVDWCPTGFKLGICNEPPALVPGGDLAKVSRSLCMLSNTTSIATAWARLDN KFDLLYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGIDSVDAEEEEGEY L199_008240 MPFSSGNPSGSGSQQPLSIPSGSSSSSPSSINHHYPPTGTSFPR QSSYNQHQHQYPGYPHGQEQGQGGPSRWENSNTNASGGGNGMSGLSAMMRSRGGGGNG GNDMEIGSSPPGYANSSLSSNPHSGFHPSSYGPNMPFQAIALGISHHQQPNAYMNTGM GMSISPPHWASGSVGSASYVGSMGNFGGLGTSLNSRDRELEARYVKDFSCCGKKLNGL HELLEHYEEEHANLAPDVRMAAISAAQNSMNGLGPGPGPGPGQIPGQSPNNRFNTNGP IPPTPTSITHHSDVPAPPGMMDIEMDEPTTHYPSTPNYHPHQQHHPRHLPHPQGMIPP SNPWAAAFRPQLNNSQPPQCVPPSLLSYAPPTPGSSTGTSTSAHPNSNSGGGGVLTPE QLQAKALRKAQKKAERAAREEMVTSDDAEGGGERRYPCPIEGCDKVYKQANGLKYHLT RSINSGHGNVAALGGLAAILGEGNGLDHQ L199_008241 MPRSRRSKITTLSKTPVRSTKASKQALVNEIRATVDKYDHCWIF SVGDMRNDGLKEVRAQWRGTGRFFFGKGKVMAKALGETPETEYQEGLSNVARRLKGQI GLFFTSHPVDETVEWFESWSKKEYARMGARATQEITLPEGPLLTPYTESNSGDPFPHS MEPQLRALGLSTSLVRGVPSLNNPHLLCKKGEKLSSEKCRILKLLAVQMAEFKIILGS RWSKESGFIQGKELDGAESDNEQEQEIMDQD L199_008242 MPANANQHDDIAAFSNSIPPPPPYSAEGAQAQYDLTPLPHHENR PRLPEERRNPLLTSLPEDVKIVKFQTIVREGKEIVVGRIKVPTPGTSSHAFILRRYDT NAISLTTMYKVAFPGATDEDEKREMDWVKSSFDTRGTNGGRGSDVVRLAGQWVSRHLA IHLAGAYNLQELIASLARAIPDPNVAYRKSQRSQAASEEMARQNPPEPTTAAVPARPV PSMTAAETASPAPKRQRRAPSTPAAEASSSTSTGGEAAVAEAEQGGQRHLTLEATTTV TAPAGTNVDMEAEIESAKQLVKDLKKELRLRAAVGEELEEQGVDLPEETRGTKRGKNH DDDGVIISGGSGTNGKDRIVRKNKRVVQNGILGETGQKIAWGTIIFSLGVGAATLLPQ YVSSFF L199_008243 MSTLRQPPAVVPGSTSSSPTLVTSPQFLQPNLPDPSSSSLNRVT SAGSSSQNAAGRIPSSRPTDSNSRKSSFKVLSRQGSLKDKDKQKHGREVSNQSEYSDD GFRSPLSESGSAEVIETGAVRVQKDHGSGRWMINQYRVLREIGHGTHGRVRLGEDLSA QLPLDEGGDVGLGISHGGPFYIVDRNPKRKRLTGLGRQKGMKSGRDGAKMLNESEIRK EIAIFKKVNHPNVVRMKEIIDDPESSKIYMIMEWCENGEIRWKEAEGSPALTVGETRK IFRDTLLGLEYRIIHRDIKPSNLLRAADNTVKISDFGCSHFSEALRAAAAQPGPEGDA YVDDIELAKTAGSPAFFAPEMCYSGLDTDISQRSTSSPQSTPMNEVPSFTLRPPSSIE TRSSQSDPSNLGSSIPLRPTLSNESAFSRRPPSARSHSSSATIHRRERLPITNAIDVW ALGVTLYCLFFGKTPFDAPNEYLLMQVIPVQDYVVPPFVGKDHIPTGTGGLPASEEAN EGLDLLRKLLEKDPAKRITLEQAKRHPFTLRGISDPTSWLAKTDPHTQTFVTVSNDEV AAVITKSTGFRDRFRKGIKSISHKLQLLSGSNRTRSRSIGETDSLGEPHPPTPSHLGT PRSSKLLGLVPSSRDVSPMTSPLPAPPGLSRRLSLLGSKLLPGESPPQPRGASPDVSG HTSPESGSEVPNRTPSVSSIQSAPGRSFMVQRRPSTHLVPPAPSTMVPLAADDTPKSP RPVASSSSLDKVKNASDISPNSSLRRRGSGDIDTINKEMGYRPRTHSNASSISSKLAR LLSRTGSQRSRRLPDKDRELLAASDVEETGVTPSAASSSPADALGRMSLDEIPRRSLE TFESGSYSSQQRAFAPSPERGIGMWNWDNRLRAAPLRRGSNLSEEYTPTKAAGRDVVD EEEVDWNGAISDDEEDDYGDETNNDHNNNDNLDNHTTTTATGTTTSSSSRMNFNHSTL TPSAPNLPSNWRKTSRDGLLGLDIPQLPPIATTTSSQPVPVAAPPTLDPIPDGSPSTS VSSSSKQPTSSTSTSSTSASGSGNGSINSNPVNITNDTNANSNSNSISNQSSLQRTSS RNSTHSSSRISQSHSPFRSSFLHERAKSPLGLHTHADSPKRLSRQTSSSVFDLQDDQD DGNGDGLDDNGLAITIGGRRERGRKNSMLSNR L199_008244 MARKVVLDQVETKFVNLLDDFSRKLNPPVECRIAGGWVRDKLLS LPSHDLDIALSIPSGHSFAVEFVNYLKTKDVTTGSVGRVAANPEQSKHLETGTTRILG LECDFVGLRSETYADSRIPQVKPGTPLEDASRRDLTINALFYNVSTREVEDYTQRGLS DLEKKIACTPLPPRQTFQDDPLRILRCVRFASRFGLKIEDHVMQAIKEEDVKAAIATK VSKERIGIEVTKMLKHDPFRAMSLIDNLGLHPYVFTCEANPPRESAERSAQILRDLVN KGFHIDEILWLATAVTPFGDSAVKRKGKDVPATSIVVSEGLKLSTETKNGVTNLFEAA KVVNPDATVRSEVGTWMQNPCVKPWQRSLTWSAVMEILPGWTGQWDQNAERVVQKYEQ FRRRIEESGLPDAIDKPLLLNGTELQQLLSISPSPLLQTIRQALNVWQLDHPEGTKEE CELWLKGIWEGEGRAEWEKNSQPKKIVKGEKRKR L199_008245 MDSAFEFGTQPRYDASASLPKAKDFTHHLNQVSKNRAASSLKEL YRYQGVPGMIVMAGGIPHPEVFPFETLSATILAHDAFPLDPPREPKKNKSLLSWLFSS SSAALPTSSFTIPKWAPGPPDPKTIQLSTSLQYQAATGPPSLALFLREYVSKVYKPAY ADWDVLVNVGNTDGWGKIISLLVEKGDAILVEEWTYPSAVNTFLPFECPTIPIKMDKE GMSPEHLEEVLGGWKEDERDGKKRPHIMYTIPTGQNPTGATMEGERKKQIYEICKKYD VIICEDEPYYCLYTGEWTPKGTQSDRSILAQRLADAEKKEGSEGNAAFIKSLPPSYLH FDTDGRVVRMDTFSKTSAPGSRLGWITANPQFIERLTRITETSTQAPSGFATALTTTM LQKWGFEGYIRWLRGVKATYNMRKTWMCDAFEDTFHLEFDQTNNSIVRGLFPEGTKTI TCYSKQPRNKWDEKKGLHGPALVTFTPPTAGMFVFLGVHVSEHPDYQDLLRKGEDATH VLVQKLWTELADNLVLFAPGWYFDAGGEHAIGGKGYGYFRLSFSIATYEETYKAIKTF SKVLDKFFGLN L199_008246 MSFYSPYNPMAYHGQSWGPPPSSQSSSSIRDTLSNWSNSARNYV SGLAQGAADRLRPSTPPPGYWMNPSLSSGQQSSGNSSSTDQSFSPWSSPSQQPQQSVV PAQSYPTSQSIPPSQTYGTGSESDQPGVKAGMYDDGQRKGYEITFDTTKLGGDRSYKS QVGDGKGNIAFTAILSIGPGGRKQFDVYSGAGPADFNTSVTGPQFQPSQSSNGMVGPS GPNEFTNPTDPMTPNQSSTLEPQIDRMPNFIDMMSDQNPQNWVYGVPPVDLSKIGASK STTYGEKGSKYSDILFGKGFVDGFDGPRQVLEDTTDWVQNRYHHADWTKQYGVGKLAL NRQDAREIASQFLDSDHDGTIYRESQLTDIMDKYVSTGLLPDSNLVYVDSERPTEENG LGSASSLAFDGSKLSDWAINMVGPPEEGYGGYGGGYGSVFSQRQVEKMKDLMDSSQAY GDDLGGAAGFSPEETSLFSSQIGSLLTTEVNSSGRTKPDDDQRRWAYMSLLKAKRVYD NQSDEDKETYNRLATGKLSFEELNSYLQQQQSDSQPSASTTSDSAGSTSNATPTNPSV ESQLRGQHDNWRRSQFHPQPGPTSSNGIPPSMQTGFNGSNPNASSMGVGLGLNKPSAM KGGRVSFNPGREEQDYWASRPASAVSRGSF L199_008247 MSTLTPSAGSSRRTSGEEPRQSQPAAGAPRTGGGTPGKKSSSGC TPQSQKQKELAEAEAKRRKVQRACDACRSLSENKCTHCEEYGLDCTYVEAAKRRGPPK GRLERILHQLHPSVDLDQYVGPPLDREEFDLPSYQDTLRSLHIPPFPSLKPFPVSHRS SAESSLSPPAAGAGSPASNILGSSPWRAYEKDPARAPENDNAEEEAELQSEIANAISK LDIRDTVWRYHGKASGAHLMRTFHELKYTREDNEKRDFLEEVNKHKRHQYWQLPEWEL VIANEGIRSLDLSLWPEEGFGNQLIDAYFDHLNIHLPLLNRKLFQRQYDSGLWRTNTS FAKVCLMIFANGSRFVDDERVYWPVDLALTEEGRERLQSNQDGSLRYSAGWKYLHALL RMGRSIMQGPNLYEFQLQVLLCSFLSGSAVPHLMWILSGVGLRSAQEIGIHVRSTLIH VNPVERALYNRAFWCLYHIDRVNCAGVGRSVALQDTDFDADYPIDVNDEYWETGDRET DFKQPEGAGISSIAAFIQTLKLDHIIGATLRTIYAINKFPEHRADVAAQSAVVMELDS ALNSWADNVPDELRWDPTRSDYSLFQQSALLYVHYYYIQILIHRPFIPTPRNRKTGQV FLPSLAICANAARSICNIVDSALRRGRQEGALPGRALNVGFMLPSWVAAIVLLINIYS GRQTPAERQRAITDIKRCIAASKEMETVWRQAGKMTDMLTELASEHDMPIAVVTPKEK KRSHSGDEVDSPVPMNSPPCQNQTSPPTSTSSKAKQYGNFGPNFDDPRLLSATTPQIQ HPADKIPTPEIIYGSSSYLFEGNPGSGATSIAYPSPTHTSSSNTVNNIFSETGTTTDI QSAQSQNQNQFTTFMGGNLPTTNFNVNNETYSQPQSSIPSFTTQIFGDAQPNDLLGLS ENSFENSLFDLGSSVFDATGQMEENDIWTQLFSDYTGQNFDGNGNWNWTVPGTGTGTG MSNNPSANSNTNTNINTNTNRNNNTTQPQTQTQFRPQQQQQQQQQQQRQPQGW L199_008248 MSRPLHRRGNTDPRRSTTPTIPVPLSVQATHNRTFRKTGMNSPI SPSRMKVKGQTPLPFAQIAVLMGVRLAEPIAYTVIFPFVNQMVEELGVTDNPDRIGFY SGLVESVFAFVQFFTVYHWAKLSDKIGRKPVILFGLIGVVVSGSLFGLATSFWMMIAF RCLSGALNGNVAVIRAAIGDITDSTNSTDAFAMYGLTWTVGAIVGNALGGSLSHPFER FPKLFGSLEILRTYPYLLPCLVTAGLTLIGILFCLIFYHESLPTLVNNGKGSLSFLSL STKTFNNNRKSHKRYQSSSSLISESETLVGEEESSSSSTSANISSKLPIGEDGPEPLF TPIRKSEWGFWELMGVKKVRVMAATAFLNAFVQGAWNAACLLFFFDRNNGLAMSASSI GTAFAINGLVTIIVQLVLLTRIKRLFGIAGGYKVLTIGWIFVWLLLPLLRLTKPPGRS GGWTLSIFVNVYLSFVTVINLTGSLLMVLINTASPDKSALGAINGIGTAVGCMARVIG PSLISALFAYSMETRFMNGRAWWIFMVLMSIINLIVSFLVDNEQEHPNSHEEEELAMG LLEDNEDRNDFDDELTPVERSNTARELPR L199_008249 MFAKASIALFALVPLISGAVIKHKDTALNARAELVVQPVCEGGN LDSHDCNVALLSLGGGIQGAIQFLRVDDITNTASSGSCTMTVTAVDGGTAIDISKGRL EQAQKAAIARCGRQAWSVTALGGATGGNLKIGQSSSSSSSSVAVDSSSSDTCTCST L199_008250 MASHSAEASVPSQRGSGIDFKSTRIQGSNQPRTYARATQGTQPY TGSSNKKLHGLDPSSEEIDSVIVHDLHWWTNDQDLVSLADQVGFVVGNKDVQFLEHKV NGKSKGQAVINCHTKENAMKLHEFFQHNTFQGKKLPSALSSCAYGNPLHPGNQDFPSV RPLSTAIHSAVRQPTNAHGGVNFNRVRPNSRTAIHANLGVGHPNPRTFSMGNFNPVPM QPGSSGEMVPIDPAIAWSSQQQEYIPFGCIPMNPPFQYNGQDYMMGGVLPAVQ L199_008251 MRVERSLLLTALFGAFATFVAAQNENGSEAEEGAPEESSAAETG AAESGASSAAAGASSAASDAEGAASSAVAGASSAVSSAAAGASSAVSGAVSGASSAAG AAATGASSAAGGASSAAGGASSAAGGAATAASSAAGSGSKAATSSAAATSGASNSSAS GNGSDSGASPLVGIAHGSEWLTGAAVVAGAFGAGVAALF L199_008252 MMIPRWKYIGIAGGFIFLLHLLASIHPTYRATTSPFNLLPSGGW RSGQPPDSAVPKWAGEGLPTADDLRDDEALEGRRKANAVFVVLARNSDLWPFLDSMRQ MEDRFNHWAKYDYVFLNDDDFSDEFKRYTQSLTKAKCHYGKIEPDHWHQPEWIDEEKA TKAREEMIRKKVIYGHSVPYRNMCRFNSGFFYQHPLLADYDYYWRIEPSVKFFCDLNY DPFLVMQDENKVYGFTLSLYEYIETIPTLWDAVKEFIQEHPDYLPEGNAMQFLSDDGG DTYNKCHFWSNFEIGDLNFWRSKPYMEFFDYLDKKGGFYYERWGDAPVHSIGAALFAK KEQIHWFEDIGYRHEPFQHCPQGESHTRGNCWCDQGNNFDFEWYSCTKRYVDMF L199_008253 MPRIPVRTTPYHALPRCTRHYKSKRDLILRALGKASFINGSQFV IAWISPKGDTDIFASELLQSAVKDTNGNGSGGVLNKKELEKEAARVKQEMSRRWDEIR RMEEKGEQPPSLPDDDLAIEENDNDNENEDNEEVDPDRTMVDEGEGSEQIEISTLDTP LKANGTGLGINSVTINSNKKLSPNTTTAGGMYSTFPMTSAITPRSSTPSSASANNVPM ESIVLKPEQIEGFYMDRFTNLQQQTCKLVVKAWIKIIEPKKQMKFPYNKGEDFKPSWW PEGVKHREPDHLPKDERKLLLMSIIRNPSVNVARLQLSTAETSALISASKLAILREIY IVAKEEERRRQIGDTSSDLTIELPIINQPSNASPEPSIGDKRSHSSITTSDNKENINY DLSVHHGHGHTSKKSKTHPRLPVLTLSAQQLNHNGGHGHGQYTPYDSPYTTSPSPFAF THAPHAQHLSPHVWGENRLSTSTTSASSTNTATLSPYGYAASDVHSDYSRSPNPDQQQ QQQQQRQYTNQHHLAPILTHHPEGTANSNGAPSPVDSPFPIQGQGQPNSAGYFQAQQQ NQRGIPTGYMQQQQMEYLHHHHHHQQQQQEAYGFQSPYIAEQGWEGQYSQAA L199_008254 MSGISDLPKPPHRYSYHRSSLSDPATSSGNSSPMGLPPLLPSDL YGDDSSPASSTSSTTSEIMTPDIVDSSERDQAKNLLTTPKMTSDHSILSLMSQQPTPK LIIPFQGSTSTSTSASTSTSGSAGSRFPLLPPHHPLRSKMYSLSEYATSPISSPAHTP KGHPIEKNKSHSSYFAYDAKTKTHPITNPYGAGNPSYQTTLSYLLRIPRRLRPILLIG VCVFTFGLVLLNRAMSHANHMDHLIKQQRELAFSKRYVNQPQSADQYPLMASEIDDAR QAEAAVQSTVVLGKGLEFENVQEEFAALISFVTSTTANALPSLDPTKPINPHTVLDFD PTHPNAREDLLLLQNEINIMYPLVLIGKMRDPYHREIKRILSEYKISPSPLMIDVDQR RDHQVIIPLFTRLLGIESEKDLPQLVLQGKTLGSYHDILDLRDKGELKEVLEKSGSVQ IREVGGKKKRKGLKEKERIENERILKPAPIVPH L199_008255 MSEDSWLSPTSIEEWWSTPSQTGIKRPYSADTVASLRDVFPENH HSNAMALKLRSIFERVQKDKSVNLTTSVIDPVTAQIMAEVGFETLYVSGGMSANTDTA TDDPGPDLADYTYDTVPKKVATIYRSQLLHSRTARVNKTGKEEIPLLPIIADADSGHG QHTAIMKLVKLFVQSGVSGFHLDDLVSGVKRHDGKDGLSSVLVPTGEYLRRLVAAKLQ LDIMGSEVISIARTDAQTATHITSTIDHRDRPFILGATVPLKQHFIHTEGNSAREEWK REAKLSTLDDHFQSSYPDLFDQFKEETSKMNVSEALLIAQKLVSSFYWNYESPRTSEG WYAFKGGVQAAVSRANVAANISDVVWACAHGYNPDRAEAFAKGVQEVHEGKWMAYNVT GGFPDDGSADEQVKNIPSQLSSLGYVWLFLPIAGLTTVGLGSQTAMKSIKEHGLYGYL SQVSRPAARHAAAADGTSPEWWWKVMGKLADDAADAIGEGL L199_008256 MTNSEILTPQYTPEDIEKFNLELENKSPQDILRWAIDNLDGLYQ TTAFGLTGTAALDMLSKISQEREEIHLVPLIFIDTLHHFPETLQLSQTASENYLAELH TYKPQGADTAEEFAGKYGEKLWETDEASYDYLVKVEPAARAYQELGVRAVITGRRRSQ GSDRANLKVLEVDERGLIKVNPLISWGYKEVKDYVDKENVPYNPLLDQGYRSIGDVHS TAPPDPNAINNDAGERSGRWQGKSKTECGLHTNYFEMKKKFEEKAKNGGQ L199_008257 MRPSHIFSLLLLLLAPAIQAAVLAIDYGAEFTKLSLIKPGVPFD VVLDKDSKRKISSVVGWKRDDRVFGAEAKMAATRFPDTHFPYVKPLLGSTSQLQLPLH PNQPSLTDDGVLIFPHPSAPSHISPCASSPDQFWTPTALLAHQISYFRHLAETLLPAG STAESINQVIVTVPAWWDHYQRKAYKDALELQGLSCLAMIGEGTGVALNYAMTRTFPD YNLETGEGSKEYHVIYDSGALSTTATVLAFYQTSYLPTPKSKTPINTTHIEVLGTGYE QVGGVLLDVSIQDLLVEDFIKKSGQKGVKNDKKALAKLAREANRVKHILSANQEANVA IESLYNDVDYRSKISRASLESSLESSIPLFSHPVTSALTSAELSLNDINSVILFGGNT RVPLVQNTLKSVLGGKDDLIAQNVNTDEAAVLGAAYYGAALSRQFKMKNLNVTERSFY DIIKDGKDGEVIFEKGTKLGERKILTLPVPAKGEDEVTLEFSQSTHPASPGQSTTKVE NDQAQTKPILALTINDIQKSLKNLTTPQPVIQVTLRLDPRGYLSVANAVLTSNVTEPE DKEGGVAGALKGLFGGKKDDTPSEDNTTEDDEVQDQTKDAKTKSKKQKIALRFKEKHI GLRPMTGEEKRTTQARLQSIAAYESAKFAREEARNLLEGYLYRLSGLLSPDSDIKALH EYATQAERDKIRDLVDKTMEWLGDHAETADEKTLKGKRSDLESLELPILKRYKEYLHR PKALEAFQQAMFASRSFLSEARKNNTEALKAAGSATDENPAIPPKYTEEELKDVEDQM KENEQWIDGLMKDQVKIEDDKTKDPVIMAGDLDERGKKLQMTVLRLINKKPPRRPRPS SSSSSATTSTTLASPTDHGPEVVESTHSEAIPTTSITLAKPTDKGPELEDVTQETGNK LGKTSISKAAPTDKGPDPPKHVEL L199_008258 MPFDPGGPSSFSSPYPHPTQSQAPAEPVLKLRITHITSTLSSPL PSLRELYVPSRFSTAIPPGNLPEKLPVLRIFGTTPSLQKICANIHLCYPYFYVPFPME STSSSSSASGGGSDPLRPERVIKICQRFAVSLNHAICIASRQNPQTSSKYGGGVDPKH LHVVSVMLVKGIPFYGYHIGYSYFLKVSLANPGKLYTALEQLRKPIVLGRTWQPHEAH MNHVLQFMCDFDLYGCGWLEVGGGKFREPLPEGDPYDSPPSSPHGPPEIFDSLSVPES MLYDIGLSPSKDTFTPLEIDILPHHILNRNRLKPRNLHHDFVELLLQPLDPNEKLVPA VAELWEDERRRRSLKGLSLGSDAMMPGSGGMGGRSMKELGYKDPNHSQEEEENTGGSW KISKELWDFIQQRMQDERTRKGGKLNFQGFSNEIINGKSGEKRNYDRWIMTTFQAVSA HWPRPPRPPKSTQKSRRSAKSYQTSSQVDGLSSPAQASIALTSSPRAQPPSSPTEAEI PIVNLKGENVFVDNGGEKGEPNGIQGDPPDEAEEEGEENPFEVFAMTQASQHAPVDLD VNARMISRNASDLDAQDENREVDDYPDEENDNAKRHAEDVKHHAEEGLKFRATQMPRR VAKEENKDDDEMYDDEELDELFRQTVAAGFGSNQSTPRKNRFQAGSVTPSSRASTSGS YQSSGGMYDRRVERQRRMMEQAGLGDLDTIVDRSSMSLSSPQNPWDNRPVTPSKPKTP VSEKPTPTTLMRNLFAKPGRSSVSPLNTPTKKITALPFDSPNAIMLPPLRRTVGDISS SPSSSPPEAELELEKVSNLQHDVIEKLGISQEDLQDAKDELKEIQAELPEQDVVDAFF RPNQKTPTSVPTRSTASSNGNDKVQFLTPTLKGTKRPFTPTFKNDDEKEETTPGATFV PSSAIRNPNLPSTRKKVRLASPPPAIASQQPLIRSIPTPTPKSTGSTDLSHSSQPTTN SDSTTTRIIQHQYSKNAWQFHLPPPTRSEIENTMETNGVPSAIYQQPYYSNPVDVPSR AKLFAGRMFTLKGNSVRDLQDFENSFDDPLKSRKWLRGKKGKHGWKLGWEYSIPPPNN REVRTWCEKEDNARKAEIESLKNLTSQLEKPTQKSKYGFKFSQKRKTKESEREQQNMS VLALEVFAQSRHQLLPDPEKDPITAVFYCYQNDDPTLPDNTVHKGYHTGYLCLKSPTM DESRLRTLNKDNIPCDIFDSELDLINHIIDITKSWDPDVLAGWELHNSSWGYLVSRAN EEFSIDLMDQLSRVVSGHSGPKKDGYSAHHTSTFKVSGRHILNIWRICRSEVNLNQYT FENVVFHLLHQRIPHYSPANLTALWRSKTPEHTYRVLKYFFQRVVIYMEIVDAAEIIT KNAEFARVFGVDFASVMFRGSQYKVESFMFRIAKPESFVLVSPSKEQVGLQNAPFAVP LIAEPESKYYTHPILVLDFQSLYPSIMIAYNICFSTCLGRVEKFKGTNKFGFTELKVA DGLLELLKDYLTVTPNGMIFVKPAVRKSLLAKMLGEILDTRVMVKHAMKGARGDKSLT SLLNARQLGLKLMANVTYGYTSATYSGRMPCIEVADSIVQTGRETLEKAQELIHSRPD WDAQVVYGDTDSLFVALPGRTKDQAFKIGNDIADAVTAMNPKPVKLKFEKVYMGSVLM AKKRYVGFKYEHPDETEPTFDAKGIETIRRDGFPAQQKIEEVCLKLLFRTQDLSQIKD FCRQEWTKILQNRVSIQDFIVAKEVRLGSYSEKGVPPPGAAVAYRRILKDPRDEPQYG ERVPYIISNADGRRLIERARMPEELLVNRSLSIDSEYYIRNLLIPPLSRIFNLVGADV EKWFETMPRTKRVSKYENQMDQATRATGRGRGRGRGKARGRGGMRIDSHFKSSHCIVC GVDSSSALCMECQSDPSTTTHALLSRTQLASRKLLDLQKVCASCSSTPPGEKILCDSI DCPITYARIGAERDVEDLEEVAELLKELNMEGEEDVTSTFE L199_008259 MLSSISILSAILLLAARDVSAAICYDGYGRRYYCNGGLSWGARL GIGLGIAAAVILLFSLCGYWRRKQLKNQFSKYRPPALPYNNNDQNAQNPYVNNPPPPQ GQWGQGQSYYGDSNYNGNPYGNNPTAPAQAYQPSMAGQYQARNSNENEHEHGYEWEQA REAERLEREQAQAANGANAPPGYEVATSPQNTGATNTNYQPPAGPPPAKTH L199_008260 MSFLKSSSAPRHNRSPIKPYIRSMPHLPFEVFLLLLSYDQSPSV LAKLCLLNSEIYKIVIRYLYRKVELDKLNWEKFLWGFVITRPKEGPGSFVFKPPKELL ESISKHSRKESEDDAKKNKRNSDSTTSSDHSQKERTPRPEVEPNLTTESRKRNAFELI RVLVINDIPNTTTAQTFLHIVNSTQDRHQGVLFGGVRKLILHPQVLYSSSQWRHISSR GILNQYQRIHEHDFIKALPNAMPRLGLKRAKDRSLFMSDQALETSNLGLDSTGSSSLG IENDKIPHDDDGFELVISGSLRNLSHYYATRGMSLVTSVHIPQEFVFSHSKQLLGETL TSNWKISKFTWHEVTLESLPFVPNAKKVEYHFVQPSVTTTSALDGGMDTLLSRVNSIR SILLETISKGLDIKYKFIGTGLGIKFENDESEIDVQERLMDQVKNSDPGHTLGSLVIQ DEFWNDNVTFITSTSGLLSVEERNTRGIDGHGIGESGCACYPVTIAVKPNDGQGQLQS LSPQDTRRTENEMGFQPYSQFIHNLSG L199_008261 MLRPKLTYPHQSSSDPPSLLSSSELRDLTLAPSAIRSAKITIIH PQLRDLILPLERGRVLYPRGTTIEEQRWLPAVGEDEEGEEGMVKRGITGSTRPLINLD FVPNCLTASSSIFACGGQHGELYVCDLPQPSQNPLTSKYISSAPKIKPFVIKTILSGR SINNSIIIPPTWPNQWSKVSEEKRLGYANRGSRKWEELGRYDEEVLLGKTENGQWVTR RPSPIHSEDEDEAMEVSDDSDEGEGDEDIDIMSDDTDEEEGYEVSATSPISDASVATY PNTVPFLHAPRIPHLPNPAHQAHSRPASTSSRRTTSVTDEPRILISNNDCTVKMFSLH QVSSSSSSSHPTIEERDPISAPFYTQGREPFSSTRAEQRDRLANRDRLSSRPLDRLGL GAPRSSTSRNGTAFEWSSVPLDRNEFVRQYLPDPHVTPFTLAERETLSLQRDLRRASE GLRAEHDSLRRSREDFERIIGMRIDANRANGTSSRNNSGNGNEEREERKLNKVGGTRF KVATNHSSLSPDLRTMVSVGDSTEVSIFEVIDGGREFRKIAVYDAATDAGFSTAWSKD GRKFAVASQDGQVTVWDHRSSKPLAIFHTSDNATSLSEQPSFMNDISASHLSSSPSSP TSSGGWNVVGPEGIVLRDPITGTPRTGTSTSGKEAARVVKFTPEGSNRDLMVFSEENS NVHLIDAQTFHTHVVIPVPHIPSGTADPGASTKPRQGVENGTWGIAGVGFDPIGDWLY SGTERTVVEWDLRRGCGGGGGVWGLA L199_008262 MKYLLNFLEGIPDAPNLADPEFQQLLIDVQAGKSSNKSTADAFY DSLEKIVNELKSTPESLAFQKPVSKKDAPDYYEVIKKPMDLTTILRNVKSRKYKNKAE FAADLTLIWENCYEYNSQETHPLRAAARYMKQKAEHHLEYLVDKNEKPANPLQSLLPE GIPLNVSPAPLAGSASTRASRLINDSVTEAGNDEDAAGESDDGLGAQVEEQDFREAGG IPDGGGGKDQEGQLQRSRRGTSVASTSRPRINGDARKSPPAFRPQLNTSLESAPALIR TPYSMTHFMPVSLNQPGPSFSDKGKAKEILYGNAPPSWFPMPSSATPVSAGYDEEEFK LEGYWWGAMSSTNEDALIGGLPSVPQMVDPVPPKRKRIKLSHRSINGDDPTSSPKKGH KGKPVNMRKTIHSTVDRLHESRTLINRIQEFQRIELEGGILPSRELQTQKEKLIKKEE AYQRSWARQEELERSKERQKAGGEVGDEQAALELKKATAAMLAHSGFEGANETALDLF TRVAVDHLANMGKTFRLLTDGFSNKMTTEEIILHALHENGQIETQDLEAHMKDDIERE NVKVGDMQRKIRQAFKEVTTAPVIEDDMMFAENGEMLMDGNFADELGEDFLGLRDLGI DKEFGLSSLTVPSSLFYGRRRRLAAVHGEKEDLPDYPPPPPFIPLSVSSIPTHLPALL HAFYAARMESGLSIIEDDVFDPSHAQIGSLGQIIVKNVPAHTVNTGATAAGSANKKKR DRENNDAQRNGNDGDDDEEKKKKSSVKKPVIPGVGKGNWVRPSKEEKARRLAQKAALI QDQESLPNGLGLAKANGVTNGDGQEEDAEGEEE L199_008263 MSSVDSDSSSRRGSLLSDDGNQGESSKAPFKYLPKHVFGSIEYP GPVSHPSSILKVIHQQDINECFNATSTSTGKPVLEMRYRGLEDSTYENPVRGHRIPSQ KLLLKITKRRRKGKSRDEGVFTSEVLGSIPQTVRFRSMADYQWTPDPEGPIANLVNSL KSLDYNAILDYSFPPLEEEFLEPHENPFDPKIQYRSKLDLQPTPLFSTKNLPYTYNYK MPTQAVSEPFFDRRTQTWKTRYVNKARVIGVGPISVLHNHRLGDVPREPTMQVKNRLG ALDPGLLKKLRDLFEERPVWMRYSLFARFSIEERRELQRVKAYVPTASYIMSTGPFGK CLVKYGYDPCGDRESYKYQRIFFYPNKKTVKTPINVDPLDSEEEPEGGNETKQKGWWI EKQEQLIEQGERPPLDVTKAHIFDGKYLNRERGDFQLCDITDPLIAKYINDVDKLRTK CSLQTGWYPPSLFKLIRNLIRTKYMYIWENHSPAPDSLCNIFVEEYNSGKYLLEDANQ IDEEDGGNDNGEDEEGNEDDEMLNKDREDPGDDQEEGQSD L199_008264 MAVSTPQETVPSTLPSQSATTASSSPQDVHRYQTNGPGMELKPI GIHNESNTCFLNSTFQALSATAPLTTLLSSSPSSPLSVHPNSSLPDPIVPPKLIPSLH PDILEPPLYDLLPVTRAFTNSLHRAWRMKEAGGGTYGASETSSKRSMSLNSLLREIAR KYDQYDDYSQQDAHELLRHLLDSMEMEEKDVIKKLQPDLPPLNSNLGVSGRRKKSKHN DSTLKSGQTTPSASTAMNQHLDLKHISPLPSPLPSPAHTRPSSPGHLDVDPMVSAVPI NINGQMTGHVVNEDQIFSPSDTPVESVSEIQQLAEERLIPFVDVLFGGSLASVVVCER CKAVSHTYEGFLDISLSLKGDDPKPRKRDRLRAMARKFRPRKSNSATAQSPDISQGNS FHSVVSESELSETEGPREKDRRKSMDSEDRITIDSNNTNSSGLGRSSSTKNFGGLKNK PSFSFRRKDKKAASPVIGPSATFEEEKVKTPSTSTPNSPSLHGQGVQVDRKQSKHHHR HHGPGPTPAQAAYISRILAPPPGAIDSDDPLAKLRAAQSGQPVEASPSSPEYGLIDAL RAFTSVEVLEGENAFACKKCWKIKHGYYSKHEATVKEEDEEQLKDDHEGLPQTYTRDS VSTASSIGTSPLLVPSARVSSHQPPQISVMGSPSSEKSGKTSYWDNSESSRLGRSPNH SDHPTIRAPSPLRRQLELEEQEKLNQQMASTTLSSTYGSDHSQLTIGSTTTTTTSRSS EDNDVSTSTSADNLAEAEDDEEDEESDGLSDSETSIDEHHDNNDNNEDDQTRSKRSKK SKSKKDHNKKSHHVVMGRAFKRYLIAKKPEILVFHFKRFKQTTKSYSFSSFYDLKKID DFVSFPETLDLAPFLAPNRQDYKVNQTPDGPRATYMEWANPEQGPELDPVMYRLYAVV VHLGTMIGGHYIAYCLIDPEQMFGNAAEGENKTPTVEPLDHRSNSSIKSRQSGKEQKT DRRVWCFCSDTSIRLASIEEVLSQKAYLCFYEQVSQ L199_008265 MSNPSDLLNQARSAATAVASTVVNTATSAVNQATALAGQAANSD AAASVTSSAKSLGSQAASTAGSLAGQAHAQAHALAPTVIPAPAAGVAEGVDNRGDLSP TDEVGKAKFEKLFESRHSADELKDKGILKGEPGDSLAGKRAGLEKAMHKDQLDKEIAQ RPQPEELVKKGILNPDEAPPTR L199_008266 MDLILPSIASQMFIPRNPLDQHNIPTSDSDIPSPSMPAPASAHQ RTKPKTPLPDAATPSSALPPLIVNLQEASSPPRLQTSIDNKKEKIQARPVTPMDFFGS AKGHSTAKDGKVSSKDSRESVDQRPPVDPAIKGIPQGWEDDLGIQVAKSEERVVTPTQ YSTNTLHKLGAFKETRTKLSSFSGTVRSGFRDRGAEKDKEERKPSGLKLYKGSARATQ RSSSMSTTPLNLGMQPKHRPTSPGRVDHQLTHSVYSDASFNTQNTTSTEMSIPVVPPS SQTVSTEGSTADFTHLFTPTSQAEHEYPTSITSSHSTQSRDRVMGIVDDPSPVPPAGM KTFSCPVIEEVLPFAAGHPFAAWSAPVVEEDQQPSGGDHSTDVGRRGSMSLSRLDMLS SKDTAHTDNSLSSEGWKETPRPRSGLPGKKVWVDAKGERSAGVYSVGWERDVLDLEAR LHETMYEIAGERHTFVEFEEPPKAVLDIGTGAGHWPISMALRYPDTNFVGLDLVPCQI DLSLLAEAEKRARSTKAGTSAEGIGMWESVEKRVKWQRGNFFSELPFDTGVFDLVHLR FVNLGISETKWYDLLEEATRVLKRGGKIEIVETSYTLPSECPASLKNSFASMLLADMI QPLPSLAMQFNLPSIENIQSNAVKPVFHQKWTKKVPGALEDAVLVWVKSAVEYKGTGL IKNQNGLAGVVGRVKAELRDNGGGRWDFGDTRQSRDVEPVEDREVNVWAWVATKK L199_008267 MSEKPLYTIPIDQRPPHGPLTSKIFFPLVFNLAQLGINSAQFLC LPLLLIPIVGRRWFDSAIGWTKDGYGRLRE L199_008268 MANHQAYLDWMYLWILSCYAGHSRGITILLKASLKNIPVIGWGM IMGGGSRQSHPCAHSTWTSSAIWRRGSFGNFRVASTQDAFSYMVAHIPGRNHCYPGVP YGKYPQDW L199_008269 MVYSRRSLSTGDDQPPSDTGIASPEESRAFELWLRGVWTAKEKR MEGFYEDQRFESGEGGAREVVTVKQT L199_008270 MQVMCQYGLRVLGVLDETRRTALTNDSSPLLAPADEGEGGLVSV VRVKDCARIVIPSEGKQALTLSLADLIKLVESEEAAGKERGEERMPSECIFQLYHADP PVHTLPPTTTLIYACHLILATSSSRVFVRTDSTPSPLLSPTTEPSILPIPPAQLSPHC VLSIVDVFRCLVAVYT L199_008271 MDSSSSTIPSPRPTEGSAHRRRPSLTLSPHLAASLSVPVPSRHG TISNRSPRSAHETLSASSSLRRRSKGSFSSLSLSGSLSLGSALLSSSADVFGEDDEDP IDVDAGDWLSVSASTISFAEEEKVVRIAGATRVEEAVTLLLEQGTHYLLVEPHDEQKS QAFFDDTPRGKDYRRRLVQYFRKESILLGKCECPIAHVRTAL L199_008272 MTQPIKSPQILTPVSDHPHDPPHLHLGSDGEERAQPVSLLTALI HSSDKKHPQSSGIISTHSSTPSTAFSSPQRPSHGILAKSPEIPPHQSSSILRRESNSG LSDEGHTGLGLGGMESLTNRLEKVEEKRERIGWADEVEHPPRPTLKFAVASHPNQTAP LDANSESDTDDGYQEDEEDGFDSDDSQDSQIAPRFPFARSTNFEQHPRYIGSTHIPTH QATPAPALLPPPARRGRGHIRVEETSTAQDKTCSRHRSPPPVRSRSSSHHRSSRSAPH SPSSRLSDAGPRNGRAGSPMPAAPSDDPEDEEDDQVEEEDSPKEKDIAAGWRSDDAVF YGPKARQVTPIPRPRQRRHSSALDPAVFVDSDDEEKLDDNNKPSAIGNLLRRASEHIP GFRRPSSGMDRVSSGGASESGVGRSVRSQTPCPPALDNTPALAQNRFSTDINDISGGL AHRLETALSIPSVPNSAGPSRSGSINRHREPIHVQPATAQSIPTSRGKDADEHLGWTE EALLEIRKSRNSEHNM L199_008273 MLQSASEILSTTWSAVESASKLCVTLFLPALLFSEIGPLASWDN LKDYWVILAYAVVFQLISWIVGAIGVIAFGMPQWIISLGKNGTLSPLVKNDDLDAVLD RGQVFLLINALKHPLDIPHPWSHSESPHAIKKVREVLNEDQDHPAIEPYSGDNENAPL LHQARQHGKKGWKIAKILQQSLAGFMNPPMYGGLAAIVAGLIPFLHNWLFQKGAWLSP CSSSVPISRRRKDFIMMLAPVGPPALTLAAIVEMSDTDEDVETAVAKTIVISYALTPL ISVSVTGALQVVEKLY L199_008274 MSRPPFPSGWSSIPLSLKNLTLANSLPVGQSFLWHRHAIASSTP GSPTEEFSRAVDNPPRVVCLRQSPSRLYYAAVYPNEHDALADQRKGITKDWVNDYFQL KAHPELERMYDDWRARDPELFGKVEVNERAVGVRVLRQDPWEFITSTNNHIPRITSLL HRFSQSFSPPLLTLHNPDNDGETTYHLFPTPTALPTNLEALLRSMGFGYRASFIESSL QTLEEEFKEEIEAGLLRWRNQDVDTVRGKLVALKGVGRKVADCVMLMCMDKPSLIPID THVAAIAARHPAFPSRLRNKPMSKQVYNETQQFLLDRWGPMGGWCQAVMFAADLPESK STVKVVKTKVESSLTVKRKLEDVSPTLNLKRTRSATHLELQQTRTIVTEEVKMEE L199_008275 MHNYHLPAVAASYPPTREDDPLVGEPVFDHDDELANAEEGELIP YAHRDIKPAPVLMDFGSTIKARINVETRQQALLEQSLGCTLFAVAYGHSPFEVDGTSI AMAVGSGRYKHPGGYSQSLVSLIDAMLIVDPEQRPDIQKVIDLTEQAMRSV L199_008276 MTDAANEELLTYYEILRVDEDAEPGEAKETFQELQKAYEVLSDP EERAAYDERLDKLRNPVLPPPMPSSSYFVSEAEFAPPTRIPARPRFAQPPPYHPLFNM LIIISPDIVWVKARLSTRVHHPQKSLHPYWRPIVERVPIPPPPPPQFRPFPSSIPPLP REYVQRVTVDMEVHMARVRWLAEVRARGGGCR L199_008277 MATVAGSSFVDILIQSLMLDTGNQLFSINLRLLLAILPYAPLVL TPKVPLLAIVLGRAISWRDRPFIDHTSPPRDGFTRTELPEPSLDWQVAQSAVEMDLEL PEHMKPRRIAQLFIIAMYGAWPSNVIAFVRDPVSYIRGKSVTPIYAVDWEKVWTPGVL ATRLEPLIRDFRLHPSLVVFTSTAELADEKRWERIDAAEFIARSQALSNSDQQGFTGA GLFEQDEQSAPPQVDSASANLGNRLAKENELLRLEAKFTSRVRRQYLYHIGRLHKTSL RLNNDEAEIHSFAGQIAELTAQLSQTRTEASQAQQKHVKWQGQLREKVASFREEKATW QKEAAHIRAELSEARAMLQNRLTEAEPKIRHISDYETRMKQLTESQRLWDEDVQRCKN ALQEAEAAKARVYELEQMLRASRQETIEQSQAIGVLERKIPTMHQVKAEELDKSSAPT PIAAPKDLDVYIALLEQAKKRTDELERENLQLRDNLSVAKQETRVVDGEMDKSFMFGQ L199_008278 MPTQETTPLLGSNHAHGDSSHRTPFDPIKSSRYLLFGSWLNILI VFVPLSIIADLLHWSAAARFATSFLAIVPLAKLLGDSTEQLSMKLGQTLGGLLNATFG NAVELIVAIAALAQNELRLVQTSLLGSVLSNVLLVLGMSFFASGFFFHESTFQVTAAQ ASSSLLTLACITLILPAAYHASNTEGVESTLKLLLEDGAPDPPDASLRGLLILSRGTS IILLATYLGYLYFQLRTHAQLFEAEQAEDEEAEVASMDQWSAGVWLVIITVITAFCAD ILVGSIDETAEQWHIPKSIQIAAGMIPLLVIIAWPLHKNLTLFFANFETIVLFVSVML VNLLLQDGRSNYMEGVMLMSLYLVIALSYLV L199_008279 MSTPSHSSEVHPFLRGNFSPVTQEYVSHSCQIVHGQVPQELFGG QYIRNGGNPVYPPEQGRHYHWFDGDGMLHGVFFDGQGRPSYTNRHLATPLLTMTLLLL RSPLPSIALLISPLSSLHRIVVAILQAFLIALRARMGVLSVANTSVIWWGRGLGLDEL EEDQVEDVLGASEVLSNDPDQRLLATCESGPPLEVQLPSLKTIGWDRLKDPFTGESLA ERRGRWEWWKRFGLSRVQEDWMTAHPRVDPVDGSLLLYSTQMFDAPYVRYSVIDRTGR HVIWKEGIDVGRAKMMHDFAATRTHTILLNLPLTLAPHNLFSRPPVPLIHFDRTLPSE FVIFPRLQPQHLIRFRDPEPSLIFHTANAWDDHDGNGCLQAVNMLGCRFRSAKLVYAA GAIDIPAVEKKFGAGDVVRLQYYRFDMKGSGKIIHTFPLSAIPFEFPTLPPLLGMSPA RYVYGCTMRSGSFDERLGGAAKVDCIAKLDVLELIERGRCRGIGKSVEPVDSRSSAEI LKDWQDGISGPIEIFAMPAGWYAQEPRFVPRYDGKNEDDGFLLTYVYDESHLLPDGTP SSDCDAGSELWVIDARRLSQGMSAVIARIKLPQRVPYGLHGTFVPGSAMHHQRKVEGS LPPQDLLQDKLARSRLQNFVSILFNRPMYRDKSKAERVILALLLPIGVIMLALSIKEV TSYVVSKQL L199_008280 MPNVLSPSSKSYAPLADEVNPSFGNMAPAPVVTRNGTIVYGKRS LSTLSTSSGESEDLERAGGQLQSQSEETLSPSANRKGKGRAIDDEMGDVGEVHRTISA KGKERAWDVEQGPRELHDQQASEGAYPPMNEVEEEERRVQQNLARFAAKDMARRRAAR ESRQLPPNAGPSSPRSSSSTTSFSRRPFSVLSTKPNRNSIMGMMEGIWPGSPKKDEGW NEGELPMTHSPVAQNQPYPNPYDTQPSFSPVPKMVVSPTSPKHPSPFADPPPPAPIAG SSSNHRRPSLVSATSSGSAQSPLSSPTDGPGFAYGGPTWRGGQAVQEQEEENRKSDKW WHALCAWGSDLDGGYDANQQGGQVGRTNPFE L199_008281 MANINSVDISRLKNGEVNLGTSIMAVQFDGGVVIGADSRTTTGA YIANRVTDKLTHIHDRIYCCRSGSAADTQAVADVVHQHAQVYTSVYGAPPTVSTAAAL FEKMCYDNKDQLSAGIIVAGWDKEAGGSVYNIPLGGGMFQQPWAIGGSGSTYVYGYCD ATYREGWNEQETVEFVKNTLALAMSRDGSSGGCIRMCVITKDKVERHFIPGNELPRFW EGKEIIGSIGGNSSQVGVLA L199_008282 MPILGLKSPGPSNPAHFSLEPLIRPNILALQPYRCARDDYSAGV LLDANENAIGPSLPSLAKNTDPGPIAAQTLSLLSDEEVASLNRYPSPTHDDLKRSIAK FRGVPNEEWVFLGVGSDEVIDMLYRVLCVPGKDRVMTCPPTYGMYKVTANVNDVGVLE VPLITEDGAFQLDEKAMNDAFKANPDLKMLFICSPGNPTGTLIPLDVIKRVLENPLFK GVVVVDEAYIDFAPENNSAASLVNEYANVCVTQTLSKSFGLAAIRKALVNALSEIKGV GRILGGNHANFVLCEILDEDGKPSNKKAVEVYKTMAESRGVVVRFRGSERGCEGCLRV TVGTEEECRKAAQQIAALLE L199_008283 MGAACCKPEAIDFEGEVNLFHFYLLRSVGKGAFGKVRVVQHKHS KTLYALKYINKAKCVKMKAVANIIQERRLLEEDDENCFFVLDLMLGGDLRFHLDRAGA MSEEVVRFYVAEIAMAIDYLHSKRIVHRDLKPDNILLDEKGHAHITDFNIAVHFSERR LLTGVAGSMAYMAPEVLTKRGYSAPVDFWSLGILAYELLFGKRPFRGRTNTALTNSIL NEHLLWPEDAPGKCSSDGMHAIKSLLDRDPNKRLGYRPGGGGFEDIKAHAWFRNINWD QLYKKEVVPPFEPDSKRANFDATHELEELLLEENPLKARKRKEGQDLEMMTPEMRMME EHFKIFDYTKTQRRSYYHPAGTGTSAANQTVSNGTTATAVSSHLSAKMAELSRPGTPS DRTGLVSKTGLDVEGQILDGGGMANVGGRGLKASSQPDGRSDSLDIRSNTVRQSTPLR HSSTNSGPSPTEEMKDVGNAI L199_008284 MSTGNTTCKGESMTWAVNDRGETPSIPTAAAALALPSPCFRLVC LQRLMLRSFRGVASLPRTQNFSSWLDGCENPQIGSLPDNVARSRSVSIPQWASVSPRK SDEAWNITLALANATSLQPSFRTSSNVTSTISSASITTSMDSLPQPVQTYSEVGEVMN GARKGGQTTGAVVGALGGTVLISIILVYLYKQKR L199_008285 MSATKGKTALALTRVWHHTNAQHRVLGNLASRIAWVLMGKHKPT YDPAVDAGDYVVVSNASNVHLTGKKGTDKVYYSHTGYMGGLKAVPITRMRERRPEEII RRAVSGMLPKNTFRDRRLERLKIFAGPAPEVYVNNSLTTWRDQVGSNISQSGPAAGQT SQTSA L199_008286 MPPRSTRSANKEADTPGSKRKRRSSPESPHKVVLPSPASLTKLA SASPEKINGDVDHDSKRQKLSLKINLIPEKPKDVKEEHPVDGALKKEIRESLSVVIAQ MSVNLPPPLNNISALWLPPSFAQKEENTLGYVLKQPSLTWDQLVFHLPVPPLPSHFPP HIIYNFCASMHSLLLEIEPKGGHDGVSIERWALTQKTPQVGEWFTSAVDSREVQKRKV KDGDLLENLAAKGDTNANAISLQSTFGGDASYNSSTLSDSLIRRASLKMNRWKERRAQ RGFGGFGSVPRGVTSVAFTPSFGPTSDSYHATGGQGYWSTLEGMHERARHRQWARRAL RRSKNIDEGGYYGNLETNKGKEKETVDDVLAENSELIEELQTWQEVRIRKGVSAVTER EQHVAEELLSSLAKLTGSRPPVDMLPASTSKVGLAHELARRFLPVPSPSIRGTLDPRR PQALHDNVTVKPRTMAGQTLGGSVNPPLSSPHAPPPIKLGVMPPPPIPQYVAPPPHTL PVGARSNDNNRPSSTPSRSSYAPHSPSPSIGNTIYNNRPTSTSTPPANAANTAPYPRS AAGPGPSNLRQSFGPGTTGTPSRSSNGIGYGMNGLGSASVRGMMMPGTSR L199_008287 MTDLNVDGILSNSPRPIVQDLNSDTEMSDQGKKRKRLSLPEPPT SASPSGSINKGKQRVLTTGPIRASPEQDSSASAENNKKAKGKLSTSVLAGFTRKESKF EMMPNQADWLRRRCEPSPLVPPDHPDAARLPCARCRRFAIDCVRVKAVRRKGPAPVAV SDAVHGYSHGSGLIDSDTARRSSAFSDLESTDFERKLSSSTDPIFFALALTVIASTLV QVPRNLVNLDKKEIEDLARKCIKVARAKMAHMWDEPIPITCSMNSEGIVHLFLGNNTA HVVVTAQANQMALALRLNEESMRRRVYWRARTICLPLEDAHDLLLPAEIDDEMITCDG ILPQLPETTPLITGFNVNTNLFRILNDAILIQRRKSPRLMEEILADLQKLEVLRHRTI QTYLTVPDPLKLRKAYDSRSASPAVDWESKLLARFVDYFSDTPGDRAHALNSYLVMQG NILVTQHVVRLVLLQTRQALLAQLALFTPILPSPMGPEESAENIACELLDGLNRYVGW YRTEADW L199_008288 METPQINDNTLALAAAEEKAISPLQAIRDYRRAFMWCFSGLLST PSFRRDFGYFYKNDYVVPARWQSAFNSVGSVGGIFGGIAVGWIADRIGRRGSIAIASF ISIGAVFIQFFSPSHNNAMLLVVGQFIANCVIQGLGSREDRNAYRIPFALQWIFPVIL LIGLPFAPESPWYLARKERDEKARLVLQVLGVKDLDLHLRQIQETIALEDHYAAQTTY VQCFRGSDSRRTIIALMVFILQQISGVIFVLGYSTYFFQLAGFATSDSFKLGVGVTAI GVFGNLSALYSVNKLGRRTLFLGGMIGCTTINFGIGFSSISDTKAARWAEAVFTLIFG LVYQGSIGPLGYVIFSEVSSAKLRSKTVGIGICVNSLCGMLANIIIPTVDELDSLFEA KISSRDFAKASVDR L199_008289 MSQYAHVPKSELDEAQIKELEEYEISQGPLSVLQQAVRNSSQVL ISLRNNKKLLARVKAFDRHCNMVLENVKEMWTETPKGKGKKPVNKDRFISKMFLRGDS VILVLRNAA L199_008290 MSPINPEPRINSKYLTQHRGEVVRLTAKVIKLSGDTATVETSDG NTLGVHLSRDMHIGDGFIEIIGTVKDDLSIKAHTYIELGSQLDMKAVNAVVEFAHGGK GQGVLA L199_008291 MVSFQCDACADTVKKPKLDQHRNRCHASFTCLDCSTTFRNPGEY KSHTTCVSEAEKYQGALYKGPKKNGQTQTSSQSQTPAAPSPAPAPVPAPTPAAAAETA PAAASSSIHPSRLNQLNAPEPQYSQRGGPPGRGGRGGRGGFQRGGFGRGGGFAGVERS YATDMNKMAPSSGMRSWGGSRAETTPNPEAPSATEVTQSNIATISSVNGDTDADKKKK KNRKGDKGGTGAKANSKNPKNDDNAETSSEPQNKKRKFEDSETPTTPATAINGESTQV PSKTIKRLKKRFGKLEEKELTLGEWIDALGKDKEKNVNSSDILQALRVTKKDGQFVLT I L199_008292 MASSSNTPVTPLSIPGSSSRSPTNSTADSPHGSTPQSAIISYPT DWNNSRGSSMYENYGRPSLDNESYKGNVEPPIPMSASSSSSSSQPIFDWPSHTGQSSA YRNPIRRDPLNHADLFEPHSSSSEDDVILRPYEVRRGSAFRSTTDPLMHDGQPIDPEF SSAGPPVEGYNFDPPPQFNRTFSSPLPQRLGYLRHPLSPVYDPLGTGHPPPISSITEP PPTAKPPPTALHSVSLEFADSLQSAIQTLLHLSPPHLLDNAKEQYSGCTVQVPATSLS ALLTSMRGLNFLSAHAEELVEGLDHGPLMRKGEDFDIGELLQNVADMLSGQASQKAID FVLFHGDVGIKHVSVHGDGEGLGYALSHVIRQILLVANREDTIELGLQVIPQSLSLTP RIGQTLTETEVDRHRSQKSASSSRSNSPGRTSLQPPGPNEGPLLCVFEIVHNISQTVD STHVTPKAELNPFTNLAEEAESIKPNFDTLLGKRLLQGQNATLRVDVQPSSPSGSGMP RRAYELSILLSRGKPIVEPTPLSMEEEAIRQPFSSMRLAREPTLSELSEFAESLRTKK VAIHANLSSVFARHLTSYLAAWGMDISHIPIDGDEADRAKTMSTGRHDSGYGGSTAGS TPAGDVPMSLAPSARDQGRFIIIDDDITVLRRELFRIRAELHPLSLKPRLNKRPSLSA RTRSTPHVRQVTQRPASAILIHFTSLAKYNQVRDVVSSFVGAPWSTGSGAYIHPEVMV IPKPVGPRRFLTALHTAVNQPIVDPFFSPIATSPRSPGGGYFGGGSRTPTERENGFFD SVAEESPDEGGKADGGLPKPRSPLGEHPPSAAQIVRTDHGLHLSLPTPGEILATPANE YFSSAARATPSGSGASGVVMQSPDGRPYGMFFEPPVKNERRASTHRIHSDTLRRKPTS RRTSAGGEEIPSSPALSPSNSRRVSNVTNPSGTDERRGSVSERPGHARVNSRRRTLPV SESPIVAVGRDRSATITQGQRRSTPGHSPVIPSPRADQGTFQPPRPPKKGPASTTAED VEIDTKKAAPRPAKKVDKNDVVVPPINVLIVEEAVEKWRTGGFHLILMDIQLPVMDGI EATKEIRKMERHNNIGVFPSTPSIEHTRAPSVEGPVPSSPFRSSVIIVALTASSLQSD RVAALAAGCNDFLTKPVSLKWLDKKIVEWGCMQSSDPKEASEMKKGFTLGPQAAAKSL ASRLRIERKSTRSPAPPSPSPQVHVQAPTPEASDTSTQPPTLPLAPPNPPPLAESTAD PTLTEALPSVPEASDSTSPLTKQVGLLKLDTEGSAGDASKHRSDSGSTITEEKPLPPL PTEQS L199_008293 MSSYFPFSSSSSSVSHSANTVNLDDPHLQVTITPSASAYYAGET FSVTITFTNTRTPPADASFPRTPVSVSPTADVRSAASAGRQLPPFESRDGISSIQDLP TRKGQIGLNLPTIPLAYQDANAEAGPSRLTTPLSINSTPGQPATDSGFPYSPGANPAY RAPGWPGQGTGPLSPTREKPMNFRSPDGWGNKENGSAKQAGHSRRTRSLALGKGTMSP QELVWALGGQPTPPPLPSRRPQPAAIPSHHPHSRKISITNFPLSPPSDGSINQILSPP LRPSSTSAGPSRPPLTRGNSSTSSIGTSTDDDTFLSNEALTRQKSRPTPSPLTHGRTP SYHNAYGASFLGLGTPPPVPPPTHPYIRERIPADRGTTTVLWAYTRLVAQFHPSNTYI PPDPLLPLRSMLLHQPVGSGSLNPTSSLTPSGSSSGGSSRWQLSFGTGAIGNSTQPSL TGSLFGLAKDLVMGGSGGSLEEERKRVWNMKDLPVLETTRSLLGVDVKLKEGESKEYV YTLRLPSTLPPAHRGKAFRFSYDLIVSLNVSLPGGGGRQKSKDISIPIRVWSNVSVGQ PFRTYDVLQPIIQTKEEGMVQEVETEVREGEHSTPVPNGSNDRRRRSSASDKHRWETG DNRESLQAYARHLLDILEPVSPPLPNGPSPKLPPLSPGRVNARLPRSRVVSPSSSRFD IPPLIPNDQNGTLLEGDDELVEEGLEAGCGEAVEILSRHSPKASYDIAKDGEVVAVLT LIKTTYRLGETVLGVVTFNEPETERRVLKFSAFLESHEIIPQPLLPPPGNTQPSLGRL QAEHRSSYVVSSTRLAFSLDIPSDATPGFSLAAGEEDGKGGLEWKVKLQFVVAVPPTH HHHSYRHSVDHRRSQSEKSQSPVKKGKNEAINLISKNGISNDHDNTFYSASTTLTPLL HTTDPPRMNGSMDDKDGERSMSKWQEMKTELVECEIPVKVLAGNTAFVVRPSVWVI L199_008294 MAPSTPSTPHGSGRAGSEGGSPAPTVAAPGSYALDDVIPGVKIF VRKPLPNGQDEQRKAEILSTRPKPKPSAFAPPPPPDAPPPDPRDDTEYYVHYVEFNKR LDEWVGGSRLIIEKEMEWPKPKEEDKKKKEKVGKATPGASTPTRTTGSPRPSGSLLKK AATKAASAVGKAQHPLSKGIPQGKVPQKRRGKDATSSIADDEEDGEEDDEVVDGDGDI SMAGSDGDLDGDGEIDLSGPQNPQAAPKVFSKKQEIEKLRTSGSMTQSHSEVSRVKNL DKLQIGKHEVETWYFSPYPVEYAHLPVLYICEFCLLYYPSFTQLKRHRSKCTLLHPPG NEIYRYDDISFFEIDGRRQRTWCRNLCLISKCFLDHKTLYYDVDPFMYYCMTIKDEYG CHLIGYFSKEKESPEGYNVACILTLPQHQRKGYGRLLIEFSYELSKVEGKLGSPEKPL SDLGLLGYRAYWQEKIVELLLNSDDEISLEEIAQKTSITHGDIMHTCQALQMIKYYKG GHIIHLTDAVLEQHRKTMSKNRRSINPAALKWKPPVFSRAQLAFGF L199_008295 MTKLILFISLFAAIVIFLVYLYAPVEVQLALFISTIGTFIIEIV KSPRNMDIRKVCSTIYASKNANSTNEIQNCGSSRELIHARRSDETNGTSLENHSIKNF MECTISPRYMQYREQCWFDNVTKFPDHHTPPSPQQKESTCEYTIFRNLPVEDESHTEA NGIQRRNAMKVRIPSDIFDQPTAAVATPGGDWIHVPVHFNQESEDKFLQDLKKGLPEE ETGYKTYVHYLKSETPLRSSTGQSSASWSYMVDISRQTTLVGSPTSPSRFVTSPRSYT PHAKRSLSLPLSVRQKSNEREMRDDEDALELSRRLTRVNDDVRAEKEEQKLKGVRETS RLKRDMEILRGGKPEYWRRWCAPVHPQLLAELNHFERTQKLNATMSESLQKHTRVSIG DWDPREVSTMWKLGMDRGEFLDMIRECEEENEKVRMDREQDKKRSFSANIIGHYKKEK ENIKKFKKQFYGNAPASVQQGRGRTLSYFINKFSNDN L199_008296 MGWREKIPYGAHVIILFTLAFAALILVILNTFSSPFIQSISFLR LPETDGGTNFGAFGWCSSTFCLPNAVAYEYEPYVNKALTGGMMLWAISVIFVFFTVLA ILPLLFVHESKALRTVGNRVFFVYTMGLATLLVVISWLFSIYGWSIAHRAFELSDIEV SFGPAMWMGLTAALCMLIIFILRWPAEAWDGTTTRANGGVGARGVPQVPANGYYHYKR TTREVVPRY L199_008297 MTPPCDINPNFLNDIFHFFFKSCLSRTKYRPPSPDPEAQEGELE TLLIGSNEGWDDSDAAFSQTPRIVKERQAKGLKPTKPMKNPFTRGDLPPSYESHLNPP SSPGPSTYSRRSRPISGYSEFEHEIDEDARSLSINPTKLAEMARQFEPALTLDDIRRE EEEQAKRERIPGPSSGVDGHEEEFGDFEEAARGNEEEVDKPSTLVENSQQ L199_008299 MAAEKAKNVAKDVVNQPLTPNFTGKDYSSFFLAGALCCTLSHGA MTPIDVVKTRIQIDPAMKGMSLISGGRSVVAKEGLAGLLTGFGPTAVGYLGQGGIKFG GYEAAKKYLVELSGSRENAIKNRTAIYLGGAAIAEFFADIFLTPLEATRIRLVSDPKY ANGLVSGLTKIATTEGVSSLYAGFIPILLKQVPYAIGQFTVNERCTEFIYNRMTPDTK ENLSSTSKFGITLGSGIVAGFAAAVLSHPADTLLSQINKGHGPKGSMVYRLVALGKEA GVTGLFAGLGPRMVMTAGLVSSQFILYGMIKDALGARSGIEIHKEEAA L199_008300 MSTYPRRTEAVSYPLLPNITDRRLKLFSDGHYADHNLSSALDRA RLDGDQYVRMTLWSAAGTEKPTFQEATDKLKSSDVKSYRKGDWLGPSWTNHWLSVDLT IPSEYKQSIEPVIFEFDPGCEAMIFTMEGHPLHAITGGPNSVKNGMSDREDRRIEHII PREAVENGGYQCIIEISINGMFGLGLNGFRHQQPDMNVYFQLAVADIVLIRSEARALQ IDFQILSQIGTHPDSEGSSLSQRALRASNDIMNTFRRTDGDDRNGSLDNVIRRCREIG WEVLGPLDEQGVGKLTTRSDISHNDARIWGIDTAWLWTYSQSQQKVARSWSTQIDLIK RYPNHHFAASSAQQYIWLEQLYPSLFEAVSAEIKAGHFHPVGGAWLEHDCLLPSGESL CRQYLYGQRYFKEKFGVHCREAWLPDTFGYASQLPQILRLAGIDYFFTQKLSWNNINV FPYSTFNWIGLDGSQVLSHMTPTDTYNAQGDYKEVMKGATKNKNLSVTDECLLLFGNG DGGGGPTPHILNKLERLASTADHNPEMPSFKIGKVSDFFDGLREKTNNGANLPTWRGE LYFELHRGTYTSQAGLKKGNLEMEKLLRDVEYYATLASLLSNSFKYPRAELEDIWRDV LLNQFHDVIPGTSIRMAVDDALGIYSRRIDQTKKLLERVLNTFSSSSSATLNEDKRII MVLDPVRLPRTEVVTIPESVSRAESATIQTNPTGSRLGLIKTDTYGSGVLTSAKSTSP PLAEQKGDDFVLSNTHLRLTISNGRISSLVDRALDRELILPGPGASTGGLMLYEDFPL AYDAWDTEIYHLDCVTALSFDKVQIVDQGPLRATIMTESIFGKSKFSLDATSPDSNRS TIRVEAHADWREKHKFLKFALPVDIHAPNATYGTQYGLIERPTHRNTTFEQAKFEVCG HMCGDLSEPGYGVTIASEYKYGYAVEGNTMRLSLLRSATAPDPEQDQGEHDFSFAIIP HAERFVESGVYKDALRFTNQVHLRELSSDAISQLQKIQFEVAGPRSHSIILDAVKRGE DDEASRKKTVVLRMFESHGAKAQGVLNLTGLKPSSLKWINVLEQPMTDEPEVQWRSQG GIIEVNLAFRGFEVRSLQVVLE L199_008301 MSLPFVSSEAGDVDHTVGGSHVLDPKSHTSHIEVVEEKLNALHE GRQVQLKSRLDTLTVFESISTFRRSVIICALAGFAAATDGYQHQMSASIIANKGFVRE FAKGRPNGLDAAWVSSFGGIYSAGQVIGQFCIPWVSDWVGRKGSMYVFMAILTISVIV ESVSSVWWHWTLAKLIAGCGIGAVQSTLPVYINEHAPSQIRGFLIVAYSLWFSLGGLM SSVALKVRADSHPYDYKTIIYTQFGMLGLGMIIFVFLPESPWWLVSKGKLDKARNVLV KKFQNVPEYDIDGELAIIAATIERQRQWDMESAAEGPWAIFKGLNGKRFLIGSWPKVL QQFVGLSVFSSYSAYFFSLAGNKDAFLVTVILGCCSLASVILDALLVDKIGRRRMTLI GFTGACFGVTLMAIVGCFDYANARLGAVLVFAGVTANFFNTFQSSTSYAYLSEMPEQR FRAKATGWGLAYCNLYAIMFNFTVPLMLKKWVVKTAFLFVGLGVPGTILAFFIMPESM GRSPAEIHEMFVDRVPLRKWRGYKTHVESDLDARLEI L199_008302 MQPNKTVENALATVGAILWTVQAFPQIYKSFRTKSTKGVSAHLM LIWAVSALFFMVYTITRRLSVPSIIQVHFSFVVFTTSWVQCLHYTYGYSIKKALSYGG IWTVVCIGFEVGSIFGLWAAQKHGTEIPMSVYGYMSSVASVIGLFLLFRTTLDYSAMV IYVLTAGMMVIIIILALILNPRAAKRRRLEGDQTTIETTTPSKTKTRAGMAHTAAASS EKIQVHLDGSDTDSEPGSGPSTPTEIEAHHGVPILGYSGNETPHEKPVMDHIAVAAMK V L199_008303 MDVDTPTPAAASGKVVKASDDKKPRFEVKKWNAVALWAWDIVVD NCAICRNHIMDLCIECQANQGADNEGCTVAWGICNHAFHFHCISRWLKTRHVCPLDNR QWELQKYGR L199_008304 MARPATFASALTLSTIALASKTFLRLTTKKYEVKGLPILLDALR IPHDGKGKGKAIEGLEKDGDTSQLSRRGIVTVCNHNSVCDDPMMWSLMPLSTYFPFAT PSHTCRNSRWTLGASDIMFTKPLHSKFFNLGQVIETHRGGGIFQPAVDRAVKLLQDGS WIHIFPEGRVNQEPTNPDGGLFRFKWGIGRIIMDSKVMPEIIPIWISGFDQILDERRG WPKPIPRPGAKISITVGKPLTSQIEPLVKAWRALADEEVGTVGIGGDWKQEQIKDSDT LGHVGDVQKEIRSKGALSDGREKEVRIKITELLQQGVSDLGREVEAKEGRFEKGLWSQ STKRVKSE L199_008305 MQVDPSRDKDYSFARLCDLKSHVTLKITSFEGNIPRRTYTDALK DPDLLHAGIQHDGPSDLYITCQLWANGIQYTLPFRTAWKDFPRGYTWNQTIILPITYP SLLLNSQISFTIWDCQGAGKPVPIGGTTMSLFTMKRTLKRGQQRLYVHRGVEADPKPN TTTPSELEGEAEDEMGRLERLVKDFDRGDIVKIDWLDRIAFRQIEKVHAAESSKSDKL YLYIDLPKFDFPVVFSEQESSIPLPPAPIPHPLPPNQQQLSGVPPHLLSSDPYLWRTY DPDAWRENPVEVKHRKLLRSQRLGDEGRDLKPGPAERDRLNEIFRLPPTASLSALDKD LLWKFRFSLFRSPRSLTKFLKCVTWSDPVEAKQAVEKLLPLWGQEVGMDDALELLGPG FTDKRVRAFAVKRLERADDEELLLYLLQLVQALKFDMATSKSDSMRGSRSHRKRDAAA DEGDSGLSQFLIDRSVANPVLGTSFHWYLMIECDANQPAGKMYAKVAFRFQTRLSETS EGTAQRNILKRQGQLVEALSARSKEIRSSKDSRSKKIEKLKAFLADSKHNLSPLPAPL PLPLNARVSVTSVTPDKSSVFKSNLLPLLIWFETTDFTRYSEDESNPETPVSITPDYP IIFKNGDDLRQDQLVIQLFTLMDRLLRKENLDLKLSPYSVLATSKSEGMVQFVPSKSL AAIMAEFGSLQNYLRQEHADDGALGSYGIESGVMDTFVRSCAGYSVLTYVLGVGDRHL DNLMLAPDGHFFHVDFGYILGRDPKPYPPPVKVCKEMVDAMGGTQSTHYARFQSLCYT AFIGLRKNANLILNLVALMVDAGIQDIQSEPDKAVWKVQEKFMLDLSEEDAIKQFEAL LNDTSYLTIVFDRIHDLAQYLRD L199_008306 MAPSQLSQLKSALNSAGLNKKNFSKKDKKAYKKGGARETDRAKK LDKLEEIRKSLNKFDERETKVKHDVGGRNLKGVTGRPSASKQAGLEQRKKSLLPEHQL RDHTGTFRDRRFGENDPTLSIEDRMLERYTRERQRGQGKKGLFNLEDEEDGLDGFDEG FALGGLTHGGRSIMDLPGDDFEAQGFGERDEEDEDKGRVDRRTVNKIHFGGFDGEEEE EEEVPEKKKSKAEVMSEIIAKSKEYKYERQQQKEADDELRDELDEDLDDLRALLQDSA PARPAASNFASTSRQPTAAPAVAAAPEEEVDYDQVVRSLAFDARAKPKDRTKTEEELA LEEKENLEKAEAKRLRRMRGESVSDDEDGDGSRKKRKTSDRKPDADDLGDDYIEDDTL LGPGITREEIENMGLPSDEEGDDGEEVEEGDGDEDEEGSEDEEEGDEEDEEMDDDDSE VYSDMEDLDYEDVPELIEADEEDFEAVVKKSKGKKAAKVGKTKEIPFTFPFPSSIEEF EDILEGLEDSALPTVVQRIRALHHPSLAAGNKEKLQEFLGVLIDYLLILSSRPTPPFI LISQLTPHIIALVKLNALTAAGHFIDKIKLMQKNLTRGLAKGASQKGSKTFPGCPELV LLRLIGSIWSTSDFSHPVVAPAVLLIGQYLAQGRIRSTSDIASGLFLCSILAQYEALS NRVLPEAVNFVASSILALLPRRKGFEVNKSYPDSKANGVDLYVDPSAAAAPQQPVNLS QAINSVQSELSHEQVEQTKGNLLVIAFRLSQTFASMYASSEAFIELIQPLAKVLEGCR VAKLSQEVKTLHAAAYTSLSRSLTHAKASRRPLTLQAHKPIPIASHAPKFEDNFAPGK HYDPDVERNASAKLKALYKKERKGAIRELRKDNRFLAGEKAREQAEKDREYNSRMKKV EGSLNVERAEEKAMQREKQKEKRRAGRG L199_008307 MSTSYYHTNHHHSPTPTIHHLIQKRANAISSIPFSLTTTTFIDS PSPLIAPSGMGIVEVSPTASSPSLYSRVGHSNSPQIHARTQMIKTSRKLQVLSQSEMT HRYSAEDDPYHHEEEEEGEEEDPRRMSMVGGPRVRKYTQVPWEEEDSEAQWSMVTQNT ISDRSQAGGVTVVGSADMFSGFNRSKSSTTPGGYGRNLRSTEREREQSTISTTSTMMS SSDSPSLNVSSTRRGLAQIKGATSNKDKDNNHAKHLVPSVSGLSLASNQTSSTSSTSS FLSDSTPITPKLKSTNVIQISHSPLPNISDKKDKRIDTFHQGEYENLNHTSAIGLLPA VIPKSTVLSTYKKPQSPLIDNLTLTPTPGPTGFAANDRPLMNSGSPGFGLISLEAAQE RERQKSQSQSQNQNQNQTRSHETFHTQSSTHSRSTTFISVDQQKRPLTTFLIPPIPDD PNVNVLRPTTSCTSASSPPNKVKSKKSGLMRLFNKSEKDKSVHSNIPVPALPHTTNST SGNGPTMTPSLKGRDGVSSRAMSIWSSSTTNENPTSTSMGIVHGESAVWPSSSLKMSQ GVLPHGGQGEDEEKRQFEVSSSSTSQPKLELRPISMTFSRGLPVDYLVHLQPSHTKRN HRDEDPSPPSVPCTPTSSMTKKKPIPADEEVVNNKMMMNVKKAFTIQIYELEAQIREL KDELKDARARKVLEGNCDKCGCTCNFFQDQDDKDDNMLLNVGSNTSGNGNGNRKVIDR ARVKTAGARGVFGSGSLYEWE L199_008308 MPADARSADRVSNYNKFWEQKSANDNESHRANRLDQYTEVVNGY YDGATELYEYGWAESFHFCRFYKGEGFLQALARHEHYLASMMHLKPGMRVLDVGCGVG GPAREISRFSDATIVGLNNNEFQIGRATNKTRKAGLSDKVSFVKGDFMKLSEQFGENS FDAVYAIEATCHAPNFEGIYGEIFKVLKPGGVFGVYEWCMTDEWDPTNPKHKEIAHGI EVGDGIPEMRNLTAARNALKSVGFEIEHEEDLADRGDVVPWYYPLEGDIWKAQTVWDM FTCWRTSKMGIFVTQNAVWALEKIGVVPKGTHSVGESLIVAANALVAGGKTKLFTPMA LWISRKPAN L199_008309 MKERPGAENALATIGAVMWMVQIVPQIIKSHRTKSTTGLSAGLM FIWALASIFLGSYLVAQRLSIPLQIQPQAFGVLAAISTGQILYYSHGWSKRNTIFFFV GFCLVFAGFETGSVFAIWAGQDNGVNWPIKMYGIISAVLLAIALAPQYYEIWKFKEVL GISMMFMIVDTLGGVFSLASLFCRSELDILGLISYALVVVLDSIVILLAIILNPLARR RRRKQGKPENIHDALAADASTAEEGNVKNPPSAAGDGMGEGLGKSVSQERREKEQQRQ QITQEDSGEKRISS L199_008310 MSGNMDQHAEVSPPRSTSGLGRSPSIFSYQTRILNNRTPTGDRH GNNAQRQIPNSLSSSTAYTSLASMVENDGRPSPPSTPIRKAVARMVVDNGSPPSTAEE GGRARSGSGRGVGSGFGMGGKPGHTKSSKSVDLVRNQWQAKIDQMNNNSDEPIRPPTP RSVKNSAFSPPLPSSPTIPSTETTPSATRTFSQASSSPIVPDQTGSSLVTTATNDTSA TDSGVGKYKSAYMAQRAAKRATVYGASSFDSARIPSTTSNGSAGSAPAPSITSASIDR PTSPSVSSYTSMLSPNPTGESTAPSVRGQSVEERLAIAKANALRRREAREKAKAGAAT ASSSGDTKAGENPTVPSEFGGTSSSKASPFANLFTPPSKDDEPTPAAPSKTLSFATAP LTTDLTGTSTLSRSAYRSIVTAPTATSSPRYVPSGLSSLTSDPSKEDGLNPPSAPGKD KYGSISKTDRRRLGRHLPRIASGGEGWDEDTAKGHSKGPSEGRRVPSTLGRNSEIPKA AEEEIENTPPAASVQENKTNLKTPSTPTAGVRNKKSLEILVPSTSQNHPPFQPSIPTT PTAKRKSAYMPYTPKSTIANPIGPTSPRVELTGAEMKGLMSAVGSLPARGANNDEEDG VTGMSNRLRLTRARLPPSASSASVAPAPLPSRRLAQTNWMDKHRHAIAAYEYLCHVGE AQQWIEGCLEEELPFGVTEMEEGLRDGVVLAKLARVYEGEAVVRKIWTESKHRYKQSD NINYFLNFVRNVGMPETFIFELTDLYNKKNIPKVIFCIHILSHLLARLGRAERIGNLV GQFEFTSDQLAATQKGLQGVAMPNFGDASNSLAKEASWEPEEPEETEDERRDRELLEC ESSIVDLQRHLRGRLARMRVSRTHAQLELAEPIIVRFQARARASLVREGMKAEREERK QLHGFAKAIQAVARGHTSRQRWVTKINAIHASDQSIVGVQAQARGMITRIRRSLEQNR LDKSIKGIVGLQAQCRGKLARRNRQSHWQILAHPEIIQSVSTLQAALRGRIQRQAAAR HQRVIHGQVATFTSLQSQLRGALVRRRIRAQEQKMDDATDYVVAIQAVCRGVLARQKK RSFTSTLQQVTPAISSLQAIARGRLAKQAHKNMQKALAKVEVAGSVGGLQAFLRTRLA KKQTTEQKKKLEFVQPDVIGFQAVARGYLVREEYREWRDYLHDGKTIGALVFLQSLIR GFLARRRLYIHSSYIHRNVDKVIKIQSLWRGRVQRQMYEKLVTGYDVDVPTIQNYMHL LDDTESDFADQVRIEALRGQVVDLIRENQGLETEVKELDTKIALIINNKMSFQELARA KHRSNEQSTYVTPNNDPFSGGVHLDRTNQRKLELFEQLFFMLQTKPEYISRLLRTLSN SIDEDEKVEKDRRLLEGVTMILFGFGHERREEYLFHKLLQLAVHEEILLAPTLHDLAH SRFAIISVAAQYIKPSLTPYIQDVLYDHIMRIVGAPDLDLCTDPVKIYQSIINAEETQ TGVPSQLPRDRNADQILQENATARAMFIRNLQELRALTEFLITGLIDSHARLPYTIRL LAREALLALQTKFPEATDEELVPVVARTVVLPFILPAIIAPEQYGLAPDGVGPQERRN LAEITNLVSHVAGQQYTDTPDQRLVRTPLEAFISASAMPFREWILDVADVEHAEGQFH AHELFESTIEAKPIKITRLDIYGMLSMLIQNVPVITAGNKNDPIQGILRELEGPPIDY DRSKNTVNLRLTNRLAGPQSGDPNAIEKADWVQAKRHVLAVLRVQTGRTLYDVLVSRP EEIHEQMWIEEVHRDIALENARLAKHGLPPTPVEQMYQIESIRSLPFHEVKSRAIEFC MKLERSGKLSREDNLQGLLVSITSDIRQKHHLRKMRKDNLNGMIKAYEDMTKKKMDYE AQIKTYHDYIDGAMAELQAKGKKKPMFMSKQYRHQKSQQRQGKQAKFGSYKYTAADLY EKRILLSVNQFSPRQFDKLYIVIASNEVGVFRLELSCPSSASGGGGVMGEDEIRMEDL LGAQYENKERLDMFEGQAAFALNMLIHQINKSEWLCAMSVIKPK L199_008311 MGKHDKKTGKGRLDKFYRLAKEQGYRARSAFKLVHLNRKYDLLS KSKCVIDLCAAPGGWLQVAEKYMPKGSLIIGVDLNPIKPLPHVTTFVSDITTPHCRQM LKQHMHDWKADLVMHDGAPNVGSAWVQDAFTQNELVLQSLKLATEFLVKGGNFVTKVF RSQDYNSLMWVFGQLFKSVEATKPPSSRNVSAEIFVVCRDFIAPKHIDPKFLDPKHVF KDLAPLPTSITELPNTTEEASVAATQASTSTAAAAAARLAANSHAHSNVFAPEKKRRH REGYADGDYTLYHTATAKEFIKGVDPVLLLGGMNKITFETDEEKQWLKSRHTTPDVVA NCNDLKVLGKGDFKALMKWRLAIRLEIGLDVKAETTADATEEITVEPIDEEEQITEDL KKLQEAKSARTKRERKRANEKKAKELLKLQLNMTAPEDLDTNDLALKGEEEIFDLEEG EAEAARQGKSSKKSLRDIVNDEDGMDYESSASSEEGDEEDDEILDSDEERERKTAMLE GELDGLYETYKERMQERDAKWKVKNERNKDKNFDAWHGIKENKSDDEDAEEDEQDEEG GWDLVQGRKADDEDSDSDSDSDEDEGEDIDEEEIKKPKKVKSRSVKFEQAQPKSRNNT SLVTSLQEPEKRAQMSRQAQLWFDQSVFKGVGDLAALDGDDEDEDEDEDEEDETEVEE EDEDEESEQDEDEDVEMESDEEDEGSSTLQDDQDDEDFEIVPQEEEDDGTGWDVEDED QDEVKRKIIKDKGLLTAEAVTLATSLVNRQITASQLIDQGFNKLSSFNKDGLPSWFLD DESKYYKPNIPITKEAVEALKARQRALDARPIKKVAEAKQRKKFKAVQRMEKAKKKAD DVMGSEEMGDGEKARQVRRMLAKAARGKQKAAEKKIVVAKGVNRGVKGRPKGVKGKYK IVDSRMRKEVRALKRIKKANKKR L199_008312 MVPSAPARSQLDTSHSYFLQPSSVPGGPPVGLLLRGVNLSSTSK FPTLPNSLSQYQDLTGVSRSDRDQKRQLLAGQQSHLGEEEVGFYTEAEQGGRDGWFDG RPFPLEEADVHLRRLKAWGFTTIRFLVVWEALEHAGPGKYDEAYIDYTISILRKCREH GMRVFISPHQDVFSRFTSGSGAPYWVLEALGINPKRIHQTGSAVVHQCWSTQGYGGEE GIQNIKDGNLDDWPDMIWNTNLHRLAARHLFTMFWASDKFAPKCKIDGIPASDWIQNH FIAAYGHLADRLRDAGDILDECLIGWDSMNEPSEGFIGIPDLNQCPPAQSFKKGPSPT PLQGFILANGHQVSDVEMADFTSTGQKSKGTISITPPEGKGVWLTRQETREAESKWGY KWDDEWDFWDENGQGGCIWAGHGVWDPQSGSILRDQYFKPPGKTEIDFIEEFWRVHYT KFIKRIRQSHPNAISFINPPVFEEPPDLSEDVKGGRIALSSHFYDGLTLLNKRRHVFN ADAVGLQRGLTNILSAIKLGEKSIKSTLRGQLGELKSDAHKSEGMAGHGEGGSYPTLI GEIGTPWDMKSTKLLGLAKGKADRKDYKEPAKAMDQVMNACDGHNALSYTLWVYEPLS THKYGDGWNGEDLSLISYDEIPTEGPEKDDDLLILNPADLRSLITLGSRGISSWCRPY PIESVSKIDKFSFSMKDGEFNLQVLIQGLEDQKVWNSDCDLNQIDGDEAGDIKGTSKE NSEGIFKGYTKIYIPYVHYLSQADTSPSLSKDTGSMATTNKSRIIGEPSEDNEEWVKG NGPARNDIEVLEISEGSLEVKGQWGWWTYGLGEKGERIAKLRIRPWKG L199_008313 MSEFSSDPTAIVEQLQTLTDIEGDLADTALAVVEQKQEHEQEHE QSAETPSQPQEESAGPGADTNSSSAEAEKALLENADAIEDVLEASIPPAPIHEETISD STTQPIDNTPAVSDIPQVATQSQGAASEAVQLLNAVEADLNNPVLPETIIPPAHDTII ETANEEDTGKIIIDVEQPTMENVVEAATNPENDSSTPGKEETPGAEGNIAPQPTPAVA DPRIKQEPISTIPSALPALPQIQSNVVKPASPEVPLPEGLTETSSSVVNNRDLIYSWR QDPKNSLLLLALFNWAIQRTEISDARAWYNALAVDNPTAVEPLLALINLELALSNFAQ VEPLFAKALKGPSGGITAAADVSIWKAYLHYIRRQNPIVDSAPNAEAVRETITKAYEF ALKECGYDRESGEIWEEYIKFVSEGPAKNQWEIQAQQDSLRKLYQRAVCIPLNNLETL WKSYDTFESSVNKATSKKFLAERSPAYMTARTALRELKTLTEHLPKPILPPQPTFNES DRATVLGWKNYLKWEEGNPLVIDDENVLNARIGYALRKCLSEMRHFPELWHYAATYYL KQGQKEEATEILRAGVQACPKSFLLTFALTELLEDLSQFTAVHELYQNFLSSLSPEIN DLKKVIEREVEMAKGPEIPPVAGGDVTMDGDGLSEYQRMVEERENRGKLVEERRGKQL QELSRGINVGWIMYMRFARRAEGIKAARAVFGRARKSPYLTWHIFEASAMMEYHSNKD SAVAIRIFELGLKLFSDEVDYVIKYLQFLLSINDDTNARALFERSAIKIPSSSSRSLW DVWARYEYLYGDLSAVHKLETRFAEVFPNDSPLKRFAQRYTYNGIDQIALRDLGFSAS ARPPVLAQANVPLPTASLTPVLPPPPIAAAVVPPIGGPSHSPSGVYKRPLPDGGSPRR GSIDRSPKRYKAHSPPPPPRRYPDRERERERDFPPRDNRDRDRNVSGGRYNAPPLTNG RDRSPFTSTAPPPPPPGQMGSRGPPPPAGYALDKDRSGMGKPLVWFIGNLPSARAFDG PIFRPDDIIGLFNNIAPSGLGIPGGAGIGAGPGPAPGPGGPPPLPPQGGRGGYADPDR RYGGLPPMRGGRY L199_008314 MPSIFTRHRRASEALPPSFGKDDSPARRQRLSIDLTHSDKLNGS PPPSSGGGKIKAFFGVGSSPDPEGKNASLRKKLTSPSSFFKSSVDLNTPKTPPSQSPA DPTLARYTDPSPRTKVNGSATGERFASPTLAPEAFALASTSSPEGTPRQSKDGRRASV SWQPSYPLVVPEDEYINIPPDGHAALNGLAPSANIALTPADENRKRRSLDAGDRLSSW PAIQPTPKPVTPPISTVQTPVTPPKPQSALPTPPLSAGSDKKKLDGLHPPEQPGGRRR PSLSIDISDVAMPGSTAALAAAQKEQTKPLASDSTQSAVDTRSTSLAGYTRVPLNDTA SRISSVSVPSSPINSTRQRPNPPVRKSTLIQSPPMPQPIKNLPTLQGWPGSPQPSGST TPGWGSLAKEGGPKTPGFLGTPSGQRTPGLSGFPFSLPPVGTPLGKGKEKSTLTEQEV RKAKRAMPVMLRQPSTKPAEEEEGGDAGDDDDESDGESETEIEGGRSDDDSDGGTETE SRASSSRGAIGLAGRFVKKNRGKGKASSQVSPMAKSVVTEEEANGKSVWSLGTPSEKR PTTNWAQFGSASPRATPGPAPTPGRAALNRNLSSYASTVTTSEEGYFDSAPTSSQVST GATSTPLRSEEPTLAKGTGTTELVNSAVAGLQLGQPVTASLIAVTTAAHDDDDDHAVA DDDSDDDSDVGTNEGTNEDSVESPPIPSQQTEAMPIQVTKPTAPVRPSPSTRPSMYSQ VSRSMINLPPKPAGPEPEGPSDKLSVKPRLETVPSGEQVPLRIDLPPRIPEGKVVGNG PATPAEWAKPPPTPAAGLSSFNFWSGGDKKQPALKRRRSADDLMQQPPKYEPPFPGTF IPRPRDEEGREKLPKYWCSVHIEGLLPRKMEFSAPSMQARDRSWKKLYFIIHGTSLYV YKFDPHRFPLKVDAPVPTIDEDEVDDSLHVHYPPASERRGSVSSQTTTMRRGSISAAV GVGSGSDGGRRGSVPDNFHSAAATQRRGSNAGLSTANGSIGGSSGLRRASESASGSSL SVPRRSSLSIVTNASDNNSGDLKDPKLFPGNNANSASARRGSTSSQSVASTSGGTSLA SHFQHNSLVKQYSLQNAESGLAADYHKRKNVVRVRINGEQFLLQTGDNREVVSWIEAF QAATNVSLDLDVRPMPKIITLPRRRRRRGAAATAAAAAARTATDANGAATNGTADTPS GNAQAVSAADAAERERERMLVEDQQAEVVG L199_008315 MPLAHIHVIKTAYTPDELRLIADTIYRTMRTHFTAPDEDRYQMI SQHEPYELICSDTNLGFTRTERLIFLQVVQQGRSAAVKETYYGVLMAALKEKIGLGEG DLIISVTANTREDWSFGGGKAQFLNGDL L199_008316 MQFGYALGLLSCGLMYRFDVDTSTGYIVGILFLVGFAAGCTLQT TLVTMQNTAPEDLRAIITGARNTFRSFGGSIGLAAAGPIRNSVVTTALLSIPSLTDKQ RKQVISLGPSSILGGLDPEASILVLRAMRRGIQTVYLTFLPLMAVSLIAVMFVKQRRL IDEDYLADRSAELATVPVVLSSSPNEEVGNRLAVSQVPRDGFDDDVKKV L199_008317 MNEKEKELVDQAFRPAGTRFVFIFSTLQLLLFLAFVDQFCVSTV LPFIGESLGVANRISWVGTAAIVTTIGSQMIVARCSDIFGRRNSLTGILLIFIFGNLM CGFAQNAIWLFTCRGLSGVGAGGIISLTMVCVADIVPVRQRGKLQGYTGFTVALGSGM GPLIGAAMGSKISWRWAFWITPPFLALAIPILYLSLPNFRKPKEETTWNQMKKVDYIG SLLLFAGSILILVPISGGGSIFPWPSATCIVLIIIGVLLVVAFLMVERFQAAVPILPP RLFHHKDVNLILLATATHGWIYYGTMFFVPLYLQEVLGVSALRAGVLLLPMVISQGLG LGLAGKISTMTGYVSSNT L199_008318 MASLTAQETVYSTTDDGKYVTYRAGGKPGKRLIRQPGEPGWVES FDRIPIIDFTNVNHPDIEVRLKLANELAEAAENVGFWYAANTPVDEELVGKTFAALEK FFSLPVEQKSEASWMKTPGARGYESFADVAANEGSGTLRESFIMGDDLLDPKQYSGSV PEGMKPQNIWPTAMPEFKEALDNYYSVLMPFARSILHLFCLALGLEETALDGLHQHPM AALRALHYPPQDPSESAAGFLAHADFSSFTLVLQGAKYGSGLEVLNMNGQWVSAPYIP GATFTCNVGDYLQALSSGRFVSTVHRVVNKSGSERYSLPFFFSPDPSAVLKPVVNRKQ GSDYEAEYTDEPIGKQFMRRLMFARRFHPTAKRLAELDIPDKDWKYEYITGSLP L199_008319 MSHISLNTSADNPVTKEVLEKTLSSFGSGELSLKSEDVVDYTRI LAGTHEVFQKILDLPDYVPVVDKRFARQNVHKPTAAENESNAWAWKVSVKDEGSEGAL KGLTVCLKDNIAFADVPCSVGTSYIDDWVPNTDATVATRVLEAGGEITGKAVCENLSF FGASISSGTGRIDNVYAKGYSAGGSSSGCGVLVARGECDMAIGGDQGGSIRLPAAHNG IVGMKPTHGLVPYTGICLLERTIDTTGPMTKDVLSNALLLKVLAGSDSIDDRQLAGCP SPSQVPDYPTLTKQPIKGLRVGIVSESLDTELTDKRYADFVVDAAKKFLDLGAEVVEP VSIPGTVLASDLWMVIARLSSYQNLIGRACGHRGLYLNDFMAKFLPITQEKLDKMWPS VIATLTNGAYAWENLDPSLMGKTMNLSRKVRDEIDAVLGKYDVLVTPTMVKLPARIDN MPEHPTPLQKMSYAAGVGLNTCPYSLTGHPAISIPVGFLSPLDDPTARLPVGMQIVGQ FYDEATLYRAAYAWESNFEWKQRVYSSIGASVGGQV L199_008320 MASFTNEQCLPWHERDLYGYANSPPDPKWPNGARIAVNFVMNHE EGGESSVELGDDVAEAFFHDFGPPGMINPVPGERDPSTETQFDYGTRVGVWRLLRLFE ASSIPITFYAVAKSFERAPHVAKYVEEHGHETCCHGYRWRSYGDCDAELEERHANLCV ESFRKSSPSGKVPSGWFLGRPNVRSAHIAAKVYKEQGEELLYWADSYADDLPYWKPNP IDPSKGLLIMPYSFDNNDTKMWFGQMGSDEAYTQHIIDSFTTIREEGLAGRPAYITVA FHSRWMGRPGRFQALKRIVDHMKQFDDIWFATREQIATHFAKTIPYDPAAFIPGTGRM KPPSDVRSQVNTLKAKVNGFH L199_008321 MSGNRLGTDKSEQMDSTMAANLSNGDASSSSIRPQAVQRPGENA KARRAVAACSRCRRYRQKCVMHWADGQPLTPCQACAKAGRRMASDCPPADKAQFRRRR RPTSTNDSGADEIAKEITRSPQRTVAQRRGANPVGRMADILSEEVPTDSSPCQSVHGL SPSSISDLLPPHDEVIEGVRVFLYNYFQLGFLPRAFFLEQVERDMSSVPLFLLLSILT LSARFTPSLIKRFGGGKEASIEMRRRAMGLLGDEIMAASVERMQALFLLGVSEFGEGN GGRSWLLTGMAIRMAATMRLHREATYNLPPGASPEDAIRSEMTRRSFWLIYCHDQQIA GRSPSTTFPLSTIDVLLPCEEEDFIFGQTPTAGRAALPGSAADQSALDYATESHRSLF ATMIIGQWLWARAAEHASSMVNPRNVRPWDVNENFWKLNRELELWELNLPPRQTFSPF TVRVLKGQHLDLVSCHPFSTKLIVQGFLSIPMLLRLSHIVLRRAYLPWMANAVDQSST KVIDSDAPPNFWQGMALHMVQNCINLHQTIQTGLATRSMRGGFPAVFIFAVYMCGDIA YYLNRWPKLCPKHAHHAPAILQSALELLEQLEEAWPLATRWRSTLAQLVQTSNLDISF GNAARASRDADEETGIYREPNSSPASSTHRPGSLPAISPAFDPQHINFAATNSDTIAQ VSRHQPPVPNSVTVESVSALISLQNAEPSIQYDLSSVNNFSTDIFHGQDGTESLPFVG YDAFGADLTAFLRGEPMDWSNPGSQIGDSSFGGDQQHSQIDTNINATVFDLADLFEQN TSGL L199_008322 MTDSGLSRLPGKVAIVTGGSSGIGRATVELFVKHGAKVVSIDLN EPVAPEAPYPEQVVFVPGSVTDEQVWSRALREAKSLGGVADVLVQCAGIPGREGLLDT TIEKWNYVLSVNCTAIMYGMQVFIKGLVEAGQPGSIVNVSSTVAIDFAKHGIRANCVA PGLTITGMTRNSTRPASSKQYMLDRTPLNRWGTAEETAYSILYLSSDEAAYVTGQTIC HDGGYSAA L199_008323 MATSFFGGKNIVVIGSARGIGFELVRQLSEQGSQYILAIDRYES EDISKLVASVDNVKFALADVRDNEALKVRFFSDKSTDKKNAAGHIYLVDCLLCVSGVL GSPSPLLNRPSSEPNPSEDILNVFAINVLGTFNGIYAFQSKMPSGGKIMLMSSTMASL ETATHSLNPAYSIAKAGVNMLGRKLAVELKDRDIDVILMSPGVVRTEMNNGQGDISTQ QSVEGILRELTRSGTSGTFLRYDGQGWPW L199_008324 MGARLDKIETIFWGKPPADPKERKLLRKIDGVVLSYVCLSYFTN YLDRANLANAYVSGMREALDFKGNEYTYAVSMFTAGYIIGQYPSALVLSSNRISPRFW FPFCGACWGIITLGLAFVKTPHQVWGLRLIQGFFEASTFCGTHYVLGAWYKDGELGRR SAVFTSSAQLGTLFSGVMQGSIIKNLDGLHGLEGWQWLFIVDFIITVPVAIYGFIFFP GLPHTTQAFWMTPEERELCVKRSPPQEHTTLTVKSLGKNLKTVVTSWQFYTFPILFGV GSCAYEKTGNYSEFLFWLKWTGKYTPSQVNYYPCIYTAWGIVGTYILTMYSDLTKNRF IIQPIVYCTTFISCVMLLVWNISDNAKFFAYVVSGCGYAVQVTNFAWATSVTRDNEVV RAVTIFSMNVIGNCWTLWYQIVCWPVVDTPRFRNGQIATLVTGAAMIGLAAFMLYLEK RYPPKIRDDSEAYTTNIFGSNTAAPTPIEDLERRTPTEDDYYGEKNVEPGQVVVKAI L199_008325 MSDSPNPPTPSPPRVKHSQRRGQTRFEKEAAATGGKSSADLPGD PKVIGPWRIGRTIGKGASGRVKIAKHSKTGQYAAIKIVPKHALMMTSRMSMSDAGAKH DKAVLGIEREIVIMKLIDHPNVMSLYDVWETAKELYLVLEYVEGGELFDYLVSQGRLP PDEASRYFQQIVAGVDYCHRFNICHRDLKPENLLLDAEKNIKIADFGMAALEPSDKLL ETSCGSPHYASPEIVAGMSYHGAASDIWSCGVILFALLTGRLPFDDENIRILLQKVKN GRFVMPADLPADAKDLITRMLVVDPERRITMAEIMRHPFCQRKQDTDSGRRINLVEPP RLEEIARPVRSEREIDRDILRNLRTLWNGTSEKEIVTSLLSNEKTWEKAFYFLLLQYR NKHLENFNPEPESRRITTERRRKPESISSSHRRSTRSTTSTTAPANGSVRKAVPREER ERERERYRSSTSGDKENANPHTATRPAPVPIPAVATTKSPATPTSRVVGPRPSPNPNE LQDRVGNKQTTNVPGTGPRTPAHLEKIVEQPSTVTPVDPRLPKITLQRSTPGSTEQSQ IAQTGLGLNLNIINKSTTTYSSPPSPSPATVTAVGLGMQCSALGNINVPQVQDAALQK FFHDIAEQLQLIGSASPRSSIVVPGTSTSPSGPSSPMAGPALSQMEETITTPTTPIIE IPPMMTSINRPAQRRSMTEQPVPSTAPKPKLPEITKRRSYLGDSTITHSNQANIVAQP QRFSTGFDKVRKVSNGSEKKRRSKPAPLDLSPKIGSELLSPTGSPWLSTPPSGSPTPP SPLLVGSSGEVKTSWFSNLFSWKPATFTLISADDCASSRAECIKLLESFGASVILEDA DGWGVLKCRIDEIRDVSGIIIPKSVRFRIEFLPHSIWSSTTNNAHPPNSPIIPGSPRG TTTSMTMVQEKGALSSFRAVYGRIRSEWRLDSLKSPAISTHSRFTTNNTTQINSPMAS PALDGNHAQAIWS L199_008326 MAPMLHQTPGQTAPSLMPQQSGAPSQYPGAASQFMHPQPTGHPM MPQHNGQPSVTPMMPHHTGQPMMQPQSTSQPMQMPQSTGQVMQPQHTGAPTAKQPTPP QPTAIPQPMVVPVTVPIAQTTPMVVPGTIPVSVSQLPPNPPTQTHTTVPAYTQPTIPP QPPSMSQRTPRAPATAIRTTPDRNKAPTSSRTQATRLPPANRTGVSTVPQVPHPESVH QPHAADSYLDRFEHDPDLKKMMGGARSKTIHSSAIPPSVTEHSRAPTQPLTAARSTFD DNASNVDKPLPNPFPPSQVLGRSHTHRTPSTIRPSQSANNSQKMARRMSLSDCLHNSH AIRMSQIPDGDRYPAFPIGGNHQGRTHSRNTSYGSADPAGIGLPPSRGVSNVQSPNSE YQGGGRSSTRQTPIRSGRTSARSRVAGALRNDMDFDGELPGIQEDDEEEMQRHGHAQV PPPMMHPNGNGMPPSQVMRPMPPHSARGPPRAPPSMPSMRHRINPVMPQPLGGGPHPL AGPNKTFSEPHPTRVDKGHKSHHSLSALYHHRDPAGARSEHLPEPGSVYHPPKTHDLF VPPGLAPVAVSGGVEDVQGPRTSALGLSGVGSDDGRMRAPTITVQPPSSHSRSNHPTS ALFPPSPKTPRPPQSPARTEVASKGGRQVINLDSPPAPGTTRQTVIITERSDPRPEAH EVSLPKSKSNAPTAYSGMSPENVQDPRDVPLPPTKTPDPTVYSPMDKEFSVSHRDTYH PPPTKSPAGVTATQAQRIPLPLPKSNAPTAYTHAQGKQPFQFPLPPSKSTAPTAYTTK EPHQVPLPPPRSMAPTAYTTSPPDNHTTPGPGPQVRSIDFANVPLPRGGGTVYDMRTQ VTSEPDIDEPRGSSRSATHKTPPPPWKTASTKKLHRKPVPTNGGNGNGNGIEPRMYPL PPSRAPTRGNRASTYAASVPPIEEVTEPESGRENTLVGRQSRLH L199_008327 MGNNDPTSEFMYQIPLQGFNNKSLSEGGFGLGLGLGGNNSERGG QPLDLKALNERLQTLGLGGPSIDSLGTSIPRQPPHTTTENPAVHTQTTSAISPSPTRS PNAQSQLGSGFRTNHFLSPNSAFQQPIQAYPSVTELAPGDSISMYRPARAPSTAARSQ RRGGTNVDPGETGETPFTPEEAYENAPGDGASYWSQDDITARSPRTGAGGLEDEALTI GPTSVWTRGDMGRDMYDHRDRLLRQESNRNQEHMEELQRQIREAQNLATTATKLEAAE KQLRELQARLIAEQVARTQIEQEAGLKEEEMKNYQNEWASAVRALRRARDEGKKSDEE KRRIQRCFEEARDKLWKYHEALRVREARAQGKEEGRAEAWQEAERWMGNSPPIPGVEP VQMVPGAVLHQTPMMQTQTPLFLQSPTNQYFQQAAQQPQQQYHQPPPQPQQQQSQQRH WQAPVSPGNNAPMQSIAQLMEYFAQNPGAFPQFQQGQQHISPPQQIP L199_008328 MDSMHPDPAPAPPPSLSDIKAQVARLEAAHKQKGLPLSGRIIHV MHHLPVEIVRIVPAESLEAGGVLSPPMTPEFKPEDVEAKVESADAKWRIHARTAHPAL VSGIKSLSDTHDQILVAWTGEVLLQPDTNASPQPPSQATFPSIAQNLLAPFSTQQETP SPTSPSVPTPPPDESPLMVFGGEFNDQEKKEVETELERFTEVEQKFEEGGRLKYLPVF LPPDVSKGHYEGFCKKTLWPLFHYLLWLDSTATVPSPDPSWLAYHKTNQMFAQRVAEV YKPGDLIICHDYHLLLAPKMIREALGQVFHPNAGWGTAHPSPAAHHANKRFDWDQSQQ STPTNANNDKSKTEKLGGFLSNVGSALGQHLSVGGEHGATPVEVMIGMFMHTPWPSSE IFRCLPTRREILDGMLGANLVSFQTYSYSRHFVSTCIRVCGYESTPGGVDANGQVTAV GYCPIGLDVKRVIHDRELPGVIPKMEALRQLYKDKKIIVGREKLDVAKGVYNKLQAFE KFLQVYPEWRGKVVLIQVTTPALSESPKLERMTAELVSHINGTYGSLDFTPVHHYHQA LEKDEYFGLLSVADLALITSLRDGMNTTSMEFILCQDKTNKSPLVLSEFMGTVASFQS ALQINPHDLLGVAHAINKGLNMPQAEKEERHQSLLDSVNGHTSYTWAATILKQLLENV GGEHTAHQTPALDVNKFSQAYKNAKKRLMLFDYDGTLTPIVKVPSHAVPTERTLSAIS TLAKDPKNVVYLISGRDGDFLEEHWGHVENLGMSAEHGSFVKQPGDEEFTNMTEALDM SWMSEVEEIFKYYTERTTGSTIEVKKASITWHYRNSDPDFGEFQCKQCLDLLESSLAP RRPIEVLVGKKNLEVRPLAVNKGEIVKRLMYENPDADLIFCAGDDKTDEDMFRSLRTV FPPGGIHTNDPIIMKPPVAVTSTLDPEEVAELKDVELHIRPDEIFATTVGPPAKKTLA AWHVTCPEEVVEALETLLESQ L199_008329 MVQASSSGKNLWVAASDGDLERVQYLIENEGFTPNDKDSNAYTP MHAAASYAHLELLTYLLSKGGNINIPDDDGETPLFVVETLEAARFLVENGVEVGWRNE DGLTAVDQLQEDHPEISSYLLTQLPADQQATSTVGEDQEIIGESGISQLALENFTSEQ SEQLMQEAQRIMEHCAETGEDPDEKLRELVEGMVKSGLDFAKNAKSQVEGGDVDGDGE GSKRVREE L199_008330 MSTQLISQIRTISQPPSSTIQADQVRSHIDSQFTDLDQLLYPPS GPSKRRKRNLEEEILYWEERERKSALELEATTKSLPKQIEDTQARLQTLLSSAQELSL QRYSIADNLADLLSDISDGISPSIEVDDIRYKGESILGQLEVLQNQLCKLEAGLAWAK VLEQVVILSEKTLNPLSHKPSPLAALPNYRQLNDLVQRLEGTLPPEMALMKVVIEVKE RTWQGLKDLMSENLLKACEPLGWPKKVIYENVSAEGRRAFETAYQDLLYLQAEGEDLH GEERPSHWSAGKGLYPIQTLIKPIALRFQYHFMGTKGTNRVDKPEWAFANILDQIYEH QSFLSTYLQQLSSKSGFEDIDIKSEFTLLLFPILLGLLRARIPPLLEHPALLAHTIYQ TVIFDEAVREGGFELDATSIYEGRESPPWEGLSGVVLKENDWFEKWLSGEKKFADTQL NEIISSTEAWTISDEVNEEGEGESSGVKPTVSARQVKALIEQITDRYAPLPDLTYKLP FLLSVQLPILQAYQTRISGSLDAFETLSSAFVRAVPGALAGNTRSGVHIDQAKLTSGK NGLQRLMKAWLSGQWIQEGMTKWGDELFFVEVASNLASSQALKYKYSSDPLLPSALKH ASSTEVDPSTSVFDVLIERYDHLTSRAEDMIVRLITVETENDLKQHLTRKWDRPPSLE PTEPSPHLLAALTTYSSHLRSLTSTQPPIVVSGLYRRIVDHLSNHILQRGVYAGWSKF TEHGGIDLQLEVKEWKEVSSNSLGENSIISVNTFWRKLDDISKILALPTGEAKDKVTF AQAMASAWDGEEGLKVFNERLGVDMGREELQSVLRRRVECWR L199_008331 MAPSSEQAGSGQLPTPASSFSRDREYEAGPSSSSTIHVNHSRSY RPSPSPSSSNAQPTGSITQELFRITQILLALDSDTWKFMKDTNRSIDTLKQTVDERMK GLRELVESSKQEGKEISEVTKVMLEGLEGKVEGLERVCGDISRSQSLVGSGVPVHLQE KHIQRRPFAQLERDGTQEAIDGQEDGQPLFDDTLDDSGFGDFGGVPEDMEGDDPQDGH EENDMFVDFNDDNGFQPSDMDIGINPQEIMRSPSTADPSVQPQSDEVINAEGQVAHKK GMRRMIAAVITREQHEHDEPSTSDNLNEAGYQEPVPTRTTRSRRKSAKGTETEVSPDR DGYRPSSVTATPDDEEDEEGVALKPPPRLPFPPRPPVPPSPSLSPDTQLKKKRSRSKK VMPHDQVQVYRRRDSTFEPPAAQHSQKQKPAEKSIEDPETSAEPSESASPRKRRRKST ATASVKPESKGKINVNQNKKYTQKGTARLRKFKGQVRLAIKCLAASNGHKTTEADWPN KGPNTAKGRLEEIVCDICKGRCHWSCAGIPEEKDMSEENWICPDCAYRMEVEETPTVL IDPTQQLKCIRYNCILREKRAIEHQDGEEERYFVEKIVGRRAIAREADTQKRIFQYLV KWDGYDLDECTWEPLANLEHHSERLLTKFEQTAKRTRSNLKLRVCILPEARKFWDEIS GNAIMDATKSDDDSAQDDQDRGDEDPEDQDERQIEDARIAIDGPHTEPNEDCEAERRD ENSDEEMINGDRYGDKLDRILNGEEYDHGVDNEPTEEEVANWIDLIPDEDEEMTDPDK MDREINGDDDSDYHDHNGNNEMNNDIRGSGSPENSTAQTQHEVDGQALDPEEEYDELD HSDEEHELDRRGDSPTGNKEKDQEELKEMINEMRGGSGGRTFFGIRMF L199_008332 MPRPIKTILVVSVLLILLTIHHYLPSSTLTQLKQARRNSSPSTS KTSKIKSKNKVLKVRRPPISGGWSREPYEQSGLTVDHKGLTYWTSNHEDKNKGYTNPI IALIERGKELAEKQKHKINSIQTVEDASDDYQDKFGMKPPKGFEYWYKFTQSIRPHPI PAPSLIPLAHQPFLSFLSLPVSVLRERIEIVRSKGAIFTLTFVLPGEGDEGTACDPSQ NWIPKDYHHRGKGRVIIDGEEAWGWRCNNTLTLLLPILSLLPEELFKMNPPLELPFSS DDGPRGMVHNTFREKAENLGRSGNVWPMNQLNKAEQSMRWTYGWSWSCPDNSPLKTRA TDLVLNDLHQPDYLTGGENEKSFIADFERSADYCSDPDLMNYHRAAVEMTPVVATCKT MWNSDIVGVPLDGVFEKVEYVKWEDKTIAKAFWRGSATGLFHSRKTPWRQSQRERLHF LAHNTSASEENMSILLPNGELGEYTRKELNGWLDVGLSGVPAQCDQADGSCDDMAREI DFMGRVRKEDSLKYKFVIDVDGNGWSSRFRRLLSSNNVVLKSTLYPEWFHETLIPWYH YVPVKLDYTDIHDIMAFFNGSPDGKVKGHDSLAKEIAKNGYDFVNDHWRLQDMQSFMF LLILEHWRLMSEDRQLASYDP L199_008333 MSYTPSDQIPQLSSPNTPATTLVTSPSTPSTRFKSNVIEVNHPK QFASLTPTPVVDVKVNQNPSQVKLEYHEPLYLPQTQDAQEGTHVNYAPKSETMAKPLK PIQLNQSTAPPISAAKQPIFDPDFMINPLGKPLLPHPHLVNPMIYVNMYPPDLSEGAI ANSMPRCLPIRVKLEPAVSPEVRLYPDMYYDWMSKTGTIEFTTLQLAERALTILVNHT TFTPRGVWFSPYPSPYILPLPNPPTAARYIRPTRLMVPPSFPSEPQPEQAFRAYFPTP AEVYDAVRPWGSLRSVNSYITEAFDEVEVEENGQRHQWIARVEFWNEDEAKMFDEGFG KTASLLKGWQMLIKASHTLPHVPSDPNAANIQYVTPLGDQESHDVPAQPSRIMYIPDQ SIPPTPASTTMSHFPKICYDQLPPTPSPINYVPPWAAHNMFAAAGILPDGAIVPVTPE MMSRRLSRTSMSSLDGKPRTWSLTVGESPDGELKPTGLVADDGTIIQHGPGQHIRPAP FFGPGSNSVSGLVDYSNVFIKNLDPDINSYYLEEVFSHVGQVVSARVMRDDLGRSRGY GFVSFYSPEQAANAIAQLHNQKLGRSTISVTLHEPRKLRPEKIAERAAHGLPVAFGRQ SSSLPRRSMSPVRTDRVGRGRQPYIEEPKAPGTTDEIRSLSPTSRKMVLAKRIASRVR DHARNKSLSVDLVEPTIKALAKQDLALIPLLHDKPQIDLRIAECFSSIQSEFLEQQPK MEVERPTNEDLIRLRDEVGKIDPLNVNEIMPIMLEMISPSEWEMIWNQSRVAKKYGLA KQMLDKKKKEEVEQVQKTVKVQEDRTTQRSDDLAAGDEEGDHLVPLDGLTITDFCTLP ADLIMKNLRSENGPKILTLLGIVEPTLADKAGNETWVEKVMSRSKVERGVEIASVLGK KVDIDTLKRSQKLKVIKGLINAEDDRALCELLVYPALFNAKARSFIESQEK L199_008334 MSDPIELRPIGKSAPPPPPPPRHPATSSLGDALPGSLGRAYRSA EEKAKAAVHLQEGGMDAISIWGLGLASWFSILAIPLLLFPRILLFFSQTPPPPTSAFS TSNREDHYDSLSSLESTLCLSISLGLFAISLISIFALVPTYDPPSINPTRKPILGILV GLTTLAGFLLWNMSGLVLGGGNLIVAIWGWWVIVFGNSKNKLSKVGNGEKKNKKDGKV HERFKRL L199_008335 MSSYTIDMPSIDASTAPTGADKYSVILPTYNERKNLPVIVWLLA KTFESKGIQWEIIVVDDASPDGTQEVAKQLAGIYGEDKVVLKPRAGKLGLGTAYVHGL NFCTGNFVIIMDADFSHHPKFIPEFIKLQKLHNLDIVTGTRYSSTPSPKPSLNSVSIG LGPGGVYGWDLKRKLVSRGANYLADTVLRPGVSDLTGSFRLYRKSVIQDIISRCTSKG YVFQMEIIVRARSLGYTVGEVPITFVDRIYGESKLSGNEIVGYARGVLSLWWGV L199_008336 MNHSSGPSSPRLILNSPPLESPSSTRGGVGTSYFQPPSTSSHPK PLYPPGQGPQVSSSSSPSRNKVKGRTRTPAEVYANQHTQSQNTSSKRQLPNTTPDHHH HPLPPKEKEKEKTGKPIFEWISRKLGSRRATISESPSSPINRYNPNPSPNFNVNSVNG SPKMPNNGQGNGNGGTRNRLPSMPLPKGGTRGKPTRGRGNGFNMGLGNRNVSADHSHH NPMGRQISNVSSSMDNQSLSMISTSNSHVSTIERERRREANNPYPSIPIPKLIATGGL KDHLKRKNQNPNKNNNINIHQNNEGDDFDGDHDGTTISMSISYSYLSRSPRSRSYSLD SIRSNSRSSNSYSNRRSLDENASASDNRIRKFKHRERGSTSGTNDRERDGPGSGPGLG IMPFGGLRPGADDDASLRPFPPSHPGSPTPSHSFLSRTGSNPIPLGNGGGRSRTNTFY STWSGVGGGGDGRARSLTSSSLDGLYGSTYRYSLDQYNDQYQDQDDDDGDEEEEGRGR QSRQDSTSTKPTTCISFDSTPPIAHIAQPQLHINTQHQTQVNTPHLAQAQTPIATQNG TFGLGDVITTSPMPTLERAQAKYENQDDPSSPSPSPRTHHLEASSSEENHTPPTPTTP TSNINTPSSPMRSPPPDVHVHVQAPKHTPHHPLHNPLPGEIPDDNASMLTLASSTFGL LPNQMTTSGSEGPTPTLPQELTPTNVPSDPNTPQMASTSTGVIQKPPSINRLKDNTIN TAKRPSSITTPSIHWAPNTIGDERPTSTHVAEPNHNVSYAQSYTPSTHASILSINRNW TRDKVDRDASVRAVRRKGSWESYESGWSWRGFDQWNNTTGGNRASPIGDRDRPASSYR SKENYSFRNSRVLNDEFAYDQYEVGDEGERVVGLEPGNGASVVVVAN L199_008337 MSIDQPISAGDDIIPYRRPRGRRKRLKQQKIPIIQRSINLALSI ICLLPTLCSAQDTVSSTSISSTTSTSTSSSRTTSGISSSISSSASSSSSSAAATRTYS IPTLPTTATLPPLNVSSPLLQIVFPSTDLLFLTFSICTLTSNITLLPSILVSNSDPPS FDLGSKTTTDQSSGGTKSGGYNRRNNKNGNTWGITLDSGFGNWTINSTDSGQTASVNV LFGLGLGDDGSTLDEMDVEGGLIVQMSASEDAPMNSISPALPFLGDTTSTQALIFSPL LYTSESIEPTYPNYTLPPAQLPFNPFPSDGLSSSSLISGNTSLSNNLTLYIVPTDANS SPTANGLEYSTCAITLAVNSTGSLAEKVILRTESDTPEWSNVDGQQGYRHHWAIGDLS AGTNYTSWIRDDQGVWTGPIWFTTKSDAFPCQLLLPTSFCPSISYSAPLPINSTSATT SSGDLISDTSPIQSLPDELIKVLSDNLDGFSNSLSSQACGRDLYSHVSTCSDCFSSYG DWLCRLIIPQCSDPTIISEDDGSVLQTVHRSSNNTRNGISFPYEYDELLPCLSICNEV DRKCPVNMGFRCPKRNQNANESYAFIGQDTDEGDGSEEGGMRSYDKYGGRWCNG L199_008338 MPHRHRNSTSTITLLLPSPTSSTSTSSTANQPTTEPVDIEVLIL AKKIASSKNQKFDIESYLSDTQLEEYRTKYLGLPPKPVPAPAPEETKPGENATASATA VKDSTATAGPSTPVISVEVQSPTPTPPTTNATATTPGTPTTATPVKKPFKFPDLSHLH WKQRAKRLAELQREQEMIENGEITEQSSSVLDDPANASASTGKKGELTEKDKEGIRGS ASYWNSLLISARKSRGPQWDYSLQQYQYDRFSVEYYTHGKDPRATPEPEPETKDEDQD KELLDANAEEGVVGNESPNKKRKLSLSQHPSSPNQLNNVKINVNEKDEDDTDSRKRIK SNSLPNSIPKQSPSLTNSTQPQQSQISNTPIGIGRPPLPSNSSSSNSSIPQIGVPMSM GIPSGINPQQAQGMNPNILASLQQFQAQQQQQQQQQNQQGGFNPAQIQMQLAGSGMGM NMNSQQLNGSGLNPQQLSGLNPQQLSGLGGLNPQQISGLNLNSQQLNGLNPQQLMGLS NMISSMNPQFLQQQQQQQGGGSISQHGSMQGLNMAMFNTNTNTNTGQTAGPMLGGTSS TNGNGNGNWSSGFNMNN L199_008339 MGNDDLTKYGIDSVQVSTFPGSKARMETLPRDIKDMNDSEIEKD SSQVVGIDLVNNQVDVPEETVAFPDGGLRAWLCVAGGIAGMFCGYGLAPSAGAFQTWY KNNYLSDYTQSQVAWIGGIQAFVTFGLSIVTGSIFDLYGHKHLMVSGTFLLTLGYCLL SLSRKYYQIFLCHTTLIAIGMNFMLIASLGVVGHWFSKRRGLAFGTISTGASIGAIVW PLIWANAPQKIGFGWTMRLIALICLIIGITAFFLLKTRLPPKPPGPFFRFEAFRSLPY CLLGLSAFTWAFGFFFWNVFIGTYGNLRGWNDIGPYFLIFTMVGSTLFRIPSGFIADR IGCYNITIISNIIMTLLLWLLLVSKTIASTIVISVLFGMSSATFVSLQAPCVSRLCKD MRFAGTYVGMNMFITSLAQIVGPPSSGALLGTGSKQEQLDRFPHATILAGTMLTLSTI ALIGARLCQNKRLLAFV L199_008340 MAWMSSGRSNAELIDKMVKNGLIVSPQIAEAMRKVDRKNYVPDQ MYAYEDSPQRIGFGATISAPHMHAHACENLLSFLPVVNSPHTGAILDVGSGSGYLTAV LHHLAPHSLVVGIDHIQGLVDQSVKNLQKDGVPLGPEKDGKGGVIMICEDGRKGSPEH APFSIIHVGAAAPEIPQPLIDQLAKPGRMFIPVGQGSQDIWQIDKSDTGEVTKKKLFG VMYVPLTDADKQWKSDL L199_008341 MPSTIYEPTTISLSDLLSDTGSEELNHKIVAALGSGPGCLGIIV IKDLPSDFPDLREKLFRLAHRFATLPDEIKEIFTSPETSYLFGWSHGKEIMNGKPDTQ KGSFYANPLIDVPDVSERLRKDHPEYYAGNVWPDGVEGLEDFEKTFKALGKIVFDVGI ALAKACEKFVSPTISNSSGTITSLISSSKCNKARLLHYYPQPPSGDGDDEDIQNDALC GTHLDHSLLTGLCSAMYLSSSNNNETEPQIVDSPNDSTGLWIYPRNSKDPVKVIIPRD CLAFQTGEALSLLTSNKLSATPHFVSGSTKSHKLVSRETFAFFLQPDVDDVIGADGLT FGQFTKRVLGRHYAEKAAEEAED L199_008342 MSPVREQKRKSSPSIGQAVFYPNSLPPPLRRSSKALPPYSSRPA SPTSPSTITLATPPRQISFVKSGGGGSSSTFDRFDNASEYPFPSQTSLSPTRKLTHLF DGLSFTTPQNVSNYDTYNRLLYSAGSEPDLDITTPHALPLSDLINDSLIEDNDNHEVE HNSKNQLFSSPAFIPAQDPFDFPQPPSSKGSHNGSFQLSSPPKQSFSPQSQLRLNVLR QPSWSEQTGSNGNLNGNLSVKVNNQATFDPPSPLSGPAISSSRHSSTSAEYLFPNQVQ GFNDVDVFSSSYQLNAPRPMQLNNQQMFTPPYHDETELPTPTNGLHLHHPVLYPSAPV SQCPTPSITPPPLPPVELPKSEIAAHYLKDRKLCSSFSRRYIIRDELGSGGFGFVCSA LQTGYKNQPGVEVAVKFIFKDRIQECDYAMLEGEPVESFVLARVRHPGIIAFKELYED NEFFYLVQELHGDPWEPGHTLEVPDALHNPVPAITPSLPMSIPAINPPQTPGSALLFS PVHSIDWSKLNPMNPLDGDTKNGGRPNMARRASYDLFECVEHQRFTEDQSRKIFRQIV DAVGYLHRRGIYHRDLKDENIVIDRNLSVKIIDFGSAVIEDPTQPPVLYDHFRGTMSY ASAEVLNGKHYHAGPADIWSLGIILGIILTGESPFPNTTWAQDGRIKIKRQIPGGAFD LMTRCLYTDPRRRARIEEVERHPWLRGMLAHRGSIC L199_008343 MSVSVSAVVDEPHPSKISATLTKMCGTAPAEPPIMANNASYLLT PKLVVDVSPQPSSSSVNISHSNATTPPSMSSKSEPTLCKIPKVDDQKITNIIGSIAQL LTQQSQLISDHENKLRMCEIYFQNKFYELESMLEHFIESQRSDGLEVEVYIKDKVDNM AEKLDKLIRKKAPNGRGRPKAENTTKNINLEPQSDAVSGMGFPLEDMLGIEDLITFDT PSSLDMQDAQTRLQTMNFNQLLVDPLSLDSTIFDIPQFIAPTTEKGSSYLPGRGKTLV DQAIEKQRNLLNDENVLKEGENSVEFVFRKKLKGTKGKDNFKIDMSFLDEISIDSQSQ AQNQDQERPESRFHVPTSYGITNSQIEVGPSNNLRSHQVKEYDPFPLTYLRERTSTDI PPSSSSSSDTSDYSDDSHLTSIKRGASLVDDKLLLPGTPTLSPVGLPTRARTLSGSGK KRKKVSNGWTNKKKPKRNEGDDEKKKKVDGWPTFGVNTLKNRMDEIVCDTCGGRVHWA CAGLSHSRNMREAPWSCPDCLKIMVEASDSRDGRIPSIPRAQQEKCLRPNCIFRSERR IVRENDDVNQFFMEKIIGRKKISHRPGSGNYLYLVKWWDWEIYDSTWEPGRNIPDLER YEVLFLQCALKTEGTDMYLKNVLLLEECSPWFDHKGRYKVDLLKSLGVEKRIWWDDD L199_008344 MNQPNRIDSWLLQDDEKPLTITEDPKIPNAATILLRKQDHTLGN MIRAQLLLDPTVLFAGYKVPHPLENDIIIKIQTDERSNPADALKRACHLLIRQTVQIK SQFMEQAKNIEMGMGPDQSLATAQTNGYDPYTDSSRQGNTIVGGQVRDTNAGGADVYD F L199_008345 MSEQKSPIDTSTSPDISLAMDTDPLDSLEDLFDTPPPPPLVQTD PPLSASSLSSDELVRPNTPTASSANPNSSSIDIVNADSKMDDPTDSAYGGTGLYETLS VIQDIIKSQTELFNALTTRLNELTEHTKTQLAELAALIEKTKKESEERKIGLKAYMEK AVEEAIRRVVNQDQGVENSEGKGKGKDTKKGKENNQGRKRNRELEALSYDFFSSEKPE NKPRSLTEENKSQTPFASSSFTSGQSLVPASRNIPVNKKLTEVIDLTALDDDNEDEHE DIPVPHYPNTPTRLHRGPLSQSNETPRKTLRERIIPSNPLRNRYATSAYAHVTEAGSI CDPYENSNVKRARTGYPHAVAIEKGKGKEKEKGKKDIHGRNEEVVRSKHCRIVGKKQI GTLPDGRKDILYLIKQLGEPVSSATWVREKDINDFDTKNHTFMQDCDHDDPRFVSFRN KVAILPEAQVYWDKNGEWRMDDAQKKPGV L199_008346 MNSYPHEFLAHPQPLMFVAGLNPISRERRVSSASTTSTSNRRPS GGASSLLEPTTAGNIALTTPITSPTPRNDSLPSPLDELTTSEEKRAEDEHKEQVEDVD ERDKEFEELVYNLRGALTPMGGKGKVWLGQEGRKDFRIVLVDKGVRLPMRKINPSSSS TSQSQSSIADLPTSPHSPLSPLIHSSPLYPDGLIAPVWVRKHAELVPSVFVLFLRLYE SKPIPSSDDPEVNAAKEAANRAMEKDQDDQLIREIGDRRRRLGERGIKLTVVLMASAA TLDSPALDPRLSYLRRASALSAKASLFVLTPVPADQLPDFVQSLQDALYDSAMEYYSN HAKRVRRKRSRVPVSQNILSPTTAQGQGQGPRALGPQGWAVRYDWKAGWFAEVRGDFE TARRHYEDCWNELAKMFSSTTTLPPRTKRWAEAKVLADCVAVRICKLLLYDDQGPRVL NPFFVHLKRFGDLSRGWGIGEETFEFWSWIARQYRIFAELLEMAQQSGMRIASLAPPT FPTAVAAAAPPPPDYYTTPISSGNPLQVLQQPAFYYYTAATCSIQRQLRYQEALAAEN DALSSEAGSTSGYVSTAPGFANEKKVDHAALVIELFTKAYSLLKEQDHAQNRMALFVA FRIAEVYCQSAQYDLALRFFDRISQGFKRERWSPIVRQIRKLWYECAQQTGNVESAAR LLVEMMSPDSGVEGEERAALQGDLLSLMKTTSPSTTEPIAVDLEGRSSLLNVQAGFWQ SESTLSQSVPYQVILRCPGEVAIGDIQFSSLIISWSDNRDDTVINASEGSQEQIEFVK VDQNEENKASLNWGVEKTLVINGEITGDVEGEVRISGLKLCLKQGSWDLQIHLSPDDL EEWITPKGAIVPVQALASSIDFIHESHDLSVEITHVPSAFVGEDVEIIVKVTNQDDRE MDTSLSVFLQPGDGDEDDGSTLTMDNQVSPTLIKDIPLGKLSPSASISKTIFLRAPME GTKILDFSLRSTVKLPASKTLSPTSDDQVVERTEEITRTAVIPVLRPFEVKMAVRYSQ RLEGTGGDGVGAGAGANAIVGILVEVPGPRAVRVGKIELLDNDNNNEGGEKDVKLVSS SLVDDDVDTFPQDWDQNTSYALSAKFSLNSGLRGGTAGDPIKIPANLVFTWKSDSSKL SITTIHPIPPLFIESPRESYLIPTLHLPQPPTVKIHEPFDIHLNVLNTHPTSSATNVN IVGETKENFVWLGNRNIILSPPLKPGEARTMKFTLIPLSLSSSSDGWMEVPKISVFEG EGEDREEVGVKGGNVILVRP L199_008347 MTTRAIVKSVLSGDTVIIRPKEAPAKGQPAKEKLLHLAGIQSPR LGSTTREDEPFAFSAREYLRALLVGKEVAYNVTHSVSGSGQEREFVSLFIAPAGPGQP PQDVATLVVSNGWAKLRDGMGEGEEAVRRLGAEEAKKREQLRAVEDQAKSEGKGLWAE QPESQRTVSFQMPGDPHAFVAEHKDNDIDAIVEGVRDGTQLRVRLLLDKGNHQFINLV LAGAKAPRASSGRDNDTSSAEPWGEEAKFFTEVRMLQRSIKVRLLSAPVSLGAIPFQS GPTPAGATKSANETNGLPAPTSAGASIIIGIAKHPNGNIAEFLCGAGLAKVIDWHAGI LAPHGGLDRFRAAEKSAKDKKLGLWESYGTTKSNGTTNGTSTPVSNTKGNEFDATVVR IWGSDQLSVIPKGDGQKERRLQLASVRGPRGTDPKSTYWANEAKEFLRKRLIGKTVHV HIDYVKPREGEYEERECVTITYGNANNNIAEQLIEKGLATVLRHKRDDEDRSMELDKL IVAEQTAVADTKGIHSAKEVSLPRIVDASESASRASSYLTQWKRQGRHSAVVDFVSAG SRFKLFMPKENTKVTFVLAGIRAPRTARNASEKSEPYGPESLRFASKYMQRDVDIAFD STDKSGGFIGAMYAGGNTNVAVELVREGLATVHQYSADSLPFGRELSAAEEEAKAAKK NIWSTYTEEDTTTTKTVDESGALAPDYLDVYVSSVKEDPFSFSVQILDKDSVASLEKL MSDFSLHHKQPTSNSPAGFSPKTGDLVSAKFSEDNQWYRAKVKKASALKKEAQLYFID YGNEETLPFSRIRPLDSKFKGLPGQAKEARLSFVKLVPRSSEYGSDALRRFNYFTENR KLVANIDQKEGNLLHLRLIDPSDPNAADDPLACINADLVREGLATIDKSVRYLNAYPQ VKRKLEDAMEGAKRDRLGIFE L199_008348 MCRFLVYKGTEPIQLSHLVTRPKHSIINQAFESKLRMPSSRPMN ADGFGIGWYDPLPTPPTLTLPRATSIPAPPEPTTPLEGQHSSHSHSSHSQEYIPTPVP PNDPSQDIQVDPLAGLDQTSVEILKARERERELENERPCLFKSIHPAWSNANLTRLAE KIRSALVFAHVRASTMAGAPSEDNCHPWMFDKLMWMHNGEINEFRKIKRAVQQSLPEE LFLYPSGYTDSEWAFMIFLSKLKDPHARSFSHVELRDAMMETIRFINKLSKEAGITGP SLMNFVVTDGETVVATRYISSRTSEASSLFFSSGTSFDEYQEGGLYRMTKADKRENII MIASEPLTFERSDWMEVKTNTMIVITPKMNLLQIPIIDEYWVTPQDPAALTRSRDFAI KMGFGLGFASEQARKEDVSASA L199_008349 MVSTKNPNRLPIHNPRKTHYQCTYTKPTHTQTHIQRTTSFDPST LDQLVFKTSQFQSSLSTLPVGSGPFIHPSRQVNLSSPNPTCTGNSTTQTNLGETNNSQ QTLPLGENDTNRLGVLGYDPLQPYFVNCQSQNHHSAQHQLPCNYISSNHASSVSQMTG SLSDTSSSKKKKVPIAPASAGLGLTKNQKKKKSRGDKGLIVQVKLSQKLEKKKHELKK KSNNPTPASVLGSMDTNVEEHPWMKIQVNN L199_008350 MSFKEKLEKEKRRNDHLANELTRLNAKGDTKLNLLLKMIENDSE IHDIFKHYTKNTSTLEQNAKFRLYKRLGEIVDRF L199_008351 MGRRPARCYRYCKNKPYPKSRYNRGVPDPKIRIYDLGRKKASVD DFPFCCHLVSDEYEQLSSEALEAARICANKYIVKTAGKEAFHMRVRVHPFHVIRINKM LSCAGADRLQQGMRGAWGKPYGSVARVNIGQVIMSIRCRDSNKAVIIEALRRARYKFP GRQKIIVSKKWGFTPLDRADYEALKAQKQVVNDGAYVQFLKPKGPLLQNLRTAQRA L199_008352 MFSHTTIQQKDHTILLLPFAPSTLSDPSHVVSVILDILPKSSTQ SFTVLFTTPSSHQQLYTTLKRSASRNFKLLQTFLGRIYASLATAQLIAGRVLMNVEIH FDGEDGSLKDKLFSNEKGDYQVIVTEGLPIPSQISSLISSIPQITFPFPITSSSIGQI PPSDQSGQSDQSSSPGPAVVALGGTFDHLHAGHKLLLHLSFFLSSQKLIVGLMADSLL GSKKFHEVVEKLDKRLESVERFLERLGGVKLNHERQNSHILSSSPETDEGASEKDVIS LQVQEITDIYGPTSTDPNIHALVVSRETVSGGQAVNTKRKEKGLGELEIFVVDVIADK QDLKLGGVQDEGELKELKMGSTGIRKWIMENGQ L199_008353 MSRIINRLPPLPPPRTWYTHFPSKYLPASPDRNMIRKSTGRKLL ANPKMCDDFVKSLNLREGEVVIDAYSGVGSLTRSLLTGGNYLEESKSWTSALVEEVLT EDKDQGEVKKSAGKSKMKKQKYPSWLEDLPPSLSTSITKVDNEPIKPKLVVSSEGSLE LLVRSFNYPPKSSNPLSTIGREISQDPYTRPVPVITTPLHPNLLLSHSTAYVWPTLPK ILENPLVQASLPVHNPELPPGDIEAYKRKWEDPEPPITIVCQMPDSSIGEQLASQWVG SAVGDPGQKRTWIWEWGRIRLALLCGKSLYDRILAPPGSVIHCKLSILAQALFDIVPL PPYHHVLNVDKQSKFSHDRPFKPLSEVPPSTVPPNGIPVNPPSSIDSFIRPEHGQRTL TYPLDFYPPVTAAQRLIGKPLDRPDLLGLMLIPKLHSPILSSQKDTWDYCMRRLFVRD TLTLTQAIPNLSFGAETLLAHIEDQSTDRYRGVPVDGRRVIRDLRVEEWLRIVDVFDN WAFKPDNLILDSGSPDETSREVGQD L199_008354 MASPQAADYLSFTSANALISDLRPTTLSLPALQALNTFLDEILI SLITSAESINPSDLRKDGVPGVFTDDNKATQGGESTNVRALGRSAVAEAEVELRSWLE GRRQEKGFQPDGQGNGMKNGRSLNVEKAVDLLRSKCVSYSTMASQENVDEAADEEVLS QWKKAGGDPSEDTVEPAALWMTAIIEHVCEHVLSQLASVVARDSEIVVAGPQELYTAL CEDESVWGLFKKMKVKDQLETAIRAGSRHKRSNPSRPGTSHSAGGRASPLASGSPHAS KVSLGHQREGSFEASRNVTSAQSNHDSRSSVDTNWYGGIAGGVMRKGSQLSKKSSNSP GKHHLLRGQGHERSGSVLSENTRSMLGAFHDSYHEGLNGDDEQSIQEAQEEFDALVRS GETMKVSLTPSRLKNFDGNPNRRRATDSPNQSISAKSTRSENISPAQFPTPPSTQPRA TATDNANRAPSAHSSPIQQSSSLLSGRPRADSAQLRMQARAASTIEEKAGEEDASAFV GPLGAKKESLIELLASEGAYEPTSPTKKGGLSPPGQEKLKRTVPAVVLGTPPPPAPTS TFPSPSKNDPPRATQTSDVTAGSSPSNHSQSQIASPQSLSQTDFSPQPTLTRSQYSSS SLNRPDGRSHEALNRDEEGEDDYAFSGQRKAKKKTEAQELADFFNNTPPPQSTPTLKS TPPDIAEEELNEAPQTAKPGKGFRALVSKVTKSKKDKDKDKSPILNSSSSFSKLKSNS ISRSESHNTGMNVGGPRITGWAGFEDPNSQPELGSGGGMGMPKKQKSLHSLSSVPIAF RPFATHEDYVNADRDKRKGSNASRTSEAAGEASAKFAQRRGSAAGLALGLASERRGSA SALGTSSAVSSPVERRGSHPVIYNQDRPEQGRRGSENRKMIGLGIGSVNELDDKDIST NIEVEPELGQQIANPPTAYKERERPELEGLAIPGSATSFKTALSVLSPQSTYNTPQMI VPSPVKSFSSQSKATSTEDVIVPRKPVSETGTQTPIPTPKELEGPSPSIPINDLVPLR KLLDHATSVRECKLLLDAILSQFGVPRQSLSDDKTGQVEVGPEDRVMAWLLAGRDGPV GDGQKTDREEGKGDMTPVLPTTNHTGQSQSHKTYQGSSGPQRNSDIFGEGENTNTVTD YETEGDTDNETGVIVARVNGEKERRHSSPVKLVRTGNEITV L199_008355 MGELFAETYMSDSSENEDQDQDQPPELLFRNPWKRKETNKTEQN ATVVDRALTLESLRHYVSQDGALLHSIGNLRWTCLFDSDCGMDGEHITRERLGDKSEP YQFSEKLMKQMSSMIFTDKSDTDASSPGVVVIPEQDLDFLRTNLRYVCLSTLRNLHRP GDEHATGDECPSKTFPAGHTVSLINEQDAYLINDALSLYDHDPNMCSSRDRNIINRFQ WSSLVPIRYRTMAIEFTNFTTPGDNDERLNTYCDEFDLRSLGDVPVAYTITTKFVDLS QAELDFFIEARKRGEFTGDHPGYFTPRSLPPPPTTPSTIYTDGCSTPVSPCSSPGCDY MIFDPEPPDLV L199_008356 MSEPSSVIIGQEADWAEGEIPTHMAMNPHGSTDDFELPDRFFWV DSVKFYVEVTKRKPRQRYQATIRGISTKFAQGSVRDSGCTAMILPRIALIEPDGTHEF SQEFLRFPGNEYSTGWFKKAQTNDENYIRSKLIELTKASLQTLHLPSSINGCYVTSDQ HKPALISFEPARRYNDLTERLRTDWTVTEKWKKAQDQIIWSTHIPLSSRAIEWETEDV ETWPQGPEKITWGANRLSYIITAKWKDVTERDWERHMEAIRDGSAATDTPSSILRAAT SIPGLTPDTHSSPSEAGFAPLTPEAVGNLGYRPIVVE L199_008357 MSTLRPNSQSQPSGEDRDFLSNFLSDMTKQGLSSLHSPQSVPVC DVSHDGHRVALVKLEDTKVYIQGLKFDQKERPFTDDMKTVERRVLRSIQVPIASRRAQ WSVKRSSEPRKHPECDGYYNYKIEMSWKDIDDDDWNRYLNQAALSPISLPPDRPETYT ASASTIDVPHLI L199_008358 MPVQISGICCPWCPISSQLAAPLTNLPSMRVLCPNGSLVEKLVI VSSARGHRPVSPCATHYRVPVP L199_008359 MSEHSTLAGSSLPNNNINMNEKNKNIINNGVDNATLTDQNGIMN NDKEISTRSEQVTNSNAIDQEAQQSLERHKSKQLREGASDAEHLHSPLAELGQARKNF LLFIFSVATFIDICNVSGVAVAVAQISIDIKLNQSQIVWIITSYSLCFAAFLLFAGRL ADLFPAQVVFEAGFFVLGILSLVTSFVTSNKYGFLILRGLGGIAGAMTIPSAYHLTVH MFPEPKEQQGKLALLGMAGAIGNVLGLVLAGICMLANYRWFFRVLAILCVLFTVLCIF LLPFTGSTYIPDPSTPRWKRLDIIGVLIMMGALITFILALTQGPIDGWGSASFIAPFV LSFPLAVGFFFWESKIPPKSAVLPSSVWQITNIVIASLAVGIAFPFWATSQLLYATWF QQVFRWTPIHVAAAMLPQGITGLLIGGLTQAVPQIITKPRWSMAIGGVLIIVAELLQV FSDGGAGKDYWKYCFPAFILGSAGAVVTYFASAINLIAYCPPEMAGVAGAWTQVLAQI AGAITLAVQASFEGSGNGDWKLVGRRSFYFQIAWTAILVIQYLVFYKNPGTPEEEHDA ARRRIAAKGGDAGVISA L199_008360 MKAVVQRVLNASVAVDGQTISSIGKGLLVLVGIDRYDEPSDATQ IIKKVLTARLFDDDQGGMWKRSVKDIDGEVLCVSQFTLLANFKGSKPDFHESMSTIPG KAYYTSFMDEIKKAYHPSKIKDGQFGAMMQVTLTNDGPVTILLSSRASTSSKSTPAPS TTVSRPSTPSSTKGKGKSKRPPETPSELSSVVPPVHPPKNSANSSVDVASISSITGTI ASVGLGHSGMVRESDVQVAGTGGSRDGDHGE L199_008361 MASNPNDTVAPRPHILLSPYVEYTIPSNTEEPISEVRYPDSPWY DARPECSDHFEVPLHREWKSQVTQGDLNAGHFDDITSKGDSPIKLCCKADLINETEHQ LQSVYPPEDTCYASSGCHIIAILSEKEKALMENASFLPGFASEDLKGSITLPLQDRDV TFSRYTENGTVYIRCTFAEVTDERMTAITLGSEMPSLKTAKGKTNYPFGEGARSDSRF EETEIFRLGVAAFAASSR L199_008362 MLTSSLFTSILLLSTSVFGLSDKKAHELSRSRARAHYARKEKQV STFEVDANFTSNSIEKRGQSYSNSRATFYDVGLGACGWYNSASDYIVAQNSAQYGSGY PGPNCGRSISISYGGKTISATIADECPTCPYGGLDMSRGLFTQFASESAGVFYMTWWY NDESQETTTSTSEKPTPTSTYTPPTSTYVEPSTTPTPTSTYVEPSTTSSAEPTSTSTS SSSSEASTTASSVVASASGLADTVLQTNATVPALANATGTISAEDTETTAPVAALGNL VLFNQAVAYLGHIVVVGAEN L199_008363 MARPDPQHEIWDKHLHPHLRLLLPSSTPPIHLEARLYLPLPLPP SLTPHINVLGEYSTVPKKLEDLDVGLREGLKDMGVERVVVASHPWGRLGGSMLDPVIT SHLITSIYHPYHSHTTDPSTIEGSVPDPKFLNPSGATTPSPAELCGRTAVITYNVRGV GLSGGSQPWLGTGHDPDDLAEIEKIGVGLLGDSVKEVFRFGYSWGCLLTILAPFPTSH SLPLTKTLLVSPALTAFKGITLLSSKKFPAALKEHQRHLNDRPIWMVWGNKDEFTGSS TYTHFADDMGKEVIRGIEVEDAEHLWRRDEGEKLREIIRNWLDS L199_008364 MSLRYRATRFLSSPRRPTTLNPPRLACNKLFLSTTSRLNRYPQS QAALAHSPAPSASYESTYIPPPPLSTTSRGDNEALKAHFDLPHTITTSTTTSSSGLFT FPPLTHPSSLRPLTERTLVQASAIVERICNAPLDPSGKELRLVVKNLDRLSDVLCGVI DMCELVRNVHPDQQWVQESERTYEVLCSFMNELNTSRCLYESLSKAVSYPHPNPLTSA ELKVAQTFLSDFERSGIHLPPAVRQKFVSLSDSLLSLGRTFLSFASSGPSTKPLIELP EPERLLAGMGSQFIDSLPRKRRGGAAYIVPGSWEAQMISRYAREGEARRLVYIGGIQK DPERVEVLEEMLKKRAELANVLGKETWGDVTLSDKMAKNPQNVFGFLDSLTKHHKPVA ALDVGILQRLKATTLTGNNYDLNSTSVHLPPLNAWDRDYFAERYLSTLAPTSSLPPIT PYFSTGTALMGLSKIFKKLYGISFVPRSVDSGEVWHDSVRRLDVVDENEGTIGIIYCD LYSRTNKPPSAAHYTVRCSRRVDDDDHSGDGLPAGWDEKYGGRGLEVDGETLKGKEGK FQLPVVVLTCDFGNVDVGRPALLGWNDLETLFHEMGHAIHSMIGRTEFHNVSGTRCAT DFVELPSILMEHFVSSPEVLSSFATHYLTNEPLPIPLIEAHLKLNQSLAGLETHGQIC MALLDQKYHSLKHNQEIDSSKIWYDLQKSIGVIQPIENTAWQTQFGHLYGYGATYYSY LFDRAIAGKVWNTLFATPQRGGEGVLSRDGGEMLKDKLLKWGGGKDPWLMIGDVIQGN EGEIVSRGDERSMKVVGGWMIK L199_008365 MYFDLYLPFPLPPDIDDGQSKKSKKGKGKAVPTPTPSTPTPVKK DCWAGIESRDREGFVKKVALSGHLGYSVIGLTVSPHEPSNHVIPSPFSSGLPFPDLDP RSSSYASSSGSSSKTPLVQVSRYHMRLDDNRVHPLTSQNTNTLKNYDILSVAPTSEKA FQLACTDLSNPGPNQISIITLPLHERPFTFRFNWKQMRQAQRNGVVFELLYSPALYPP SSTSPETSRRYRQNFLSNAREVIRITGGKGVILSSGPNGDPSTGLRGALDIVNLATMI GMPSNLAKESISNTTKNVLLRAQARKTFKAVMSIPKLIPPVDDSDNSDMPSSKKRLSD TTDVEQGNNAKAKKVRV L199_008366 MAAVASSSMMLTSTSGGSSLHQAVDQITNEVSAGFNVLQHRDRF QEKALRDVTLSSNDSAMMLDPNNPILVREEMESQKEYFRRLKFTYLEQEAKRHFLSSI ILEEPPTVEQGENEERERINAEKKAILKETKLTIEDMRSMTVKLAEDNAQKHKQVSTT LSEAQVLQKQIRDMELELARIKATHPPENRMTTTQANETLDAQIVEMERLTDAISSTQ SQTDIAREEVAKVAKEVQRLSRDREREEARAKEVREGREAGDTKVDEICRWYSSSLSF YRSLLGIKSVKAVSDNELHMEYDVPNGPVTLALIFDEVTKRFADARLIGSDLDISESV GIAAGSNDVPGLVADVLVRLRPA L199_008367 MTTISKAISHHVRLRPSHPNDGKPNPDSIKISGNSIKALNKEGD KRYHFDLDKCHGQTSTQEEVFHSVRPIIEQAYNGQNLTIFTYGVTGSGKTHTMQGSRE DPGIIPRTVQAIFRQRSEPRSSTLSIAFSYVEILKDEVYDLLGDRSEPRKRDIRMSAE GLNIIPDLIVQPINSVTEFEVIYEVAAKTRKTASTKLNSSSSRSHAILTLYLDILEDG NPSNRKCGKICLADLAGSENNNLTGNNKERMRESSAINTSLTTLGKVVDALNLNAERG NRDSAGVFVPYRESKLTRLLQDALGGSSQGLLICCLAPGEKFARDTINTLKFAKKSKS VENRLPVADNSQRRASAPLPRAIKPINTNAALKVHVAPRQSAPTGGRTALSSISTNSR PARTMMGRNQTGKENDLGVFVKQEKGIDIKGLTDEQLNQKIQEIVSQEIEKERNDPST TTQSLNVVFELPKIEMTREEIDVDSFSAEERETRARVIVSHARKLHHRGDVQQALSLY KKAYEYVPTNKKLSTRITEIQLALEGILPQGTKVAQQKDEMRKSGVKRCLGHLDNLAD ISQESPMKKGKC L199_008368 MSAQTKVGSWLKKNDGTDAGEAYESESEVSADGRPNGAVDDDDE VDEDDDRTDVQGKREVEVDWESIGTKLRPSLMDRSKKRRRAFIARYLYVSDQSPPSSQ VPTVMTSILSCIPLLTDTDHIDDVVQILQELVERDEKAPEGSEGKLKLGDKLVKWTTI EVEKAANPTKVIPPRTLFAYLFLTLSLLHTLAISRGPAETFVTSSQGQDLSLSLALVL DAMSLAQNKMTYVKRVARMRKKTGIRTWRVLRELRPALPAILHSLLTRSASVPSRLAV LISHVIGVALRLRPAGNGKEGDSQDGREIVEKEKDAIITYYSTNILSSKTAPARHAST ALSEFLAEFVTYDILVERLIPAAEKMLLRSPEHALELTADLLTTCPHDISPILPAKLV PSTLSSSKSSNAETRAKSVILMRAILGRCNNEKVQAKIATDILALPKTGKTASPEHRA ILFTMASQLTPSDTVSSIVVDILPGLVAKEGNEPAFHALNSALTPHLAHLFTSNKAVA TAASSALVKDLNSTKIPTRRGLSVAIGEAIWTVKSAGQQFSVEGEKLLGLLAPALEVN LKAASACLPANAGGFLEGYVALALALGPLNGIPAAAKLTGSPALEGILATSPKPSFLL NDKVHIKLPAEEDEKWLLRSLESIVGSSATKVNTGAARIAIGHTFIHLAFESKSTAIR RETLSVVSSLVKKSPKQVSRIFREALKSWLRSHDERKAALKLKVSAEDDDTVTSKSRD IGRLLSAIFVASPETDKLVLEDLAVDYIVLAHHPEISPEAQISWISLVQGLGLDPAVI AIGEKDRILKNLWDAAGTPPEDPRLAEAAYHAVTTLAFIAPSIYVTTILDRLREDLDP ASLDFIGLEERGIWATPADQLFVDVLNPKKDSGPENKNRKDYATEKWEQEIRDSLAKK KSTQIGGKLSKQDQALVTAQLAKEAEVRSQIAKVQGQLRRGVELVASLTNSLSEETSK HVDEMAKVLLASVFSAGHFLVDGRAFEVFIGLGTLAAERLGETRRMLAAAILRSHEAP LVAEDYLEEPVGELVTRLLHQIHFIAEQTPLDSTTYSLTSLLLTQVVSKGGVGTESPQ SDEAQEQLILVVNIIAACVGEFTNNAYPRLQTIRDLLHVIGTYSKLAKDAASALADLG AAIKDVASHAEILEMIAGTLSKDSNVRNAALQALQPVDLTELDYSEELWIAIHDDDEQ NANLALHIWEDNGLDLPETYLNSLLRYLSHGSAAVRLGCARALSDGAEQHPNQVEPTI RGLQELYAEKAKLLVPEYDRFGMVIPETVNRPDPWEARVAIATALEKLAPLLSTTLIS PIFDFLIKQEPLGDRHSEVRRTMLNAAISIIDIHGGEAVTGLMQRFEDYLGSATPSSE TDDYIKEAVVILFGRLARHLDSSDSRIPQVVDRLVDALNTPSELVQSAVADCLPPLVA GMSEEEVEYLVDRLFSTLSTGAKYAARRGAAYGLAGIVKGRGLQSLKDYDLMDKLKEA GEDKSAYQSRQGALFAYETLTSTLGKVFEPYILELVPQLLALFGDGNSDVREATQDAS KVIMSKISGHCVKLMLPTLLDALEEKQWRIKKGAIELLGAMAFCAPRQLSLSLPTIIP HLTGVVNDAHAQVKSAANTSLKRFGEVLNNPEVKSIQNTLMKALADPTARTNTALSTL LKTTFEHYLDAPSLALVMPIIDRGLRQRSSETKRKAAQIVGNMASLTETRDLVPYLNE LMPLVHEVLVDPVPEARATAAKSLGTLVERLGETNFPNLVQELLQTLRSDTSGVDRQG AAQGLSEVLSGLGMEKLEGLLPEIITNTASPRPYVREGFISLLVYLPATFGHRFAPHL GRVIPPILNGLADDSEYVREASMRAGKMIIANYSSKAIDLLLPELEKGMIDVSWRIRQ SSISLTGELLYRVTGISGKVELEEDEAPAQSADVARKALLEALGQERRDRVLATLYIV RQDAVSIVRQASIHIWKALVQNTPRTTREILPVLMQLIMSLLGSPEVDQQETASRTLG ELCRKNGERIFGEIIPILQKAIASPDARSKEGACLAFADVMAATNKDIIQDHEDTIIS SVRTALVDPEPSVRSAAARTFDAMQHYMGAKAIDQTIPTLLEAMRNPGETSETALKAL QEVMSVRANSVFPVLIPTLIAQPITAFNARALGQLVKVAGSALNKRLDTVLGALVKSL EIEKDEEVLGELNSAIESLLASVEDSDGIHLLEMLLIGWAKDPDPVRRATACDVFGTM CQVNEADTTEYRVDWIRILITLFDDSTEEVVTAAWKALEHFVKTVDKDELEDLVVPLR RSIESSGAPGRTVPGFSRPKGVQSIVPILLAGVLSGTQEQREQAALGIGELVQRTSEA AIKPYIIQLTGPLIRVISGQAIAPQIKSAILITLTVLLEEVPQLVKPFHPQLTRTFVK SASDPAAVSVRNRAAAGLGALMKHQPRVDPLITELIGGIRSGDNEIAPSMANALAAVC KSAGKNIGAGAKAQIVELVEEAFTAGRNENYNTSVSRIVSGLGFSDPPSIESIVKTFL ASADYQPTALTSLMILNILEETPDTLYELGVIEDITRKVMLSISSSEGGPSIQRPARE SRELLRKGRAGDDEKVQALLR L199_008369 MPTRFSNTRKHRGHVSAGHGRVGKHRKHPGGRGLAGGQHHHRTN FDKYHPGYFGKVGMRHYHLLKNHYYKPTINLDKLISLPEAKVDAPAGTVPVIDLVHLG KFKLLGKGRVNQPFIVKTRFVSKLAEEKIKEAGGVVKLVA L199_008370 MPINPTPLIPLESEPMDIDLCETSSPPLSDGFNTPLGIFNSIPV HQSTSNVLPASSPPKDFIFEDELAMNLAYLALTWKPLNPDNTGTGTGTIDSPTAKIPS HPLFPCPIDLHLTLGEEINTQEHEKSKENPSKPKRFHPYQKKPKPKPQDKEVGYIIHT TRKPEDRSKYLDPSLDTTTFWHFVQERNDARTEERREALRIAIARSERGSELGSSSIW DRDDRSVGFSEIDEVHTRYGLEDEHTEDEDKVFKNGTNQFSLSLHSRMEKEGSLRGVE LIDTTLKKWKVSHNKNFLKRLVSNVERCNGKTKKKSEGR L199_008371 MHELTPPILSITPPVLAPEEIMLNVDIDSKMDMDIDMDQEYFDP CGHYLPQIIYPESSLQSFFNLITSPSRAMIDPRVISQLIHVDELQDQDQKFEIEHQGM RIEDLSTPSEDEPDSQTELAMTTGQNDNGGPSPPMLPHNSTRLTQTLHTPSLRVMPRI TSPIQATFATGPNLIPLGRRPPRFFGNPTGVNNNIHPQRLPFFAPWIIVSPKPNDDHQ NVPL L199_008372 MENQTLYPPILPVYPYPFGFFSFQPQLNFPAWNDESPLHLDLPI WSNMFNSTSFHPLQAIKVPPGDKLDSQDRPFGCYKPDGRRMEWKKGERNADTSTELSG EDKLRGLKAAAIRSREAMKKKREALRTTDDDGPIEVAGTITTELIVSVNPPSGNSRSF LRDPPPHLRATQYHSVEAPLTPTPLPSQDPRIVHLSMTQHSSNTKLGAITHSKLGPFD HSIDLSLKDTEELDFIPFPPSSPAPSSHLPMVKSRSPDNLSPPRHVDQSPIAKTIESV TTQKSPTLSVGNKDKKNKKKRSKNQPDSTPQGAARPRGLTLEEYLHNEVHNKAANKKA RKEAKRLKL L199_008373 MPKIDESISQESKPYDKPNTSPKKTKQITLNYPTIRTQSLGHSS GKKNKYDKNLLVTLFLKSSKEVNWTELGKDIGKTPIQCKDVWREMKIATLMMVLNSCN PDWEFIAASFPGRTKSQLYDVWRKVILPRLKRGDTIE L199_008374 MAITKSLKTYGKRSPSAKAKSPSSSTPKPKPKAAPKPKGEPKVT TKAKSSKKDISPTKEHTPADIQKEEGDINDDEKVKIQKIILVLMENIKNVDWFDIAKK VDLASMTSSSGIGKGKARGKKIGGTAGGGKMGGTELREYYQNTIFLLFKKGDISSIPD IEPTKNHTNKEETSPMEVDQSMSTMPAEEGEHEQASLLSSPVPSIPSDAVEEEDNFEL DNEEESQVPKKRKTPTAVPPPSSQKRNVKRGKKDEEKDKAKPKTTTARKGRKEVVVQI GGVKSGDEYFSESD L199_008375 MTLISVFVTSPDTHSERRFDTSLTIGQLKDKLVPITGISPQYQV LSLYPTSDSVLSGSSKAIGQLSEENRTLVEYGVKEWQCIKVDNTDPNYRPGEFTDESN LERFELTNEEYESRNDTVLSHLKANKLGRFAPTPTNLTYPPPPPTSYDPSIIPGARCE VSHGSAGSGRRGTVRYVGEASIGKGGVWVGVELDEPQGKGDGEVEGKRYFQCSPLHAT FIRPDKVTIGDFPEEDLMASDDDEDEI L199_008376 MSVPSTSPLADVLKISILGNESIHCGFHLLPYIFSTITSNLPSS AYVLITDTNLSSIYLDDLKHAFNETGSKARFLVYEVAPGETAKSRKVKEEIEDWMLDQ KLTRDTVVLAFGGGVIGDLTGFVAATFMRGVKFVQIPTTLLAMVDSAVGGKTAIDTPH GKNLIGAFWQPSYIFVDLAFLTTLPPREVSNGMAEVVKTAAIWKDDDFALLESRSAEI SLAASTKPTTSSTAGRFASDRSHAQSLLLQVVSGSIYVKAHIVTIDERETGLRNLVNF GHTIGHAIEAVLTPAMLHGECVSVGIILEAEVARQLGILSQVAVGRLTRCLQAYGLPI SLSDRRITSLPASSQLSVDRLLDIMRIDKKNSGPAKKIVLLSRIGKTYEERASVVADE VIRRVLCEAATVISGIPTKSPITMATPGSKSISNRALVLAALATGTCRIRNLLHSDDT AVMMNALVELKGAVFSWEDGGDTIVVEGGGGTLSAPAKGKELYLGNAGTASRFLTTVC AMVSGAASTEKSTIITGNARMKQRPIGPLVDALSANGAKIKYVESEGCLPLDIETDGF KGGHIKLAASVSSQYVSSILLCAPYAAEEVVLELTGGQVISQPYIDMTTAMMAQFGIA VQRKKDASGNLLDIYVIPKGTYKNPSDYSVESDASSATYPLAIAAITGTTCTISNIGS SSLQGDARFAKEVLEPMGCIVEQTLTSTKVTGPPVGQLRALGNVDMEPMTDAFLTASV LAAVANTPALPERQVEGLPPAASRIYGIANQRVKECNRIKAMRDQLAKFGVETDEFDD GIIVIGKAATSLARGASVHCYDDHRVAMAFSVLACIIEKTIIEEKRCVEKTWPNFWDD LQNKIGIPVEGVELETHKQASTSAKAVTPVDQPQSEHPIFIIGMRGAGKTYIGRLAAD VLGGEFTDADDTFFEETKQSVADFVAANSWEEFRRVETEILGRYIQERKGNHVIALGG GVVETEVARQLLQSHVSKGGLVIHVTRALEDIDNFLSSIGNTASRPNWGETFADVFKR REPWYASCSSHEFYNVLEPVGGQSPQQHEQAMRRECGRFFNFIKGIDSNRPRLSADSP TAFLSLTFPDITPALAQIDELTEGADAVELRVDLLNPTGTAPTTPDLPPPSFVAKQLA SLRLATSLPIVFSVRSKDQGGMAPSDQPALYQSMVELGIRSACEYVDLEVAWPTDLLQ TVSRGKGKSHIIASWHDWTGSMAWDKQAVRSKYSLCSKYGDIVKIVGTAKTLTDNSKL SLFAEEINSQPGSKPLLAINMGSAGQLSRALNPILTPVTHELLPSRAAPGQLTVKQVL QIRNSIGLLPAKKFYLFGSPIAHSVSPTLHNTGFQSLGYPHQYGLHESDKVDQGVLDI IKSPEFGGASVTIPLKLDIIPHLDSVSEDVKIIGAVNTIVPQADGKLHGENTDWKAIY QATVSDLPANTKVGQSEGLVIGAGGTCRAAIYALYKVGIKTIYLFNRTLENALKVKDS FPSNYNIVVVDSFDKVVNPSVIISTVPGTSLVLPSSNDEGIKLPESLLGNGNGGVVID LAYKPYRTALLELAEIAGKGRWKTVPGVEILVLQGLVQFQLWTGKRAPERKIRESVMS KYLGN L199_008377 MANLGGDPTSGYDQDKSQGANETYSSSLNAQPIKITTPSPKTSG NPTPSFDRNRDASPPITSSPSTLPAPITPLSLRKQIMITITLSLTTVITSSSGQILNI ALPTIQDELEAEDGTLQRLLSSINLSVGCLLLFSGRVADIYGRKKILILGLLVFTIFS LVGGFLHNASGLIVSRAVTGCGVAMTTPSTTGIIAELFTGRSRSRVFTCFSAGLSLGG ILGLLIGGLFVSYVRYTWRSCLFCVAGISFLTSILVMITIPSDGSHTSSKHVDWPGAT LITTGLVLFLYAITGASSAPEGWRTSYIICLLILGIILIISFFFWEHYVTVKTSDPPL MRLALWTRARGRLAALYFTSFLAIMGFIPTLYNATLYFQEVQSISPVGTMLRFLPTEI AGILCSIMVALLIHRVPAYWLFVAGLLACGFGNMCFAISEQDADYWTLPFHGMWLIVF GIDFFLPTGLIFVSAFSLPDEYSVASGLFQTIQRLGGSIGLAVTSIIFDSQYKLSISR GSNEQMSYLKGVQSSFWLSAAGCWFGVFVAVVTLRGLGVFGKEGLQRSETREQDVEK L199_008378 MARRRIPTRYHPKSKSPPSKLKTRLDFLTYAFSRLPPRQLPFLA IYAYIIIYAADRGWVNKESLGKGGASIVGVLSMVTGLLVSYRFSSAITKWDEGRLVWA AVRITIRDGMRMLSVPSSSSSSTDDTSLQSSPVDLGGAEDQSQHSDNPKPSDLSSELQ DRSKVEEGKDPMAERVDELAGLLVGFAFALQHHLHGTRPLPQPPLCDLLPQAYLSSLK RTDARVRFAESHAGPSSLSLSELPSTNSDSKSNDDPSRPGLGRRGTGPRPTVDGSSES GEDEWELSNLRYKAEEAVTKLAEAVALSGSTIGDEGLDLELKQQLNQLNIPDNTDTES VSSKRQNVEIKTKTKAKSNLHSPNPPNLPLSLLKLIESYIIGLSANGGWNDSKRERGL NIVKALSENLGEAERLSSNPPPLPLTLHLSHLLNIYLAALPCSLLCVVGGYSLIFITL IAGWCLLGLEALISEVGGVFGSSENHLPLPLFTRQILDESLDISPQFLTYYRNRLISR VQDSDSLEYQDEINELDRKWRRLEGEWIPSF L199_008379 MTSHPSPIPPKSEELPSSGHVTTSNPLRTARSLVLLQLLSRLLT FTLNQVLLRLASPAVFGTAAIQFDLICSTILFLSREGIRNALLRTKSKPPGDVVQKDE RQVKTLSMIPFQLGLVISVIVGLIYIHSSDSTTTSQSDFYPSLGMYILGSLMELSIEP VYIAIHRSNPPRLGVRLRAEGGMAILRSVVTVISLLALGDKNALLSFALGQVAGAGWL VVIYLKEVKWDVKSLFWTGKVEDEDRFNPHTVSLALANTGQSFVKHLLTEADRIAVAR ICPLDGQGGYAVAMNYGSLIARIIFQPLEESLLLHYSSSSPTSSHTISLYTLTIRLSL YLTTIILSFVQPLYPSLSVILLPRQYQSTSAPSILHLYLISYIPLMSLNGITETFVTS SATPKQIREQSKWMIASSSVFALTLFGMTSTDTSTSTIFNKWSWNVEPTREESLILAS CSAMLVRIVFSLLHAKKTFWSKFGVKDVLPDLRIIIWNCSVWLLLDKLAKTGSWKVNW KGWIELIGAGGVLGLVTLGFIFLVERGRLHDLRSSSKGKKVE L199_008380 MLLNLTILFALLPSLVQAAVPAPAAQAVFPDRDRVRHTYKEYLS GSSEPRHPSPEYKAKAAQKAAKETLDLQAAKYGYEDAENAAFYAMRAEAGARDPNEKA PFPPYNTWDAYWGLKTFGHTKPVRCMTADNTTLYDIAILGAPFDTATSWRPGARFGPG GIRGGAQRLGGANRLLGNDAFQELEIVDCGDSRMTFYSNDLALATLEDDYRSLINRPI STSFKNGEESLALDGQHHPRVMMLGGDHTIVLPALRALNEVYGPVSVIHFDSHCDSRH PDKGTLTHGDYFYFAWKEGLMSETNIHAGIRSNCDIPSDLETNFATVLADEIEDIGWK GVIKRIKDRVGDSPVYLTIDIDTLDPAFAPATGTPEIGGWTSREMIKILHGLKDLKIV GADVVEVAPAYDTTAEITQIAAAGLVFELLSMMALTPVVKT L199_008381 MPQDHRYQPLSKEVEAEIHEEVTATKAKKKYFETKTILALLCGV LLVPTATWIVGPTNLIEGELVQSFGSEGRQPVSLCEATDLLSIQAPKKNIWKNLSIKE ATDIRDWLWQPERGLNLTKSAIAADNDNAIFLIEAYIPPKAAALAYLNGSAEAPLKYA HAVVHHGNTEQIVDYLIGPLPLSSETSMRPLTEIYHRPEIPYNAHGFNPNSTTMGLLL GSTFGPMSDITMDLFGGISKGHANDTLIGSATAPMSYDGSWRKAWVGLKLNVPGHYLF PVDLYAYFDLSGTDPSQWKLLKLVYNGQVFASEAEFRKAWSEGKLKKSKKPLLNDTSE WATRTRKNKGGRRDLDDRAGPRSVSFDGLRFRVDQEEQYLTWMDWSLYLGFERDMGLN FWDINFKGERIIYEISPQEAMAQYSGTDPHQATTVFLDRAFGMGASVKELMVGYDCPA EAVYLPATVHTATGSSTRLNAICVFEKDSTKPLSRHTGWLKDEMGAIKGYELTVRSIS TVGNYDYLFDLTLQLDGTLELRVSASGYLQGGVWDASQAPYGHQIRDTSMGSLHDHVI NYKVDFDIAGTRNSLMSAMLEMEETTAPWVDEDWGETFKQQRVVRKMIKSENDSKLEY AKNMEGMYIVTNEEEHNSWGNARGYAIHPGASTIHLTNLDCKRTENNVNWAKHSLAVT RRHDNEPYSSSMWNIHLPGKPTVDFYKFFDGESLEQEDLVVWLNLGTHHLPRAEDSPQ TLTNVATSSVLLTPYNFHDYDVSMDALNAIILNAPEPGKPWKVDENGVKPSYCMPRKL PDFSYTGLLQFEEDGSPATPAEIIEQRRIAESWHGLHAQI L199_008382 MPSSSKEIDRDPLEEDSSEAIHQLPRIGDSDINDNANKLSEKYI EPTVSVNEVNDKVYEPKIEQEEVIVVENADQLVAHVVDPRDDPDLPVLTFRFWFLGAG LACFGAVLAEIYYFKPQNASVSALFQLIIAYVLGNLMAAVIPSKGKWRYLNPGPFNIK EHAAIVIFSSTASVSTAEAVTIVAILNLYYNIEINPGVAIIQTWATQCIGYAVCGVLQ SALIYPTYAVWPETLPYMSLLQSMHFGGMLNKKRMKFFWIVFIAIFCWEIVPSWMFPL LTAVSIICLADNGRHTVVRQLFGAGSSNEGLGLFSFSFDWILITQAYPLWWPFNSQWN SWIGLLICYALMMGGYYSNVGEGRTNGLPFMSTSIFTSNGTSYQQSKILDSNNALNMT AYQEYGRPYYTSTYQLSLATHNLSCGAAVMHVLLWHYKDILAGWAGIRLGNKNLDVKD PHYEKMKAYKVVPQWAYLIVFVVSMAVSMGTAYYGGHNTIPAWSVLIFTLFGYFFAII LGFLKAVTGFDTSINGIVQLIAAFLHPGKPIANMYASLYGYYAPLQTLYMLKDQKLGQ YAKVPPRVVFIAQFCGTFLGATLNYCIYRSIMSAHREILKDPVGSRIWSGWNLQGTNS KAITFGALGKQMYTAGGPYWFIPACLGIGLVLPIPFWLMYRRFPKQSIWRNLNTPIIT NYMGWLPYSVNGMWWSGALIGFMSQWWARKYRPRWFVKYNYLLSASLDGGTQVIYFIL NFAIFGAAGAAKDFPYWWGNPDPSKLSVDRCQAV L199_008383 MTFSKWQLAVLLSARVVEPIGYTILFPYVNKMVENLMPDVPKSS VGKYSGAIESTFALSSVLFMYQWGKLSDRIGRKPVILGGLCGVAVTHTLFGMSQSFWW AMIARFLSGALCGNASVMRAVLGEITTKDNEGWVYPLWTVCWDLACVVGPAIGALLQN PATQYPDSWMAKSSFLRKNAYFLPSALIGSMSLLAALLVLFCLEETNPVCRNGAAVKD AKPTEETPLLTEEPIDAIEALPPAHSFFELVSMKAIQQVLISIFLLTLTAMSFDAGFV LFAYSSATLGGIALSPASIASCLSVKGGMSIAFNLLLFPQALKRVKKKTLYRSFAACW IAVFMLPPVMNVLVLYSEDGGGGSAASEIGDGTWVANGSLRYLWFMMVPLLVLYVFGD LCFPLNMLALNAAAPSASSLGAINGISLITSALARSMGPALFGLLYGVSAERHFPLVW LVFGGIACLSTVQSFRVNSDTNTDNRKGVEVNGDEETLES L199_008384 MPMSDQLESDSPGEEEITTVQVGTSADAAAKQDEIYKPRFKRSR TGCLRCRKGKHKCDEEKPVCRRCRHSKGECIWPILLRVCGNEKSRNPDEETTDRQRED GQQPSKKRRRKEAARIYEQGQDKGHHAGPKTSKRQEGEGARKDVYFPLNEEQMVGQGS DFTFDYDSDIAKLTRTVKTVATARQSKNGLEKEDVMDLVRAQGEDGTLARVPTEPLVL VFPNDHERELMHHLLCFGNILLYAVPRANQPIQYLNLAQCLTNRRGTSIETDAVLLSL LSVAAVHRSSIVMQQEHKYLKSPPVGRWGSPRPGHIALIDQPESDHMPIGLGGESSQS QVTMRRHEGEGEYRRAIGNQFARLALELCKTGVLLKLASGSRSSSLTPTMSTDNHAVQ RKEVNDGLEEISILLLSSTVSIIISQALNGGTLWQEAYATALTIIGARGGPLRMLESA KRRSNEEIMRVRTLLENLVIVDVCWCLASGSAPTLMTEAFAPWWFDFVESDEDTVHNS YGVDRGVIEMLNRVNILVHERKLLTTALDPTYLQQHLEKVHDLMLELSVWENDLQKED GNRRPARVALGNTVLVHTIKIVIHVDLLDHPHSHSEVQASAEIAIGNLGRSSAGSAVV ALLLPAIISGSMMFKEEGRDRIRKAIMELRSTTAFAFDVEEALGMLNKLYALRDQGQV DPSWREVMKAGLLLI L199_008385 MERQPSVFTKLRSRHTYDSIPNDGGDEWYIPYSPNSRPNLPTRQ SGIGLSPISPTKPSTNYSMFSNVFSTTSNAIAGPSTSKPFPVRPATTTPFDTYDNNPS SGVARLLPSSRKQGAMYKSPSYNSISDFNNKSERIPSSSSVPTGLQAPNILFSPLNRE LRAGSSSNTPQQKSNPPSSLNRNPIQRSQPINGMTNHRQRAVSAPKPRKINPYEYKAE NKRWAVPTMCDMFLLPRPTLLPHEITPPTTPEDEKEKRLSVGSMNSTSTTNNNETVLE KGRYRDEEREQWANLVKKRGRSLSLGSTAPPPGAPIIGNARARSRERSIDGSSRRSRS NSLIRALTPSTSLRKRSASFGSRFTNPNPNSASSSNPHSRKSSVIRRESDRKNSGRIT SFLNLDGHGTTRTAHPSGITSKDYGHSSKQRFSAPRYSSDDYMYISHNNRKRSSSMPY STNSALTRSDPLLANFATAPVESRYPITAAIPSGKSLQFKQPSVADKGDKGGVVIISK GASRRTPFSAKYEREGTFRPPAPLNLSKPLPDIPKDDISPILPESGPFISLTDEIGVA ISPDIVGVDREVIVIDNEDMSEPKTAPLPKTTDPLSPKTSTAKSRLSDGGSATARAFL AKQQQRARTKRAFQSPSKGPVAHRTIRDSTAASQTGHLSTSASTPTTASTSISSALSP SSSTTSIKSDGPRRKTALEEAIGRSRASSVGTVEQQQAKHSPTRMALMENRPNTSESN KKKFGTMSVPSPPRVSVQSPTQKDQHQRFPSPDLSYSISPAALDYPISTVPSPRTKSG PSFLDVRPNIVHGESNVSKVTVYTDASEGWSRAGTSARSTPISDRKDRLSDANSEDSP GQTPDDRDFQGLFFRTPADRNGSFSNTPIPGQYAPSSTNHFSLPRPIPEGPVPVGLGY DMDPTPHSTIISEDDQPRRPSDGSESTAEIATPNMSSQPQQPYMGYLDQVGTNHNTTN TARVQQRLMAPWNNRRPVTPDSPDLDSDDGDEEERDERSQDTHETAIPILSEGHEFPF PRSHDTIRNLSQAQAQAQAEGEESATQTTPHGKRRQSRPHAPLSPGTFGITTTSTSTS STSPSSASKHINFAPSSFQNYSLAPHHHHGHTVGGLSPIPGSVRDSSTSPVLGHDHRN SAAVSFFDEFPTPPGDSHSH L199_008386 MSSSLDTLPEVKVQHKSAFGQLVTGPPGAGKSTYCHGLHQFLTA LNRPIHIINLDPAVSNPPYPCSISITELVDLDSAMEEYGLGPNGAMLYCLEYLEANFD WLIDRLDEVLENDGGNGYVVFDTPGQVELWTNHDSLKNMVEKLGKLDYRLAAVHLSDA HYITDASKFISVVLLALRAMLQMEMPHVNVLSKIDLLSTYGELPFDLSYYTEVQDLSY LLAKLDSEPRAAKFGKLNKAMVELVDDFGLVGFETLAVEDKSSMMNLVRLVDKMTGYI FIPHNQDSEDDMNAVNTQALFGSVMSGGNGNDVRDVQERWLNNKDAYDEFEKEQWAME WEERKRQSTRSKHQHDHEHQ L199_008387 MSDIDFGITVEVTSYWASDLKVHKATFLNQSFVHPNEGCEHCAP PSYNSGAGRAFQLSRQHAASEGQLRRPHALDPTSMSGLDYISRDILGQGTRAILSQAT APNVKSTCRSQFWGTRLNNTNAERLSTYPLNQRAVRTSAIPVDRGVDPDWTVYKLKHT WESALNAPSQNDMVNTLPPGEYCP L199_008388 MSSQPVIGFLGYTGLVGSHILPHLLEYHKQGQIKLIILHRKGSD TSKIPDDVEKRLIDLSEGGKEVNKKAVEGLQVVLSTVSGEGLKSQIYLVDALEGSTTL KSFVHSDFGTNWTAKELKEAPGLSVIGVKEDVVEHAEKKNVPLTHVRVGAFDLFVFKF KAGGTDVKGNVVQEFRDSLKNPLRITTLPFLGQATAQLLLHPDEIANKTYQVYDHEPT GQDFVDVLTKLNGSKPEITHYTEEEYQKAIQVPGTSAILAAIKAKWGDNNWGATSPRP EVKGWKSVSFEELTTEWAKQL L199_008389 MSVDLQQSLSELQQLFDAPGGSRDEVSKRLAKLKLELAQTGLYF APPTANPQDLIAARSILEIGSFHSLRSGNLKSYAQYNFALQPFYLNLSSIIPESPNRP ITLGLHLLALLSEGLLTEFHTLLETLKVEQLSDIFVKLPVDLEKWLMEGAYNKVYRAK DRVPREEFGFLLERLMGTVRGQIASTIETSYPSLPLKSAATLLFFKPNETSQLNEFAQ SRGWELSPSQQVYTFPNSIKPDIALAALQQSSESKNTNVVLSLEKGGIKRGTPMVNMV GPALKLAQQLEAIV L199_008390 MSSSAPQTNGHADTTIPASTPAAGSAQQSKIQPNDVGWQFVPQY YNFVNKQPHRLHCFYNKRSTFIHGEEGEESQIALGQQEIHDRIVAIGYNECKVYIHSI DSQSSANGGIIILVIGEMSNNHQPWRKFTQTFFLAEQPNGYFVLNDIFRYLKEDVDED EEIEEESQPTQPVAEPTPAPVEVETKPAEQVTQEPAKAPEPEPEPVSAPAEVKQDAVP EEAAVASVPDKDVAPSEPAPAVEEPVTSAPPPPAEPSPAPAPAAPKPAASPSPAPAAP SPAPPSAAPAPPAQPAAPPKPKTWASLAASGGKAWSSAVVSNQPAASTPAAAPAAPKK EEAAPAASGSADKQSPGVTNALKVNTAHCFVKLPNWSADNQAGGESISENDLKNAASR FGDVKKVEIVKSKACAFVEFYKVESARKAIIASLPSAQGGEDGIKIDGSSGKINFETR KEKDERRVNAPQGQGQGQGQGQRQASNRGGPRQGGQGQGQQPNGGQGGRGGQRNQGGR GGRGGQVNQGDRQKQ L199_008391 MSKINVGLFGFTGIVGSAVLSPLIDAHKDDKINLIVLHRESSDL SKIPSDANVEKRLVFLDESGVKKTKSVVGDLDVVISTVSGGGIASQTYLVKSLEGSKK LKTFIPSDFGVNWTKNEYETPSLAPIAQKEDVVKLAKELNVPTTSVRVGIFDLFFFAY EFLGTDVKNNKVEVYRNALKYQLRITSLGYLGYALSQLVQSPSKIANKTITLYDYAPT GQEIVDVLSKVNNKPAELVEYTAERYEEDQKDTFPAIAAGIRKRWGTNEWDNEEGQVE KVEVEGWKGQSLEELIKRYV L199_008392 MSIEIPKTMKAIVQQDKERWISIQEKPVPQLEENEVLIKVDYAA QNPTDWKHAYKASEPGVINGCDFAGTIVKLGSNLKIPLQIGDKIAGCTHGGIYKDRGS YAQYARIESDMCFKIPDGLKPEEAATFGVAWVTACQAILESQKHAFPPEKVPEGSWYI IYGASSSVGLFAIPLAKALGYKVMGVCSPHSFDLIKSYGADAAVDYHDKEAAIAKAKE ITGGGVPLAFDTISESETWKMTVGMMGDKAKQLNLILPPPSEEDKQKYAKGVTIDWTL MYTLFGREFNFAPVAPQPMIIPAKPEDRKFGEEVFAKTPELITKYGIKPNPIDLRLGG LDDVSNGFKEMKDGKVSGKKIVYKIA L199_008393 MLVLIALTAPILTSVYHYFSPTFQPLLPLFRKSNHTAIQFPTRE LSGGRVTLLLVYHIIRSLARRIGLGPRLSIIGGDTQDGAVYKIKDDISLSMPFQIISS DIENYLQCLSSSSSSPSITKQDILSSGKHLQLLLSALTEPSMLLLLSKVNCPIDPIGS VNVRNKFTLIDLPLCQRSLQNTLDSESNGGPGLIARSKLDQRVKKVKRGWEFTIIVEL RLDQTGTETGTGSAIYKQEFTMLQFHKHPQQPSIPPPNPTTSIDVESIGMIDIGKEEP WNWARLSKDYNPIHTYSTAARLLGFKSKIAHGNHIVSKAIQQLSDQKIHMDKGMEGWI KVEFKRPIIVPSTLEIKMYPQGTEKKEPFVDICMNGKVAITINFGNEWQSS L199_008394 MLAFVVLPFLSGFFLTILYQQRCPSGLVDCYKTLPLPTISEAYE NVIGPIKPFVPSSSWLNSYHHYHHYGLPHGSSASPSSMVTKELHPHHQLQFFVELPED VMETDYLANHPTLTLTYQRTSTQIHSFPTAPSQCKDVELESKDNGEMISPSLSRGIEI VGSILRSLSSEGARANARAQMSKVVKEYVNHPVVTFQEHFLLLKQPVEREIRGLKVDR NVVINTVVGYYLSIALKSLVKLMARGLYLIVFRLIFRPLARTFGSAISLFRKRSDQSD HDDDHPDQDHGRPEPPESKANQDLKSLGNGALSIDGSETASQMTLSSSDPVASLWACT SSRLNRTSSLPCLSPTSPGFCLWREFDSRTNQIPHLHITQQDLSLHIPSALHGQSTSQ VHSDDLEEETGALAPYLMKTVPTHNANGSLAFDNYDDTCLSATLRQWPRSSPDVANDD LKDNVNEKVASDQSLIQTTWPSLMMEWLRSISKGRLLLLLERVMKVIIIIANTLPRAF VTPNESLTVFGHDYIFPSPSPSSSLPDDAEKDDEERELQPSRSEVPRGYKKERPVEHK VDGSAGVSPDLHLPRHKRNRSDQSLKDNKKERLGGPQPTSPIQGSVAESETDERRVAE RVEEIVRQSFPPAVNEGTSGPSTQSSKEAGASTPASREDKGKVPVRGQEEENQKTPES DIVYQTRKDFKAAVDKSAGNLPSSKLISGLTEAGSSRPTDKLRPDPRSGSTSRQPSED RDTEPRPRRKRVRGRKNKKGRGGQSDIEATPPPQSGSKNGPSPTPPSATINLVDDIDE EAEYIEAVAQFLDQESIKEEERQEEEEVDKMLWDL L199_008395 METPTKEASPALQPIPQMQGDTPAPSVLEGAETPIAGPSSTPVP ATIKRTYTKKSKAKVEGLMAYTSAFVPGMYNIKIPAGDYLQKERNVDVARQISVARAK QEKVDIEEEKQRKIAEGVEVPEVNPLNYTLIIHPGSRNLRIGRASDFYPKEIPNCIAR PIKAINRGYDPPVPGSRAKRIAERALEKRQNKKRKNDNEEDVHMNGNGDRNGHGQDES DEDDGEGEWIDPVDTNIGHLREYLRHRLVQERLATDWKEGTRVKATNAKVKPENLPEH NDPYRIDWTESDNRPFYIGTEALRLPEKAGYKVRYPILQRNFNNRDWSSSQLLLDDIS TIIQESLRTELGITPKDYPKYSVVFIIPDHGDRVYVQEMTNLFLTSMAFKEVAVHQEA YCAIFSAGMSSACVVDVGAQSTSVTLVDEGLLNADTRIKLNYGGDDITSALVTILQRS NFPYKELDLARSQDWIMMDNLKNKICTLEEHLVANTPWDFYVLKTEGLTQKYVFRTYD ENILAPLIFFDTRLIDFKEKKGHGKFRLWGISDDKVTDDLTSSYEEPTGAMKACTTHL LPPPPAAEIAPIDNSETNNGDGEDKPNASQTGHATPEVKTTKESTPAPVPAPSHSQTS TSSTPVPERTAPSVTPVPATTNDQPAPPKPAVPELSAQQIITEASKSPLDAAIAASIS MAGTENKAKTAANSILLIGGGSALKGLGGFIADRLPPLLRQKGFPISDVSIVPPPRNL NPRYVSWKGASVMCNLESLSDMWIRRDEWEAIGTRSLKDRYLFY L199_008396 MGRRAKNKQADVKPLPGSVPDKSSTSRRQQAKKKKAPSSAASND QKRIKAQKGSLGRKPLPAHRRKKVDEVDEDDSDLDEALQPGELSEDEEEIVKVKSTKK GKKPASSDEEDFEERSGPVKQLEFSDSEGEDEDLNDDGESLPLGQHAFDLDEAPSDED DEELGDEFDLGSDDEDDEMLDDEFDEDDEEGDEEDSAFASDNDEDTEMAAAAEEGDDN DEDNIQTNIEDDLEETYTLPAVDRGGEEEEIEHGTSLREVEQRMRWLVGVCLGKDDKM SKGVPGKSRSDHLLQLQHDIATYFGYNTFLVGKLMKLFPADEALAFFESNESPRPVTI RANTLRTRRRDLAQALINRGVTLEPIGKWSKVGLQVFESQVPIGATPEYLAGHYMLQA ASSFLPVIALSPQPNERVLDMASAPGGKTTYISALLQNTGIIFANDSNKLRTKSLTAN IHRMGCKNVIVSNYDAREFPKVIGGFDRVLLDAPCSGTGVISKDASVKVNKTERDFQL LAHLQKQLILCAIDSVNPNSSTGGYVVYSTCSVTVDENESVVDYALRKRPNVKLVETG LEFGVEGFKSFEGKNFNPSVTLTRRFYPHKHNMDGFFVAKFKVEKRKKNTKNTAVEEE DEKPVKKLNDDGMIVEEEVGDISKFDDEEDQALIEESKRKALKKKGIKVSAKPVASKA DKKEDNSSSNGQIKLPKSKKMTEKRRSKA L199_008397 MTKKTVVVTGASGLLGRAVVSHFQAQGDEVIKLANSRAKKDASY TKLDLMDKEAVNGFFQTHPTIDGAAERRPDVAEADPEKASKINAEVPAHLSALANQRK FLLIYISTDYVFNGKNPPYEVDAQPDPLQMYGRQKLDGEKAVLAEREKGAEVTVLRVP ILYGQTEYNAESAVNILRDVVEDQSGKQYKMDAYQVRFPTNVEDVARVLYDLSHLDKP LPSILHYATPSPALTKYDMTQLIAQHLNLPIDHIIKDTNKPGPDATPRPENTQLSTKA LKELGVDVGERKSFGDWWGDYIAEGK L199_008398 MTDNLGSQQNTTIAGPSISVPTEELEKDGKHSSSPVSEMHQLPN LPQQGISELPNRTTSPRNPDDVDLSQTLSRVAIDDSDLPPPSAQPLSPARLFLVAATV TFTMCMSAAGSQALNIGLPTIQTDLHMIDSDLQWIASAYSLTNGCFLLLSGRLADVHG RKLVFVTGVLWFALWTMIGGFMKNGAGLVVTRALAGCGAAMSTPSAVGIIAENFTGKA RSTAFACFSAGAPVGGAIGLIVGGLFVSYVKDTWRGALFLLAGLAFFISAVALFTIPS DVPHSDDKRIDWIGAALVTVGLILLQFTISAGQTAPHGWKTGYIIALLIIGFLLVVTF FLWEKYIINQTSRPPLMRLQLWTRAKGRLAAVYFIGFVAWMGFTSLFYHATLYYQQVQ ETGPIGAMLRFLPTSVSGILCNVIVAFLISVVPTQWLVCVGLIATGLGNVCFALSWEN TNYWRLPFNGMWLSVMGADFLMATGLIFVAALSLPDEHSVAGALFQTLIQLGGSFGLA VTTVISDVQFDQAYNTGEKSYKESLLEGYHAAFWLGAATSFLALFIAIIALRGMGTIG KGVKRRKDKAVDDQIPMKGAKGQEQGVENKV L199_008399 MGLSFTNDDGHQDLNNATGCNAPSRLPPTSLEFSNAGSTPENND EEPIDNRDKNSLSSIKEASTIPLPVDETDNEDPNLQEEVPELSKARQIAIAVTLMGLS VMTVSGVQVLNIGLPTIQKDLGMKDTDLQWISSAYSLTNGCLLLLSGRLADVYGRKLL LMIGMVWLAVWSTVGGFMQNGTGIVVSRALAGCGAALATPSATGIIAHTYSGRARQLA FTCFGAGAAIGGALGLIVGGIFVSFVKHSWRSALWFVGGLSFAASAAAWLVIPWDKSH TVNKKIDWPGAMLITCGLILLQYVVSAGESAPQGWKTSYIIAFIILGVFMIVAFFLWE KRLQDRDSKPPLMRLQLWTRSNGRLSAVYFIGFSAWMSFTSYLYWVTLLFQEVQGTGA VGAMLRFLPSEISGVLCNVLVGLLIHRLPAQWIVCFGLFACGLAGMFFAISGKDTNYW TFPFQGMWLSAAGADLVFAPAMIFVSLLSLPDEHSVAGALLMTVMRLGGSFGLAFTSV IADVERQKAYSRGAEKIAGYLKGLQAAFWLGAAAAWAGMIVGLVALRGLGVLGKSTEK KEAQGETDFNIKDKGMNVDMERENEVQMQDLSHRKNGDGSGNELV L199_008400 MPTIQEELDMNESDLQWLQTAFHLAVGSLLVFFGKVADNFDRKR ILLLGIILLGGFQLIGGFMSNGAALISARALSGVGLAMCAPSATGILAEYFTGKARSI AFTCFGMGFAIGGASGILIGGLFVSYVRYTWRSIMFFLSGLSLLVLIMIIVYVPWDNS HTEEDRRMDWVGAALIAGGLAMFLFAITDAQNSPDGWKTPYIIAILLLGILSVTTFFF WEHHIANKTSRPPLMRPALWTRAHGRLSALYFTSLLGNLGYIDALYNATLYFQQVQNT GSTGAMLRFLPVEISGIICYSLVALVIHRVPAYWLMLVGLLACGFANMCFASSEESTN YWKLPFHGMWLSAMGVALPTVARSSSFVPTGLVFVSKFALPDEYSVASGLFQSMLRLG ISVGLSLMAIINTSVKNMNLGKAKDETESLLRGLQMKPATVQRKTRAKDQSNSEKTRR EDGC L199_008401 MMNTTADDQFSFQEGAHEQQKENIQPRGINNHSSASNTLIKAAG DSPLELNRRPTINQDPSPTFNNDKTDRPPSPRVKNDADSPVMSLKKQIVTAIIVTATT VMTSSSGQVLNIALPTIKEDLDIGEGDLQWIVSSYNLSVGCLLLFCGRLADIYGRKMI LVIGIVVFTVFTLIGGFMQNGPGLIVTRALAGCGVAMTTPSTTGIIAELYTGKARSRV FTCFGAGFSVGGILGLLIGGLFVSYVKYTWRSCLFAVAGIGLLIGISVIIVIPSDGSH TTNKHVDWPGAMLVTVGLVLFLFAISDAQSAPNGWKTVYIITLLVLGIVLTILFFFWE HYVALKRDNPPLMRLALWTRAKGRLAALYFVSFLAVMGFIPALYNATLFFQEVQSIGS VGTMLRFLPTEVAGIICSILVALLIHRIPAYWLVVIGLLACGFGNMCFAISEKDTSYW KLPFHGTWLIVFGVDIFLPTGLIFVSHFSLPDEYSVASGLFQTLLRLGSSIGLALTSI MFDSQYKISLSDGRNQEEAYLKGIQTSFWLSAAGCWFGVIVAVLALRGLGVFGKDQDQ DQSGVKTRDVENRIRNSNLVDSSDTPTPKAVEKDKKKCKSDSNTGEMMERCSSGPPCS GLEARSKV L199_008402 MSFGAIPPQIPVVPATSAPSTSATASTSTAAAPPAEVPGAPVVG GEVLPKPVTPAEAISTEPAKALDPITEPTILDKAQALAKPYLEKVEPYAHKVQEAAKP YTDKIEAKTKEIIDKIEGNAPSTTPAPTSANTAEKSIDNVSATTAEAGEKAKGIFEQG LTAVQSTFTQITHTIDEKTSSPTHPGFITQVTNAAHKVGEKIEKALNDVDNNTTPSGH PVQTTTNTVPHVIPGPL L199_008403 MGEQQNEIDLDSVIDRLLEVRGNRPGKAVQLAEYEIKYLCTKAR EIFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSL ETICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCL PIAAIIDEKIFTMHGGLSPDLQSMEQIRRVMRPTDVPDTGLLCDLLWSDPDKDITGWS ENDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGE FDNAGAMMSVDDTLLCSFQILKPAEKKAPKYGGYGASGRRQ L199_008404 MTTTAQSSLPSKLTSTTISSSSSSPSPSPPLYSTGINSKTNTNT TSEWSTNPFSYATDNENDPLIPPTSSLTGLAHTNSISPSSSTPSSSRSPRDKIKMDNN GHSQLSNGRYGYDYDGDQNQSSRSPTMPSCHHAANIIHRQALILHTP L199_008405 MLTLKNSTSISTNPMKSHPPPDPRYPYANLLQPIPLDISTSSTA ASAAASTSIPALYQPSSAASSSSSTMFFPNAGLLDNSGHISNPGGLDLNLPLPSSSTS ASTSTSTSNIYSNPTQADILRRHSISNSYWDDPSPEQDPLTSQPNSARNSPSAGASGQ PKPNGSGRSGQKRRQKYTRTRTGCLCCRARRIKCDEGRPTCKRCIIAKKECQYPDSGE GMGKKPSGKHKHGDGSRSSTEESESEEERKPAPKRGRSDAGKRKVSPSKDKSAVSNSS PDQPDGNGTNNETGWDASFIGGTGPSSSTSTNTNYDSGLALGTGLASSGEQYLAGQIG KQDWATSEGGAPMLTTPNFLLPWFPTAEERSLILHYCANAASLMMAIPSGLNPMLAIN LPLALDSPRGLNPSADALRVALLGIGAIHQAFLLARSGVATSQTAAMFQYASTLRDTG KEMVRRAVRNGDGAISDAALGASTALGTIDIFFGGSGWMDNFSLAKEMVAIRGGPAEM LKKSEPRKLTDGVTVSPARLMLEILAIYETFSCLTTGEEPSLFSDRWESWWLEASRST YEEHSVEKQFGMSRVMVLLFARVTRLLARVARSKVIITEDDTSTPSTYSNSANPILST LATTRGLSNDGKPLDPLVVEARQLNRDVDAWIESLQLSTLEHERVQVGNRAYAYAMKI LLLKMVFKYPREDIRVQNAAQQVLQHCSWSTAALGMSIDLTWPAIIAGSCVDGASRQW VLTLLEGFKSQCCFDIETANRIIMEVWRRSDSGEPRADWKDVCDDLGLQVL L199_008406 MGNASSSQSQSSPSAPAPSATSTSTVPSNQDSSSNGPIISPQPI SRSRAGASTTQSSSTAFHSGLTPPVSPPSPPPPSTPVLLPYAGHLSPQNPHCLSHPQA HDYSKSTVTRLILDGKLAPFYRGLEDFEEDWSENQIFKNLNETREKDYEEGVENSFTK TLKDERDPPNSSTVGSVAKKIGAGLNKQKAQRKEEEKEERIRREKKAYRFAVECPICF LNYPPNINTSRCCQQPVCTECFVQIKRSEATLTHLESDPACCPFCMETDFGVIYERPL SPLDSSSSVALATSPGSEFGGDASSFSQALSLGSEAELNVGPGMNPKMKETIRRKSVS SKAKEVVTIDEIRPDWENKLNAVKAAAARKASRRIVMRQVGDRLIPIGFTSSRAPGTA DFSMSVGQQSSEPGSRRSSRRSSNRERELEELMIEEAMRLSLMDHEDHQRKLADERRK SSVSSVSSPLNPANSSAPSPAPIIVTSQAGPGPSTSSRRASNADTSTKSKLLSKINHV RARASSNASNKIGGSGDYRSVTFAGSSSNTSNNNSPKPSPPTSATPSRTNTNTNTSTS NPPPLPTTTTAPLVVPQPTSAHTKHPSTTTSGGLSPTSPAPVAAGPITTLASPAAERN NHSHTHQEAGLPRLSLDMPALTPDTAQNGVGGIKGKQPARPTHLERMDSELSEATVGP GNYAQLDSDEE L199_008407 MSSAKVAPSKGRRTFTPRPARSAEERLPNLYRSLTDQVDDGYFT NAIKTCKKILILDPKSQAAYQTLLFLHLQTDDYSSAITLLDNALKDSKDSFDFERAYC LYRLHREKEALELIEGKEGRKIHHLEAQIRYRLGEYQRAQEIYDDLLVDVSSSSSEHS DILTNLNATTSHQTFSTSTYKSHLSTTDDLENSVPSLPTGWSKVPSTDKKSISTNAPN TASSSNAKATADKEKKGKDGEKKRKHKLPKGAVVGKPFTEDPERWIPLKQRASYISAQ AKKKGGKESMGTGFTQGSTAQPSHTSGGGGGGGGKNKKGKRK L199_008408 MTTIPFTPSASPTQHQPGSSPSTSNLNKELPSTPRKAHTARSQT PSPSKNKKHSPIFVRMPTPTSPTPEAGKKPMRKTVQAGQGDKEKEEEDGKSLLNPHSS TFEPKSNAENFMSKMRNLSLTDQESSTRYVENVIEKESPSTPKKKKEVPHFDTPRRSI RAGTTTSTPSLSYTPFAPSTGQSSKLPVTPSTPATPIRKEGEYISKEKNTEEQFVSGP IEANSDDIGRYLLIQQIPRDTTEDEIKSLVQSGYDTPAHLLALSRRLHEPGTVEGLIN NADIEARARQGQGIGGHWNANDRKAIPAHNRVFPERILSGLDPRTTVMVKDVPNKLSR QELVNILEEVVPGDYDFVYLRFDFKNCCNALYRFIEAKVGKKWNMFSSEKVLQVSYAD IQGKAALINKFKNSAVMGVIEAWRPQIFYSSGRMKGKPEPFPDSDNLAVRSRSAAAQL AGFSTGSNYSYGYDDQYYDYSSPHGSTYGI L199_008409 MSGFLRKASLSRKKGLNGDDSTSTSPRGPSSRTDRPPKSRSVSE ASEMKANVNGDKLKKKHSTRKRLSSLFSSSTSLSSMVSDRGDRDSSPSTSIRRSSNLN VSAPTSGTSTPTSSVSNQLNGKDLQNGISNLRLGTTTNQIPISPSDSIRSSPTDSNSN STSAGPPIQPVHASTSTSTSTPLQTQSKPFRKRTPSMYSQWDLEQVGLDSDSEDEDDD EAFLTPSEGLSEVEEGDEDEEDHNAEAKKKHEEDILLTASSKNDSTLPPPTSNSATQG QGQSIADSSQVAKGTVVSQEKDVYGTGPSTIKRSKPSIQETKVQSRQGGLHATKAGAL AVDQDGVLVKDLEICRQALSLFLTSHMKEAEVYLEEREQEGQHLYIQSAQGIIEALKG MMTFDANDLASALEICKATSVTASALRRPTDSLVSRFVKAGAGVARVKSMSALERHAE LVYAETSLMKALLAIVSGGDWLGLIREALNMRAAHGIYHVLQQYLEDADKNGYDDNID TDFRSGVVLGAGTSSLMLSLLPSKVMKIASLLGYGGDKETALTTLYSAGGWHRGVEKP DYDESNEGLRRPVCDLILLTFHLVISTLMPVTGIDVPMAKNILAYNLRRYPDGVFFLY FQARSFTAQCEPEAANRSLQKALDSNLEYIQLQHMCLWDYACNFMMLSNWKGALDCFS ILKEESNWSRAVYTYAAAASLVQIAQEEDGDSTAKLKEAEKFMTQIPKLTKKIAGKSL PIEKLVSRKARKFHSQNNKLFLPAMELAYVFGSLSNTPRRSLLDSWLPRINKALKALD GVEPENYGNGHEYWDDYCLGHFLRGMCQFISRYQPPDAAPEATTKSPSDPSDDELDKG AERDLQAVIRHGPDVQLDHYILFHCHYELGRLYARRGDDEQAKYHFEVVMAGKLPDHN PYMAKANGKYSLEGALLLKTHAALSGVKDKEKVGK L199_008410 MPPRRYSNPLPLPQPISRSFSQRRNLCFLVLSLFALSFLILGLH PKVQQGSLDNLDRFKEWSSDKAQGIVDGLPNQVKGWLVDLQKVEPKECKGFNPLDSED QDPPGCFKARQYRQTMRVLEREEKSEHPHWYFTKQHNIDTLRNISECFLPVIDPNWKP CHEKPLILSGWWYTAEVITGATTGEVIWQSSVTKQLRMLGYSFIAVGPYLNWIEVAEM MPDVYHLIWNSDQDTVSCVTDPRCIAKDHYTPPENAEDLSIGVPDEERGVIPIWALAI VDYWGSRPRETSNNRYWWGLTEDGDWSYHPLGQEWIATPWPLPGGHFHLPYSLEEYCL KMPVKPHEERRNAALILAKRSSYFHYHYVSPPEFWTSLSQVPDFELLSTVEEEEGNPL PDGLVTMGKQTREDYETLVASVKALVGMGAPPISPSIYTSLCQATPVVIPYFQQDYRM DGWWLYSSWSQHGPAIAIGEPYVYKYFAHNYTDLEDAVRRAMSTSIERYIPDDMKLPY ALSQLGKYLSRDLKAMFDEVVKNNEDKIPKLKKGTRERCYEIDRCKKMLEVGRIPSVP PKLVDYTAEVKKEI L199_008411 MPPNTPPWVVKDLSSILGLDDETIKQMIIPDLESYTHEARLRVH LLDFLGPSSQSQSFINRYISFRFPSLPSTQSQPQSQSLTPNPSSLKPQPSKSKSKAQT PLNLSRPTSTPGSSRTKPLANNIPEALEAAFGSGGKVYQKRDLDESGFGGWGKSTGGT PKSGGGEPKAQQSQARLDAPSSSASGSAAGSRTNSSKGKSRAGEEKIWDKPKSKTVKR LEGIVDKLRIIKESNGEGKIKDDKAINCFCQARVHPLSPYTPICQSCGLTLCNVQQPY LPCPSCSSPLSTPAQTSRLILRLETEIEHQLSKEEIERQQMEKERLERLAVQAGGGSF PSLPGQTPPQSIVTTNNQGRKVISIGSKVKGKSKITTTTYITKPPTPSTPKEAGEGDK ILDDIVPRLKYNPIDKNRLEKESNKLLNYRKEHDRPYGDPKLLGQEKDGVVLVYRELA VPVIRNEETAGRRKKGKAKRLGEGGREVPGA L199_008412 MPRSPRRSRSPEGRRSSHRGGHRSPSPSSSSRYERSYGGSSSRR SDDRKVSSSSRYDQDDDRYHSSRDRDRDRYRDRSRERDRDRDRYRGEDDSGRRRKDER DDRRHPDRRERDRSRDRDRDRERDERRREGTRSRIRTRSPSPPQRSSVPAPAPASAVR TPLTDNATPTGSPAPETEEDKKRKAKERLEAWKKQRALKEGKTATPEPSSSAVPSTKP SSPAPPKPTSGLPNKPTAFSLSRIGLPLKAGPTPTPLKRSLAASLDDDDDNSGDRKLQ KLGDLPEINPDVQSGDAAQVDSIGDDLAVENIKTELNGDEAAEDAMDVDEKPTLKENG NEKEDKIQVDEEEEEDPLDAFMRTNVEQVVQVNQADAKRMGLRQSGDDSENEEEDKVK VEDKLAEAEALLQQAAAKSRKKDLPPPDHSKIDYEPFRKAFYNPPPEVLEMDEEDAEL LRLEMDGIKIRGQDAPRPVKNWGAYGLPTQCLDVIRHHGWTSPTSIQAQAIPAIMSGR DVIGIAKTGSGKTIAFLLPMFRHVRDQRPVSGNEGPIAVVMSPTRELATQIYKECQSF LKVLNIRVTCCVGGSSISEDIAAMKKGTEVVVCTPGRMIDLLTANNGRVTNLRRTTYI VMDEADRMFDMGFEPQVMKIINNVRPDAQKVLFSATFPKTMESLARKILIRPLEITVG GRSVVAPEIDQRVEVREPDSKFNRLLEILGEMGEEHKDDEDDFRTLIFVDRQESADDL FRDLLQRGYVCASLHGGKEQVDRDEAIKNFKSGDVPIIVATSVAARGLDVKELKLVIN YDCPNHMEDYVHRAGRTGRAGNKGTCITFITPAQEKFSVDIVRALEASNAFIPEDLKA MSENFLGKIKSGKARAAGSGYSGKGLERIEKKRIEKDQAEKHTYGDTSEALSLSSREG AVIPYKPKTTEFKQPENPNAHKGDADYTFTEIKVEIVHGPAPDRVIQNNPPPKPTMAA LPAQTIAALEKAKKEGRTVDAANLAKVVARLTQSIELTKAEKLGLAQPANSNGVRTKD PDATDYHAIFPINDYPQKARWKATNKEQMTLLQEISGASITMKGLYYPPGSEPGPGDE PKLSLLIESNDEHRVRAAVDEIRRNLVEASVAALNTADRAPGGSGRYAV L199_008413 MRFAVTSILLSLALGATAHPGEEHHHDDHAALAKRTFLEHSGRS YSSCSNSARKRELHSQVASRRAQEIDALRSALKAKKSSIAKRQMSGETSLTDYGETLV NTSHASNLTTITANITSAELFGNGTVGSDACLLQPEVTIGPYWVSGEYVRENITEDQS GVPLYLSTQVVDVANCEPVPQLYWEIWHCNATGVYSGVVASGNGDTSDEANLNKTFLR GLQETDDLGVATFQTIVPGHYTGRATHIHVVGHANATLYSNGTIGTGANGSGNSSAIH IGQLFFDQDLLSEVATVEPYASNTQTVTENDEDSILAEEAIEGASDPFVEYVLLGDSI EDGVYAWVTVGVNTNETVATSAAAYLTAEGALENESSSVGGGGEGGQGGNSTSGGEGS APSGSVPSGSPSS L199_008414 MESPSSSTRFTLLPTSYLASQPPSSEITLQSLIDTSDRMAEEAR EALPYCFDECTYDKGYLRQSVWSCLDCGEKGVCYGCSISCHSEHRLVELWTRRSFRCD CPTSSTQPEPVPPAKRRRCGLYPPDQQPQEPNEKNKYTQNFKGKFCRCGKEYDAETET EAMICCIACEDWFHESCLNLRLTISSNTQPTQVKPDDTDPAVPTATTNRPSSNPQNSE NVVDEEDDEESTVLIRSDSYDGLICADCVKGNEYLRNQAGKDGWMIIEPTSDGSQSGW TVIGQILDTGNDVNGKTGGGEEVTTSKSEHVEDVEEKGKRKAEDSDEVGEESTKKIRL ENGLATPVTASNKSTSQGTSSISSSSSGWQWKGKGDIFLANGVRDQLKDQLDEETIAS LPFPLVDEEIYEPPKDDEQEETIEQVTSRVMTSLPRVQAIEALHEYQRLKDHLNTMLQ QHVSSGQSVSKADIENMFEQLKGRRE L199_008415 MSSEEQKVAPPPDLLPAEQANPAEGAAAAVAPGSPAEGGKEQSK NAAKKEAKRLEKLALKAAKGPAVAQGAKKDKSEKKEKKVEAPAEEWVNTTPKGEKKDV SGNLPAGYDPIQVEAAHYDWWNAKGFFKPRYGPDGKPVEKGTFCITFPPPNVTGNLHI GHALTVSIQDAMIRWKRMQGYTVLYLPGYDHAGIATQAVVEQRLMKTEGHSRHHYGRE KFLEKVWDWKDQYQTKITNQMERLGGSFDWDRVAFTMDESLSKAVRETFCILHEKGLI YRANRLVNWCCYLNTSLSNLEVDQMALTGRTLLNVKGYDAKEKFEFGVITSFAYPIEN SDERIIVATTRPETMLGDTAIAVHPDDPRYKHLHGKFAVHPFNNRRIPIITDAITVDM EFGTGAVKITPAHDPNDFECGQRNNLEFISLMNDDGTYNENAAPYQGMKRFHIRNEII KALKEKGLYVEQKDNEMQIPICSRSGDVVEQILKPQWWVSCQPMAEDALKRTRAGELE IKPKTSASDWVRWMENMQDWCISRQLWWGHRCPAYLIKYHGEAPDTGDDKNWIVARSF EEADARAKEQANGRKYTLEQDEDVLDTWFSSALWPFSTMGWPDKTADVENFYPNSILE TGWDIIFFWVARMVFFGNALTGKMPFKEVYCHPMIRDAYGRKMSKSLGNVIDPLDVIT GQNLQKLHNDLRMGNLPEKEIVKAEDGQKKLFPKGIPQCGTDALRFTLCNYTSGGRDI NMDIGRVEGYRKFCNKLWNATKFCLFRMDLVDLNGVRQQSTFVPNASPLPTGQEGLVE KWLFHKLNLASAAVSKALEAREFSDATNAAYQYFLNDLCDVFIEATKPLFEANTDAAS KLSAQNTLYTCLEGGLKLLHPFMPYVTEDLWQRLPRREGDKCETIMLAPFPEYISQQE FPEAAAEFDVVVECIKAARSIVGLYNLPTNGKTIEDKITVIVQVKTTQQRQLLESQES IIIGLTKGCGTVKFITDDSEVPKGCGTEFVTADINVHIPVAGKVNASAEVDKLQKKSA IVESNKNKLIKLIEQPNYETTIKEEVRNSNSEKLQNLEVEIETLRLAIERFQAIL L199_008416 MISAFFIFNQKGEVLISRLFRQDVKRSISDVFRIQVISNPDVRS PIITLGSTSFFHVRINNVYVVGVTKCNASAALVFEFLYRFITISRSYFSKLDEESVKN NFVLIYELLDEIIDFGFPQNSEIDTLKMYITTESIKSEMAVREDSSKITIQATGATSW RRSDVKYRKNEAFVDVIETVNLLMSKEGAVLRADVDGQILMRAYLSGTPECKFGLNDK LVLQKRGNEAAKSDDTVELDDCQFHQCVRLGKFDSDRSISFIPPDGEFELMRYRSTSN INLPFRLQTHVTEPSKSRVEYTIHLRANFDPKLNANNVLLRIPTPLNTTGVQTKVGVG KAKYVPGENVIVWKIPRLQGAQECTLNAEADLAATTHRQAWSRPPIQVDFSVVMFTAS GLLVRFLKVFEKSGYQSVKWVRYLSRANGSYQIRVSLEYLEPRKTD L199_008417 MSQAIGYSTTPPAGSSRFSSTSLYSTSQNPSSSTINNNPNPNRR ESARSSIVPIQQQLFGGSTTQQQGNVNILDRSINKTKNAEIALSTWAFLFAEVISYSQ SRSDSIADLEKRLSTLGYDVGQRILSLVLLRSTQTSNLKDPKREHRLIPILQFVHTQI YKYVFGKPADGLERSSEAEDEFMLILNESPLSQFISVPKDMSDLSCESFTAGLVEGVL DGLEVPARVTAHWVGDQYPDHPQRTVILIKLDQKVMDREESLGT L199_008418 MGQGQSSSKKLGRTSSKQLSASDLADSLATTTISDGETTSSPSM DIGNTNNSSVGQFSTPSRISARRGTGSSASGKEKNGSISSLASSNNTNNRPGLNTQNS FDGSTPSNTTTTSPSNIPTTQNILAAPKTRSSLLGHSPPPPSALSVSPGQPSSPPLSS PTGPGHMQRDSASSLSPGAALSATISRSSIGASSSQGGVQVLDVDNMIHRLLEAGYSG KVTKSPPLKNAEIASVCAAAREVFLSQPTLIELSPPVKIVGDVHGQYADLIRMFEMCG FPPSANYLFLGDYVDRGKQSLETILLLLCYKIKYPENFFLLRGNHECANVTRVYGFYD ECKRRTNIKTWKTFIDVFNALPIASIVASKIFCVHGGLSPSLKSMDDIRRIQRPTDVP DYGLLNDLVWSDPSDTALDWEDNERGVSFCYGKSVINAFLATHDMDLICRAHMVVEDG YEFYNDRTLVTVFSAPNYCGEFDNFGAVMSVSEDLLCSFELLKPLDGAALKKEMTKSK RKSLQNHQSPPNNPMAQSY L199_008419 MSSSTTFILSSSSSTPLASSPSLALLPFSLGGNSSPHATNSAPL SNYFKPRPIPSSSRGSTSTSTIASFRGRTVVGQYIDIPKGWKGVILGTGKRPDKGGLL DVASSSASHSDSSNVAKAKEEDVDMVTEEEGMDSDLSLRRTTRQNPQRRRGPSAGTGQ VALSKPKIRGNNNTARQNKKRYRLDSDDEEDDDENGQESTIKEERLIRTPNKRSKLSY TTPQKGQPRGEVVVPDIVIQEATPLKYPLPTPKKRLNGRRSSPSPDPHGKRGLPQVTE SMEWVEEQIIPKIEEVKEDEDEQDSRSQSQSQSIISNELKEDISTTTSVESNEDLKID EKEQEEEENLIPSPSTEDDPPTFNTEIKTETEKPAQKTIDNSNIDNSSTEKIDHDGGH QEGEYDGPMRILRPISTFDGFMLYTPDDPLIGFRSDELDESQNQTPTQNGDEQITSTD NENGTNGTNNPDVKILDTGGKEKDSDGTIQVRKSWWRSGGGGEGGDEFIRGLGEWLGL VEILNQPVYLDGLEEEEDEDED L199_008420 MISTALVTSHLLALLLPLILLSPINTHAAPEPAALVALPQYTTT TSNNKKYTPPQPELDHDVLPFVIISTIDGGLHAVERNTGKIKWSIKDGVEPLVGGGIR GKGNDEEYIVEPLSGSLYVFEDENGEGMTSSAETKEGQTPKIRKLPLSVEQLIELSPF TFPHSPSRIFTGSKHTSLLTLDLRTGQQLDCFNSFGTNQSHCICENEELLDDLEGKSR SNRDLLFVGRTDYKLTIHSPPIASSYGGLSPSTSTSTIYQTAAEAKRNAGAQEITYST YTPNSYDRPLADYWVKNGLAEQGWGDEGGEKRTRVELAYDGDAVGVENGNGVKWVTRL NSIGIAVYDVLLPLDSPSANPILVPQPPPHLPTLFPPTSRPYQHFIDIAKKPQSTYIG SIPLQLALPPSSENVSEPLSDDKRKPLLYALSSSAYPLINFAPPPRPGSLTNGSFLLT EDLPEKDQLLPYLIDPPTEDKALVLAQQSTLPMSNIREREIPRRGWFWWILSTIGTLL IICGIALTQFARSPRVKQSSSPADEKTPLLITPSSEESTSEARTGSAKVEEKSLPGDI ATPPTLAPPVESEEVATPKKKSTRRRVRGKKKRRDSSAAILEEGGEDDEEDKEGSGSG VSPKKDDEKPLPDLPREMSSTDLLDQEDKERLSISDTIIGFGSHGTVVLKGTWGGRPV AVKRLLSDFTRLASQEVKLLQASDDHPNVIRYYCQEKRDNFLYIALDLCQASLADLIE TPDKHLDLASSLDRKKALTQITAGLKHLHGMKIIHRDIKPQNVLVSRGKDGALRMLVS DFGLARRLDQGQSSFAPTANNLAGSLGWRAPECIRGQVKLNEGVTFDPNSTFSSSSSS THSMLDEMEEPRDKDKDKNNRLTKAVDLFALGCLYFWVLMSGQHPYGETYNRESNIVK GDMVNIGDLDVLGEEGEEAKELISRLLSMEPGSRPDTSECLIHPFFWTPGKRLSFLCD ASDRFEIMENDPPEATLVLLETNASEVVGKDWYSKLDKTFTSTLGKYRKYKGASVRDL LRALRNKKHHYQDLEPSVKRHMGSLPNGFLHYFTSRYPKLFLHVHGVVKESMLRHESM FEGYFQEGNQ L199_008421 MSGKKASPLLPSHNAGESPRGSPVSSPGVYSPHGSEPMRRTGSG GSRSDKGREDYFGGASGNGNDVEKHHGLYENVKQQVEITPNLPEQKTSKKTMSPAFII PIWIALSSAVILYNKYLYSNLNYPYPIFITSYHLGCAALGTRILRATTNLMDGLDKIE MTRELYLKSILPIGVLFSGSLILSNTAYLTLSVSFIQMLKAFTPVAILLISAIFKLQV LTSRLVMIVLLISTGCALAAYGELHFEMFGFLCQVSAVAFESSRLVMIQILLQGLKMD PLCSLHYYAPVCAMINACFLPFTEGLAPFQEYMRIGPLIMISNAAVAFGLNVAAVFLI GAAGGLVLTLAGVFKDILLISSSVIFFGSPITSIQIFGYSLALGGMVAYKTASK L199_008422 MDCTPTPISTIFSTSTSLIPSTITSSSAVLVTPSGSISTWVVTY CSTPPVPSQSTDTTTFTISPTSTDSMSISISTTASDSSSSGNDVISFGMTSMTTGDGG TLTTTAVDSPTSPVGQLQEETTLAVLDGQTLSSDESQSLLLSSHQRGMRGRTEHVKVI KKRQENCQTITSTSTITATPTTSWSLIFSTTESTSLIEVPVETVMGGCDGTTTTASST EQLNITTDPPTLSTITSAAAVITSAPTQTSSSILTTSLEETSTSILPSSSIPVSESPT PTFSSSSSSVQDLETVPALSSSSTSLDPLLSAVFTGPSLTIESGQSSITDLLAPITSD AIPTAQPTEIDSADTEPPLSSDTPIIPDDEATTSAEAEAEDTSSTNADIPGVAGIQTF PSSSKSMTNTLASQNSNPTLNHNPSTSSTGGEEAEGATSGSNKGTAAGAAIGGIFALI ALIAAILFFVRFWKKRQRAERTVSLRASWFYGEKILNHNDGSENEKRRSAPAPSTEPS ARPSIQPVSRFSAPSFASRSEGLGALLARPLKNLRRDSSPLMKPLKLVSGDPNQEARR NEDDSIWGKLAYSFKGIPLPSTEGISERLRTIPGFKPRRSFTVKSRNISSPQPIDPEI ATGTNNGIFESSKLLPIFSKFRSIRQSFKRSSILSSNLKYIRQSQVRGTPAWAEKHPN EKPIPVMVGQAWDERHSESDHPHPVKVNFMQPPPPSATGSSSKHGSMNSDSPYPTIVP GNSPQNHGIGTAFGSGMDMEMEYNKPTHVQLRHLTWGSSYAPPRTSILSANGMMIHGA MEPSEDGNSIYSRTSMSHGYGSDNLHRSGTMKSNFSDSTTSNFMVPPRSSTSHNSGNG GNVPSPPPIGIGPFPRALLSPLSAGSNGSRGSFGVLPPLPENGIDTDKHMKDLRRITR STQQSSGIWEYSAYVDTGSNANSQRGSGSNGNSNSNSEIQGQGQRRISNGQSSLTGTG TGTGPMGILKSTSSCSPLPPTMIYPNSNSNSPIPIYAPNSAFGPGDIPYTVPSPKRMS YGGSMLKHSSLPPSSPPEIEISSLPLPPQPQRSYTSPIPSILAPKSAEEGDRITKAWY EKPLWDNTQQSQQNQAANPTSILLPPRSAGPGSGPDHTYMYSQNSGNSIYSKGDRASR TSVKSVKSVRWEDEDRGDDEGGGGVARAL L199_008423 MIDHVLGRPSPALRRTQIFLVLFFWIWRLYKGDGAPRSSLTRSA ISPSPSQRAQLRGTHNQRAWIARVWVKIVGRRMVRWTGNMNEKLKHFTPYQLILGTLT LVYALRHLDDLLGLGAPEPLARLYTRSYYRATYVNTAFDAGFASAMSIRPKWLKDIFS MLFTGYYLVWATEGDEVLRRFRAVCSVEMLRVTWEKTKNPYVRLLTTFHRPRLPIIRH LTIPRPPTSSRSNLPSVKAMLFFNGTDAELAAAQELVVDYPGGGFVAMGPDCHEERLR TWAKRTGKPVLGVDYGKAPEYPYPWAIEEGFDAYRTLMETQGRCIGIESGKLGIVLTG DSAGGNICATIMLRILEHPTGIPKPVSMILAYPALDFNFTSWMSPTNLRVLRTEQSET QIPGLVHGKDHMRHKSPLSVVNDIDSGRRRSGRQRRKSWAQTISGKLPNLMSPADEKN PNGGTKSTPATPSTRIKDLPRSMSAKVVGWLAGDNGDSGNASEEEEEEDGDDHQDTVK PDYRPEAERSLADRVKTPADEKKFIFSPVESPKPVETPDPAQEDKDNVDQIVAKKRKK LPIGTRLTMTSRVGYFQDRIISPSMMRAMAILYVGPRRNPDFETDYYISPILSPPHLL AHFPPVYLICGERDPFVDDTIIFAGKIREAKKARRSQAEYTARGRSAKYGEYLQMSTG KSKTPIDDLPDPILRESDDDWVQLRIIEGWGHGFMQMSSLMREVDPVLIEMADWIDES FIRHAEKQRDMEEVAAAHQAAKQSLQAEERAIMVPEDHLMPPNSMHVKPSRNYKKSPS STPLGNADLGSSYLGRRRSDAQEEGESENDVPEDNMVTFTPKSKDKPIKRRLPPPSKF HPVPRRPSKERLDLHRSNSMPKFDMDETGSSGEAMSVKTPPLQLRNLPYDGGQDEGKK GSGAFAFFGGGDRGSTRSNSNRIPNPPPHNLFGLPLRSGSLDRERRLSGGSMVPAPAT TGSGTPPTSSSVAQQDKPKNSLVAAAVAGARAASPALAAAGFVPQKVGDVSEAELMRR RRMEAVYGIGGVTQEQGHDGSEGEEEEEMG L199_008424 MTKDKTEPRHVAVSLVCSPLTGRLLMVTSRAHPDLWIFPKGGIE EGESSGQAAVRESWEEAGTPPSLAAPEDLQRLMMLALPSKKKRGSVWHVHVLEVNEDV VECIEQWPESHERKRAWFTPSECLFNIQEWSKIPPSSTNDNHLDDPVELVNHHAEEGD DGNERQDREQQKKGKQQHKMEKKAGAMELALRQFMESRGKVLENQ L199_008425 MTGLALNPLDYIPSSSSSSYTTRKCAWVVFLLTTPSYLPGILVL AYTLRKYNSNYPLIVAINPSIAAETIKVLQEYELEVRMVQPLVPRGQITLIAERFVDT WTKAAVFGFDDYDRVCLIDGDMMLKRNMDEIFDIPLKEDQIAATFACICNLDRSSWAP AEWTRDNCGFTPSHGSDSITHPGPAQPTGTHSLLNSGLVVLTPSQNVLQRIYALVESG DKNDQERIREWSFPDQDLFDDLFRGEWISIPWIYNAIKTMRYWHGNFYTDHDVRNLHY ICKKPWKYRPKYNTPEEYERTGNVYKVEAGEYAQVKDNDVGLNEREADAVTHGWWWEE YECMLGQMRKNGYGWCEFVDNLTDRGQS L199_008426 MALTDYFSPVAFFILLRESLEAGIIIAVLLGFISQIIPSIVEPL SLPLSSSRRPSHSGVQIHTRQSEDSARSSQELLPRRDGTTINGGGYGTSPENQSLLTV PDADVVDQDDMEEVEEISEEKDKVVKKMRFQIWSGAILGGTVAMAIGAIFLYVFYTYT HDLWQDAENLWEGGFCALASILILVMSLAFLRLPHAQVKWRLKLLSAYHSHSETDPEH THKHGHRHKRANKATAILFGLPFVTVLREGLEGIVFLGGIGLSEKGSAVVGGGVGGLI VGGLIAYLLFSSTTPLSLTKFVQFSSLLLFMIGAGLASRAAYSFERQYFISYVGTAAA EAGNGPGSYRVKGNIWHLTWWDPEPGSGDNFAQLAQAVVGWNNTGTVWTVTTYMFYWL LITFTLVKAKYKEGRTSLCGQLSKRGWEREFSRRERGEIEDEVLMDDRSDIGE L199_008427 MPLHYPQEPNHPTDLSMPPPPDKIIHIDTAPVPSSSSSHTHHPD VAGVLRRNQACLQCRKRKLARPHCATCVRSYRHLLRTSPKSNPVLCCDYDEGTGSHHE EGHKGEKGTSSQSPARNQNQGPEDDDGGGKKKRKAAGEGKRKKKDEEFEEERDRLTKQ IEELQAQLKQNLNPTSQKQKQAASSTLNQNPSLQETLSAQSAPSPTAFLEMLSSAASN QVPNASTSNNTGKSSGQTASGSTPFWLGQMDQGSVDAPSGFRPMFMFDQSGHQSDASV IRGDPSPDAQSQESRRSSLLTPGGGHSSPQSQNILSPGGIFNFSPGPSTNLMSNWPPP PDPITGNIEPSTKVDGPWRAVETIETVYAASMINNQNQNQDQQSFSLDTNMETEINLD GLQAGLDAAMQQQLLMDLFWPGWPINLPEPNVVNDLIEAFFDLVPNLPRVLHRARFLS RMALPPTHSNFPHPALIHAVCAAAAAWCSPEIYEKSTRGKGRDFFDSNAGAGMYGNDV LEGKGSKANLTFGLRQASFAKEAVQEGLNTGNRLFDVVRAMIILCRVFIDDTRMLECW AYGGLVARMLLPLGLNVRSAELSLKSVMLPPPADALEREERRAAVWMAFYHDTIASSA SGWGTSMSLDELTVPLPVSFKDFEIGHEQMEPNPQDLESPDFWVKHPVPDSFVMVIKA TVLMNRTNKFARRWKNRHMRDNDDFDGLYKPEFREIANAIACFQMSFPPAMRNVGKLT SKRKLDIDLIAAQMLPHAAIICLHEPFADLNDPSDQAARRMLGATQAIVSIVQQLASV FGEGGTNFTSVMHSSASVCLVTSARTSLLFLRHALNIGDMAAAQSHRTDCEMIRMALS QFGLKFKIGHHHSQLIEYFLDRATNPTFEKLQAHYPDHPRSGAPELTPTANFGLCVSN ALNIKRGFWRLPKQSTSTGASPFGSTPESVASGSHASRPHASSSSSVSQHLSDHDSPK HPLETLGLRGQTQPSLHRNASGTEAMDTDPVDQFKDFTPCVPARPSATTAKSDQQSTL SETGLCDMAPPPSGKNTFHKADGRSASLDSNNNSGGSGPGSGAAFDISLPGITPVTQE MMDKIASRGVLSADGQYPVWMNTSENELLAEAQAHMVLQQQQQPLGGSESRLNKEMEA IYSTISKPGMGPGGIMPFLSDALVESIESQKRQQQQQSGSGLGSGNRSAAQAGLSTSG LGGGIGGMGQTLSPEIENKFGNIQTIGQDGHLHVIHNMTQEQLMAEINKDGGLPRDGQ VGYKEGEKEFGRVGEMLRGIYQ L199_008428 MSRPIPTTNKPRQLSLGSAQIDSNSALLTPGGTPPHTANGHDHA HVHTHFENRLQQLLSSFESGTHSFDREERESPEERRMSFGSGSDVFPTPPSSRRPSFL SGLSLSRPFAFTSTASSSPIASTPLSGSHNGKEHFSNLQMTSAASTRPNLERENQIQS TPNIPHHHFEKEEGHLPFTVNKQLNRSKTTSHIGSNGITQKQNGFKPNPRSDMGHGSA NGGGGKEEKRHFDPSREPKLLGLL L199_008429 MSILRLQASRLLRPATGPAASARVWSRGYAASVHGNDPKIIEEE KQRNLKGQQDSSSPHKNHAPGWNEHLASNSEAAVKADQAGPTGKPGKDLQDATVSQTH KRHHEESSSFSKTTESEDDVRADAGPKPRG L199_008430 MTTQEQALKPLTRDEVSKHNKAGDLWIIVDSIVYDLSKFGNLHP GGIGVLLDEEVAGQDATTVFYGLHRSEILLKPQYQRLKIGQIEGEKPLIKHMQPGDIS RVPYGEPTWLTPEFKSPYYKDSHRALQKAMRKFVDEVIYPDAQACEESGKRASKEVLE AMAKTNLNAMRLGPGKHLHGRELFGGVVKGEEFDYFHELVLNQEIARMGARGYGDGLN AGMVIGLPPVLNFGQEPLRSKVIEEVFSGQKVISLAISEAFAGSDVANLKTTAVKSED GKYWTINGTKKWISGGMHSDYFTVGAKTEGGLTAFLVPRGEGVETKQIKTSYSTAAGT AYITFDNVRIPAENMLGPEDGGLLVILSNFNHERWVMCCASARSSRMVVEECLKWAAQ RQVFGRPLLAQAVIRAKFAAMIAKIEAIQAWLESVTYQMNNMTYKEQSRNLAGQIAFL KMQSTRFAGEIADDAVNIFGGRGLTKTGMGKFIEQFQRTQKFDAILGGAEEVLGDLGV RQAMRKMPKDVRL L199_008431 MSSGQSAVEAGAPNQKWTSDRPADSGFNTSQTDETPVPSKGRRF GSMESDNATLVAEVDTHYAAQLKPSRVSGKWLTYMVTFVAGTGFTLFGYDQGVLSSLL TLPSFEEQFPQTANAFADSHRAALQSFMVAIYELGCMAGALSNLWVGDRLGRRHTISL GGIIMIIGAILQTAAVDYAMMLVARVVTGVGNGLLTSTVPAYQSECAKPHRRGQLVLI EGSLITFGIMMSYWIDLGFYFTTGSISWRFPIAFQILLALIMIVCMYAFKLPESPRWL AAKGKYAESLAVLAALENTSVDDKKVIATFNGICDAIAAESQGGFGFKELLYNGPTQN LRRTLLGVVAQCFQQICGINLITYYLTSVLTDLGLGPEMSRIISGVNGTCYFLTSIGA LFVIERIGRRPLMFWMAVAQAITMAVLAGLYDIAKQQNKAAQVISVLCLFLFNTWFSI GWLGITWLYPAEVTPLRIRAPANALSTASNWIFNFMVVMATAPMFANIGWGTYCLFAV VNGIIICPCVWLFFPETKKYSLEEIDIVFALGHRENKSPVWYSLRPEEIPPAGSREAE HILGKSGPAHPDMSEKAERGHRGMSRIIKEEKDKPTSQHNEFAAKKV L199_008432 MKTTIALLTLGCAMIQAQARQYWIVVGNQIALGDGATVNGNQYP QWVVDDGNVSECEPFDECNKGDINAPGLEYLYETYPQTVTMYGSCGRGNVDLYKSGDT TWNAYTSGGDGSVIGTCENDRSWDCLKEELGSWGVKSFIRCQLEW L199_008433 MRFYSLLLIVLSTCALASSYEAEEDLAPASPQPVSRAALSSRQA NRKELQARIKYPDLFRKRDDGASTSPTSDCATATSTLRKRGIAYNDFEVVLGPQHMAE FLLKGGQFDWPNGTSYTDDHYIARFNTPSDHKGWYHHTYNVVKNAVGDKLFEASIPRY KKWKAGLKHNEIIHLSPNTAIRSQNIDKLEKTLLDNPEFKEIQVNGFRISRRFFEAAN AY L199_008434 MIRTIPRNIHLDARPSAKIARSLAVARPSILQKRTYATEAQPPS KNDLFANGGNTYYTEEMYRLWKQDPKAVHSSWAVYFSGLDKGLPSSSAYTPPPGFIGA ASSVPTAADGSPKMNVEGSGDVTDYLKVQLLIRAYQVRGHHIANLDPLHISDADLDSR VPPELKLEYYGWTEADLKKEFKLSDGILPRFKGSVESDKLTLGQIIEELKRMYCTHVG VQYVHIVDRGQCDWLRERVEIPVQWNYTTEEKRMILDRLMWSELFEKFIASKYPNEKR FGLEGCESLIPGMKALIDRSVDSGVKSIVMGMPHRGRLNVLGNVIRKPIEAILNEFAN TDKDDTGGGDVKYHLGANYVRPTPSGKKVSLSLVANPSHLEAEDPVVLGKTRAIQHFE GDEGTGDSAMGVLLHGDAAFAGQGVVYETTGMQGLPNYGTGGTVHLIVNNQIGFTTDP RFARSTPYPSDIAKSIDAPIFHVNGDDVEAVNYVCTLAADWRAKFKKDVVVDIVCYRR YGHNETDQPSFTQPKMYKAIQKQPTVLSIYTDKLIKEGTFTEKEIDEHRQWVWGMLEK AYDGSKDYKPSAREWLSSSWEGFPTPKELAENVLPHLPTGTEEETLKKIGDVISSFPE GFTPHKNLARIIATRGKSVAEGKNIDWSTAEALAFGALCLEGTHVRISGQDVERGTFS QRHAVVHDQENEQTYVALKHLGGEQGSFTVCNSHLSEFGTLGFELGYSLVSPNSLTIW EAQFGDFANNAQCIIDQFIASGERKWLQRTGLVLSLPHGYDGQGPEHSSGRIERFLQL CDDEPRIYPSAEKLDRQHQDCNMQIVYPTTPANYFHVLRRQIKRDFRKPLVVFFSKSL LRHPQARSSLEEMTGDSVFQRYLPEPHPENLVEPEKIRRHVLCTGQVYFQLLKEREDK GINDVAISRIEQLSPLPYDLLTPHLDKYPNADVVWAQEEPLNNGAWTYVQPRLITALK ETQHHVGKVPIYAGRKPSSSVATGNKNAHKKEIEMINEMAFASAEESQ L199_008436 MLSIRTAAARQSVSQLGRTTSLLPIDIPFPHAECSSAPSRRSYA SSSAATALAPNEDVERPSTLPVADSHHDRVSSVKKEKRQFRGNPRLRKQALANARKLN EAIQSEKINTSTITPPTSPSTSAMPDHPSEKEDSSFWSDLLTRPSASSKEADSPGGSA PTLEDLLAKKPARPPPDPYHPKYPKLYQKLIDDLDNAFVQRQLKFFCRQLNLYTNSKT SKIGFIKKILKTWGWVEPKDERTDMKKPHVYDLPPAELFLFLRENDLIHEMTKGYERM ELAVVPLSEAPQTPFSPTTAGDPNRMVLVAVGRVGPLVKLTNLIQERKKAIQTIEISA QELDGFKAPVGLLQTVSNATGAYVEPLSDDKYRITAMSVEDAENAKRLLTMASLRINS LPSHRSLDVLLPTPRHFQTQPLRLSLYPFIPSISESLPWSIASNSNSQALFRLKKVTE WNSKPAIREIDHKNEKLHLANYMNLSTPISTSKSEGNVEKAEIGENESVIDKEGENHF QNLISSLGAKKGKKRLKVIFGNLLFTRQGKLAIFDNPLPGQWPIETLKNWLSRSSKDV GGESKKPLFTPSLTPSMIQFPFLTDQTSEIRRIRYRSLPTSSPAGESRFVEFTYAKPN ATTEQNNWQDRLGAMLDDLEKQIEQEDGAAPSQSLEASEQDERTRDNSITSSGELREG ALADVSEENAVKEEDSERPFDAVFGVIRESDLFIPDRPNDARIVSTSTVSLPTSKIPE SIVNLFDQQPYQDKMSLKLTSPPSKVMIKDEEYLLEYDEKVELTEEIQEIPIGGNVLI LVKRSCKVVENGLEDLNPLTYSELECGSNSDGTLPIEFYREFAHMTRDVGPDAGALKR GNILSGLGAGLGGWHGMVHQ L199_008437 MSRTAAPPSKITAHSTSILCQDTDLRGDITISEGCVVHPKATIL ALGGAIVIGKNCVIEEGTIIVNRNKDIMSIGDNNHFMVGCRIEAISIGNWNTFQPRST VSSNIIIANRCTFSAGTTLLPSPTLPESEVETIPPYTVIYGENSERRKWDGTGQSTEQ NLREKHIEYLREIVPK L199_008438 MSFNDLERGQSQPLLRGNAPDQDATFTALKDSVSIQIFKIQSNV QGIQKLVDKLGGNGDGPALRTSLHNLTEATREMVKKSTDDVKKLAAYPAGGEFSNRKP IQTKLSKEFGNAITSFQRVSRQSAERQRSFVESQKRRVDKLVEESEEAHEEPRSSVEL EQVQAQQQVQQVSPQELDFQETLIAEREAEIREIESGIHELNDIFRDLGTMVVEQGGL IDNIESNVTSVARDTSSAAEELTTAHEYQRKAGRRMACLLVILVIVVAVVLLAILS L199_008439 MRSSSPIILLSILFASLFPLSLARVASITLDSNTAIPGQNISVT VTSYSHIQNWDDFGIVWGLLRDDNGDCVECVGMEIGYENLYGNNTLGNTTYSLQLPNT TTGAYYLKAAVPHLVGASGSTGINYFNQSLNLVSNAKMRT L199_008440 MSSKPFNKKRSAPDGSSSSAGGKSKFSKTNNGKPQSSKSFANKG NENAGKYQNKNKGNNNGKSRSAPIREKDEDVKRRKKPITAGGGEENVDDDEDISMDDD EGSFSEEEDEVEDEDEDEEMGDKALEGHEGEEQEKKPKLTKSEKLALHAAQPHRTTLL PSHPLLHDTLLPLWETARRADLSKDQRKKAINELYNAVKGRINEVSRGHKGGRVLQTI VKFGGKEERTGVAMELEPRWKDMMESKYSKFLMSKLIRYVPSIRPLLIPKLTPTLLTL LNHSNAVTPLSDFYDLYATSKERKLLVRGFYPRELKIFDGGKQGIEVKGLEASLEDLI GDESKGRERILDGVEKTVLDVFNATQKQALAQSIFHRLVLEYVTCIFKFLDEETADKK LHELLAAGAESFPEIVHTKDGSAVVRELIVRCNAKDRKTILQPLRKHVEALCKDGDAQ MVLFTAFDCVDDTKLMGKAFVSDVASLATNLAFDKQGRRVLLYLLTPTSTKHFMPQTI QSLAASASHARELGTSKKDTEVRRKELLSYASEGLLKAVEEKGDEMVRDPGAGLVVQE VLIYAKGDKSGAISTLSQALSIPYPDPAPVDPNPDQTTSHPLDLSHSIRTYKTLLSGG HFNNSTKTVEITDEDLSPKFASAIWEAITDESVGGDDNVLRICKGNAPFVMVELIEAL RKSGEVSKVKKVLGKKGVKEEVEKSVRKGAGLLAEKIAEL L199_008441 MSEDAGPSTLGKRSRNGVSPDGQDVKTDNAVPEMPAADVDDSSD EEIGPMPGGGGDVVVSNGRKKKRAVLPHEKLYLASLPDTDRYYKSFMHREPLNTVTMT RTNFVITTSIDGHLKLWKKQEQGIEFVKHYRASLKTIVGTSASDDGKLFATVSEGGEG RVFDVVNFDMINILKFPFTPKACCWIHQPGAGQALLAVSEVNTPTIRIYDGRGDGTPL YTLEKIHRAPVHLMVYTAKYDCVVSADEDGFVEYWQPSEPWGLPSVPGLWEYKSSTDL FHFKKTKTLPTSLTFSPNSSHFVTLALPSRSVHIFNFLTGKLTRTYDESLTAVVEMQQ AGTAVFKLDDMDFGRRLAVERELDRSESGPGGMLRTANAVWDESGNFVLYPTMLGIKV VNTVTNKVARVLGKDETLRFLNLALYQGAPAKKGLTTVQMAASANPLLQEKGSRDPHL FATAYKKQRFYLFAQSDKEESKGDRDVFNERPTREEQTVAIPSAPEKQRVSATRCTIH TTKGDITLQLFPDIAPKTVENFVTHARNGYYNGTIFHRVIKKFMIQGGDPLGDGTGGE SIWGGTFEDEISPKARHDRPFTLSMANAGPGTNGSQFFITTVPCQWLDGKHTVFGRAV GGLDIITDIEDVRVDKNDRPFDEISMSSITVE L199_008442 MVDNINAYTTDEQIQQPSRKITFAPPPIRPWSDISLYLLSAGFY LVSICSALLGISYIYCPSQYVPFIEPICQDDHYKYLIPLLVPVISWFAIANWVGWEYF KYS L199_008443 MTSLNPSHNPFKSSAQAVHHPSSEKQQSNFPDSLPSVNEHHHED HKKARKEGRKRVKQAMPVMPDLRFEQSYLLSIRPFLTPRPTPTAIEKKGRIEQTKPSG TLVKTADEDRVFHWGRQVDVQWSKVLWVTLRDQLVSPLIQGALWGWATIFLASTGAVL RANLYPESHVRRGRISGGPGGKVDQSGGGNAVGGVGWWKNWVGSLFGGVQSATV L199_008444 MVDANQVLTLEEIQQACNVELVDKDGIKQTFGDLIKGKRVVLIF IRHLWCTNCQAYTYQFGKSIPPSNLPAGTEAYIIGCGASSPIPSYLTRTSSPYPIYSC PSLDLHKVFNFGRTLKGPSTGEKKTYMSELGGGFNRTWLSLKHNLLKTPGHGLESVRG PNDQNGGEVIFEKDGTCWYIHRMQNTEDHTNLEELAKIIGAEYTPLSEKDKSYPN L199_008445 MPSFSPLRAAALNFRTSATRSISRRKLTTHASSSIRRIDQRLII AGGVALAVPTYMYLTRAKLDYQPDTVPDKVNSDVPDQVHSSKGGKEVIKIGSREVLDK KDGDEVWVVINGEVYNVTEFLDEHPGGREIIENNRSKDVSYIFNPRHPSDQLQPENLP PSVIHLGQLEIESAYEREQLAVKISKSEEDEQNRIKQKRQEYEEKGLGSIVNMRDFEV AAEELCSKVAWAYYASAADDEITKNENNSDYKKIRFRPRVLRPVKEVDTSTKILGYDS TIPLWISPAAMAKLGHPDGEVNLTKGAASTGIIQCISSFASCSVEEITSARTSNQPLF FQLYVNSKRHLAEEVISKVNRLGLNAILLTVDAPVGGKRERDIRAKGEFEAPKTDAFD KQGETKGVAEAIFSAVDPDLNWKDLKWLKEKTKLPIIIKGVQTVEDAVQAYKLGADGV ILSNHGGRQLDTTATGLSTLLEIRQHAPWLLRPAYRTPTGPSKELVENPDLLVPSVPK GEKENERKFSIFVDGGIWRGTDVVKALCLGADAVGVGRGFLFAQTVAGVQGVEHAVKI FESEIQSTMRLLGANKLEDLRPSMVDIVN L199_008446 MSITQSSTHLRPGPTAAGPSSSTSSLNKSPSQTSPKLKGLKKLR PWSLAAHARRKVGNGTSSIDDHETQIGSTDNHNGHTTSIYGDYSHLEATPYQDVRIRV SSDQSPRRTSDTPQIGITNSQDGEVDWEEEEESDDGRVDAYSWVDPSIVGTARLRATP AVSQSDLSRSGSGSRSNLRSSNQTSQNTTPGNEVAELLENAGTAPSPPAQLLPDDSYA MLLAYQSTSTSDSGHTHTPSTNSHAAPLSPLSPTLPTPSESGMLRNPNPEWYELVDRT LSDSLSKEELKRQGLWWEMIKGEREYVRDMKTVCEVFIQPLREYDPPLLSPESRLHAF IAEVFSTSQQIYHAHVRLLGRLMERQRHEWPLMTTATDILLGTLLEIVELYESYMKNY PFAEARVRREQERNPPFRAFLSQRNTYDLTRRRDISVFLSRPVTRLPRILLVLEALYK VTPPDHPDKEDIPTAMEILQGVVRSTQPGIESAENKIKLWNTAERLLFKKGEVVELDI ADPKRTLVHMGYVFRRVRSETNWHGWQDLRAILLDNYFLLTRDEENGKHVVVSRPIHL DFLHLTSADGVPERRYDSVTKYQKRPGAHMLEPVFQPERLMFPFTITTSGGANGRTYT LCTAEEWKEKIEGAKTLRKFDIEGNRTFAIHSITVPPQIKDPINAADTFTWHNRETIA IATARGVWLGWRRDSKTFRQLINFNSGHISMVSIVPDFGWLLVSSSGSLLAYNLRDMI PTSNPDTWITKGRLDGQRLSAPEHNIAFARVGVTKGRLLVVYAVHSRNSHQTTLNFYE PLLNPSSASYGVPSFRPFGNITVPGYASDLSFFRQTVSVVTEKTFVIAEPGNPTYNSI PTFPHEIPERAMVVRMVSGSKPMGMWQVDESEFLLVYEWGACWVTKFGEVFRKGSFLR WNLTPSYVIFRQPHLLLFDETHSRAEVRDVTSGRVCEVIEEKGMKVFPIVRFGQGVIA RSGTGRGLIEIVETVPL L199_008447 MSQTLIQFKVTGDVQGVNFRSYTQKEAQKLGLKGHVYNHDDSSV QGVAVGPADKIKQFQSFLSQGPSAAEVHNVELIQNKQNASEEEIKTALGGSSGFEVRR L199_008448 MRIPHIPTSLSSNHLTQSILVPSVRKASTNSTNTDWVTWLKRGA STESGGPSGKCLLFAGLGSYPHTPIAPTPSSLRVWDEASEALLSPDATIGYETRGMGD AGLKQVKGWMRGWVEGRSLEELMKRPDVTAAFILSSSIAILASAQEKMGSPTLLPEGT THLAGHGFIGTLTALVASGRLDLGTGVRLARIYASLPPSPPQTYPRSHLTTVLSARHF HSLSSPSYFVPPPPPPPASEEDDPFPPVTSTESEAESSSTPLKRRRAMQLILDEIHSL QRDWERENEITGSGGTIGPRQEWASAGIINSSKVLVVTGTHHAVLQVIERLQQLNLAN PVMDVAMPCPYHTKLMTHAIPKFRDVLERCYFENSKPDGPIVLDPMTTHPITNPSYAL LPHLTGQLRWHKTLYRLYSQPIPAVSHFQTVGKGAKGLGIMLRGEIKKRPQGSTPISI SELISGDTKEEKERKDRIEGAMRKLNEQRRKSS L199_008449 MATTASAPASAPTTTEVPNFKIVGKLDGVPVVHDSVTYAQQVLQ SNEYTAKLYATALALANKSYEVATPVLVRTKPILESADGLAVATFDRAEATFPYPFKT PTQDLVVVKQAKGIYDGQIHPYIASAQPVLIDILDKTSQINSAISSRAVATVHTSQDL AHSLLEQLRHLAEHGQNLPATLINGVGKVTGDLKEIVFAKDASIQDKSNKLAAYVKDH AKPVIEEIYNYVNAAKLKAEQEAQAVGEGANGTVENVSAPQS L199_008450 MNRRGHLSTKVPQVKIRPAPAGSSRKTREASPINKIDEEIMRRA LADAEDEESSTAALRRNSKPWKGVTITFTGVENKPALAALAKELGATVENALTIHVTH VVAVGYGSPKYLYAVEHNLPVMTPSWIEDAHSQWLNGDELDNEADQEEHRLLPFVGLK IAMSGIEPLDRRKQLIKYIEQYGGKYSKDLDRTCTHLISAKPTNEPRSSEKVKWALRE IAELEARKRKGVRTDEEEMKIVYEEWIWDCVAYNGRWKEDWYDARKPRRGGKVVAEDV LNGRVRLPTDKKPSTEVVSGPGADAVDNNEPAVIRKRKRESISTLVTELVSTTGVKPN RETSVTKRSVSPRKQKLVDESKTELDRKPSLLHVSRSTSFATTLPAPTSKITSNAEKP PSLMPPTSQAIMNQQDEPAGAPKRFFEGIKFSHVIREQCDGLENALKQHGGVLVTDEK RIEGEKVDYIIVRLCSEIRPQLSHNDEGTIVVTECWVEGCCFEERLLSPDKHIVFRPL PAPMPIPGASKLNVHLSGFSAENTVYMRRLLRAIGGTLSVKLNRQTSHLITVATTGQK VDKAREWGVKVMKESWLIAMGRSGQIEPEDQHELASNVAVSKALDKTRTSDLTANLST MSDLPDNGDFALRPSSSANQKPTATTTSQGALGLSPSRMLKPSPTHIDDPSTTSTSSI MNGNRASTVENVLSPPKQETERILNLAQPESDTSLVKLEKEKEKDKLSRFSSAPVPNE SIPLEQMGKSENHHAGAALGERAASMSSLGGKAGNMTEALRQLAERDSNGQGGKGRLV RRARPSARIKNTRSPLNSVSPAASKFSAPPSPQSQGVDISYMAADGTPVDISTDGDGD ISMNNGTVEESVQVKYVDANSARERKKIMALFGGGDEEGSAKKRKR L199_008451 MSALSQAIIRPCSSRFAASFLHQYPPITKTFSTSLSRKEQKAAQ PQTPATDSIELGAPVTYISESHDPWFNLSYEDWLMRNTPHNQPVLFLYRNFPCVVIGR NQNPWKESTPHKLREAGIPLVRRRSGGGTVFHDMGNTNFSIILPRLLFTRSHGAELVS RAISEQLGIKECTVNERNDVIIRDGEKEYKMSSAYKIIQHRAYHHGTMLISSSLTELG KSLKSNSPNMQTKSILSHRSPVTTLNHYNPSSTAIHHDDFVHAVTTEFSKVYSDPTHS KKMETNEVNPDWVKEPKVWKGVEELKSWEWQYGQTPEFSNELEGKLSFGDLSTSLTAR HALITSITFHLTPSLHESTEETSQRQAFLDSLALSLVGHRYESLDGAEGALGHEWEDE RWREMGNEVIGWLRRVM L199_008452 MENRAPNPLHLTSLTILSPSNSPLYVHSFTGPEDELRHYHLSHA AVDVIEERIVMTSTATKPAESYLGLLFCMEDMAFYGFQTTTKIRMVLSIALVDAMIKD ADIVAIFRSIHNLLLTITSNPFISLPSTFHAKSSPKYIENQRPIIETETTKSIRNVSI PDSKMFGFGPEEIKSDWLKDSMRFKKGIERIGEMLNGRA L199_008453 MPRRFHLLRRNSDSSPSSTPTPPSEDHETSSRVKNRLHALFSSS HPITEKEIEIEAGPSSPRSPDPQSRRDSRISHSGEVTESQLNIDINNQNRHQDDIHSH EFIKSKTYPLDIEHSNDNNSNQNGIAQSMTTPKTKQRDAIKVLMVTWNMGDALPKGDL SVLFGQIPPYQPETPTDEIPKLPVENAHPYHVVVVAGQECPTLSGAPRGLGGGLVKGV TLRHRKEKDIAKEKEKEKEKDKEREKDKEDKEVETDKNKTEEKPEDKEKLKTKEKARP DLKLKKDTLDVDRDDGGTRSADQDDSSDDDTPKDSSDQRSRANSPMTPHTPFLHRGQP AAKGWSQMLDDYFCGPNARTAEPAPTSYNSTSPVPRDTPFLPHPPPSAFPAHPSLLRS ASAPITPITTPITIPSRPILNTPNLQLSPSPLARSRSSFESSSASSSTSDMDESVAEN IRKGSNASPPQNENAKPKIQRPDIIIPNDEIQSTNQGNGSYVHVVKERLLGMYLSVYV YKGCEHLIQGLDKDLVTAGLAGGRVGNKGGIGISLKLADHRFLFVNSHLAAHTGRMHA RLSNIAKIKSELRLDCFLPKDDPRAGAEDITDRFDTVFWCGDLNFRLELSRLHADWLI EQKKYSELLMWDQLKLAMKDPNLNPFPGFEEGPIDFPCTFKYDVWKSVRATNREIRKT LKRRKSSASAASFDMSSSVNVSKNLSYVPEGDAIEEVDADGDDPDQSFANQQLKRAGA SDDEMTEGDFSRRSFESSRYTSGAGTDVDDESDDMPMTYKNHQHRPFEVALKEKTRHF LGLVKMDGILTPSPGRRLGKRTSVRRKISVRRRRDHHEEQYMDDSRRTSISSFVSQPD TDRPSTPTGSDGDRRVSTSSRFEGSNEDHHGQKSSPASNGLVPPNYANRSDSGYSSSP PKDKDRPPVFTRRLSIMKRTMSNKSVKDVNAPEDEDEEEVIDEVDRREGVYDTSKKQR VPSWCDRVLWKAHITPDLKDEESPPQSVELQIDSHTSFHRLSNVLSNLGGHLKLQMGR TTSMDPGPADRLNLRIRSSASPDGGSTPPRITDYSPSADNSVSFVASPPDSPTLSPLS AENTGEGLIPLPRKASPLRSSSASSPAKEGTHDHHRFFINSSAPPTRSPTRLIPSLGI GMIRSGSAPGESRPKLTDRPASASGVLPSASTSNAHGIMSSTPEKAHNGNRNKIIFDS PISPTVDRLKSSDNARGERKRSNSDSIGIEGINSHKNVDQRKGRMSDGHNNGIVDNNL SSPDKDRKSSLRIFDNDKRIRTISNASPTTTTVNDHKPLRNLKHPSSSSSHNDNENQI HRTTTIHTSTQPSASTAASSTSGVEGGGRERESDKNGFIRFLKDLPSWLHRSSSNNPH QPNEITLTIESPVEKRWKKGEVRCLHYGTIDDVGMRLLEGRSDHRPAIFAGAVYV L199_008454 MPITKKRGTLFAIYADTPERPSSSTSSSSSSLSTKINNQPKSPS KKSSSSSLSSNGTRKALGTLQPKALDRPTTLRGSNGKDKNKTKSESTVKSKLVNTDPV GDVPIKQTKSTSDIPLKSKSTATQNQPRTKSSINVFNDENSISTSTSTSKRTKPLSGP SSPKTRKSAPTPLAPSQPIKRSRDLLSPLPIIAPPTSIKASSSSGNAAIARVNDPSES PAKRNRIALETTSTSTSTPVRVGRKDKETEMEDKENIPVGMGYSPITSDSPASRTRSK IRALTLSSTSGGSPLRAERTTTVRKVKRVERLIGDGRGTLTLKKGRELSDLVNAEGEN ASLEKAIKFIKPSPTKKGVEVEVQMLGDVSEAYGADRGVEPEGFKTQRVSTGIDGSVG GNVSTDLVSIGLS L199_008455 MSSQRVILVTGASAGIGRSTSIHLSNIFPSDSHPEQLVLILVGR RQSELEATGKLLRPGTIVEIAAGDATNEEFVNALEKTIKEKYGRLDLIFNNAGVNYKS DGEFEDQDMSLFRQVLDINIMSAVLFTKLAFNIMKSQSPQGGRIINNGSISATAPRPN NTSYTISKHAITGLTRSTSLDGRKYNITCSQIDIGNASTAMGSYVSAGSLQADGSIKK EPMMSVENVAKTVGFIAGLGKEVDILSLEIIASGMPFVGRG L199_008456 MFRSLLSTSTRTLRINQYRNFSSTAIKMGVTVETLSQGDGKTFP KPGDQVTIHYVGTLLDGSKFDSSRDRGSPFVCRIGQGQVIKGWDEGVPQLSVGQKAIL TCTPDYAYGARGFPPVIPANSTLKFEVELLKVN L199_008457 MGITIETITPGDGKTFAQPGQQVTMHYVGTLANGKVFDSSRDKG TPFTCVIGVGQVIKGWDEGIPRLSLGQKAILTCPPDYAYGPRGMGGIIPPNATLRFEV EILDIQPHSHHDQKPKPQQAQQAQPAHPLSVQSQPRPRHQGKVFQALEKFGKQHNN L199_008458 MGVTIEPISPGDGKTFPKERQMVAIHYVGTLADGTKFDSSRDRG QPFVCMIGVGQVIRGWDEGVLKLSLGQKANLICTPDYAYGEAGHPPVIPRNATLRFEV ELLQIQDA L199_008459 MRVQPRARLQQAFTQEDLHLFSQTCSKTTDPSDYPLSIGISKNV VVYSGDVLREKSRQSHQSREDVMDELHRCLGTGPGVFVVKGFERDLEVIARTNEVFKN IIEREKEHAKGDHFAAAGTNDRIWNSFQKHAVEDPQSFVTYYSNELLAMVSEAWLGPG YQVTAQTNIVKPGGQPQKPHRDYHLGFQSEETASKFPIPTQIASAMLTLQGAVAHSSM PLDSGPTQLLPYSQQFEHGYLAYRHPEFVDFFNQHMIQSELEIGDAIFFNPALFHAAG ENRTTNLHRIGNLLQISACWSKPMETIDYPTILRSTWCHVKNFIDHLEGGTENPVAKA LLQAISDGYSFPTNLDKDPPPANSHCPETQLDRITVGVKEGWTMDTLEKNIKQLQDKR IA L199_008460 MDDPFAAPPLGGNLPRQRSRPNLANPIPAPPSSRSIASMARTPS PQKKRQEMTGQALRLHLQSLLEQKSTQLQTLGTMGQEILKQQQDLEERIREFEDADES DEEEIREDMKERLRDLDQAMRMWENQNEDMMRGLGGKSSDPLEDLSLAVPSPSKGSQP NAPSTLTRRQRNAQHRTLDMEFATEIGQNLLVEVRRLQALLSERDRALEKFAEEKENW EGEKQSILSAVRAAETSVERYKEENWNLEVNLQELRSSLAEVQDQFTKSNAETTRLAK TLVSAREAAEAYKTDAEKNAQLVEELKVKHETDMAQARKTTAGLQRDKSDLLTELNGE RLRRVSAGRGRLSKSMSASPGMLGPNGVEDDDEDVFAAGSKGNTSPTKRGPGFDEHDQ ALSPSQLYESDFNSPNPTPSKPFPRSPLGEMYVNEIDELRDNLAKAQREIEILRGENA RNRSTSDLSSKKSVDEFGSRTPGADWEDDEGTIGASGRGRGSMRGRRGRGRGIAASIG RKLGFNRSIISTPGDKSFNSTSSGTPDLLRTRGVSGSPAPSTPGTPGGEALGRVLGQN NNSVDTFNSPSLSNRSSDSIGQQTSFMAPASGALADEIGTQIVSSSSDYVDVAIMTDD WEPEKIIVPSTNIGSHEDALRAPHIMTTAASNASIAEWALQTPKRNSFDATLQNEDAP SSSTPAQQQGDATPTKSTVPLPMPSRLANVFTRSHSVADSISTMTGTDTEADYEDARE TVGTLTPSQTHSELPTDTEAYQTGQEWPNESSADSDSDREDSRERDHTMRGLKLSGVG TGSGGWTAAKQAHKNASANKERVIEVPVERIVEVEKIVEVPVDRIVEVPVEKIVEVEK IIEVPVERIVEVQKTIEVPVEVEKIVEKIVEVPVEKIVEIEKRVEIPVDKIIEVEKII EVEKIVEVPVEKIVEVEKIVEVEKRVEVPVEKIVEVQVEKIVEVPVEKIVEVEKRVEI PVEKIVEVPVEKIVEVEKVVEVEKIVEIPKIVEVEKIVEKEIQKIVEVPVEKIVEVMV EKIVEVEKPVDRIVEVERIVEVTKIEEKIVEVEKPVEVVKEVQVEKIVEKTVEVPKIV EIEKIVEKIVEVEKIIEKPVNVDVEKIVERVVEVEKPVEKIVEVPKIVEVEKIVEKIV EVPKEVEVVREVEVEKIVERIVEVIKEVEVEKRVEVPVEIEKIVEKIIEVPVEVEKRI EVPVEVEKIVEKRVEVPVEVEKIVEKVVEKIVEVPVEVEKVVEKIMERTVEVPVEVEK IVVKVVEVEKRVEVPVEKIVEVERIVEIPVERIVEVEKIVEVPVEKVVTVTKTIEVPI EKIVTIEKIVEVPAVQLRADQSDSSMQTEPLPSTPSSPLAPPPDISLFRVTPGTNYDF LKAPPAPGSLGKRGSRRASNDHLTSGNTDTGLPQSRDLASPANIDGLPPSSPTGSTAP DRTRPPTISLPPPPDVPPPPNTAVKKMSTGPPPRPMSPPPDDFMQRATTPTLQMSVNR HGSRTAPSSSAAAMRVAAGDMPPPSSAQRQTSRASFKVPHSVQSTPVRGDNGTWLKSR ESVKRRATKVVSSSGYASASSSISGIDQIPNMHDRNPSMSSFDSYAGTVPHQTAQQPS HGSTDPTTIHAITQTMIGEYLYKYTRRTVGRGQSSNRHKRFFWVHPYTKTLYWSSEDP GSSRVSESSAKSVFISSVRAIEDPNVQPPGLFNKSIVVATPGREIQFTAENKERHDLW MSALQFLLQQQNASSSTSHLAESSIKHNTSRTGLSSIPDEQGRLTTSHNPPKSPMSLR SFGSERQSLNNITPRAVRSTSAMSNVRPGTSMSKRAGTAAHEYMRRHEVPSTIHGGHR YKGTYKGAPIADPDDFDLVSRDDGDEMDESFEGLENVRACCDGKHLVGDHHHHHDHPN VPKTPARSQTPSIRAWSMRSSTARRPSNASSRKPIGNIHTALEGDRESIFSTVKKRDT ERSRSKSAMGHRDRY L199_008461 MSAGALSFTLPSSTPAERPYTNLINSTQFARNNFRPRERAWRRT VSPQENPGALKRNLRKYWGHDEFRHPQLEICTDAMRGCDLIVVAPTGLGKSLCFQLPA ITIEHGVTIVVSPLISLMEDQVNHMVEKGIKAVMLKENMDEGALREIRRQMSLGHPEI RLLYVTPESLFSPKHKYMFDTAYKQKQMVRLVVDEAHVISEWGLDFRPKYRELGNFLN EYRGIPVTALTASATQEVRNDIIRSLGIKKGYGQWVMPFNRLNLFYEVRYQGRGSAED DEELEDQKSTVEEIADWIEAYTSKARARNVTNGIHRPCVTGIVYCRKTKDCEDVAYFL RERGIKAQPYYKALQAANPATLAAWNEGRVECIVATIAFGMGIDQPHVRYVVHYDMPK SFEGFYQETGRAGRDRHISHCLIFYSREDARKVRYNHELNERKRKKQGAEEDPEDILS PINSFKALQHFLENTTQCRHTGICKYFGEKIDLRDPSVKLAYCQGMCDVCKSNKQVRL SALQLTEGIEIASQPEEKEVEIPSMPSADPLDVISEEGSISGSLKGLGEGYGLDNLNS LDSESDDDVPQLIDDPQPLFMPVSPSSLPPTPPAQLRPPDLLASINPASSATHTPVPS IVSLASSANHSPLVPASTKSITSTVSMQGSSTPSSRSSDRGPAVPVSLTPVLKRDLSA EALRPVPERIFQPIRTRDITQVTPNRAIAGPGPSTVALAHGQSNDRRSRDSSPVKRRR DPVDMNTPVRGVRYIDDSEEGTSSELKLTREQRIKADKMLNSVEPVKGNGPFTCYDQT PTGIKFRKISSTRATFKPPIARSPNKIRCDLIQKPAREAAIKEMTDSLMGCLAKGELA RKLLKGWGRDEKGQERGKLIVGIARVMEHEIADISRNDPSGYKGRIKQFRNATKALRS SEAVKMISKGRLDELDDGSPEILHLRALERCIRAWVHE L199_008462 MRSQIQIQMSRPRWAKHSDGSPRKLTLLGRSILLVSGELLANAI CWIAAGICFRDADGLLGLALLAWTIGLRHGLDADHISAIDNATRQLVSLGQLPITCGL FFSLGHSTIVIVVNIAIAISVDIYDKLDKVGSVGGIIGASVSSSFLFLIACINIYFLI GAIRQRRKIKRRQEAGLPIEDEDADPTKVYGGGCMVRIIRPVLRAVDRSWKMYPVGVL FGFGFDTASSIALLAISAVAQRGPNGKEINHGKIVILPFTAGMSLVDSLDSILMLYAY ATPSTDTPEGKLALLQAYEGDSKTSLSLVEENVVPVLSSEVDSNTRREQGSEMIGRSE IEVLEREDQQEEQNQPKLAPYQDTSNPFNAPEMGENSEMINGNERETSNRKDRLLRVK TQTMSNLSIILTLLSILVALSISLITMMGLIGENCQKCTDAAEDPDGGGLAGSWWRAW FRANDQSGYIGAAIVGCFAAILLGYYGVRFGMKKHKARKGSVVLEDSRDEQEVVL L199_008463 MPPLQFWKPGTAAPGSSLDRDTESEGSLLPSISSSRNEHLSLES QRKRLPIYRHREKLLWCVEKYQVVIVVGQTGCGKSTQIPQYLHESGWTSQNHVVACTQ PRRVAATSVATRVAEEVGSVLGDEVGYSIRFEDLSSPTRTRIKYLTDGMLFRETMLDP LLSKYSVIMIDEAHERGAYTDLLLGLLKKIMRKRPELRVIISSATIDAEDFLEYFNTN ADGTDRSKDDAIIVSLEGRMFPVEVCYLKEPCSDYAEAAVQTVFDIHMKEPAGDILVF LTGREEIDQVIQEVSDRIQTLPKSAPQILALPLYATLPPEEQALIFDAPPRDTRKVIF STNIAEASVTIDGIKYVVDSGFVKLKTFNPKTSMDVLSIVPCSLASANQRAGRAGRTS PGKCFRLYPSSVLPSPSNPHSPMSITTPPELTRSDISLYLLQLKALGIDNLVKFDFMS PPPSKMMIRSLEFLYCLKALDDEGRLTRPMGERMAEVPLDPMMAAILLNSHEFRCGEE VLTIAAMTSVQNVFNMSDGGTKGMMSEMERRKFTAEEGDHLTLLNAYNAFIRFGQNNK SWCGNHRLNHKALSRAVAIRKQLKKYLDRFGIPIVSCEGDAKRLRKCLVTGYFKNAAR MMPDGTYRSAREGATLHVHPSSVMFTRQPSTGWVIYHEVVETTKSFMRDLTVIEEDWL VELAPHFYEFKGGGMKKHF L199_008464 MASSSSTSSTQTEKRRHSQFRPCIDLHQGVVKQIVGGTLDLTSE DKSKGPKENFVATHPPSYFANLYKSHDLTGGHIIKLGPNNDEAAKEALSTWSKGMQVG GGINEENAQQWLDLGADKIIVTSYLFPGGKFDESRLKRLSDDVGKDNLVVDISCRKKE NGWIVAMNGWKTLTDMYVTQESIKLIEQYCSELLIHAADVEGLCQGIDEELVIKLGEW VSIPTTYAGGAKDISDLKLVDTLSKGKVDLTFGSSLDIFGGKGVKFDELVEVDKLAKE LSP L199_008465 MAEKNGSSSSHTLDSTPLSQKASGGGRKKQPRASASAADLLSTP SRAGGSSPKGKEKALDVLPSTLHHTETGVKLSRNQRKKNKKAHNGESSIVPQQRKDDN IWDLIPVAQNEVSRIPPVWSNDGRFYFTVTHTSIHIHSSTAPAFARLSTLSSTHSGGH SKPITSLHLSPANSFQIISSSEDGTVKIWDWVAGRLVRTIKFSEPHAKVQHIAFGEVD GKWWIFAAVTHSKDGSAHKLSHRVLRVSITGSGGPSLIGKLSAPPVALMMSPRSTYLV ALSGTKAYTYRMPTSSIEPNVDIWENRPTCVKFVSDQSFTCGAFSPEKTLAASSEEEW FATGDEKGVIRLWHGLAQAFRQVDLANANQSTMGVANTQTESEKRLPTTSLHWHAHAV SAIAFTPSGSQLLSVGEESVLVQWHLASGKREYIPRLGGRPIISLTVRKATRAGEEEW WMSLADGATIRVGSASGHISNVGQGVRLDPLRPTSSTPSYPFSLHPSTSSLVVPSSHP STLQFIDPIASSVLFDLEVAPSNRVSRRDEKEIQPVSVEKVAFSDSQDGQSIWMASFE SRTGDEMEGGGAIKNLKMWKWQDEKYAVNTQFPRPHGSSNVTSVVFSPISQPSSTSSN SSILPTPYLLTASSDGVAKIWQVRQSKKSQNGKVASAKPALIELYWSCRSTFDYRSMP ISDSAFSPDGTIVVLAHGSVVTLWDVESNVLLKVFDPPSGIDVKKTGFVGDEGRYLIG AGEDHGVIVWDLLSCEVAWSIQDHSVQNLSISNGFFFTTSTLTSKSIFTVFSPHSSDP VRTMSTSQPIKHLQILPSPSLSPSSLHLIGVAPSGEIYRFGDLALSAAPLSSKAVSTA QQQKQGLSIWQEMFGKDAFLDSLSLDVASAEEANATATASALQQRVVSGKGKPSDIFN GPSHTMPSTSILFDAFMDELLSGHTSLSSTSATDQVEESESHEIIYEEGRKIDVDVPA VSVGKGKQVDDEEIRELEVFFRDVLGNVSTNIPKTPAVKKVNGHSHGHGHGHGHLPNG DQNVTPQNNKRPNGVGSAKHREDEIGFDTPSSNKKEKETGSAQKGKKRKAPRED L199_008466 MTLSFTLPQIQDNADGSWGPSTSTLPAQFKDIPYAPFSKSDKVT RIADWHDLPADATAGRQRQGQVRRQGREAYGAAEGTVFGFVHDEDEKSFSLVDSGARA GTRVKAPIRAGGRAIRGAALTRGTRGRGAGRGGFGGRGRGGARGGYGDWNKPQRTRDS SVTISPDWQVLEEVDFTRLAKLNLSVSEPEDLASYGTIQGYDRAFDRINTRNEKPLEI LDRVRYNTSTSDDPVIAQLAEKKAARIFATDSILSVLMTAPRSVNSWDIIFERKGEDQ LFLDKRESGPFDYITVNENSSDPPVDSDDQSNINSAGSLSLEATYINQNFSSQVIQAS SKAVTPKANPFYSADVETEPLASTLYKYRKFDLSIDEEETFDLIVRTEADAYLGKKDT LITVKALNEFDPRAQGGSGKPLDWRKNLDTQKGAIVANEMKNNSAKLARWAVQSILVG AEYMKMGYITRANSKDAQRHTIVGVQSFKPNDFARQMNVSLTNGWGIVRTIADLLLKQ PEGKYVLVKDPNAPQIKLYKVPDDAFDAGLEEETIGESQIDEE L199_008467 MNQLSDLELVAQAMSSSGPNPQAQSQPNGNDDTEQSNQSQDQDQ KHVKSQSNPNFIPNVNGNTQSRTGQTPSDLPNLQSLNLAIDPTTLTSLTSLMSLSDDQ LEGLDESQIEEIMKQLEIADDVADDLEGKLDRLLATLGGVEEEIVNDIDEKDDQQKKE EEGIDNK L199_008468 MSGELMDFTHRLSSRDEADTSSFYDRVIGFQNAGVTKGIMILLA LTSLSASLLDIKPYLHLQLVPHITKYRQFWRIAIHPFAFANSTELLIGEILFYNVGVA IERAFGSRKYASFILVSSLLSTFIASISIVLGYKFGLNSIPAGPYGIIFSLLWQQYRT FPSLYHFRVFGIEFSSKVFNWILAAQLLLSQPPPSLLISLTGLLTGYIYRTDTLFPLP SLSISRRRLLVRRSLKTYRIPLSVYRLLSRLFSPIVGESLPPRRSQRVLPGQQSQSQI QLNQRGSSIATALNAGATNQNGSARSRLRSLLNNRLNTNTTPAGTANTANTTDGNNQR AEQAGRGGGGDGGTQRSGVPGSATAAMGEWVNEITGRGTRVASEEEISTLSSMFPNFS REVIVRALQTNDFNTAQAVEALLQESG L199_008469 MSRATLLFLVIALVALSFTPRVAAFGAGNIPGYSYLEEKAFRHG DIEDIIGNLMKAAGGGFLSRGTKFTPLDVKRVYFGNWLRDYSQAVDVGALKKTNLQTI LNVVMVLGFLGLGYATGEFEVTKERLGCYLPTEHIDNPKGYADGEDARQYDDRLRGPV DPRELEIDPQTGMKNYIANEYGGWATSKALVRQRLQEVIHYGRLFRSNDNKADSYQAF QLLGRALHTLEDFTAHSNWCELALISMGYNNVFPHVGRNTQIQAPNGKRVYPLVTGTF GGADFIHSVMGEATDHLSQQSVSDLTKQMTNARSISEGQSNSADTLRQLFFSIPGGEG NDMTREMDDIQQMRAGQPGGIDPSQMSPQELHDTLWKILSFRDSVMKRIENTIDRIPG LSGLVEKISNSVSVFIITTLEPFVKPLVGTATAALGQTSQAVIDSHDQYEVWNDPYAS DPTHSFLSKDHFGLILNQPAGEIAQIVVEYTVKLVVQAWDNNSMPVEQVTEPVLQALF HPDFHDGRSEIQKRMLDKMRNWIDTVHQKQEILNRLTADKVKSGGNRRIGDNSQATGH VHNQLLPEGGLQQVVASHNVHVPGAQFLNAGQDLLSGKKPWDQGFGSGGPNAWRGIDP NNPDNGNNGGGQASSFYNDNNQNQYGSGQDQYGSNQSYQPPPQQSYQPQGGHADNFFG GGGGGGGGGYGNPSPPPHHQGGFNPPSYHHSEPQHPYGGPPRDHYGGPPGGFGGPPPP QDQYGGPPGGYGGGQPTYGERMAQQQGYPGAGHHGHGGHHQGGNQWGGQGGYGGGGGN W L199_008470 MPSDRAGYTLSVYAIDVSPSMGELKADPGGEKKVPKLDLVKEFV ARRCEPKISSGRKTEAVGILSYGGKTNNQANRAFVEQNPEDEDPPYANVSCDVAIQTA KPKTVEVVMNLDVGEYEGNPVSALMVALDMIHTHKHTKSWALEVVLITDGESAFRQDE YEEAMGRFDDLGVRLSVVGIDFQPLSEAVDKSKSRNKRLSEKFWRTFVSMLHERISKT TDSEEMLPTLEIFDDSLQESRLPKAAVVNGTVSGIELHIGSPEVDPEQAITIPIKYSK ATMKARPPTLSKAWKPAMELQAPTRPTLGDQRQSSNQLMSSLINQSQSLSQGGEIPKM EDFASMISAEVKHHSTYVLKKAENNAPASSQLDIQGLDGEYLDATQQATQNQDDDAEE EFVEKEDLVKAWRFGSTWVPMEADTFEPMDTRKGVEILGFFPKDAIKRHLLMGEVRFV WPDLTSPKGQIQFSALVEGMELRGMCAVVRWVLKDQAEPVIGICLPAMDFPGEGKRLD FMYWVKLPFAEDEHNFWFPSLTTYKTATGKVVKEHPLLPTDKQCELMDDLVQSMDLDT YAKEKAKRERQQSGHEDETMSEEEEDEGETPRWFEPEKSYNPVIHRIKEAIFHASLTA DLDANPLGPPHPELTKYFSTPSEVVERSEDLTKRLKNALDIKKVPLRTRKKVQKEGLR EDEGYIDIDELFDETTASSQIKAESSSQGTKHKVERPPPKEVKDEPRFIESDEEVEPL NIKSKPKTGRLISNENPLDDFKKLIEGEGDVFRKAIRDLGVVVEENIQSSFSYQNYPL ALDCLREMRSTALVYEEVETYNEIVEELEVKVKRGKHKNFWEKFEEAGEEVAKISPEE AQKALDEYE L199_008471 MRGTSGWLALAGCLLSLAGGVQANSPPVSISLETSWAAPSLLLE ILETVYEESPSSYFPLLSLLPNLSEEDYESDESLLDSINNLISSYSLLPGSESTFDLA LALHTAVPKIQAQFSWYESAVRPKERQLGVEACKEDGWVEWRGKGFCGAEELKRDVEM SIEEGLHHLNIQPEILPFDHISSPTSTSSSSSSAVLYFKPHSQSSANLLNYLSYHESQ YPNFHYIVRYLPPTASLSENEMQKKTPLSGWGVEMALKKMDYLVVDDRLTGSSSSSQT QNGTNKGGQSGKGLFEDVLGKDPWSDLATPLTSVEIRDLGLKASTLIKSSPNPLEALR ELSQDFPKYSASLARKVQVPDEIRDKAKALLRRGEATEAIYINGKGWGEGLDAYGLLR AIRTERRHVLSLTSLGLTPKQAIDLIADPVIGEAQVEDSPGEGTVDASDRVEGGDVIV YWNNIEKDKRYKNWPTSLGGFLRQLYPGQFHTVRKNTWNLIFVLDLAQVSSLDIIANS ISPMIQRGLPIRFGVVPMFESGKDDISAQMAKIFHYVVKTFGRGSTRDFLAALVSATP HSPQLPGVLTLDTVRKAYDMLSLSSTKTGLPFDEVLSTELFDPHLEKTAAYITRLMAT KEESTQGHLFVNGKHTIVNGHWPAVVQNEMATQLAFLQEQLIRGEQPEDVANFFYDLP STSRRRNKLINPGQGEGKLKVFNLLDIFEGDITKRLMNDFVYPGGEGVTPVTIWVVGD LDSKEGQQVVEDALRHVQNPNGASRLGFVHIPVDDPSVPSPTYRLSTALYQLHASSML SKATAEEFLDVIRELNWSNDNVDQLIIPTSDLEESRGKVIDSIDSNGQTCFKVILNKE DIKGYFDDRPIHKAAFDGVSTIDAAAAAEFWKVGTEIAKKLGLRDGKPHLLVNGRLVG PLTPQNFILEDYDALEAYEYRKRVKPVIDLIQTMYEDISVFDRSTLSNLLAVSSSVIA AAYKPDSAEGIFVPTQVARARLYKKLDDGTLSFTLGNPEKAILDVAVIVDSISENAQK WSTVLQTLSEMDNVAITVYLEPKPSLSEVKLKRFYRSSISSKLTFDVDGNVIAPGVTF LDLPTTPIYTLGLDTPPSWIVSPRTSPYDLDNLVLSNIPSPVHVLFTLKQLLIEGHAR ESTNAPPRGLQLQLTTNGLEVASDTQVMANLGYFQFKATPGVYNLSIRPGRGREVYEL ESVGSEGWDSASVNITGPSVILDNFEGNTILPRFVRRPGMEKADVLNEEEGKKDPESF AGAVFSKMKSIVGLSTEVISTKPKSRHADINIFTVASGLLYERFASIMILSVMKHTNS SVKFWFIENFLSPTFIEFIPKLAEEYNFQYEFVTYKWPHWLRAQTEKQRIIWAYKILF LDVLFPMDLDKVIFVDADQIVRTDMKELVDVDLHGRVYGYAPMGDSRTEMEGFRFWKT GYWRDALRGRPYHISALYVVDLKRFRQLATGDRLRGQYHALSADPNSLANLDQDLPNS MQDTIPIFTLDQDWLWCQTWCSDESLASAKTIDLCQNPLTKEPKLVRARQIPEWDLYD QEIASFAAKLQGQEVGVGALAASVDDLASDANVATGPNAVVEEGEQDLEDEEEIERVV EDDETDEEIIDEMSEESRRLDDEL L199_008472 MQSSNPIGHQLTQRTLRRALHACAKRAAEQTSPKGKGKAISDTL FAPSTRSTTPQTSTSKIAPSLKPTMPTYTSSPTHSRGWQAFETSWAYHPSSSTKIPKF LKDKVKDGGMGVMEVRTIFEKPINFNPILRLLGCGFTAFVGFSWFWLPPPLAEEGGYQ TFWNSKPDSGYTTKIWGTFKHFLFVQTPYWALGGAALGVYRLTKNLNIVTKLEQCRVR LNPTSTPTSAGAAEEIHLRMSTVKKDLLGRWSGEPRDLRLSDVRVLPVKGPHERNGDY ILNLHVKDSTKSNFADSQPYIVDTRYMSYLDKSDQPYALSPSRLRHVFGRWEGSD L199_008473 MLRRIAQKNLARVAGPSRARLLSTTAPRQADITLTIDGKEVSVP QGTALIQACEKAGAAVPRFCYHDRLAIAGNCRMCLVEVERSPKPVASCAMPAMPGSKV FTNTPLVHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGSDRTRFHEITGKR AVENKDLGPIVKTSMNRCIQCTRCVRFANDVAGVEDLGTTGRGNDLQIGMYIEKTMDS EMSGNIIDLCPVGALTSKPYAFQARPWELKKTESVDVLDALGSNIRVDSRGVQVMRVQ PKINDEINEEWISDKTRYAYDGLKYQRLTTPLVREGNRFVPASWETAMETIRHGYLNS GARGDQVKAVAGALADTEALVALKDLVNRLGSENTTLDSKLGDVPPAQSVDIRSNYLF NTSIENVEDADAILLIGTNPRHEAAILNSRFRKQYLHRGTEFAVIGEKFDSTFEYEHL GTSPKDVEAFLSKGGDKGFAKIWKEAKKPLLIVGSAVTETKDGAAVLKAVGRHVLDNG NKFLTPEWNGFSVLQRAASRAAAYDIGFTPSSSASSTQPKFVYLLNADDVDPSSIPED AFVVYQGHHGDHGAQFADVCLPAAAYTEKSATWVNTEGRSQMGRTAVPPPGASREDWK IIRALSEVIGQPLPYDDTLQLRQRMFDISPTLVRYDAIERPSPEVIKTGLTYLSSAKS QAASSEPFKKPITDFYRTDPISRASVTMADCSKAFTKKDYPLGNVDEKAQASYA L199_008474 MYPTRKAPSPPTSPFGEAALPPNHPFATYYIPPPPSYPYNHQRG LYHSSSEQSLDSSRYSQSIGSYKTQRPPTSSLAQPLCPKSSSRTYPVLGHFAPQPLNR TISKPHPQQSMEYGSAPHPAYSRSTPPSPIQSRYSAGLPTELTMFDTKPKQNLLGDVE YILGKKIHFPFLHNLTNSKSKKGKDEERKKKRKRRLTKDRYAQEGWEWQGTLESYDLS PEDVVVVEKRRGRGVREGNYI L199_008475 MPPKAAQRVAPPNQYPPDAMPKIGRIIFHIGVAAAMFDGFWGLQ RLAITKDYIGTQYGGHFQYLTILGLFGTIITMMLSGICDYLPAIQAIKTFKRVFLLFA LPVELVISSIYWAIILFSPELMLPPNPDLASSPEPSSSNAEDPLFRIPLLMDLSMHAL PAVALIIDFFFLERKYKPPASTIGAFALAASFGTAYSLWVEHCASINGSFPYPFLTIM NPQQRVMMYVGSTVMAWTVFRGLNALHK L199_008476 MSTNYSYYLIPLVHLQTLATSIRFASRRLGFPNNVNPRDVIKEM EASGKLKKEVLEKIKRRQAAHENCFENESVWITAVLAGNQVGLSTSWMNTMSITYFVL RCVYIYSYINISTQKRSIIRTIAYWASNFCFLATFVKAGLKFNSTSKLL L199_008477 MLLQIHSLLIVLPLFSTPTYAYPSRRQSQVQEQDQETEKSTGLY ISSIRDGLCISTNDTVPIVGSELVLTDCEGAETWHVPNGEGLLGLDTVGLVWDSQTGE GDAVIVNAPANQTTSHLWKWSSDNRISSSNGSVCLQHSPGKPKIAACNPKNVDQVWIL RITSQPQYFDDIAKQPDSNRNGYFHPKSRNDICLSAISNAQAFVGSGIAMTYCSGKGD GTSYLDVSTSESLFTWSLPPVNQKGHVKLSAQDLCLETGLKMGSNDTEWSYIYAMGLT LQECVDRKEGQDWIWDGESLKVANGDSNQCLNILGGAGPVSMTNFLNLRPMQLWTCDS DDENSKFTLS L199_008478 MGVPGLWDLLRPAAARTSLSSLSKEAFQANRNGLRALTIGIDAS IWIFHAQVTHHGENPFLRTIFFRITALLQHPVLPVFVFDGPNKPGQKRNQNVAGQFGT ADHRSRQFKALLDVCGLEWWNAPGEAEAELAVMNRQGKIDAVLSDDVDALLFGATCLL RNNSPTLSGAQANIARGDMRNYEVYRSSAIKDLWTKKEGTNLKTEEDCRMAMVLIALL GGGDYTPEGLPSIGPTISFGLANAGLSDFLKKYTSDRATFDSRLSSTHSQIVEELRTN AQKQIGRKYPDRANKLSVLSPSSVFPSFTLDAYLNPCTSPLNDLSQGWPGFGEGESSR TRGKARNEGRGDMEGMAIACEKYFEWGTKEIVAKKFAGEAVGVFGAELMDEARQRVRS RQPANPNPIPLIHQSVGTSKAASASMITSFFSQSMPSSSPIISKSTRLSHPVRHEDRD DSGQPPEHVIKIHSTRLDPTNGEMTEYRISFQRSQYTRRCQESMQGIRVDPDDLSDNT RQNIGLREKERERSESLDSEILSGTPGPNESKDEIRVWLAEYLVKEAWPELVKQWEDE QLAKANAKKSKSPKKKKTLTKAPPKKGKGTGVEKMNVGVFDAFYAAASNTQRPRDVIS SESEEEETIENLPPRSRSLSTSSPRRSPSMPNLAGVAGKKTKARLCPTSSLTSILDSS PSRGTSPTPKAKTKTSSRPKAKTKRTTSPEPEQQAGSVSPKRPSSPKRWQFGAKRIFS KSKSSPSAFSIGKKDKPIDLCSSSESDTPVKSRRTNKITNIASLSSPPPDVYGDSSTA ISPSKVRKKNQQEIVEILPGNSPVLLPILGESSQNNDNDKTKKTKRRNSASKSKNQIG AVSNKIESKNQQSSILDFSLDTITLFPSNSEILKPKSKNGEKKRTPSPKKHKKKYVVV SETSDEEVIDCT L199_008479 MASASQSSKKSQKRKSDAANLGGSDVQVIVEEGSTSAGPAFVNF PSIRPSKNTPFTIYTRDVGSSSDLTKQHTIIAGETEDVEFFSTNRDHNLNTEGADCQY LPALYDPSTGTVHINPSTPLYLLTHGVKRLRSSVTLPSASDARAHWKAQRNDLGETFG TRKAKTQIRAEERNKVDVSAMEGVKGHLMGSIPEMLVDDGPVQASELIPTPDLTTSDP SEVYPRDSLISPSEWQSINVSQLVHAEDEDSRQKLLPPHKKSFWLQGRIRYIRNLEDK TARKEQMKYVLYLATLLSLYDNPSVISKIPPGQIQTKFPNVPQQLLDGVIKRFSELQG KKRVVTEKMKTKLLAWICVMYLTLDGFSVEIGKVARDLKLKDATVENMFKNLGCAVNI ATPAEREKQGISLAEASKNKKAVLKAPVVFPKIKRRGPAKR L199_008480 MYRHATETPLTPPTSYRSSPTPSVGSTTSRSSRPSFCYQRYSPY PRMKMEHEEDSETEVLRDIRDVRLEEYRRKLQKARSTSPNDELPTARPKLSTASSTSE RRNQRRDMTHRRACSMSTISYGYFLFPYQNQRTTQTPLLRSSTSRPNPAPFSYRQYLP RRAQTSSPPPARPHPRPSIASPPSRGSTPTPNINRSNPSTRKLILVNSVVANHLKHGG MVNLRSVDGQKSFKVYLPHTMGGVEMIRSLATSSTC L199_008481 MADEAPQQSTSKLDNAGTLLQSVSTSMIHEKVSILPGHEPDYSA CTFCLWQEDHTLGNALRWIIMKDPDVEFCGYTAPHPSEPKIHLRIQMYDGLSAVNCLR KALSNLRDLFNTIDKSYKTSLRNDNYIREDDIDVRAAVDETLRQRGFPVEGDDEGMDL S L199_008482 MEEIVIPNLDDDEDDFQLPVHKPSFGAGSSTSSLPETDPYAHRT NPSADGSFPTRLQATPTRPILPQASASFSVGVPTPDTKAHGFGQNAASTSTGSDERSK LGKFSNGSSSSLVTPGTSISSSAGFLQARKGSLASLKNAFKSSSSSSSNTNAIPPVPT LDTKAYGAPGYPALRNPFSRFDSPISPKHSTFKTPNSRSGKTPSTSTTASPAQHSITP SGGAVGYHNPDGRKYSIASSHRSQGGRSINSQGSSNFKAEDHPMPALPPIPMRQTPSR MNRMGSDASVFGFTSRRNGSIGGGMGEDGSEMSFGKTPGEEALKVVFRGFREVANQKV SRICARPLNTQPSLPSSLDSGVDPTFDSLINSLAHCGTRHARRVVDLLTSWCRDYTGN IGASEVRAHLDRSLGLPMRVEDAAAILQSRKSSAAKFIMNRSLIELLKVIPKDSLDQE LGMTLEQNAFNAYRSEKMEEIIQFPHRKAVSQLQVELLGQLSNNRFLTVSDRFIRELS KHATASQPTKEAEARIEHLLKGMRHLKLRVYPENELEMSSEFITSLAGFFANSHGQTL KIAYAETFTSLLHPVIETATAEVNHPLWSKAVAIVLERALAMAQKARYWPAAFPLVIT ALGVSPREVFMQQWQSCIDAILAKFKDRNLRSIAMGAFIRVMWIYLNRCSESSTSMRK RLDPLIRTCFTPNGSLYPPESPSDAFIAILHFIMTRHLDYGEEFVSEFLRNGALDGLA DRSMILVRAINYTLRSTELEKSATWPTNPDFTKFDFEGFETSGETLPFDAESKSEVHD LLKRCGPAFIDLLFQCDNNIKHLLLSNDSIALSGHASSHSMDNVTENICVKHGDVYVT YSARYAPTLHLMSAILEALPRCMPNDVNFPQVVNVLCRATFSADPKVCQIAGDTLRRI CQDPEKCLMIVNTFREFVFETRHVFRDTFIGARLLESQFERIISLWLDLLQILVGHQR VAEAQAIDDESEKRSPPIEPSQISKIEGCAMFLLSSTALPIRKLADQILVAARNMEGQ QRRPSAAFRYSRIMPDKAALTRVLQIFEFNVEESDLGSIRGLPWMTSSDRHRIDLLCA KDRSKLLQRIAKSDHPKDGLLWLSVLPFFIGKVVEQLPSPAADLRQVVCQLVLRLQAH VAMVAGSGISRGTPSRGGVTARTSSDMAILADHWRAYLSILCVTMSSQGPAPPTPPVQ RTKDVVILNQEMINTPGLFSYLTSLLGWEDPRFKDAAVYAMGSINQDLLRPLSEILLS VVRRLADGSKVGGTPRTDGGGGTISTSRRTPLTAHGPIWTSVAHVFRLISPLLLDAKS SSHLTNLSSMIGFVKVTYTLLSDRSVKEDFELQSLRRSFCITVENLTNSLGKLDSSDR FLGEEMRGSIFRLCFEWCHVGRRPDVAKARESQTLQAAAEGYRGDRDRAQYLDDLQAK TKLLSAAAAEAMAGLCQGKLISTNEATPAQQASDHMVEPLTVLRWIRGMFSSSSVSHH ETGRRALFALIKYNWACDRLLDEVLHQSFGEGEQFSLESSFFGVVADVLSEGYHTLPI EQVACLALSKLGHPVSAIRQRAFQLTESLYIDPSSKLLSTALFPAIGSSSANIYRNAQ KEMSVQLASIYADHAFQFLAECTTRLSQLEAPRRQATLSILQPWVKYLDLASDTSELS PEDAAAEHQALQNLVYLAVRFGDDHLEDVKSIFVSFADAGYTHQQSPPQVQQTHNTNT TALMKFLFEQGGKRKSPEFVNHAQRIMACLAQSKAGDAIFEEICNFVEPNAMAALPEA DIPPSPMTSLVNLDSLMNAPSSRSQTFSTGQLALLFAGELLPHRLDDISTGKRLPSLL HAALIHTDHNSSALRDQAQSVLFQTLRAWVCDLSNVPTGDAASIWSSTETKATSLART ASTAFWKADDNGTSESAFLAPPKMTSLIMKILGILLPLQPRIRQQWGELALSWATSCP IRHLACRSFQVFRILSPRVNPRMVSDTLARLSSTIASSSPEIQAFNQEVLRTFAAMVQ NLSMSEAYSYPQIFWCSVACLTTPFENEFTEVIELLSHVLDKTNLSDPSVVGHLVSFR PPDWVGPPPYLQSLLMVGLRSSKTAFLTFDLIRRLTSASQDELIDPPNDRLLHGFIAA LPWMLHSLDVGEPNEELASMALDLASIADAHGNASFSRLLTSFARVRFRAKNDFIRQA SSLLRDFMPTHALDIVTLLLGFILNTNDWMREKSMSTLKLILQHPEARVPIQQNGNEL LVPLLRLVSTKHSSQALDVLDIPLPPSSMDPSTSGMLSPNSFSKEGGGEIFGSINDET GWSIAKSKEFSALTKENVHAVFNTCAIETRAASAHFSVVQFTDLGMGLKNGFGGFGGL GNHSQISFDLPSPPLSSLANASNISHGHGQRDLYGGNKNIDNQSIGDLVGALHSLGQF FDDGLEIEEDGSPSTMDNQHHQFISRGIGQSQSRRGSDAKPAPPYAGGHGKTGSDVSE RRLRAIMARGHQASISSPIYESSPSHSTINGSNPTSGNTIPNYNRSFIHRSNMSISMT SDSSITSSQDQDREDNITQRLNFSQGQGQHMRNDSSGTGRHSRNNSNLNVFLNSRRGH GHGQMESVSSVSDIGDNQAFALDEQQNGQNANQSMTSINSFMNRQGIWEGSNDNSTNG VNDLNEGRSEQSTPILTKRSIR L199_008483 MSFAKRFVSTASTMSQVYFDIAINNAPAGRITFKLFDDVVPKTA ANFRALCTGEKGFGYAGSGFHRVIPQFMLQGGDVNHNGTGGKSIYGNKFPDENFKLRH DRPFLLSMANAGPNTNGSQFFITTVVTSWLDGKHTVFGEVTSGQDLVKKIESYGSDSG KPKAKVTITASGTA L199_008484 MDVLKAVQTYITKMITEVPGMKVLLLDAHTTPIVSMVTTQSELL AHEVYLTDRIDNTNREPLNHLSCIAFLSPNEASIEAVKNELSKPRYGGYWLYFSNTLT KTQIEQMASVDEFEVVKEVQEYFADYLAQYPSHFSLTQAALSYGGDGPSNPPIFLPPP LYLPPPTLDTHLKTILSVLLSLKKMPVVRYEKMSLAGKKLSMEVQSAITNAPYKDLFD FRSTNGPAPLLLILDRRNDPVTPLLSQWTYQAMVHELLGINNGRVRIDGEEKIELRDL VLSTSSDPFYSQNLFANFGDLGASISSYVTEYQQRNSTLNPNTNAANSSNRIETVADM KRFIEEYPEFKKLSGNVTKHVTLVGELSKIVERDGLLEISEVEQSLASVESHASDLRS VQTLISSPKTRPANKLRLAILYALRYQKLIGNQIPQVIDNLITNGVSADKARLVYVML NFAGADIRQDDLFMNENFFSRGKSALKGLKGVENVYTRHEPHLTQTLDLLLKNRLREA SYPFVGGDEVVKTQRPQDIIIFMIGGTTYEEGRAVALLNQKLASDAAGGPGGTRILLG GSMVHNSTSFLEMVEACALNYPESIYAPPLGQQSSTLLSNSNTPIPSSTPIPSNNSNG NGPSINLRAGGYELSVGGVAGSGLYRTNESAGANAQFDLPKNFDAVAGVAGGIRDGAG RLWGNVRQRVEERVSRGNTPQGR L199_008485 MSVFNTDRLVGKTVLITGASAGIGAATAVLFAKTGANLVLLARR AENLKVVKAQAEEAYKAVGKEGKVLTIEADVRKFEDLDVIPEKVKGEGLEVDILVNNA GMVKGTEQVGADADITQMFDTNVLGLIHLTQIFVKLFKAKQAGTIINLGSIAGREPYA GGSIYCATKHALSAFNGSLLRELVNTPIRVIEIQPGMVETEFSVVRFRGDKEKADNVY KGLQPLVAQDIAEEIVWTASRPPHVNIAQLFVLPVNQATATLNYRK L199_008486 MFSKLSAVLLILGSTSTFVSSLPTSLVPRQSKAPSGWADGYYIA AVGQDKKCLAPAQSPAGEGTEIIATDCQNASTWRVPIQPSGGAVVHEESQLVLDFGDG QSGSKVTLQNFTGEVEKQIFHYGSDDRLRIENGTKWPTDRCLDLDDDGPQVYQCFPDN TNQVWLIRQTPEPKNLTKGVPQGSEVAVQDSNLNFIHPQDRKDICLSAVSNSTAQASQ GIAFTYCAGTGFAGSGYNTSYELMEWSLPGSGPGQVKLGSSNLCLETGANVWVDDAGG SEIPYFKVQNGMEIRLEECNENRTGQSWSWDGQLLRTSANANQCLNFAAEAGYVKMDN FLNLRPLQTWDCSNPDDHFYFST L199_008487 MNRTGLLILTRASGCRSTIVTSHAPINRHFIRSLHTTSRRLAGA PEPSAYAKRMQNLMNQPNRNRSSNPTVPLQQPWPWHEVPSSSADTITLQRTLFARPPD FAPPLLLFAAGVWGLFVFAWLLLPDPPKKDYTDEEKKIIEESERKAKEANMLSRLGMS FTNTIFTSAQPLIYGLVTVGLITMMASSTRIVTRINMLQIKPKDGSSQPAKTVLRLTN VGHEMLPWRAKKSREVKVEDCQVYIPNLNNSHTIRLKVLKNGQINKWSLDRFPYSLDY RAIPETAKVDKEVVQSVNRLQHVFGYLKVGQ L199_008488 MAPSRFGASKYRNSLASVPPREEFYRSHLPPSTGSSSSNLSTFS GEIKSSREWIVTVTPAGDLSCRKYGDHSGEKASIEKVGKGGGVGDWDLSRLEDDKVVI GGLDGSISVYTLSESPPLTLRHTIPALSSSPITNLSLHPTTPDILLASSISHPAVIYD ISASLTSPTISLNIKEPKGIWSLGWSSNGTQVAVIGKSGTLYIYEPRKTTEPIKTKNL SISVQALKPCRLTWVGDQDLFITSFSKTRNRQYSLISTSKNPNELETIFSQSLDTSTN PLIPLVDEERRIIYLVGKGDMSLRQIEFSGPMGYQETIHPLQHTLNSGSIALVHPTKL DVMKAEIASTLLQVTDKDGDALLPLSVKVPRRQLIDYHEDLYPDVTGTVPEQTAEEWL KGEDKKPFSLTLDPSRRSTWERRIQDYRSSVGTASAGPLDPPAQPASEPTTANTTSGT VAQQDPTPQQPDSAPHTEKAIAVIEKAQIASTTTSLNINTSLPPLEGDETYSSTAYKS RIVADYLAGEYEKRKNGGDKRPLFVGLQGPQGCGKTTLCSGFVQYLKEKKGLKAAVLS LDDLYKTHADLVAVAERHPDNALLAGRGPPGTHDVALATSVLEKIKQINESSDNTVEL PIFDKSLCGGEGDRSSETVKITGPIDLFILEGWSMGFAPLSSSDLESAHANPKPASPR TSDTYFNKHPISSLQTLNTYLEEFSRAVYSSFQAFVQVEPLSYDYVFKWRLQQEHQMK ANNGGKGMTDEQVHKFVERYMPGYELWKEGIWNVGTGWEGRGLKLVFGGDREVVNVVQ PTSADRKPVKEDEKGDIQLKEVSKVAEEKPLESLEKDIPVKVENVKHNEITPFPPPST DKKGKSSDSTTANTTSAPSSSRPTPSSLPSAALTEPSERFNPNWSRKFLAGKSPLIPT YDSIPSLSSLHQDSKILKANAHLAFFPIQGTGGRLNVHPLAKKGRLPVGGEGYLSAGV EIVHFDVELGGDRVAIAGEDGVVRVWNVGKEGVNGVGPEPEQVLKGKGIDKITQIAFH PTAQDLLVALTIDHGSSSMRFFDLSKGEEAKIADLSTNGAFNLTFSPEGDRVAIATKD NQILILDPRITSQVISGRSHDSPRSFQISWIDDNHLVSVGFSKGSQRKINLYQIDSGQ IETIQSITIDVSPSVLFPVYDPDTSILYVWGKGERVIQAYEISLNSSGEKIIKLPSFT ASSPQLGLVFLPKRMVDVKKVEVAKCLRLTGKTLEEVSFSIPRNKPDFFQDDIYIPTI DVESYVISAPEWLEGKNVPPRKIDLKPDDMKPLSQAPKTDTSATKKFVPAANVMSEEE KKRQEMDALFAKAKMDESSDEEEEEVKGLAPPDDDW L199_008489 MYPQFTSFHPYGYPYCLSQATLVESTTPIPPKPFPPFTNSGQTP FLHWRNYDIIGLDRNTALGFFNMLDRDIHVALTKRRSILSSTRPYQTTKLRMDIIPYN ETEADLYDYQTAFFLRCGKAKEWSSWECKVLHEVVRRCLPRGCTSSFSQVEVARAR L199_008490 MGGNWYLEFTYTSKKNPKFYFAGPEWNQAVENALGTGPIDTIAY HTMGRNYVRFEKWTAWNASEPRDKALELVKKYVDDVTFVRSNDTPHSFS L199_008491 MGYYYEVWTYPERNNPQPSYNSSDWEKWYKQLFRDEKGKVLTNT YIRVTDYLTDGRHHIRFEKHTPWDQSDKNNANRVIYKYANGARFYSEWEGAQ L199_008492 MGSAFSTIRWESPTGGKFRIYSRFYDVYGLANWKPVEVQERIIG HPAFERVGGCLVMVELKPNGTHEIEFRKEKQWTAKQVKFLDCALDGLPAPYHWWGLVI IRPHLRIAKEAADKIASAKSVVRAVPSPAFIPAPAPLPVIAKEKKETKKVTISEEKVI ESPKEADLGDKEMVPIEAKKAEETVTVEKATEPAVKEGGVPQDPPSASSGGKKGKKKA KE L199_008493 MGGFGSKPALPINALHFRVYSFHNQDTYQRCLDYANAMSALVEQ AAVTRLTDDLTFIACYRANPYKKKDIKLFQEEIKNFVGPQYSVDYSRN L199_008494 MISRLASAYPLAQEEIAIDLPMTPHRRFEETPSRRAETNVIALN EVGDGSAYTVDMVIDGILLPIHVDTGSSQLWVAHRTCQTCINAGMTYIDADLPEGCTG DEKIEYAAGTVSGCLVNTSVSLGEYTQEDYAVLAAKEVSEEIATNGELYSGTLGLADD KLTNSGGPTVISALYKQGQINSPEVGFYLAGKNGNATESKMVLGDSSSVSHAPDKESI VLSRAIQEDGIYVVDLGDVIVGEKSIATDQVAVLDTGSIGIGIPSSISQDVFKDIYGE IKDEDGGKKVSCQSPNSNTISMTFALGNKQFGVMYNDLISKPDDDGLCWALVGTYQGV DDSDKKWVLGDAFLQNVYHTINLETGEVKLFELD L199_008495 MLLTTIVFTTFTALGVTAAGAPQYDYQIQEPNDELYNTLPVGIF ESESYTDDEAEPYIYEDSPAYKYVDEVRPEHELVDMMAEHHEVKLISRCRNWGGVAWM EGYDGAHCQSSGVNCGIVEFTLTNSEGKGMQNSADYSLLDGPGLGNHKFHYKMNFHFT GSCTKGPGGPCTGNSPQKCPGAYLGDQTEGGAPTQCLSDNTGITITFC L199_008496 MGSASSQQKLPLKEIPPNIKTSSRYVLYLMFTYPEGSPDGPTPL WTGSLDEIIDEDLSSNTVLYGWGSSKPFIRKEVDKFVGSVRSREPGVTVRYRIVGPK L199_008497 MGNKLSSLMPWRPKSQSHSITFIYGGQINPETFLSTLQAHKDYL KAGSPRTYTREENGSTIITFQQSKPFRTTNEDKLERTVMEMLGLSGNNGTLTKLAIST KTT L199_008498 MSVTTASKASTSAKLIGDEEPVLRVFNSLTRSKDVFKTRKPKHI DWYNCGPTVYDSSHMGHARNYLTQDIIRRILRDYFGYNVNFVMNITDIDDKIILRARE KYLLDQTKSTHSNITSQLINDTKLAFSKFLHSKLIKSLPSPLTFDTQDDLEIFKIILE TDKNDTKFAEEARLKEEKFTLYLASLLKAHGAITKAEGLLNGSTEGDVVDLVDGTSDV LGPYYGETLGHTIKDPIAVSRTLALYWEEQFFADMAKLKILPPSFKPRVSEYVSEIVT FVEKIISNGFAYEAEGSVWFDVNKFDGAEGDGFRHDYAKLQPGSKGNKKLLDEGEGAL TGSKGKRQAADFALWKAKSKPGEPAWPSPWGEGRPGWHIECSVMASAILGRGMDIHSG GVDLMFPHHDNELAQSEAYHGCEQWVNYFLHTGHLHIEGLKMSKSLKNFITIEEELSR NTARRLRLAFMLQTWNQKLDYSRGLIADTKAKEETFDNFFANVNARLAQAGPSSEGRH GLGEAEEAITNDLFNAQKEFHAALCDSFNTPTAIQILLDLIAKTNIYFSTKGRDSNLG VVVNIAEWITRMLWMFGLGEGAPPKNGIGWGIATVGGQEGLNGQDVSSQVEPWARSIS SFRDSVRKLAMDKSLSPEQLSKQILSLSDKFRDEDAVNLGLQLDDGQGQDGGALWKIV DPASLVAAREDKKRIAAEKLAKKEASAKAAEEKKRIQLEKGKISPRDMFKPPHVQGLY TEWDEQGLPTKDAEGKEVSKNAVKKWQKEQKVQEKLHEAYLAWVKEQEGK L199_008499 MIFRPILIVLSLISAVYAVKFDLVADRYPKPRTFIWNFAAAHSL VIVTANVPYENGQRVDIEILDGSERGNVYLNKKDIKGETRLAITTHESADVGVCLRNY LESDSHEKLSRSVDLDVDIGADAIDYNAIANQESLSILEVEMRKLEAVVKEIVEEMGY LQRREMRMRDTNESTNSRVKNFSILITVGIIGLGAWQLIHLRSFFKRKYLID L199_008500 MSNQFFPPSSGSPYQHIQPSPRAANFGRSNTSPDLVDVDEEGNH PSSSINTNTHSGNGNGRQWNNHIPPASPAGFHPHSAPATPRKPNFVPPQFNANAERPS PAINMGGPKKLTRRAGQGTGSSSSLPPSGEEEEDAGGSSRKKMRYNSHHAKDEKKAKD EVEDTFDPMEAIHVFDSKAELEDEWDKIQGELVKFLDNYAKDTLAHAYENIIQVNYIF TKLNKDAQENLLAGVEAIETEESGHEKARKIITDFSAEMKRAAEVLSRFGNQDNALKA ILAKPEGEVV L199_008501 MALAKPSPTLYVSNLETKTKKPELKASLYSLFTPYGQVIDIVAK KHGGGRGQAFIVFAEQASATSALRGLSGELFYDKELSISYAKSPSNTTLSRQDPSLSR EKAAMEAAKLVVSNAQGEYEQLEKEREADEAALRGEKRDLDTEENDGGEGRDSKRQKG NDEEEEEEEMELDDDEDEQDNHPSLICTNLPPECNSDIMSALFSQYTGFISVTSYNSP IPKSHPTPNKGSKSFLVKFDTAANSKKAEEETKGYLMQPGWEMGVSSK L199_008502 MTYNLTTSTFSSTLPNLIETYLPSSLHPFFLLSYPIHSKTHSFL RLTSTSNNSAVGQILYDKGYIDIYYSISWAIVFTLLRSFTMNYVFQPFAGYILDRNRR IELKQKKKQKKMKGSDTDEEVEVKEESKKEKRHKKHLVTRFAEQGWSMLYCTVFWTLG MFTLHSVPSPTSPEQLWGTYPYTPLPALTKFYYLAQLGWWFHQIYVINTEKRRKDHWQ MFGHHILTITLIVTSYIANFTRIGVVIHVLMDFCDIFLPLAKMLRYLSYSRSCDLTFV IFLISWLFSREIGLFLVIKTSYLDAPRFIQFKWSPSTGQYLTYRTYLGFIGLVSLLWV LASIWFYMAVKVAVKVVRGQGAEDSRSDDEDEDEPTEDADGEVLDDVPQIVGTTADGI ANGHSHLNGNGDGTLKKRK L199_008503 MSLWQSAPMHWQTYTTSTPLTPQLEEAFRRQASQAFRHSHQSIG YSVSSSFTTHNGVTTGTTQLQVGKATPWTTEEIAAVEGYLVGLVPKGTLLGPSGRPVG YIESTPPSAVSSTKFKKRSDSRSTAPSLTSFPSTVSEAGTVSPSVPPPGMQMTMATSP ASPTGSNHVHYEDLPYHQPQRGNSNRFSSITRKFKKKNTSMGN L199_008504 MGQTGSRSQNRGDGVMVGESNRIYEITGLTGHRYPTEKEVAEEL DHLKKNYLRGIMQTEISDNCDGSYNVKCHNSRAWVEREVVGLEKEFKGLSKRRSWSIS LVATDNGCSRPTGVA L199_008505 MVRGSDIILILVAIIFPPLAAAMITGCSCDLLINICLTLLGYLP GHLHAFWLIYKKIKAEESFGPGGYTYLGNGNFVGNGPAYTGAPPPPPHGHQQQYYGST Q L199_008506 MSSKQGKVVSTDTATTPEVEPLSSLLAHPLLQDPKFVAAAGGLV VLLIFLSVFRTGKKASRRSGPATVLLVGPSDAGKTSLFTKLVHNTYIQTHTSIQPSIT TFPFNSPYEDGQTKPIKLIDLPGHPRLKDELKKYVREASAVVFVVDIQALVRNSAAVA EDLAPILVTLSNLNITTQSEPTKLLILAHKTDLLTRSSSGEIPESSLITARERVKSIL TREMDRLKATRTKSGAGGKIESMGKVAGTSSSGFFARLLGGSLTGAGLEGEEEGEDES LIWGGKGGFSWDDVEGVNISFGASGLGPVGAKKEEKEGNGLDEVKSSIWEV L199_008507 MADVKDPKIAEAYEKIRSNNDETTWLLLDYESDKSNTLTLTKTG TGNIEELAKEFQPQRASFAYVKVRYENDEHSFREKFVLVIWIGEEVKIMRRAKVSVHL ADVKSVLRAYSIEVSASTPSDLKQDDVVTRLRRAGGANYDRSKFD L199_008508 MLSAPVIATLALLLLVSTAVKAAEVFAGCFNPSVIDTNRIFARS YVSSSITCPEVCRRLSSPQDTYAYSRASTVQPNTSLCWCNTIQPNASHLIADTSCPYP NLSAELIHPPAKWGWYKCFDFLSQGPAANFTVQSLPECLTACSAYPNAYFSYLDDSNR LSCACYQRGPPFTIDQFSSASIPIPSWSPVEGAYMER L199_008509 MGLPYAESWWGENSTRLCRGSFEPPNPRYLSAYGYPSCAVGVSI IDPIGHWTRGGCYNLSESPNANTFHLQKNNADFSVSCMCSILNPTDFGILYCYYGEPY YYYHTPQPSSFVKKSKKEGQKVLDVCPEGSRACKVTDEYGLSYECIDIDNALESCGGC AHGDFEDSRLYNHNAGIDCTSLSGVSLCVECLVTGEYAK L199_008510 MLAFGILLSILLLAIGVKGAAQIGCFNRTVIDPFPSIQIPFDPA VTTCSNLCEQYPATYAIYWPQVSTPTSEWICQCSQSSPNGTELHPAFFSEGCNFPWVS VDVIRPAGNFRWAGCWILPPGVTPWTDVATFEDCFERCVSTPLAYAQYVGDNAQCICT SSYPPVDLPLECGQNTPFLYVHDVIPSGFVKRQAKKRMNEQVVLGPCPNGRTACRTAS DGQFYECIDTDTEIESCGGCIYGTFGEESNQDVGSIGVDCTSLEGVTLGAVTCTGGRC VASCCEDGYTLRNGICVAKPALAI L199_008511 MTRPTVLLALFIPFLTINCANIVPMGCYPYAGGSHLYTNDPITM EGCADGCSNTYHLLYAFKYYYSYFNPDVQATDIRYVCECGDDLGYTPSDWTYGENCGQ LNFYDPPIATVWLLDTTFSRIGCSMLTDYQELQFTNVPDFEACFVQCKNSKYAFVDRL AGDGIRCSCSDEPTYQELSSCQGTTLQDFNWMIFEHPPGSSGGPSGWVRRQLKERLAR EAGRKALAVCPKGMTACRTSSEDDFSFECLDVQSELESCGGCIYGSFGQADNGIRGIN CLSLPGVSQEGVTCLVGQCLAFSCEDGYDLRGNRCTL L199_008512 MLNMFPIHFLGFFVLFAPITLGDVFVGCFDPRILPTPEGLSYDS YLESCQDICRPNGTDYSYSYPDPADQGDFPTLRICQCGFDRPDGQYLIPTSLCDYPNI QVFYLPSPGDWSFSGCLYLPGTPSTQVTSLVQCLNLCNGYPIAFTVYVESHIFCSCTL AIPNPDDLQTVCGLGDWFVYSETVLPSGFVAKKRLAKDGDQGLCPKGLTACRAGLAFS SAFDYECINTDEELESCGGCLFGQFHKEDTGSLFPSGVDCTQLTGARSDGVTCLHGEC SIFACDDNHYLYAGVCGPI L199_008513 MTSNISYLILLSCLLVGKVIANYVGCFSHNVLEGRSPSDITASG VDCQTTCGQQNHLYPYQFTEHYGTPSYKLWCLCVDDAPNPKDMIDGCHDPTAETTNVT VIIPYQLAYQFVWYNCHAFTPTTPGTLVNSVTDCFATCSPYSWAGVVYDQQDPNVITC RCYTYDDHPWYGTPATACLPGAWFIYNHFPQPTGAVRRRYNGFQEGNQKREVCPHGLT ACNLLHSQGIAFECLDSSQELESCGGCRYGMFMSGEKEEGPSEDCTCLVK L199_008514 MGRTAFLLGEDNILSYECIDTFSELESCGGCAYGEFTSEGAKPS PGIDCTSLLGVSRSGVTCHSGQCLAFACEEGYALVKDKCVIKEGLS L199_008515 MLSKLSVTAIFLLPTIIGEIGVGCFDREAILEGATGIYLYSGAN PPEVPCNCQEIGFRYLLNSYDYCSPGLNDESDASVVAVATRINGWSSGPCVHPDSPIS AFNPFEVTDIFQCVSQCQIQGRPYGVLIPHRRSVTATCACFENSNVWLGEPRTGCGWE NWNTFSYISQPSAFVKRQQAKLRLSNRDELCPMGSTACLLDDSEPSYECIDTTSELES CGGCIHSSITPLVDSPGGGVDCTSVPGTAPNGVTCLEGRCIVYDCDHGYELKEGECVS WEL L199_008516 MTAATPLRFQILEPRQIESPTSSAAVIRKRKDDQHKLKLEGLSQ RCPHGQRACRLHEGNDEAYECIDTSHELESCGGCIHGEMGIGHDFGSPRHPIGVDCTL LPGITPNGVACFENRCFAFDCEEGFLLVDQTCVRKGL L199_008517 MSPVLYLISLLGLTSASDLVGCFSYPEVLKGQIGGLQPGVIPVD CLANCVRDGKTFTYEYAQYRNGLGYDQWCLCSDDAPDYRYLSSGPDTCDPGFFTPGDV TVSVPLAPFTFDACYPGIGNPDLDYQINNIFQCLSSCASYRYARLAFHADGEGGYCHC YLNDELWSGEHYVPCNRGAWYIYQQTIQPSSFAKRGRAGLGISKSEQLCPDGLTACNL PLVSTEGYECIDIDIDPESCGGCQYGRYETDLVGGQSRPTLGLDCTNLQNAIINTISC VRGQCMIGSCEDGFELHEGICDVV L199_008518 MSHHLLVTLFLIGLTEAEVYVGCFLPGVTEGLNAEWHFLGPTDD CLNREYTYQLEALTTGGVTFTRRCACSDKAPDYRHMAGEICHPDAIDLYGVWRADSIW SYDACYRSPGCPGGSAQQIDNIENCFSMCSESRYATLYLTRVGFEAEGYFTCYNNSNP WSDLPLSGCAYGDWQHRDRGRLDIKERTEQVFCPLGMTACNLAEAEGYECLDTGLDPE SCGGCMHGQYGVVDSAAGTDCTALTGTTLYSVICSSGRCVMTDCGDGYDLIDQSCKEA DR L199_008519 MTFASITPFLVVLTLRVIKADEIFIGCYSYPDVLNGTSMELMPS SDVNCFLHCIASDWLYAYSHFEPSAEHGTDKYCLCSNDAPSVVNMVGDAVLCTAEENS VVSVSANVPRWRWTGGENGLCWGISSGSPTLFHSATTALECISICPRYAQVVYDDNSN PSGCWCEGFRWWEETFPTTCGFNVAFPYEFKFWETQPSNVVRRRDRERRESNARKADW SLCPDGKRACNIMDGEGLSYECIDTDNELESCGGCSHGEFGIHRSEIKGHAVGVDCTT LPGTSPSGVTCMAGRCTASICESNYQLVDERCVAVIPE L199_008520 MPISTLPVGGSSRPSISTSSSRQLGGLSDMANRMARMEAFRKLK PTCVALMAIAAQAPSSYSQHARLIDKLLSDLHTIPQTSLDPAVINYILFPLTSILRQS NPANLPDQFLESAFRLLGYTTSAWKKCAGGMEVVAWEQLWRFCIAAVGPRSKDNKGKG KEKEGNQELQYQAVQLLTALLNPISLDNHGLPHPTPSMLDKVSSSKSPLLPTLFQTIT FGLETSSPSPPYHRLQLSSLKLLRPLIKVYLKGKHEVLAAVLPGVISSMAKLVQSVGA SLKGEVAQEVLGLIEDVVISTLNDEDLRDLGLLRPIVDDLSQLAEDWNTSDEPFPPDQ PTPPSPASSTSSKLTNPFPPLTASYLSFTSAQLQNALPPIISTLSGHTSDLPRHAVIT LTSSIIQSCHESLSSLQPRCLASLLSLSQDTFDPVRYDARRKLRLLLENQRLSLQPLL LDILSNGVNSLSRLVTSEQDKKVDEVARLITAIAQTSLEVKQGNNAIGDLLGPKGGVE KWSWALLNCLEFGRPNGWSASDNSAERIAQLGWQHNTTSTAVPLLLAGDGSTQSGNSF PHVPLRYVESESTSKSLAEMLRSLGAAGGETALHTVEYFILFAKANSRRQVAKSVSAI WVCERLLEGIKSAQLESAEGKISKAVRKMSREIVKTLVTISEEEEDLDGQEDEYLNYT EDPNAEALVPIERTQGIDTLTTLLDRKPVPNTRASIETRRLHAQAQHALLACLSLQTL TLTSQILSSSFRPLLLTALYVLLSHLASPQPIIREYATTALHQISYNIGYASPQNMVL DNVDYVINIVTLHLLPARLSPTAPSVLIAMIKLVGSDIVPMVHDLVDEIFDALDDYHG YEALASSLLAVLGTLIEVMNDEIASEGLSEERRNKLNEMRRVERPPGPKEDFEIFFGW WEERNKRREEEIGEILERAPQHAWGTGDIPSTEQQNGEEEENGQPENPVDDEENPPTR TQSVCIRILEKSIFFLTHNSPFLRYKILSIISNATMVLSRGNREKELLPLIDKSWNSI LNRLDEKDHPYIVMEACEVIEKLCEELGDFMSKRVIDHVWPRIKILLKNQWENDKKSA LAKRTTSGRGYTSGKGMDKYSTSHRLHRSVLKILLFIIREVPVDDLVIWEITVISRPF LDRRINEELQGLAIGVYQEMMKRNGDGTWLVLNATAGEVQGDLGSWKYLREEGLEMTE NIKKILVNG L199_008521 MFIAIIGTPSSGKQTILEYLEKKYGFKRVRLERKGKAVVDQAKI SGNELDQPMNELSVTSSTTPTPSTLLESPSSNLSFTSQSSLLDFITRNWLSHHVTTDL TSYEEIEPFVKRPFFLCVSVDGPILARYEREKIRASANGSLLSLEEFIQSHDTLLNGS LPSIPSSSLSQHPQTDFKRSLSLAQISICNNFPTVQDLEGYLDRLNLIDEERLRPGWD TYFMTLASLASHRSNCMKRRVGALLVRSKRILSTGYNGTPRGTKNCNMGGCRRCNGSA RGGEALDECLCLHAEENALLEAGRERIGDDSVIYCNTCPCLRCSVKIVQCGVREVVYN QSYSMDEASAAVLKEGGVILRQLHMPSQL L199_008522 MLQLSAQLYQSPIYLPCGTCTPDDLTLSGCVHLTTPSGYRLPGL EVLLISTIQRRSEIGGKWSPCEVQGCSRTTILESDHWIERGNTDFEFSLTLNRQNPYT RSTHFDRIVHTLHAIIPNHPPAYHRSTSRLSRGTIIPRLRLKRKQAHAHPVSGSPILA MSTKDVHIYGPESYLDQVNTSSWSAESRIPSIGVDVSLKSEEEYATLGSSYTLHLTLR NLPPILTVHGWDVSLYQLTQPVEPNERNVIFKDIYTIGQKNQSSSICRLPGRAQPSSA EYLWRGSEATSINESLPKSLSNPTFVTTLRTRLPSPVIGALPSCPDGTEYASIQHSIS VVLHYSIIGEDMNGDKLGGSLARAEGAIRSWIYERPIHLLSDLHGLAEAPSPIYSTSS TNNLDDALPGDLSNMAESFKIPHMVSITKSRTGFMRPAGVVIERLKVKIHEHWAETAG LCACFGEPGQAFKASLEDEMDARSRAVKMVTQDL L199_008523 MNQLINSTRDLSLNSSQDEKSDNTPSAPHLLPEIWSMVLLLVKK PKPSASESSSRVRRTSRVRKYSQGDLAACMQVCREFHYLTAPILYEEAIVDDRQRFFY GINETKDPAPTSPPSRSKGFLGKMELFTYIKAFHLVHHQPARMESSRLVPPRERVSLI VDNIVERIVCQKVLAPWLRGNKESSLFPNLEYTTTGAYGASDWDWYDSGWNGHDPEWR AKAQSMFEPELFATLMGIASSPTHSCSYVNKGAQAYLPPNAFNAPSNNRLEDSPRLEY YTCHITQRMVESNTDIRIVMGFRNRWVVSHEFASRNASTNHDMVLWLCYQLQQAGDRL SSGNEVEKDTSIEIYNVLSQHTAKSFVKYQYDIRNSNYDCLGDLEEFLKGCFDNPSIK LMKEPAEVCPACGA L199_008524 MSTSAAELNTPASTMPELPPEIWGRIIPFLKRPMGSTGTLRNAN DYHQHDLATAMRVNKAFYRYTAPILYSRVIVSNFPLFLYGVPTLHPGDPPETFDTPKT RLFKYIKRLDIAYSSLLPNVSVGQALPAQLLLHLDLPTSVIPALTTDINQTYEVSKDL LMGWAKSPDAPLLFPNLQLVVTGSFGERLWDSYNPNLTKLDYTDLSHIASLDEVQESV GILHHLLKQNLFGRFLTGCSSPRQIINYVSSGPMTPVYNRHFPKDHMLMTRSTLSPSN TDTLPESYTTYLLEQIHRGVWLNVAEGVVNRWVVDPIFKECDTQTQALVYTYIKSQLI TRRQLSKRLHMDTNTKIKIYNVIDPKMINSVLPALVGDHMNFQEGYTDEVGLKIIKTY LSIGIDEEEDRETFAQVELVADEDDEDSDGLGGENRFL L199_008525 MISKIVNPRHVCTHSPLGPYSYPLDLLSEPTSIPITCTTKIGRE YIRYKLPFPILPRLTTRLIIQEETVKHFKPKEDWFFTQQDIDIISLQGFIKWFRGPLT RTIQAVPYNGRDKDMTGQTRVVIHVPTRLSVLKVVVGRLRTSVEAHVGTMEKNGVYEE LGKWLIGKKRSGFKSKGIKVDMVTWDEKGRCPACDG L199_008526 MSETHLNTAGSSSSLHQLPDEVLLRIIKFLRNMRPSLDPTRPEQ GRQRPNMLLVLMRVSARFHNLIIPYIYTPSVIITEPAKFFYGTGLPPATGCMPKRDRL RLIKNVTFAFPFKVSSEDVDWENIVFYPQAELVLKRYIHALEQVERSLTTLKDLNFDG VRKLWEMWRDCQLNLCKNGNMRSIIA L199_008527 MGKSPSKLARARVEAFLSSGHSLPEYMSADDIYSHDIDPSDRLY EPFHSPCSTDKALPELPVEIWQRVFVHLRRKVGPIKAKQRERGDHHQRDLVNAMLVCK QFYYLAAPILYARVITDKPHLLFYGIDTKPLGGLPEQQTRWTKLDLLHFVHRLDLMYN AFPVNKLDLRFPISTNDKLKFEQRIFKYDIDSEEIRRMISDTDNSQSAVRLIHPLRTL RKLYLKNRQPVIFSNLDILTVSHPSFKYRYIDYTTEIPFYGISNPIDNVNWPRYALFP NKLERYRKKIDDRGTAISNLSSVTKRLQFSYELARLCTPKHVCMDDDKGPYSYRRDEG RYDKIKLPPPETVSLHIYPRTVEKFILPPDKDKQMFRWRVQPVIFYGSTYRWVLDDFE WTNHSDRQQKMDFYLWLRWNISEFRKWFKPQLNGEKDKHHHQLLYAKSDRDEKTKIEV YGCLESDLMNEGFSMLCEEGYTDWDISWTDEQKINHVLSFLEVEVEIGPIQVMQDEAG LCPACGVDSNRWTCF L199_008528 MGAAESRPSTEERRQEIQRDLTVAMRVNKLWYSVAAPILYTRVV TIRPDLLLSHIHSRPHSSDRLSKLELFQYIYRLDIGYNPAQAQESDIHPPFSKKDRIA LSRAGLLSIEKSRWPATTLVRDMDFALQTTKTLHTIPQTLPEGLQLFGNLQILTVGAF GRSQHSRWDVGYNFPATGLERFQPPSSGLGFSDLGGLLAKKHQEELELLNMRHRFGYE LTSNASDSLKHICVDNFTGPLSVFLPHCERLQTYTIHITKSHTDTSCRPLPIIDGVTN EWIIEDESWERKKDTGREDWIVDRASTYQWLKGRLYALDPAQFRSNNTKLVVYSFTVG L199_008529 MQVDNVPNERWERILYFVHRAPPLSGTGCKRKAYRQQDLTVVLR LNRGSEILALGADIPLASPRFFDIAVTILCSNPIVVDPFQFVYGLPHSPPSYCTPFKH SLLRNVKSLDITYRQDLKDTVRKPYYYLKEQERKEWENNFFSRS L199_008530 MLLVDLPDEILTSICSILHRPPPTIGVPSTRKEFHQHDLTSVLR VNKRFLNIACRILYTHPIVDDPHNFLLGIDSPSSSSFYKINIIRHVKTLDIQHRQSTR DADDQRGNQYVRVDLKDRLIWEGRVLEKGKEDLDNCKKAYNLLERFKENQAKIYLMPN LQMMRLGAWDQGWWIKVMKEVMFDEKRKEDRTDFRSLSGYQNMYEVIRTTHRKSFEFT ALLLDFIRPKEILQYTQAGPMSFHDALYQRIPIGELSPVVISHLDFDIDRHTINLFPS IAIGSLNKWLIKCTPSPRVTEQDDEDGEGESVYIDYDGLMVTLHILLKHVSNITYPPS ATPSRETILEIYDLDKLEYKEYDEQGEVTLAAGSMWIGRNEIYAYNDEDQSDWPEVLD LELNGGARRFGPWGMMEVTRKGVRFIDVRKEELSMTVKFVHSA L199_008531 MLRNSSRILASSSSTSALRRSGVRTLIVARNVAKPQLARAAIPA QQQIVFRSAFHSSSLLLAETVKVPQMAESITEGTLKQWNKQVGDSVSQDEEIATIETD KIDVSVNAPMAGKIVELLAEEDSTVTVGQDLFKIEPGEGGGEGSGAASEKDKSQPSGA AKSEAKNAEEGNKDQAAPEAAKEKGASEEVHQKQDQKAPALEKSEAEKPAPKKEESAP APAPKKEEKPKKDEGAKQEKALGSRNETRVKMSRMRQTISTRLKASQNAAASLTTFNE IDMSSLMDFRKLYKDGILKADGVKLGFMSAFAKASCLALKEIPAANASIEGDSIVYRD YVDLSVAVATPKGLVTPVVRNAESMGLIEIEKAIAELGKKARDNKLGIEDMSGGTFTI SNGGVFGSLYGTPIINLPQAAVLGMHAIKEKPVVVNGQIVIRPIMVVALTYDHRLLDG REAVTFLVRVKEYIEDSRRMLLPSPL L199_008532 MSNTSSDALSASTSSFVTSLVTNTAIAGGELVAFIIFRRWIKAI YEPRTYIPPKDSQAPVLGKSIFSPLWRIVMADPEEILHKNGVDPYVFVRFLIMMSKAM VPIWLISWLILLPVDSAHSTNGSKDGLDRFTFGNVAKDKQSRYWAHLILDYCFIFWFL WLIWGEMQHWLVVRQRHLINPSHSKLAQANTVLVTGIPKHYLDEEKLEQLFQHLPGGV KRIWLNRNLKDMPDLHDRRVFATNKLESAQVDLIKFARKWKIQREGKVEKLEHKHKQV PPTLTGPNNPQLLPGQEGQTPAGPTGPPRVVHPDPERGDDREPPFPSIEELGRADQLV PRNKRPTYRIKPKWAPFGLGFLGIGKKVDAIDWARKEIQYTTTELEKGRELLEQDISS PGTSADHYPPLSSAFIHFNQQIAAHMAAQCLTHNQPYTMAARYTEQSPANVIWRNLSL NPYEHKVRQALSWAATVGMIIAWATPVAFVGVLSNIKTLTEHFKWLSWINGDGFGKTL LQGVISGILPPVLLAVLMALLPIILRQLLAFEGIPSKTGVELSLMTRYFIFLVIHTFI VVTLTSGLISSAQEFINNPGSIATTLASQMPTASTFFITLVLTQFTGTMGTLLQIVSL VLYYVKIILFGGSPRSVYRSRYKLNTQKWGTTFPAITVYAVIMIAYCIISPIINGFGA AFFLFAYLVYKYLFIWAYDQPPETDTGGLFFPKAITHLFVGMYIQEVSMAALFFLARD NNGNAKAIPQGALMIVLIAITIAFHFTLINSYGPLIHSLPLSLAHLSFGMPSEKGHEQ SIIGEDYADDAPRTNQDTGFNSSKERLTIGAAGQGMNDEPITPSSSNDTPTKGQNEKS VEERERMQEVNDGLEPPTQRDFAYAANGDDVELGISHSYENHREEEETLSSPTAPGPP VTEIPQSNGRPSSLRSRKSTKSTKSSSEETVYFAVPGGPGVINRKKYLDDGNDPKAFF HPATKEPQRIIWLPEDQLGLCVAEIERNEKEGIKSSSKNAWLNEKGKVQISGPPPDDV L199_008533 MGLIALFTFVRWFVCRHTHKVLGPKPKLERKANTDIDARPSRPL PTLPDEIWRDIFLLVAEPTYNTPPCWQRGYDGYMMTGGNTSTGKDLATCMRLSTTFNR VASDILYNEITTSDPYRFFYGIDLTPPVGNRISKVQCLSKVKRISLVYPESYSKSTPS FSGDFEWMNLSIYRMPQSQEDMKYVKMYLQALDSASHAYQLLAKLRTEGSMELGVKMG NIGNIMIGKMPYMATNCLWLFNKTAIGKLSGAKPKPSLEDKIVKDLLERKGEISMGLS RELAHNTSSSQYRQVCYHSNFGPWSYLPCPSPHPVISGETKYAPTQINVFMNNTGKFF GKSPYIFQGTTNNWIVCKSLFPPYSANQWTSSIDERLSFVVQALLGHFRKIISKFANK LPNPQGAKDNRIRHTRLNIILPVDFNVLRIVANDLKWNTNPTVTTLTRGGASQKDRMF VYSRLEGWLMNKGVSYYHARGLSIHVRESTESQPINSVECSCCGSIEHDV L199_008534 MINSIRHLHFAYDYISVPQNQDGEWMTVTNSMNHWENIPNLSQF IEGCNESIKQMERFHLSNEHHHSLKLELFKKLKTVTFGYKEKLFFLEHQPYHHYRQVE RQRQVLPLMRDAKIHSKKDLELISRTWSKLINKLIRPLHVCREVSNGPLGFGFSSYQC PNSSTGYGSIPHTYTLHYSSRHRRSAQMPNYIHGTLNRLILEDSVLEDFPGDDDEDEE DDDERFRAVRVFNWICDITLSQLTRKRDIDSTASSESLYGKTSVPGTTKIRIYSTMDW KVINEGINLLLLDPIYGLFVNIDRSITMRQPNDLEVGMANKPVFQLQSPKLVEKGVTI ELMRMKDAGTCPACGAYGEFGRCPTI L199_008535 MSEAASSPSSSSEITITIKGPSELKLSITISPDKTVLDLKESIA SKSDVEKERQRLIYSGKVLKDEDTISSYKIQTGHTIHMVKGAAKSNTPATSSTTGGSS SSAALPRLPQMGTGLNVGSNPIDNVENIHHGLAGFNPFAGQPGLDNMFDPNAMTGMMN NPDFLRSMADMMSRPEVLDQIIASNPQLQSMGPQVRQMMQSPFFRQMMSNPETLRAMM QMNASMAGQGGAGGLGGFNPFGAAPGAGQTPQAGNNPPSDPFPNLFAPNAPTTNTDNN NNNNSQNPSTPSGGNTQPPNPLAALFGGAGAGAGGPGGAGGNPFGFDPSLLFGGGAGA GGGQGLGGLGGFGGFGAPAAPRDERPPEEIYATQLGQLNAMGLWDAQKNIRALRSTGG NVEAAIELIFSGQLD L199_008536 MSGLKITEFSVHDIRFPTNVTGDGTDAMNKECDYSAAYIVLKTN SDLKGQGMTFTIGRGNDIVCYAIEQIANRIVGKELDPIFNDMGAFFDFLVSDPQHRWL GPEKGVIHLATAAVNNAVWDLLAKHEKKPLWKLVVDWTPEQFVKATSFRYITDAITKE EALALLKEKEAGKKAREEEVIKKGYPAYTTSVGWLGYSDEKVVRLTKEALNQGFNHFK LKVGADPEDDLRRGRLIRSIIDDKANLPAGRVIEPRTIEGKNAGPAGCVLMVDANQVW DVPQAIEYMKKLEPLKPWFIEEPTAPDDAVGHAAIRKALKEINIGVATGEHAHNRMVF KQLLQLDAIDVCQIDSCRVGGVNELLSIMLMAAKYGVPVCPHAGGVGLCEYVIHLSLI DYICVSGEMERNVLEFVDHLHEHFLYPVSINSQGRYNVPLDPKGGYSIDMYEQSMKEY TYPEGSYWVAAAEAEKRGEAPPIPKHL L199_008537 MSSPSHTPPSKRSLRSPPSSSRVNPPSPAFSRPPSTTNFTNSRY PPVCSTSSGTGTVRNLTTPCPPRYIPSTPPQQTRTQLTSPASTLTRAVDTALPLSPSS TVYYSSPPSAFPNHFELPDRDHTHDLDYELTLITPRRVPPSVTERESPFHDRHSIQQY THNHGSKAPDMDIDNEDNEEENSDDGYDRFGYSEVRVSGGKQRTMPGYQFASLSPRGL NDKGEKLSFGPMLDDLKEKDRPISEYRRSLYPSATPIPPSTYENHPDLLPFNSQEDSG PTDKSLFSPTSSTSSKLPETPIDPVRPTFRGLFALSTPREYLLFLIPAIIFSILSALI APYMSLVIGDAFAIFAAYPSFTELATDTDKSILKDGVRDTSLKLTIAGILGVIFNYFK GTFWVWYGESVAAKLRELVYEGVQNKSMEWFDLGMGMRDQDHTNGGEMGNGEEKKEAV GVGGLMSKFTRETDDVRLATAMSSGAVVMNLATFALCFILAMIKAPILALVTLSTIPI VVLTQIITQVFAAPLHASERRAFAEASTNVERVTSAISTVKVHNAQQAEGDRFLNLIG KGKTSLIKQGLVWGISSGMTDFLLLGTFVLGFWYGAKIVRDGKASSGDVMTCFWACLF SATYLQQVVPHLTGITKGKNSMASLLTVIRNDPTRESIVAVGENPFNSPTSPSFPPPS PTTKTTRNGIFGKKHTRSSKRISTLRGITPIRCHGEFNFSNISFCYPSRPENLVLRDI YLFIPPGETTFIVGGSGSGKSTIAQILLRLHKPLTGEIIMDNQNFSYIDMGFTRTHIA AVQQGCILFDMSIHDNVALGLAGSGGDKKPKDVKREEVVEACKMAMIHDFIESLPEGY ETKIGSGGNSLSGGQRQRLAIARARIRDPTVLILDEATSALDPTSRIQVFQNLKKWRH NRTTIVITHDLSQIMSDDFVYVMSHGVITEQGFRMDLMKKSNGVFAGMAAEQAITPFP TKRISDETNWQQGLEDILGMEDEFDIEELIDERRQSFRASTPNFARISAIGGSGRPDS TYRDILDDYSHTRSNNHKIIQTPGSSKRLSRAQKSLTWTPDDLAGSRAPSRYSVSRPE SKMSRRSSSFGIDQSPHPTIRSSFEGNRVRPSIESSRLPNAQAQIAVTPPQTLDKGLL HPGWIEKDSPASRTSVSIRQRQQRTLSENLEDDLKASSSDANNAAITTSSSTVPVPTP IPSITSLIKLHFPTMPNKYLLLLGCLGSVGHGITTPVWSFFLAKLMQIVGSGGVDTHS LTKFGMIVLALCAAQGLCDCLSEWALVSLSARWSYEIRKVAFDKMIKQDKSFYDESIN SSSRLVQILIKDADDARTLMSQVIGKSVTVITMIGLGLVWAMIVGWQLTLIGLALGPL FGGFLAFNSWILGRVEISHKAGREAVARTFYESIANIRGIRAMALDSAFQAKFQQDAI RAKQLGDKTAWTLAMGGAVASALPLFAQALMNYAGSEFMMKNIMNYEQMLQVYNLVLF SLTFGSGMLDFIPTMAKARIAARDFNRLYLLPTSSTDESKGDLRFPIKGSIKFDHVKF SYPTRPDVPILEDISFTLKPGECVAIVGPSGSGKSTISSLIQRLYLPTGGKIILEDKY DLQSSDIKFLRNNISVVSQSTNLFDQTIAENISYGHNSTTKGGELPIGEIQRSAKLAN IHEFIMSLPEGYDTNLGENAGLISGGQAQRLQIARALFKNSNILILDECTSALDVDNA RMVLDTIVRIKDSRTTIFITHSTEAMRRCDRIICLGEGRVVEDGTYNELLSKGGFFAQ LMSTGEWE L199_008538 MSQLGDKTIEEYARKTLGLDEEHDKPTPPFYLSAFTNHMERFPN DTNFIIELADPRKKNSDIGGNGFGVITCMEDLCWQDIILAADPDKPDGGRQDGFGSFS NYQDHCHEANHIRARSVRCEKLGINNQQNANSFSSSSLSSRPPNPFEYPSSSSATTHP EPTQPGASRSSILDNLPLTGPSSSTPSPYSMLGRPKPSTSPSKRSTDNNDRKPRLSFT SSPTRPSRSLPIDVISISSGSSPASDDENEFDELAEDSDDDGVIPLSEDEIPDQYRKV TNKDNPILLSDSDDEDMVVRDRGKGKAVARTPSNIQIPLAPIFTLSQSNRKPKTESEV EGGIEMVRDDSGSSIGEKQKTFNDFLSNISKSSSKDKGKSKETNSEPNRVQALSSEEK IKMMLQEEAEMKPTPAIRNSNPALPNQNAIAPIAQPQPNGANIAYYDLEAKYLRSPEV QAQCIVKPELSLLVNGRLRVLAILMVNRDVRREYFPPSPSLLTLLPQLRYRGPPSLVN QAIPKAAAGPSTQPGSNAIMNGQHNGYAGVDMPGAWNAGPPPAPGLGGLYPIHGMYAA GDDDDDMSMRDIYGRLEEYDRPRTEEGLHSFFDENLKDFIEDTTVEESLNRLGLNSMD DRLPDLKIKLMAHQILGVDFMIEKEKDKKYLGGINADAMGLGKTVQSIATIASHQSED PKVKTTLIIAPLALLHQWKNEIEAKTSPGFLRVLIYHGPKRVKSKHNLKQYDVVLTTY GTLVAEAGPKEKRKKAGSDDEEDYRDIKKQGPLFKVHWWRIILDEAHQIRNKATRATK ACWALKSHLRWCLTGTPIVNTLDDMFPYLHFLSISPAAQWEHFRGHISQVQKRRPKLA TKRMQALIRPCCIRRNKDSELNGQKLLQLKPKYTNVVELSFTDDERQIYTAIENRFQV RFNSYLKKGTVMKHYSVVLVMLLRLRQLTCHPWLLRRNPNDGAHEGDVLVSDEDLLSG VDAVKTDNIAEVARAKTLLGDEVVQQMKKKLAERQAKINDAASDDTEASREEECPICS DVFTDERITPCLHSFCAPCLQDVFNSAANNADLADADIHAGRRACPLCRGPIERGRVF RADAFVEHDKEDEESDMAEEDGVDDDIDAKLEHNEEEEEYEEGDRKGKRKATGDVKPR LIKKKKNVEKREGEDPLADVAGDLAMEDVPPSTKMRKLGDLIDEIDKKGPTDKIIVFS QFVQFIELCSLFLTRKGINHVRYIGSMKQDEREKVLKDFGESLKDKPNSPKVILMSLK CGGVGLNLCAANHVICMDLAWNAATENQAVDRAHRIGQDKDVHVHRLVIENTVEQRIM KLQEEKQALSDGAMGEGAAGRLGRLSVRDLMRLFAVGGDGED L199_008539 MAPSRQPVAGPSRPKHSQQPIAHSPPPSSSVSAFNPSRTLFALA SPVLGSADKVQVWDVATDRVISEWEVAGASKAITVTWTSIPSSDAASKKKKRRKSGPT DTGDEEVILITSAKGQLAVFSPSKGEIIRTIDLPQPATAAWSDEHGIIFATSSSILVL SADASSVSHTFTLPSSSTAPSALAILPSSTPEVLQVLVGILSVVVLHLDLSSTKISYS SAPLPASTTSISSILPLPTSEQGSSFLVVSEDDRTISQYTILSPQTPPKLSYRYASPT LSSAHSLSTSSSLLSVLHASGEISLFHLPGELDFSRPKSDSKPSTVKLVEGKDERTSR ICRVAFASGNEGAPAALMCGRMTGGGRVKWHRAVYELPEGGLRPSTVVKCDAQDLVGD ASSSTTLPIQRFTAPANVTEAPAVDTDEPASKLPTDVDMADLSLGERMLAIPNGTALV EAEPEASAAKAKPSAEVTFDGPVNAASLTRVLVQALHTSDPALLTLCLSHRNPTLIRN TIRKMPAQLALPLLKACVERLGQGKSVNKRGGGRGSVQNEQQGRGTVEWVKGVLVERG ALLMTMPSLPLHLATLSKLLQTRLETYQPLSTLSGRLDLALAQIQMRRLAAEAASQSA LNGGQKGGEGLVYIEGESDDEDDVPIEMGEEGEIEDINMLAGSEDESSDEEDEDEDED DEEESEEDFLESDDDEGLLDLEAEESEDEDDEGDSDDE L199_008540 MSAVAPPRDDSLKSAKKRKRPSTSTTAVEKGDVPQPVTDADSTM AEATSSKVTLDGGVDGARNVPGQTYERVPFSTLNLSNPTMNAIQRIGFETMTEVQART IPPLLAGKDVLGAARTGSGKTMAFLVPSVELLSTLRFKPVNGTGVIIISPTRELALQI FGVAKELMQGHSQTFGVLMGGANRKAEADKLVKGVNLIVATPGRLLDHLQNTKGFVFK NLKALVIDEADRILEIGFEEEMKQIIKLLPSENRQSMLFSATQTTKVTDLARISLRPG PLYINVDEEKQASTVDMLEQGYVVCESDKRFMLLFTFLRKNLKKKVIVFFSSCNSVNY HAELLNYIDVPVLDLHGKQKQQKRTNTFFEFCNAPSGILLCTDVAARGLDIPKVDWII QFDPPDDPRDYIHRVGRTARAGKTGKSLLFLLPSELGFLRFLKVAKVPLNEYQFPQKK IADVQKQLENLISKNHYLNTSARDGYRSYLQSYASYSLKKIFDVNKLDLAKVGKAFGF SVPPKVNISVGTAKAKKEKNDDSDEEDDGVPKKAFYRNRKKGKFQS L199_008541 MAAAASSSTPLDPHLGRAADFVRPDFHQVNLDIEGFLKTQKGYK LDADTQICPLSLTPLGCPLPPSQCPYRHTNPSPANFQPPPPLPPHPREREKKLTVCKH YLRNLCKMGDNCEYTHDWNLRTMPVCVMFVKQGKCELGGECLYFHPRDRRVECPDYKR GFCLLGPECPRKHIRKRLCGAYQSGFCPDGEKCKLSHPPADRPKPEEYINPIPPDPTQ FTGPPPQLPAGYGRWREYKYDPNAVVVPAPAWVEGGSLSGWRAGGFLSSNARRNEDRD GHGHGHGGHGGHGGRSNDNRDNRDVPQNAPAGYEKKTGWVKDLSTVLCFRCNQYGHFA NTCPNQAVPGDRGGLKRERD L199_008542 MLGYYSLSRRRSSQNNNNNNPPSPSLPLNSSSIHESDYLRSPTS PTSLKIPSSPPADRQLASDPLGSPFSITNPIYPIQPDQSSRSKHSLPALSEGDHEHGD NDMNLMNSGGSRVPLLARDFGMSGSSKRVTGTDHAEDEGVTTTSDSFSLLPGDDTSLP KSSVDLPSQGGRESDVIHDPNLIPPVSIHDKYVSNHIQPLTSPSTLEARPSMTRSTSA PGHPHHSHSQSAISPPTDTKGLLPSAIPSGDNNTTVPGVQQGNQPIYEIFNANLSKDG LDMSKNLRGYLEVVLKGQEQLSKMHLQLEGLGMGENGIWQVDKDNNVDGDGKKDEKEV KSKIEERQKGVEDIMHRLSEISDTLRNYHQLGTPKLTFPRQHPHPPPTQKSPRTPSTS NLGRATTVAGSNPSHNIGLDQAKQPSPPTGNQRNKPRAPSLVRSNTATGELSPRSLMK DKARPRSPLINTFTTMDSSSEDNNTFEKSPKKNSDLPPEKLDNSSSNDRPFPISPPYK GGKKVPYLDMNKLEGEQTNEHDGTTHWFGDSPDSKNGGLKRERKITDSPIEMTFSSRF L199_008543 MTDVKDKKQEIFPTGDSALTLCLGTDPPASTPKDAQTISASNQE KSTTPCPSIAAQSRSPADNANAEIDHVAVKMSDKRRWILLALFSFSLIVDQWAYFGFF LFVPQISSEFNIPTFQQSWIITSYLITFGATILLFGRTSDLYPPSIVFTYGFLVLGVI NLIISFLPELYSYFVFRALSGIAGASLIPSAFKLISGIFPKDKLGKALTIYSAAAPVG GGTGIIVAGVVDLIPYQSGQMASWRWFSRILAVLIFIPAVGSIWWLPKEIGYDEEQHI KESGREKFKRLDLGGSFLILAAILLLILSLTMGGDHGFGTAYFIAPFIISLFLFPAFL WYESKLPEGYPLLPPRTFKITNVSVFLFLGLILFSWWAVLNFALVNIYLTERNQSGIL VAVRVLPQPIAATTATVLLSRWSWFTHHPRYAITTGMVLAIGGYGLLSQSGDQVGTDY WKFVFPPLIFGSGGAMMVYSATNVGVITAVPPSIAGIAGGLLQVSFQAGSALALGIQA GLLTVHPGSLGNFKTVQASFYFEMGWLGLGLIVFLVGYRNVKKLSEDVEVGH L199_008544 MQDYDEMNRHYLYVHSHRCARSPYADVVQSHILKDHVFEMMIKR KKDNLSISKQASPSKSRLNEDMDHSVNDKLDHEQGTVSVGKFFRPISTLFHEGSTLLS DTRYPIRRIIGKLKTLSNALKGKCDIVKFILQSNVNAKLHNHEDAKDKYKISNFQWDD DDDNSDRRSVDSTKTCVQVDYPNMGQDVFGDLQEFQDWENRGDKDKDVNWWAWKC L199_008545 MASYPPVSSPLPAEEYELPSITDIEAGPSRPRQSIAAPKARTLT LHPSSSTANLLFSAPAAGLPTPKKRHTRTHSYPDSPEDALTPRRLHRDAFLNEEERAN DAEEVNLPDFGHMLGFNDEGEDHFAIAQGMKTRWKRKLYLLLEEPNSGREAFFIHIAV TGTIIFSAILTTLSTMPAFHTDPTSTRALFGLDTFIVILFTIEYLARSLAHSDSWSMY YNWVTSFFALLDVIAILPYYIEVAQNEDTTILFRFSILRTFRLLRVFRAFKYQNQMLL TIEVMYVAVRRSKDALLALSFFILLVLILSSTLLYFAERGTWDNQLGAFIDSDGDPSQ FDSIPKTAWFSLVTMSTVGYGEITPKSFLGKLITVPLLMFGLLLIALPSFVLGRNFAI VFDAMTRQVPKPPSNMTSPRQSLDAPPPATSLPTENSSAPLLHTFSNTSTPTPQVPST APLARARAISPLPPSSNMVPSSSASSVRGLGNLPRMWDGGPDGTPVSSMSEKMRGDLT NVKLAKNQIVLLEQIDSLRKTIDRQGDLLTRLASALDVKEVRERERSLRTSTRNSMNI EDRRHNIDEKDQFALGESDEDDEK L199_008546 MPPKKGAKKGGKKNQDDEEFWEKKEAALADLNSPAGDDEDIPKP AKSSKGKKTGGVFDLLDEGDAMDDDDEGGDLMAMIAANAAKKKDNKKNKKKYDFDEDE DQDEDQKAAAEVDTKPNMDDEWPEDDVKPKKGKKDKKSKKKAVVDEDEEMEEPSAAAA EPPTAVNIDDEWPEEDVKPKKGKKGKKGKKAVDEEEEDLDAILEKAAAERRAAEAAAK EAEPTPASVAALEPAAEDDEEGGDDGPKILTKAQKEKLKKEKEKAKKKAQAAAKKASA PTPAAEEPSPSAPEPTAEAEEDEGDEEAGADKKKKKKKKPAAKAAEPAPAAAKGKKVP AHIAAMQAAMEEKKRMEEEARKAEEERLRQIEEEEKRLAEEEAKINEAKAAKKAKEKE KQARAKAEGRALTPAQKREKAAAEARKQAMLASGMVVAGLQDGAAPEAKKKVVYGNRK KQQKPTAKETATPPPEPASPAPAPVPVEKKPEPAPAKEESEDDWDKSEDEVEKVVAGV DKLKVEESEDDWDKSSDDEATPAPAPAAAPKTSVSAPAEAAPASTPAPAAAPAKPAAQ INGKAPSAEEEESSEEESSEEETDSDEDSDEESDSEDEAAARKAAALEKIEKRKQAAQ AAGSKEDLRSPICCILGHVDHGKTKLLDQIRQTSVAEGEAGGITQQIGATFFPKSAIV EKTAVVNSDNTTDVKIPGLLIIDTPGHESFSNLRTRGSSLCNIAILVVDITQGLEPQT IESINLLKKGRTPFIVALNKIDRMYGWEPKKNAGFRETLNSQKAFVKSEFEDRVKAAK LAFAEQGFNAELFDENKNLGRNISLVPTSAITGEGIPDMLLLLVKLTQERMNANLMYI SELECTILEVKIIEGLGTTIDVILSNGVMREGDKIVLCGSDGPIVTNVRALLTPQPLR ELRIKSAYVHNKEVKAALGVKISAPGLEKAIAGAKLYVAHDEDEVEAYKDMAMDDLSS LAKFVTKTGKGVWVQASTLGSLEALLTFLQQMKIPVFNFGIGPVYKSTIVKAGIMLDR APEYAVIMAFDVTIEKEAEELAKKAGMKIFSSMVIYHLFDAFQKYMAEVQESRRKEAA PNAVWPVRMKILKAFAHRDPIILGCDIIEGSMRVGTPVGVVKVDKASGKREIITLGKI TSLEINHKPFTIVKKSQVGAGVAVKIERAPYQTARMFNRHFDEHDEVVSLITRQSIDT LKTTFRDQVEMSDWAIIKKMKTEQGVA L199_008547 MESQRPARIPPPGLPILSGPPPIIESSNCRQCAKEFNFLFRRKH VCGHCGYEYCSNCISDGQALMPRKAGQPSTLSSSNSNSNGPSNFFNEIKEGLKEGLGL DEKNSNNAASGSGYEVESVCLPCLSMLQVTSANLTQLRSLPIKRLKEYLAAYNIPCIG PKEKEDFVQAVIRARNPSTGCLSHEAESYYRRRSVPKSGQIPSSTPTPTPRPRPPPPT QARPPPQQQYSRPPPPNQNYYRAPPPQNHYRPPPPSRPAQPTPRPAQQARPPTTPKPQ ASPAPPPPPVPTILSLVSLPKSYLSSLSIGTLKAILYENHVRVDFKQVLEKEQLIDRV NELIIDERKRLERQRIEEERLSAGLAAPAPTVNGDTPSEEAEGNVDANGDGLEDKNKK VPTGPMPEIDRGLCVVCQDEEATLAVVDCGHLCMCAHCSDLIMATSQECPLCRTRIVT SQRLIRIYRT L199_008548 MSDADGNQSKNDEANGAEQRHTENTLNVEHRWRPYDTPRIRHIT GIRIHQLTLPESLPYASKLVSSSHAERDDEGYPISPLGVGESSKRRSSNASTTSYPFP RRSLELDKRERSSSISTARPIEPPSPTVSLHHTLPRTRVTRPRAPTLAGEAIEHSHSH HHDEGLSLGRDETQIQDQDREQRKPLRCFIALKLPSKDQQGSDGLKKVEEDVRRRTKS DERDLRSTGLSIRKVSRTNSSDSHSVPTTPTKPSIRQRISSSSSSSIEIPRQRTISLT SPSKPNGSNENGELRASSSLGYHSLGRTPSRLSDSSSIHSVMRSPPGMLRGGGKSKAS LGISHQFAHSQNQVNFSPTKSDFGKENDHDDLKDNPTSPSSKVNGKNKNDKLIKKQKE EEKHVEIPFYISPIHPPSTHPRFMGLDHLNDFGNWLTPSQLSSEIFVLELWIDLSESD DETQFGKIRWRKVELGVVNLEELRRGPTKQVINGTEFTLSHDAKEVYHIPTPEELEEE EKNGIASGKKRMGVIERSLRETRMKKGIGVGGLHQLINLQAVIADTERSIEEVRWKVD QLLRKDADGRCLGREVSERQSRVEWCRSKISEVEKLTRETQARTTLKQQDIDIRRDHL EGAEEADELRRGRGRDLEDEIGKIETERLSLLPQIHSLRAHHIQTLDVLFPIQPLDPS QLLYTILNVPLPIPIGPKDPAPPLTMAQHKVDERTTAAALGYVAMIVQILGNLGGATG GLPYAITCAGSRSSVRDGTGVMQGPRSFPLYAKGVERFRYEYAVFLLNMNIQMLMQES SIRSLDVRHTLPNLKNLLLTLSSPNLPQRSTASRVVSYRSTTPTMWSRASSAAWPGKQ GSLSPSSTSVHSTSNLSPYKANLLSPSPLRTIRRDGVKGKYGNIRKNIDLGSDDDSGG DDETNTDIGEREESVIDELGA L199_008549 MPLREADISATPIDDGSLTPMEGSSRLPHPNPNDRSNQPRSPQP KLVLFDPRSGQQETIELGSNSASSIMTLEDYKRLNRRTTERGMITGLVGGGVLTYLVK RFMPRTPSRNALSLTFLFSSAFISYSTSRGLLISEILKVRAQARANALANGEIQDNAP PSDPMFSGVDTFGGNSPRDLRSPESEARDQSTGRYVPPGYNSDGASAGGMRGNVRDEL AKLGRLPTPQPQRTRFPKGRGLEGEVEEENEMRDPYATPGQPRLG L199_008550 MPAHTPIPSIPGIELTDPQIKAFLAQRVADLCGLNQPKFPGSQP VSFQTSSLDLLESKDFWVCEKSDGVRILVFIVMNGMTGNQEVWLIDRKERYFSVQDLH FPHHENRGNPLGETILDGELVIDIDPKTGSEMLRFYAFDCLVINGNNIMKRSLLSRYG RLKEWVITPFQKSLRDFPEWKDALPFEVVAKEQELSYHLGHVLKVHIPKLQHGHDGLI FTCTETEYVPGTDENILKWKPPSENSIDFKLELRFPPSISNHDEPDYYAKPEFLLYTW LGGEDYEYFDMMGMEDVEWERMKESGEQLDDRIVEVTWDTENGYWKMLRIRDDKNNGN HKSIVDKILVSIEDGVELDALLSKTDSIRTAWKTREQQRKSGQPPPPASAQRRPPQSQ TQQAHPPTPGGAGRQGYPVTPGSMGYGGQSQPQAQGQNQGLVAGLKR L199_008551 MSKFPSSSSTAPSSPLQPTVTQAITSSSPSRLALNINLKRSSSN ALDLDRSSKKSTSNLYRDSSIRGTVKNHLPTKNKSNSLESLLPPSKALLTTRPESSTR VIPKPQRKAFTDAKSKLMKELFQKDDNKAGIRDVSWKVSSQPSPSPVDSASTFSLSVL PSTSRRSSIFQTSFTPASASSSTNKAQSRSKTTTTAHTSLSAQPVQPTSHPKSSQSAL HTRQPVTSSPPLSASRYAPLQRLPKETYQSTSSYLSSYPVHKPHSRPVQLTPGTVSYQ QPSPPPAPAQAPPPKHLDCQPGGPHSYLPGTTTRLTMAGHRGIDEPTDGSVVPLRMSH VSPKKRSRGKNKSKSTPSIPLVPMESPSFSLSRAAPQPVRPVEDVDSLRRDNELLRQS LKSLRIKFLEKNKQLTKERSAHHETSLSLIHEKEASKMNRGLIESMKRRENEYRIHIT GLMTKDLQRTQLEDKYRVLESKCQTQKEALDTYGKHTSQLSETLKKAKGQIEYLKERN NEKFRELMMKNDELANSRTVSIVTEVAKEVVAECHRKGK L199_008552 MPQSQDPTIVETGTKLVETLKGAFHTPAGYRPVHAKGLLLTGTF TPAPLASQLSIAPHFNNPSTAIIGRFSDNTGIPKIPDTDDNANPAGFALRFMYGDRKH TDIISHAVPLFPVKTGQEMLEFLDSLGDGTIGQFLATHEKTKKFIEYPKPHPERFDTQ KYYALNAFKLVNGNGKITIVRYQIHPFSGEESFLENEQVKSKSDNYLFDQLKDTITGG ETVKFRLMIQVGQDGDPTNDITNQWPNTRKIEDLGVIEFNGLSQHDEEEQKKIIFDPI PRVKGVEPSDDPLLEMRASVYLTSGKERRAAPHVEKENADGLDGLDGQADGAAEIH L199_008553 MPSSTLPTYYLPPPHHHPLPHSSHLSRSVPSPKPKRPTVFDNLF TDLITSLDKSTSDLNTSREDIAISIPSSSRMRSKYLGLDNDLHLLKHSISERNLSDSR RSAQDLNLVDKLMEDHKKELKEFEQVKQQLKEERELNSRLKMEIYKMKEQHEKKIEEM KKANKKALRILMGLQREEFLNWSNGLKPYINQIDQAVRDRINNWEKSVRVRTNGYQNH GLKDVDKNLDLDLERRRDSSPGPDPGKTGDRKSPSKSMKEKTIRRTRKVSGLGLAEKE KEK L199_008554 MDSLREPAESTRWATAPETPPDPRKPSTIRTSSSPNRRPRTDHS PPLTPPLTACTDLTLQNSIIDKTENVNVNRYDKIEHDLSLLLNMRYENDEEYQRLYLL AKKAVSEKERYMAEISATKRQSLEEIHENFKCLKKEYDSVMVELVNERISNESIQVEL KRSLEYTDKLKSENDQLRAQVERFKKINEDQRKEYDMRIHDGLNDRSNLVRDKANLDK ERLKLEGQVHRLRMELERGRTG L199_008555 MFGGTSSWGQNNQQQNQQQQQSGGGLFGGGGSGGFGQQQQNTGG FGQTNTGGFGQPAQQNTGGGLFGGTSSTGATFGGFGASTQNQQQSNAFGASRPTFGAS GSTFGQPQQQNAGGGLFGSTNTGGGFGSTQPNTSGALFGAKPATTSTFGSGATSTLFG AKPTTTFGASAPGSDVLKGPNELQTYRADAPPPPPPAQGTASPAYFPTWQGDPSTTAM GKDGPPHLFHSITGMPPYRGVSWEELRALDYQQNRKEATQQPTNTFGASTGGFGQPAS GGFGQPQQQQQTSTFGAKPAGGLFGSTGNTFGSTNNTFGSTPTNNTSGGLFGQSQPQQ TQSPFGGQSTGTTGGLFGQPAQQNQTNPSGGLFGSTSNVFGQNNQQQQPAAGTSTFGG FGQAKPAFGAGTSGGFGSTGNTAGTGTFGQTGNTGTTGFGGFGQTQQNQQQQQQPASG GLFGGGFGASSTQPQQNTGGLFGQTNQQQPAAGGFGAPKPAGGLFGSTTTPAAPTNTF GGFGQSSTTQPASGGLFGSTNNSAAPSTGLFGQPAQQQNTQQPASGGLFGNSSAGGGL FGAKPATTTPATGGLFGQTQPAQQPAQSGGLFGNTVNTGSGLFGNTNNALGQQNQQQN NSLAKPAGGLFGGSSLFGQQNQQQPAQTGTTGGLFGNLGQSQPASTGLFGSTAAQPAQ QSNLGGSIFGGLGQSTAQQPQQQQPSLTASIDQNPYGNNQLFQYNGQKLEYGSQSKKP ALPPLTASSYRLTPSTKGKVNKLRGFASPITGSQSPARSGTPLGGLSSPGQSSVLGSP AAPDRYKGLTDTALTPNAFVPRPSIKKLTVTPKVLSASGSGDQLESVLGKSALRNSTG SLAQPTPERGAIAPGSPATLFFNPPTANGNGRSDNSDSPLRRTAPLAESSLRVSGSER APKKGEYWCKPKLEKLQQLSRQELSELHNFTAGRRGFGEVTFLEPVDLTLAPLEDLLG TIIVFDQSELSVYPDDYPAKAPQGQGLNVPARITLENVFAHDKATKEPIRDSSDPRYV RFLKRVKNIPNTEFVSYTDDGTWSFKVEHFSRYGIDESDEDEDVEPQQGKGKHVKGKS DLTDRSPSRTPESDGDEDEDMLPPTKGLRDFSEGEHDSGLEEDPFEEEEEEDDTFEID EDEEEIPSKSLPTWDQPIKSKVGVEGMKKLREMQSSFFSSRPQIKSKTAIGKRDVRQR NKDSFFGEAGEEIESLDQRAVKRTSFGESQVSPPKLRQPRKYARVAESESVAKSEEGI KVDAGLALGRSFRCSWGPNGELVHSGKICAPNSTITPDSDALIHIEKVETLANDDKTE NNKAHRLLSLHLEHTLVETLDGVPYAAIDSAIRFRDFAARFDAGDRSHEASIFRLGVA LFDELDLKLPPDSPEELVERIASIRRKLALSKWLEDAVSSSVDSDLTKNGQDRPAKVF TYLSGHQVERAVQSALDGGDMRLATLISQAGGEEVFREELMKQLEDWSKYKANPLIAK GYRKLYALLAGITDISPGDASKGSDGCPDVLIAEGLDWKRSLGLHMWYGNRFEDTISD VVSSYSSALTSSCPPARPLPTYLENPTSGETKRWNSTYEPTDILYNLIRLYSDVTISL DEVLRSRDTSPSPFDVRLSWHLYLLLSRVLEKRDFEDRDDEGYSASADLLTQGYASQL EDSGEWTKAAFVLLHLETSEGREKSLRDLIFRHPTPTGEEEQFLTSRLKIPIEWIHES RAASLSSSGDAWSEYHALLKAKLWNKAHIVLIEKLAPEAVLRDDKTLLRKLCEGLDGK GAEGWEYGGKLYLDWADLTTDTAKLLTSVLSSGSHPDPRESSILSEHSKTLPKVLQLL PALFPDKNNIQQVASLSEMLSPLYQLAAVLHNAGYINKTMVPPSNYLVDEDRLDLLQM AAVERFNKVLDGLPVVA L199_008556 MSQPEMRYFSTRGGSETLSFEEAVLTGLAPNGGLYIPSHIPSLP SDWQTSWSNLSFPELSFEILSLFIPRSVIPAEDLKSIINTSYSTFRSNKTTPLRQTGE KEWVLELWHGPTWAFKDVALQFLGELFRYFLERRNGVLEKEGKEEREELTVVGATSGD TGSAAIYGLRSKPSITIFILYPDGRISPIQEAQMATVPDENVYCVAVENSDFDTCQSI VKTLFSDKQFNSTHRLGAINSINWARILAQIVYYFSAYFQLPFEARESGDIQFTVPTG NFGDILAGWFAKKLGLPMNHLVVATNENDILERFFRTGRYEAEENTAGQAPETAAVSG SSDGQQATSAGGSSVKSTHSPAMDILLSSNFERLLYYLALETLENPTKDEVEDRSRAQ EKLNGWMNELKKNGKVDLGEKIKEAAGRDFWSERVSDDQTLEEIRKYYKRQEYGPYVV DPHTAVGLAATERSQKKSPDSYWITLSTAHPAKFSSAVELALNPQQFPEFNFREIVLP DELKKLETLEKRVHKVSGEQGVRELIERVKKGEKVVPGEGKGSI L199_008557 MNPHIDTFDRYVRPEQSNPFFMSSSYIAPDGPKWYASSPPLNNG VIGKIQSNYGKDKPRFMPYTPKHLKLKSYLHGTSQYDRSKEVKQVNKYESFNNNNNSN HTFRSGYKSVSIPPQIEFLHSYRMENEIVRLRRELNVSEHRNRLLLEQNLKLQQQIKN ARMEGDVLKNLNMNIARNGMWYRREEDYNENGIGMDDDIPVKVEEEDEEVTVKVEVED DA L199_008558 MTSSSSSSPPLKRPRPPPHSPSPSNPGSHLHTNKRIKLHDSTSK LESILSSLYDFTSISHHQVNSAQRQLDSLCAYQTSLERANQSLRSSLKEERSSHQVTK KDWEMAQSSIRRLRKENDILLRKYSKVDDELAKEKEKYERAREKLEKEFKDHLTTAKE LSRVKEEITLLKTIQRPEVSNLTDELERTEKESTNMEKENEYLIRKNMDLEVNLENYE KDRSIVILELLDDLRDVCADKEDLDMIRK L199_008559 MTDKNGVPNFGEKCLRCYLNKHCCSLAISRQSISQCRVTESKRR EELPTNHLIRPQKYFSEQLDKLKPGHPEHRQMVELSRDLEMIQQELHRSKQQSAPRVR STTIRTEVVPIKQKDEMIDLTDDSLESSDSEGDCEEIVDQLMV L199_008560 MSTQGSQSNSTTTNDDTSMTDTAQAPLTSRQARRRAKRSGNKPA SGSSASRQGSESTPLTEEYLRDNQGSYSSRSGVDIRMLLAKLPEHRGSKMDEPLMVVE GMTIRRRDIIETKGPSTVNSGCESIFFDYCRDGVTGRVEIPTERTWTGA L199_008561 MNNKDNTTKPGSTTGQPSVDSSKNEVDSSVQQSEDNQSSKDTVI FVKLDEAWNYIDPIDNPPHLFGPKKTTVWSATSTNGEQKLFVPFSNEGFSEEELTEFR NDLEGLGDFSVYNP L199_008562 MFSFPAFLTSFPFTLPSLPSISLPANIQRRFLSYVLKRSLGRFV KDEALDAERIQAQLSEGWVEIEGLEIDINEINSLIPPAVPLLLTSGTLSKVTARVPVS NLWSDPLCLTLDTLTLSFDLSRPSPLSSNKGKQPSSSFSTARYELHRDLAESVTSAAD DFLHQELDAYEEAELDRSIRQSLVLNATDPFSNDEVPGSFPFGGPTSPGLDGQPLPAT VESTTVLAGLVERILARLEFKIKNVKIQIRFDDEYHGGILEVLVAEIKYADESQTQAE STENDNSRTTIRSIRLSGVTVHMLPIPTVTVDSSHVSSIRPTFTTTSSSRSSSISSTS TSSSGGEYQDMMMSQAVVDLRESTMSNLNSDASVYLSAISERPTASIPSIPEKAISEE ESSRSATPTPPTPVKPTYQNDRLLMTLGSEDIVLRMKTTRPLSSVASVPVASPGLPPS PTFPRQDLSAIPHRLPSVDIQISVGTIASVILPDQAATILAALQYATKPLPDGNSSTS PASQSSATQAGAQPQFTAHVQMKALYAAIIYDMSASSDPRFIDSLSSFWVKPSTTFIP NGHLRLRLDTFEAHYATKGSSARPQAVSLPSRQSHPNTTRLPRRSSTITRPGPPPPTL MVTLQNASIFEYLASDTTTGDPSDVPPGGAFPVLLFDTNLPRQYEVAPGVSSSPSFLG SAQGKITAAMGSPPVFPEFDSVDWRNSGLQRKSGGSEKQWKVKQKGKGILKGTHPPTA EIDEGPVMSLKKELVPNTTATISFNPIHVFLDLSLVERLLPMLRSIAPAIRSTAEPVV EIPPFGVRSYYRSSEPLRQRTTESIIDDLDAQASSYSTIQASSPNKPIVDIKCPLVRL DIRCPAPINRRGTWGDGGHLRSGIVTLDMHHLRARISAAKEQVDQSSRRAESGEDGTK VMWEKMVLFFSRAPERKSSAFIVIGPLAPDATDIDVSPLLPSIELRSSTSPTESKTTF ITCRIPCVQAKLRQQTIEGLQFFADDMTHWLDGAFGDGSAPKPRDDLKMIGSRFFGAP GSTRGSSSASSSTDDEDDEDLSATILKLEISETDISLYVPRTEGGERVLALKVSDMDI GIESNVAGRHEMVFNLSLMDAEFSDKTDPLNPIKILGRTTPYTLTSQQLPLIRIRFSS LTNIVTSTKETGISITLTCLTFYLKSIDWAMDLKRFAKTPEGVFEDVVPSEVTRIKLF LQDCSVHATTPTLGGAVVLVLGSLDVGTDIRSDGDDNEIKATAGRMAILAVDDLRAVG ELGVGVHESAEVWRKAGYAQLIEIISVDVHCLRDLTGTDQILLEILQTQVKVTACADS LASFGNLAGDFAKLIPPKPTPLRPVRSPTSLNRSINVFDSIDEQAFNLIPDIVSGADM IEDDLPTNLDYLDRASRMRTSQPTMDRTTGESLRSWQTDEGEGELGGETIKILLNEGF DMDENYWENLPVLNKGYDDELQIGKTRIRVNECNIKILLHDGYDWQRTRKAIEDEIRA VRRRLEKIRQLLASGQKADESMNFETSTKSVLFNSIYIGLEGKNSSEMNNEALIAAID EELDDLNETEVESTASSWQTLPSTGVPGGGVRQSIRKSKMRLKGKKLSRSKKPQIEIN LTSLRSSIDFFPSTSSRQEMISSKIEVKVKEMEILDHIKTSTWKKFLMSMKSDNRGNV RETESDMIRIELKGITLEEGGEEELRLRAKILPLRLHVDQDALDFLKRFFSFKLPTAS VSTTPVSPTKSNEPFLQHVEIFPIELKLDYKPKRVDFAALREGKTIELMNFFHFDGAE MTLRHITLSGITGWDRLGTTLQDLWTPDVKANQLADVISGVSPIRSIVNVGSGVADLI LLPIEQYRKDGRLAKGVQRGTNSFVKSTAMEMMKLGARLATGTQIILEKAENVLGGQN QNLNNLVVQPIPDSSITSMEHWERDAGGSSSSEDELDHEREVRPVSRYADQPEGVKQG VQAAYKSLSKNVNAAAQTILAVPMEVYERSGDDGPLRAVVRAVPIAVLKPMIGTTEAV SKTLLGMRNSLDPSARKELGDKYK L199_008563 MSGVGLVNGVRVTPDDPSRVVTVQAQSGKTGKEMSISYTNCKVV GNGSFGVVFAAKMLPVKHDDGLEEPESEIAIKKVLQDKRFKNRELQIMRLVSHPNVVD LKAFFYSNGDKKDEVYLNLVLEFVPETVYRASRHYAKLKQAMPMLQIKLYMYQLLRSL AYIHSVGICHRDIKPQNLLLNPATGILKLCDFGSAKILIAGEPNVSYICSRYYRAPEL IFGATNYTTNIDIWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIKTMN PNYMEHKFPQIKPHPFTKVFRPRTPQDAIALISNLLEYTPTARLTAPEALVHPFFDEL RVEGSRLPNGKEMPALFDFTREELSTRPDLIRQLVPPHAESALSERGIDIEGFVPIPL EQLRISLDVSYKHINIFIYT L199_008564 MASVVTSHRTGLVRGARPEAILIVLFLLIALFVFLGGGKKKGKG KSYERREEGGEARERKGRSRRSEPDSDSESGRSDTERKSRTKRSSDRGRTPTSSSDDE RDKKERERAERKKKRKEEEEKDRKKKSQELAAQSQAQVQDDAVPRMDAPSLLDENIDP LSDKLWQRDPTKRPERPSGRSPPILLKRDENNQWTRPPLSGKKGVSFDDGGIQGKELS SVAAYNHEDPSNRIYDQSHTDIKRNHVSTERGRDGDVLQGKIDGSQSPLIDLIKSGKL SEKLVEMMRRLEWACLIDHLDLLNQIPQNVLKESNDIINKETFIPKIGPVPNSIIDII QQMLIEKAIDLPLVLSSQSNPNMEHVNPNIPYDEDPDIRPLDKKTVQDNFINKHLYQF GIAAEDIRDNLGYGHWKDLEVDTIREVVYKWTERKMKEASEPQIETQDQPGEEQYDIF RLLLGYFLPYYRAITSFRPKHFKERDKVPGFNEKVGPVNAGLVQWLRYIGKCLLISRI FSENGSEVSLDLSLKVQVSKRSRQVGFIVDYTLFIPKPTEDEGQKRLIGMIYQSREIL ERVKHTLILWVNHLNEKVYPPRDSGNYLFNDLYPFQINRLTFRPGLPLQAINFQSLQR SLTSPSPPDTSDGREKMIMNVSSSHIEEMFERSKQRGEGPSFFGELYRTITCQMIRFI NKRQYHWYKPSTDAEGGGVYEKFDEKQFEFLLMLIGPGLSKVQLTEEYKSKTTGEKVK DKDSMLEYNYGDTVKYSQLQAIDFGGLIPIEKPPPGYASFGDKKIVKLDLFKGLKWIL LPIQFNTGDSQGGGAVYSANLVVNDNLGLTNYLYGNSQKSLSVTFHGRSLEDKNRLAV ELAQTTVEQINRWIKDISENTNMMDAQQIRRRVMEKVDMTKRMIRNKVKFAIISPNSQ HKQQQQAEIENFDDTNNLNDFDIPQEMLPPGLVG L199_008565 MAEGETQTERTIVIYGQGGIQTDLDLNSLAEDEIAAVIPDMLVD YSAECRDWTLIASEHWKQGRWARTEELLKRGITFFAGGSGRHPDPVALVNLHAMLAHL NLHLAKSAPKVILPTTKYDKIPEGTKTKGFYHKEAAANLNAASEALRASGAAQDDEPV SLAMGRVTHYFATGQPGLAHPLVERLLQRQPNNLIALTAQARLQFARRSHEAALITYQ KLLSLNPEMSPDPRIGLGLCLWQLGDKAKARTAWERALSREPTSWVCLLLLGLASLNL AREPALKRDERLRLETEGVDFVKRAFKLNVKSPASALALATISGQGGQLPVASKLAER AIQYSDNKRHSVLANAERGRLGFVAGDVADAGRYIAAAKAEDPNTVNIMAELTLGQIA IKSGNLREALNFIEQTAKRLNGQGPLEYTVLHACLLAYPHPGMSNEELTKNRITARNM LSELHNLVATAESDEDWAKLRGIGSDADIFVDLAKLWQDESLERSISAYQTALSIKSE SELDEIPNSQELKPPDLKTVRMSDNLGVLFQLQGNVETAERMYQEALQKISSTDGKEA EILKTILAYNLGRAYEEGGDTVKAAQWYRDVLRQHPEHMESKVRLALIAASAGRNYDA HTLLKEALKADEPNLTLRSVYSNFLISLGSYKEALAFTSQTLKYDRSDAYTFCALGWL HFTLGREAKASQEVADRPKQYLRSAEAYERALHIDPACAHAAQGLAIALVEDSLTPPK SNLNGVDEGKHRARLAGQALSIFSRIKDSLADGPVNVNMGHCYFIRGEEEKAIESYGT ASNALKGRNVSVLLYLARAWYALANREGNFSAMNKALGYCQQAMHIQPSDRAILYNIA MIQQKAAEMLFSLDVSKRTLEELVIALKQAQQAVNTFRALADDRSGPLPYDADLADQR AKYGDILLRRVPGEMDKQKAYENEFQARVEEARKMRAAEQERIQAAEAARRAEIEAKA AALAEERRKAREEALAWQEELNARAAEEEARKANNIEKRKKRKEQGTIDSADEGGEDG QPKEKKQRRKSTKKEGGKKGRKNRSKSEVLSDEDDNDIVPDEGEEEEEEGEGEDEEVV KARKARNTLAMLKSKRKSRRNVEDPDEDEDDEINLGAAKKGKQFKSKAYIEDSDEDED ETPAVAAADEETKNENEGSPAPPSENGEDNNDKMDVDKDEDQNED L199_008566 MSSAAHPVHSSSEPAKPAEGLEPVTPGASATQVNKKQGGGDKPN KKEKKEKKGGGGEPLELNPPPEFFAERIQIYDKYKAEYEEFVAKQERVAITVTLPDGK KIEGKAWETTPLEIARGISPGLADKVIIAKVNNQELWDLTRPLESSCDLKLLDFDSPD NNYEARQVFWHSSAHVLGEACERRFDGCCLGYGPPLEEGGFFYDMSLAGGRTVSQEDY KGIEDVCKIAVKEKQPFERLELPKETLLEMFKYNKYKQHYINDKVPDGTRTTVYKCGP LIDLCLGPHVPHTGRIKSLAVTKNSSSYFLGDAKNDTFQRVYGMSFPDNDRMKEYKKY LEEAAKRDHRKIGKDQELFVFNDLSPGSAFFLPHGMRIYNTLMNFIKAEYFKRGFSEV GSPNIFNSKLWETSGHWQNYSEDMFKLKVDEDTFAMKPMNCPGHCVIFDSRERSYKEL PLRYAEFGVLHRNEASGALSGLTRVRRFVQDDAHIFCTPDQVESELYGAFEFLDAVYK PFGFTYKVGLSTRNPKKWMGDLALWDKAEATLREVLEKKVPGKWHVNEEDAAFYGPKL DFQLTDALKRNWQCGTIQLDFNLPERFNLKYHSAEQASDSTPGAQFARPVMIHRAILG SLERFIAIITESSGGKWPFWLSPRQVVVIPVAKAYVEYAQQVSKRFTEAGLYAEADLS DNTLNKKIRNAQTAQWNFIMVVGQDELDSQSVNIRNRDDEVQGREETVKLDVAVKKLS ALKESKAAISKL L199_008567 MAESYDNTPYYQGESYPQYSNSPSEEATAPTVIEPDGAVQTSTY ESPTGYQYPIYQNQQSQPLMNEGSYAGSSDCPTEVPMPLPVPIISQGPSILGYNESKY NFEAYMTIAEREAARREFNRVRLGSEHSLPSDSGPSATGPRVPFRPSEGEIEYSFRSY YRDTSKGPTRPRLQPGVERFDAIRNDPTLSADYKNMIEAQHKVTVYTDYDPPEVKRRK QTYIQKRRDEMWTDTRNTNTSQNK L199_008568 MSYQNGFNPAQMMGFGNPYAAAFGSPGGYGGYGSPGRGGGRGRG RDDRRGGRGGGRGGGGPRDSRPPIPENSNARMRKMVLKLGDDDEYHPVDDPHRLSRVL KRAWREGSAGVCEGFRISITQQPHKHSYLVVLLLSLSRRNLPTTETNEGDEAQVGDKR KADDVNDEDVEYGREVLEDLCRALRGWVEGREWQNVRLGLQFFSLLVPAGLVTSSSLL GVYKSLLAVLEEVGGGGDRAERAVRAVGEGLIRSGKVLYDNHPEEVESLVSAIEGYII GRRNEVKSLVDPFSPVSLDGQEHTPTPDILDNFLSALHALRANSFAPPHSLPRYWESA TLSEGTIQSDPYELSPISMPPEMYAVDSNELDKGEGRIGNIKLFGDDVVPPPETLEGW VLRSLVLDIINIYEVNRKECATLLLSLRKFLPSNTFKPVNPPTEEDEDKVLSTWSSES LIISTLLNSLLTLPRSTYKSIYYGSVITELCKISPNTVAPPVGRAVRKIFSYLGAGGS EGTLDIECQNRVAVWFSTHLSNFGFQWMWKEWIPDLDLPLSHPKRAFMRRVTELEVRL AYYDRILDTLPEPMKAEGAGVISSEPPEPFWPYEKPDHPLHTEAKELLQLFRSKTSPS DIRTHIETLPNSSSGHGESISEDIRKTVFETLLHLGSRSFSHFLNATERYLDLLRYLT SESSSRRLLLESVWTYWKYSHQLKLISIDKYLQYGILEGLDIVEYLFESTDSDEVEEG DGWTDGWKFEILKMTIEKHVGRTQSIKNRLKVIEREDEMARARRAAELLEKGGDVGEG TGEEDMEEDTRAEGSKAFNDAQTSLDIQSTRLEKILIATIKQFVSSLLPVNSASSNQG LKGVLTLLRSGEEGLWSVRARLGWYKEFVRLWSSHLIPLAEPIENSLFSLLSSTDEND EIDRRAVELVKGIWNSALEL L199_008569 MSRASKIFLASSFLVSGVTVWGVHYIQKRESDTMYQGVVKDEAR IAAKAAASAAAAVLKPITPSALTSTPSKDVASTAHSSGTPLNTPSSPVQQPAPVIDEE CTTCVISPPPQLLESQSKEQRAKERELRMKEYNEQKSLDSRLRNEQGVSEQPTGTRLV L199_008570 MNRMETESKSATCVDISTDFTTVQMKNSTSLAGPEKDGFTFDRV FDTNTKQHDIFDWGVKGIVEDVMTGFNGTLFCYGQTGSGKTYTMMGADIENPALKGLI PRIVEQIFASILSADSVIEYTVKVSYMEIYMERIKDLLAPQNDNLSIHEDKARGVYVK NLTDVYVGSEAEVYKVMKAGGSSRAVSSTNMNAESSRSHSIFVIGIHQRNTETGSQKS GNLYLVDLAGSEKVGKTGATGQTLEEAKKINKSLSALGMVINALTDGKSSHVPYRDSK LTRILQESLGGNSRTTLIINCSPATFNEAETLSTLRFGMRAKSIKNKARVNVEMSPAE LKALLKKTVAELASVREHASALEEEVKIWRNGGKVEQANWAAPMAAATSSSGAAAAAA KRVTSPLPVTPSSGNTSGTPSRSNTPGGLLPSALTDGSRPDTPTVYNMEFSKDEREEF LKRENELSDQLAEKESALAAQEKLMADIKEEVAYLKEQEASMFKENKTMSTELNDLRI LSARLESESKDASITLDNYKEKVAELQKDIEEQKIQIEELKKVQSKEKEEEKEKRKQE MLNEMMSRIDMGGAILDSSSEKLRQVLQTLESRDNTTSAEEIQSQTRELVRSALAENQ DIVRDLQERLRLAQEEADLQNKRRSEVEKMLGKRDAAYEELLEKTSSSQNVAIDDIKA QFESKFTASEELLKAEIQNLNEHAESRAAEIRRLQSTVESYKLSNEELNRALSVAAAG NEESENFAQSAKELERIRKSHEIQYAEFEIVKKSLMKDLQNRCEKVVELEMQLDEVRE QYKTIARSANSRAQQRKLEFLEHNLEQLNVVQKQLVEQNTSLKKEVGETQRKLINRND RIQLLEAALNNSEERLAKKTMKSEKQLQELKVKLADIEAKYSNPYNHGRIAKPLRGGG GGGGHTMTTSSSSPAIPPGTPVYQSIASGMHGNSPLARIQDESASGKRQSWFFSPSSH SPR L199_008571 MSITSPTATWDTIQDVFYRKEEIYTMSWNVPDLSEYIISVGKNG GPIAMIRDDRLPLPSSRHSGKPKIHIYSSSGILISSIPWELNTPILMHFTITSMVVLS DQGIYRIYDLSNITNYKQYSLGDEIRDSGVVDARAYEDGMVVLTREGEFVEVKGWKGG RGVPMISPVLNEPPTSWTLLSPDISPTGHIQLLLSTQSTILTLDTLEKIDQRINRGPF SHISISPNGRFLALLNPTTGILWVVSSDFSRNLSEVDITPFGEGLPERVEWCGDNAIT LGYRNGKVVLVGPKGESLSWEYPPSAHILGENDGLRIISSSTCEFVQKVPECTLAVFS PGSSHPAAILYDALDHFERKSPKADESIRSIRPDLANAVDTCVEAAGREIEVTWQRRL LKAAQFGRAFMDLYNPSDFVTMAQTLKVLNAVRYYEIGVPITYEQYIASSPATLILHL VSRNLHLLALRISQHLGLRPDPVLSHWASAKIIRSSQKGVDPSDRGLGDDESVCRAIV EKFEKEGEKGVSYAEIARKAWENGRARLATMLLDHEPRAAEQVPLLLQMKEEKIALEK AVDSGDTDLVYQVLLHLRSTLSPGDFFHILDDSITPKLKPAVNLLQVYARQADRDLLR DFYYQDDRRTENGCLEMEEAGQQEYAEERLEHLKRAAKSFGESKERLFESKMADDAHR LLTLQQTYERELEHKFTFSGLSVNQFIYKLILEGFNKRAERVRADWKVPDKRFWWIKL KALAERKDWEGLEAFAKSKKSPIGYEPFVTHLLSLSPPQPTQAGSFVPRCEPRQRADL YVLCGDWSKAAESAKERNDRGKLDELKRKAPNGIAQREVDEVIRRVGK L199_008573 MAEPLPEPAGGRSMRPNPSYSTLQELSKPFILDSNHRSYKHQYA NIYFVRLVELRPIVEEKAHERWKSVRGKPPLLPRILNLTRSQLCYIVGTVYLDMPLKP NVLEDMARDQWLAPPAPRTKFFSAQDAVHLEDESGRVRLVGERIRRERDRQGGGLVTG VIMAALGMETSSGDFEVIDLCFAGLPDKLDVQAGSSSKANGKGKGKANSDEDVDMTGN GADGDGSDEKTWVALVSGLSVGAQEAPADLKCELLVEWLTGEGGGISDQLDGVRIARL ILAGNSLTTPVRGEDDKKIKRFNNSNKPLFPNHPTKTLSTLLEDLLSSSLPINIIPGP SDPSGATLPQQALPKVMFGTKDKTVGLESGTNPSWLEIGGRSFLSTGGQTLDDIFKYL PSDSRLAMARRTLEWRHIAPTAPDTLWIYPFPDADPFIIKHRPDVYVIGNQPEFETAL VGDSDTPTRIILLPSFAQTGVLALVCLETLECKTINFEVPTWIGDEVKNEEDVKME L199_008574 MSLSARLIESYEDRKPFIGDLSTSFSRPAHLAIPRSSTPLARPP SRAVNMDPNESWMSHHGQQSFTAGPSTQGTSSWSEERIQMLQARLARKLGPEYVTQRP GPGGGPKLSYIEGWKVINLANEVFGFNGWSSSIVSLKTDYIDEKEGGRVSVNVTAIIR ITLLDGCYHEDVGCGQGENIRGKSAALDKAQKEAVTDATKRALRTFGNVLGNCLYDKE YTKEVVKIKVPPAKFNQNDLERRPEFMPAGAPGPSTAPQQAKSAVPIQQPQPIRPQAR IEPPIIAPGTPLKSINDHEGDVFMDEHFDAEFLYDSFLNQIEDSNVPDQNGNSRIKNS SMQQNAQAGPSRLPDQPTYQHRHRPDALQQSAQDNTSVSYNKPGQVGSKPSSGNSSGS GSGGSGKTLPEASGVKPRPVGGFAAQGGNAVASNANSNAAAASARRLAMASAMHTSSS PPIRSESPKIPSGGINDVAARAITKLTNEREDFRMNGNVVSDDGENVKLAGFASARGV KRNGNEEVGDRRSVSPTKLPNVGQGQTGYDRQGPRMALGELPTEVGGVDWAAKRSRIG L199_008575 MSLIRPTASSSTFRALGLGLGGRGLHTSRALAIRASTPRRDATV AADETGGFKYIPGGPILKGTVNDATPQIEASRSHGSYHWAFERVLSAALVPVTVGAAV STGSAYPILDGILAVSLIVHSHIGFDSCRVDYLHPRKFPVIGPIVSWVLKIATGLSVW GVYEFNTNDVGLTELVRRLWTA L199_008576 MPLLFPNFRIHQVFGANTDVGKTLLTTALVRATASKHAASSKGK EKSVFYLKPVSTGPDEESDVSYVQRHTKPYAHLIDTHNLYQYREPMSPHLAAKLAPDL PFPKTNDELVRGIENYATSCAKQLNGRQGALFVETAGGVHSPALHPPHTQSTFLRSLR LPSILIASPHLGGISTTLSSYESLITRGYSISAVLCLYDSYYRNDDFLEGYFRDRGIG YWTVKPPPQKYGTIEEDAVRLAQWYEEVERSGTAEEGGGGGVKDVSDWLDHQHVNRIK ELDSMPGRTLKSVWWPFTQHGLINKKEDVMVVDSAYGDNFDSYYTKPSPTSPANSESQ AIPKEEGSLLNSYFDGSASWFTQSHGHANEELTIAAATAAGRYGHVLFPSGTHEPALK LAEKLKSTVGKGWAERVFSSDNGSTGIEVALKMALRAAGRRYGYDGEMGGDYGVIGLR GGYHGDTIGSMDASEASTYNKAVDWYTGRGHWFSPPMVQYIDGQPSVLTTGPDEWSPL PDALTSEGKTTSEGWSLGFSDIQSIYSVESRLDSPLADYYREHIRKNLERAVKVDGKK FGAMIMEPTCLGAGGMIFVDPLFQTCLVEVVRASSDLFGGRSWKGKKYTEDLKEVRGG WREKRKWRGVPIIYDEVFSGLHRFGYLSASSVLKETPDISVYAKILTGGLLPLSATLA STSIFNTFLSDRKVDALLHGHSYTANPIGCSVALKAIEILERQDWEVEKKMWNVSLKD ESKRWSFWNEGFVSTLSETKGIKGAMAMGTVMALELDAGEGGYSSHAALDFLTALRQK IITSPEGQFAPFQIHSRPLGNVVYIMTSSFTKPEVVRAMEKTILEELAKI L199_008577 MAQPPPPEPKRSQSSTSLSRLYHGTGSTTDNNEEELNDPKMDYC NSFWGQGDRGYEVIMARLRGAGRTVEELRGFWKERASIEEDYAKRLHKLSKNTLGKDE IGDLADSLQHLLTETASQGAYHASLGLEIRQTVEQPTAELLSRLSNLKKGLQASIEKA HKTKGLQEQHVQKARERYEQDCLKLNSYTAQSSLTQGKELEKLQTKLDRVRQTIGANE QDFRQFVRILEQTQARWESEWKGFCDHVQDIEEDRLAVTKDLVWVYANAVSQVCVEDD SSCERIREKLEQFEPQNDMLNFVKGWGTGDMIPDPPRFINYNAGESYPTQPTFHVSQF QRISARPPMQPSMASQQASAQPEPEPEQPREPEPEIPQANGHDNKDINGVTDSLQRTT LNDEPPSSARSTPAPDTQKKSPFGGIALPGLASAASPPPQEETSKFSSMPPPPNPPTM SMPEPRIPSRQSNHSPAPRNVNNEEDPMAKALADLRREPPPPGSVRRNTSHRRPESVV SASGSTRGSQYGHGIKSPNSPGPNRMSYQQHMPPAQSRGSVDMTLSPPQPGHTAAALA KSMEEFRQQSSRGPDPKRQSVNYSNFADDIVGAHPTSRPSSPSVAPRAPSPAMMQPPT QPATHIADEVLSQYHQAFPGERQERSRSRAGSVISSHSRAGSFVEQQQQQPPPVSPGR EAFAGIGAGGGRSPSPQPPTFRSPSPSPMMSQGSLGPQNLGISLDAKGGVAQDTMAEA YRRQFEQQQQQQQQQGPPPQQMSSYPGQRTSRYGAPPQQQQEAYGQQGGYNAQGQDQR GSMYGAPKSPVATGQPPVAGNRPTSGYGQNQSLQYGVPSPSGPGFSQSQQPPQPAYNA YPSQQQQPQQQHPGQAYSQQQPQQPPQPAYNQHPSPTPQSQYPVANGYQSQSYGAQGY QSSPSVNQYARSASPAPAQQQQQYGYNRGPSPQPQYGGRAGQSPSPQPNQPPSNAAPT GQWSTTGLPVLFYVKALYDYAAQSSAEFDFQAGDIIAVTSTPEDGWWSGELLDEARRI PGRTDFPSNL L199_008578 MDEEADLALLDQHLLKTNLLSQRMTNILGQLDNRLSRLDKTIAP LGLQPLTRKDANIEAILLHLEGGNKPSNSNSNGKTSSNGPIRPARSIPIPSPSSITTS NIVTPTSANIPGPIPISTKTSSAATSPVAGYALGNPIITNLKSSAKQLSPIQSAAPST SASPADETALLTRGPDIMALGEYFTALDGVILDLERMYKGLMEGRGGAREAGVKDLSN LVEIGFSGMTQLFIKISKDGMGKVVDAETLLSNGPPTPPNYFSPLSTLHPLINKITST LYPPSNASTPKTLSIIQPIWDQTINTFAEMRGEWMCRCLNGLINKVEEADEGGIWADG RGKEKVKGLVGLWEGLLSLVEAETLLITTLFPTHPPSTLLLRTLSSPIEILLKILQPT INSIKKSLSSQTFIALHLYTSLSSIQQTWENILTKCLNMTQTSLSTMDVKEVLTVLNQ PVSTLRSLCLRSFPEFLVDIRTTRIDSALTSSIADITYSTLSYLEQLQLPEFEKTVEG LLGKSHSERSWLMGQKDAPSPARNAGEEGGTVNLFVADVLGTLLIHLDARSRTMRKPI GQAFLLNNLSHIRNTTSSFHSDIIGPGAEDMLNKAFRDAKSQYLSEFHSLVNLLTTTH STPRFGVPVPQGERHHLKESASQFFDRLSELESVILQYPLNRQDPDMRDRIAREVENI VRGGYEVFWGRCAGKGIEKYLRGSPDDITRRVQAMFR L199_008579 MSDIDIGNLAYVDIQHDALAVFDDIEQGVVLSEDIWISGYKAGE SSVHGKAKITFREGGGSDITSRDGVKVERSSSSKTNFDVSIPKLNIDNRTVKYPKQII HPPYKKSSNLDAPLHINSLSLNPKSPHVVIGGPDGYCVILPTSLSSTEKEIQLKGHVG DVRDVKWFPSGEVILTASSDLSIRIYGREGINPRTLRGHTRAITSTYILGVGKQILSA SKDGTIRLWDIGKGEQVRRWLIGLESRMTIEGMIVVEDHQLLQSLGLGSGEGEGGEGR VMILNVQDGIWIQPFSSTEKGSGWFIKNDLNSQLISMDQREGLVVLGYMNGVIDIIDL VRLRKPSTTKEEEKVGMGRIKRIKRNESSIYSLYLTSTSTGDKDEVDLYVGTSSGLPC LLGITIREDRFEVETKEELAGWEATGIECWGKVGDNVWCAGGEGGLRRY L199_008580 MSLDMDAYDLCAVVDIIPVRCFSCGKVIGNLWDSYLELLAAGVD EGDALDRLQLKRYCCRRMVLTHVDLIEKLLMYNPLSRDR L199_008581 MQPAKAKPAASAAKAAPAAPRKTRATRTATKQDTDADDLVEGLG KLQLGQPSQPIRRTVRTTAQTSTTGTSSKPTTTSRKTTTTTTSTPARKPTTTTTSAVG SNAKGKSKATSLEDTLPWARPSSPSDSKPMSVTDRIKGAMQAVNETSKSLSAASTSGF RYSTSAITGSEKAGKGEWTNERVDTLIATCQIALKVLREFDEKGQLQGKGLDVERSSS GIVVKCIAIGMHPQAMELIIASRPSLLRLYKPRRIPTQTPVASTSAPSRAQSSSSRST GIATSSATSSRSHLTGKSSAPIKPESIPKEWLDMTRLPSPEEVLELAEALRNVLFMNL ISTWTCLVALAKDIDQLIPILVQPKSDDEYLHPLTLALSLLTPSISFYLHTFYRQVSS LTIPPTSSAYIHLRHLALLAMSITISSSANSKTNPTQYWDTVHRTIITFIKGDEHKEK LNEAAKAVDGIVEFVENMVQQRGDTGWFEGKGWLGLVEMWIGIGRRLGDSAVIDKPLA LLASCTSITRSPLPQRLQTPQAGPSTPPSSSTVASKANIPKTPSSRSDARKIKNPDVE IARICGDLAKANLAIDKALSSKSASPSTIECLDSAELLTLAHSINSLPQNEDLPAMAG KACRAFERVRRGCLKVLEASNDPKDGERFESVKEGIQGWLNNSIEFCEILVYPSIVDE ATIRDTIIPSYMDTIVQYFRPPQSYTAFYPYMPRARELMEKTSRIVNDTNKAEYFRCL STLAYNAAGQTYRSNQNEQAAELCKMSCEWTAEALRLVENTSKKDDKRYTMIGDVFSR RWELLAGCYQRLGKKDEMFSAYAHVFSSQPTSTFSQISSSSSTKSLNEVFGSLTELNN SLNRLASVILWEPATYTSHGQELIKLMQENSCPPAAIGAIGERILALLEEGEWKDEVA NISLDLGDSILSAYGEDHPIRRIRVLAKMMGTILTSGHQMDRFPSLVEEVTKASSQSD LGQDTGLSPFKAEYLSYTLVLKAMQTYHASSHPTLDVITASKEAIEKLRSIIIPPTPP DQSEEPKKKVPLGRSTTTQAVGTRPTRSTTRTVSEPKKPIAKKAVTKASAAGAAAKVK RRSSIGPFLVFDDLTRLTRFLGSLAALLGLLGHPFQQIEALKLLRAFQRNKDDLVDDY VLRSAQLATEYQKLGKTSRAGLVFAQAQKVIGHSKILVSLKVRVELELRFAFYLAFKG EVTRAQEVYAEAAAFELEKVEIDKNASFSRRVIDRCEQLERAAWARRAVAAIYAAQDN AAAAIMHLSASFRIFSRAADAICRITSSSPSSPEPTTDTDDPFGVPLPPSAKPKINGA DEPSKDSQVASPSQTTHFTGKHLHSFQWHIASSLLSTILDLSNAFAYRGTVRDCEYFL RIAGGVAGAVRSDVISARVGAKEAELNFRMKKWEVVGEKLEGAASALNAIEGPDMIDL NVLKGDLYSRTEMIEQAEQVFQSTSKEIEGLDRVFVANEAVLPTVRASLTASRPTQQG KEPLLPSALGHVLRQYAWLLKEAGSKEECEELLCQIKSLPASTENQAEELLLEGRIAL HEAFNTFKTDLFMSSLTESAVAMPMGAPLKRVVDRQSTRLSIQHVLARAEDAFLSALS LVSGSGKIEGIRQACLALALLRTFQTSLGQGSDEVTAAAAGMLASSSSITLQRELLEA IECKFTDIVNDDTKWPTFSNNTMNVDERHQINGSLETETEDLDDHNGHLREYWDKIKI KYLTNSILSLSTEPSKELNNLPSEWAVITINVTDDRNTMFISRHQRDCKPIVFCLPLD RQGRREGEDDSLTFDSALAELQDIIDCSNNGARTAKNVVSREEKLAWWEERYRLDKKM KDLCESIEFVWLGAFKTIFNPRLPNSSAIITDLKERLEKIFNSALSSTSGGGTAKKGS SKPPNKVELDDALLQCFMNLSSKCKDEEVEDLVYFILDVYQFHGVPVALSELDIDQIA IDVKGALEKIESRIALSGLRPPKDEHLFLALDKNSQPFPWESIPILRGRPISRIPSLS FLLDQVAMGNHLRPSLTQPTFNPVSETSSNGAVDTRRTINSRRTFYIVNPSGDLDRTQ QHFQPFIDEMVKTAGWKGIVGRPPTELEMAAALKDYDLVLYFGHGGAEQYIRSHKIRH LPQCATTMLWGCSSGHLKEQGDLDRTGTAWNYMVGGCPSLTANLWDVTDKDIDRLSSH VLKSLHLDSAHQPESKSRSNTLLPLSELSTVAAVNAARDECKLKFLTGAAPVVYGLPV WLH L199_008582 MEVRPPTAAQMIPPAGHPSDLHRLQYVTASGLTSYIGNAEFKLL DALQSIKKSGPYTADDIQSAFNKMNGHGPQTTSTAAGSDSKGSEGPLWID L199_008583 MLLEGERTKRHLSVQQEVALIDKINSYSQRGTHLTPQHIRQLAV AISEEKIGRNWASSFLRRHKEDLSSKYFKIQEASRMKSDTGANRKAFYTLVKAAYDDY HYLSSNIFNMDEAPFNMSTDRKVKRVAPKYKPTTSQAALANDCHITVVATISTSDAPV PPFLIYSGEYFIEEWADVKDKDPLLMAAVSKTGYNNSYYMMNQTLRALDRTVRRGEID LKTAFMKTQRALDKSFAEVALIGEDTRKRKAAEELDKEVQGTGKCTRYPQGQVFDPGY KREHAAELAERKKKQLEERRKKAAAELRNKQGEGSKRENACRRPTSRATPKHYSTACL ELEECDEE L199_008584 MSDPSDSSLKIPDGDSNIVEDPKLSTPQPQPLTLEPAASSPVIP TSALIQSSPVIPQSIPTSSTANIMSSAPVEMPTFTPYIPNTGVNGRRASSSGKAANGQ HRSVGPELSTPSKLSQASGGIFVPTGEPPYEPPLDIVYPPDKIPNPHWGDMTPQPIIE NFPANSLYRPKYLPADIDDRLDKKSVWIGVEKESARAVYFLPPTCQCCKNPAVAQHCD RGWPNCARCIGRGVTCIPGKAWGMMRPKGKRRNLKAEMGRTKLPNEGTSTPIKNGTSS SSQPKSTPSSSAKGKGKAVQPDNSHPDLLHEPINLDQSMSTNDEKEKPRKKRRLSGGA GGEIGVKRIRRKSHRDSTSMLPHTSSRPLSAADRQYFSRLEDNARKPPLTDMHGPCPV WAKTRGSLHAACEYLRNPRATAGASVEIGVGGIARGVVLEGDIPDAQGAYWGTGKDTG TIITAIGPSRKQNSDYHQDLNGSDPPAPISQEPLGGPRMQSFTPPLNIFPPSQSRALA ASTQNQIKQENSTVGKDVKEAPEVEALLMAHRARTPVALAVAQDYTAVPFKVPRPFIV LGWFWITDAWPEPVMPEVQLFHAQQNRPIGPPESIIWKFRFEWCTGGRQGVPWWSSVA QPTRPTALPPTYTEEAEWQISGSGCGSTTVQSPSSGPSTNVNRVYQYICPQCKHISTK VYRDGDICLNERCPWFFGDASSLANHIGPITNIPFPLLPRTRVLPETLGLQLRPPEPS GISHDITQSHAGREFWKGWVCHKCGSAQERYKWAGWSCEACGNSVKPPRRIYTAEDLR PPSRPVCTSTRQDDGYASIPFEVNRSWSLFDNDIKVVKHALEPLIFGSNCEAHHILAH EGQGVNSIAGDALKKLQMQGEDEIPMRRYTAVTTRRPAELTMSLFYTYLCGPESYPIN AFPTYRSAHWSNVPPVCLEIVDLINDRSGKCFPGEREFDSLLIAANPPGLATTLYPKI EIEPSSYMSILFLGSDATVCIRQYGTKQGSITVQHGDILGFKLGTEVMEISLRMENFG FFCIARHGNLNDVVAPQTATSESRLSTDSSLPHVHSDFNPSSSVDPSSATLPRIESIP VEDISVSKSKDKKSTSARSKARAVSPPLVKPAELVLKNWYIGAYPLDPLQPLRILPPY RPAEGDLDSSKVVILGDKKDWIQLEPRALSPLPGYDDLRPDPPPVQQKSTAGGGGKKG KNSTPVSVTKKKGRGPGPRASVSGTPASTATADQEEDTKSIGGTPSARGGKRGRGRGR KSIN L199_008585 MFKSLRSLLATPSEESRVNAGEYEPLSVGDDASSHRERDGLKDI RHEPKVYLSFWVLGAGVLMSWNALICTIPLFITFFPPDSSSSVKSNLASYVSSSYCFG NLFFLGLAQRNVGDTSPSKRIHWSLLLLLVTAMILTFPLLPLILPSLSPQLLFPTIVL ATVILSISTAYLQSGVFALSALWGSKEILAVMSGQGGIAVLVSLVQLILAIIATRNKQ EEKGSKASTMAGVGLWAAGSIGTMACLVAHRYLMDHPEYSSITNSTSTMLPEDNPREE KKGLTRKVFKKNSLLMVAVAWVFIVTLSIFPPITTMIVSTHQPTPRLLQPDVFIPLHF LLFNIGDYCGRTYLPSISLLFTTSPPRILFLSLLRTLFIPLIFLCNTTSRTSTPVFNS DLIYFLIIILLGLTNGYIGSLCMIVASSPELNKRIEEDERDVAGTLASFCLVTGLAIG SAASFVVASLVKG L199_008586 MSTQIPKTIKAIQVDKVGGPEVNVLREIPTPVPKENEVLIKVQW SGVNYIDNYFRSGLYPVQHPYTVGQDAVGTLVQAPEGYPIKVGSRVFTTAGSAFAEYL VASRDRVAALPEDIDPKDGVSMATQGLTALYLLKESYPVKKGDWILNRAAAGGVGLIL TQIAKYLGVNVIGTVSSQSKVDTVKQSGADHVLLSSDSSESNVKKVLELTGGQGVHAV YDGVGKDTWEEDFEVVRRKGTIVTYGNASGAVPPFPATKLSPKALKVTRPTLFAVVKT QEEWDEYTSELIDITKKAKIHYAVHKEYGFSAEDVIQAQKDIQGRGTAGKLLIKISE L199_008587 MAYNQYNNRHSMYSPPPENTPHRTQRPYSTVYPPSSNDFIQPPP PPRPSSAYIAPFPGQSHNDHPTYQPPYPPVNNDNPPQRYSSLNPNMGQALYQPQPVSL VDPPDILPPRSSSLSAPELENYTEGNYSNNASQHYNTPLYPPLTPSKTPYQAPFDLQS QTFNSPPPEPGAQGFSPARHHTPSIASSLSSLVLDTSDMQHQAFPSSSSSSLSSASAA TEPPRTVSLPTIEGLQSDAATLDAAEDMDEVLWAQDVLRLVDRQLTPSGGGGPTDFTH SESSPTNISRLSPSLKDLLENAVPIIIVVSTSPNTKASALALYLKAKLQSSGICQEML PRNQRLAFKDFESAARNGETRGWFRLGRDYEGVNDMSRAKDCYERGMKRGDCECTYRM GMAHLLGQLNLPTNPSTALSLLRQASDNSTVDFPQPSYVYGMLLAGELSVPTEIPANL VLPPTSVPSEALYSQWTLARDAIERAAYFSYPPAQYKAGHLYEHAELGTPYDPLVSVN WYTYASKNGEKEADMALSKWFLCGAEGHFPKNESLARTFAEKAARKNHPNGCFALGYY FELGVGGRRDLDQAKKWYQKAANLGNTDAPLRLSALSAPVPTSISMAEHETRLNDTLV RRRTQAKIRSDRQSISRPTRRHNQQAPQQATPMPMPQAYTSPRPPEWDHRQATPVNVP MPMPMPTSSPSPISPAIRVGQIMSPNINNTGYIPSSNSFPSRPPIPHQSFNQGPNLDK HNQTFPNNRRPQQGRIDENGRRRPPSGVSTSTSLSDLPIPDERNKPPKKEAQTFAEMG FQSKPVEEEGCVVM L199_008588 MAAQDSNGTRITHTGYSDSEIHTLPHGSTAQAVNDRIWSFTDRS GVTTYREVIGTLPRYITDQMGSAPATQMFWNYEPGSGVHFGPSVDNLDKLDGSSNPS L199_008589 MPLSNIRMLAHLSPPSRSDKASSSGGPSSPRRKRSAADLRRFVT TFLPSMGSGAQVNHNPHPSLNLLVLLPSAAHLHQLPSPPSRPSGLLSRKSSSTVPSLQ SIRAGEAISSTSSSPAYKGRNGDDAQGPSSSVMASRRLSLAPGLGAGAPLVSSNMDLD LISPTSTPIPGGAGSSTMTPSSPIDKHTVPPPFGSKSITPPGSGSAASSTQTSPNPSS IPAFGARRSTGTGSSRLSRRRPVTATTSSGIASTIAPALMGIPGGRASLAVPPGLGGV SHSNRTRPGWEADEVIGTLRGSGMEVTVIRHASHLPAVLDPSSHSSFQAPPIVRSNDP NEPLTQVVLVPLTDSPAFPSLSLLLQQGTTPTAVCFQQDLLDRAKRSEDEWLPGAVEQ IRSISNMPHTPSHPSSTSSTTAEPPVIIAYSANPALSQAAINACINAGAAGVIKPPYE LDTADLVMRIVTAYREGKPLSTNPSPPLGRTPSPLSQSRSPLGTPRSEETTVVLPPTA LDMGAEHEGERVLGAAVSGGPNGHRKSSSGSWNTEPVKRSSISGPGRKSSLTTNNSGT LSPPPMTSDTLASKLSFPPLPLDFSLPQPYPYAMECNPRRRSVDIGGLSLAIKRASLA FEDTSNPIGTTLSQIKEGYSFPPSTPTKKKSSNEPAQVDESEGQGSELAELLSAMFCH SMTTIEVQMSDYEALSAPLNQEHREKLVRELSTWNFKPHHLPEGDLYRVACLMFESVL SIKGLKEMDISRDQINRLLFAIRAIYHAPNPYHNYVHAIDVLQATYMFLAQIGVAPPF SYMRDWTPEKPAWQRSDPSDREISVGTRRAREVMRPQDVLGVLVAAMGHDVGHPGLSN AFMKNAKVPLSQVYDDKSVLENMHCMLIVQLLRKHGFGFLIEGAPNSPTQTIDQKGFR RVLYSTVLATDMSLHFAWIQRLKDFDEGLREGEIGEDEYDRVMICQALIKCADISNPT RPIDVSQHWSSVLLEEWAKQASLESDLELPVSVVASADAALQAKGQIGFIDLFTLPLF EAVSEALPELQVYADSCADNRDIWQRRLDTLTAPPPEDQVDVQVEEQMIQPLVEGASH DERFRTLFPLLLPTTLIAGLDEWASSTTTTTTDLTPPTPTSLNTEKDSQHPQSPAAKT IRSVYKAKLAAQAPRGRVTSSSWARSLTEWNEGRRMSTPEVVVSRDQFSS L199_008590 MPSKDHVTGYGTNSQGNSYDTRVDSSGNQGYHCSNSNGSYYYSN TNGSTYYNSGNGYAEYTSPSGDKSTSYSGNDKK L199_008591 MTTYLSPHHHQYRTFSNKENTPSASPTPSVFELTDIDAISTSLQ TSLSGVTPSSKSKKPLGLGIGRAPKFTYRKHASKPYGRERISSIQRAKSKINRLPSSS GTGINTKSKKGLKARPTPLKLRSQERERAKREEEESKKLSVMDRVRLERWRKSIWKPE NKVQGQVRVPLMLPYPKFPPVPSIDMDDLRDIPIGYILDRLTPILPSISTITLAYRPY ATIPHPDPSVQPTSTLPLAIPEVLGGSKPHWADKAKRREPDMVLGIMKKGAESQKGKM IVPVMSLVFATQCAYWPKLTTPPTTSSQASSSSSINYRTPSEAAVSTALPAIFESSET SDPSDEEEDGSSNASWSSESDENDTPSVPSPVVDTKGFLHLPIVPLPLPSPETFGLIH RHLHHPHRPFIPDLLSLPENCTTRGKVVEKLGEMPVQQLMTKLEILQGVWQNLCCLGI GRLSTWRQLGEAWACVVGIIAGNGMLLRDVQEEIGKDGRQKSAAEEVAWEWVRKERQK VND L199_008592 MTKSSDSISNPDIDSDLIDNTDLPPHLPETPDDDSQKTVHRVSS IQNVNRSSIFRKDEGEGVDSQSEESKINVGARDVKGLISLLGTSTKSSTTRDIVRSDT FQSIINTVKDSSIKLHERSSEEVKGSIEFASRAVGTDKKFDEASDDKPKDE L199_008593 MKISNTAPLHLSNQEVLTHFLSLKEDNDALVEAINLKKARDKAY AKKKYPLERDNPEDIDDSSLSERISEEEEKRLDIAERRGCSDELVWVQSEVIKYLCQP YNPTSRQTADGVARLADELQDHQLTKAEVLQITNLAPTEVVELYAIIEEPDTRYYPDA SVKLEEIANQISSTLSTHPPPELAQWTGVGSTGEDQGEGYEHEYVHQDEMEMAAMGMD EQEYVFEGGRDGEGGVDDEKDESMD L199_008594 MGKKNVAPGGEAGPNTIFKAPADKSSSISPVYEMLCRDVAVMRR RSDAYLNATQILKVAGFDKPQRTRVLEREVQKGEHEKVQGGYGKYQGTWIPIERGLAL AKQYGVEDLLRPIIDYVPTAVSPPPAPKHSVAPPTKSRKERERKSNKEQGTPSKTGPT SAAALQAQAQLAAAASASASRTTHRNQESTPDMDTTMRSGEVEETPSGSPEDADSSSQ TPSPVASEVELGESSLHNHHHHHPSMDVDGMHMGLPLGVQMNLLPQMETLDSVSRKRN AATMMMDEDQQQEQDQYSQLRRIRGNSAVHTPQGSPRNLAMGLLPASSSGSGGLHHQH HQDDLSAPSIGPKEYTEMILNYFLSDTPQIPQVLVSPPHDYDPNSRIDDHDHTALHWA CAMGRLRVVKLLLTAGASIFIGNNADQTPLMRSVMFANNYDIRKFPELYELLHRSTLN IDRQNRTVFHHIANLALSKGKTHAAKYYMETILSRLSDYPQELADVINFQDEDGETAL TIAARARSRRLVKALLDHGADPKIKNRDFKSAEDYILEDERFRSSPVQQTNGNGTGGG SSRQLSGETKLGDNKEKDKDKVVFAPQLYSSEAARLTGGSALQDITSNIQSLAKSFDN ELKSKERDILQAKAMLTSIHTEVTETNKLISTLNEKTLSIEDKKNELNTLKKNLNNKI QKQLKKGFEVWLKDELNRENAWKNGQSPAADLDELHNLPVGGQEVIQAEEERLRWEIE EKRKRKNELIEKFVKAQTEAGTSEQIAKYRRLIAAGCGGSKVEDVDELMSQLLEVGQ L199_008595 MNVNTDIRSNLDNLPDDVLGIIFRQLDLNELIDLRRVGRGMYDR VTSLGIPLYLSSHRQSQITLFPSPDQWDPLDLLKHNHYINRSLANHRFHALQVGPTWS KTVIPTLEITSNKLVVGVGSKVLVHPLGPISTSDRHGGKVIDRAVEYDVRTKKDQGGK SDIIGIVPIDGGSSGEHIVAQFDGTIQRIHLPSLSSSSDIDIYEYSTNPTPSIKARYS SFTQPKESINTLTGTTDGRQFMTTSVSGRVNIYTTNSPWTEPSFVQLKSPRAWSSLLS NSHDSSVGPMAILGIQGGMDLYNLLPTGTLSPNTTNRKLIGPEEPLLSSPYCLQLPPT NPDGTPQTSHNPNLLLSGWYDSHLRIHDLRLPSQLPVGQFSDQYTWSDGSAYYSTCWV GDYHIAGGTSKHGTISFFDIRNSKNKNKDKKDRTGWSCFSPNGKGSPVYSMKYDNGKI YGVTERRSFVLSFDHSGEYKNGLLSEDLQRTMILQSNRDRDKGRYAPNGYKARGGKWT WSMRNEQDDKNGGIAVGYEHTSKGGVELFDSLVSA L199_008596 MSNKGSNYHHYTSGATGSSLGSIPEGEAVSDQQTYQSGDGTSVE DITAFLEEFKINHPSASSNAPFSAEHRRGEAIPDYYGSSVPHTDTGPRSVYDMPVGQR DYSGWTSGGGDQAGTADPGDKGDLQTHAGDHGLSEYAKLRLRQQQSEEISEAAAAANY RNGKRRKGC L199_008597 MLQTNLLAPGHADLVTHVTYDFYGERLATCSADQKIKLFRKSHE GTWDQETEWKAHDAPILHLSFAHPVHGSLLASSSHDRTVRIWEEPTGREREGRWIERA VLTGAKGSVKQVEFGTADAGSEPRVATISTDSYLRIYSSADPSLSDWSLLQPIHIPTL PPPGSSSNEEPPIDGGSTNELAQGGWGLSWCKERWWGSIIAVFSGISPIVKILSIPID TSSSASSIMFLNPPSSSSTAPLTSIAWAPSCGRSYHLIATGSRDGTIRIWRLEPPVEK LRVDYNDDGYHDGVGGSAQWKGDCVADFGKGGARIGMVDWNATGTTLTTTDDEGVVRI YKPTYARSWKLLGQMTAEEPPHEDGNGQ L199_008598 MSQEVMLPQGWEARWDPQSNAYVYVEQSTGRSQWEAPTQPSYGS SNPSVSPAPQPSSTHHRPGRRQYPTAQIQQVYGGGGYDTQPQQPIAAPGYPDQQQQQQ QPQYFTPGFGGADPAQQQQPAYGASPAPAYGGAAPAPAYGQQQQPAYPGQQPVDQMAN QFQQMNVGYGGGKQLHQIQTVNLIGMQPDVTGLDAPPPPYLLPPNAAVTASPHIQPDP SYQRCTLTSMPTTQSLLNKSKLPLALVMAPYRSYRGEVDGDDPVPVVEDSVIARCRRC RAYINPFVTFIEGGNRWKCCMCGLSNEVPQLFDWNQAENKPADRWSRKELNHSVVEFI APTEYMVRPPQPPVYAFVIDVSQQAIQSGMVAVAARTILESLDNIPNADNRTKVAIIA VSTSLHFFSLPAGATEASMLVVSDLSDVFLPKPVDLLVNLTESRPAIESLLGKLSDMF QDSHTVGNALGSGLQAAHQLIGKIGGKIIALTATLPTLGEGALKARDDPKLLGTSKES SLLNAASSFYKTFAIECSKQQVAVDMFLFSTNYTDVATLSCLPRYTAGQTYLYPGFNA SRSEDAIKFATEFGKVLAMPMGLEAVIRVRATRGIRMSAFHGNFFIRSTDLLALPVVP TDQNYVIELQLEDDIKGSFVVLQTAILHTTCYGERRIRVITQAMPTTDSISELYGSAD QVAIATYLTNKAVERSMSHSLDDARNTITKNLSDMLAVYKNQVTSSSGGASAQLAVPE NLKLLPLLCCGLVKHVGLREGASIPPDLRAYAQCLLTTLPCQSLVPYIHPRFYSLHNM PNEAGNINSDTGQMVLPPALNLTSERLERHGLFLIEDSQNIFIWVGHEAVPRLIQDVF GLNDYGELQGGKSTLPLLDNPFSQRVNNIIAKTRELRRGVYRPHVYVVKSDAEPALRS WALSLLIEDRMDHMSSYAQYLTTVKNKVGYFISLGTNDSRADDLWISIWQVNGN L199_008599 MPAPRPPHSIELTIPQVLTQDQFNELEDLTFLHFEENDEAWPIS LKITSELSETVSQDDSEGDMVKVETTTISIATSVEWNVENEEKMRSIVRGLLVEIMGG G L199_008600 MSKRTHDQVEATEMEAGPSAGISLTPSTNGVNTPDQANVGLLQI PQKRFYRQRAHANVFVDHELDYPKSPSAMDWSFHYPQYFSYPDQAEPLPGQNTKRVEW ADVGCGFGGLLMALAPMFPDTLMLGMEIRTSVTKYVTDRIAATRQSQSLLPSESSEKK PGQYQNVSVIRANAMKHITNFFDKGQLSKIFFLFPDPHFKNRKQKARIITPGLLAEYA YVLRPGGILYTVTDVKDLHDWMAHHLNNHPLFIPIPNSELEDDPILEAARTSTEEGKK VERNKGDKWVACFWRAENPE L199_008601 MLLRRLYSSLPSTRVGGTSSALSSIPSATGSKPSIRGRIKPRSA NTSALCKPKQPISVSTIPKSEGNGRIRRRIRSISNPNHEINVVSGRRSASSVETGSYS IFDEEDYSNPSTAPTSTSPSSPRLLFTHPVPSKHVVEGFKPIYLYPEQFKLHYTFTPS HHPLPLNMGTAIYTHPRNPISTKGGELEDIFSKPSGPDPTKSLRRTTKSGLGDHHRVL SNLSHPELLHHLGGLVDPWAAHQIQPGSGLGLEAILSSKLNELKESSKKEQEQLERIF GASEAKDSSRGVVQSKGEAEVNTKDANLDEVVGGLNDVLAKMGLSGSTKEIAEEDGVM LDSVKRKRKKKISKHKYKKRRKATRALRKRLGK L199_008602 MDPKPITREPSNDESKETKDGTEEFPSTVTIPNEDVVVKPEDPI FVQPLVLSRIQKIMLGGTISVTYFMMSLSVGSGLLIIPVMADYYDISVLAVQWVTSAY QLAYGWFVGLLVSGRLADLYGRKKLYLLGMLISTIANIISGVIPNRIALTIFRAIAGL GLSISAPAGFGIIGITFREEPSRTMAFAALGVGTPLGAVVGEVVGGLIAGTTRKGWQY LYFLIAGFGILPIITGIIYIPSDGKITPPRGQLTDTPDDTAGKIEKSRKVDWIGAGLI TVGLSLLLFSVTQAGLVDKGWRTPYITPVFSISVILIILFGIWEYHLEHHGTAANVPP IVRLSVFTRHRWRITAILGIAFFDWMGVCGWVYLTSVYYQDLLGYSPLKNAVYILPAP ITGIICSYLVTLAAPRITAPILLALGGISTGLANALFAFQPPHSMYWGHKFFGAILQP FGGDLTIPIGSVMISNLVDDDEQSIAGALFQVALQIAGTLDLCLSSIVLTRLQLMHDL LEGLRISLWFNAACCWFVLVIIFLAFRKVGLAKDVAKAI L199_008603 MSPTRPTKITIDPIAINQNVPGQGNTVSGFSTATNTPWNEDQRF FPSGPSTAVNTPAAGEDKPFLFPSSAPLSRMTTRVSEQSEREKEEKEEKEDELASGQQ TPAVEPENLVGALESYPIPEKTRLSKSKQIILGAVMMSTTFVASATNSSTLLVIPSIA KDLGVTELQAQWVSSAYALANGCGLLLAGRIADLYGKKWLFLIGMGLFVVFSIISGVI RDYIGICIVRAIAGLAISISLPAAFGIIGVTFTEEPGRTMAFSALALGYPVGGGPGMI FAGVVAGASTRAWQYVFFILAGLALIPIVAGTFVIPHDPPKMVTATTNRRVDWLGAFL ITAALSLFSFAITQSGLVENGWGQPYIGVCLGISIVLFVVWGFWEKWVVKNTTIPPLV NMSIFSRHEWKVTSILSLSFCGYLAIAGWLYLTTIWYQNLKKDSPIMNAVHVIPAPIV GMVACIVVPLLAPRMRAAYLLMIGGLCTALAQVMFAVAPLDLTYWGCEFLSCIFTPFG ADFTVGIGSVLISNLVLEDEQALAGALFQTALQIASTVGVCIASLVQTNITAQSGSLH TGLKDAFWLMAGFSWLSAIIAAATLWKVGLAKDIGKLVNGQMSEKR L199_008604 MSESDKKITKRARSSEIDSDEQDHPNSNEPSSSKIKLKEDDDKH AGAIPKKKKKSKTLTPGIVYISRLPPGMTPQKVRHLMGRWGDIGKVYAQRRDAPTGYN PSAAQQKKQKHASANFSEAWVEFLDKSVAKTVASMLNAQVIGGKKGDKWRDDIWTMKY LSGFKWEMLGEQIAYERQAHQARLRTEITRSKAEQAEYLKNVELARVLDKRKAKKAQS GKQDELQKDGTGGEEGKGSSYRQRPVIEGSKTLSGKGMDDVLGNIFG L199_008605 MADRRPLTYSYGTTVSSARTPGGTTSSTTTSSGSRYTALRGSTD QGGLTVVTQDGLRRDGTLDYKLKQPSTPTQGTKETDTKSPSGAGSGSSTRGNNMFELW GSDDESE L199_008606 MKAFAALLAALATIDITSAATGYLHKERSTYTPALGRRWTSNNP YVTVDGSVCTVKPMGGGQDDGPNLLYAFNLCGSTALINLPGYYTVNTVLQTYLNNVEV RLTGAISYVPDIEYWSPASIYLTYQNATTYWFFSGTGITLHGGGTIDANGQTWWDYYA QNKNAGVAGGSSRTFARPIPLTVGNASNVVVDDISVINSPFWHNFVYQSTDVTYSNIQ IRSISSNESAEAANSDGWDIYRSSYVTVRDSNVQNGDDCVSFKPNSTYMTVENMICNG SHGISVGSLGQYAGETDIVANVYVRNISMSNAQNGARIKVFGGSNDTKSISGGGVGYV KNVTFQDFVNDNVDNPIYLTQCYSSSAQQCQDHPSTLSISDVHFINISGSASGLVPNN TVATLECSAECVDITASGTQLSPKNGTVGSGKYLCANLQDESTLDFQCTDVPITKG L199_008607 MSAKGALSIVPAGVLSGDDTRKLFTYARDNKNVTSSSTVNATLE AARAINSPIIIQLSQGGAAFFAGKGLPNGNQEASIAGAVAAAHFVRSVAPSYGIPVVL HTDHCAKKLLPWFDGMLDADEAYFKEHGVPLFSSHMLDLSEESKEDNIKDCVFYFKRM AKMNQWLEMEIGITGGEEDGVDNTSVDNNSLYTQPEDIWDVYSALAAISPNFSIAAGF GNVHGVYKPGNVVLRPELLGKHQAYAAEQLKGEKGDKPLYLVFHGGSGSTKEEIKTAV VNGVVKMNVDTDIQFAYLSGVRDFVLKKADYLKTQVGNPEGADKPNKKQYDPRVWVRE GEKTMVERVKEACVDLGNENRA L199_008608 MSTSATTSNATSPSNNAAGVGQDQEALFSLDFLALAGLDSGMSN NNDITSPKNLNQQQQQIHGDSQSQKDVPDHNHSQYLRQQLHHWENSHAGPSSTSHNSS TTVTRNPSTDVADGLNSRSRNGMRSDTENAMDLDQPDIDHMTQQEGYNLGGDRQDYDA AQAALLQQQLQSIHMQSPLGFDINNPQFPLAQMFLASPASQVTQLQQYIQNENGNQDG QKLRHRGSVDKSWANGLGGMPTPGPSGELIPQNRQEAMSPIHLEMLARAQSEFGGDPN MLPLLSPALSQSTSNSFNSPSTQIAFNSQPPSGQLQSNGANRSPLEQLQEQQRQFQEQ LAMLQQRQLEMQATAAAVVAASNSSPFIGSNPPSAGPSRGVSTPGAGVTPNSGFFSPL TSPALEATHRMSQQHMHRQHFSPAFNAQQSRTPHPLSALSSPALNPVGSSGGAQQTLS PALGPQTGADLSDPDYLRALVGILDGDPQNIGQSAQPNYQSPSMGSSSSAGHSTILAS PALIPTNSGAGPHRHSLPAKSRPSPMLKPTNHRSHQRVPSGTTMNGNGTFSVPTSPAV QKFLPNPPPNMINMGYLPPSAIEHRNLAPSGASMSSASTPSPVDLSHMMPPPPVPTSG STKGRKGVAPMTPASLMNLASAPRIANNDISLDEHCGHGAVAPPPPPPKRGSSGTSSR ITRKQNGTNGNTAGGAGNRKTAGKLVSVGGGKRALAIRPQSAASSKAAAKPPPPPSEP ENRKTSHKAAEQKRRDSLKAGFDELRLLLPPINVEALDPESGEPIPGSSAPRLLPKSS LVPDDNPNRGVSKVALLRFGNEYIEKLKERVERRDDYIERLREEVRRLRIASDDLRED DEGEGEGEGDILEFDWREGEEDEFDPPPNPLDEDILLDEIGEPLEEGDDDEMGHGDGD DDEMIEDKKKTIKQNGNRRSKSFSTSGGTGTNNPTKSPALKAINSKRPSITRGSSSTA TVTVKK L199_008609 MDSSTSATQRAFAVTDILTKILSYLDNPSLFATLLTSKTFFEAS TPILYQTITIKAIRGPQNPLYRYPHHLKKKIIANRWTKNNLVNLIKRVDLYVHRQSQC PYTVNNTRYNNNPLYKNPLPLPNLKILHLVGGHQQSVDKEEDRYCDQSTCPFVLKQCM FTEKVIIRQLDFRPLKRMDDLQQVILKIRPCQLPRSIDNPFDTTLISSLPSSVRHLKI VWWDESIHLKWIGWKINLLNIWITDVVRPNME L199_008610 MALISRKRQGEDVEVDDLRTKMAKAFIDGESDKGKMDILITYPN NIDVHTRPVDHSYTSTNDDMDLDLDTTVQDRSFKVQYISASDYYFDPSNRREIDEDEE EYWKCRLSPSPRLVNYRYELSQDKYNSIEPDDLEFLSVSDLQGEMEDCHKRREVEANS RSR L199_008611 MAYPLNPYRMTLASQRVAYTSDILQHVISFLPRDSLFATLLTNR FFYGITAKILYRRIIINPPDQQDKILPKQKAGEDDSDSSSDEDDMVIETDLKRSTKVS ISKSRFNPFVEMSPFTQHLSEEYTKPFLLSLTDRIDLYIHKEEECPNRRKILTALPNL RVLHLAGGQQKNEYPEWEGGIWKNKFCNPLSCPFVSGVCTQTKCVMIRQLDFGPLKDM DRLEEVIVRVRPCQIPDLRPFVPFSELRSQYGYSYRYCHIDEQDVKNSNLDQIVLPPT VKSLKVVWWDEKHNFRIDWKYAEEYSGHCRRGPPHKRLYKNCIECGPLEVNSKVGYRS LRYSDYLAESDYRRVSAQLTDRDTEEERRRSKKLRERLVHLMKLLGKQGGLKDIEFVN FDKTAQMAIPVENRNHLEIDKVKDDMEKALMEARKGQSKDPSNFEGTSHSDKGDIRIR YRSIDEYYPSIFAGVEYDPVEEEYWKNRLYPSQKVLELREEMDSLISEKLSEWKKPDL EFYGEKELEQELDRAKRRKEERTAMHTGTWVCGYPSRRRYEHGSTTQGTVRR L199_008612 MSLAMTYPTPSSASSRLADTSDILSHIFSFLPQSTLFNVLQVSK TFFHASVPHLYHTLTIAPGGRNIFAGSRRLDNLTLDQKPSFNQTDPSNEINKNSLLKY IKRVDVYIHEINDCPFVKQYIEPLPNLEILHLAKGKRPTNITTSDEDRERICSNEKCQ FIMKVCVNAHKVIVRELDFRSIMKFDKLERVVLKLRPCELPFYRGEGMSKYRKSLYQD DSNGDGGSQREEEHDYSSLWFRSLHHLPPSVKQLDLIWWDESHKYRIDSYEASFKGFG HWFGRGGDAGGVRIMKNCNYCDQMGCIRYSPHVGIQLPVMFQVLGRQTNIRQINVWNF ERVGGGEWQWKDYEVGYEDLKDQLKEGFKIGRKEKERTENTIDCSDYDQEEGMVKFHS GLEYYSTHSQDTDEIDREEMEYWKVRFDPSDKLRGLRKRVIEEMEKKGWNTTDVKIDF WSEDSCVDWLIERHNAQRAEDERKNKIEMIEEEDESDIEGE L199_008613 MGLFSIFRKSSPPDYETLLARLATDINEAKTHLSEIRLRERRFS LLINLYGIALWAVWVGLWWVHGLPLGLIGLSHHDTEGRIIGAAGIALGPIFIWGLNRF IHIWFTRQRKHEETQLRSLLTKQRSHLEEIKKATNYDSTRKLIERYDDPSGAVNGSPQ TPQRRMPESPSPVGHGQSQPGSIGKGRPDCSSPNGTPRAPGHLVGVGGTPRAGPNLSP IPIPQGLTPDQAAALSMQMQAIQPVLPVPEKRWYDRIADTILGEDPSHAAQSKYALVC GECFRHNGLVGSQYEWERMQWICPRCNHLNPPPISRNPSSSVVPPEPMTNITPSKPIS SVPQHRSSPRRNRLNGNGEKVTPRSSRLGKEVFSASSSSDEDVPRDKAKVVEEEGMDV DES L199_008614 MLKAIDVARLTRPSQIRCRPNLFPYARSISRSSRIQDPEDELAL SDYGGRGRPDYNDFLEAQEMQKRKKLEAETAKPFRNSNLPSLLHRKSFGPRPQESTTT VVEASSSKLSENDRPQPSIPSRKGKEKAIDQNEAGSNQADVPSPSNGLRRNPVGVQML SPSLHSQIFPGKSLTKPPPSLLDISLSHLKANGLSPDGAAVLPEINFDMPPIQGHNIR DHFYNLGQHTAEPYLSMAKNFMSSEIPVMPKKWEMSEAGWTKYHPDGRMEAVEDLGEE TMVSYDVETLYKLSPFPVMATAVTPNAWYSWLSPTIFEDPPPTTPDPPEPWETRVPQH HPHDLIPLFKGSKARVVIGHNVGYDRARVKEEYSLERTSTRWLDTLSLHVATRGITSV QRPAWMAHRKNKKDKMLRDQESKDALMEIAEENGDPEFLQGIQELTDTSDGESMESSQ NTWQDVTSVNSLAEVANLHCGIPVDKSARNRFADETIKHASQLRSELEDLLTYCGGDV KITHDVYKKVLPLFLESCSHPASFSGVLSMGNSFLPVDENWEEYLKNAESTYKKMDEG VKKALRVLAEKLRKEGKKEGDPWSAQLDWSPKTARWEDGIEETNDQPAAVTTDASSST ELATEATSTVPPVEIPSKPKWFSDLSKDPSYIISAHTQRYFLPLFLRLSYKGHPVAYL ADHYWCFMVPHASSGEYIDDHGFPVALHSKKDKRLEELEEDYSFFKIGKPEEPRKIKL VGPGIRSLLKKGELTSSYGNLLPRLAQTKLEVVKEDLWKCAEELERMGKQGPWAQQLD WNSVPQDTSPTATTSPEIIPPPSPASSVASTSSTPTKKAKSSKGNLDTWPKWYWDLSG PPSRIPAGELDLTFKKTVAPLLLRLQWQGYPLIHSRTHRWLYRVPRTIIETESADALQ ARGGRVKFDKTGPDAVYAEDKDHYYFRLPHKDGEGKNVGNPLSKHFVKSIESGELASA AAESGDDVAAKAATDATNMNAFCSYWISSRERIMDQMVVYREPNLGMILPQVITMGTV TRRAVEGTWLTASNAKKNRVGSELKAMVRAPPGYAIVGADVDSEELWISSVMGDSQFG IHGSTAIGWMTLEGTKSAGTDLHSKTASILGISRDAAKVFNYSRIYGAGKKHAVQLLL QGDSKLTKESAGKLADNLYKATKGSKTTRSKNLAPAAIPSIWHGGSESYLFNTLEAIA LSDRPTTPALGCGITKALRKSYLEEGSSYLPSRVNWVVQSSGVDYLHLLIVSMEYLIA KYNIQARYLISVHDEVRYLAKEEDKYRLSMALQIANIWTRALFCYNLGLDDMPQGIAF FSAVDIDHVLRKEVFLTCETPSHPHVIPSGESLDIVKLLEKTNNGDLGKPDFEDPPNQ SLERQVDPPVDLFGDITSDQHRLFLDAQARRKGELAKKYLDNLPSVVASVAEAEERQL SQSTKKRMKKAFEKRS L199_008615 MSSKVYSTQIILCDNAGHEIMVRQKVPPEADSHETANTTRQQLV DFWASGLPNGEDEDEIMQYPTSHTVHEVTMKGTSPTTDDSTGTRSELLDVTKIYYMTD QGGYHLVPCSGYDCIGHSNQTTAQTQGDPEGVYCNPNIGSKWYDIPADPFVGNFSGSP LYDLMSKVKRSPRDKSKSSSRGTNRQPVENRIDVLSRYHLPSCTDSLCPGSADPTNAS RKGIEGMSRCRPDLRYHFDHGISPTEDVDVIQDPETSLLELKGKLERMAICDEDGTLI LPEYPIDQNRQPLERSIRLDGPMTGQGLSFGERMNDIEYSITSPSHHRVGQQWYLADF VDDDQQISSSPVAHESIRREVCPEETDGIDRRVRQKNISNRPTKRAVSRSIPRTPTSG LRNDQDDLFTRRHIWGSPQSESTPNTYYSPETGSGRGKSSYTKSGPRHNSRGYPRAIQ GLRRVGKREQMGLR L199_008616 MPVSIFLSDPSNWTKPHANSQGELVTISHHRETTDGSQSEAIIK RGIEALGILPRKPDDFDYDFEEIGLGRITFILEEEILSAMTEREGESEVIRVLKWDKK WVYHSSAPPCDNPDCARYTSDRLPSQESWGTFIQEQNHDNQSCNTDCDLSTLLKGSED LKVEQDRYIPKEPYIRWISIGMIWVR L199_008617 MSIPVNQSHPTWLEVLSRALASAPNPKSTYSADRSRFPPTQWCD PTFYRRTVYRPDTPDQAGQPSMSQEDFLKVRQGLQIPDYQRIKLDHDASYLEISYNIQ ERSLTTPISDLPPEHRPSELVEHEAKGMMRVDSEYTWDGQFALHTLNPNQCQQLDCLG WTEKDIEDPTLEHDSSKTRGIFATDGVFGSGLAMLWKCNRIKTPSRFTKNDLINNADH YDHSGLVDLSKKLNSYDFRSTLYIYRPLRDPYLPIKSFTNKYNDRINTWLTQDHYPSG NLDLSDDKGRSELSPSQTSSFSSSRYFDETDE L199_008618 MFASRLTSSLRALPRQASAVRMARRGYAEAAGADGKLQLSLVLP HQSLYSSAGVIQVNIPAATGDMGVLANHVASVEALRAGVVEVIEENGQAGKKWFVSSG FATVHGNNTLTINAVEAYPLDNFSPENIRSGLADANRVLSSSAPESEKAEARIEVEVF EGLQAALAK L199_008619 MARGSQPFSASTSSGNKKTKPINGSKAKRRQDPSNAYTYIPALP KRHRTSAAQLSVSKDELELAGPSRRANEDSDDEDEDMQSRIKKVAMMIADDENTGEIE SDESDIDSDEAWQSDGSDEERWGDVFRELDKGKAKKGKSKAKEVVRKPAKPLTVNLDE SDEELVSKSKGKGNKAVKAPSSVEEDEEDEEISDGDGELAEDDDDEEDMGEGSEDNEE EEEEDEDEDSEAELPSDLSEDDEDPENLDGLDAFVDSLVSEDKKRKADGQEKVEQAKK RRVLPVVSGPGLKDNGDLALKNNEKLDLSSLISSHPSLSGASALLPSKSDNKKSTSIL KQGLVSAPLPTVVQERLDREAAYEKTREEGQKWAGVMKRVKEAEHLSFPLQPEQRGGV KGNNEILSGFKPSNKLESAVTALLNKANLTEDSLTKREDLALEAQEMTLEEIKERRDA LRYQRELMFRAEAKAKRVAKIKSKTFRKLARKRAAKENPGMDLEDLERLDPEAAAEER EKMERDRARERATLRHGAKTGRWARDIGGDNAELEDRRRAKEEMLNMKEKLQRKIMGK DEGSDSSSDEDEESEEDEEMIKSRAFDQLAALDAKNAADQEAKGKAGKGLMQMAFMKK AEEREMKKVAETEAELRRDIEMFGEERGTEDEDDEEEEDERPSMLRVGGNEGRMVFSG PQRTSTIQDDEIPQPAQTKGPSVFSKPREPTPPIEESLPDHNPWLTSTSSAGPSRKRN ALVGSANAKAEDKITRSLKKAVKGKEAELDDEKVEISLEANTTSSSTSNKKGKNKKAT QPTNGDVSDSEDENEELLPISGKGIKAFQQRDLVAQAFAGDNVVEEFAREKEKQVEMD APKVEDTSLPGWGAWGGKGAKKKKHVNPKFLVKTAGIEPTQRKDFSRSNVIITEKKDK KASQFLLQDLPYPYTSKEQYERSFNNPVGSEWNSRSAFQKGTLPRIVKKPGAIIEPVR RMF L199_008620 MSDLPESSATAATGGDTLPTRQIYSRRWSEPKDLESCIQLEYIT RIAKSSTLYEDHMEAGFYKFPGTRSHLRIDKGAINQSTIYQPGMMFSGFTIPIYIKPG LSDEERYIEGSIKEILLDEMIAVADNHDHPSVKESLRSYKRKDRDQNLRYAHFLVYHT IASKLQHDHRCEKTNCLGHMHFDPEDEEVIDCATTLKSGKYKCNSDTNLWDEDPVNGE VITGILSQEPAIGDYRIFDPDTGKSLIERSVHLYRFDLDPEGQEHYDDESDSVREEDP NEMEVSKRCLASYKKIVYPFEAHACCDQTHQA L199_008621 MAESKPAAIRSYDIETRGVEAGEYHPSKDPLTEFGDMVTELGIS GGRYDRIVTSKSGRSYETSHLIKESRYVYRSKGNGICWIESKGDKYHTYYHSTSCTSG ECLGWKSGEIKRLESENIPHDPYWNLTCAEEPKDEGTGTRRLICNPSSKRLSQSEPED SKLDEWFRSKITGGDAEVSKGWVGFHIVRPWSSTVEPYSTYWTEYKLPKEKVGSFAG L199_008622 MALPHPPPPNLHPGQNSQQIPFGHMQGGQGGQPMQGQPTHAQQM QMQMAAAAAGQQPAGQPFPNGPPNPHQYSVRPPQANMNAAAMSSQQAAAQQQQQQQQM QQQQQRQQLAQQAAAVQAQQQQQQNQQPRAVVPPSPHHPSPSPHLAHQGFPGGVHPQH YPLPQPQPPGGPQQGMQPGQSPVPGQMRQPPPPAHPHPQAVRPPPQQPGMNPQQQHPG YQQHPGLQPQPGGQPQQPPQHLAQQPGQPQPGLNGLAAGQHMQPPQHAGMEHQDAYAM SRAGSSVQSQMGQRSWDSESMLKLYIHDYLVKHNFPAAAAQFNAEAGLAEQGVPINVR EGVLHEWWCVFWDVFSGGKGAQGAMNPAIYNESMTRLRNIRNQQLGIGPSGYMQQQQQ QQPQQPQPGPYPAGPQQGQPISSQQILNMRQQAQAAAQANGMTQQQAAQMVQQQIQQQ QQQQQQQQQQQAQQAAQQHAMMQQQAAQRFAQPGPSAASPAQRPPQAGHPIYQGQQPQ PQAQQQQQQPGQPHHVGGLQPPPAPPGPSHSPVAPSPHMMQQPPPGVMPSPHQKNMRP PPPGMNGQQPNPMMRALTPQQQHAMAQAQAQQGQGQTQGQMQLGPGGQPLQGFAHPQN GASPVNGGQPPPHGGQQHMTAAQQQQMLQTQAHVQAAQQRAQHSLAEVQAIQAQQQQQ HQQHQQQILAQQQAQREQQAQRDQVFFNQQQQIQATAAQMWGHLGIGPINTQIMSQSA HQVGLGGKNPQEMDDSEKQRLINRYRMTIAENQRRAMMGLNGQAIQPGQMGQQGQPGQ PGPGQPQQQGPPQTPQQQQQQQQQQQQQQYLAQQQMMQAQAQAQAQAQSQGQVGQGQP QQMQGQGPHARVASGAMQRPNGMLPSFDGVAMQETDSSASNSGPRGASPPDRKRMRRN SGSAAPSPFPGSQSAQTPVNYNQGMTPQASASTPQQQGFGGMLAGNEAQLQAMRQQQQ EAKMAYEKKMHQDQAQAMRQLANGSTLPPGFQPHTPIMSGNSIDAPSPAQPHPNQQVQ ASPRNPPQSQNQQMSRDRNQSKGVAGTMLPPQSPAMPTQRTTTPKPGTAGQGQVKTAQ TPKSAKEELLRDAVATPKSQSLNPSPNNAIINVTDTNLPTGSTHGLTPSPPSATTTNT PATISLNGSSTNTNTYSNNNSSTGAGDLMDFSLLGNTTGAGVLGGLEGGDFDALAAFG ENFDFGMYLAGLEEDGDGGEVGLV L199_008623 MAIQPLQDGSDRSIDARSIVTLIVFFIVNALVIYPIRIPFPLFF SRGCDNLQKRISFEIPCEREERPPSSTASSTPRNSVSEGEGKPAQPPCARRCQCKRLY FPLDLRTVPVIGVLLLLASTCIPPEVVRRGIVGSGGVRPYDIMTLFTCFAYISISLDC TGLLRYLAFLIASKSTAHGGGTTLYNSFYLFFSIIGLFFGNDPLVLSGTPFLAYFTQH SSIDPPTSFLFTHFQISNLVSAFLVSSNPTNLVLTSAFGISFLSYSAWLALPTVASAI ILFPLLRWVIFRKKGWIPHTIYPPEINPRSALVDPFGAIFNATIFIITVVVLVGLSAG HLLEGTEGVWTVTVPAAGLVLIRDMVKDRMNAKETRRVRVLEETRTEPTEKNAEASIA RVQGANTDTSSQPANSSQTIQTSPPQDNNRKYRKVIPFRPLSPFTDNFPRTSLVISRL PLTLLPFAFSFFILVEGLQHTGWIRVFGNWWGAWEAVGGVAGSVWLMGVLSVIGCNIF GTNIGATILLARVLQYWSTTHQNVSNRSLYGAIFSLAVGSNFGAYSFVFSASLAGLLW RSILAQKGISVTYRQLIKWNTIPVVVTMIVGCLVVAGEVCVMYKT L199_008624 MSDTNHSQSITQGTSTIPYPFQWECRETDKYNYYTEHSKDRLSC ERDTSESSALGRARKGLNLLTSPHPDYLKDSTETAMVFSEITLSNEQTRVTDDPLERT LLNMTRYFIWDKTPILHTDDCSWPGCKGWMGTEPKLPKTLEDGTIEGEDPGAFVEDPF NFFRICNKDGGLSDCLITLDQLKSEHSDVYRDILTRWDGSKDSRVDSRLHVLRPFKES FHLRGWPRKTVGDVNKTVWYIKKNLDFPPMSTSTARWFAGSHAGVESSNDQVREFWSV HGRVPDHEADD L199_008625 MAARLRLENKVCIITGAGSGIGLETAAQFASEGARLVISDINLQ AVQDAANKINKKFPSAKAVAVKCDVSKEEDVKGQVDKAVQEFGRLDVVFNNAGIMHPQ DDNALNTEEKIWDLTHNINVKGVWYGCKYAILAMRNNKAEPEKGLGVGGSIINVASFV AKLGAATPQIAYTASKGAVLAMTRELAMVHAREGIRINSLCPGPIRTPLLMDFLNTPE KLNRRMIHNPMGRFGEAVEQAKAVVFLASDDSSYINGTDFLVDGGLHACYVTPEGEQQ APAPAGLASTL L199_008626 MSEEPPTLAQATGGTVPAGTNNDNVTSTIPSEDIPDSETTKDGK EKDQGPEVIITETSTDADMDLAPLPTEFDPNAPAPTLPETAAEDPSTSNPFGVTEVPE EDDLNSIEDHGGGEQEQNENGQREEEDEGKMMEYITSLQHFGGPDEEEEEEEVEQIEI RDNEKTPKDEVEEDKDEPADKSQEQQEQQEQMKDSEGDDTVIMTKDVEMTSRQSSPLT PINDIPELPADLNLSPSAPSTSAPLPSEHPLPTSRSRSRTKEIPNRTNLSEEEAENNS NSIPHAESSSSAAARKRSSASITPVPTRLPITATSKNKMSVKRKLPEKPRAKTKGKGK GKAREDDLIVIDGDDEDEEEREDDLNSIEDPDEARDSIVIDRDKEGQDEVNTDEEGRR NKRVKVNGRLIKANGSASGTKKRSGEPKSTPKAPDKATEKIAPRAKGMSDAMIKKLLK GKTKEVQLASCQRPRYGKWGKCTQCIAKLGGDSCRFRDFRTFPIDPETTDIIGPGYFE STEWKEDMTPLPTEFNRDFEEETIVKTEKTVAPMLLPLITAEARHVFGQKSIKRGMDA AKHRSVCDFCSSTIFGGWFFCKTCGRDYCLQCERYFPDSLETIMDSPWPMPDAARPRL LRCQHVGEKQQSRRPAMHYRSKLQAVSRFSEEELKDHWLKLSSFVLDDGKESLDGKLG LMGLKKDDQDVKRILILMGQEEKEVKDSDEPLPLPNDEIDQEIGLSDGDGLEEIKDIF EYTKITNTTAEPIPDPAELRDESRKFVLLKDQDLTNDVFDKIWSKGEPLVVDGVDRKL NLGWGPDDFIERFGDEACYVVNCQTNVPRPTTVGKFFEEFKDSKGRNKDILKLKDWPA TDDFKNTHPELYNDFCDALPVPDYTRREGVLNLYSHFPPGPTRPDIGPKMYNAFEAKE TTGGFGSTRLHMDVADAVNLLLYASPRSKPKSKPESKTNPRSDDQSVGVVDGKEPSED QEKNKDEPAPQPVQQDENANPDSEKELAPEPESDGDSQPGCAVWDLFRAEDADLIRDF LTEKFGNTHIFTDPIHSQLFYLDSDLRKELYETRGVRGWRIYQYPGQAVFIPAGCAHQ VCNLADCVKIALDFVSPHNVKRCQQLTQDFRKENFAKAWKEDVLQLYNVLWYAWLSCI ETRKRRQRESEEAALAQKAREAHLASLRKGRHESWDGGHMTRIGSPLSSAWNVSSVRD EPQLESRSPSLSRCGSPTIARVMGEDDTPRQKEGGAEKEVDKEGEDDGESEKSKKQEE AQEKLAKELFEITIKKEPPSSSETFLGSNTLWSGKKGVVSITKPFTGTGNAMKPLSMK KENKDEGESEDVKPTLSTRQQALSDKQKEQERLKIERRLKNPPRALKTSTLIKLGAKR LDDILEMAQREMFGEGLGSEGIEGMGMGDIWPNPNPNPNPVPTAPAVAEEIQVPDDGR MVLDDTQVPGHPMEVDVDLDMDMNMDMEIRNSLRSLHDVFRDQERAQAEEQRQQTEER QDGNVDVDVDDFFNHEPQQPEEEEEVEQIEYKGEQSVNNMDNMGIEESERDREELAAN LRLVGEIDMDMADAGGEQGEFTLE L199_008627 MRGTNAAQDSRFKDKEAASIKSTKFPKHFSEKVDLRKVNLSVIK PWIATKVTELIKIEDDVVVEYVFGMLEDKDKPIPDPKKMQISLVGFMDKHGAAAFMDS LWSLLLSAQNTIGGVPAEFIEAKKKELQAKQNLERIAAGRSVAEDSFDRRRGDNSLPS RPGPPSPRRSRSPPPVRGGDRGDIYRPRDRGDDYRPRRDFGRDRDNGYAQRRDRSPAR GGGGGYRRRSPSPSTRRRSPSPRRRDSPPPRRRRSPSPSTRRRSPSPPPRRRERERSG SRTPPLKRRNEDTPPHKERGRPRSRTPTPSDPGSRSRSRSRSRSPTVTPPRRRNRDSS PPPKRRRSPSDSRSRSRSPRRERGEEVHKKGRGRFTVEDEKAEREKEKRGKSRWD L199_008628 MFTKTFSLLTLAALSAHAIVTPTSPDSNTVVKVGDKIEALWTVD SVDNWNDVTIQLMTGDNLQMVALATVAEGIDGTTVSSYSFDAPDVSPYSKIYFLQFTN GGVTTNATWTTRFTIAGADGSTTEPENSTVYSGTTVQWGTGTLLSSVSTDGSSSSNST STGTTSSSNSTSESNVSTVSVSDTASSTSASASESTFTSGTASGSGSKTVAAAAATSA SASSSSSSSGASRNQVGLGAIVFAGLLGLVML L199_008629 MIQPNSEGIIPSHMDNKEKTLGSHNVAILDTEEYHDNDNEKNIQ HASGSGSSNSKDRDLDLLEHAEKIDLSQVDPAVLKRVLWKIDLVLMPVMMFCEFFQFL DKSSISYAKLFGITDATGMVGQQFAWLGSIFYIGYLFWQPFTAFLLVRIPIRMHLTVI VCLWGVILGCMAACKNFASLAALRFLLGMAESAMIPILGTITGMFYTREEQATRVGIW FGSVGPSQIFGGLIAYGMYSLKSNVIEKWQFIFILLGPVTFAFGIYIAIVIPTSPATA WFLSPQERLIALERIRHNKTGTATTKFKMNQVYQAFKDPRIYLAALSVLCASIPNGGI SSFGATIIAGFGFDTKETTLVGMSTGASETVAMAVAVILSRKMKMRALPAIICIGVAI VGAAIMVGSHNRNAQMAGYCLVFWWATGQMLFIPLMQSMISGHTKRSMFYALYQIGYA TGNIVGAQIYRAKDGPAYIPAKITILVTISLHAATLGAIALIHKYWNNQNAKKVQHVI EEENIEFKDRTDKEIPSFVYPY L199_008630 MPPPSAKTLSSSPSVTLNNLRPVRSRKDRPCDYCRRLKHTCRIL VRGQACTNCARTKRACTFEDPPRKRHAKPPTQLAEQQSDVTEVQHQHDPDGSSSSSTR LMPNDDTANHDPFIPVFSQTAFEQQTSDAVYVGQVALESSQQPLHSSLAAIFEVEEIS SDDNSYYTSLDLENNGSEEHHYLGPGALMHLTLNVDQDDSTWSQFLNPQNTTTPSGTE TSDLNKLSYRQVADPKKPVFFLREPSRVYGLSNASYEAEKAWKTACEVLSYSQPGQGE HISHQLIHIFLESTLSALPLINKVRLQASMYQWHGAHPFPHALLVGILAHSAVSLPSL RTIWKDLWAIVLNLLDNEYRQPRLQTLQLAIMDIWGRPNQNPGGNHTAICRAIGAAQV LGLHKDSSQWRLPRWERSTRKRIWWLIYVADKWNSLTYGRPSNVPTETTVPLPSSLDN DDGGQSTTCQNPTISFILMCRLTVILNTLLPILSDASGESDKFSTHRQAIMTAAMELE ELERLHPFGGDAGSSGMVRLASLSLDEVLAGLVFSMPFDSHPRAGPVARHIIAVIAKL VLFLESLDEEDCKGFWTPWSSSQLTHAMSLALRLFVRLSSSESDPPQPRPQFPSSSDI FNLIDRCLTVLTNTEAIWEVASDALGKVRVLMASMPDLQGLSDLRMKIGLGRYPVPSQ DDTMWSDWLSLLGMEWLNDDGLNTTLAA L199_008631 MRSTLFIWSLLVAGSYHVQARQQQQQVNWKTSSDDQRIEHARYL LSLSPLLDTHVDTPQVMRVLSDHPMDMIPQLGTGLAGHFDIPRAKQGGVGGVFFTVWT PCQDQLGVDIGPDYLKPDNTVRDTYESIDLIRSMIDAHQDTLKFATDAQGIRDASKQK KIAALLGMEGSHMLGGSLSTIRNLAALGVRYLTLTHVCHSPFASSAGGGAGTDGSFIP PSSLSPSNGLTPMGVELVKELNRLGVMVDLSHTSDGTMSEALDTSEAPVIFSHSGARA IHNHPRNVPDHILDRMGPGKNEGIILSVLYKHFIDPNNATIPRVVDHVEYIAQRTSKK HVGLSSDFDGMSEVVEGMEDTSKWPYLVAEFLRRGWTDEEILDLTGRNLLRVMEDVEQ VGKKLRSERKPSTAIYDKRTDLPGTNWGGPHGAYLPMDVKAIVDKRVARLADEL L199_008632 MPTAVQQPISKEEDQAFWKSSQKLIRYGGSWSNVIVTKAKGTVM WDANGKRILDFTSGQMSSLLGHGHPEICEVVDDGMRNLDHIFSAMVTKPVVELAERLT DMLPPQLNRCMFLSTGSETNECALKLAKMYTGGYEVVSLSASYHGMTHGSGAATFSVG RKGYGPQLPGNFTLPVPYAYRSRFRHEDGSYDWKSELEYGWSLIDCQSTGALACVIIE PIVSTGGIITLPEGYLKEMKKHCEKRGMLLIVDEAQTGMGRTGDMFAFQHEGVIPDIL TLSKTLGAGLPLGATICSEEIERVCNERGFFYYTTHLNDPLVCAVGAKVCEIVERDDF PAQARRKGAVLKEGLLNLQKKYPCIGDVRGRGLMMGIEIVLDPETKEPADSLGTAISA RAMETGLSCNVVQLKGMGGTFRIAPPLTISEDEIREGVDILDSAFAYVLQQEEYKNVA QGQKIVP L199_008633 MSSERIANKVEGIAPPLPVFSSAILSNGFVYCSGQIGATPDGKL VEGPISKRVNQIMDNLDKVLKAHGSSLEHTVKFNIFITSYDSFAELNETYAKRIPSPA PARSCIGVASLPFGTDVEIECVGIVPGYKAKL L199_008634 MSHTLPPQSALFTTTSTSRQIAPLPHQQQHQQQQQHQQSRWTPY SPSPVELYPSPKNRLFLSLRSGIDEEVDYALPRLVVASFDEVEKFKLETWVDSVGALK EWPEKWLEGLELEVTHDHLRKSRRLKEKLGEDNGEEVIDLDLDLALSIIPSWTIPPST IDRATNSLLVLRNASFTHSNAKIICRTSFLSFLNRFFNLPLESLLELSLKYPEPIHHI LNILQSIFPYLAGQQEKQQQDEIRNIFSKVLPSLLVHTRDLAMIQNILPLLILGLTNN SYSQFDNTTLSQLIDHLLSLIILRPTPTSTNTAPPILLDLTLDLLISLSQNTLYAKSI LLHQSFSAHLKNLVYLLEYGSRQTVANWDNALSLTGKVVRNPASDYIRIENASKRRRM ERDQNQRQMEIFGGAGVKNVDVGDKPPVLERSTRERLFAMREPNRSIAWMHETFVYSS TSQLLQVTFWHAYRDFFQNPSTVDPLLSASEVIKNVTVAFPGAMAKVWMDELGGQKFV IAGMGFRKGNDDQERFTCLWQNCPTPHSATDPAALFSHIQSTHLSTPQITCRWATCSH TPFTTSHLLTHLPILRPTQVPEVITSHATTPDHSLSQSIITNRAIPPLAKPFKLHFLG QTTPLDSQKRHPVGTAFLASLIIRNLSKSLRSELNLIDGDLLKEKREEKKKHLLEERF GLPIPENVLREEEAEEQENLKNTQQQDEGEDAEYVKVQLEKARNAFVSVQDRLRNVVE CNISGLGSYLGDSLGWY L199_008635 MARTKQTARKSTGGKAPRKQLATKAARKQTTSAAAGGVKKPHRY RPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVLALQEASEAY LVSLFEDTNLAAIHAKRVTIQPKDLQLARRLRGERS L199_008636 MPGKSFDTPAGKGHSRSSKAGLIFPVGRVHRYLRKGRYADRVGG GAAIYMAAVLEYLVAELVELAGNAARDNKRSRVSPRHILLAVRNDEELHQVLEKVTIA EGGVLPHLEKELMQEKSKSKSKKKGSQTTATTTTDNGVEESGPGKSSSLSYNLI L199_008637 MAPKSVASKAPASQASKAPAAASKAPAKAAKSTAPAKEGGKKRS KKRVESYSSYIYKVLKQVHPDTGISNKAMAILNSFVSDIFERIASEASKLASYNHRST ISSREIQTAVRLILPGELSKHAISEGTKAVTKYSSSK L199_008638 MSSGGKGKSSSETKSSSRSSKAGLQFPVGRIHRLLKRGNYAQRV GSGAPVYLAAVLEYLAAEILELAGNAARDNKKSRIVPRHLQLAVRNDEELNKLLGSVV ISQGGVLPHIMAELLPAKTKGKAKASQEV L199_008639 MDALLASAGPLPTKKQNYKGKQSSTYNKKSGPTSHQTIPRADGS SIDPSLHSILSSTRIPAGFHQSNLASGSGSAPIRPDTSIGRIQDKKLRSKLARQDVTH KQARLDRQDVNEWLNKAISGDKGGIEVDEELGEKTWRVKQSEISDSVGVGSKGKKFDL KFEGMGSYKVDYTRNGRHLAIASSKGHVATFDWQAGKLHSEIQLKESIRDIKFLHSQD FYAVAQKKYVFIYDQNGVELHKLKQHIDPTHMEFLPYHYLLATVGHAGYLKYHDTSTG VMLTQIPSHLGSPHSMAQNPHSAIIHLGHANGTMTLWSPNMTTPHVKLLAHRGPVNGI AVDPSETSAGRYVATSGMDGVVKIWDGRMWGKEVRSWHVRNQVTTLGYSGMGMLSVGG KSGVTVYRDLHSGTIQHAPTPYLTLPLPSLTASSVKFCPFDDLLCVGHERGISSLLVP GSGEPNFDSNEADLYETYSRKRERDVRGLLEKIRPELITLDTDFLGHINESRGGETFE ERNSRSYRQLGRLERLRMDGKADEPQNQLEDEHEEGEGEGGEGETTQKEKVKRKMKGK GGSTSRYLRKKQKKNIVDNSLLVMKAKVAAQKKAEDTRRKIANGEIVKETGALARFG L199_008640 MRSTRIRQIASVRQYRHYATQTSLKGPLIGVKPKSASSPSPSPS SSTSASTSLASSSLPKPRLDYHDLLSDPQRTTRNALLRKSPLQPDHLAHLQRLRSTQL LLLQKLSTIKSKQKEIGSLIKIGLGDTAELKEQAKKIKSKFKEYEINLGETENELLDL ALLLPNFSHPNSPLGSEDNARTIETFGQSVIEGQIEERRDHVDFCNHFDLLDNQASSN TSGSSWPYLKSILALLEQSLINYSLSIAVKNGFTPVIPPDVIKEDIAWRCGFQPRDSL SNPSTQTYHLAQPDNAPQQRLCLAGTSEIPLAGLFANRLFHEEDLPKKVVGVGRAFRA EAGARGSDTRGLYRVHQFTKVELFAVTAEDGSERMMEEIREVQKEIAQGLGLSVRVLD MPTEELGASAHRKYDMEAWMPGRGKWGEITSTSNCTSYQSRRLSITYRPSPTSPSSSS SGPGGEGDLPIPPSEIQTQHNGPLPFAHTLNGTAAAIPRLIVALIENGIRFKDDEYDG VDLPKVLERFWVGGREFGEGKRKGSIRWV L199_008641 MWPYPVSYQQEYPYVNNPSSSSDNINDFNININLNEMGYPTQTQ QQPSSSSSSSLWTPHMTIDTHPQTQTHDESISRILSHPPWHQPQNAILPTPFDHPPPA ESGLSPFMLTGKGKTKESAEVQVKVEEQYPEGVNDKDNDWKSEELEKEVMRRLWLGLG AGLHGNDQVNDVDVEKEKEALKVYISEILSLLQPFIPSPQRTQPSPPPPYLLTRFAKL SSLIHTILVSLPPYVHPNLKAEFDKPFVPSRATKKKKVEDMTPAEKEMEIIRKRRDAL IAKAQAQAQAQAAAQGQSKAGQAGEVDGEKEKEKEKERVKKNLPSSGTRVYEPSPSQS QSQSQSPYSHHLPHPHHQHHQHQTQHQHHHHHHHDNHQHHHHHHDYEYGHSNRHGYEY DHPQHPPAQLQPPHQQYSPYHQQPNPMDSLGMLTEVSTLLSHTNNNNNNNNNNINDDD NVFQSQTQFSDHTTHNPYQSQTQYNGNANGNGSNNYTSMDIFNSNNNNPNNQPDTTSV VLTRCHGCGCNVTTEWMRGPDGPNSLCDLCGQHYAKLLAKKDIDNDNGNQNQLLSGI L199_008642 MANKHKRRSRPSDFETTPRAVEVTHDWKKSDEELELEEALFGTS KKQSKAKSKTKKGSDFQVGTTGDNEEEGLSDVDDNDLFTIDAPIASNYQIDQLPDDQP PSDSDEDEDEGSSRDTSSDSSSDEEGENEFRAISPSSSRSPSPAEDDQEHDRQGKNEP TITLPDDIYDIQEEEEKLRSKKQKAIWNDPSDELVSVDISENRRLRKLDRGKKRKIDG GAESEKVNGRELQDKLREQFERLHPPPQWAKQRTSIGTPSLSSLLTSTKSFIAPSIAI DGQKRPPLPQGNIDLQRVRNANQQNPTTGKREAADSNGGIVDIAWHPSERVGVMAVAG GDRRVRFFNIDGHTNPTLMTLHIPSLPISRSTFHPSGSSLLLVGNRPYYYTYDLTSQR CLRSSKTLFGSMDTPSSPNTLHRHAFSPDGTLLAVAGRRGLISIHDWPSGGVVAELRS GRGGYTADLTWSQNGRELNVLGGRDGAEVEVWDIAERRMVRRWRDDRALGGTLMRNSR DGNYTAIGSTTGIVNIYNSTSLASPSSSTSKFTEFSPEPYKSLEQLTTSITSMAFHPS NEVLVTASEAKKDLLKMYHLPSGTAFSNWPTPATPLGRITSVGFSPSGEYLSVGNQRG TVLLWSVRHYTS L199_008643 MSTSAEYTVPKLTNVDKWREIEQSFPPLKNDLLLRAARGEETER APVWVMRQAGRYLPEFLEVRKSHSFFECCQTPSIASQLTLQPIDRYPRLDASIIFCDI LVVPQALGMEVLMEPSKGPVLPAPIRTPSDLSRLNQDVDVQKELGYLFEAITLTRKGL GGRVPLIGFSGAPWTLMAYMCEGGGSKTFENSKSWLYKYPKESKELLRKVADVCADLL VGQVLAGAQMLQVFDSWAGELTPYQYAEFALPPCIYISHKVKSILRQLGHPGVAITLF AKGANAPSTFKLLSDPKVTGYDTLGLDWTVDPVEVREYVGRKVNLQGNFDPTVLYGGK EGIEREVERLSERWKAAGGGWIANLGHGITPNVKPEDMGWFLECVHKYSKRA L199_008644 MRPTQLLRATHYKPMIHFLGQRKKIPHAPHAPAAHPMAPKEIVE DFQSFLAKLQSSSDGPSNPSSNPKAQSPSESSKGIVRDQESKNTQSQAKGSGSGSSKP VDYENFWEAPGYLWSTKEVSERELEAVMSGGATDIRSGP L199_008645 MGISGLLPLLKEIQVSGHVSEFKGKRLAVDAYVWLHKGAFGCAE DLVKGKKTTKFVDYAMHRVRMLRYHGITPFIVFDGGPLPAKKGTEVSRAKSRAENLER ARSMESQGRWREARDFYTRCLDITPEMAYQLIKALKAENVDYVVAPYEADAQLCYLER EGFVDGIITEDSDLLVFGCRQVIFKLDGNGQCVWIHRNNLATIRDFPMHGWTDVQFRR MAMLSGCDYLDSIVGIGLKKAHALMRRFKTVEKLLQHVRLEGSMTIPPDYLASFAQAE LAFIHQRVYCPEQGRLIPLNDFPEGGLGENDERWIGLDVEEEIARGMAKGDLHPETRL PIIDEWPDYQPTPRTKILTDNFSKVNATVSAGPMDVFVTRMKKTRSLAKAVGTLGSGP SRLSDQNLERFSAPAILSGTQHNSTGSKKSKFFSRPSKREITPELEESLHWEDDEESE EIKNQPIAGPSRIPASTPNRSPSPAISSIRGEDSPVKSVISGHILTSPGCVLSSPPDS PSQGILFSTPRRKDHKIRESTPPSPTGASIGENVLIAASSQVLETEFKEFDYDNEVNE ESIEETQVDVQTPTESRTRTPARKEKKSLSRLSTIVIPNSSSPITSTTTSCVPETQSW PTGRKLQPFASTSSDTISEEEQIITPSLDQINQKKRKRSMKQEVTEIEDAIEDEGEKK RLERARIVAAGWKAKYAFGQKSSSPASIPSSDDTPNKPIKRTKSDPTTRPRPEPVKRP TLPITSQAQASRILLSRDTNVLTPRRDQTPASRKYSSGPSKEDFTPATRKNSDNDNFG QKFSSSPLSFNDDELIFESTQKGGQTYNKLQKYKFGGK L199_008646 MSIPHASYDPRRVFRFSLPRFEVGPKVRSIGTYLSGGLFALSYF FLFDAATISSHAKPPPDAPYDVVPVHMSFVDWIPAICSTLGFLITSLLDKSHLNAALS GDSWGGDGPAAVRARVVLFIGVALMAGGLAGSLTVLILKYIITEYTDYVYYGIMNVAM NGGIMLSAIILWISQSGSDEYEYQLTV L199_008647 MQFQYSFALLPLLAGLASQTGAVPIYTLIKREVPQEKSHASILQ AVQTVLQQDNPLGIVDPVFGLLGNAAAAAGAGQVTNTDCLQQATADQAFTNAKAANDV AGMTAALTYRALERNTGQVGLASVLCTDTPANPEIAAISQHQDPASADAAATNKAITL ALAQQIAAIGGDPLDALKSGTFAPGDTSDPTGKGNSCDDDDCIFTQNLLVEDATEEEI NAAVAGVTSTGTAGTADAVTGTDTITAGCPAVVDDAATGNTTSTDTTGTATDAASGAG DTADTIGTATNTTPAAGIDVGSCTDFSMTFAAGLDGRKADEFSFEPSDLVNFNHGTAL NPSIITQFMCDTFVNACANSATTRNVCKTVAADLDSQLASGALQRDQGFADAWLTGLE TAFGIQSTGTGTASGAAAADSADTGAAADTTASAEDATTIDSADATATTSTAATADSA STATATTATGDANTPTTATGDDLQTFSEALNGVAATPVINIGGTRPFQVKGDTFVNAG AAFQRSCDQQFNGCANVANSGSGDASVADCSAQKDRCSAATPQA L199_008648 MNGPGFEDVEDKDGVRLSWNVWPSSRIEATRTVVPISALYTPLK EREDLPPVMYEPVTCKGSCKAILNPYCQVDVRGKMWICPFCLQRNPFPQHYHQDLSPN NLPPELLPKFTTIEYTLSRPAQIPPIFLYVVDTCVDEDELKALRETLVVSLSLLPPNA LVGLITFGTMAMVHELAYADCPKAYVFRGSKDYQPKQIADMLGLNPSNRPIQAMRPGQ PVPAPAASKFLQSVESCEFQLTNILENLQRDPWPVDQDKRPLRCTGVALGVATALLES AFPNTGARIMLFSGGPPTDGPGTVVGPELREPIRSHHDIDRDSVKHFKRATKYYEGLS KRASANGHAIDIYAGCLDQVGLLEMKSLTNATNGFMIISDSFMTAIFKQSFLRTLGKD EQGYLKMGFNGTFDVLTTKELKISGVIGHVISANKKSQCVGETEIGIGQTSAWKVCSL TPKTSLAVYFEVVTPAGQALSPNQSGLIQFVTHYQHSSGQYRLRVTTISRTFQEGGHP SIAASFDQEAAAVLMARIAVFKAEIDDSPDVLRWLDRMLIRLCQKFADYRKEDPTSFQ LSPNFSIYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNDADVNNSLIMIQPTLMSYG FDTEPHPVLLDSVSIRPDVILLLDTFFHILIFHGETVAQWRKANYQEQEDYANFKELL EAPVADAQELLEDRLPIPRYVVCDQGGSQARFLLSKLNPSTTHQSGSGYGSGPAGGQA IFTDDVSLQVFMEHLKRLAVGASTS L199_008649 MRPTSIIAGASRLPLTPKRGNKDFYKGTGQSRVPGGGHRTGPPG VHVVKGKAKYRVLDEKVRLFVGPGAKVLEETELRPYVATQQIIDTSKGLTKFFNPYSK SSSNRPKFPSFSPMPLPPTTEVDGEVGKLSKKDFTRFSKRYQNLSGEEKQALIMDFRR NWFNEMSNYYGGGGKSNEQVQAEERETTELEQRSKEQGGGETPAQTNV L199_008650 MSTAIPQTMKAIVEDQQANWVTLKDNIPVPAPGENEVLVKVEYA DQNPGDWKVASWISLDGALQGCDYAGTIVKLGSNLKSDLRVGDTVAGMITGGMRKDRG AFAEYLVVESDLTYRVPKELELDGAPTFGAAWLTASQVLLKSQGHFLSSPTDGLNWYI VYGGSSSVGLFALQIARTLGYKVLTFASPHSFDLVKSYGAHEVINYRDSDAIEQALKI TNREAVYAFDTISEGDSFNIALAALGSKGKQLNVINPPPEGFKDKMGNVELQHTVVYT LLGKDLNFSPRTPESPMIIPAVQDHYEFGKEVLKQTPEWITKYGFKANPIELRHGLEA IPQGLQDQKDGKISGKKVVYKIA L199_008651 MTITVPSTSGTLSYRLAQENDRQLLLDLRKECGWGAPKIQKYLG DPDRPFCIFLLERENGQVEEVGMGGWCLDMPEDQDTASRERRVVYLSSLFIRKAYQAN GLGTQAIDLMEKAAIEQYGAKVITLDTTAYHTYVDEEGYAVEDFNQRGRAVRWYEKRG YTEYREPKPFFPHPTKTDPDRHLQAVFMRKSVS L199_008652 MLSILSLTSIVAALACIGSTGAVPVTAAASAAASSAASSAVSAS ASSTSTSASSAPSVSASAWVVPTGWAASSCVAEGTSGRALSWTSFSSSSMTIEKCLAY CDNYNMPLAGLEYSGECYCGSVLANGASLSSKSICNMPCNGDSARTCGGSGALSLYVS TKSNAASLSADYTSTSVSLPNGWSSMGCFKEGTTGRALDGWSYSTPALNTATCINYCK NKGYSMAGLEYGGECYCDSALRNGAGQTATTCNMPCSGGPGENCGGANVLQVYTNPSL APSASTSNGYAKQACIQEVSGRALTGASFSSDSMTVDTCTAYCKSSGFTYAAVEYGRE CYCGNSFANGASLSKYSSQCTMTCAGNKKQTCGGPNALSVYASA L199_008653 MAQLTSHHTLPPFPGDLKTAPLVSVSFAKLEADDNKSSSDFFQA CKELGFFYLDFVGSKLGETIISEAEELNKVQKEFFALPNEVKDVYGRPHLHPFYAYRY TETEGKDENGAPLRNANYNLRKDDILGQCERLACHPLILEHQDLFERYVRHCRAAVDV LLEHLNTHLQLPPGTLANLHRIHERSGDHVRFTQNHPGAFNEDRARSAEHTDFGSLTI LFNWLGGLQVRLPVTDEWVYVKPIPGSCIVNLGDAMVKFTAGILRSNIHRVVPAPSTQ AHLTRNSLVFFTRPEDKVVLRRLKGGIIDAQPQSLVPEPEMTSHEWIMGKGTGRLPGV FTSKGFEGKARNPEPQVPVPVSIPA L199_008654 MSAAHDSELVRDFALTGKDGIHVLVNDQAIDSKDTSNDVLVDAN EVEDEMDGDAPTEEEKATLRKIPGKVNAAGYALCFVEAANYASYYGVSGCFTNFIQRP LPEGGNGAGAPPAGTQQSAGALGLGLQTANALTVTFQFLVFCTPLLGGYLADVKLGRY RGLWVGITIGFLSHMLLVIASIPSVISGGHAIIPFAIGMVCLSFGSGFIKPSVAPLIA DQSTVKRQTVKTLPTGEKVIEDPGVTVERMLLLYYWAGNGGAFFSIATSYTEKRIGFW LSFMLPGICFLLQPLALLWARRRITHYPPSGSSVGDSFKVIGQVLKRIKGSKGAGDAW ESVKPTNMRANGEFEELAAKHKPGYFSYDDQFVDEVKATLKALRVFILLPAWYLADGG TDSILTNMAGSMTTNGLPNDLLNNFNPIATVCAIPVYNYVLYPTLRKMGINFGYIKRI SVGFLIGAILNAVAAVLQWQVYETSPCGYAATECNIGTGVSPLSAWLVAIPFILQPLG GIFISVSCYEMAYTMTPPRMKGTIIACVFFTSAISKAIVLGCTPAFKDPKLTWVFVGI GCANLAASVANFVFFRDLDNVAKETDLHRMDLTQEEVERTKGKV L199_008655 MSQEGSGSAINAYNDVEKKGGEPGGGHHVSVDPAQDVYYDPSKE KFLTRLGFNFESFKRAPGTTRGLVSHGDIPPEYLQHDNPLLQQKMKPRHLQMIAVGGS IGTGLFVGSGSALGNGGPAGILLAWIIMGVMLINVTQALGEMAIIFPVSGGFYTLASR MVDPAFAFAMGWVYVMQWAVTLPLEITVAGSTVQYWVPLAAWITIFMIVIIIAAAFGT LGYAEEEFWSSCLKLLVVVLYIIIGIVCICGGGPAGGDFDTYQGGRLWHEPPGAFANG FKGVCAVFVTAAFSFAGTELVGLAATETPNPRKTMPSAVKNTFWRITLIYITSLTIVG LTIRSDDPDLYNGSGSDISPFVILMDRARIRGLNHLINLTICISVLSIGLSCVYAGSR TLTALAETGYAPKIFTYVDKSGRPLWSLVAILVFAPIAYINCADVGSDVFDWLVALSG LSTLITWLSICVTHIRFRKAWKVQGHSTEELPFKAMGGAYGSWLGATLIVIILIAQFY IALFPIGGVESAGERAESFFIAYLAAPVMILFYAVGFAWKRTLPKKAHEIDLDTGRKS WLTVEDMRAYRAERAAAPLYIRVYRMLFSN L199_008656 MSSYDAAPDEIITPSQFRESMKLVRLQIAIPISVLVAMGANIVC ALAIKPGLSGINALFPTLLSPNATMVGIYWALLYLLQVGFCLVLLLVRKDVTKETLIH GVGLRFAISNWLQATWAVFFTLQFFWGAEIVLLINVLILLSIHVTLLYYPVTLKRPID AIFIHAPMTMFLAILFELDWLHNGFVALGWFIKEETAREKYTWQAVGAVAGVNVVAAV WAGIKRLYLLTTASIYLLFTLLFSSPRSNPTLPTTALPKPTPLLVTLIICLVLHPIAL VAGVAWQRTREREGRIRLEEEVERAEQDEREAEQESRIRGE L199_008657 MSSDDEYEESYEEEREEERSEASESSSSDTASDEDQGSSHSGSE SGSASGSGEEEYREAYEDEKSAGGVTAGGYRYGGGSHRSHSESGDKEKKEKIVKGVSS IFKKLKETREEDKNKEDNDERSAGEHYTSGGEYQATGVEGSFGAMNLNEPGQGEYPYG GAEHYGGYDQQPQQYGYGAPQQQFGGYDRNYNQAYPGAEYRQGADQYSHVPPPGPPPQ NYYPTGAGYVPQHEYQAPQPPYGNQYRGPDDGQPHQQHYGPTFTDPQTGEVAQAFFEY SRCNGTRKALLIGINYFGTSGELAGCINDAHNVQKFICERFGYRPADIVMLTDDTNDP RTLPTRENIIKGMRWLVEGAQRDDALFLHYSGHGTQTEDLDGDEGDDDDEAICPLDYE TAGLIVDDDSELPTSHFSFHRSHELVVKPLPAGCRLTAIFDSCHSGTVMDLPYVYSTE GTIKEPDLLSEAKEGLLGAGMDILRGDAGGIMANLFGAAKDVFDAKRSHEKTKKTRTS PADVIQWAGCKDNQTSADTQEEGKATGAMSYAFIAALTKYPNQSYQQLLTSIREEMKG RYTQKPQLSACHPIDTELQFVA L199_008658 MSETTPTDKEITPTTKDETKKDEISHESDLKTDHPDQPSQPIKS STSTQSAIVSSPPPITPTSPITAPQIASSFSLAAPSTTVSAASPAKRRPKPPTKGILK PPPPPAKPTLGNRLRDIVGGAVNTAVGTTSRLFDPTEETGAGPSSGSSSGAGPSSANL QATSSAPGAGGGTLASISGRLAGLGLSRFVPVPSPVNVNSTSQGSPLPSRSISLPETG SPMIIHGQAGNANVILSEKSRQKQPLKRATFVLPSLSITYPISSQAEPWSAKVIEDRK RIESNHRSLLSSSCGPQYWTSQRLVTLYESACRGREERPRVGIVRALETIPPPPKIRH IHIILRPVDHTTIGITLPPGSPNTLDVPFTRYSAESFADVLSAEWGLMELKLENGLLE TEDSLKPILHALLISGTLPNLSLAGNKKIKVGGWRLLAVFLKRARSLRYIDLSETTWD KKGIEYLVQALTSAQIKAPPENGVSPDPSQSDPSQIAETEQAGARQENGDVEKPSTDA YGSFIPPAPLLKENDQSSTPAAVQTLRLDGCGLRANVMETLAQGVRNSDLKNISLRRN RIGPLGAVALALMIRDYPDSALTMSSLSPGLSSTPSAQSPLLLPVDAAPSTPTPLPYS ARVRKPQPALPDDERDLPPIPLVVSSATGGVTSRTVPEGYRPPPPPKDPLVMPAGGNS TMQDAGNFTSPEGKTSNADLGGASMALQRSVRALDGIERIGRLLTLDLKSNEIKNGVN YIAQVLKRNRTLKVLNLSDNQIGPSGLVALAEALKYNSTLETLDLSSNPCCGPSMAGI SSLRTTFTVNTSLKRLFLSDTGLTTEGAISLAEFLPESKSLLHLDLTDNPLIETAGIL AISVGLKSNKLIRCLDLTVRSNDEDLSELSQNILQLCIRNTELAAESVSGESNKVKVQ RHKQEVIWEPIKKSNLVKQIKELDELEKERKRLEIMQSPQGQAREYVYTLKPDRIQKV AEDVIRDLTRWYAAGKVYNTARNKNGWTWEPDQLPRDEFSMLYERAKVLKERLIEQIQ DPSINSDGVDLERLLSLNDELENIISNGRKFNPPPRLLLPSQIIPTYEQSPLPQRTST PTSASTGSPARLYPQHQRRHMRISSTEISSPNFSIGDSDNDSDPEEIDEKSFTSNNAT PTKSTTITSGGSRNVTPITKLNLTSNSNSGLGLGSIAIERSEDADQEEGIFEKQENEA SPSTGLLPVNSQEADQDFLDDLTSPTEKASRAWVEEEGEIFRKGSKLGVVDEGDDTLG EEKEDVSGEELRKEILETPVARSTVRRVIPVEEGEQGEEENEDGEEGTIAVEDVDKT L199_008659 MTTNLYAVAGPSKPSSIVSTVSSTSPKSEPPSPLRSINPLPTIS TATNTGVSKPGMSLDENGEVLKVPAFLNKLYTMVSDESVNDLIYWADNGDSFFVPNAE QFGRELLPRWFKHSNFSSFVRQLNMYGFHKVPHLQSGALKNETPVELWEFANPFFKRG QPDLLVKVTRKNNRPGNPTPAPSTGSGSLNTRSATQAAAAASTSTPTAPQGKYLITDG SVEGEANQLVGPSGQVLDINAIHSGIAAIRQTQATIGADLRKLQASNEALWRQAYETQ EKQRKHGETIDLIVSFLEQLFGTQGEGLKGLKEAMKRGGLGRPREDSNSEENVTGSAK KRKRLGLDRMIQSGPVEEEDDDDRLVEIGSTYSMPSLKRAATTPESWSSSAQRFTTLP TEDDSSTPTGTSRAASKGLTPGAEQTLGTNHLSPLSDTDHLLPNDNNALARYNAPIPQ YNQQLNLNPTSSQPLLSPTSAEAAASAYNLDPSLLQTTIGSLIQSPAAAQMFLNSLNN SVQGQALQSTKPAPTPTPQQANYPTTGDTSLDPTLALFSPLPNQDSLMQNTNDLMKSY QDAVGVNGGVDQLQESIDSLVRSMGLDLPNGTNNGLDPLPVNHNMNNADSTGAGVGEQ LIDPDFNVDEFLEHLAKSDEDPTVLNGGAGVGTGNGI L199_008660 MSSTSSVNKRNHHPNQKHKLHIDLTYSPSSLGILNPNIRARSPS FSRTSNRGEREGESSELLPTPDSDSFALPSPSNPFIYEFLPSPPPKSPITSGTRRKRW IRKMIKRNFHPTPLGYAILMILLISLLYTFSGSGDQNGMILRRPSTTTSLSNGNEDTY IQEEEDEEENDEILYEKPVLEELPSIYSEYIPSLILPNIFDNPTYHLLSSKLDQFLHR PIQSHDEAKEDNYEGCPRELSDKLVNPDQYNGDAQFWIETVTAQEIASRRAGLIRWLE DKLNKGEEIIGRKDGRTGEGRGIVLTGGNQDTTLRTITAIKHLRRLGVDLPIEVFHYS DELTDRGQRDEIEALGASLREAKGLEKVSGVWKNWQIKGLALVQSSFREILYLDSDNV PLRSPVHLFDSPIYKSNGRAVFWPDLSKDHPDNAIWRLVGDTCSLDLWTFESGQIVID KAGNSGLNLVALIIASEMMNEREFWFHMCGGDKDTFRWAFRILDIPFGVSPRWMSALG VKNGYEGGRFCGHSVLQHDLDTPEGFTRPPPLFVHSNLLKHLGSSGLGKGNLFTHIRR MSNDYASNPSLNYAHSWVYMGQARGMCLDLDWHDHTPQEIRDVEWPETISVVEEEGGV FDGFEDIWFEEGGRIGGW L199_008661 MPRKATSRATIRLPLTEKDTTSLANVPPPAKSAPTSAKGNKRDI DSLMDAANAIVSDENQNQPEPGAKSITKRGQIFDPDQHPNGGVSNNAYQRFMKESGQK GQWSDTYAGAHRFFVKRERKGIKISRKKKNDTPASKKAKTTSTDQDKTKTKSPSKKDL EDEYDVSSITLDGEDIPGGIAVYDTCDDVRTKINAHLRKPGVTMASFNRAVAETYKKQ VLSQEVTIQHKQLKDFLEKHGARSGCTSKVFYGSYIYFEKLRIKQNKPKSKKREEMEK MHGKKGLDIKTDQNHMHFWGMEGESFHEDSYGRTNLISGRSRKKIII L199_008662 MAYTILIKMLNSTYAPTILALFSDLMFVLMDGVVQVLEDVHGIP TGQIVFIRMSSTIVFCFAYLSYTHPSFIKESISSPKASLIWVRGFNNSLVIITMYASL LYITLSECTVILHLRPFPVGLLCFVFLRERFSIIQLLASVISFLAVILVIQPSSLFHP LDPSPKDNRALGFTLAILSTLTSSVDFLLLRKIERVDPILILGVFAVTGLLLGPLQMI ILHIPPVLDLKAKVWGLLATVTACGFMAQVSIVLSLQRTSGGSIAILGYLQVVFAVII QWLFTKEIPNWLAGLGMVIIVVTGIWSAQYGSEKKDTEEVGERERLMSGEEA L199_008663 MPLHTVGRNDLDLPSHNIFNTNHHRGVWEENPREPLTKKGLTFP YSASDLLAIFHALADGHKTKEDMKNHFLTVVKHADKYPTVILPLTEEDKLQAKQQNFL FEVNYKWDETKAFPECITDWGLPFVAICQLNRFDRVITSSPNRIFAREWRDVEREDLV FAYLATRKKLGYEVFHRKYSGPRSNHIPALSGMPQWSERIPIGNLLPHPTGKRSFACS NGLCDLHGACMLLEALARQGNDTCGKGSIAHAQVLKGFTFRAYAKNDSDWNLHEYQVT IAKIGKPQNPRENDLVLYERLLHMIKNQSEGYIQWTWGRDEVPEFTDLYELAGGLEAK DEKPSVKKEKKVKAERR L199_008664 MDDKHEVQHVELEAGSTKNEGGVYNYVPGSDEEKRLVRKIDRHL LPMLWIMYVFNYIDRTNIGNAKVGGMQADLKLSSSDYSLVLSIFFVGYLLNEVPCNMI LARSTPSIFLPTVMVLWGGMSIGAKGVNSLGGMVAFRMVLGLVEAGFFPGVMLLMSCW YKPVEMSKRIALFYTASLVSGAFGGLLAGGIIEGMEGVAGTRGWKWLFIIEGLATVVI ASAAYFILPNYPTTTKWLSDSERELAVARLGTGRDEDGEHMSHWQAFKVALKDPKTWV FMLIYNVLNSVGTISYFFPTLMTSLGYTGRKAQFMTTPIYCVALVISVINGWNADRTG QKAYTVMGACICGIISFIICVTVHNNAVRYTFICFGGAAIWTSVPIFLSWMVTMFDGR EPRAISIALINGVGNLSSVYGSFFWPSSDAPQYVTGFSITTALIGFAAILVALAKWRY QDKGFAGIPQRGTRW L199_008665 MAMVLQLTTFLQQWLYSRPDVKALRKEDDAVRLGIIGGSAMINA AAIIHPAETHPGAKIVAVASRNIKDAQKAAKQFDIPKAYGSYEELLADPEIEAVYISV PNGLHGEWAIKSLQAGKHVLLEKPFTSNGLEARRVFEEAERCGKIVVEAFHWQFHPAA HVVKSLIASGKFGDIKRTFARMTTPKGTIPGSDIRWQWSLGGGALMDETYALHATRYF VGGDNETLLGTGIKPTVVSATSKPWSKDKRVDSASWADLRFDLEGGKTVDSKIYVDMN APNLALVIPRAWEFPSIEIECERATIYFYNHMMPHLYHYISIYDKVTKHTTYQKHYNY GPKWKDVGDPHWSTYRYQLEAFIDKVRGREPKHWITPEDSIAQMETIDAIYLKNGLGV RPVTGELDGASAE L199_008666 MASPAHSILILTLIFSMMFLALISPASAQPTGLLQRRTARNVAP NPSVQLKQVTRTELIERAKRKATRTTKRQAAPSCSTSDNRQMFTDALGGIAAPAVYGC SGTWMTSGQRYNFEIDALNASCYEQMQQCQLAANQGGNKGDLTVSNCEGKQAQACLKL ASETAS L199_008667 MVRTPEQIARSKALEIRVHPKGRYLASGEKADTAWEIFHRLDER EVKLYLDKRAEQGFNVIFGVLFAEHGGATLPNRQGEWPFHPKDPSAEKYYPDVLRPNE KYFEYVDFVVDYAASVGLRIAIQPVWGNYVAGIYHKIDHYFDNVDAALAFGRYSGERY PFLPYLNGGDVHRYWFDDAAFNARALKPTRGPEIKVIDYGPVFEAFAEGIIAGEAKHR PDGSQPFITFHPTASWLPDTPKPFASHLFPNSKWLTIDGIQSGHTCGYKYAPLEDPSI GTLNWFYAQNSVDLVREMYETSTRDGSLRPVIDLEAHYESTHYNFKPEKDQWKASNIR QGAWQAVFAGAAGLTYGVNSVWQMNNLNSKSHSPIPHNTVSAANWFDELDLPGATYIG IITKWFLSRPSYFTRIPDQSILLSDTFDRPVPEDDYDGKDAKLISATRDIDGKWLAIY TPEGQGFEVDTSVLQGEKLVAIWFDPRSGRETSAGTVEKGKVKVQPPSQGKDDHDWIF YVVAQ L199_008668 MGFKQTALYQDMTPVLLFAAVFSSMGAFTFGYDNNWWGGVMGSR HFNLTFGSGYKIENGVQIKWMNANDQSSGTALGTAGIMIGCMIAPFINERFGRKASFM SLAVIAIVGSIIMACSTIKSSFWMLVAGKIIVNASVGIASAVTGVYQSECAPHRIRGA LTNAYTVFNNTGTLLANIVMYGVHSKLNASVWLVPIGLQFLFPIIILIVTPFLPESPR WLVGQGRTGDAFIQLRRLRGKKMTDEELHDQLQEVKDSLEHERANQSKFGWLQLFRGQ DLRRTLVGCGMLCLQQGQGISFTNNYLNITMLNLGFTNTYQLLVALYTGKLVITFLGF YLPDRIGRRPMALFGACSMGSCMFIMAAVATATNNKPTGALGNLTLAAIFIWVFTFSP TWGALPWTIAAEISSQQLRAKSLAVAAWSGYAVGLINNLVVPYIQQAQYGNLQGQITY IFGGFSIAAIIFCYFFLPELKGRTLEEVDMLFEAKVPARQFASYDLTSLKEERAAQNP HGNSEKEGSLYHEEVGTRKNEATLA L199_008669 MSPVALNQTNPAVKTLPAATKTMPTVSREVLTRPNLALWVTKDH KIYQKEEPYPSCGPDDCVVHIKATGICGSEIHFWKSGRIGDCCVTHDIILGHESSGQI LEVGSNVQNFQIGDRVSIEPGVSCWECDMCIRGRYNLCPKVKFSGTPPADGTMRRFVA HPARFLHKIPDTMSFATAALIEPLSVAYNAVRRAKPYLGQPILICGAGPIGLASALCA RAAGASPIVITDLEQNRLDQVRAMGFDRTLKIDLSWDRLTTASKIREVMGTDCLPQIA FEATGAGSSINSACYALEDGGTLLQIGCGKPDIEIPLMSMGFREVNIVTSFRYQQSWP VVIRLVAEGVLGDVDALITHTFPVEKTIDAFETCADRSKLAIKVQILDE L199_008670 MISQPTIDDTESSLGAQLRQSSTYSQALSGVNSSSIQYNPSDKH PFSPVGIDEILFGNLNAMQPIHNTIPTAQESHQLPGDTHSTNEGTEHDSYRKKRKITR ARNACLPPPDPCGSCLKDELQCRWPTADGRSAEARRIRSHGKRKESKAEVAETNDATG IDQDIWLFGHDWLSDIGSASTSGQPFDFSLGSIPAPSYTSLDPSQQAPDSIGLPADPT LAIRDLTVPTESPDWLSGFDPAEVVMALSGQLPVVEDGSIQGDRLGASDTTSPAVQSG ATSRAVHSSGSREDKVVKVSWWRPHGQTAIVPGLQRIVLKVQVQAPGSTSQASTPVSP GTGFQDDILGPDRIPEPGIMKHLLHIFFDYFGCQFPFLDKRSLELSIEERTGSCFLFL CIAAISARFSTNPSIALKHLKPFEYGQEFYKAAKELLGSMLTVPSRETVMGLVLLAHV GLGADSESEEWMFTGMAVRMSIDLGLQLSPPEDAQISLEDRRSNRLLFWSVLLLDFAL AFGTGRQPTLRVEEITQLLPSLDDLSTSSPSEVPNPFPYAARQMLAYGQLITVLNCGR QKQDEIDRAVIVARSRAIQVYNTLPDDLHWNVSNLQRHTKAGYATMFLHLHLWMHTII ASGYLTDTDYLRRGAGRSNPLSGLISGHVTPNAVAASNLWKNSARTIGDVLVLSDIIN PNAYLSLPFCNQAFYVAGCCYVKEIETEQWNLDHVDRTSEEQSRPTSSDGDATARSPK KDRQVKKPSELFRSLLTSVAANNISTVQQGLTKQTNYWKGIAWVAEALSQRVAGIGAS EIDLASITEKLSSSIYVADAGVLKGSGDVQQSATDFKGSTV L199_008671 MVRETPILGLSERWSLSRHNVGSPITVVYHASLPAGVISEDDLK KAVVELSNAYPILLCGVEGANTRHPRYVKRENMGSNDIVKVVELEEENTLSDLVDRGV IEGKSLSPSSGPLWRLQLHRFITSTTRPPRDRIVLLIDHILCDGLGARNLFTDLLGSL SGTPIPSQPEGLPSRLDDTVDLKPENEDAPPISSTIASHLKSITQQATSYVSLAPTYN KFPLKPDADYNSITAPQQFSEFDLSPSELSALLSEGKKHGIPTIHPVIHIACLVALYR AITPSAAWTFDTSVPISDRREDLGHPRATGNYVTFHFSTDRMNQSTLFWKHVRDFSTE LRQPRTKVVARKTLGKLALINDDQPEDGDSSLWEEYLRKLVNDPSGPHKLSLAVSNVG IIDVPTSGKLAGQVQDVYFSQAASAMGGCAVVSIVTTKGGPMTISICSKIGSFPPGVF ELFSQQLKPILQAVARGDICEDTAAGDAIPGLL L199_008672 MSAALDVDNVAPTTTEVAISKQTKQSPWLRFRSFIWDSDTLLKS PAERRLLWKLDTAMLTCLCLGFFCKYLDQNNLNNAYVSGLKEELEWNGNQLTYATSLY TAAYALMQIPSTLIVQKVRPSLWLGGCEVAWAAFTFAQAGAKNTSTMYGLRFLVGIFE SAFFPVGLYLLGSWYTPTETAIFHFTAPAGVAFAGYMQAAVYKTLNGHAGMEGWRWLY IICGIITLPCGLLVFFVLPDYPTTGKRWYLTDEEFELAQERMRKVKRVQSNGLFSRSV LKKIFSNWHIYLLPLTYIFYGLSCAGSSYFGIYLKSTKKYSVELINILPTFISVIQAV TTLLYGFLSDYTGSRFWFTFGPMLYGAIPCGILAVWPENYKLKQFAFMTLGVQLMTAV IYSWWSEICSADPLERALVIGMSNGLQYVMSAWIPLLIFKQTDAPTFRKGFPTNFAFV LITLVLLVLVKFLHERELRHKSKIDEEYVDSPDIGGEIIDTKAAEDGQDGTLNSSPVE RLKV L199_008673 MSTENKVQIPYVRLGTSGLKVSRLILGCMSYGTPEWQQWVLDEK TSIEHIRYAYEAGINTFDTADVYSGGVSEEVLGKAIKEIGAPRESIVVLTKLFNPVIR PGSGAKVDPNGRGLSRKRLQLDYIDVLQCHRFDYDTPIAETIQALHDVVQKGWVRYIG MSSCWAYQFQAMQNYAINNKLTPFISMQNFHNAAYREEEREMMPTLKLLNVGCIPWSP LCRGFLARPWNAEETVRVKTDANYKGRGHDKPDDSRKAINERVEEIAKKKGISMAQVA LAWSLSNDFITAPIVGSTSLDNLKELIGALDVKLTPEEKAYIDEPYAPRSIRHE L199_008674 MAPSLSNLIITCPLSPEKLAEVKTHFRLVHHFPPAGKEKVPKEL AEKADVWYSNYTGIPEYLKFEDIPNLRLVQLTSAGANTALKSPALNSEQALKQITISS ASGIHSLSIPQWILSQSISLYMHLYLQTYNLRHTQKWVREIPQLPPPPPGDFGNSGRT LYGKTAGLLGYGHIARETARLLKAFNVNVIAANSTGEKRKDEGFIIPGTGDEEGVIPS AYYSTNDLQSFKTFLSKSDILIASLPSTPQTVDLLKDEHFEALPKDAILINVGRGDLF KSETLIKALDQGHLSGAALDVTDPEPLTDGHPLYTHPLVTITPHTSSNVKGYFEVGAD LLLENVKRVREGGKPINKVDPEKGY L199_008675 MSTIAEPPSTDSTATARPETSLSARPLPAGTAPIKPEYLIHQTP TVDSSSTSALNNLDTDDLAEGVTTSGSGDARDNKRRKPNKKERKGQNKARHFPVIRET NAKICKAWETTGSCERGESCKFAHSWDGYFEIKPKDVYLNFDGKLQDQPPYVEDKEDK GGEDITGSRINLSTTCPVLKDLGYCPYGWRCRFLGGHVKKIQDQSGENGASTPSGPIT RLGEWELLNLSTGEKEGSKWKHGETNWVDWDVISSLKRNEYDFPFSRAYLSKVEPEKP FTLSNSKPKYNSKQPKNKRKAVSPMDEESAMNVSEEAAMNNEEDGLNGDGANVEKEKE EEKGYIVGESEVMDVPLRPEEKKRLNWEGGRYLAPLTTVGNLPFRRLCVDYGATITIS EMALAQPLVSGHNDEWALCRRHESEKMFGVQLAGGFANRMVPAAELIKNTLHGGVDFV DINMGCPIDLVFNQGAGSALMDAPGRLAKMMVGMNRALGDIPLTVKFRTGVANGKPNA HKLIPRFATQWGAGALTLHGRSRQQRYSKLADWDYIKTCAETLRESLKDANLAPVPIF GNGDCFSAQGYYEEMEKSGVDGVMVARGALIKPWIFTEIKERREWDISATERLEGIRK YAEFGLSHWGSDTQGINTTRRFLCEALSFQCRYVPLGILERLPAKLNERPPAYRGRNE LETLLSSPFSNDWVKISEMFLGKVDDGFNFIPKHKSNAYGNEEAQG L199_008676 MGFRFLELVRPFMSILPEVTAPEKKVVFNHKVLWTATTLLIFLV CSQVPLYGIMSSDSSDPLYWLRAILASNRGTLMELGITPIVTSGMIMQLLAGAQLIDV DFSLKDDRALFGAAQKLFAMIIALGQATVYVLTGLYGSPSSLGPGVCLLLILQLVSSS LIVILLDELLTKGYGLGSGISLFIATNICESIIWKAFSPNTVNTGRGPEFEGAIIALF HLLFTWNDKTRALKEAFYRERLPNVMNLLATVVVFALVIYLQGFRIEIPIKSSKMRGQ RGSYPVKLFYTSNMPIMLESALTSNVFLISQMLSSRFPNNFLVRLLGVWEPMEEVPSQ LSAVSGIAYYMSAPHSLTKAIQDPFHTVVYIAFIVTACAIFSKTWIEVSGSGPRDVAK QLKDQNMTLAGHREASIYKELKRVIPTAAAFGGATLGLLSVLADMMGALGSGTGILMA TTIIYGYFELGVKENSGLDAAGLGDLLF L199_008677 MSDQPKYIEKLQSIPKEKFLFGPSPISHLPGLTKHLGGKVNIYA KREDCNSGLAYGGNKVRKLEYLVADAKSKGCDTLVSVGGVQSNHTRAVTATAVASGLK AVTVQEKWVPIDPPLYAETGNILLSRLMGGDVRLNQETFDIGHKAATEAAFKDVQDKG GKPYYIPAGASDHPLGGLGFVNMVVEVAEQEKALGIFFDTIVVCSVTGSSHAGTIVGA VAEGRKRKVIGIDASGKPAQTKSQVHRIASNTAKLLDEDLVIGESDVILDERFHAGIY GIPDDETIKAMRLGANTDAFITDPVYEGKSLAGMIKLIEEGSIKEGSNVLYIHLGGQP ALNAYSSYFPHD L199_008678 MAAASSSQLQNIYYERKTGTPRPCYICNRPTTTVLATLKTEDFL YTCDAHLTDSASPIPTPASTPGPSADDIKKVIADYHQREARKSDKGDKDKDKDKDKDE KEKDKENDKKTVDSPKSPSTPSIPTSSIPQNPTHRKFQLHRHIFEMRKNELRRKEQGN KAKEVSKGLPQVPRTAF L199_008679 MLFIATLLPLLALTSVSAIPTKRDGPGKLRLKGTNQCLGKAKEN GGSTGTDGVWTEPCDTAVSWKIPPLGRPGKIEQQDYKLSALDGGDGVSVDIPLTTGVY DPVKPGQQWAINPDGRISIGGAKMVCVSWLEGNIVQAKACGTGGDAPDGTIKQVWELA L199_008680 MLFVAPLLPLLSLTSALSIVNRRDDTTYKHASLELTATGKCLTA VQDQNGDVIDGFQFVTCTGDTEWSVFKPAEGPKQIGFISRSFTPVLDAGDDGKPHDVS LEKPQYPREGQKWLINYDGRISTFNGTLCLSQTKGAHGDPSIRAEGCGSGEDNKESNI LQVWKVVEFHGDSSSS L199_008681 MSTRLPAPSVAGPSNTPRNAVKLSVVAQQSSPQASSSSRPLNET DYKAEDDILIKAAVRKAQLQRRVDRWMDKLMEETVDRTTFKKITSHLTPAQYQELIHE RHLNSLCSYPLCPNRPKREYSDKKRLSISTTNRTIKEKQGNPEDGFCCEKCKKGSDWV ESNLSEEAVWLRREIKEVELLEELEERGMFSWPEDDKRKRSEGVKNGSTSSNTLNVSR THATTSMPRPVHSDTPVQPYTPPPPPKPSAAPDNPVSALIANLTIHERPTPSTPPVAP SLSQPTPSYSSPPPKSVFPAPHAHTTPTPADAATGDTPRESRRAQTALIGTSSKQLSN SFVNATKALGPIGISQGDSDVDEESEASDWDHEMGGGWDDEEMKGFWEEARLARELVE EEEAGDKDSRS L199_008682 MKLNNETVTIELKNGTIVHGTITSVDPQMNTHLKSVKLTLRSQT TQQPLSLDSIAIRGNNVRYYILPDSLPLDTLLVDDMPKAKRRKEGAGGAARGARGARG GPMRGGPGGRGGGGGRGGPRGRGRGF L199_008683 MSYTNGYNSNPHSHSNTPAPQDQNNNAAVKVQPLLCSGHTRPVT HLSFSNLLDDGTYLLISACKDGNPMLRSWLGDWIGTFLGHKGAVWSSKISLDTSKAVT GSADFTAKIWDCNSGEALHTFSHNHIVRSVALNPQQTPQYLLTGGHEKKIRLFDLGRP DAEPLILGSRPDGLSCDGIVRSLVWDEGSGGTMGVSASEDGLVRWWDLRTLSQTGQLD LGESITSMELAHGGGTLSVTAGKKVHFLDILRQHPPVTVELPHPPTSASLHPFARDRF VVGSTNDPWVRVYDLDSGKEKEVYKGHHGPVLCASYSPDGEVYASGSEDGTIRLWQTN PGKSYGLWQTQD L199_008684 MPLLTSRARHVLQPLRPLTLSVGFSFIVPLRFFGTSTPGKNDIQ CTRRLVRQPSPAASRESPYPVVFLRMKGAEVQGVEEEGEWIEWSSMFSEKGYTSIEID ITIPPSPSSELDTEETSISPLISSLSTEIRLLAIPFPPILVARGVSTLLAQAYIEDHP SSGLVLIDPMPDEDPQPRQNSKLRWPMFKYEPHFPILVMSNQASLRELSVSNRLVREH GVLPKDEKIGWFGRSGKGVEIEVMDGVDESSRIQVERWMDRQGY L199_008685 MSKILYTSTGVTRTAQNFLTSSCSHRPHRRLLSSVELRTPVSRR AMLYVPCSNPRMIEKSFTSPADSIAYDLEDSVAPGKKDEARRLISEVLNGDRRPKGEM VARINAIGTGYEDDDLKAVLPTKHLQAIALPKTNSPDHISYLISRINQLAPPQKRTGG DQPIKIIAMIESARAMIDIERIAGSGKGHLDALLFAAEDYCADVGLTRTPSRDELLYP RSKLVTTAKAFGLQAIDLVCVNYKNSDTLREESEEGRRLGFDGKQAIHPNQIDMIHQS FSPSEQAILKAARVKFSFELHDKQGKGAYTLDGQMIDAPVYKQAIKVLAKAYAAGLKV PDVHLEDI L199_008686 MSCFRPIPRLVSPNLQGQTITRSSLSTFRMGAVSRPLRVIRSDT RRDHTSSAFIDEWSCKLTTGAPKVNYDTVDIDRISQLRRILPTRQQQSRLYLEDLKED EDLDPSFHLVLFRPKPILRDLGLDGTSTEYNAPLPYTRRMWAGGSIEWSALNRSLKVG EKVTQIVNVPKVEFKKDMVFVNQQLRIYPGTFSDQEDYDEDGWSIREIRTHVFRKEPT TTTPAPVRPAPTPSEGSGNILNRDNSDVTFTYTPSSPLLFFYSALTYNPHKVHYDHPW TINQEGHPKPLVHGPLTATLLVELANLNKPSGKRLKMFKYRATSPMIIDDEIELIGNN TENGEGMELVAVQNGKIGMKAAMEYQ L199_008687 MAASRLSAEVPTLDARPGFSRADTDLPDTHKEAKTVLPDTENHS STQDDRSDKESIGSIEKYPGVAKIEALYMVFGKGAKLWVLWVSIGLLACAYALSSNTT YLYTAFATSAYGEHTVLGTISVITSIMSGVAKPFIAKLADLWSRPVAIAFGVVFYTIG YIVIAASNSVGDVAGGQVISTLGDTALSFTQTILLGDITSLQYRGLVNALVSVPYIPF AFVAGDIAEGIGAYTTVNGWRWGYGMFCIIIPAVVTPSVLILLWADRRAKKLGALSLA SSTYARERVLANVNAPRKTYTAILIDYARKIDAVGLLLLGFAFGCILTPFTLSTTAAG GYKNPSLIALLVVGGILFIATIIWEWKFASHPIMPLRIFNRTFICAVGIDFMYYFSGY LSDAYWSSWLWVARDYDSKDYTYILNILTVGLCGLAVPAGLIQRYTHRFKYLQISGLC FRIIGMGLNYLSVAGNGSNAVIVSSRVMISLGGAISVISSQVASQASVPHNDLALAAS ILALWTSIGGAIGSAIAASVWNRRVPQMLELYVGDYYNHNATALAEIFGSIYVARAAE PRELIVKAYDEAVKPLYLAALLTSFVSLIFGAFTKNYVLDDRHNAVEDTVISMKSEDE TAPEVVAAKAREAEAKAAEKLHAEGRL L199_008688 MDYSNLRKTLHTPGWSDAQERTILEPYTYISANPGKEFRGKLID AFNVWLKVPEEDLKVVTRIVRMLHNASLLMDDVEDNSELRRGLPVAHTIYGIPQTINT ANYVYFLAMEELLSLRDTSSKGKKKDVDVVGLVTDELLHLHRGQGLDLFWRDTLTCPT EKEYVDMVLGKAGGLLRLAVKLMMAKSESEVDYVPLVNLISVWFQIRDDYMNLQSTEY EANKGYCEDLTEGKFSFPVVHGVRADDSNRQILNVLQKKTTSVSLKKHVVDYLRDETK SFDYTRKIIIDLQNQIQDEIRDLGGNKYLEMAIKSLALKEDE L199_008689 MRVVVQQGMEGMIYASRDVFLLDRFPCRMVQMVAWVAGVDHKDT SMTITLDDGDGQHVLPVLLRLSPIAHTHNSSSSRSKSKTDKAVFARTTFATLSERESR AYAKRKAYEENWSNTNGYPKIFQRKDIRVGDTVRIIGKVDEWMRKKSDGSGEWVRQVV VDENAGGFISIVDPDTQYTHTSQVDHLHQTLYSRPFTLPDLSISNKTPPISPNRDTSV SRVGPNMSDGLGTTLTSEAPSELSMIDAEPELRDPTKLRSSQLTDRTFRQYMLDHMTQ ETIKSISKAQEIGPEVLHGELGHYLTEYRDVQDDPSTSGRSRILGKSSSGSGVFANST KVNTPSSSTSIDHATTPTQKTFISRKKPVKSTSTPCSLGLLRPFTPSSILVDERLSIL ATLVVNNEIRREERRRRRRIRDGTATRKDLLVDRERNTSTNGVMIDEKERNKKIERLV SWAIRNVSEEGNLVQVTLPPTTAPSSTKSSSSNGYVTQDRYGYLPLPSQLLLPLLIPH LLAERDLRKNSIRRKNDFKSVNGMTVDELTSVMRKWGQEGRWERVGDWNIEDALEYGV DRGILRKEGKGYWVVESM L199_008690 MSRPTLSLLSPLRRTIIPSSRCTFPTRRIPHRSVRFNSTTVPPK YTTKSHTVLSNLLRPTTLVLICVPILTGFLGVWQLQRLQWKLNLIDEVDRNLQKDPML LPDNINLSALPDFSFRRVILRGKFIGPPILQGPQTKDGFPGYHLILPFQRESGSTILV NRGFITTTRATAIREGRQPAPGLNIDGGSDGVTYEIEGMLTRAGEQNFWTPPNNVRTN EWFWKDILGMTQYAGGEARGIQPVLVDAFDDPDAAPTLLMNQGIPVGRPPHVELRNQH AQYAAIWLSLSASTTVMLAYVLTKGKGGPKNRRPRL L199_008691 MASPADATPVVEAQKRADQELKNVVEFLRGKHGPKVRRGILNGK RVDYFKGKTAIRTLLSPQYQKLKKVPVLKTEEEAKALMIKLLPFAFFLRTDRPPAPVP PPSGTPKTLQLAPQQSFDETSYYTWFYDGSPLYTILGGAAMVVIMLAGVMFPLWPIKL RIGVWYLSIGVLILVGLFIVLAIVRLIFWCITVLTMKRAVWIYPNLFEDVGFFDSFRP GWAYDEPKKKTKKKKAVGGVTPSKKSRTPTAGGLEPIEALAQAAGAGEPPAGSPGSPT EASATGVQVNPASENNNQGGLRSRQAATIEEIDDDES L199_008692 MPPPTSASRTIFVANIPYDVSEEQLANTFSEAGPVNNVEIKFDP QTGRSKGYAFVQFYDEATALSAVRNLQEVPVNGRNLRVELSTDEPGPRRGGRGSRGGI PGHPGGPVGNAPPGSGGPGGPGGPGGYGRAEDTPPRGYDGAPPVNRVDLSALPEGAPL PHGANATDNISKTLATVTPGQMQDVMASMKSLITTNPEQARQLLSSKPQLAYALFQAM LLMNIVDPSVLQRIQPLPATAPPPPSSYPPPAQNAYPAYPPASSGPSYPPGGAGYRPS PQQGGYNSAPPPAAGYGTPSYGASTPAPPQPPASGMAALPPSAQQALATLPEDQQQML LQVLQLTPDQINALDATQKASIMQLRQQFLGAAA L199_008693 MISTLALLSILTITSASPLSTREEHKSVLLKNKADDTCLYIPPG VLNGGCKAVHTQSCPGGNDPVFLWDINMGKSGAIRSSQLSFNLQADPNDLTNGVNLIT AEKPKEGSNLQQWTVMENGTIFYSDHASTEKAQRCLSFFDPEVIISPCMEESNEEASK QIWSIEDP L199_008694 MFRGTALYFLAFNTLLALAAQRENIKLNLEGTFSCLAIQDVLEG DKGESLSVYPCERDSRSKWDIDLDEGGPITLSGTSLEVKTIVTDGVYVKVALDELNDD KWQFASDGKIRYIANDLTPWGGIEQCLGQPPKLKNGMTANIGLVDCTDADSQQGKLLF F L199_008695 MKLALATSLSLLVALVLVTSRSSAAPSTSSSSITGSHMKPSFSN RVILIRHAEKGFSPAVSNPNSVNSAPSDSAVSSSRGGSWWSWLWGFPSRPPRASAPLD RGPPGDDRGRGPPGRGGGGQGGPRWPGRGKFPNGLSEKGKERAQYIRTYFGNDSEFDI GLIFAAPREGEGEKEAERTYATVAPLAKDLGLEINIACANSAASCILQYVQEFAQASN ADILISWKHRDLNTIATALGATNAHRIYPDERNDVVWIMSNGQIVEKRSMHCPGLDDD RVDQGDPDLEVEQPRDSLSWTEWLSSWAGLKYIWGAQRKVVTVDSL L199_008696 MEAYLQYKSFTPTGKPTHQPVHEKDLENPHHLQIYLDFDENDKR NPKNWSVWYKTFVIGQLAFMTLSLTFASSVSSAAEEGMIQEFGCSTIAATASTGLFLV GMGLGAMPMAPLSELYGRLPIYLITMLLATLFEIASALAPNVPGLLILRLIAGFWSSA PLSNSGGTLNDIGDPVLRTIALPLFTTAGFAGPTLGPIIGGFVSQAPGYGWRWCYWVC AIWNGVAFLMSSLFMPETLAPALIKYKAVQYRLTTGEDVWRAPIEDQDIHKLTVKYLQ RPFILLGKEPIIQLFVMYLTIVYIVLYGFFTAYPIIFLQHGFTSRTVGLAFIPVMVGF FILMGFNVLHYIRYKRLALDAKAGIERRGIHEGKVEPEERLVPLMACAIFFPAGMFWL AWTSGPHFNVWVPLISGVIFGIGLLSIFQGSTQYLIDAYGPYAASALAGSTLIRYAVA GLVALAFPKAYETLGDQWATSLFAFMGLALTPVPFFFYIYGRHVRAKCIYTVRD L199_008697 MPSLPLMEDSQVAESEQSEPSIRVQEWTSFLTSYAQGDWSPDRV PPKPTPAQDPDLVELDRSPSVDSLKDDSNADVYSSPIIDGKTARRVRQYCWENDYLPP PRSPHEELREKIILEYDLLGPVQAGNIQSAVDLIAAFFPGTVVTFTLFNNAIQNFVSI AGPQNLLDTFHLQNGMWVAPETSLCGHSILLDGVIMFVPSLSDDWRFRSNPYAVAGLK SYIGSAVSLEMDPLSANPHRDGQRDRVGIGALNILFMYDPLYNMTSQQEMVVRNVSKM LETQLRATWEGHIRTKEAKVRRAITDIIEEGFVGNQSASLQSVKDVVGTQSGVISGGG SLTMFEDLAHSALNRLLGLMSEVDALCMIDIRSIRIQSEPKSKPEYHIDPSSPHPVSI IAHAPRHGFKWPLLPSTSALLSYLNRSHSLFTFTQSSLSGLESELPPSIKSHLVMPFF TLDQPSFIIVAISKHDNIHSDSVNITRSVGSVLLAKAIQSRVMEADAAKTAFLSSISH ELRTPMHSIMSGLQLAQASVDGKEWDSTRSLLHVVQTSGQTLQRILDDVIDFDPAYNS KSKVSRGVTVDLLELAKDAVQMCLARSDDMELGSTVHIEHQERDWVATIDEARYNRII FNGLTNAMKFCKNGSISVSLSTSKDATQLVTRVTDNGIGIDGKVLPRLLEPFTKQDLH SPGAGLGLYITKNLVNSMGGTFAIQSTLGQGTTFEAILPIHFDKSGSSSNPPSFKKMI QYKGMDSKGEETISSPSLAFPSSLSSTAAPSKSKKTTMEQKSGTNALRVMVVDDNRIC RLLLLKSIQKGSTPVQTAQAADGQQAVDSFKTFQPDLVITDVSMPVMDGITAAQEMRR VSQEMNLPPCKIYALTGLGSSDPRLKSIGMEGSAALDGWLVKGQDDLKVIQGIVVNVH GDVSHREEISPEEMLKKVQKADIPLTG L199_008698 MEPNPSMLSTPAATTWFCWFFPVFCPDSSYQWSPNPPETTLQAA VTSPGPSTIVTSISKSSAVSSMASSSAAASTSVIGSISSSAVSSKASQSTPSSAATLS SSSGPISSSASSVIISSSSSSTSSALSSSVSPSAWSSFVSASASASQLSASVSIGIAS SSISSGSSSASWAFSASRPVPSVSSVLSTALPSLSTGSLSRPPSISPSASSAHMSASV SADLTSVSANPSSAPASSSASEHHISSFSGPSISRSASTSSHSGGSSSQPASSISRSA SSVSQSGSSSSQSGSSISRSASTSSHSGGSSSQPASSISRSASSVSQSGSSSSQSGSS ISRSASTSSHSGGSSSQSGSASSQSGSSISRSASASSQSGSSISRSASSSSQSASSNS QSASSSGSSGPSSSISASLSASSGIRSSASSSAIGTSITNPSISASSWRPSGSEASVA SSSVSSSSSASSKATLPSSSRAAISSLSSLSSSSASSSFHSASASASATGQPSSSTSS FSISYSKSQASSVASSSISSALPATIPTTKPAAVKQGTGLGGGHLSDVYKAGGKLNWY YTWWFSPSSGQEDLEFVPMILNANAEYGVASAELKWRNVTAVLSFNEPDQPGQADIGP EAAAVAHQRWTSKLRGQYRIGAPAIAQGAKDWLRRWIAACDGKCQYDFIPIHIYGKSG PSALSYAESIISEFQKPIWITEFACHGWGQPTCTQEEVIAYMDGTIPHFRTNPMVERW AWYGAWNSSSDSTDGYALQYKNGTLNAKGEHYLSL L199_008699 MTNQQHVDIKQGTLDLERKPSIEMIEDAEDLKKPIDAVTNEVIE VTEEDNARLRRMIDKRVLPVLVWCYLLQILDKFVFGYGNVYGMSTSLGLKGTDYSLAS TMNNVAQAAWMPFSTYLIVKVPAKLLMPALIVGWGTAQACMAASHNLGGLAAARFFLG LFEAGCLPLFSVITAHWYRRSEQPIRVAAWYSTVGVGQIFAAIIAFGFGKVHSDKLES WRLIFIVVGAITVLSAPLVWYFLEADIQEAKFLNERAKAMAIERVRANQTGTGTREFK WAHIWEVLYDPKTYLFGGLSLCVNFGATVATAFGPTLLKDMGFTKETASLLNAPFGAL QFLTIWLGSYLTQRFKQKGIILAAMMTPVLLGVALLYQANIVEERKLHMALGAYYCLS FIWSGNPIIVSWMVANTAGQTKKSAILSVFNGFNAIGSIAGPLLFNSRDSPRYIPGLR ATLGVFSAMMGCNAMCFAVLYLLNKQRERQRVGAGKPAKIRDTSMLNKYETYAQGEGL GENALKDLTDFKNNEFTYVY L199_008700 MRVKHNGVVEDVNEEDEGDGIGEEANTGADDEGGLGEEVGVDVG EQVGEEVGSGSGVDEKEASIDQVEGTQIDQDGCNADQQAGQPRKQALMQKTLWRSRKR YGRPRRRRPSPSKRNQCSVEGEGEGVGPVSRSRSWFTYDEEIWISQAEI L199_008701 MDDATEIGLDNTPTEDQPGATDLQQRERRNCARTWMLTFMMDRA MASTVGRAGFTELRWISSEATDELSRGRHQKETKDGDITRKLLNDNLTRLSYDLDEWR SFWQIETSRHSSPPIYMAFLTFFELHIRLTLNSFAVDLQHSFNGFSPGLFDPKVQQAE RYCLDLARQSLSQLKTIYDIDASILHHAQDSVSIMVAYAACFLRALLNSSTFTENERS EAFSLIAQTSDLFKRFSENTETTAALQADFLQSLAHHADQVLPPEFDLNLNQGIHNLT QESQMDSDWLSSFMQVANFLSESTALPNEVQSVNPPNALLPQELDGANLAELSEIMAQ WLQ L199_008702 MPVQSDASLPAREPIPQLQSSSIPDPLDIYKRELANAKEFKALR ILQSLQDRLHNKQSAAAPTTTNSKQTVKPPPFVACTLCRQCKAKCVISDPASGQCDRC KACKCQCVFEGHKRGRKSQESTLDKSPSQPEPSRTLHIPPMEIDSDEDEDGDMSSPNT QPSQSAAHHPLQLIAGSSRPLSLKNLLNPSTSGGQERSTKGLSADKSKGDIVSRGVIS LEMAQKLFDLVASYSQRFSLPPLAS L199_008703 MAPKILVMGELYWAKRDAEELLSGIADVISMESQSRDELFKDFA SGGRYSDIVGIYHEHLSDKRTGHPDEAFFNALPTSCKWFAHKGAGYDSVAVHAAKARG IGVSNTPGAVDEATATTGVFLLIATMRRFSWCEANLRSGGFNPKGVEESARDLSGKTV GILGMGGIGLKMVSYIQPFGCEILYHNRRPNALAPSHVRYVADLYDFLGQLDVLMVSM PLNEKTRGFVGEKEIRAMKKGSIIINTARGPVIDEEAMIQALQDGHLGSVGLDVFIKE PQVDQRLIEMPHVTLLPHVGTENQDARRKMEVVALTNLRDYLSTGTGPNLVPECR L199_008704 MSIDSFLQLKQEIAQSYPEFESKATQAWSEILEEMARVTKIIAE QGPDYLPIVEYSELTSLSADRLAELRRKGSFVVRNVVPRDEAVGWKSGLDKFVSNNSN VEGTPANNPQYFQIFHTKPQILARSHPNVLKTQTWCNNLYTHSSDNEPVDLNTPLTYI DRFRIRQPGFAWGAHPPHIDGGSIERWQDSKFRSCFESILKGDWRRHNPYSLKGRIGA RISTKGLPNQASIFRTFQGWLALSDTAPREGTLKVFPDILLSTSYIMLRPFFSPTVDP SDPAYLAAESWKFDLTDHKFHGVSQAANAGVSGAARPFEFSDSTHPHMNLEQTMVSVP AVHPGDMVFWQCDVVHAVEKEHIGKEDSSVMYIPAVPDCPQNAEYIKEQLQLFLRGCP PPDFPQGRTENDYALHGTVQDIVNPAGRKALGVA L199_008705 MSPVATSTLTRTQPSTAKLVLRGDSEQPKENAHKTDLAKNPFER VWRGNREGTIRMGGVPKFEDPYEERKWVKEHMAGAFRYWGKLGFGEGTAGHITVRDPV LKDHYWMNPFGMHFSMIKASDLVLVSPDGFVTEHGAQLPINEAGYVIHHTLHKLRPDV VAAAHCHSIHAKTWSAFGRPIDIMQQDACLFHDNLSVYANYGGIVLSDQEGKNIAEAL GPKNMCVIMQNHGLLTLGRTVDECVYLFALLEKTCQQQLLAEAAEANGLKKRIISPED AAYTAENNGYWETMYNNFTPEFELLKKETNGEFLQ L199_008706 MSNAIEDEKEQQQQQIEYSAEGVDYDTVVSSLAAQDRVPWYKKP NLRRLYLLFIGSVLCVETTSGYDASVTNGLQSVPLWNTYFHNPTGTILGLIGSMYALG AILSVPFVPYIADRFGRKFTTQLGCLVICIGASLQTASQNRGMFMASRAIIGIGITPA IVGASNLISELAHPKERARLGSLFNAFFFTGSSLAAIITIATFRMKSHWAWRIPSVFQ ILPAIVTLTFIQLIPESPRWLISKNRDSDALAILVKYHAEGDEHSEFARAEFSQIKQT LALEKELAKQSWGALIATRGNRRRALIAAFLGLATQWSGNGLISYYLSPILVNVGITD SLTKQAINLSITLWGAITAFTIAFFSTRFPRRKVYLTCTLSILTVFVIWTAASARYSA TGSKSWSGLVIALIFIYQPCYNMAFNALSYAYLPELFPYQIRTRGASVFQWFSRAALF LNTFVNPIGMKNAGWKYLCSYCVFIAFEIVFIFFLFPETYGKTIEELAFLYEDDTHHE QARRVQNHKDDAERVLEEEHV L199_008707 MPRGKTTNPSGRCNRCAGILYVDLVEHFKKRHKGDRFTKDDISD TEVVLLSSSKGRSISQQLIDTCPFDSISLLSSSKGSSISQQLIDTCPSIASLLEASPW RSTLKTPSRFSSPLSSAHSASGHVPPANSTSSPLSSVVGTPSLSPASTRRSSQSLSPN GLSINHLQINSSPLTPTRRSSSRVTNPVLYVYDEADDDVFSHGQETEVYEEDGAGKEV EEDDDDEEEEEEEEEEIEDEAYWERKRAKEIEDAAQDGDDRPWSDEENEEERSVMSDD GSYQWQPEEDESDQGGWI L199_008708 MSRVPSVIQAPTPAHVQTYYCTHTRSNRSNIEATVRRLLGDSAD QPLRRLPEHGDLAIPRPHRSGGPKFWVVRISGQLSQGDQDTILEAARKSESAGCQAFI KGTERTTGLSPHHLGVFFRASEIHTPWVTNDTLQPHSNKRVAAARRQCMLGLCLAIDA VVKEKVQARLDQVDRKTCLAHKASNDRFRSAVDQGKLDLRGLSPSDFQDVQVDHVADF FRFGQLATCSAITQGQSEKLHMDNHDDRRLYTTLLVLGRENLDWDHTDGRGDLLLPTL GLALPVFPGDVVFFQPGLLPHRVVALKPEDAKKRVVITMFTCEPTTDYLDMQGIMLPR AKRSWKPRSGQDTCPKCDKTYKDLLDHIKKKHGEDRFTAEEMAETGLWVCSCGKVTAS ERGLSYHRKRYPSHHV